From 42f64e0d3d37f9951cdea404001cdb2cea407bbe Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 19 Oct 2017 23:10:23 -0400 Subject: [PATCH 1/2] Add hw4 --- deliverable1_6.png | Bin 0 -> 253656 bytes regfile.t.v | 4 ++- regfile.v | 51 +++++++++++++++++++++++---- register.t.v | 25 ++++++++++++++ register.v | 84 ++++++++++++++++++++++++++++++++++++++++++++- 5 files changed, 156 insertions(+), 8 deletions(-) create mode 100644 deliverable1_6.png create mode 100644 register.t.v diff --git a/deliverable1_6.png b/deliverable1_6.png new file mode 100644 index 0000000000000000000000000000000000000000..78d29dd2ab50c6afaca14606e0c1bb78a0cc5106 GIT binary patch literal 253656 zcmZsD2{@GR*T1CDLJ}$=g%+VwMz$7F5tU*v7%5~OWM>8`lC)UMkRp|33~3CFnXyZj z?7JEJHpUoZ#%%b{_x*j*?|uJWSLv$fxtDXF^Eu}}=X{=++osoc?>M-FkB@Knjq6v< z`1p2k`S?U$32o*5%Nx-WL*9?mw{PAv5D*a9wQHA@l$4T^(uE5bOiWB192^1y0$#p+ znURt4{rmUI%F5Q(Rw9wOu&}VUwwCp?@GLybI5fLf@%ZgzG$w|nkUY*E0 zM_^^2{%4l|yd#@>Ns6jm=|%(;Akhjz#fc2m1gVyQOevA*Ql=`8EgvUJQ8}$rsg;-4 zC)px&=ykNo1^h8Q;9zeqFt*2$)y>`)`h1M^BT^EMxATIPW&QUIQ>~GE@n5qKRG48T z@y#4WQ=~0e5LSGh%*X}g5RZ;7e?p#%jQn@^ylFIL$y}ugOmjZK3VY+CC5Meq4!qrq zt`gB_RPtNW2o9{@mn`PDP#aeSHaEY}D_};hETNe8qwf`VLbAiM1C9o-OgrwW@`f32 z2NHVr?x%8kfvIhOZR8h=yfa;q`Nq{*5vn{7`i|~yFRzZe3D(9ta!WErAO0^{!NaF8 zNfUW~H^DAM0*C$*trRA{9LF?432qBpj>nm-1xrsY7pa}g`meq8ko1<6$s|>&=4CSA zGZf*k%y*yEvx;=n)#U6MLpxQRSPrK(?%wuaTR8)j`DZ*+XD`!eQob^}6la}N136`1L&+oFOuM)-O`tD-beHSIp#H@+ zlUcM4aUAN%vikyatAj`4pa}K!Oq2U*#B2EOm!ccmU#=6OiEqORb4qS_cHN~F-H%R$47_5hRFXbc_nB!Q3CtUe ztU)TAZlN>|>E6}5KU92IR_{Ud`b)6_CAmxRGS8A=8UOu#6((H6xnPOv9>p;7wvc%E z`62`u@%mgDb5vsZLD=Pw^L=>Dv-MBcU%n-Lo%^KWt#}Fj)TMz<$!Q@-TDPe*|hjDxmROw`MMmB26ZJU0KqQ(u!U3W1wTe zl;?EPLFe-W%{$)pR@XqD^&HgernuQcS|tA?*H-b~M<-Blwn#hn7?~st5yMx{p`Y9B zBt8eb5q|_Vs@FjNB-RV>X;smQxO4XEI-ua-6(uzge!PF^7=H>SkA4`Y%-tiU`5UOb zbL7E6Y3L!-qfT2FQ~+a*CK!GUY{=c0eMOM22Nqp!;(VZ^y;ek z!%zb*f(^t{{LR3SU!=y58_LBeU?@;B>uK{024^-$z9f7b(P6-lC6PC_N z+OEVnUG-aOL%YH&j_&nB3C4gqI2E?CbZ;H9+1`z)W5lp%k&l8XhGEs7$)@rfa`i^( zjyE?{g^&Lz|5OX)yQpGlb`($1cMst9VuGGCyS|u1O0dsp+L`|~h5p{&xLK|>#gOK7 z^q}Sr&3-`MUc5z>s1@J^j6LD`73J9}}mJ?;7sy2gD}eO!z@u3pOysXr(*r6frU6 z`KljgXAR}39k}i+c$_kvS5q64|j}nF|Pc&70@m zf6a4~|KxI^DWdpOZ=?q}XL#DKC;0kor7PMT(CCy6?NZEBs+mB}&42o7x8y>6V5h+FI`UicuQSQoJDnC>NRyr*z0;2&UX?ZC zA6W4426M0;#qA=^EYw~etaQ3HmHu_|2O}bPtOWmr8$kNXQ3d&w!%r21+=4+G?BU2< z-Os2Zl-g)Y$c_z&xHQ}*e5k~Hx~YHpGYsn}=6c2sLUY*$diTL!;Y*8ojSz+>7D5(9i zHPASps7?WjoNiG={|&v7;+o%nBc01p&yii8HsF~1r28t4OUW}l?I2&D9C;^`1iQr5 zV`e)A{-w0~IqKJB7Qs2-MuHi>DA<_Ot)=88$LK2fHN5qIL3_3M?HqMAD5`h|*P8ty z*k$ec|La@!7;EEIqh+3(#Ij|cg~MrX34l6?-FHUwMS7@l z-p({)J-+wlo{dv0*NPw;%>va4+sSZYh#kF5P#eorht;mp1^xFp$5o}Za3+)vilMEe z?Eg&S1yiQlw+%oPIbQ7*CQFP9#01%H*%+Ru83Vf;{&Hv^4l1E#%44*Ub_ z`RI0x2}i`CwCh4FGrPZ9p+F;Y6KT{;?eX3zJAv%xy=#EcH%JbPD`5zJc8a11o}kJ&GR@+~xcwB5yCq-yL#& z#{55m^7V&-5b7BGEd7fg(sv*^FV$cRXd7nS>LtN;jKD zrLWrf3-N(hLU7_ON^EkVi!OZp_`^Aq78kNC5DYCMG7p)QaQ7Ly;Gta(*1I&Vic_d-btVyp(-QXNW4*3QRR_<(bb=I zR%u|}Jt6O^YMowg9N=)5@VO~qOmuR{{uyvAQ3#A&teGMF8n&#kz+0D#|KH*5^Ex9R zi&`s$2vPt=#J%N3p>QUue&Au@5YY#Q()&N-*9!Ye;**$h3y3mL?53KS8X6_G>Zj4q3P-Q0uH;9h9Sn! zxjT*q!03-=;9s#cG}cHs=rilwSebz6F45Z08#Uq zCUxQ-ym;C0F7Zv!I2*BIeV1Tef&Rg>2TtI2ImwfQC_FuI&XInpAt z@y5-k75Ck!%4GvPhoR>#jIIhS0g6N1P_kKWC=@qC36YJm+lTLpCIL z1(@yZeRzYXq8GM=;?_oza}LD@2B^$0MA10y0I_46hw$afrO^NnQjhU7nUmZ-&3PuV zMC()n!qr)_`Y7EvotR?-srxUZuIvX8AUQ;NigaJdN#>p{?pp&-L$NY)y~?RJBD|)f>1c@B9n01 zmqy)3(5qb2@h9pxH?(1)U7)0ao6JmNg`_epW_z{>uGBE;S=%5K{$rFk4x*ZPHK?ff z;)W>g&k88OI0qofxJWj6r|vlldY{+TLi3{Yg&tsu3weTR#0DGh?K?J1((YZwVOTmV zjvivSP-zBqKKel$UH#%k zN4b-XSeiC!=bymMr@qlvm6+25N@%OWz_fS0bAW9Gq5FO1 z*pY#H=-s(WHc*Rqv5gN9J+^z}EhR&H;3!%OH^DWe7>NvU{J58;lS@&LZp{qOy;yRr z8mKAIs@~j*nvp$tG(cTsh0y|0lyl%i_j!ou-kZGaNoh+)9>rg)p~Mn&(=;{>d4I%; zVJwt*)7g0Pek-mBI>Aof>Q3zG?@^AHd1dE#K{iJB=G=F6n@v4R6*xD7h~ZfutO}lC z$x))huelLQu2TD12p`ez%7-v8jWLqHd44~Hd~+Fvy#ISomE2ltfo1qr0lcFVxJf&b z`Co0ZajT*HP}R=b+ye5(rBQSmI0&o49(KjCdKN_-xpj0D7x5m_jeA?s!u9WDLI3+S z?j9Z1!V9k$t|YILAK>0%O*nOoxNV0HgsQ@L%O`(VxBGkfCG9v#{42eOIV?{fC}<zR(~0q*mD}F8>wxpKxswhWkM)xbyWIq?ReOmMMSFT zb7G9G-`}U4SaE}Ey=cAio9&_GmFKPTjcAF>@wb?SjN*hZ4RdkRbAomcR8OK;+N zDplWZo~enAadERN)j^x%-w^8sal6w1(s*cPaWC(3(V%y)efdWTQJM-G0oPDI7hH(k z6up|UJ;u(8?erU-4Ji&+gh_DC)gA-{KZXhlk6h-sR<{FOz!2UXbL8rn%{h2`K>UNa zr+k(bptN&u1!D2MS9Z>ybjORy}F`A`Dk8+OY-~m5S{PNt>dCrO?GAG z>MV^2=IY+0RH-{`9`Or-k_qm7`zZO`Nb^B7WN~sDsY3ik2)@qvbjUuW;##h5`6#yf z!sZSd#NIGX5YYl*Zny#aHKzi&V`1`M{CQGyZjYnvq3G+gTd3M)q?Yhar8eGs8x`HD zWju%eqj!&4853Px7E7)!-SkW;E|#60!1#0+8o!qUJKS= zQ<8^CkX97hTM-hW?^H?xs>kB~n!n>nVFD9jB-&nH63X%0CYu?_%Ln3nCXp)t#F+Oz zW@Z#>D1@_XW7GQR)CP`iDEEttE!c3=B^>4@8IV_;TeO(&iqTute#@xKL!zsqAhF=eGh0ta&C)uL=(x2PmgdTwUhljEC2)j0% z+~EbRfElTY4n_DVhSPgb5p@z5=>0x`4@g;3j31IyZlcefPuYvlyLAO$KUUXGnRk1M zq0iE`hLZDG`!?1RUIEyn`KSsMtDDHCYc)hE`5RNLxomu5P@xb5W%ZL3`~5e`d_1b6%Z+)!Dl?J6>0V)bSLCM z#a>KF=mV}b)tcet9Ts~t2p+{W|9JVkKix%95cn(*9(M3`siD7mM)SqIFaG4mbARO~ zm@@p)`$DeA5e34!x)D9Nmh(Rh5(ZAeqIK_7%*=m@$49Ryq!Ep11$yakNTeTTB9rCk7IcU$F*j*0XgBG6Ikf84jYUWG52vY@pU?k2*n5 z0)Nt9iO$I$thNyRXxhKBEKAwEF5pcoMtS$SC`xw;lB9cmQ6me@%fh%7iKShDBxA+! zu&HzX(sErDqaS)Boy)OM1c8@@eYHSJd9!sxeYXe=8dvzi;8ajt+|W$3D&;*hY1*S7 zy-nU|#ZX92=?F}8)>en36F&geWfY=m7|pl9_Ybo(mow^K-pa7wx#1!%4PO&>2j==& zxCmy;rP4s{Jw82auCG8=6>Yc{tGHmv9}Fj#3lr5sqM1pTxwXC3Eg5N|l`mA? zZH+y9=~*f9YMa@LJ}ilu81AW3P4+{0`n#us6lZte^kYh8%|)0P^e%8^a9>U@A{%4 zy*p9>Qf@b6Z-uwQOuk1D#-X+oH=~?7DGzHX1Mc3@OjH=>JXIT*^rJ7wsQ*67`Z~KM z8%BlU$DAg#Hxh0YVTy&XX(SiV>Z<@}ncuXyKG0dsgPQWuzrZ0l4H)*O0^^OU)bev) zl>pUbTg7j@_2+6p(F_N8HM;p2>9 zA5k+G6FxLof2Xd()EhEvlo$P)FI3I~v;q%~E+40Ab8NWt39OZP=8&-lI4}N->ERk# z9PP^lW^;=`;a@EC3;ZCQ3S$6XVNu?qb$p^VlearW=-8SPSDF)WvW4P3fvf#G^9b-f z=V2ram&i(DVyA=vB2Auuc}KZpg<#+(B5p9!u`s2{JMW{uf>%vJEZlrv-Xl(#s-2{T zuTT0hGk2Ft5`h$>)nbT|7qxO}oH41_TQ}-*TZFjM5qt5;7!}Ix7UUqoh!y+_UXmZ& zQB+xn++{x{V?7;kb~HeR%yF5!pXXY!{Ak=Q(N-}|1k&@XaI-d|BNP$Imd3k*$i?rSjX5|7kPJ%aGW5qZi=x%CpUI6o+3(-P z?>=GQc3juQk?%cp;n5YNv1EFlUkq3qTLQ&e(%JYq`1PFPvHsEJ1TFmRUGKbl19aLS z=e!}oyFD80g`W1Ru%Hw_xwJO$D-vFYbK=%4UVfxwee`#i(A1}Zu8{q?I+g;Ut;gnD zt3>@_#g?o(7MX@?(YyJ%zuLNeNqq`fHcToT@>CJc<>&dWix z2!;rRv*Wg%8bcevz8-;T`;{>jXaltb=rLG8?04t`?oX#0b5tp4n%=;xag3xmtoe4B zoYighNQnh8xHFx->pA-2^TO_9T+fLWBT6#UZxX;?x`@s3oSagb9_B`(L9ww7n)spM zGoZolARHHz68ug@^`-3rJi`|eoc&~_^rt^^PM7H6S}QAZlI$N6Pk>=C_TJS&KlzXA;C%(i5;Ju}j6k|OQkJCy$v z86|ya7tSS9Z?<`2(VYfri=sQgpq|964<>aJslLS%%};zqb^W&su1oE;xYt}|S+pIa zpMfHnpC=NS^pcFLOClgd{j+lmSJQ}N{%116hPhulBYivGyZ0NBT7b$c{;di{ZiZNcUq7QOf^z6B)E$h>6 z-O~!DH?rfn9tV44i0u{K<Cc~3FZxdmQxzzT5~_Jevaj# zVjqXt30qR{^pzdULTi6}Mso4s-g!@DxNael_bdFR({9+JiMATPQ+E! z%C=zVI{=iW{>3HVBcSh-elocEid*C74s6s0!ADy?)W;Ap;Gpu80m;MqOP(%vE<=~O z^K70etAwR<(_b)$PB6Uga(|DZ9%=0?v)JR{{~J4>68|lh4Pr$f|e66Op2X=?C9 zl&Yq0EUdcl}aSz;`Hh#im+a^7nnCk`y6ktGH_$F2`HiC-!C5SIVx?7?-L zUk$%>7Vq2wf$)pAKu*~OXnG#(btpA~6?2Df8F1&5?hg$z#nf)0J!Af3za~c?)vo@A>#pO|_*`k$;@?%ET6L%h`^=*s4lAfr9K3x6I=kwmd-_ ztj)>E0fcv{sVHc7Nt7feK`&sk`mZRS;ZDXH>6`?Hly9wH|@c1!S$gbRQMB76Sg6>ZdN9gI4)y0eJZcPH&8qH zdq2J0)0ui=OW*JJ6TuC~O48C%Pm0pr48D!`aSc;{+C!E7~w0<%2W_tw!EYs9&J|MOr{f@*jo1vl6uOBIhuGtEi$t_J_sru zd!)R$F)w3>(Ogns;bJ-xa{gAYF~?5Ac9c`Jsw2ybkCjH|D(G6~&k!I*Bkb~64rtce zxmo7Ddmfsqo3l6S65+dIQps7UT4&NHjg3%j!c zA`p7V z%kRq&zl3H#_gFJExfy7+9ill$GWyU(|WX76KjXsgw0pm-KEAZg9((xHRvVN3my;U}V)@NDnxPx+ru*GH;?cysviIcD9d3fO);b2` zy2kQquF#MNGwBayO(UXj1}l&CJcDu!s(ON=t>?^44Y+Iwx7b*@qy4VP6!+%-FYbNr zLDT*sPmf=GlZuhEZE8s+kg@+!GyAkX=blTSfzgTx zasFz}TvQ+dcV+U4i2pDPkWM3dB2y)4O@p-hB^sgK+;}%R zL(Q~!I!!(B5EX6;MSoeK+XSxPtB0QnyW7rH&Y~N@YYp0qfQIPG1(nNbEuQZw-AY)i zojho-W63oBs5Xr2IH* zA$7##;)5H#4SlCBl0bXb#JRptONBHQeiZJ1Qj#9a{E*k>FAf=~`4Gd9kp;+oG-ELWuWY( zFI{*`2t31dHt+`%+xjcz0jqW@nI@IBTATIw`1*onGb%LdkhxVNqX6}RlT6aIz8Vzs z9U5}r)cHXrtjRU5Q5oHEuKT>N%6Q^=%|?mOH>&WoZYrZFFvUU znfDc%9`?H^(%Z>jZ7jgQdsb6^yidyqGs}{V3UbG3B&)ukU>(iq^_!Ia z4uNs5A-A8SlCk`7IhjqjHUq3FE}f3Y7cjIXrhqT*?7h3q-Mp!>{r1J9;2(I4nLYlp zBGX{@^qC!uQ&Gc-wU!}TTLw8TtS=TL0T@)~z5D0Mb2e6%aW4R~CsL?!v~5|{+DWIS zopR&s9>H5wKyew4N}qkzwmojLSBy(Awe$47e~XypDfZwp<@bKF^S;M>kbPr`l8rH8 zW>zfU7w+p|y6{B6X4V~>G)I0cYEjWIdos;{UE<@c7_t)W&8Y}Mak?h&)gae?td-nr zBr;R%nhCe|lg@ULh)Nh%!P_U;>8tMMfqUB|?rGkwcQGr{o1>+$;~SFnEInOw2g>|q zi17IScHGf5Kkg{?_>jl@;CXeq2c{XAT8XWMekT~n=H8R%+w`=)GCOx%|Ef?kd|Qq# z5j$}7f?Us^i^}hu--WGyJhWxqN1YJ!nhfw5A$3C3!j-4AkK2)K@j5GVR3&Tumo%&5HKR!G~Vl z{){lFK@JFJ$c-*FWk0`G#a_Bn!Vp$3R|$~Pf0?B1Ii-Z}k6h}!SXPr&qmP@k;VNa_ zaV~A+oF7HBpFMHn3`zNs&C4;h4@TVCmwWJGl^-7bn*mk2GW^lZd4g+ED@X#f$|u;? zON=#lZg4l~ZbeXLm#--hncqJ3hj6f=uMjqML#aI7Hkx=r_1b!0Ew2&a6bxXh^NSHj zC7CBHg*L95Y}af@-$U*dg8341lHm_+J)|uNG?GG|z=N8zz>{jD8g2+~X8{Bm#;bzWQ64&ox-O7p#a*qOn_*)oKg;&+riR=G zznnNCj!T}Zjd(r(P^Z0wy=XiI_!Hd(`RrwGmB92%Wc(OB1X@d0I8LbDH`@hmo+3HA zu8HZE?5}na`S8N{v*Obwij~9*#(T!!A7=>8?i=KAuzg$*I)Ti_T=jA?F*N~Rx*rGg3;Sl^Vm4d@f(#N4)wQ@ zhU{9rxuz?%Yl~_tMK}H^xbGTz+pg1ljO^#|VocTbg%u%CEOWmMV`Z{(F~nODIfr(t z>p|VTs^x0=>Gi|4%SGFad-JAv{b#$J+&IOS3!Z4Zll0;6I!C_omGCg{TjN|S23tzB zWrI-gPGCz6vDxr~-~qfx(T)N|98w=A!EgE+aALIB@#HArZb^Y==9E;7eThaV!D!?KjR<^wbJ#W*3HfSR8NbflT2ueG=$@ z2i263oQybBeH}dzSHi{m{5+6imm6^Hqi8#J`{Ub;pzI?-N6+ZWP7vJ#{O85+VOuk@ zHcY3Y1p=Oue;togb^lV^-}7YIeI`=0y8`BSwn*@p`7QNEPuuiGuV_t_0rWhi5nH z-fQg{1%TRdp3LNL6w8(FQ|LgvWL+D+BSv@d!Hzn%pYE{j0RpD_&f`Hz7ADLn+qS#9 z*{@lr>}_XK$H@szN&I<5RqLPq0?YI6qub=ncit7pu2@u0r}P%a222)%RjqXO+@X)~ z{=(?#<)YPFE78Sw81=W$6HBWk;-9;PO3v;lEZa+q;WO5vX!co78_t`n6cLxZ^Z1f- zGpHS~2)Gd4j-!S>*aDmfrk>6OxaARZo`cIWmz$n_zjkuS^>x6AtDUk*Vn>w!^!)+b zWi4Gn@+talS|j@<66rkolGS0<-+y(!%k2re2j%V=X)1LcpPu##l<kD z6E)P{Z<`DW9l7rh>I&Nrs$5w`yKsf)$}^ox(fQj*4#InUe0V2+ZsbS<>sj489JEz*qhToBr}XA$)^$e}?uEx;}>%lDd}SRdk^{gs@#1RCjqZAO-p3__m%Syv9->uIPQ74Jf!`GnUAVySp-P+cUC4waZTMGlbqK zxhT6UdcB@CEH7MI4Z47TKkqa`s#PcKWzoyg@#V?bzL%5cj{&--IStQ@pQg8Om9u%- z;xXL+IyOkrD`b*n2ea(xQ}x+0U@TGcIxVdW@Y=WlH7^d`Ub^Nw;VU(pImxVL-wePQ zE=V-w{xL8V4SK;olC7kWL6@@3!)xyRKz{v+>hpY0vv@r8*7&aYT4g!t04a>Pbp877WiGS^PXaDa1sKIcN&~>h-4zanPmuglgrp~x+ zIW*(Oa>Jk-2#ltX@l{hrhF4++2Pf1Um)A!2Z_fn1$7P*-03g7JhE61c{wP+rh)z9v zn*DxNx?Vm}`B9RD0;=nBOD({n(ed}E8&6d&oUd3BZL^>M(U&~`{b}HzT4b=6F{i+^ zn_5>ktPq%2P}@3$&^BUe$=`=)vAro&)dceo:zc0W|n#QR+=+(?7%*rJWkhQXJ4 zMNCgf0xr2c8*02_y{A#AV36{(h5|!r0n>qAp+wc#I(7UxTa7u+tF8D8A7)?F?os^; zbm&4Uj&h7jUcKzoD4mT9o!s7|ED^Gwf5#9EskiU9Y1+(G9AY@BSfuN>6*Bnkgj+rO zqWkAi9pZIHtov2zUt_xW(jV}E()NJizr2UQL;M3TxP~;XZ9 zm!1ck3U4=Gw9I`-Wn$A$kxgL2Z^Y-(#%^v6pGecyg0B3wI9gTD>YgmkFeY0Rx1Y*u z?vzt#0{*LbUvAl_G$GgOz;B5rUMFhOZ8UR; zr_4(2oFK8RoS;GZ0^~9gp2QFtY5luU5Z(-#OW}CMh8NOoj;NmH|;{V*J#;GqMe{-TbCL>{I2T zY$gZs@MTKaF<94@tMl{}7WSe%W4+G0;4aYFe#xL@oY(2)H`y}_{DN%ewNe`kEf;~O zLd|z~i}pXGg>a&nTX(+`*)JK+ey`lR_?AM7P={N1nv?%@s78>V6xfkc)M& z?c^lV8xmKqGc@>*C?u$bXpl7{r*{Or-U?h%BeZK2Sciw2K)Etaw|Y@#F!YG2MF2aBBh! z`b`->pjiX$dps7a@2-1>KnMWqajMd1CvLF5#4TpH5@-3&&JTENn0{E76ko)c-Dtos zZa>3s4sC{y|0%3DodZx77>T|a@HhZ)i^oCJBiMc>8qJ@EQud>rYWj?^bB*4NhU&U! zD)+3b8W-zc;)-u^2NQBz{89Vr{>UUk)=|UWi09{wxLxGg*{lvoE_B)4f z!nEPbQOxxAiPicd|5@50s&Nsh*WMIE_c0OQK@;;FD_0 zX71e#gjZ}^{iACn-=4O}5J;4BNZiH0erLuj6nfMlQOMOK20200k@1Rgfp&YM#fU4*lMTK+ z81FNOb^eh(j_m0xnT}gBiL+O?p9X#wVl3+BF@`QDj?3=Bca+bsY0j=#B!%Wh zM45n9Xe`&TTT*dhI;~-$A^gAd)|iT+ht{|0th9$pV&fWgENOLXaa#rla9E~_@F@(> zdi#Mn;9OlDTC=wnz?L*-;n!@@a^bTUVf9X?$k)rVuT_^%gP^zGj!~DtueUx|bnNLZ z5r)h_?nF@-;~eYxy_1JAleXj9q8w$+=R zUKJ1)TyHQOP7AL=el0hL08W7FWzv?KFE$KoR3mQMhu};FNEri|Ad|`Ev}1o(<1e9Y z%bSy5Pv2Nmlz6qVi09!(>3tlJxVT%qob2#^yq&>{(%K$6$-!B&?jh{jsXlH9KfE^d z8FPh0su22DuA;^jMS?5N7_><+DFHXJph1_WVq(Wp%r3iFFwZZGhPZ%z?4z4g8Fgww z6Bk_mwF{_#7JANSR(_V(|6xXO{~Vs>hiYGa4xSlq$F}hxh;xZnK$QQu7;+UBCU}1R zett87*LR;ctnaF)urT082tG7NP>?(I1a*B^$GAgkO8T9R2Be4{g+k-72OwNKmY!iU z{M>c`4~>XUolpWHa=-up;|YzEy1d(YeeZk%N;m8wR8prtd{J@+W^%Wx^)>}LzF z8`mORJ&9$&HC@bAf3$8dhZiz=l!2~Ml{(1zpO0!=+*gygt4<6o;$pNO{sj4+9$hwo zNSki<2;EEwcdEy*Wz%_C2mBou#p*y#Z24wc6 z-`_lh6opnE3E&F;d^17{-(F_%)2y@v8lszTTi~t4f|o)pYGmyeOGZAgX9~hA&I$V# zFzl(I*lm#SI13&dym$nNc%~A%^5OF85!lzDp#$gFUCf?96aImwz*szJN9lK5HuR|P zgB6WhxS$)4y8FK3IkBhQL(^^JyiS|&m~&lY2&Hl>x`vcy3&oxfv~08s^NUBQl$D6M z#5JXTS9jfbd?8h!B+vXGjxH6Z#-k>~K>hMXL^C|6CQJ;E3>xt2-Vmrg@6mSLJXL9k z$I_&%D2)#1Bf-BCtQ|Aq9`=8r`u}(2lZ?YuPz+JY&zNRC7ksTsB+0LES~rJS&EtNh zqW{*)FE{=P)$)lu*w*yqt%DDd+Y0H(ViCJTOci>_8!C@K(wx`wZBu-)dwVh6?;nVM z6@iFhCYVNKi_yySEvLe+orfib@MtyDwtqL|$L#H`XZdA=*Ls)YCI;NV0cFp*r~x;s zv_wA2gV!*BRZXjU-97U0BaQ6wF6x9MFa(bR(w$Y~i9grIYcWcKG=R_Ik-=kLgiVpW z5DRf#taxLyCPxN0$L&}O+h%6T68R#J0 zsbWKT!`Z_35@J7Li%>l7SQ;^Z;2xk1n*_bimuc~wn1b`u4BF7r)4Ke;lcolbag%32 zE<`=<;WCoI)t$PQ+i#_J&D+3AhGLqR}H|Io6_% z%U`;!MnzxgUf3x7bAa7k3R6F1X|E_VKg zo0x2u7@h`>0bhegupT~4p z3^~fX^nEz_!DZ)EKxWF{sKj#(wvgP$XWStnVt#%wDsc(n@s-CrM#GZ0*`0lEgtKXz zAoET&;zyuyjyk8@E|nd}jAN7aMa6iH<2m$jX8OFj^Z4eu83@nuLU?x4oJ=;%gUc>c z^x6du!g=Hwz-*}zN>XaUJzo7APuph-bdENcMSDP)vu)jwM2HJ`r+tcM-dShK${4+? zy!beM+R?dp<9S}Q+#EoKMyiQCD+(R&xs@M$s}7<75eD~Y(L}`wcC;^l^N{ZSL;96q z(alEDvNC?Xl+OY5Ry7NJGt(qxr!ep16*+bRb$`h@KHPyvWCSdtuX_22V#m9(v_T5Y zfq2o0Eu3vN6l21RnLpui#rE*JGKlTC>i)f&M|#_V zyH#ywfUh<2;<%+zS(%VM?b-adg`Y75w zR1?1B)X~!g<@9dNZn2}lMqX|j)eqr(U?aUhDEc_@5i#F}4vZ}aUz7O}*m&^_)J^I= z(FGi0xPI;86R>*~u8v1E8VGptzALfcbN6dRfHB8NXn>6v*7xUei|74Ep8h@dRj&0) zKP7HTddN+!)Ld7YhISurdYrII{=Mf*fAtSFy{t{6RWr1|N50&ThWOu~!1O6B+i#m| zs@B}{*2vk8H}ahSi0^-oEVBERF;_&S@F|1~K0&l)OKWc#X+j$7S&AKneTC8w=x&^N z;2Hj*Ze7)Tq$Vfipq(_fPX|%{HHWBhIDU)iD(^Ep4govAZt^^oOg|2I430KOME}rK zE$;E=d1FL&=n&I#v1f;-u@fdp=}-%$M(twte=Qw0MZa#TJ=uinfmEx2KxNcbK+`{Y2a9b-MmH)i5XclukoVCLGxBNbZpg#!y|P)^W0}DvEhYuwnjuM zr)T4fx)2_AH-?oS8_)I6G+z{yTUz{NwA#O>NaO56^G&TY*LiC4{L(+)A8{etttJmQ zTkk0CMEdf`LSof0-j(N*^s~F`5kDXQpGy^QiLO3kJw18fY@(&}gG0yzaGZ<(;5aV? zJuuJLvR=&OIYW^Mtp5LF>)qp-e#8Iql5(ibAym$!6FS$NhLQ?VIhNCOR8EsKvmvoc zREiFB=!8m)9OgXbRLLQyVQh2Gab|48#_#U)`(%56zu*5n9$vd&_v^Z@`?{~=^MZip zQghfDT2j3nNN|}_Z(gYwD8RA$Ih9Rb-(K=AxKZWTpr4;<(TUd~q>jl*cn*RlgFQ;Y z!Jw;H9=g`;U-%kqHri;MQ;NUsXw}}Y$!;<4YkT(@E~{I;fJ(&PW&A7lSR-)GxXNN* zDBZCHL*`g4Ue*kG7n~hdVQr#x6TvwWw00M-Wy2+^>dk!d2Sr2$4V&Ob4}ThRvjnp< zbVCpj54FB_6Kr#Cr_;4?}uE%nT3(X#?-a_z1G$H{suM(hDK(4a@!H`eDB@9_}f z_bs@G6m7!G*`zV=&Wh{Hz$O*2Y$cxi)Vb~@Wwd0YwiItGs)AyB=O#AP{{{uuVz()G z6+-hoCZI3j9sojX-gvU##9Pvm*D$ZYaqWG0v|{<06$efd-J@TQjM&7@RI$N(7AUSAtTmZ@f*b?-V7|;MTp^gkf|=NC%00?fLTiQkod#yd zMnDa}M?P}(-_IVO*<#x_b%14#=sI72u`^yH{ zwMxgv;HOPf9k?j5ig7Ki$-R))N`;pc`x0aAb3DSG6bz+LH~@4D)X>)wp^3iG4~2z3 z3{;^ha&T8EL|dBoq@jZ>D^@LZv0-8#arxccvwoG8dwuklYm&jYvb@oAoMzk8=!~ zy{caF%XF@DBD-)gt{;24!FgL%$UP#~R)>(?vMmoI3rN8y&L;(nKu;6w%%WIqK~7P2 zME(QD#0%V2NJxRePUg%>*4j)yr4d$+H}X{ddI9HHSi3(S^k^-_ zcOYzX2gD@5#dAx)VjapQkipS%cm4P@oC#>~l0tsifJmIv!rFV7yJ88UXUN0}D-h7g zEZwZVjmNv~f^`G<2g!+}q&Wm)4Y5s7F=l2RFyjVg_?1PQ`1#4p>D}I_=dqnF*?RyS z2lqYb?Et7rF1L0Kux@#;vlLuqaJevmAak_U&1y$z1j@`$2;aSi&`KbrhnllP!NVrg z68r~lb7V>~{Ah4KCjdTZ$2k6lb$0RC`bo?P$Gu4otUN97pOx3zB4-{#^(xcR`Almq zI*Mdm^c0!943Ju4dzF$`>(|AD_X;>mFUGZb3o9qMV>hE>hBxCltRr4& z)bmt9r;VMWI`MS8hIp6W?8G+2r5%2lUpN!*leCLVoniPRr2u;^dMWBZ?4q7mAX^TA zklic10Kx0sFS5b3_!7XXvF_WD{J-F3pcF88v%sqvyS})q%Snuhy^x;PRvVn+)4<%x zY!fknTjDY(#?P|D5-kt$<1~PH)_xWmOZiS=9uRiz(DCC${sO`!#4lVTAR zAV@!T-*+18Q&l(s$>XEn4Fzz?++T`%$}e25ddJY#b1LyTXHZ8`&~!ya|C*8{27J~h zxyYyg3Rr-fZsd9o21?^wAu?qNl|Q&eiD}2?vD4n2Ujdj9o)Fpy?q$9nin2xc zBTV65NU~!Z`X19_D*y6d5%}mWXt{wWTmq4ep<3Of_cUenRdy1KRN#{!<~9zLs3=Q_ z|71Nd(jkDr_GgOMj+|k{XA0U&mQ77;NbX)X;TUwpRsG;;0()g;x&|d^u+v+_Kzmkh zUW1P9ijwwGN1r}S7AN3*5I*rdB|0X2?HlRT17Z;fftVotkjO?k0#u4@Ma8H84Np(s zpx)&PLB3R1$qy4%5I$0m0JY^2NIL08S)nha2}b1@ETO(G{L4J8iOk%Q>xi=&!=J=O zBM7@WuWKcQSK6(tfA1mG#K8j2djl&0B<~!f1gZmPPJU0$*O1<-=tdF#f zmSU^4(pQhn+~* z?AqybJ{AfMi5)K-bezR2%Cj58hdFgKg>-CqjbbXhh}zD3y`SWtW1`#~=RZV?eRbE- zUh`(_MhWO1M~~^GA#m;L(1e?K_+|PBL6wXF^T0hgsY0SchTt%}qeQ4)aWBif5VNat zkM>cXV0{)Wje7{MRovk>yj=PNJ6Mrad7&aP>U;iOMdmc!&QY7t9D2^jg-6;D5l^6} z+YzQN_u&(LUX$P|)4D&nU`MqV1t=lq(DiOSywKD{Bk)kK%8X+mF2Ls_d?VP8@t@<4 zeg0W6|CDB1ixk_T0iWQ`iJu{>WLYchq(beAy8e2!%!HOSG@l`zC-1sc1zP1y6!qy| zubL2e(r0K^ZP+nv^E~BBiOGsfiAEO>Aush=)eYMc%<%;W+6a6A06mtafUeANQWz8# zC>00ue2+{I^9X6H4yz1n)o9?c16bW^xpC~iXH#-4Xb4<`+FDiE-)_tZ*NV)1wa_Q_ zE$A51!!W;lL_s=m^`2;|g%?IMI|nHIr11cniAsGIycN z`dS|ZRX*#1XSerVE%av-#b(07Bcn4{`2qZjGp@T-ZcYI^?4B_pVX<&-J}TNLi#BnB zumFY8%P5-q^<#K=4xo(70Eb!QblIEp67Gv<=Q3Kh0DAyXMK&eI-oh<0tsEJd^vRk7&WAa zl#2wJiX8gHF6%9XtPbh>69+&kT5ZufA%ISXpl&`p|F%5!4CNJlBtQ$DoXocU z&Wb5dT(q4GDymvS-I04`&HJH}#D}gZQ5bu)cL9L6J*^VjrL2jnc;$~A)HqU_4%(X^ z2FluZu z%L=z4n)HsmBaRbW@iZTm<{*dkCL2;vo?;3uyV3R&mwZ{0vM9h@4cr3>G>9~=p`G&U z?Kz8=34Bb|n!vwDn4o|ZE_GC&+*zJ&TR)ll(zCA@6@M#$&JNO4<6TuNkyS1O_R;7R z@2weSrYyU56=$tbsf&zWP0;@Sx3xn?hIMqmOGp!mWB7RJ9iO~F&H;p~^mar6?KK+n z0+B#azw0=s(uA}B3vLnH?O9aZ+B47>trJ{FBEc4@K}y$!R&BM2s*}Rn%<}`p5n67+ zNAHU10KvBwK^|`?+#@wKm(7~fe(17jYl4j)_B*QK0ME;NF6^(4zP_kC`8XZ6ljAy1 z(x48Zu?)RLcSzYV$8wbQ!htZPSm`fIS6Mw{2P6bi9>R49q}@&)K+q14Xp}Q)!IjzD|Gux@3jXU{d7x zR66rm*+h1Dc=y(|d^E8K{xN_@s#T2ng;=Pvs&Gk&ZMk|Fy-O7>HB@o#cWEn)L>kc$ zU*MTLAP4ie(kFQ#yC$jw_Y_iu;=*SM6?D1Hp7Y?gR+S=`M)&pV7O2b&1!X z@o|s_+y+PIeeVOZnjJp^#SF@DCcP?gB&V|{Io{F>6?zS>Z#Wi(O=79X+3`nt&HVra z=0${giq{awJL@ZU$;oC?1&y=yNej9s>l6YnA+&v9l-*}mv@Ha@p)75`5*FB4l+_)U z_x^I7TXHZjD#+0VC4aD!oKt_2da?sJqtrUf_z2vi@{#_s%&!c2B6}$F>ctJo_W!slm|rG0}xPOvqFZh2DWWrlS?YS`OXU zCD@KlT5fiyFIjj`g&wqa9PUn_8i!-)JjX^cs>)J}+)KU~Yp0GLUWdPgh&Kohbu{OI zq~QIt!4vwJsp&dt$|y(i5jMJ(c7?lHWT3v-V(a?V*9VIU=oJP#B9f;R8}D*_-=(kmzV9pTS4ex|@0S9T{0|9gG&apeI}+yHBy z^379@7bxNs4w6z8GE~rbOIWYtXEe48=v0gz3J+9{t(Oq zIb~}Jc+>3$h_m=bxzig?iy@yo!&e?Y;8=nn(`7mdr7o*$8}p)%1R2bUeb@ARDcHx_gVsVQzIeTNp{WV-n45MX& zR2s>+uFU)7SV8@MuXpZ{j-FtWB33eft|PD=N8GhBSYRNC3*q*C`bnEishsK@Z_l`% zbG@V#G2JVR6I9{{dbusocSG7O|CQWxLFO;${>Ftkrh>fdC`eeoh+x}tH-r#a!`?xA zM8aN%{wZpRPRGe#!`)ysK7vEUcp+2enJ7W0(I6jmI!Yllw-%e83{tmOr?4%wEj2Vl zZG!r<{RLBXum@VNv%~X$O`jECp{^fXZ7>G+d8Os+S57vu(2Xuxl$|JzQE>`>4rhaq zITwlrk!AC|rfCmvr#hOayoXQtm5>UVN*B*%2cbt~RZ&)e=j|I<~XtP!A?QFoxP zE*6;)0z*LzIqZAFY5S0yE2Z_a1Wa+^bJLiK87pb8V@efWUXsBtwCo+W+;`cZo<5)d zi3K9j9lj;)8q285+p@^Ur_-a+UxPl;Rh?_YdGiBq zDE}UlMXVhJshNDy{Ma4U^BSQS9d5aF@AHvu62Y20n=~mTv z6w`9bB=NQ-0K|E!gASOBZxF~?t0G^7QGfB zjVwORQM4LjdChftKkxj`7=Ard%H7+IS@0$}JIFxCCK_Lg9@p=U=KfwgH5`Te9>&>y zwQ{w3CQYTKM-EYBMOc=r^n}Ce7==WS-=vLjL)P1U2&wO!9GHn$V7f0&FP>!bkYeq8 zuZMmNp0+3zBqR;<`-&OP|ecbQx%@C^QD*au31p}{hcCuU-G%|^* zT?u&f`@rT7#Lfy>Im7WnjKRC5-`B@lH(%ZHv}3%hAqA^nDk zXrm?JsON^LVC|;ksDm-Pn(=vCVpzMlVWU5`{qrei`~^4AH5y4LATosede@|+zz*Y&ATuG((M$A!7M2jZdkWQaaTAb&NPDPU`pC`A3Evm&Ro|Zt2WS_4SBV zBE6P4j~wLen3?zv;t86qW!G00-X7uP;xFz=0ry)mue!$L-gdRNq&rI4N@Bns(d!rIN z?A=CaT230Ba31VJoPd7g&-16v78Z-x3Uo3Rp~AX}tdFaG-?fV5Y!Bv;mI zY!R5xo_gL@k&eRXAUcMw2;^a|wr)zRQ)G6OUFp;8Zu-=b-)Gfw{FV^5((YSUoG9mp zYOkIlt0(21$mOej*<-$0(W=#=0)`k?+0nOUXPn+u^ANdb+yt4geiR0#9ENJ>!9ypA zg^hccUROoQW-A#o&!;yTEVOa#@`>-gWE&sDJhKBm@`A9_b**`^DUD>5OR1WP=F89L z(#AI^b$)tu%<;pn-YZ9U++Ue|5MCe2MOHoBhM+_y{40Pnq6Ud<>QJ;A><5`=GO)mF zaGlm47;=}E^4J!zg6xE8_Yi+Bq^zX788&a#fWBgr5D z{*)WKxq0954Mz{=$Oe7-t!(Uv+I>MkNNVHJ*}j73df|g44x3viI@GmYP#< z;DuW*L{dGBUv^d|_lGg$x5eLj<5uvGNgSvEW`3^}c|*S(11||l4@M4Si;X{0_Y^?U z#C*2suG4DQIGsldqV~QtC7)`Yl4UHIr7MOGr-TTHI0RBhNki?DuS_^pIi82LHm%n31E92$$^Zyv6WcBhV}tsGqL{({B<{IK z@e1p4x#JRb`~29UQ7H$J828D}k&j-`GFJ39u|+f4JM8y2jPKOs-;gz58n@1W)Ig3%mJE+*~aiK&vF;%Pd~fNrXp=6KxIwy8^&>)*`Ry% zW=F|wfzuz}ev@hZbeJCUYal9*({7&gfUeS;rh9@^+-23zKxO3EHWbDYPL}jz(Pww5O zt??8^YyaOau}rTHRnAV?<4Ax8H|$(uoSa_L-0zpIZ@{5>BJ|52dCpU|ZTMFJ2x25F zX8@G66msS+6cl<<+EE|w8Na99@(cenH#Eb}!?1CPeC#1Vtj*W&6=yfbc6((O-<~RJ z2>I;H?GAs_BukUcnkH|tz2$oD0|0U_yyKQ8{qX$OOKI3fTLo>=<GR;nQb7E{U=hs*O;?h#7!Ckb*?F;Ks z)tLz#&PH_q%S@?wD)*a&4lm)%76UL24YZC$&-?Wg-QHZ#&{F;Mrtbxl5QHwlQqa7d z=@n;kXisM?>P%4l(D>kD$gc3_YnS)liA(noJsUR3DJrM=X2K5#N}rr|Ywm-VQi7lF z-9d3I9Dv4DALK;?Q$R(d?fB=y5+CN}-0*i%gA2?~Tw2#c$LtJnFpDlyLWP(Dry67Q zq(fU8ZjojJGugHkWZ!VL@aLr4UxM|D++p_?{!p{~Yxit87@F;+XqP&1?B=)?;VPH2 ztQMYIFBX_K#g3}>JM-^`P@b_BXst}0=^RD9Lu;)0jLHjrhk6=#Z#o6^);F|9w%Fx4 z{pjrW-D23)ExP^S_f%3_eb=S=)gJN3KGoZn51;R3`Q^YVEwV=4Nzy{tk^V&n`F z=2F^OL03fBJU}yYXtX+_+yWh+-vk`gZlM-`I#dOfQ3H!$@C#}RZ}Av8i^r& z$-O!>4bzgXOCNIx$kW?m4pvsY|D5=-ukY#icn0a`nq5u@_llG|YXwXmhUqV#K#(rj zsT8(N+hhk9-+}0rv;`fT&`uFu#c2BLxq~?qt>y7tvEOiemIak)O*UI}SaGKe0z~ol zj@~Z~BDX$yIGNtTFLZ@xw^thyrxTXr5)?ne?RAkJ4%&q==isG|A3SF3kA5rqG;_f5 z;ZI}v>!vGNdsm{dd#7eB^Vf&>-;?J#L17ysy<$1c9K_);tw=3Db$_7+N3q=0bH_Ou zp-~B&)TBDfe=-vrkp}lCA1`>o4_;(lD9*fwb99?yOa>-UGG7Ug`)ewAyklp$xBn@4 zn9;xI;b#cPT5-^E`ayH$4%;V$I}`c)M*?^Z%okKeAMV$RM>tWs2)0Wq2DqV|mHZX# zsP#RVd_w}PEe>PrNx9i#eO@Ij+te`Yc;MiP_hFZmA}k=q$2p6Rm?W7o|IZ5fqygyB zJI$J7g9mHTN)~PzduMm4lf0={p#NM$akja@4^C-sbxZ#@tX1i);LCXvX%3VxdEG8bHY8~*>hnt3ZJ%qr4}1jjR779qJzTGw?PmEbe~g-` z_@{h~s7oTAutAGM&{kq9fPU>P>+$`mBFfgBKM*N~*wFEuk%DX2^cueL^+{zyH6|!A z@M$k>*l)kKxxP~Ad{|y6ONa1#y<#XGN!~pzP717aNF4nf^Zn{`_JH!~J!av*qkmvi zP@e{7)xzh?lPR%JmOEUvd3d?SX`l|zB1EHsHN@_j)0&rC-|ImgQY;VUxI=dOoVhHd zVKxS)^62j)+MjexL>6Zp%=$)uGf~9o4s%k-b}K(;gN@x_6 zg(0}pQaQnng=bFsZe}cRH9d6EccN@G-lBL-O#q#g-Em~00;JvD9K3g^SNhfx`VaRt z9hA5Np+qF${zZGK&qY26+}V-(EVYqhFfZN)P}j0v&D!0D%W_gqe9Zq=%=!$~Xcng2 zBXQ@c)4%uFHBbuA`BXS*&ZUjdHp!abhlgkQef$z6_L<`v+otl&aab|NszTKKn&8iE zG|gnS>$t!R6SNAR(8%bK=)&KKJ$v%>!20*Sf>);9q|r}bbZyC0R)U=*&tA=^V>$UydJ`@Bh#7=i7_5(9qZg-;(m(=96DLBy!g8TYR&! z_-;qVdH^-~9S4c#p_)d9tG-ThyXE&J*I1-Cp)#pdrt-qK>zk0)%rrlhWn$hraw{An8SX$tT7ya@6cPVBBWHr%@RtsOIwx|k}MbUx#L+4jawX~A?-iYtbaVZ!`F|F;T~yvOtsw- zoMXs2%^n{c=uPUlTa~xfK(A8u>tZjGp5-o;54GJN~Sd7=b4iOWpAUOYdH90h4b#Z zGs3h`(50p5TkZnz`>^8Evz}X0x5BCI(n!M&Z%z`NOb#x1uZVuByDDK+J>le?<%!>? zq7iRF+3&mHr+065(ijgY&5?nh+BLpn%cB&puff0cObb6k+dazSVyzqT`=19c%vM{3 zI8Jad1K!Wy4eP9G?%-kx${f97YFO?Q>|p-jq7-a(w{ zfDndb7})8b`Hllb%dF=M8MqhB{)1>vt&J{{5nd8aiZ}JwYSEFC`i)JOqA-t> zZ-dDIVcdPx)esd&CDMh{GgLS)#{eO-!)+G#DK+SM2kR5Ida&Xt<#}Mc0LvOFXss`wxZaHIfcx^9~0sy zpO%Q}cP)60<0~TA+<`>fM-TBDCf~&9Rz#)yly?q3#S3+^5KgudL$F-KjhcPH43qGNk>y6t-D3?H`8D z8Uex?*RoqvaT>GYB;abpsG64gCs%GfN&!=wh&hi#FYVq}hFa;b_RjA{d2%XOTGFOW z({yWSY4p+6;(d;gK-oRGZ0HAoD6G=wv99=Kl?(yJTuWcFCGY9dBalCN9cr~-7%Vx- zSsI$P|2U(=Gc=Hb%nEkE`>)o0Z=&Y)1!{?W!kI zXLvd0nT>*C>0yqTs~TXcm&{jG6S2p>P;s$+{{SnNSR2=SgZKazMqgT=(h>LJA6=6v`<-j|J)ta2n=7F| zL%c>G{l2x3_u;@QIAw;BQ66T8;*qw-9#FH<2Nw!=B&&P`Dc!xSSO#*UG*Ic)o28O4 z3Vt{na_&|*-sQr$r z&?ROG(>ETn9}UwE`@pET;mg84I{822Iz*gDQQVgOG6YN5(5@b$LLlUsZW(%QQ0&5K ztq1nU&~8dU1}8wz>nd;8L?Zr9?WmX{#k!c26Dlq}D#X?74?rWT>?H$l>;0bS6i4JQ z#5I56HH;Zip~3}S)!*j>zN_p3g##SoS;|k?O^eC?@kIKOT+%?$QwK;JZWcM;-pw1@ zv-1SNKQ~<}ePhBv?JqzG-gZv~c{G>alp5MRxi%D;IqP}|jffr0g8#7O-<^7{MTyY4 z+xd-HcL->Bo~1S(LaRNfFdbplt?$}zAWqmjJ26lUHTb!TGY4)VxXNQOgk7aA$y2{S z`-l(lf<;xvKrx7%>_~2MBNXbQmvgvp^B_w`iI-W9q$vL_7JTLOj5sl`9DaRv=gNU# z!tfe3G{X70w+>bd@oY_-hZ)S3L%vdvUftr1p5QBXA#0-7*wK@bs!6%5F)KUD>P&o6 zRdJo0la8X?fJ%MES3cqXQ{q6eP|RG*isngB{MK7=Qf1==s0vnf>yBhs1gy2o@AgDz zKStma=Q{lH9=N{6L%b~l>~h1%lmgsduGxIjg2z(O`3fZ`oN%t%&wE}YLuTurFr>K z?G5c+O6Vr5xSgQLjJ-`j60~Okr0GPC*AvQ4bb5qZq!g&NB$sa4H|CRmANw=ebPK`D z`)o|vyw@bJc3zzA4N^ZgL#!|`$Rt-Cp=d)rd(LKn*cdl96S%bfeMa^5jf2(SdY)TB z@OgC6)jJuax+Do6^@=fj5EA8u2~CB}xM{BeB5YsaHNc9Ls5_AS?t#f+Zch=7BtT(p z+IWtqZQ$ZvnVm27%F@dmGsU~JN&f{g19B%|VMt1dg zYXs4;M#&Vr@kvegc}B0<@{Lw(A?O~fp*3dty>N3UUAZ~j>7_4X$<^BJ7bvxT|G(P- zh#HuFQTFp8{u5ig&0h6l2nheD%zN*T$Ol!ikM=iurw&zzA+`Pc@<{cfc7OU&o9{g$ zVC+}TL-6K^DwiJoe%ARW8W|!{X=>0ekN<+2;*JZAvZ6NTIiBP;$utbR7dqICT(Rr|D$ob)Sj>G8Jx z{}c__w5m@oW8!<1EUasGKHL;m++(bca^$=@A+8O6nLOn?eG74aF{7p0vvOf(T{(Xy z&|FYVZX3ZJ(L01w2TXM|7bPYX8~f*`s-X=lwU=z$5u%3Qms4;-TM0^1gSNbLVlJqg zsB1kEWHSOrcj*vrN4`U2et19AT?%J5nH;>JF8r3r+Ss+SLUQbYeK0*D5h+N|EqkCJ z^YZXD>tjb@5dX7&y|T1w-wHi>)47>7hsAh>g@ohEHHc5}dSu1!8Ki#=w3AeYBd7d% zr$l!^>&g{CNg&C1tCA+F zL1|~ni?gE_Bv#3{CemAQ%Yv45dGs2wuNoy2kUglu#z2Y>*Y3-ggz?XESK@gUIpQxw zBj24a9~P_+gl=g>c2@QbG`bORHHav7irMG|$bS~K^ePoH2_*$1%Eq-+(0;G6wz;53 z7%zAEKMQUGnBH!>dWyzlr-tz51(&H?Dmp79gzA_FUN*f`3d0uQz8C28+ zgrZ>sjdnt@&KgvwydTFs&>acm_&SE(lbrvo>I@?6?x9zA>BW9P#DrSUIjl=tN&En& z9uMF(uEz6e#uvho87V^p34@dJ<8k6Xf+)3dkG80}0|P;-&Zm#^sijiMT((vdW=1dB zFE#p)mjYxP%c5a_DkbNkj^b(wrje_vpxF>KqvX63m>7o0rmNUn&C7sZ?36Ra3!C}4 zog=tCUDvz~@i0JR9r-;9HZ%2et$Yk-li<^-HyYRev%w9Ks_3Ist@NCApo>7A?a`Q} zp1`Slz3Hv?dW5V(iZ_pC|kDW>o9&pU&W9Gqw z4~X6v&|VPPaAF9rarr;rzA5s>&i~cwH%LA}s|S=pN0qklzRJ%&U6r?A`Ea(EE_G#_xa8uKq&5R{0AT3=q}G52!G+ zewP!K4jSK{f2aAE#=YXxRQkr$Eg@)iWGpjrvIBD^ICo~=@El@tL2Irl0`IolPmH(! zsvW{MG6WO0?yTGf%CWhuX7aUeY`E5)JlNT{gCBTt{s^NWfdJ5SG9Ac-y&u`E;`CXJ zzH@j&Bwm8hx5tlHArt`?Ji}3ZHcC7WUn$cRTid`m-;N`@(fFGXd$i&@f(zDZd)kqgKi?wUD|POB}SZO6z;-0zT=oF+%8FI3P?I!p6KZ& z#*&dp?gZ1r{r|#heEVhk9~!jC2R@lNn}j(VEE7qwApoJX^|?t&?tk%}wq-F+_dnc! z-K8j2pD_LF3egf1tYxO10uke0K?cVh9OZlL?^I7*3yr?@BU(N9Q7zqZ2xn0H%9kTE zxGt4)-(S9ik5zvxsl|*4{3ed>Z`#4h%7pUx#StZ;$O#9mDl>NKjo!5;zK36ISQ~=& zT8ukOIM@(vOb}(<_>SMq**hyI`a&O^$fNwaV`j_R(`ak1?r+?ka<3Zv=H*kHwA*J) zpTNJs^i5tq<>Tkh3m9^@3~ZUA1hFNdA~`u z`=v8Mf?L?%FUe`NaoawBYKV2ICHdd@2vDB{7UUG&$ znT=eF2&dd?|9j&8w~&ddBhYc#jqdn`lj;RY+XUG@bEA3P6UwNvAOEE8Bf>UITL?|_ zj=l!k%W^rCfiRz~+IJDMv~MWBs(CrQ(d8KWMs-#?@66sf{?A3!BnVVQn_T^4yg&C;7jzvVe#L>Cq~0k`NZIBmz^9*13b)5| z>NI7ig7FW*%=n(Q5|I>-`M)>Y|G8k(Q>U)UiQ2b#>9WzIh>s_vuOk0Ed?Cdr8yP2F zGdBUtGw((*dvAEGSmmF~ss!sX-SPk4W)FZ-6*F5A9F{@>n*HWHPVm4wa_Q`FFdzFw zB#Kqg>b~Z$p&3CQ>vQo3t4ViD<3I6H*k8Rx-V=F#2!EEAR7aMajDwm)9Bu?gswc!* z!jCs@I-<%(_z31%<*a@UM~2CDF{k(#yl2PR0^O57`X4hWNWg?sbhR&;*8dhgjLe-0 zm?35eWnBSA<+lH5;FH2P6E>bhXwv1n6?>`>!p<5LhSjgX6EgqLQ~mlLC1L1u+)@lP zE*FftE+nhX#j>ZGUL58l+RhWev^J$r;5Didf5>km%zI@?)hA2i-1)v$-}tq{OyJRK z$3GNFx)+!&&)Qa;{BOL?Utkt3fhXgdhf@;$L0h3O>~5n9c{q4xCt>fthf|jwxTn4N z-lr?B?d=yj7$vJ0emKkc7ee9=@h7n2a+J|}Rl}?GJHW-i1*YZ{F3zV7ggtKGyk2w6 z@$@gKTbRbJW?-R=8@ltoz9q8iHooJC?7_C^T11{fa4)t7VQaFZ_+eE3)*Nwy0@Zgn zpTrdiC(AF)Z>mR*k@Hz^EJ6Z(nQ#*{BmXbb#{acfz~2uPVNMK#CBBv#{Cl*e^6~}) z6|~;t|7`W|T+7WwoF<{Qyq^=t#=*-iV(;Rjz8w=F9(_Xj5uhT?i}Z;HKdZX!ScAAw zsuIZGX8I)tiXEbX?B=OtOhUnqlq7iY~w+#8?q+Tm({u!~RufJo3+u~i+mYgFv|J-Uq{8C*^um?OBb~bn8M@c@3 zcqjPjO6cRSDg`-LE0Zci3kS5eUpy=~@knN8N$?iU`qiL6@DU$H)Y1$(yfXq?a`BRj zo0}24U9!mR`nkHciR144M#6ze4LVY6xoF%zl-Tcz4EOsePJl!W8}SiZL{eLu7-c~? z#;IF_FW@X%|BoTcY>2>%FjZ{%CFXI}$FpOd58xzJ+q}n=oE;_XD`QFdf*8NVXab2j zJ1O^xXxv*aKNvUgJD;yaW5e+7`aLz?eV_LGQO+^KTsy7Fkw=4#^)l&~i=+0u)( zj3u>mV*l<#a5cU-5IMeg7Q2(eJbFm~WGd!yMLZ`JcyGlTAGh;S@0{Q_hFm1v1UY!_ zT5#@|N(VyBeEXP(CHU z5YP^Vb8hs@d1F)~71l5fXE~OY#vhgWh=>v>9IP~1a#(iH+Z3N@Q{}a3D;=4pisU;W zE_bSQNXC}_7itjOCP&UmFudS1oXV4#R;GM1r6(Bwa(wb4NFhLKS>n?|qfmxn16)1K zwTh(h(33BM5WHT%>r=i)ezol@xCY#uB0n25%90L!rn?$L0#hT^TI79;vDFoH=tD?< zkZ`^6O-e4;VT05{Mg5a0J|%=RqHdKcA6^5^qHLWEgpsvc_3yIm54w6na4nhb5`26R ziyTx*fSvpd{gNC#NCbg_wXzMy+REaDpaWlc*hxlIY2jqwQ0RSlmPG2U*L;U;@%Yl39 z5A^-?rP&*A^Uo|+Md0oKSdH_=zI+~4nRY8aShOG*vlX{2#BFk0*s0)jdPbIxgsAcA z&j9d5WJE3EtdE2x<}6cugmPfPY%R#xftJh{YZ3qVC+~F&ewrJQgR!Y%a$-g-2mqo` zriP|)_dooQ765O#K+qvKCSeX3USwq~!XyEX1v)*IkI+!zb`V(G8GNq_r&g{K=BM7- zwj43lzrpAjpVXC$tu;Y6$Rq6i%6=@nlA??W66@`H?bXNyfP5*b=^1o|GB%Q2RITl6r(%ym7w0%(`2cnIH@>_7F|;N+0~ zDa?x@RgPFK^ZZ>fhkcviDNg0ZQ7dP@Bl1Xa`{+XB%RbGBwka$^hR`22^8xRa3k!Q+ zzl-lNqAK+}V%yY>yeyNqc!imy3zReL^By&hacop}Q+g5Ko0Wu*)G{BJ;-v}b{Q_O7 z7~wSNvDbxLCiy4AN?Ts@ymo~<0XbnwT$Byr%F7Z{jWe4!r^sI|03DcSdh@bE* zxKKnMtlWuab(xPS5J4-B1H-KER6D-oYdl8=80y@rhyP)N8TBS9Dx*Yr)VT5|{jvEc z%GEQj6Tm3m-}A$UFU6z`B5Bk5wb%e0WnVS?k3U>6ySS^mv_pC<3VTmy?<{h$YpHcT*}Ij+9~H*(fBf?~rM@K2OaIRKomx1*ic5N2eQ3J}E7b*(?MLi2zj z`=T#f__9^XZ36!{9*HztM?Ul$p@CKy1y+n5A)pvt5vV%5)QYkgUS&}gN$NxOoZL(F zlz&TWU3m2*b+aAeG6ae_s$^Xgbg%YRSAgjvNb%9xcpe;$W3~dKSYrp*o0uJ%yp$gk$+u`W0m~vS9m`@@s~AD?WAwnvl}X zc)XxEXEqe1h_cjJz2d4!lG-v2h_i_P=fVQW^k9X7MyZM?0k6NaTmzi2 zqIKjG6Ao(}MfJwB&iMSU_Jx6Kf{48vxT-}WOT>%gIS#Wiy^X)z;+I`Ujf^=>>20@o zG5lbAprQ+>E$R^>^I$VYCgX5@0xdMQg_pBEpd|2O8%mCGwqt9RLC5_udcs-Uc&_ft$sgNn#1{t&^_`!fv9Rq!wI34i`c+ zRa6yB9tZC4=g`zTK2h4y@|~&NX52J8Ld9LJ6l3ewM)`5a`*hGh7)vowAq(Ed^3`0M zK?op=+)}x1YIDDwWU(+fSosrS+j+hL`JqvpJ7p{V1_?~?P@DBw-+L+&9GO5wi__{s zKAUnW@ z=!Oc@A%``Yo8pO&0i5dpW3@Jw(I7pu#kwu^>WhvisuZU(YV)1`ZjHsiHHEc0VOQ@! zuOqd!2Rvxi*GYfKTxKF3Z&{yOKCfSS!BJV zRQmZUqw#Q)$`s`Spf<)8?pdAC)x|8#i|TJs6uzU++tz+B%DN+tlyvF5>hk`VRk_KsC)cSDu}-+l{P<6S8@p(*ED-OtFUukCe|R^&O?E~Jib&xo_DM0nY3sLE3j>} zayjYTz2jU?gv5un7t)!Aj|VRYJ@ZU@#Y}ywUMwAe{@9ZB=giTG*a+EhI@?79+t`dL zXP!G*L7Lw>-<-_G{{IP+c-qhD~j)MChoDnb`w+kOH7~j(E zZXy@E!{v<5eeI3f4JE_AAH&g%1X{`M#I&ZeeM6O$6}0l5Ebe<>N|kL z#}1cBhg8)+N{jc_NM|h}3(WQM)#a2jnpLSu4yvcqId%q`@3?ti8*?|D<4)R6&{GIo z*}tC>?$y{okw;G)+^{?#etA@~IvyH5tCeKE+fYWfqSpxTjWhM~2viMyVUzgbZxTK- zMDj5^fVwlB_`a*K>RtrG`ys@Ra1uQlvhi$z?JfP5{Ka?Qq7&8fJIy72Z-Pm;HuU_K z)TCH_?UO`YcPuYlA_b)d$iyT)9EI$mg}s58FR*WAWzqX{NlG~z3G%m*6W7Cug^=N~ zYD_bHdwby=vOc`@r*y+Pq*Dac6fif;eE#!$ZsMtw)UWKv4a+;ePMWM}m|SySIXE_* zdp7WU`)$Sn|GUxYjJQ61@j!(OXVW^be3@|au>7AONMw%SD{+ES2W{bNI>1dk@DZRd zJNNsBK?TIdbiTPAeK$J!AmZoa+)Jhc*|82^+})q!tlAu#9F4bNRJE{-Y*gdmv}mV| zN67gtJvnt9q_!Sbkr|7OyY~Mv^_5{!yh7*4kz)JKMXIR!2GCe&9nT z{Uw$#A=Hvh#!6OI?Nzu$dW2WHnC#1X=~s!}A?*&O9p2;&^V*r-{mb^eq6vp?@?V}) z_3$UU^{pz+y+($-aaY7EaAFw$c>4rC+2O+c^2!Lg{`yNvSW%W&#!`9NE>{f;wcZ99 zDl$5Z_lw7LP>oVX;&k4{6{o<_n{rUKurr zD;v*Rv`2@%@_$AWr9hz^q@P>YOz=P_{HXIKl5>$2pI~IjFR1mc-5mXHOORhg|G4Y z;?uPrX$T_GuWJ7;E+;EIS98SgtgtowiwsIl7$RupE!xjsb+mkv?`6p{l`y8Co%)xl zF0ICLJimb)1}rm!rv%vDkLnvstuaOkPDAs8UH#@Hht^I}!Nl*jZOb=D%|&CR{K}-( zF8a3KNnOFxwwO<9!8-Px&S(6pUpOS3;;78o|7GH906|9tP-j9TdPe+Wo6D;=p5m#V zrao2truh51+z@)*EP!@>kQiahk4dWBA-_C)j|CO#rRhtrMaCb;Wu2`WO|iFpM_^|B z!Q8zL6T1{fTt%NyU3-yX!;?|(JjJbu&13QY+de#r3t^c-9$%lU=3ImFhnMGT{(aV+ z^F67-;Me=NJIau*_Tz>-!UwO6?4UnP72fB(9H}0z`80n(gwx#>S1~Z`7A_J!k#6Tc z^XSOx9acqQ^2V8iOH{u4Za=V3WR&Z29eC9D!sSs*va_e+mu=epX7G1z+94#97UWTdO= zuMgAaXT}2M9P7WVT6EX)vqR1Uq&ceHru}k^S$&V)hG#zuVHW9--$V{-mz_(Q1SEYe zk4Ggt*4o)M7~)N+72(Gh1mvh$nC9pXob|NPwC@0NA*qBve;U~Ei}&8&s^DPzmr&Y8 z!NIj>ud<8DpIA1&)a|~VJ;{gLD(sn9u0mIHLV z61R4pp)@P|oQJyZ}=@LW>r|3Xp1lypo}GsNxF(N?m?s?6xP=Av!B08a-fwd z$<;cPnd1#E;@sD{gV?9!A|AOb$osVMRkZ00M}|Q_sO`tojzjfhdRMDJ@4?mG!NPgB z5b7wM#XA{)dBr+7{XVMy8l7Hz^!vf`Z*1(U_n2vfmdPQHw$UIc6=bd@;W7uis+p06B zxkTxSefXEFoWhfWCp6(tEX3Z~-iA$l0X6W0D|4t9P*bezD%scq8` z1#`sNro+b|>az}l=Dy~3W~6T$uOvHWDr#qZibValPnrg+zw#)q0?B;TGx{r2RR9^Z zV*H1!rt_2|pqR*sX?*3AZ<|5uPiFL2k42M~-*=O{ivoBLJa1?gW;Jk|vcx5b?|-fJ z5&3|Gv~JUeD&7*xmKngk{vKb#gBXSuMKu=sl%q~ex|ZT8boK4ol`2AQoH_aktt24O ze8fvG(HS1HTxfPHtfRvyxZz;)Z2#i!-3wG*PXtGxJ-PRX&0fd}JctP zcE-NOdQxm4W#=Jfuc`9uBD|*F)?sS9$yU*^m9_N0cjt&bITJPhzb>DoYs7_U{~oP- z*U*J>Xz6XdDuZ+JL?Bv zM&a)K7wuD7|1T}kmJG=?Pz6`pGqED}s4a)=#Y}%{W7}Ms7}6>#zMWGdE_OoQ8>!T6 z$m>sC;K7P3Tc;#49!3Q7O@4l+PPHC zj&M)i*Q}v6<)6hf{}wY?{N|!r$0DcEX-rhvvZrI&hvtAwemcX+b2QwbYdtp~z5`u@c8 zhe1ckP12>~8VgsyJkc&(hDhjD1v5Vq`v^4eg14WHLKH~Z5_I`5@sq1G&%`Vm@4^?| zQ?9&|M!9dCg-#ATLhWbAZ5xdQDB*{3@5bCkv(uEdY5Ek#+3MIxpP0QPVS990&ScwA z$3=h<7={wLaS>_&Dw4hhv1;h86~6tH=uob(vyfHfrP0@{bywM2k9o1z3OtNzF|^oZ zd{362#dLi|^}=%6h^D+{2k&!-r?~cK5c=2u`g$`DFxq~$5i%{9EY?wPLJr|KZ^Nyn zJ&3>RKUktN!NwEdZcRjP9P>(;Z?243rQ(Xwjl7qE>qJ2Y+yjo+k4#X8B?W{&6l_*` zE-~`@!I|=pv3uh+p;b@D_dneN(*wlB&;H@M!APPpZC`JGUfv;rVuL@%*n=Kp>O8n# zE>r5IphM2G7j>j9?-n193hKzcB60{mZ~v|xhSpxQ zJ}sa0J12h`-B%NAH@-y1;?0Ju`D)ylPw3i%UemcOA=?6S+=eiiYbet**w<)Z=wyj(|MttVY0JCDiD%r=3>7Gb27Nv!YJnn$VBlbcBhOwZgYQOD3^tzaQ1-^mFbx z+nP$TaUEb7+Hj7NXQ3gA7HEc=Q1<)f%*3s<0F z2e|!y*+oDRj?+mUOJ7-2o37clzg`m5>_I*Z>vwM89GdW`4@(A}{Vtb_vq&}-!PnftVYaad2i~H=&70)RXiBPu7KIJSgx498@h5* z2FChqdJGEMnDH~@l*jWWZxi(FFR*jV%#3)1u^ZQ{dY53w+3ZbX(Pku7)UJd{a6zD1 zi1Z%=7>l*T7c14dTdxQZJsrDV5AxK}WOS5`;1HI>9vEZvM9GJvlSaPBH}&nCkt&|s z5jY9XCV?oKXuMEYy$3rDjN?8}P?iZGdvM0SBrubLaAc`o1g23babx&y&G#_}!>V?$ zkQ8m6IwqR~dsW)$DT>g_5gFoj8Sp_~R?hnCMMIdit|-eF^c4r>IJeH4RfC<dbm+2`nvg_9+7azK12hW?78V1gTNt{8S<7DnkfgwCe8Jfq7d#i|AkG5$x>?qBA z747g6=$IQD9RYFn3U8UtocGVFhrtj3cO^7UzItKh0C3N+lKAzGb$|cq(jjyBqbbj_ z)f?MVm8LloI=lno6Rj3vBkM1O3HMgXJ@6iv$#dLDhq7w9T44I?9m6RBF~i|RJ3ku> zsMQ80QcDq@-?Ihpk4LO@cdZCRv!ets4G(Qte&2CppV@&CfJpQ2v?u|;3(5|xhC}V z?f9i2BJyE=7+ma9g6fO^MGZkfhm%>-Tpu$O8$o!MB^1_NJFw0bJ7-+z@p8Tn7CrS9 z>)7?ZA*VS`a~ymA0351P0`b?Mnz1$xWkcjRf(&E8bCOQG?x((ThfRt zEhQ2`Ez5E=2v0(c+&BWlZ&Cp1M!(v<4VG_&p;L}lew0s)AG}@ljt)>y;Zp??yQ?3o zZ;y-Uf@qV(9~2x?|6y576GeqjsOEaBaD^MbI(Z>BsW){1=A_JT#z}%`$#m6U!xKly zcy)Nd$piCYo=>rm*YLD$P8ncx8GTsu1cIPBZd8LNFc)p%H*F?FnJx!)(GI*71Arah z*aKZMa3$fO#o2O*5xn|KK9u|{eFV{dzb5o}_ELtSK2*Bv^1>lKqwG^N7UKP6N9x5- zIl6@3;|&nSLyma>&qp2`UXj|uj6H8QRKU*?iKBWS%sG8LN`v$dez&6=zq1w51y2r8 zC;=aaFP*qjZl%YWUs;MLw4oF8ZGX540N@kHNTZRB)b+@`GUVSf24V&JvLloGEgrVT zUkKd6IiaA>T&M>ty_skl)V4JW`ltEeB5w6isQK3B_~Zfb<1+6b%vPg&CAsfBszo{89avZ-B^ppJYJb1%YR0+g{ z@@ksfL5g90qIPNnBMLAJN-e<68G+9xv%_#kNZftMkcJ1Bc7wYXcSbM1${?Br`TobKTj`enKi>{cxmaMp3J`0sDcHZdr zpVIPr3YIK8!fu|bbzh%U`^T`>2$4_w+Q)*S9D%_#w;k#c!$6PF@S$%9&p^h5LiWY4l3p z_e><&V`i&klj2*)zE`QH{`EQvb8F2wiFF4Iw7!b)Ns2G!8QL>|lfsRPkCQ2`d9dW< znRJhQ{c_MYxnk}k5FLY8CgIq!`OWVz9kW5OMn zhRf@kGa)WidbeO3PVdLqfI#|cj@~cS>EoK=Vhc?VFb@_Ek#&~DW93ICk+PUF z?j~Anq1(XJcExK^jxI$ug-(y*hyKM^T*mae}YTTP<6X`SgKf z57tWymy!u_L_ytS{tR3V`YeFD>UL)Gwv6B;XXa0=dCkW`6DR>1#n~P4@jD}OZMY+o z2eJHj=y=sz#;J@~k7YvZ-@x{sHdTI{Ce~fNL9SR>S&ni%w}Aa1utkGza14Cugi`sa zZ(|As!>{!X2p9C3e!Cr7xbQ$4r4a9hU|BwHOdc0hK=o|<#V}5-s&jy!c4pAc*!*p`tp63iq4e{G z6PfgrZ>GYX2U{d!TK^EDV89c!du#Nkc2x{Yh6+adT%J;(?m&31o5J6VUY)QNL5$V? zu10>3I-?_Ej*_^n9VcJ;#|MdF|DZM+_l!`+CNY53Xq6{tciv(5qu!PQ8CT`{K8|T| z`08q=Et+-QCWE_iEEXMSu35;uKNZTq+QbrMjg>}C9|(`R>H>MZj}`bJSENypVARW| zyh18K?Fm?B+kAD{(|Z2^x1e!%d;T6NEJ|cODl(517TF3(e!Gho>ZrNZ_?Yt^Va=GC z5AiR3d_ozt&2I?%X+f+76sn=O<^Ir(^|fw2Nr-;_qLxK=lFfs%cXvIf_gtz)?=BtK zPskB-2Go1C&On;_wz-Eq^(B7gj@T`KP!Sx>l%p%a%wczGZo0wRiBi(*NF*=zl&73~ zO+bvK(j*lY96v!Xu6oJ^w?X$l21d$BHwk=(&vhR*_3A?PiGSGyf)cHGO1UUnAS}Eo zU725wB3fcbACkV`QN4W1>g!kQI;H%VW(|}{iPy(W!dwLiuXC0p*)kcPwxH21xQh^d z)TIO+K)jS^h(*WR80l4z3PReTlI)C;ez5qI4}J%?T|O;)m#;&pcnMMAZSx|D785Sv zFIzF37annF0Hruim=K~t#as&5<}M1uM+?FY4)h(Hz84CFe>{U$odIL9a=v~LrW$fwBb5Cg84dXW@u0skLk2x%XnkU%wh3^ zeClTP1A>7V74r&_T#J8EF#`xnwrT#%ermXd2P~h(nP;Vs0C5LB6jROdFgoh2Uc9$a z3_(ZVbN8s88P+pnDK1Vyyht}bzS}(v7y)?UZU*4X);U1P;H zz?mhVa+C`>YPhQ&>gWchMHd|g6lU!$kJmQuFI~QSm3WBfn}kq8#FQMxQ1Sh00*U$l zC1N|+rzNsSG^cc6`+>{toUhB?oseN%a6pH-u!dPl zGtT0$h4S0_zu)soew^+4U(Gy33AJfuKTw|i3fRvCDB(MkQ{DI&+ApjUBNKOp+*GDT zADpEu<5)fMy7l7Zgu#C?)>Q?sRSwMu{wKrW$45)4`|oUERhoQW_~B|N!V0Q!%(x8+?0jq+hQ6`(`$=I^ zLU3$5ZZrsupl>h{nY$Up=6SxzEf!!?lI+ z6%J=RsDIi5b}1e%KHo2Dg-TT%MXl`|9uFt0iaYMtGx^rlW_G@yBB`Ud6}{$z(Te&f zCfVb8-iG5Gl4?3D!v-&-G>k`b_2V&uB=Xtyn4zN(a~RbNvP=Ow#=Ra&Dgr z2M6QkGF(pZO>j6kQ z5?nN>z;OkX-`^@3X%3Ck;d@*tYTkpG$YwUWD#@)kKd9aM()d7Ae?#37JG8-S4-lrF z#J5~1PH&?Wgdnw{5F(lcbkeX({*GU{UKp~`<+5vUY!yU1IBu>UH{Qc|Xq|rh;%_kZ z`a?4d*_w@cxv`$RErk=PZ8)}`l7wS_P8tNw>V(hU+#X$#)=>oz(o>nqqQa5izdek< zzBYmgv(uw4&an%&?eMR-9|rwyJJ-om^?x6f!9i7iy+}KaP+B(J38Fiu%!1YhJlcU( z-SZ+FzY2CT!I19NMO%x}r#D5jx~WfDtOB+?pb4nO@SVOgaUx~KsT-i0yLx&mk$=3ZncoOg^2Ypjut{r*;`2dgaq8$I%qkYs$K`I5l@+tp>0n1 zm&9lfY|7kb}$y3W&{j8x$pdysX}ymJ^DP9s6!kjmQ-duAU~dMg;R4B`PLX3gA@ zI<35EgPajnWOc)Qvax`+GhlcprCkjB13BWh^w@DGrS%q#Pl9~oi#hM5?VSG6s9nO7 z0q?2}!B^{&FV@mH58EP5;n-=HDwF9sq&ga}D3dAfh$=PgEUo*94(u&wJ<6REe%&wY zH3{V6^1i-9*@3UdguJ3IVCxK>NKi^62%8-xtf131~%b7CXVNZ*J~ zXa`&{06=TSLnymwh825>!XrJ?zz8(-o-52Cm-L`k`gW6MSP4S;Tf!>9r;7HO{t=Qg zz?UK5!o7y^X6C?W3d{nY;l9*KIpbr8)gjiggXbUM0bGqkLb{dxrsn=W#c~q%lO)M$ z+(4cC|C&hlpnIeZ3_}Vpn%RdDid*FUIK1DvSHx3DwAA7-=R+~+-F)OkjT(Ep#Hm;C z1VxY>4*>8INpA&?6B&b5;y&8MQCAw`3#PdiU}sztMiyWzws)=67afg2<=%r&ADP*- zcVSU*_JlV}Eh6-zki@qYNu7uCONXAYPsxCJDEzEB7NR~KG5@pD=nJrzngp%6(&@oR zdljaN7l5i3)v;5nwv?>%%x0;DKA`*VXKtEHvp}3u3Lzlv_hG~mbAp$eqW2`nQ)>Gi zPXu*X0LSVq;5k!%?R%>N)<-)jl?-y&NtySSK98&^(K!ga)eQRl(H*={#unU% zf&T?1^10m0L<1V0GF)a&a8;adWJ2BBHSxE)QE39^!LQGD8YT$z;onmeIh&NVcke7Y z?~4Wvg-fF>ALaTJB8nK9Zusux(pY`TUfC?n<1e=v1rJJb0d7q7ld5#vfxSjLQNYWd~wIw%FtRamPJ1+Vy zN~d(kjhCSC6l$%!`-Rvic5sGcEI0h3J-;Eg2w0EhC=GGbq@zdNgXQwZnEol6=B&tc zX&2+$IgP2l&T>|{B(dSYgL7hVsGnra4oO@(_3pn zV*!I{rbiow$$dE)y|Pfu8FhvwW2P}yjkAuU{AWWeNgLcKqPDTONB8C~)fg`5W$G&b~0Y{vyFEVH&v-V_W69 zGa$3|beUBntrK^3|Lt5L^Z)Y#xJe*YT0aH&HMRE8@<2F5CVK=bXa`Ijw())0m4VL6 z0IrG5OMj|Dxpms4wO^l{bmDa2(C)}u6%~>$Vq3&32;+UHKnhE-vhM7^o`GGrf^GI$ z_F*{f&f<8F1lV6JVd6dZSB@|yFML>TU`oHqnVj7%Dt#qT-v2AWc(TS08NQ|V0{<&m zHeg30u3w$B5-f|F$2#F}FV4Aw2az$qR(@$s4vi3olK{FXPQDPdN5CTfgl3mneeDGL z`k@)0YWvbe$*%(LjJ|H_GS|*+c?_rLw@8j|g} zzqIPjuUGWz`X^u8)E8R(d5NvRGhJWti+6_}C9PsaC>mLEV_v5t+&!ynyjIhE(;>SM z#x>dc^0pVU3qi|n&x?9Yy158 zd4TDS)6S972K(WA43!5~CZ$xrM}BBc+|p~1T&NHplTD9Rve!O8a|wDGWUDm!goSVR zw_1fEu9eM6WgChYj|`xkBGi864|HS+H`@=)b3E4!EPzhdYo$6O_-6tN)`qjOuRLQ} z@b2k^hNI1uhm|%As0YRTWM!jNmNebt$VGqU`@WiTE{b(J@!ipW(Xe6hIGOZYbrYFd z1GRdz>(rzMM%

sDtBiOkyQk)Cqa-VMx}pXG<8f!nENGR!7$@wcz`8jgeSc73g_I ziri#g7QWu-Vkl156rs2_vKp#0uW%V5n_YM|{2%V3Fh6IfG~u94_iv_uwVY=e#68e4 zhW;uKR6qWjRrR2m^iX`ztH1Y5_eft#oH7PWU-A8OpyTwfFjbOAUG464kC=7XX66#_ z05bivqS<3CM)}IjNZ4+Pbuv2W`4KjZCbYQVV=CH>1Wd}3{uQ| zk0ebZZzAsC$vL943M(HG724#vF2tk5W=c}wz<=zNHa$t7+GdfLdq>paW64>l zJ&wU)n5(J3Wo%u<(}iLhGd4|YBqThUWO!tF=U1Kjj;o)hD>UicP%lHVQ}e68j}PvI zdYDyFQYyL-(LYL)yHu^(ry%;|ibnV;IrmN?){oH=x z1~KAEHZe|9o8G$!J!k)O!5P=zM@ySalc(!$CS^JNC%6DMlbC6}zjNFlN;$?Z@OFy# zeOdU3ykuyv!IY{%Y(VD8`E(yd_FX4V_|mEyp*y7rDw7dkVkY7)f*{1_=HSBxm8?!f*hehDV$HXGOeXoN(ypl*Lh&Ez$2E z9_l>4#s|O9dpUILjCH7Z+r_}ZFZ|(xL1-vu`;gS@M#9T1FX(V+UGzoto0a$g1vaa% zu(5|v_~RS|QzAy3&efJ-AI}Ys8HrNsEfm^96%_KgrJ{Pnm2rK$?s%d{5_4S#Tcp#q zgehT=<;vbZhdpV4!s)uwpQIzoH{=>%b&K=os-@_g_1CuRnXH?Q_MW+quPp91>F`kx z{Tkq5j5tV4pYN{Xzodlg zMkDF0Mjx}DDro78HIOc|?HGDON;k1q|I>d)Dsiw&D<=WtqL4k3Em0&+TssrQ&M`V*+LWW}bz$USt1XkXpFySeFYo+M z)!KCXlR{nVl1oD4dHX^bv=B|PeJay(3tO^)yx_3&f$9Ji#op0DN9&qCcSjKvx!j>| zC26^LPASCgOmR{6P)D4vIPVo~`THlIeY!)p@P+5CHZuMt{{3#R_%q+JMn;L`g%36K zGBvGj`$OU!@t@6$5v@vc&UH*N6v_=UcR)q{wpo+d^P0F_`{rvBqf^8Geu05HU!8<= zB*%KS_y{z>rrT|@Iui^ns2Kd-p{ z4^%%P;o5PCqWeDf1pWBrq}Kr$u1TH2S@J_hBr|aEmo?{WtQlU`?>9QiYrFKxDQ^u9 z6FXro8m6`u#M0RgqgtvxH@4vw&P+|dGWKl+X=I(;e{4n98uL;|H+oiYvoFuaoCcIf z4j?vWB1Sg-ZM^Gxj^}*n9tJTV8guIZLo7&x>h|#oNx1j}%5BVzHXLmFs=d{U<5Xfy|z|l{CuOC z!{k@Iw^BXw8rjVqoqPvm^qV%6M85=+{&DgPN8f~muX=xT&bmp+wAA!KvJmo(Zbya` zp5x0(5&edmVd2m`6#+Ms4vl}O{sX(U9hJLAzVBOQjN(^CA7#f3V#}{ zf}c5f+C_UC?dx8H-1-$i8R0*3=3w-WttJ$*c*cCgExOWLC*Ak`3BWRgYa*JsXYo95 z+7oloYbvD#kD9q;z(uKo=A&Kk&lXkpRx*a06yb=VUf5aH$4+O8DXghPRv|Mgg*hsH zY~xPs-V^+GctZN9}RLUHc^CQ!AI{VQTDH-R z)4!kGU(4uA+XN}TjG+_vOeTrOEFV<9wsB&2L8SD3-Dc$WzmdwPKmh0D%>b%)MA?rx zqssK--eN9?$Q%OjHgnStTd0`raP$}z{Y{YXzPe*Ldj8TBV&-pU{+a8k(h4w_s^r=n z9+zyYaz%N(>-Z-<3*ng4wSg%GVE3wzddJlN8wZT!P!7#o7Zxt3J9Ol16kUCbv3`F) zJ+Xgj!-t%o5>v(A$)*lMq!o7JzN0nA+WIfCZOfju)Dr55bgpk|LXO8#=FXh{3S`Iy zu{6Ni$s2GRv?1Q^h97M^OL%wawgF;a|BP&ZKO-svURn8f)!bF3d&@zvH$(8p$kb@zmUvOjcYhL4f00KZXp}Y0H!8p zf|uUoUl9B=WJ~x28p&A>ftDJ-@(p?O$oi6EKBV#fT#1+^g(`9KN2gjAQ~Zi}9jd>A z#xNKC*=6%fB4?TF5)!SM_j)0r)us`C>z(d(!rh>0?-cYo0-q1eTc|yx8}iYNulA^Z z_UlNzOtVcni|j zfx?qwZNisk;U$k&0x~DRHY;z5claR5UpZMeFb5y52GD010sVU7FJ9oPG^ajQt5ytt z2#pUjFqRbbRya}KLb9GQ#zL^bXh+n$HJ`FXKb@^6(e#HNif<4@#s0j>vjmF#aFq6z z?4l-agC*>3uC%Fu-%QVK^p7xf&`Gcb;c$9znr)}RLsQpVFcPDh{FxttJ>`5?xi|UJ zM#q_BoEU|ilDzMh1=RR&sAd?N{l8v2@5*EC1>~4PX)x;APPUnr_hl!5Q=5K}$4*2k ztkmR^22>mYO+H{6AqKzFBl6mC7Vn8vaMa4wGz-)83~VL59$&fe)KO( z`~yCvfD_qRDQM&o){?TMfgkZryOa-&r^M11EnHl0zJ?n#rpr+eDN;7*ToMaIo4aT= zerarnW=K>QRpSy(kGXRD!cP9pLhl8AR%tM450IJcRgd=F^$~lSrdf?MEi!$kCK@6> zT$Z_PFGJMzmA+TAIdIz$*=JT(BG$oK*Qdw>`@8YJU8Nxd{G# z-DW_xe0tT{^&LuYgp`fP?XqfYW|SZQM8AB=*+49QtV8R6THI#hr!ga6X#`E}}e1jHej?RB)3(qs36c zBf{w$yA6c2)Gn1PPG}wCPzvC)g+O=d*golN6Wz0%?eQ4BO)N%}(&G`b>dle*SvdEQ z=LYSuiFQ+(E@9(sGYk3SuUO7E(4qjrcj{Nj9I4$m_T=n7yPb4r4%qnPOYCi=eJK{i zR(=2*>h)|1XQPROf!hYb(x?c)caJ{2;si#Ex!T{#mkk(0!}dEOMxFfb+~P=DvN#Di zViJjrwF|zeh1 zZDOZ8Q;rVZEe0oB<&IlV49OtjPG}fD}N+}j6gcz9i)o?4Z{5P~rXE={E8w-v$3GdfKHHVr z^Jz+PvR))1+l$8Q*FpSpB9i_iDFpF_AUPJ_;m?G9JkyT_=LMlxJ}f-JW?BWkt%tpi z3SQl#-j)hFdVQtlYnj8#!|9~>a*X+(RWD}&I4P1SYU0iR&KxtK3FZPjB0O;NBQk4T z8`(BPaKdedrUJ)5NpfW=+=d<9_}X_R=C=1L&sZ=q$TFKtrhAcPGJ9VKv$v^9z-XvG z!=3=aYjLzAEKzs8ESFMvaqT2QM*-Wi>5BV9^ioGYWuUeUw3AJLdo4o|+K-~6!VAGf zK6gTe{Zk1$@VDcGs^X9I zE}cq~qDEwEG~-BZtx1M56b)&VA-PWsR@2%qpUczKn~C2Pyc#Pp=yt|Nl2L zM4|<3sa8EAXq^vazqAKI&J^&nl!^5HBo?CKKi%W*zAj844@2`Av(l?dxnAk}okZf* z$c;J??L_80s1K_ku+;n&=3eFcIOJ7}Q+r%pMVNr+58W!qJRvr}dQH8k@SYSlZR8K_0))&p=|ssm%RwP(V2~kC~#6FlC5yPBR4-(0?rGCh9a-)K^lcyWSq^*9&Z9C8WY+Sn~1%rWH{W8pli871^JLhf;NMYn*kOG$iv^`UCLHioXK{Wn=sg&=y!B}Ii zkCm0-1|bjuL%P4m`({XuJ?2b^(mU1@PqL#htoggf12;XUuGEx*KEAosY1I>_L{Al!?6 zmboTN+V-Xm*Y`3+LTK~gV%m#^pP4Hj@Ic$6|yic1jA-@jqt|qq$8?LxoAOhZuv-!<YOyzM41oVWVR{*V|(o7SOSF9-@VG{^%MNL(%5Kyul1umbY$|Gw?qpI4I}Dv=lxtY z>OC7Rh{5?nO<$U15_O`v^WeZsH7V~i?VKMAG$M10tMmK@5x4`V$l%X z_R0@KLEs&ve6;VCD(n;fhPss+SDr3qd82fh{G6r}gFPW+GXC?n~5egI3Oo zn*P7#iKvXu)544<;IRIhzYk&1__x)%NOMZ!!&%@3sqG&BGw|K}!sGMa(8UNS-)_H5 z_~#n2Z@Eztm9Auv#_Jb|;j)W|tyAoKhLt9Q2JTE0P2RbcBjDeYHk>scm9*|miF_K{ z;C2yw3@15veeL9Z`crT)(1pK$FU}mc_Sx!<7%i$#<22OzA6Y+L9&?(&pTq3o@kZ(s3q7Mv0mE96pM}4E>E$%nTcgc*o#WsnfyH` zvV(L`!H;g!9%DJ-n43hB{6-hh%vDK3oVddAJOG4_Bh&1%YEWqt-SHNDYT+tEq&ZeS z=^Z2YKArje1w7&OFc#fuRK39y5}lHCm_;@dh7Y+yMKpco9rASk>kO91OIiz512Rn> zBech9u|*fI9xQ}48D_*Jg&Mp3h}L)v(o4X{s8vzk%dIYnBA>tmSlzwd4xvzm4) zZS4JZwN6Xk!v2hVXjrFMQ&hS5L`JX@_q*G0k;UC^1ZVxIDAF7K)ITSUsdN+y7wwN} zD1;Pr4KolDYb2N+1C7w+SY@5b>_glH?e-~V#;G57J$;3GbgMDBk_mo**0F6@3xiBC zN{;Ime!_My`{k_){2$0i!rtVHx{isD#m+)3Q{2{TCkw8u`@B~431E=0wR zuipKKl%$gD0v~Yf^&2&(l7Cv`$&~Tueiu`;KMb8E!wMh>3*=R{lGPAuj}I90_pTl@ zOnF%&kO-^LZRe7M893{Z{;&R7bEDz%TBn2ET6)Q>v=wqpdMspl?ehtCC*LfYC7p#15MFqhUW?5;FylVYP^=bKOCJA`G0l}CKtb*qbmhg^Jq|q;{$B;M|lE6 zZ!#<&;UYU^&j<2^E2OF%Vmc8`l)n$aa9OD?#U2pjE4jZB0q3vZyJqt#!82U#xQTPH z?Pp)hARSVGJ`MwKx51d26{UgRuwSzfnR?%X$P)_bAsh1AvbEvC+hE<<7?7N*M;N&e zXcHH~lgDK_td@hgfs`6I5Uw~K>)z~;@d z3VfrTfXH4im%UE9RTsgC8q=?#R{Z8VSJS`O@r-&9gAbZ~0ysf{h)j^Sk@_!clbJ=J zb(=E*ga7=zB$LP@8GQu%xqn*icM=}Ekr|`^BVhS6@48OAsGjta_y+P>B~8wF$Q)`c zf>dO=Vs?Wn@0lD`-bpT5)I&BZlF@VL-7P2s**i{Jj;zV@yx2+ghIP!`_pxiP z3dpdXe;qtS(1}?;B1fJipxekEeeW?Lut38!C=X7@SpSC8erJ{SG-CL@_p=k~E@^5} z&rW~uN7ARJfX$=jp6nXYfC`}Lhp|Qwr>6UZ zlul?a4@~@_-~85@p)wp-)Y4Ht*^qY#>%E)l<8V&-$Dh~ND9Fged6PU~Xfsx~E2p?v zbgHD~C-1cl4d-qucjH%hubbE}SpQc7fz(lrmuSekH@~aIsN%htI3?uM?*4BRW*g_lpavAA9Cx+V!IB>t}GHi4%h_3qo3|lNxJ%{6qxdQ$7>+6JLU$%kL}Cd*jCq z-QumDRfL+|@nQ;;=?+!Wtz$$Us@2wE|+N3%EIj3E9HX*&s(+x%DL#TXCoh5&y=vgh= zl04j*;&$QRF1mHz_xGuC(Vfenj0ZVElUT*-PNO zvE0Dpo%;@hVdu;K0-Q5flyJG@jd2Yx8|oW>xrQuI_MUF<{ghS1uh&sa$vd#mwhyE& z{(b%|*z*Hof9DeWf`TqXD_r2fm*ZcK)?#JUHceTR? zBZET0nG=h4FHxr#UhS4M^!HOihm>#4u1alsVvD1KXmXMcUXGL9Y_9GS#cXs=yD)2^ zeedb;AQOzgSbSz-V5;(nK<>nI0&;11E^(Lw%QNiIfxAn4=aUOm2`$%&WERj%hE*S& z9T@fF#8+kX;JE9cw>mRGS|e9=TrIBD<>M~cZ9UyCt zEZPmgs`)xhts)O2HXTbCIb3osLE9dSp)tMC_Ju~Y#*QBf%^||qb(^XHfC(UyI+Kz= z5m}KyLsCz!WGvDsF}Wb=qj1?D|FCxb9e%V^wX)rzEd>f|qCT7(5949P zqhk_DSL=Dhz5o6?@n#TJUEBXNS}!6#oKpF&QECp|{;RXy`L-K$5m_Xw{H}w~FTlfT zzIWpNMMlfeC$tT&hcPa8cAizW@9z9yo7Gk0ox^}H#J4QEpVe~30Q_C##oWm}A2GI4 zGp;0*1=*3hs)Ifw;0bzq(oYl{+-(rC=-qN$bE@k!zh_tLUGfRFZU*+Rg1K75oqize z&nl-)hUvD|m?PXd326u8k{*@fYt5-#c&9DzSseSWPam5&q|378v<7YZ84tNFc#Kfh zNDUn?<>~nNDwviHVDX|`9=c(Bz|5G3xRZg?bQ!>;Xdh2CTJ><=j6IKHs+{FLOi zQ>zC}0dU=wU?Vq%v3?(dx4p|aN%;^Rw-y@8KOvBAa*08r=PsB*`~w;wGPrUOuLA*` zRIMU*fQl9{)d@QJ9pRim<{_Gn$~(|xa3U~QceNUQMU!`xZ?g8I-ub8b%@S04xibPz zmors+N9uQ_^yKpFm#0G|nR&Tl;>XxCMhV zOo+REGLWtF7BdBX3Cwho_zre}{K0#tUI!xAZv#-VZm6O@XBq;`D1h>maJw3vg@;Yw zVt*zLML|$P300#37c%Ad)k8`?Vq90Lo3^B<^;_hFgZ>gBSlSSmZSZS)r~UXh3{ z1WRo|!D&Df>$uz~{S&n3xqeCvxKo7t<~1W27xCo3rUC{b62A7UBH|&G?T7{&kXNy$ z4WOGuJ8J|4;VM5(jfV*cX|hmM@-ES+nnx{ZV!t?DPc?`&1B6YWE|N>U3=|H-3_`?i zrDP!b<3d#R{l>i)nd*Uat{d4iF3}94`G}@vT*lg!h4IwZ>I~M$o$3w`X(}-3#dt{C*O*jLto3@T`DuNIpNKQ;XNqa zk2PS1t|7zkr|p#~Oke6uq6cSVFK^;T{3ZUt)of!E66qfPYm*Q!PcE#8FoS~Q{TJz7 zz{Oldp*n80FREuX)w1Afj-xw;(s}|RqXjwE{iWcyf)! z5!bG3?KJeU!7!3=*b>Q{w#*7)RGmzJBOd05`xH3 z09LpZ$S~q?u1jVL#r-SH`7;-*m;5(+`<5rqk@}@S6rZa!i@$cZsML;ca^PjSTfL`W z#F03+NFTk|y;@(S;XYXsd%6-)07VTSy4{`Fr*Bn)FvyMj;J;J_RAnyPP|0WYV%VLC zABzVa?*?`nldH{iA#L=f+j(K_ZGo_08NH&jFI`ptG{McR|8f20U=%Aa$Qu&s}c#He3^+LWFS+Ik7fOXo>{SVRwHJk?e?CpU=I^Q{C z_I`-dj$7Rz?;|cL^z_Ag#h?Uy>5Tc})b%aTY>Sk5dui~RuudX2XSiQUk{HS}>C~zg zUPNm;-gd9=VOJ%0&G!1xqM{mdzAsOW&J9M&a<>;gHO+Ot9I$9{hgX74GRJ3VyZ^Iz zkp*0n%Ss^Rw9SH76f-~%Q0+$cRVG*Fd^JJMU6OM9Cj#sqae>ZR+UZWBv-OH}uh0Xk zD>CNqdCk3;NK_>9i{9c{bZUk$GyS&ZGjLqa8A18o2xJI#QX|4mw=Q_Z#hNzJom$5Q(==I?jEGvu>XV z#5A{*``4Ch_l53<0Wn31_~Dl(0v@q!BF`zYM9(d zAmUgI^%no3W>aO#z-7Dax})a7{!$ny7#JW<^L>7-?9G?Apxn_c<-QY$^Y*-&QC-2d zeOSbZ%XzZ)o_2iL-7m1NXt-6_KXUc!&k>_Jcv&aVg=~cFYNq?ZdG;{6iMsaOUV|DzeF#llZh4b&l!M=e~ z9QzDumSFfzva;o8Aj$38CUFbJ91ff3$y=k6)QXzS~BxOFZ{^jJ) zneFISR}M{j+O@glN7UX4Y3pnta;B9F(sk!9y$s9R@u!d?_OT;1&qeRW?(c|EdpZi# zic6CxzB8r>0!{=7dY&HyP-0lW<-tmOQM7gSrU?E}i;V;AG~K~0Ft4HnE;W>Vf+8}j zxJ5UfPg}T0oOj>1dAm&Btw~bbNO5W_*g1YVGKw2N{`0A*8hMIG&uZ5f1W*@PFhfNek2suQjr{C zB(}0x*1jse@SYfxNdkJ+y=j+I-Z1A;5bMQhh=>b`_34;&J>o)#_`Z}9^8Mb&N2T|n zdTuzipwc$`(r1qkY5Xe1AA3r@oS{2Rl3RKBTG~{Gz&C|#D~Q>$w*C{Gxln|d?6S)& zeHDV7uJE<_BLYSjhyHIP;^K4SYW1JcyoG&6+Tn=)hN`_kWbBKJ5KAvjnYTjODHs z<6!=5Q<{XGpRM%U5Su7sdCk!S=^p^oQHLt2sO>uB5k2#&$<+9H2#T(N2Eg}j+8P91 z2piYrNC`f)Pl_hiau~vGxtWK z$H#Ht`RuOU$f_-Fd_P6+txjp^J_QF@5B4&3UTd9HJiK>1DnN~Wx&&yoAip*ZTfL9* zUe8=2gIX`(pT4L^oT=_U??yP;ts2bIx7XECPe(;ssOwuE&KEpg2{8xjN#%v-$3)%t z&O4u}?v)#It~PZ25^-f$ZMfHUW5c#k3}3Y}8Qi)iKC5aZM8_ToJo=w7PsaTr!Paj< z!~z55SNX&1=X4wN{hTnT#a`VZl5$pSs!R${-)-U7Go5gQbKE4~UF~mnj8=y}` z2U3fH-Go<3p;X6Vunv!X-#N?x+p=`%j^(`E$bR7;(6&WUV5C>u@_F0n{;ZT(Y$`c= ziKip}*QA?a%H=oBMc0246f&&oh|C_%6%iWPc(_nz5cWc~Y=ENE= z+4_xI4Du|}LuH=kdv9^KlQ5j~HpD-Tv?zsvwK)%Fa9h*IsI!X~iYE2rjgez#hQU#z z22?e45+KgQ(k6}jImZE9e~K|w>lls^VaO-yq5ubK6Z#W=z?j2+wH!R^37?$)<+hNTI4;4sp*2?2Lbgmb5NY@1wbo{KjDDc&o?K&$k4(U8bM?o&mhS^B8w<#7P|_YfV1;I2VBYNXCI~x0mescHa3A zc$`ga_lJV!VWW7B6k$dS?>Iag_t}+M>E8KX+U`noO|NTy0P&tgk)wLWJgNwu`L#V` z;=A^T+R6mI`4qZxT#j$G+d?^~u6Le-aj%LSgP0m_WoJ5wfE=3tt%b8i@W25;IzrRu z?=~Q554%|^P5$Z$nRl}%y*rg;7wru8GCj-X9Iv~#1`7_-GALmlZD8$vaX-5fKPQJb z>6i~EE>CxDGv=feQ2c`dcM7PCF>V6OoTe&~Ts(l@Z95|P+|&a-Di zm+ajLGvOH_X8zKUGH9vSw3?cnfp^Fez~xF)Fl>2*(*?3|4tj-tp>!TVlD_`Sf@uG9 z5N*h{1oba={#p~YukZ4RSfVEGz*cqI$Y z)a7OzbUXerYWaoCx>*b#yQ!b+9IO9lqY@)}OcHxFo%i zptBinJB=UbtPH!My8EbZjr(9r>*P!9>VMi4k3PtHI7PI~ZhjrXt?UN4O-LhUQs3cy z%CsI8zS9=pYkVK(s`e33OI*@dwY9$p+#vv0uq01+{2G2FhXlH9&)oQ+J|Nikb2$Cr zlGZ^E&TMSw>_<~!I|`1vT_ zcjhgDSH1jAr008IP&)XwS>tCmP{3(M46`d<6iYN2#?OaNrNRt7m3*q#&VdChsw zxgjcxEFWSo>z55*ArAssn zK{YUgAF~w*l3_cvz)Bdqw7Ge2IK%SBbC(Mutlgvchm+`UUM-WQ*|S-apHc1?2LHxJ zyeKOwmgSkuh4}%le4KLl3X*lP`&HyK)Qm8*ndMPxG^Eg|O8}+zthPWt%n_^F6&U zNZ{Tp#5$UHRT165`d{jXi&GNx5iEDs zpbvX}L;3?o4DilwCyu|6OLd)?CV2yi7$;1S2+T`fg=E$qsWMr-aL6FwE!~5$_o>4V z1+f-3H6#yIdEi zi|Z9u#J-;+>+b|MxIxAMcIhlRqK_*PX6S{{}OU< z@1n%kr%|u|Pf@DRmFNg}{B=LI-RjQpv2MPLalVFubJ$s*vPDptrXK4&3sM&Qms5Dv z_^-^+Ex4&Krqp%^8%9ccSSqo!3tewO40nN?L;7Y9&WPrVkl7oVAc8OLr2^RrwbW(< zpVa}PBwzleFLdqMPYePTa#Lbm-hY+>Dff#=H6y>9O-ZHCua&pHLn1&w97jrc)O8jS zRJ})xlcXR|j~9#Upv%|bPa33a0con)aLlLTQSOk0vIi+(s{%5ChunvKFVH6B=Vs(* zkLPP7p+7hmAgpSa;q&^De}tg)HZ<2%8)N&ai*Drh-yZbYr@-=tNy_&ZeG;Y8_FnF1 z9(%i~sIKu^>^)3a>ej7P0Gpv8L=Q%t{y7kI1!C^zqM4fl0N~Hs6v2A9Ee`<-pS@HE z7z+yE+OS>wU>m~@jWrra*VzAab&+X*X-0Bn-#A;oSNq2S1V0w`=whUv%Lb^_xrDx1N7H?5;NeI$-S-q>f@Qq-Hp~v$%sjIkNJfP#o{IaGhzc zb*B#I*JOXnD_fVT-@!@H-_60j`)%?OHKynt(h-59i(Zwj(qA(4Fp2fs@CUMTB9wKry-zf$XsD5CMU zH)DKWV#-e;s!ElonXnJbi+gUt!ES_e&6Yu~!59%ut_J0IGsDjN4$U@==?+*XdW;UOmF1oW-w2<#)hI z?4l<`bI?9u{Hr;Z6v)#$#zYl z<@W&?%&!F1UYsY1kvRy`Cv}E%cIVGjR7s-miW=RVr4;}A=v(s)i#LnPl>R=r08+vD zDRP;lUGmvV>z@pf3_sVk&uj#1MPiskDZ!-G(pMRC=5%0iGbT^sh5$%UIN;mxXW#bT zrX#$aYb8I@%LgTUyRx}adkS7Qe++n%sytuhHNGyWN^>4W&HxtLm~%7RT;wSb_|7Qq z8`P`BD0WLgWP)7~4IA0|Qy4_L!ClWcbO{7a-hOAWmh*>H@dS2AqRxr1pOt(ul!jm# zi;io9iLJ+7BTW}LWjz?KiK-bT&G7z&gRj;~W1D~F& z*H?8jKld-b7ti>ER<&MHLY4HZdSqo6D6s@wzZL}Rgb4Gcq_MaHO9~tqB+dg>ufh+I z#C8K-o-?3Y1f!7lAz%kX=b0yLG|v4Cf@ts^p%>v-3*69OyJot`*%tLy!D&wtM1=d~ z^Owr2L{uq!m~YRcn(Ih$RdO~dv-tK4C#aQYSFpkEbf#T}crw|OV(-!w$M4rYtwYtq z+IyjVV@Xl4<^C4dX-%FC(pO&?eIZ)WF;A?$jI7EXZVBeXah}W`uuCj8%M=DpBH%X9 z;$cLon~%&)){$5IX`sZ)vV;u0;b(^cLy#R2FzTDqAET5Kx~42^u=KewsBQWZu zTJvFw;BQl|>LjiuxPIZND>k%X-IeXS2;`D&_j0JO>0Yl|J)#yg19>ztds)Vtd%0bn z;B03nR#sMR%bSRtG=Z_F?XCRceGRLHUZ%<5Fjlc(yRJj@+D7*OY>3IB_Z^s|=t>9{ z!Wp>2o|CtISGstX0hw$S{vPxa?e;0S+2Se9;j=80J_Ec9D+8@YUQgQAA7FK~;!+!R z(OY+_+r*5X%wgz^@j3Fz1?4{zGj)Jziy?Fk&4cZ#*D%@zvimo@?(9Tjmcm}}^PK=( zy=qRutL)LncuYDFY-CplsNer6H2c^?R68PimOcp@*JJ5gBWN{O#caLS8t3f3?5Ry~|K@ z_j`--Z+jFDjTTT4THzj){=@;KGtWy&Hjre;)xGI7quDXKD?uJ^6?HOvpXQX~CiMTg z02Wx!O6|937_0`*?l|AxW=0H)47z70Le|cA<~$FGX#*{935%t@h?{g&`FxPu_aNAi zV*S)-Ugs&?@8I&oZ*@7(wfDGpL{!%!nJGGtOmD(xuL7Rd8&oJp8VqHS9$rS1Rbr+n zX6^z$j?4es!K4EyFFDrC%eo6eKlKML(6cj71f#J^^p1=01?%p&@wK;$H{E*#b&I*5 z!eZHjYesX$Eu%)@{81!rAelq@zMm~AG5C3saYiCdAewo#|EC{jp6(8gB2F_A$jO&< z3@%i4HS`oD`Mo>J2j37+#9x(vyFE~1_07-y#id}Mpt6#G%DmOEQ?)@&d>g*Cuo82< zk{Y#U?YEMSw9_Sze(k!(ysxMCs6QHidxW8J2LgoGxpmCcPY%4s%r6Tx@W$Hj0msk) za}48SAiG$rXZ1WJwZi@ExoTESV3D^st>cPIQtUx`XBiii$7&bL+&GITF9PPRoCAE} zi3`WoM;Lwp;BQ~$zNcJLyD}~(5R^2;F{H0vaZOYZ(<*A}aR=I|1;w^aY`(gs9VDV$ z;H#7^u=||lL&xt&)Bl!Yl9b|a8uXBEFgHVO3b*#XUs14Gs+9^7pnd`@MhFNe!*sIJ z3Ff_)nfU8S$CWhF0ygCgT6;QteEB-yvt8og#+gVuI>X=d%8o?<7nn##Fy@PY6)KOw;%1d&w%=JM5pyEP8zJp^Mr}_}P zF4|@Z5sj&Fla=RnKb{IThz1y&YpisHIpwQqn(Lnu>Y22XotN`YJ~mIi3-w3?IN^bV zXbck_@2gY@Hi1=QsHUU_GnXTdb#V(>(=*28%#?+#69?X|US%`u{L4djW`$m@r$+MH zH$3f~rI)->SzU+spJ43m5VIC_Ixx1-xwqrnD9v~zLBLEc#cpXdxQX)dNL^{5f1&nnO!|1x*f0*~O|H+^TD$z^oYuj!kD~u|*WRq1pl;)vUw} zsLEyYjDsWIt|IH(IeD0>6r-+4F0ZeWH~krpT40b3uk|B_7Wf)9$rB1F$&q4fV`}QH z_wQiAu8+2eK{u=bI?aDLX6Pl2ix!D(^`jtM$*yj8U(+_e&d`Q$RyE=XC|AC)h!V!t zVgXNgsas$c8LX5$)d4bCojCy&fj30_z`?lJJiIZeWGMwrAgahe^RlxTJcU@s7l>O9C;Dc6a+Icgqq zdB6EcvXCp@;tiCwZSFkaczDI09h?J>3#e7#!!+COgsS5it{X_UYmW@Cwl9(dgrgR1 z)QKmH=bua!&qWm*JtibVD;kK{(sNArQuw$8tJHKxUwo^L1zlD@|4@KuSXkR}JZh_| zp5l$!=CtV{{$RFgInB!k<{5DH%3hx{v}fT72bf_qqGaMIm;M818#u>QbwCh03HpAo zYX;HKL(}&|nmHC7PEQn>_n(8d$9YmOmDc}qqC$CDAMG>(xagdh8x`E4bF+Sxzt1i{ zNd2rMFQ>Z-Bu#kDbK<{dVy#HDvp`IJd@!npK7;*?$Pu8x#3Jrm*y`B;3Dm6f4y0Df zuwAeV@zNlu%~5&XAP(1$tS+ru;(q9o3gU29%Xww@&SA^ad|ym9c`W(@-G1_cJPi0m zi~#v7@PTWkOj+7Xf)^>e5XAa_j+siz-->@W@lmGVKW5kUj~OLM*%+O*W59vc1@BGeY28$TRhj|$ly)#zZ{aUG$iu>wA>zfyWZ4&^D zsh1|kG?w6oV2p0JyzbDmL>3#m`+mWfavP%|+QAHj`A+K)A5((XH!H4WU0ZJX>AKaf z0@kM@Nl0p&o$$!;4>R21d@sB2GSnKd*ghL1`>JM7jtFk_@@R^4_|I^H)jMYC{r?(x zx)*_gQP+%Xqj`Q~2xg_xv<_vT+TGkElLUw9C)q5QYqW5x1TzxPb7BUz+9 z3g-N`R-0J++n%4}62a(N~4Iy-q`NG!;hNKreFb&9=f^O&-Q({ z;LAsePJS0O0utmZoCp19xwd@Ga`ly5ma%{Upww;+NVNKQU3pi~4<(Zxc|gt-KICMI z({)EAkfI#s#XBd`=!=eb31U6LXopxra2}MuAJc*It(Ruw#&^!pd$&}y6Sv0W*xUI> zD$*=g$W871qAwbAeZF}9&@^H&Opx@a96n|pswRd2VI@(lQ0*G2pQ!qoN=NgUOZ`Fs zYRln^TPEIJLv(q6!k8=Ku3YC3h)8( z@srZ^n;g19&M3Xw$Ci~8#( zQfsi^1~{dO6hDPVE1fLr#nPrBSFtFmbBQ(FaUbQOuJNzZ!xWo->yWBPjQgVutuH0r zo=>~qY{aWCu7wH;-Fo^*WItJt=Kbk)(HZxrq(I)50gnz>yS8vj42N5-&cD6hp9f7| z_Eq`=d*Up07xhJu`{3+EZvK$znH=VNT&7$=9hAmLVM+Jcy8>7H8=Xz8RJ!7#e#7fy z>bU#V=chIkYi~v8?*bDc=`1<#T4nPVxdCtOhxc&vT(~ssx)I+ZNPZnD3Hq2b zTK66dvzJ($6YvEE{Ne8)gUST=`cA(5UOa+c{Pd5K`#vTi?C`;EvI}r`1cNGIHAyZc z-+@(c=ZArNru7YyI(bM!o!fmRw@W8@pjbJ+l7D%IHaVpuzgpt)0dOiY{&5iuQ2~J)gs&{UP z*jA9PBMGk>;!Qpm`RQFnIQ*^dZ&x!6V7S*viFu33lzkOsyDO)BX`1PEF90sW>a|lq zNL@i}oXc{SzLgTnH;gDFJ)`k#oN&fw2A?u6Ax^mjL@|$Ei*L9N@wzf@#;uoWTn73r zvk#lxUt;r(BGd|6EuqI5@6$&}p~nt%>m|lrl^?-Ir(tCrZ zc_X*`0zS~2n+|kb4#F--N+4pkV1Zf<cIIhOA#&~X13g@3mh)WO|h^Fshv7}O>JAVo!T)@VxxpTY>IJcWv^trDw7+vI>R5!{4pi)5hPwD(64Kum7!Kk>D4=V!(2~$d5xyOIoM` z*jlD{pBdVe6K1UoWzL=b#-H8J7A$N(hneeVAQK;SH^l`Gphr zq2$+1pEDKu&EHzw06LzeHd_q`@QMpoHg$b}}6J@QH7KKhxB&*0Y=l!d)nrA8$`~`|G@vymo4}|VU*iTSx zS`+?8KkG2<$_`cRNDKbd4OG}bC#UMwL+Fk_Ud9?fmf$W0r||gWRmhi*y_olnQvoJy zCz4MC&HWx0IPO>nPBKH2noiMIwWtGaA`}aldP^k?^FvA25Y(yrn~`VvuUtspZLc*v zUp%0~ksde_Y~_EEX6i%Eqkxb%FCyM5ZEJFCcC0{CTJi74J(ek8SR!!iCL{8GYU z<**plW*UeVYB-R0#E2fuai!%En>vPsJe-9c*;wl{bDnqVI~w2!^aI!Ru8LC*^_5TIZ<`~2m-YS3Ww;4gF*~*kI2Z}t zr(?g5soE^#-ColDT;uO*I?7e(C{wa!wU=d~!moNdJPOobpduh;88EZ2Uj1e?Ir)Xy zk1Ge3WlsX&N=rFx4hpEc*{0tc{mL@D!SdHkBU9`DZgk)$WvkPHB<=Uw>N_$c^w&3* z;_HMIWTlV$nlI0kU7Yf>F!Y49;vzKKS8n0cao&c!`aE8=KLgKm_MrG4E2bFHTNBhCb3iv zJZK){B2>R;57qzn$@{!6DJ*VxHuWZ!Hm0IUCYJ3t`w7npjWf={>TE9BsOCppJ|F=y zQDAG1*?)>SfIn9+cO<8J6Qmw*`l)gNrhm5umxLEz-RPm;nHTwUZkw|@n^#<6F$~TJ zT&k-RwcFdAC&ySaP8IK;^9Rmn`m&1)MK+m zu2ob&Who%yNYD3c=j!iD1CG_zA33izSL)Cu%yBN0@31(`R9YQ~MRbF7Qf%W#zYGfN zJrVmyQ&3>z_tQzNOWyegJ(=uF)03YmK3;Cfr^WNv1MIWbpgR{n$_z~}nZI;w_TKr6 zenOrXN1WmFV*1Pd73`HO0TjuoVfo!IM8wHifJuG@ptT34{u+-d==g?(RIVZ`y)*On z3yKwA{Wy4&>nVAt(p40$vBr}Fr~T^bV8k44RPU2je7XWAU2~()d2>i3sk}DtP!Qp+ zJ^$i^tP<1pXH+JWB>h6A1=)N<;KU!2CnVA`GrJ5uZNXBl#b<)mXqASD;#5%|OTc{E zX0C-&rxj!B?Av4q`e=Q2Fao*{a*3I^TRoGhf2pm*TBnB3gV74|6gm11<>C)-Moko)xcWX*mgec+d+2#43{D!@})|nG%j9CG>lf8s*tu?k4vBx{fdZbB?q@bCJonI&UYVN*9Zp zU$gCImY7lrbN@1kawR^S7Pigq_c?zDF8WCzRUA4jK{pK;L5?6>@rEk&r9RI#f&Lk zG}k{~&YMde!b_z-kx(BeQafc@?#7d?B^tSpX5#QH2hVN`Zf06~zW8?p5I<=l+%M*DY_G!Re z6n@PPz_)oFQ`>~ezCTu%x(8e8%#pRl+_K>3R=UvBF*ZABf+|B-($_D(^anv z`R?N%WW0Te>z~}f?xS~Fa(~~`9mI9^XVWh6owflFv1`=~Kz8{Sxpl4R1Cg;Bx7#*a ziKRvun_p+hK1IL*o(ShSAxtJ|NsSXo2_^T9)w4Id!paB&)Z8CYr92YxUA6i7Jhnrn z(7f%s?Pu`?xzGf6OQQ=%Mo(ZtHMmOl& z)o1x+OvnrFvd?|qT()CcR_mW*&IuuWf=0WtQIK&&7z<87OXtT}3$u(G1opPBwVIsY zY9T+2w;3qV_BfHmTS8o&C}w%m69v!V4G>#DrX|ZL#;v9kMj6{2iYle--LSizw_+8WG)Mn$jtsTafjHm;aa(*TblB*gI zf0h4T0X|1a_N6AmQP?upqTxuuw^xAim$}~1n+)p0EIr>dg@#SScDkT=IPE~xAg=%+ zWobz4;G83jQ-`{Icqq!kLjYMS8QD%%8d|*6Q2KMbAJK%+kKI`UxG)J7m=biXTAhA; zC9MQM^M$)#6O5IAw^o>?QYde*PwiC`s%Odbrn2|l;N<$d?$d;4iCi*zRCIrQ4gDpG^S{yN+D00r2cLBH^;zv zUH@+Ms=lxvbvp~#HZ1E!`RX5Wg8NVZ?zFmUt2KI?X|89~#n${afAsOA^Y~zKVmIIK zf33vcdanOC{fU&pYZB@girQ;#u?qUp;=>9>n+Ce%z;x@+IDs0fcN^joqUTG1K4e5>Zn`P*uNqtv!e&|?OWws~6?a0B zc)mQ~ZsptvFs0FE;YT^)mqNk&F&F(OhExnwA+A{sDqgLrE)Tw5SyYz7H}i8!mceg7 z|NRuihLmHYT-Lqve)$h7Ge1eio~%0d3{S=RafAk_q1r07Y^Dd)v-wgQGGO& z9!4P`d^XnfT(6re2Rm!7?G)Bfa+3*_IWbNxQu9bD4t|MK$u2(CMP)DkT<>M7Sik&; z{KkQY@F;(QIx)aYfk~Z0$PNtA4S5#$F;iAHU$tBwIYjDW#}-C&oG7!f#h;2F{@DC< z{P<*CLDKwaE-8EC#8ofvg8lyEk@q6ueH-XsSEpP@hbq;~M>bha|!k2zSEYf)El!s-!0#`*6y zp+dxttM8I_%ToUK79Pm|iTdDihDDLZKV6?RY*PKykb`UzX>!6+kx}x}s512%`>6^Nwd4YK~CRgH%~P~@yS#?B0Bl%3-r$RWIzZ%K=My1AUn z2ifm^QJswbPGtuF)->JaVkyR)&!?!LYM;h%uj>0~dR zNiJ~2N$2pERe*cuwGg43t1?&=ACW=x>Wa{oH>A#Cp7N(gv>d)@stUySnHWBnIO_=kB&)kHTwI3Tmv_Q?uBC*`on?vT!RzfVv6#&`3|QX?w0lxG#`A8G$e z@G;?+-%f+vsZ)!K>W56esSMAQ&FZo-4zxx7mkPe#7l$I05{=#oM?0%YX%p`U`qG#Yl*fU21& zH&!JeF!-SMazJ_)D4h}YoiC+8+%gS0&mYg-gc&h^0%rY3Bt|Nz@}*Zi|O3RW6m^t*?utnE`{C0+ZatZgGJqt3nI zn=x3(be~hdN$E|~PHan)XC=;pefB(bIp7=a+J7ze$2hH2(2S>f68As+Y5SvE=S^Y*{p{OMjLTM>~5F_v^g% zFQJ4M%-@;r3Ek`0L#xOMwxl)m87JfQO^=MnUI`+FbMuzLK7 ze1DTQOVJ!-66Oc_|e#5Q)dq9E9?DQ*76Qs?_O(d(8 z`Jyf1fwTW4Z%mk2^1N1M4C81De6*;ul!2^Ic)FAAV%ywpjvwo)vfuV#V+6eQyAKod z%P!x;f_7K#5mB7d#68Swx2i!IKS1&AIv<035j!8MuD<5zOYFZ7CwhPAEjF<5Q|Pd2 z03^j){wm>hNo2O47TX@1ZahuD;qdtUUQ(ifI5fOgeg zaXXFiNlb`go5GcMLm;%L#{pAZleKij2FF<7SC#x$H?@trUMP3ZX!n-NZ#TARI^)ki zHRSpI*StuoTlGzoRd+?$-v267c-R8Er#?|%tiGp4T=kU-OcZ3XUp=Hp83!6?Ot-a`5ZYoVyl-iNA@B9ng)R? zzuH1^0uN69KUTNJ-14Ri{OqUTLZ%mQJu53Gw^84!!mAe*`U=i$Q=H|xf^PTDY-A1O zoo6>3zaCNPJ$3X7=%kTKf)j|*?^ttyJ2@e{t;QkcL-kgQ83UC{q>63st2Eg4n*nS% zD5BrV`^n7D{^}$TLTR)|*whQOkQ3DMf=VoacF-)SMc00*#}>2dj8&@G?J=+-Ex2Tq z!sAVn)AjKdC4O;O=q#=Lxp8`QPxj)55zS)b5I*m}mF7g1F6~@(mce+}_{8rXqgDfh zo|@d4dTb=)UqErj&LLVY_N0mOfx%W{MMj|ha5M?GH_+~-zCc879X!IY_hI1y2G}Cg zmnJG7jJj!wst3)LxHG@}F)`~mIVUk9EbtD2U1Yj#a9Wujc4~0RN-o#!{D^>Xg%0OK3E|}y5A80WHwDa; zbH5q;2TQ}45^uio&2=u~_-oQ!1z#Lgxq2pa7~Y>~`5Ga^0_ZGi?s3b&GsCyY9J<{2 z`*nC$fjXhVJv4$`KIgR|1r*RCKHTEM*r*ncDxbp^6t>Xn0-ZySlftagEVA+2wcdR7 zqc4d(7OG%=4G$k8@%g*3;LBF`f~L?3c&;}<)m|BNqMY4)a-`{IKO1E3-E+&`EC$rH zHVpa35*pLY*a+V}>ru{#`r)T=*w#gOwiwQuLZ$xc!I2-O>fxpF?sQ#c7kGokiaT&a z`9yi-{vlfBPns>#Z}#zR&1(awd8Jx6si}IgT~6x=oa;9S1+n#ZKbMn{7wXi030Q!q|Ypbs?7fEmcGkoXR&(Uo7 zubm{K(2A-rluaZUmnES&kJ!DRiG^c&p@2X%@ZUbNzW#RD+MD{!vV23^wK-5mnbAl)rp(tQAt7Lb-U=fIy=E8rGv0>(ZlbdbJ?? zH_dp#?e4>jB!Bmf(^&x~q74lbNm1_5NFVF75~_Qb=~Ao0OM-XU=WLf2&(JA+mJ6FV zjNPj|W_wz)xS-9JAHm!r3qr0c$$?fTFd7THN6SEqKJqCbd2$aM)0Pzfc}znFs9CL! zJR z?=UW2|KhBJRacA=RVV$C;OOfDbIg-W2%7)VS`Pj6GoYPt?K%1fNH=m8TV$2qN@dp( zyp0XE_=fWm#O|0=M=HjAo-^VUK9V+0mkvxGa{8p3?ACfbv6K%N5r+lZGy%8<1=wOL z>LeZtpRNXlIJA;(T$zZLP4O7E;y_)=%?7_Jhbaz~IAN8wpU#D9xo@qIblX7bb;V_M zBQ;<)Hu?t;Gzt%-2Z+fA%%M;!i<#Yg_CvTV;Kxo!GNnxyse6yPZu;&x(XSYz67FYB z=dbVJcN+04c5iG!0n)Usp?9;er#zK*boZ)mC+yLZv$Is2x7WMxXpUq@=1hl)!Nxcs zA_nD7^U`d36WZH1uf4Df*gA!=sHy}-tnvMxWYdUdx8~V}KJ{X>?mZd|A@>@9sf5zk zyAcG4_<5Z=trh3$?|Gw(yCEeRX172}P&d_%uJGaa8}8U}QVhmQIdWHCh{z$u z6x&6Hh$t!$sp}`fr)3kq*Z9wXjlz!JG$eqv3juEa-H>9{WHjv!(tWK&pILP7bCxTw zVjC@f$Oqg3)KMwEpChap8k}`UwOqe9)lafN+cJ8uX^T_=TGtogF6*QSTBpqWXx(I> zP6}Xt)2L+!rX?S@=XH_z-o-2tmNy358#$;X%7s?r*KiWL4TQ%$@Lj8swC=$U7N8B< z)x?a8VSSoP_-M&MquE<;V`e8ruAM4?TM3^Vwe3?g&{ny_+n*YaFD2pQh6ar@d`&2PZvOiD%k;Coyfd%*&u+7^tkdgb zGZyn;um}lQm#{qR2=jcOfQbc4l8@xTx3J{1+k47>S3qWErRD`MZssa53loEH%rQcP zb>nt@7?%~DnlTfHP`F{`jn?;<8tnR(nsf=-4zF8pnir3tKF}jr2ssd~areZUO@I{< z4tk~0b+$kZmMttV9r&J3WyxMwUtXZ`c}ZRWt6fls&B@r;D&R6#V3-pi_O;XLh6^F6 zUo~D3m&BrgjVKkkaRcWC;5E7mxVieBcWm-fXqI9H8ieUDsD1qBKtd4yXFW8~(Fme| z(#TrBMSbu9ZbhcUYfm#RLF}$t70LF(` zec&o&fUClbf_wClVV2rPvvz8QCdosG8cHj1q=SHAGk`yXfSKgVCZtcf9y=~~&7XfNaium6BE%k+IsDUw0SiQ{oty~o3`#ZZb<=e&0TVC+! z8_fF*!^Sp%M{pP(&0Y&PlDWHxL1JC0J3V$cGFj~vsbwH@Jjytz=D+qg?CF+}-q|M2 z<{EfiSh7TR8F5)8)VTzVmjF?tVIIV_>p<3{@1qNP-aj4@B{8=dfwS)4BWO z0m&=26rR5DrJpsFedRxo2hHnY8RsdWcKNh`N==aa^v^S0R4CR&JMX$aP}l8YnX(5u z^Owy;u^6^~l-Off_lYas7ZbQ_^(uHjo1r*pa#? zI@Ms4ZIh85{w55} z#1t#Urie)tpg`>hu+o!dNvLGj0@5&hdc<@=24AJNJCpXGdcXS*PWP8wZ2WdaKu^JQZhQDb&@nk2y))u#up7?}O<%0t#U?V)#cP zED)N+NJdgceS*ipB1Uu>UhaWY+ z+6h>0dqnw|ztuf%;`aqi9AR5JR8Y^P0Wn`6HQWh7d4Gu0?+B@>5S3r+?Py^J+jkw)=dNxqb2^tTQ|X)HBql5 zN8x=%U&h>~_y(4i!)H2`Y<@ep@nuvJq~VFnSsM1s**5cH?Pn6KXeU~gT~(+&zvh;@ z^TPQMu@@c+H+KR7<0}^8xb!2q13jJQZG~AEZP0QW&q~DY=vJdXTIc*8obUTE@fXi1 zY!hiBAxqJ>@Z@HgZ=a_I7JfRW#@(dUTM*TOD8d-n3=JtUU> z9R&!d8)Ju@bTrf`P+m)F!fjwkB6UW&X`8aFFJOE9b-;0=79vpBw7lqS<*>ZWaj%Ik z064XM{UX`c!`M8Ft%=4>*|+8h=y^M0jY-coIRQT>20qn^%AG~Lq?2D%d!h77DZ^Bw zm{3c3RQM>?o5ls*f_0bOF~a|ik+{`y0*g$_lrCO6t~{;$LO^}$+EX9mZl(n5Wsjlp zs#>Gb4b!lK6ldUS@NiUBXc&(Z3Ne_*4hc(b>NS3b{rFcvWgr`nc0N*J31w$ zd(zH6I^(xYRFi!2Pj_x@HPnJkrSXyifgoAZpL3bmAjQL-cG3KRnL@^Kp zOq-=-3qf>GgujMyv7WJi++<$yEZl#Q>jh~AKPmW%8!^v-WJd#4?|1-|-7_r?V;}kP zR8>h9|7n82`CgT|GKEe+VQ{|crz(_=7;3YnePt704bRm9)syjEH>(b8dxKKXMGYp^ zx4~COYF4xM9O~E146#osGl{`o#(^8I>QcpI+Z}24I4KA$NyYnnAgutmJv)f3!hl+# zxK1U^+NW=aL90}_CdpOLqEdCbL!eSmSmX>vN`(ty?Ydq3teriCcWCifu7;<0oHr)i z?+GgVWzZ6HVqROCB^WPF_m)b7BqX299KAPjCF7r~i9Smca{U0(ccr%Cd74M;>QdHA zK_94DJEtq^aL0^iAXh6}=&h_%CA~$33`XJFo6Vr2wtJKQx@Z(UNJl(dd&G;F%=H2L z6WP$mHsoumdXjr#>UJNunosiQJ!W&a8LCOPn95B4;Y(LSCak=aDcB7xwmO!(m*eWBSP&rp| zSeIj%fH?eK_cm$*K9^10+QpOZ*2!%pn{!1r8F#HF&WOf>&mW(DUgKiHKhk5Ok3ld0 ziceCxn{T(}Ui92Lhh?~fVYzu~%7~kkYJMc7P(R0vk79Eshgjw~j*r)T0c*YW=sIm7 zQ0fvX7Ng`Qr=)l=Qm+Bm1r&ZbrjG&LC>?-l8i-rA1xVh1BM@p%va5Pu*y2VKbdr<$ zlLwio+jO1?RWeHiLrP456P@1fSMpd|3Aha_IzF!#nc zDUo!6yQ%l9QSAWdE8RCPvfw1$mU3ep%rv2nWoAC?&P@L`Z!_QRW9;fH$+#t z;POpRyf?G)QgxkK0dUTJfwS{_#>+X+v+{4$*>{lT>-kBwtj>jN{R z1N@@0vN;YXeozh$vZ7hcH8d-0nqF02;TQ|S$9A&G&6&ifk7JK$*)8Ko`IW=U{00qQ z$7RXHf8Weu=7k~zwJqGKwKr3BLW2mjj{1Kf1w6fjg!)BlS9iqmR1K0=@)egO<=U`L zr_NqvFmbmCnVer>`P&)a`j6ZFQ^WXH6w|{e^$Krl_;hfJbfrs%{DJ2Bx_V1SP#r7= z_~3k9lFZ?S@Kur)46TKxQ*XE>N}q+a$WWY>tIL)@tuXWC(+|gCq-av?srIvwxoaDj zlS}Ot=fyyIOOAH;hHnq*Qx@?6+cir!`S>{`-AO$-Fh)HQ^D_Bs+4--c7dCOEsR#KQ zp34$E2c9IEo&`(J+)^dNLup}!LGx0Hd3%Z)YyBz6y?14vd-o|gj|%~aT(5!ftd#6A zP4Yokh=ps{Cn}ES*#T|pDZ;M3zPlS>Wn6>HgURb$`ea{H-#f*-GRR9^)|wAg)b6=& zAGoRn+>7l52e1td05$Uv`o~@rm45*k!^eqm3d6A$qidDP-aTre|kEuv^ zlY&S_qEPWos9g%hK6peTBc9^xB<{F}ao{ldX5l3yC zTS9%T6w47|5lI@hES`%Z=^9H(uii!@g%9;>Cg1`3$YKQR-==sg$}_YS8rvexvS7SoZi$mdiA-R}2lF0?HL?JDs~_X@qNSK%>wb4f zVRTbW_q(939Llhtl-qufwSy|D4zJ^aQi9)0$*F48)kTt5x0eE81gju7(#r8JmxJPE zvszRJrzPhs%XR)z4jHW0VcBm%dz=r)GdMsRo^{8tZF*c^(~&%>dVy&FD6anN^|U?ZzQZ0{ z2TiBfo!PU6MO(ffHn7ec`=*wAAa~Lks8bG�WwPgyQ*~gc^5^+{r+9mbx=!RWjK< z3YR&&fqM;UHp0>K9VMCsneIxWK!p*A*`UeUsl zoEB_k;tV%37cfM<_TqH0e#l0v1WsAz-?hCKBO{E^MP^>Et8+efydLF_GE+{Az!DL? zp2M`KQObU*7%^Y_%#~ZT(G#uuvu#4USrSI<-EXBVOAOqWiOjteciAYusty~46?K%5 z>B>w8UkmtE!Ltfc+q^J6SG3IP9UqzyrHY0iVKv@`oKLzS%LE?Ef`=ufq6k86(HKJa zgS^ptV)W)3`x&`B&O<#2%_${NUp@-H3KDaZmZS*$ift2`Xc-kn0;2++z&bn45+B~D z)_8SgX1z3??;aC068jlJBKm`?{8&Pha9Cau4GvqL_*p=1y=aP*Y#?#m_mh&rjo*~6 z4^gfV;H~t*QEg|^gyRei1nJ{ja?*x1)E%tvXYduTlY4}vIg8}>^4ncQsxgDn*Wwyb zm-5frFr^YoYs`AiKA!&&>kDV_vLnZgdl$HU4o{>5RZ|OyfW{ZF_$|&(P8jcMA_`>c zmtiDz=_)r|k@Q*rZk#5?o_vZ~k3c$ay)gLI8-zV5a8=3o$wk7CYEcV*MT4zc{x)p! zy$+v6Nniko66h+Db>WWVt&cB%NaXAa@ao0@j6fXc&*N4lEO^KXm0MYZ zd2F7=cjy*hWw^>(I^!3I7npa^j!~n+@bvULU(2%~T1P$;?W)OlC~e*URgvWg@qU#F z)m9gPO4*(cW=!dYtAfCk(xt1%uCFj@>X%DCPBsy^_(IgBdRE9L3z;L!(bU&*fL_-3 z2xc1KXzS)=9X?V*Bm32U%fs!(S6D-}u%z0;B&{nefaUKF+rHO@F@P>Cq-n2;BPWd2 zRR*t+Yhve(?{>y=6xWJVRmMAE7E&}XJh)y6fRe$L)UtmD6Ei}BaU-Q1%tCu+6(*zT zhkZ%5aHcRUm!%)*^Euqshh*S3QaEy#->o>K-a6c8M?nv$ zUwJyZSFvKuQT)LMS(}7(h)|%I(b~ph*wl;2?oB)y>JTBE6M1QI?x4 zxe9fhnxCd1eZ>WPMehIJqSQqKr{uk8hN8~{dtla7k__Kjq^}CPM*&uMwdzGrpHXk( zYgGWPd|wWv!9(d&O9=QZt;|dv%5ba^)-EJRwJ$8?xXre@M1iW8lsvfqbFLdC#?$K} zwL{R_Z}D|hQ)<-}nCWH@<9q){ZyxH9>Il38)B11+Nt_kYD)ehc@q)9Bxtou-FW)lp zLf_X7fGzs=$k;H!@3DOEao33m%|KCJf%C_-oGj41l7sVri&br4x0B)pW7JkScvzmS zK^rqIC_z77!I{SoB2>Gxb}2$_`dY#Apuzy2nKi~ z0x#yzgE72!1fUDdsoDfA<3X?>1&Bce00Wr!wMGj8z;gnhrG|ka>%e?NllbC}YnPG_ z#0r5D%RkZ|>e--}w(cW{^?kj^$_pgG=7ty9q5@}_NHFx%!Y*GY8DHO1!$soJ;WY{} zCa~J)hcNYnk&KsqAMxX?F1qfmQuL}@_N(vFgyF)zuNU^*5q29wnpwcmL-j|+s7*Iwj zs99v?g%D++SVDmp9IN`UQchsrc6BLeu}BZa0BiZ}O%yBG;Hr0hz9Z%VSpu<^dCx+E zUw2fGQN=<|1z#7pzNa7jN?U-Nd_i_p3#&MFPef=UxHc`SuU4p_B8Jf@t65~nJZV@I zX?F;*9Dosyc>7QCcvM&7`M<0ZbNyt5^Cb*E$|*R?qs%!BJSNDR&$tx&wfuwN=!>~7 z1*r9mu~;V{i8bmWejw1oU;_hp0v~OLD{AWjPcO7@n)>+%oEG0qx82xnSLxoz!U-dN z%H2R0S&3oxjD|s zEUXlv_SdeuxT29LRjS7@s5ABIcoT~6%>U(QL6?GKDP)L%9&JW)WnPe5GWSa2XQUCz zq12-r%8)KzC{NV^l?t9gfiL?+ze^K4?n|n%qdlg!E92qr_l1mo&EHU*k!1VR3qUC* zCxW*E)viltkcWqFTEg&hmd_Hsc(|6_eL7$-rGcJ(a)-3+b|jAb&{A%ifE{C3^Lc(V zb8?=cqEv~Q@wsMix8LnA@KGI7ecmNPfzWEF;~sHe6vN(a+UN0?qYZaJg<7qyG&!&= zK|Ojv>a-NJoH1Y=Q#$9^ZP!(lNqk0reyz&6Ru_N8E@K~5n;`tVfwhoqEeEqhuKqnJ zr~qxgsO$Y`hir6C$>=hQNb)P|(U0e4%Jt*{bfz;B+C0Cw$CG!vb&##DMnEV1+lJLO z&c1wQ$P=!3L3MLoYn-y9v9wX8uDRr65^&FazOGtHD;cDf^YMPg#n=~5ep9_7SjycE z&gy|ygEwXZqLCW8+z^HG?N_7-^7vI~jfk=+f37z>Je{3_XnGoO@=+2=^>(E=Xh{W@ zXOg;RbR>JTb_TDoXPDN1}Q?tiN zw0O&$!8I;I6C{InPG*S%Kb8e_G*U+9QRW?Ka|_oVn4OuM;M)ahzMm+oxOMp$QLC0$ zd?YCLAxF)zWP(}*(V|5SRej^cGB?HS)<*y47Btvk^wa+3x<}gOjmrj4M zGJ6Uxust4bGDt)+qTQOHMT^0rYYF`taxGZ;tCK*AmDULsy{Ck&zYg789zi)`4t})( z%L!%NObxwgFI-~wtT?6m#p2$~sXq_VY%-YL{Uu8GS5hU~w>mxTKZDsO@@;Jxezp7@ ze2EHvymWqw6HNo<*ndyH5v0B|^k~7bCg&tsk%IEho+x%L6;QV3rzna0j=ftNx7*E`Fmx55DA#0 zZX^BoNEdH>WX;Za-uvZ6e301FGs9=~pk?|{d|VNL+HQsLk|K=40F3BZ()Q*0Zo;pR z`bV)@h}?Dc%oXs&!E1HqA!K(&^s6a7cmupMRyh*ub}lG zX7!ZSG^C&N)M=>?4~&DRQ`ehXtwU0%rlt|$v(K8qTM0!wXuEs7wFRg04o)wet2s$U zUIi3m`M>NIg^2R1`RBw>YlT%%iKm~&cSsGFrql%3|DQG>pz$57rD6)T)G2nY2f9MK zI!Q}Gga4X+DQkNP=Du5CkE5ijmpT25(dKd00K}a-HAXEpHA$xr8VSODI8*vu6kO_x z+0QjTjT^ABdpRhgJ<*cM@l=?0`6tdE2Aqz%pP(Cqkg!lM%=`lTAG0~ zrdQe)Pcm48?KZ}0fwGT2iYI0lx7}7wxw%M+G-JLXtxI&UJH*_qP&t#8uPWxk~(nzBtLsPhye9xb?tD%0L2=k%CBO4a_?HK(z$&0 zdGJ(4ZR`2+@;`B^1R&-!(A~X4xWMtaoJL$kEl028mj>@Eg<4^eq82;h(K_udefKPi zg7(jlU7hU@MOMZ3L*q|9lP|3Hd(ziutc4&~H~9Jv&|QlK@U3#@4=~7Jm>Qe*JNaJhtxl)rRcT=L#de zWB{Vxv%Pt9UoXS}csgM3_Iv<-slw*HPY%oKu;{E%u}G^L3AQDUWScMiM)6kW6_KkK zS%Q{7HXMRvVMe1Kbk%|j%&(8Bm0KJh??6rmA-<;Pv>FmfReyafY^_G6oEyg*iFW>!ph1L4mPzPb`mjqLdUE_$E??|SimJE z32LLAb|OFgP5}+rZ#J>qSBl81p1>p`n+`N2 z7H1mXZ=FjV!2a3>T0kY|46uK5sQ<*vU4Xdu_U;fPBixQ3(1@)*4{iA&Xb1+7_&y9E zTv9MVrvccG-3RkP46t-?(dG-70MY@Vi_c08GZl3H=TMH?Xkw=OA3k9M9{mPyaxbC} ztbzcoxgGE`()hzSz(_-Y@t9EifPdMb=ygvgHdf)@vlTlD;1#~&!@EyFfXXOPj3)z} zWU|{{J)Gp;ziHwNps`p^93DC@J}i+Pc<)rpCNygQFiubpI2fGo9k{P~zdwrr2sH)h z3=7mupfvpt8~gMEwBrz#yDty^XVn$}tqNq4ZvW>a{#)386f63V$NrVB|D^JdngLGj z|5F!GKz475{!_63nwJ06#UC5{pAr8LT>uAy|2Obh<87-ANxSjI#zV&MJ)+Z-gLR?@ z0hKq+l(JoXOeT5%LdHihILH&l7goW%48I!jY>SZvYwn9}AGF&9qR(vbJh>dt;&j*i ztMg{iobwmx%_a|c)AFre;Zmh^6Z;yD)=K#8Ym||F@_i!izvF9(iWCnM5q~x0BOoZQ z>|4*dzWSfhf_kY-mBS7CXk^7yx}lI~LgEL`zUN5V_W=LiTb)IkNWY*XUUwBgs>|9U z?k>r_<0x?QP4W5n5vZK$g)db~()Hk^kIu8T+9a313;dR6VgU}=_=}8p{2LK~z7{#d zL|;nW1gpDPaXsLd7B_#h*{3SGHVD$#yDxM9tHmDq#K7&mhp4#HGIR8aecoyzZkpWi ztCag7|7{`F1qX-YUtIWj9)3p-!tTe3+hm%PC2F|EaW!@EofvxZS9B9J&4=x8V zKk7v4MSj{(+i2+kXZx2o`wLg#!aax@#f@>{Ypi#MhbVrc@Of4$dF(UJjMDDFo z?cC2|lBIgTh*^7L&~Wy`k^AeLm930|)qS~ye~#p!yYcZObdYjNdZ)0X=0-*(+g5-U-^sjY9#)U|FP|lwN&eyX-yEvya}`|Iko5D)uhm4=W1l z3BaC_gYG^wYu*lo&?Gj}a@TkheeZ*yfJL{@LVH4K_YlhY7d$5V!DfFsm;r7_2Ewi^ z&pXl}$*Le<<-NODD8BrZ^+X|LhZj+QBzDg9MdCVfc~TrU@$R4fdGz=Wen%EEW^~Fq z-aM~s_;`q{#U|O66tu0hj*jj)Y|LpvnmxTrY|`Pfb_f3O1zHS#&j()6x80fr1yEch zsJ$-MUFROg4`y{A8;%m9y;X>6U-Y~(n4F?WoU41Yv}B(l^k=7_^+ZsPQ09+GTl3cz zfv~q8r+|vE5fdz#gRcFZr}q=I48TGsw6AWza{;llf8OUaj4}~_f!=L%R<~0`b`_es zwOk!CtNv6*U_~M;qYf$Lnw3}okI8{+Ih74 z704a;-M*TA$T_Cyn>SV?0ogFRJam6*+Ppp?GG^;AA)oxxJ zjyLNXCSLPOzN>qU=k`}09mDTPLdLSQ8V|BFg?!T@A6dc(DjHk)EV$TQ{+5P>8CJ<; zJmt$+<7V=dy+0Fskl&r2Gd(h(m2Cg~N{;7p5cWsWJSx>ick z*#yq(LfnNC|1dLdbYn`3&1z0%Xw0sa73~4fBJ2P)^6Zc7fva?)E>@XH(wODB*O0tS z%~Y`0$ckC4+^ct1KyyT|{#5*bg$}`oFG#odf^B!bz93-7Icj3>Caj9Kn8%m0lNog& z;)<9Q-kQwp<8jBQ-%}2}@4=4h(i0Q~+WD7)0n9`o#ZW_3pjRN-#>+q4l#DtNb)js8 z1N+3sO>W+Z8^?T%{I%$Hu=E!@_zRU{UWNIOF=WLe9#Lb6KVEQ3U&RLV1`XdHUpI_- z%qfk2zK|h3;6Yx~vDZ6!NHT(ctdppo+|sy_T@~W?*~gF`R{4quuICzt zBHAtbSnu4vrKxPXb0^dGI}>+!=90Xv{%l9F4%^f$#!sHx5h>Xu(6Ur7?1Ox64Op3 zt}5dwTUmFhcC7#OoX#?j7yrU3J8Ws1=~BTfqaK`kF^T@NPQ~Ke^%3jtAx!f;Un8}X zBGZdpTfqXPQyg>rg&|kZX0vWf`a&Igb9`%N3z9En z-t&Tgf&viM!?BkhZrz5`7-(<}yuzzSbr*&A1V8YlV0emPj3u0#*veUlatylPMgipt zx?&URYxbZ9C;0%;y)v7~bO~Ip^gQ_$F|p->@z~vOV`JfapnVTI;i%U(!#}pq6PC>X z7444*FzzT|gH3dq%MQu+W$Jj`bd=S$GFKI?VTlTn3E8CeW9&{uvmqJ;x3@L5GdUa> z7!~;+3P$q^a+$kJ-bFq!al7#qo*t3a>ZkU4e#(kJbGo=G$%3Yvd8|(|^Ki`0Nt!QrVKBxtU9?S#DElR^TP&@9*azK|hDwhQRpD z+-Qr9G4Jchq2!%2B_ngu}L6A ze5kfqbN->eP2V8vVlMK~TEkP3zF^O#HR9Y zyC6j80G%xaRT%ZrqG=*$Pa&NOt)^i!0#drqo2EgpILc>s?BMVEzI`7JWk3{oLf*ax`T_K*rIL%yFIoJCP1~{gbvo{Y&u}$Jp|f9fwy$R>H(@Jbel~8OW9j zn?wq^-Pu;!K&|EYY$NGlxsklVq)r@qa+CF_%}Gc0;Oyn({?xNuLbx0CsF}YC06`W| z0IHU-Kj|o9(x!hnxyuKqW?R0Fq7CC-o!;}nVKAL@_2Rk9;~UquiWU6Hvu(|)%oo!v zWZu4se71w?6=UJLb#%x$$G7~dEpXns+LrH+_BA0dVmYM2nJZ}Xzb&b*+80H=*wQz1 zQx1A()uD>t9{#ed+>tg(;;r>K?Tc8siBHwShCXkI$VV(SCF!MW4~k!lgbevL4-_Zs zE{Nrx6PTo^eMe0AN6P>tdQMVAacH4%vL&-YCt`&&-hw#u&M*DSH?VIS+21x=CU~tN zA|p_SJaNDg-gmU_)Lrr+&5BMEvh@{G#(1+3dPz_{}JsrG9#t369cAxE9MA^(@HwyF2Br1veKGjmI zB?sdByXJpv6NjkKpWvQqmbF(1&||SP)ohfaj;+c$oSG~z(*Mhq3j$EvP&)0uynmV8 zSl8xxHzUi4-cXEd7F(~>P$L`5*#oJ^$R9mvEco%mF2*JI^S4?$t67-tUKFkrtm#zv z1G8Ut&c`O;tOJOY zJt%iUAOZmBVn?~jRby+@@wTm`ptIh>Pf7|9^EyY#V19ME5CYxhdSi;LUiE=~hYT|M zv_oq{?eQebBGjk+>YWo`x&%5-bCoynR~t6`TW$WO$0HvifFSea^78JPX350(8}jR5 zPh?BwY~G1vV`^m*Z~{49;E5vz$UWuhXoCi?;l}3+i#(^JeDStN#eN`P%wKP2n6`s* zrO?iCp+@HUoWr=q%27480a`~`=0mbO%jD5YAoJg zoqkUlpt&WWV-mhD(r;;0zcTdgLg~xC77U)+V$bkQ+;GG>x8tS@AzN%1T z=TB9!9X1DzCIcg)^kPOC{U%Oq7=Zoj_dLG(=Lg)67f|`Edp2#Dds@V_pJo_;sniji#IC%^ljwx-nXqo9; zAW?|kLjC6dWznN`=Vx*UVh`Vpt~XvBoHsF<3UvTM3Il z`jTA6Fi-r;Xj1i!gL~jjR3)3Vk#o)I+30akn(F+_4;X9YZrpusdS7cbRgUw!f7s%O z+Y`Mr3AM%i%L&L(PUw1D_9I_5$~^dK#19Dy_3MzaF7*iTQI_*(+abj-R@xP3rz*RW zEcAmm2FfhhK-eG8v3ylaBT$n@Imc)ILIU0h6&$iBV_)K>P9aJ_@KA_zukYmH0nH&P ztIO52R!Ajvy`)=a1BOglh2|;Q%AHI#GX}Fr9S>-$X4=Np(FR^2=)XK~qmP}=;(EIm zj*6)t+hsnqD+3wRSRk&e#Bn{B~c3&lS48%^VBOMC79y>~}tZ%PdcZ z&5s@6WSBi1+tc&@o1d=|BE{`^Z1x|=>wwBfJ4iRErYpjs^UbHM<2%`&21+)}&*DH& zC8X9v-w&^hBE_i#>KeKe2hwa4=3)1~UjkQO0btnZe7VgxcQptovfp*doq-U%+HY`9 z`72&p4c->LZwS9ubFKwuOV7ltGb)r@KFYS5d1yl z_^iLWeW|_*mJd~!tRPVH>B`}$Rh?Tgp3P5BwlfbYHmw*V<I-f7ld zd07cf3YZp_JQ7i+&!W}qKO+C-9dfA0ru~GfJfmI2ztmAh{3uI%&k}(_q@rULly+9D zuVKjiSxw+6Yy>$~Lx&|r* z=PsS2oFt1N&K z7oC2CAL!PqpHM10@)Y`p5=p<{J9^98;7FIaN4g%5>t|~DkX&*9(LNGDFj#^%TyBkA zC{@`Zv8pmm>-(7*SryP-K9l;8w`#yfMdHd}v@SO7LbM=A2#43_A3F;qQ~-%K$y#?c z9?_x`>6$M6XM{rPK{t~1tNUj^)&2D)Y|czgqgWP6|6QtgV<-@JZ~J*pDTPn=%7XOh zx-QAKFn+q38t_&@%29hxHzU8OgMO0sv-dbKu~9#yoIu}qUqLBLr-CmqlD%|^ek@d2 zcQ|sRxW1K<)8IfU?!$A)?J?OgGQs)h916$}2C^`CiU(YA-%a{j8TyHWFeRpV29I+U zi9H4z{!|p)TXz?6;K_F+WGg=}OpKb?D*{1KXi^UtR0L393*Pk3K_0`5IlY~Lp%p4|(?rubv$y&Lg?tN^jxXem>U zl-Nqw4?gqxTeYG7U4K{b$fpweNY#rV$g`-c3i8hVK#czxpK?E5IppGX)SwyHkMvMq zDe;t2D$f6LTX=vBOguUT)a?BqSjl&}D5DL()9CSad;e1D(c^t!ns%w5OU{x@IcG7x zjr&+{{-#zm@9Pu!(-4)xRIf6%|y~e zO;ocPowQiAQ<%;BXbk_uzsW$ohb(<`>CH2F1tp^h@?yVF1el;iOW&w}Y5xeO0ni1q zh2lRe0P{vUQU*TbOv&?L@)R(+Pg#H9L}&x{WS!_5MKHO$%(KiY&rEgOhl|~yo3sOM z`0!hyIvO$g)!Pv^!p-}2ZzlXAPfPWa&pBx-Iv$ocaybuf9*5B%FIq zmy!kGMm}QtW-uAT69q#*G}UthLQ|hhD!BP*M$nH+DCqs@F$^r+iH0E1x;^E_u3D!s zcC(m$b+IH$6%Og)&#iE9;MQnKVfcUk4_osB4y^rjSMFgf65;zV-Uph}*{sp`a{*6% z&-Ff-Rvx&8{cno@Xz?FkK*iuc8Tn6NK-J@ay7NCf0`S5A*KF#A=EA`_c?Hzq`^dk9 z1OK82_n~5a_lEE^{@g+V_!ggn5W?R#6ak0iQrIZ8=V|jeDH-?i}(XU7KmV)_Jy(VKL7dQ zJM81d%FonhBv8BqeRrcU?C1FaMsB!2KM2CZG}*u_550q7z~JQF^yuf_i%QrofvA>(cFc0Drka zK>~p(A%8xGkkJ8{Yy*8v;Gcy&@?ikJCZq}R-&uq)kw5`D`Zpwh#{Brg}UL|b#4;{b;qx;q7TTN^AiGA6cJX1KPW=K;N!a~qUL*r; zHl=01$EmhB6?Wpoqr>A6lEYH^VnE_|9{dVVmNxs@SsBtOZf!;&NvYk58M15k3>dk+ zPI&Qr_{edJ=3&velfb`Xjx)V6m71ua{Qf-=z~sICbFj}SibC}J;p~`R;Ye`26JJgh z6`6qxzav?Zi0naT(diO8#CkK_mXDvh$%4n=*9QR`vdgr0+_9QSwckQEFIsGU%hXX# zhYyc*zvO^ z4eN>2MIR5LQW3C+7iK18F2~>y*cK(>ANz#cvJmWCNX;$SCpw&+$bsm}3GX16mRGTT zb9GaYBrv{nAI^&Qu*#*E>-922?_dIEgY0qn;<)?}f4dlO{8=!=d?gQlT7vX?si_GI zA%643Au_RlfgutPzii7_+b;w*hymZU4>t_B@u3<50*LnQ;}s*AX0(Y+`Psk^Of@{I z?DiNr=G-ArS zw@JD;VC5-xyu0h%OTxSG#4ra4MejieDlajj7}i`G7ujK;&E|TqdHwYS76tyAmxr6E zcVi;Jqa2t(NBTN(!kbP$dh!s<82U|(9)$s^&a%r$RNZ4TzBqiDlkP)$FRo52UHH8o zb~6}j**dOgApBL9L%i@PMN@uf`ICK zST76Ex9@3kbzw^yZ;P}uECw*BbVQLL5nIGxZ@gAW7e7PA48udH6GvkdpHiRu$RPW%Hc+n+vJtTI)OnH8j4|pl~yF?bJ@7>t>>Kb z!6B|pL7b}G>UB=y$FGKhQDjJ#EIi03+OaOkWo`rg$bae=kJBR{g?}rll^sSOq6(u6 z+Ps)ot1-l+5y62hEY5az}cU9*|6g52JYLEAkDe@8iS%x6dl;A^D{+qckPcpI7r&z=LDFk%$hnZ3C?ZZc~ z_klY&nGe2BM-Nj`;C$M!(efG5u&9OEcpZ`sL!7ez4Ex>L(k&+HqqEKUve``r<4?n_m|`Z6ZP%7MbQcoa-PQs+`gV*)}F> z+Gh+dz=VaXlLO#UYCQ{Tm9pM3R~3$zXgD}oERYYr>TYv8CvT1~Be%cv#O^5Xb>vteLmJREYA%d*^hqCE#9Du|)u?0i%0`=!^i!lm}% z*?hAcz_C@rz~R!9UV7L$vLeYvirGB6CRAEHRee%E z&e^m|6M)v_ID>=%$fzd*NPJ*$e(vhaou#7+vz3`|HP?s5^K0%RElZWF&eDie@GB8s zr`7>RG=Ov@0l=xh)+JVu;c1d|vize*jM)+*LP}@H-*#&^jfPpb&|$bBYf^Kh3#u+W z&mY710<8O7T_qVir%@ky(}ZbcZgV^prn{_3EID@P!d?*y<$(Kr0eDsyVgi4nuuvq9 z3UZ%h4Rs3=?72)>R0In%YA1&5@(UR1k!+gZkvsAIE>~Vz*niiY{E)a%^1JJV+a|*?Pl#klB zr9pPCPV5?6s79Kcz&ntx?=CGY+$%-F3p``(Q}ZI2Zi&yS)iJ=b7QoyUlBpnadRIty z=l1`(6x!p)`G#~&qT$93(Cd+|H>{rP>@|M#BPInVukJfG)zoC_qT zkcqQ_+CO?PK`u;@rG~o!hj;;L?q_OzSV9=bE^akTlRNKsAam%05n5_94>r9imd@W}3^WiCA83ejFa&>h7qglr zDgX-W018_?@pGD_Tb64%=-T57h)4K|Tdy0rBi#Q=(cDF)2oSo8^)q2;#-GZ5^Rd=G zaEehfM};GoK3s5MKitt*2>k4<23W{I*3W?+s2jtF;POc4V$>7+-}8Botw35 zB+8g!>zT?f(az9nB)g`!bd93nJ%3%r#svJQXz901BS+hx)>Oh2$G$DIOyKD2SVE+V z6-|Hqz`v`bjTyJJZ5Cf4(eX3Q#P{*(cIBt1$jvJ{>M!d&fh*=;!i$QEdBVT)6 zq_~Mg7pJ4mYtqWsZvf7ifjm<*#_hp3b)djHuzp_c!16kgQ*itYX<(`Q|144TxV`nD zw&mH%W^EVbcpn-!9gqM>845t_P_~hmDhT>HR=6u4rZ%@dlCxARBlHb{BTt^|I9($v z$h!1375|B-Q+TCo-%YyrAq1V+SvLL}r#$bapb1iAWxx;R_iifRjKMap>?|8 zC2A^`y*?J!3ux9WlwE7b@ij|1kO^Z4=gi+|BRO=@@9TgsoCmq=X$2Hpz-yH=nDRBs zslAC*?=*EdwAMNLEiD}o0TPuTM}V+ zg~Z6R0CIZ&x>DgV8NfJ*3Tfn)WAU)6Avyn9vMTNTVDoxHS!wiF!W8DoUK};Vp=Io0 z5rKqU9tfIkVSH4BWp_>^cm7d~F9IVD@k_xlU3W7BC!)!@5bQHDmgMhjY`p z&Qn|+$!0wC3C=S}@6c(b{s){4EH|G5%%I3AS-~Z2_cQtR9JP>R)6_CYBxK{4V_((o z*d}yXuJ*K${Ze3(Wc0BxG!T!Ff{*^J_rzmz6uWR3|GrYJwztnmIY!K0Yb!Rl^7kWY zk6T6U&?*+Vx%bFNz-j{EB8eN$*8>f^2w(1=f+E|XA1EKu+vMXmole_S?V?Co%;yeE zu^=k}ET76Z1mKCa@DEG+Zl*4Mo3ymh0%9q}Poi0dd2_IIz#sYH7E9m$vgxu@c~)?z zKei3AMqv*YX58S^c0D$5f4tz2r?}s}*oT;lf{19&h8RU`q9kIE(^Stk>Lw}k1UmYL-B96@!%N~ndOXsOjnlW?c&vr zgfn#Z&3K3)<(mgP!!i8~q(?Jd_1+~Gv5!2LiV7p_4anbc+jvrqE!drK!zk+6WaKFN z23$}mx%24}5S;&#dOnWfIoAQXne?k}j>e^=)>g5!EcD%b3L8Q-D2iY5&SYads&kSG z1XTzKs@Xj(99cINy}O&g)BI*UV4Md|j;u}>J9G-S{;X_2dlN)dF=cl0Z8CDq^J#`AU_sjL(GdV%Wr$^f$$NhRA0@1t$M6*=P%rLp` zIfoCuZPqyA_*CQJz3OV~0_hZYk?$z1wLfau1!Icn?OXP%VWV6%!`*J1LZXk%${M{V z98{p6-@Z|kZg6Radb-yb}HX!Q3k4!f;6}aSE@B&85M1pf^ zm2z|VqmI#EMPnlJTuQJ$@a4P|i|KI)W`k0W zRZ`lp{9>u+$F=B=XhGTQfl*XJf&wZpRsH~$|C#OEb!2k0vu*Ilm}Bj_r00h(=esw! zY;Iq+)XnI8vNL8Rh)AFLi*pR+`krouN)^SaSISdcOtwq#wXEK4I}zKCnEF)(cpCfu zB{-?SloKQ%AnI#9Jl}I99;T{Lai@$kP)0x^->#v7bZz4EWzI!DOTVpYFF66~ax>3Z zwzYC}+@~+AquYT^!Y5EEWu03cr>qZT$&Dq2*+e$?H!JUz^D`t?8h!4#I_M3AIK7vq znM(ygn3`ng^~RF`j*^~RZTX3BdmH#t#&!`=JchFwy)lgF8Kv$|FC#;y6Q@boe1hbi zdk%Zq|I{h(P^jgHQukoPq8#g(N&`{EraT_+T=Y(&EJY|;9d5bqpR-vC)r|Dg%5}_W zUI%Qrtpx<|tKUGLG#$nA;e@?Uxf%KxQTS&%?x(CLHT2e9x2D<3d{HrIWazZYy41NV z;(Y^_@_8USQo~#3FgGzhI*nG_~HXtK}{$wAks4})m7YoQUvqA-so~)@IM`}x1#3l(fpMn1e zAXZN+0Ho`GP+cx3EvDuob0>lFrmNUgQi9jE z!}F{_hW!1HETrC-o?5VX_c8K~B&CC@8oZw#*8NL3A%$QgK5|XmZ_tC`h@a@i1}xT> z8vuMZ5OpW=vXq{)wIv0ObU}8N&yj`Kv#$+Fmh;j6_O! zb#L`TK?ODBtLl;`J~veM1wkIUOHNYUd>ZClyksxja(?pTF&U9z&ed znvum|5H+x@_db>vA1_mNMn%WG)-V4Xz?lF?S8N3DIhbg@a%K$c5^H=hZ*#vY(76P+ zAg_sh7O9@x1atfl%wsJ*MGw8|MGI2`b&<0cBd5)>iyIDmolYezF2k z{IE%N&v01nYXG1b(k0PF0w`65hJiz>ZAdGR7bP{pPags+~i@_*B__}guJRV~&3 zMh$TO)vK`QCQ{S(do|8uGKdm*A#p!{M<$=2?ox(jeJda2&1Jsh{mBy<`+YaDdj;%# zbbW?EniN3L5yG3Tx~;~`=H5gwME@|$H}tQvY_=yv2aip`AZ{u1)QS$R*ka+&8sZqQ zRTzJ*a9_cG?|eyMB2_+07IWdV9=Hxt3m94p7`l(FTR2wuaEeW2(76jCCj$6@y(d~raOvvCQ+w04O0u=uHi@|Q?RX%rXalc z+1{>I3G+h-le+0M)ZykYvM!c)z}AmmbGl)^mn z(Y4Uk1zJSPvP*OAOs9mN4jW7i8k%Hn`I3m|Hnb)d35_j*y^VNBXMAF;Tcyr4QFf=r zEg~TJFiyfVPxdAz)mHR;A2g^NVPqNPCfoacc1C@cqJKg7(B7Nv^^<-JMpGkpo83m!C&B zBI2J=yWgd4TF~wm_Xbi%s2D^ncloZMp8}}_6IAW2^!VqBytQazig15L(CDfx!32t@2Oj zA58YVA;6CQris_=+dW2BVIB?Gx~%a&%ouw=mwsblYb7V%@5^-ImM~Qb>GDbEWnCSh zQ5c5_`ILaC$xJLkK1VTlrgnK5Cgb>PY@9yOrZSq;{XDtV$(SR1(rtS7i4=u$rin+? zbq@V?XYF+}0x-)7Xhwxk$)&J#hN~O1`cUd`1tjL5cM9@n16Kfex>d;?6U|A18`>NV z-H2B}?ME~7Id=Cr|0O3)2Yvu-`T<}rbwiIdQR~U>%B_)g2a@Zut9!5ItVt*69x={j zU0#BnKKQ%JuwHo;9zBA@ipE6R!qn}C?krxryEK4fb^bioNKz2x^`dx?R6KP6Bewv6 zMzq#0dslZU%;9fC?Q8hh#a6Khg56Hq1dJ+tjt8%att9aXx6Jx+dJJ~=aj({52@7X3 z8)-sL1No1w3x~m(B&W!8+sBpU2R>4*t_O~^Co)IoPO;A?^6XkXsH_f|El8yM z;$8Cfxt?L@r%Z9%N%9WmV$Sa-HMnM=pp)Qnx>|rGz>L|>UH8=f_D@5fCOoh1=(vQ%a+U4KgUN_uwPr!`Rda^Cvh6p?~ox%WZ7dwo2AVBGRPH&3m)t z-13*N=Al|6Bv84tRkAJ|T%PqVHYvoltl24dOK$AOk!TL?EwG0l<(8VA=tmEv4z8a*-B)OvG@0m+|23@ZOv!x`Ni zeC3(!^__XX4r^_W&b&S2bF^T~4cL+4?EzFh;|$XQDI=w4+-D_G1MR0aQ?%IpaNCtb z*W$wPT*||vp|g|iThLw0pSbHX;yXQEl*7=q=;%f`COULzv)T4eMl)Bgem}O)yM6*^mz*im*^e3`10G*~ZLQ3OEIAwR45t+j{^wC}i!^4c;<}x+d72NcJDDUIf0-&3Y`K)FrF6(IqM~J;|SiK*~3#2jp{SwZ5o7YN~I(%?)}x?Ddb!b^7GzVim$!7VQ9!w zTdR()(dU#zk+B@pn%^If^dXy?L3d9Rw)BF8lyhetxT4*IdqzkA^XYz$vdkzeP*o;s z06W44Y$01-HkOZ_mNIej9`ke~WOl@>J8y8(KGe^yqTA5oW z`hRW-Pz1bHnfwkkC$@zNXiks)sOpj~wVgWCVzpe#9Rro$ z^LarD#-9?Th+torML|K3d%E@x4&U1*zI9$cT9olvW!D|Q^Cu_; zN)22eKZq&^#(`c9l#M>+Pga{(s~oD5iJ?MAOa9{_nT3OtJ=^m1d1X}23QV1jBKM7_ zn=#cq*5gv9pPsI=qmtw_lH3N_09XX98}CDNEx<%psFW~CQPtvsZ zhAc~sVdeH9_D_h-+H)=|*Qp!CsA8xtXM#9~7zp`@{{_Z)sohSp1 z>}wWG+=^{(Bb^M=1ud+TwDt+{YlsUA@ha(?l#siNL}FyTZ6BZguSK<;TCO#mV)7$N z_^JLc@DsHY@So2ncNga<=1uRBUYL*a(1X$uX&Op-84&x9fho`F8*4FZ6fcX(e6;I| zmrAPcvPN~n8ae;1!g^+|Lubu`n%_`AX!EE zs@o9}SIzz^@b2)9+nRz?y$0}<^6m7~1H%*2Li*N#XYZ5db!%j8p(+3F`;YJnt9RLS3EZ~Kdpj*i(ok-_^mQOr3^Y4=(Ujx#jUr?>&ZRdwK8<2Bsg_TIc^FRlHj65#8#tX*^T6T&gAY$S^t%eA6B^CRSxJvSrc zZMP~j1TsgHGIuGIbeV$BF?@xea!-W_)(WWnce_(v9{t>6^lSquuad_r{Q&Nhy0UiQ zx#^%4;6LX6iR@K7)?_{@pG7;8=W9T{6A9XkHP*bAw+sn^cH?BE6_d_MrB*nEdb?Ef z1O{5j8XV-W43x|!A6QHiVFbbc%+=mh!pLICg^ra7Bf&6LaHsaeVGZMkRJwKtdSHK4 z!0KPU{_hAdt?rsA+tUmkE~4cHsb95qQ$Fpb=7mA#>RV|BM~GC{P-$~oZmEjGWR!4V}vwDvAzKvCg)R@Zx!!@k77Asi0OuClvt zyu$SD@KxP0yxxm&y`;wKUtxL|>zt5uY27 znA7-GCfC8irnR|Z>o)0k8c3U-1iu-3krU&GamYvLNo*Sll{;GlZ~}3F6IiP%UjAIC zw##KxmAGk0aUKusMlemB+Li9%r=zz50*uxe4P;PwV3X89+G17r{&>i6byS@Y9c^f_ zYNVa-Ka{cI4C+i-00zi1)Pl8&#*jQLqQ-1yYOR}FGu|WX+6X7BbziD*02PQ#4`HkU zZTTVP0iwa!ZwNAO39%o8jDnZOdt zhfXo3Y<5D8P5HQwbidbSNfibRl_i_iMs zrQ$9AVgK`qpFkr-gNX6fTn27DKnLC zwJKNk(yHt4!;0$NlN>{gQT5xJ5kN%O?gG>s<@;F`JMR*idNu{J;wX3fVGAsJj z<)AF(SQt0RvlMT>5@2x>F8VaC&$;K`Db+-Dkfok4cHDmm91I7bw)iXmD|FE;PODy1 zkcsFbk*&+lD+EQcGotAZ7kGP^0o8c?dd|=l&~LoKneDoVOLay4{h&^+yzJn-{oz=c zF<1f-2OtM#mbNLVOB&(yQ}&>D4oTMJ%9~}u$=WYf#A%-T%Y8nEvI{0zm_YM+B-bD> zax@F7VxL5~&u*Lp`X*yRSL1c3oNH?gVU>+xGn));w$ZVK;|-AF?LJR{B!iO4PUe|W zm2X)p++>NBAwAc3DFuD01Q)5M!o>@~Pgnt8iDnpe1`;+IQ@G6m=ecjXaA%{5V+z@` zC}fW3#Lzn zcy??3Z@MzG-0RZcDQ;Unag#~0NxJs9Km#Omwhwfx?1NZg=f`=o``@DKln4{UZ4k~p zq}yk;7a4LwsNaII@MWZLHw}0GUrh=t+OP$`G`kknuD}3?efMldEm*-fFJK!Nsz3wV zCY>{P*aeVZi0P)U+hORh?<+}A;F42AO^I+rOIP4|w1DTyw@H@w2&)-w^cX*Nuo}Wm z39-5WWCu4G%gAy$3gi*Vddmyof}bqaY(6(9>*F0l<8w^tTn_|2S#Xz_nL|2;1r>_3 zKrBAWIrE3zD0-4tQ{9irfxK0ini}E5d=@$sr0#5qelrF72+o-BOt9ca4E6>1LZmiw?6qg4V)dEX#oTQ zc%Uw;Z$GI_8q#4u;)r=V^9r>W+IT{RgcZq!x34^WsqzFY@MNHOu$XBj$9Wv0=>L|L z92)PSXb{QDv>*q>Lk?6P(-^s4)-BWbo`ej{`OW)er!zj>jfz+sD=l$4f1J)9$g%x^ zrfaKc&-hG38!3Qr5?gkf^l}}2Vk`An*lD0j%qqSNbmD9#v$2GM$nH7Gg9}b-aQxiQ z(D*;s>FCb@Bh4g~kp0Qh29w{%Q;VMnsDZqB)U{iX>o}oiS5pxL@CzrP3xB?tsdsYR zoAJVoR$A|6)s&t_RsQwT8-T95%K#tD@|dmxK|UVlO~3I`7fBY%v5EF@F6{yZFDK(x zJ{21aAf}Z|1xS0QmEN+`ku?dHO=1Ps#-G2EC#Q8eR4Kq=sO)ZY^FIAlCuX@OEu2$p zwr>+Q7bHV^dAd~Y>TxcJUb-{<-S{7&zzj^XTT3+}=yB{s)7OM(v*BK~=YmAA(S zh~WImUIn_NlgTJf$f5F7PAp?n9jVC-$|qdC%Er6q2@K@a&-0HbP3bx%*UXtPxDDcw zmtC3!xpE;isVwGLSUM03Me&$9)?M+U$A5Ar4nrGsr`g`2I9uCDwiaoF=HN&QG)GhR zYnnA6q3#;O*I|Ziiat>GupqG?jcsExK@fN>ObM(LQuwKYbywiFE;zduZ1?Tm@76nh z={q)0s#ymtj2T%1K$1>5YuI{Y?QB@%b$de4!ACoJhvRg6>>QtSUnaO*2V%MpIudrO z`od1+On4w{ov}wMhOm}iyU0V z!2g3lCbN^zo2)unQYWMfyS)K3bs9$eT;t4B1Z^2&r4y{YYoNoLyx63pGWm=yu>3Sf zjpB#W%ITFTP_mXdC!q2z8Zd_^@4GgAH)E3!V)2y>A7+PgzbvhR%03ifjI1Lc-&hO8_N&qc1?(`84 z^q{9J?zbGDhA6#CU!om29h3-_^oNQW74&!up&EVM`J0r-{c)e9bWdQ^4mE|6D%f~? zGy$g*?f=}Qo2U2QEzsIiaFlpE@8Dbz@m`i-_p4k9uo@JF$Vt_ov?pWfGWamj3P0^G z-SB+3#<|H|aZ<|k|2ArY2Y%L;gK~VNe*}0Wh=Eoa{7sjF&w$aOCnqGk(C$LOFBNos z${OWqU)*_V;D$6n$n|1W19&oEh^!JG{Qz-tn-4sk;3El^h!qczn1^0$9()3h1KOCB zScfth6^ua6HW;m#{Ue?a)Pc2OH*>7azzWu21+Obki05`n;}wB?f{{-D@3C?1a51)rYR~?+27txpIhxCMnzFSD^1AEO5^ql5|ENZ6~Hd?u9>Us z9=QMghwk9w<0&K?eBU&cqs%4t6kITmBek8@$$i@iAW1#516B7KS2k0vryQR?awjBx z-HsDDsIE7M-K9m-|I-n56M)a|%;woo#92&c!>miWtyG8?0orW=GQfZ^KZpw5m>j6| zMN!ZF`ibN{x$rw%)FlLvu1{ddPAP=r{5U^pS? zp#0E6S7AtFa?FM*jSE^k8ZMY|qs51=bccwoWB}4_J+Voer+V!7-*6Aity>g~+gNUs+i7;A$jeGy)Eg2G)yA_ zSOv|SDXamgy6-xR#`}S=YgPj<8xLQkLgRC&MhH3NCP0n;f8{C9QT2k!PkZ?CX9dZ! z^Gi4=5UvAj;@3@}*bW5b8YpnnGmMMzQYSndnCVEYUvpOE4|pOb`p!6pq}5HWg{Foo zYlFU^kTkRe>;E+F0W?z8JI4u46HyDtVPIce$D3TJ0LtRzM`Z3vd#ubQvDY_^;6<8|KU^O z-p|+01*Ovn;0~6l+_J%d0(A#qwFCd18*6%DmT4Xkw}QOH@0jfu*7Y*wf1l#=Uw$~B?Zqi^X(j}9kX-t+s+L^?}zp$`BV-+Z@Y2?($2 zCq`MJA($DHA|$@^CuPu?c4O%S=<d2a^AIh4YY*6lnY76T+SpL0k)JC<;yTT>fyg{DUjfO~krlru7Y z!DLPrv%KyX+@z@V&)&h;tXOMdeAF)f8)zX_0A+`eFF&VQ0!r%SbYZ|Ybd4h#Lo;@6 z|LzJ}G!7{PIqh|@(DhE~Iklt8vrZ2$YJ=&B&mZz1?Pi21vpWx})^h2Sw&OuehyyKv z8PiL@bXh=`L*8t#bc&AS=D_L=c7-X65QP^$^<%lT2Gw~cNqu-mD7Pa`CMUt#^@#!Z zxGc2odR^1WSFER%FnO`Fgh$CcPnj@{f$D_*&n7F+ZL>;K+T3WF(6xi!%KLbrY5@oKR4Rt>)R8%Alh=EAUauM=JWk2(8UF94M+;k}k)gNCB~63}$lFRysB-qPuA+ zVl;N(a3`gE=}TD36hV@{=5qb$QD^@j7Xt}JGmM>D(NviR=pkHF;<+#C2f`*9;0+;S zbp<)r*m=IMTUC}_4tafl#oP{LcbV2qwG;btacP+TVN@tbN?-9*U)0+*pY)wcvD2zIXB9)b zVQ-gIPIwYHt ze&uzYWC`ivE|=GV+2B!KJO;APLRkb?A&U!!=iWV`+o?y{1`jX*>(lkpkI1C34LV^L%wYi=05k0 zN`v;7_bZmOG^CxKB)PZGy>1y|9?diMAv@H%#I=;MfqLIM^5cl);j-npyg#Q*)w?H{ z*GE5eg>`8V?0>G6k!E2CfYYxvLVSJvUB$_Hmk-?O)>t?iwHI@6!fAN3!o(xwX2XS5 z(B|onvO=&&HhZ%&k+nCALiTNTV1MEgh(Lw}f!7pGq2an805W+pCt5s<-heqYi(*p0 zlFpAm@4TVf{qBUtS<_wObZCs@SXs28>4vsRuZ_FaH?a^8XYM|E<*)8bZRl*p)a@Nw z* zjgIMt&QFh|n;rc>S)F72vM)?Th92Y{s&(dwwKh0}_MK0eQv7$UkHW)Af~uoeuRKE+ z+)#R_T#g0P8<-7;j#0xcmmE+V4X4Oai^ji)A}Ttv)HmFhhMGtYo0DAis=Ts`_v!rR zLbKcbQGE&a&O6ky_+)D65%)n#fG2M%Z3yS2Fqo#J{M-yx?UKD;BIJOXUwT;5^CAu6 z{+;XzYAQ99|a#DPb}X{{WWA*$FrLPTbM;DYNi>hN1$ zHIZGa&t?DU0A!+`?(~b|w5dYI;H@Zh+^V}D)IPy}w#hwH3%Zv&ldm=C4nszKdjoYw zYgdd|ERM;oIsPV_!^ywTZ9ZS`8ClHbRd9k|OH%$LYVvL8&NVp4!|Lo@4QE1V%IC(u zE@ja)M3JrUtc4W}ctz0e29C|^hbbChtD_6{ns)^avMr&QnV%v+B zJ8;oR!T;IeykCWxOMXB_zg^aF!FJ6;C92e|o)8uVXxqhs7yF{*c-+(N<@Jk9W!W%M zSO4)}OwIWAv9@)7kL_I?rWuXhx@#OzTwF`=clk^9!bBXP{l*ZJD`Ptcwbo~;Mc+?# zl2}?1wYo>elf3gLxSq`4w)C4K4{xf{=5p7`KF3hM!Ol`9@D9 zo}g{|B$zqF@p9MXk|p2k0xjf*79M(G`30&p{I5^TxLVGelaMq{xZ;Lkd5S2-1fw)9 zasiXFl@w7ErLG`fsUF($D}5>aQPqXdIZKl>18i+t6agIdQNI6zFYRTdx(4uY5z~p< zs%kljzMpSaJOsS+njj^3>`CF6-2qeorUz3ynuO7s-8glMdhmy#7`NV7o~`xaD98q+ z^vlw#C(?U?bcv9mxE)R`NJrDFxh)3yKaseL#!+jO7^ZRHIa~7^C8nt$hi#^r6OgV!u#|J zZJ&3+K07^YN)zNv_&?uB;Xtrqyx)B--JoB0ZLu}H7N!t-n1I4)u{aB?GO{ zPZu57P}C{$M{O!~lA12eJFHv`W(?~%iy@^PBz_Fj_*cdlz(zm5FM3MfduPmTa3zo1 zxjf+~ar}yO^WH$Tse~{`TWS4EyN}LO(wBOVOp&LPhVZ2`u38v0!`k{}D-`=QeqLhr z9@Oyu6hEVZ!!F(}F+Z-F7f3G^JPr)`m?S>a&)ezYKT_n};4ht>Bl3O!j;YAW0_fQA z1p0%4g^attjJD#3L1|n$qkVNnt%ARDY44;oN_LDK+`I`>oePme)gIL>F z2u+yCJ|pdomhUJU8ebUqD~vn}yEeeRcy^s~OiqV3qv4c+CE`^#3Sb-mwDAqRJ!p?>2N1aiCKJG$vC{@ zx@Mo`#S-rg`!#K*ecumveJo<=erWlV$!nf(&3iD-Z=97d?Mw~EGmA-N?ZWMZcZ5d6 ztugA z%!q*p4mxEgb*+)@<%MvWb~k~_EZBsU{j)FOGlf6%-&;nb>}wUqzPuS2zo2U#fVihU zYJWr)XKT3fgWj9}n&p=g9%ikmj)ia2x-gNi%WHF&T6(kgCiF6_FXHy@CoyOX6S4Ak{)Q@Bln#ab==@4!@j5q6+ETza31=;m zp!%hu+1o0MY2^B!vq{&BZ9VoB^LNF&f^w@1+ZQ&gd4~HgX~;TibM@ziL}a+{>+!fu zlukEtWeN;9NtreW{rK3eZifu_)s#MsUsFk>===V-MwrUW=Rr_w9pB#Ih-}>}@GD5O zbnzCqva-i;7=zJ@xW_f;$VHZ-izqH?h#TpIg~v+v#-8%KU6Y05G<&cBG=Y@Lof z&0r#!!of47Nfl_ne{6&!w+!zq*j?VH9b z2HZ=S#1iQBFdXB98!OINBq$x{gZE2!q1NcQtLqdQFAdswtV-H&I%%EA_$7q`Fury~ ztXlErVSz|e>#FbEsZ94oZ1~%I?5Is`^Y;4t=NW%LSzhyDsom@)$F*>gkjdxw_tqws z`w*(aL5W{8D}45jYMi79Q{wH6D^?ku%DWZ9LMCuss7jXh_@WSMb0f3z!c8s8YOVuf z_S@*z%EKk-jHs_}zm>A(LGr9 zy2aWvvsEi?74i0#hFN#Vx$;uVe%dRtVdQFFDn~>v5T6X;-%Xu}tP*UqS>;&zu5OUN zOEr_e(?s%lnH4hoYtpaGZ_LOvxwE)7cd6pmR$U8HzH3*B{~cj|?oBDp9MOa6abzpT zYYHPHfA^gQ<=S!Bl?xuxo3ORL@;}cEZ;^8Sk8h9d%et;OQMJqZ z5kAO>QvFrJpGww)TX)Ga`*9L-8L?C2;=Lcu0|kY;GP=bldavUxHTlz)$NJot(EqeR zo!tK9t=|5mv>JN8lNxb^Eet!@G&u90@o3HR=5tLc>sUxHqnu{d8cM87x{YMfm%)p5KMUi4mBuCL=y!w;K)&$AOo zP*E1*{Q_x+E4t|VE!Uy$4d?T7O$hO>2h>9^td*jOqtEYw%t@|G5DnBVicCtgm%-ZF zwf+d6ov_p3rFQUcIhcbNLN|fW5&HFIYsNYfpKn%Bkr?YYm)9qX`D}uS&o4jNdHmqC zL?`>1W|;TmFD-X7?Ztb)?Tfl1x@siwRh;#+7y6@E=ykL;O3XN#&TUI z9Ha+iY8aB1T(o@zhngC(eY4EpF!fu@?1# zfoU#cr)`@^|2X>7_!962=q0NQbGrViMv|!Gyn|2L0GxY)EOO?7WqaV@45^lpt3ydQ z)gn;wD7oE=amqZu5BYJr`)<~ofJOMFifUISY-^ffZe`A!^nmgSYfY-en-`ZC?K00- z>2AHzVd&kifTf44ZQLJdb5*a{%wrald#z9#Rjcsk>^kh9^XfEjIIezd9ma#m(a`)O zgd%CjU4Psw+n95!s_Gs~+R20X-LztM>t$ck+%nUM$ibTbpRdkCW@va9p4(yIXnWHk zIynaI5}u;C+~=QsCm4QdMndr%Q>nmR?fdrOE7`)^Nfj8f1(|=uLT1^8ag0lN_(r__ zej0^i3U=%6U7Ft!r-f*&!&NSduZABwbj9~6oxJ_|ALwdcVZtuH3$;^W7?e;r`QV@x z-(mec<>8)~cs%Z}ROiSiES@TGvsU()jIo!H(;;2x%~<`Z=#Y5{WxuDD#Yjs-tH(LZ z!b~~O=)dS_DI>Pm(Z%z5A`W5uhrXU2QR_`J@W8UU8(tAcXbaYV388Xqoq~4#c9+rr zhxr5NShPbkB1Z{jpvjL2qnzp&TJ_8!xNZeU{#w4+1}Bc z9zOr@_^H&#`$VD?LhU8v3>=vkmaEc!XzY1Ly;Sen6~2i`8al%Ji|1EV80{m35ZmwV z{vD9$36w!GCg8x;AxIiUH1&309-Rw0MQmG*3)?wVc@YxY!7Q;EZs;O2)?A27&rcD5 z$Le2;osCZ3HJpq>30JgTOQ1ug)^54<8T1JkY*=2-SL@#0I7RrH zf{TP+dhsm_murdK)mL1QDMyQ$Px+xH@Y(Q!TTk8|u~G5Jir*b2Z6aY&;o=5r8-LMf z4n1D6(fB(EMzB%}O@&2GM~Ttvu8(dGXFKG(eXw;Z%fny$n{c(AbY;YK)T>r5ae6g& zPJ}SHZ(M_Cdtjs0sxN9mzF2+|as+JayUZ^w_aIb*WWIJn@!c~La}x_Y-{uviwT-cd zuSfJeqifo-f(rOX^Huk)>uWHF*?TRr-!Fs5ipOX%NpdLcV`%mrF`2^FfglriCiDm2 zt)|g&?k=8Pf3A?KSY4&RtXDjAUv9TPogsVLy_r=O`Ve?e>fGjh-`0KRs7%Qf!>}xS z-&}u}?0#DjBKN%yzO&&ceO}K6a5A(lPZ8!w0TbLz0cQ@`R3js^HN?SH)LHyfj;i-o z2X=O8J=xZWE*uZOKb{y-;j>0W{h*Nrod8UqrM|H8TX_pO+3dE*8RI~Bsc%h3R>@7L zlqv-d(v=SLQ7>e~ay4-WJ`8TD+>+QJV#O?aiIv7tp+8P_POL)l_#b}mk^`-)(Q-A$ zd6ypScT;cu=%)5WFtszLEWT=NNGQS!!4>&hFv5S4%R7E9Pp(j~Wsu!P=*>8!1y65E zqb9ZI;~fK#kk5l$jvzIAc=_m4k7auBgSj6YA_Ja_?}yR&m($N;9r?JFZ`-9MHF!jo zt*ac6WFHMGNBteF_;R5Usa#kU*a>+Yk_kgJIyiJJ3++GMn78J4ar_&I^pm>%lk)~f zCTRu5ApSxoT?;)PD3O)@jMvd;8K0zE>CT7E!^PD)r*mXgxRk*sZC$Sd;_$BF|7DwX z_-Y)EM2s5{Z65pHJH5Zi@ggt(qD;Y~I4P%cb4RuO<|f1+>)aBj$N0$Su;tW!{cY@y zc%SVut{JDXHyae-i(u+j0xu3F?RVF5ORFk}$YZ@%qO!ZMryZbV!^=uIJD)0a{K20z zWTA6AmXwy!7{uB`{@H6G0@l;Ul2j+WMnn(tQmJ24+{&mC*4vYDY z#jYRqKYbX)cp=uZv1aa$XZ9ZSVQNwFt`)E1(zHK65dC-<1I^Y`ciFij^WHk1rwW9) za%Aivt81TQuggG1XUX^cl${+E(Y3(mEG;2`(xyy1E1~)`9uP7y7jF^1An4ad$O{D_ z9%I{P!Dc5az7!AYd+cr0oBvVNUp4G`J=W;%osAihyHGwq?TwX;vo{iWC{l#4vcRbh zzbt()A789Kv7fDcnwu}|Nsj!xDg5hZ<3p%x(dn#;JB=jF^MchuTbN)8^mBW2<}VU% zyKS5n((e8Phy>Lxw-2d~OLlKjt=Gn|)iolzpxe@L`LwLP;c>50&w@?|wFwc!k@B}z zK_W6qJ}G0WxBUoP-|ojBj-|ez>5wWWCalEC|1O*epZlBjPU)L>m1g8ZQZt?|vL z@1sN3YB$M*G~GVWGg{Qq{xlJ^dH4o)V-5ERI;PnB>zvZR?79SYg2ehhmXM#98Syha z>*FEz1qI<*pK=eO{(S2eZc}3LL5BIu?Xr}R-p3H4=AtRuBj~*6wZC;1nuXptkD^yY zZ<-K)l>&l?Q>hF-TixWnAfyDC8BSGu%Q(8SS@lo}QAn^GiE5pF?QUJZTY=YZxR-ha z^Dg!{|L+E*{@p;Oa<-NOJ8yhYZfbhcL_b1Hi5WW695D8HqAy0lg8z^ss2@%+D<+@y z9M#A&=e3^^TCOfU6Atb(A9j4Nm@~~bJh;0UI4WiCzk@WyiQ;`^%=*+W`PL0uroAVu zt)WK~t53$BSbS)jHq?-QA}sK;$D;J;1qaI*@3Ts&o8-K*l5A({&{O011hf$d7!K#u z|DENm3O08wDiIA49Z#UQlrCwPw0BrN_d|ib)k6}seL6?+{M>|$$DXB-f+@om?DLiLIVDxYpUx%LbbY%WV!E>X%*L7zLi@gt*5;BEL9d)Tv8M99V0hR&tlhN+%=K3-_ zpN;D4k$Ghwt-KaRqt}ym7f=J#TRszmM^G7quWS|_8z`AR(zNht4lWqqZ}S9)QK`>` ziifLGh+0%l5;R9~zk zA(WcKkGMkoiE}eM_Z zBFz!ekd+ZZY5wDa(o7@OS4wSKE@Is6yp69l2Duix4)Z#|?z_4yto`WLk&Yj#_Z*+# zw(lSBANkwy#3H}S_IXA}x4}@=k9Sa~O08vYsjG{O_iz$dlG#!)6Bn8-dvK(C-Q9A; zqRINBL#(WNTF2Udp3Cq6FND`d_1(?7SgUCrB@jR~KH`h$2){USH;wwmEI4dZ@78-) zYltu2Vm~2@6X5ihIs=IHz=G%-W*nq>ucV30i+&anh{{Y!36AQZYMtK|)hW{br`{PT`I z2mP)ow|I<`YW<1i{Z-G&r-jP()(zTmD}V7KsvjU?)BA;VygY@L5v9RP)Y>p5)n~Sq#LCqMhXK)NP|d73P_1G zqha*uE@>Dry2cp2(f5Yeb^Y&W_mlhK?`W%Req zBzPPJ>A(+-5(0~vWPbpo=f65 zbm@#Mr|w(RCL$d?D*yX9**1=9X;T80qc!d)N!!X*b%ifajDoC)=AvQm#SDs;-P*jo z(`cYoZ`8QPxG#Rh7feM9Cc2S$*B4nFa@n#oswPX-oJnqN1eO`z*4v(pSUxBni4j&H z%w`7o%d57~P;s79dsgc31v7mAM`Qsd`* zN*T31Xq6@&>4l>ni-QU_02)|s2Vo!B{QB~!VJ&Mi3`7UL*;tBhwUCOrRe!E9D*vV4B4xZ{4=Iti)K<%)t zncw+(Pd`v9))+Ad?={%>i2}QOg!+oUOGP}LpWhkFxbBa$>M0ZwV5E9SVeQ{LKz|U2hXGH zjlpv#^G|6dM|DNh2Kz_%mP)thxVq#@Z4j5tZQd6SA<@smnq{vc zHcPDPh3)*^70yn9t4WeDV)3hi?DIGa;3CBk{<_I2?2)1utd90LwMR_9J{~{#wlRP@ zAg5qD-M>};lyO<qOb?KNNV2zfa-iGE8#lt~G^T)i{A^$j@9D&-NYBvXyN9~(A zt>vfpK6C`lP>D`<=T3F?>Bsiaa?#*}d5IJR2xc!J%f=;$B+?47&n}t}X+kuv5lH*S z>-+S|g@kLHB&Cp#w8%~)+C;}aFUqS|K=(p?}rmouAgzy?#GwsU6!w=@@32Mk~^!sjII9-b3;mSn#SXAm`5){`m8 zJqjGLcQz^s_w?v*;r=6TxjClm-@l05UcUa5OVshZe#9cwW&Pzj$(UQu!g0GsrYjvp z&zRhVlQSnG^JH(*K!D85+IyuvK4_88VEbM!7P>Wm=nszFHlr50#}$RX z&C`o))0WS_SkN_H$2ur`EW)}N_bmQYf%S?#qbq837B}Um>MD|gx4)(Na~a>6oB0XM zLMQ1Z?KYC$QzQqjh0~G*mJ7{jfl5ty&=J12=$17V@~=D@Jh|1J>K3cd(z0y#EWM0R zBbos0wECuK-Kyi+Rueos+ERCu-aXKoE@V{c>P-YFHaT$$a&C+!OU5Y2pHvBlgY1q0 zhzF0w-olY81*4w(uIh3^F+|1R>erfo)Sdsa_3+%M&YgpWCAkjW0_s+q7}|!}Lg@7Z zpT5~tu~w9bcvP4DzFPP=;8QWwFW!?Lwiz7sDzLh;Q3T%_XnImCr32~0#>qiv( zyw+4;D%jY;X7-fAXCn&vBDWs&6Iih()C!Z3@p}te-e3)LU9FRMH5P;`PUYQq#t$Yc zebmDzUY$PBZ)8dPWZ6QU(e{9vTXfQk2u@Z1zDhmw#K7>pQ7i9_nUGPZxraFe11?3d zLxw#k^Rs)O7k_@C)_Kwjd$7kD5?+&Yu%eRDU-lf@NdTN110r{G&ANxo7e4l$Pjhyk zyueN)T1ByBq$%;#gC<7T;=4$9k?)C=H7&em6TY5pUGOf8Xt7D`(@VDzb6>8PQ0z>1J<49(-UzHpU6k*Ig`UKmRDoW-fl-w_KZYPxZ zfOM^MvK<@3?33<&-2Au*vez{Nj$;ND5vcz4G|wV>t(fx~ z_S?N!4dU&LPNII4UQbzeHfOeaR$xd275IfJ-j_nUA&iKyu};l-t!Z8!->ovfKU>)O znhNO0}4J*QK%0HPcNUp%i zj4aldk?{01M!v9|=F)wAcH#jM|J`x=+TB=@^;5gXZ=?LyM_{fw|GRU)kDKqgc)o8` zbhTv4&3d~kXD8JWe%_YTvPV|8b7*W?a0fZQJswI~GPeg3l9z6dmcczbt&@aJBwPI& zh1~U>mejDk7Y7tJ;diJwLTuAXE#E}Hs-C@%*c)3ZKF7w8OXP(cZqpte2vOw_3!z&s zotBTx5o4&yP5S&#d!X%(il6}@tZ_Yif_waV{sC6U3@k0^8P3jH8WbS$BcG5~%mT49ke$B&!K&=X>sR5&mnI}u ziXi2`mc{Q>``DCyv0HC29-%ihhl_TAU`|v%koe8b{5H^n(YRt9in=?9afWGI_W?xX zG7ldYQPN=L-h1J7I>}aXvv|EVwH*8lH++z^I14WA!6#|HWn37s~0;M}}vcGiV8?>kh=Y3fU7ZlVb_er2Svmq}C3ep1C&fBzw!H!xR*+ z2|I7JNSXGmw<8E_`w_l*K8z;T*q2?szhcjjlMRyd7tBa7Q}xiAGkoWR4aAcw2TH@|rlMltz_|4fQGojU}M+VPkaE?NeLm1no8atxVU zVA|KvBWs}-*tnew`}C1v_p5U~VV>8r(UhvEHn&YT9_U6dsWxlhW0xABI(z|<9B$kg z@ge4-<2S_B40gn@hGL>LYn6jwa=M7kT`Dm#xI;O0y^AA-uI*_&Ts0{MeURmxW;|Zk z5G(}7>c6on){Pyi*AHADubKl~-%YkN<3FW-IyD#U|EU;JpPjZ*83wnn2?gE0xpt*x zxYvxXhiH^a_?j$xPVW``Ae(M{FzSCF)EJ>$_F^@1h2tBYvBC?q!yf3d@$~ID96K<$ zu-`awBQAX8VTxp0f^v{?VidGpV>)Po#aGMq+0&ufHtKpZ{oW0yf;9~(L!a5p^9jW0Pnavgpe{q?K2 zEv$Twr2s1C4Y+1#jm=)e$%{`WvLkVwB+NJ@;;8;I_}do{gnbZfryXPlfX7nznwogV z@nENiQsWs~moi;QG9I18S3Sn_S(Mmveb4!k(w#kH%P=VR|pH{VPM%uzBdi?=#0l5f|iCnqazToZ!=*m~?9 zAWxO&5RmZX_c*2)VGaH%UD4*cpk?p^w{<3xNT7 z*!~U-5B8^m{lEzgXxWf-2%Li(+PrfL5c?xv>4n#u#0xy}U@H+Q#`pA&lyJK`^%Lwr zPbOXVzBafEi;Wu|ryNm~u26wT^ zaZ;#Fs_Y3dwke4eYh3V^gMeB6VWQ90JNFEeiuBKfI=3Op>T%}ZE$e1^`+Li<6LBWA zC^f;i46_2Q-Da#Z4oe6k(=M&F+cTs;7=*xYL>jyIa}U!lqP4S=9dRv0*r(vU1Q)~+ z_WMZQG;OG1T+g1k&(?s}BBeddDS-_(tEFG|wgT|-RnQL1w&4S8+m$t2+i|o9cqH;l z;k4y*NgmlrnWiUj0Qr=9kP_jG8GwrHf1|}#|L$NntXLvtWK{{k%R4VywA{1X zo;rGKi#mVCaqJD20VK)P6wr1t(V=I?0z~z+{^R~-@OcCHxA^MOmlfcn7&&3Az6|2jvP9JSw*zpa zaIrUw=4mLLw~?m=yxb%{T0$WxPTDAgvKGny(lEJ^urY3g*)-hidUz2v;Z}b7I&@tK zxlAv=>Jzg2bcy5?osNn)J&RsI3UCA)VQnx1o8ZBI+s4^BEal#(__tiyG-i&|UFva34b2R5EOnJ`co1qlOHQ3Or+k8Y zSn2L%lZ+tBRoQ{iwVDZ`ZJOr|uRI6oH1RZA z{MN^kv&OC0Yy9J?BK&CW-8<(VUd(-KXfCfwa9w??P% zs@8%z3>o6v%`&-Xu)J~Ze!_PCIxg`P4vsnM@(bpPb)m|qcVMpMEa1YSNp8y*Lx1A5UATKDrTf?`$(N$;GZhC%= zA2-^|m0Y|_;B)dSIWCp>E$2q4F7_X7(2*QdU&N|nLDwwNx;|>X@$>L~YOKqu!3u%u zfHLi{;2Zqy)thfW)MBJGrxQ!Cxp`||sK6p5y3^nN$?vi=sUoqudbBlPKWM07+$5?Y zM^fxlXMbt)Lrk{rtdNT)^I1Szo4bS|{AhYLiYctG@s8bf81@E-t$AAY$Tr~x0ENFF zYAT9Shg{AjiZdgTAVT-1%hQhPG04Mo+_RFtD+FhL7_Ws1s}z)<&hzhX)S(%!nI%+{ zvrBbJo8M?%9`4qO`Xm(#EgL6KXr6Q}mW%|BYOL-j2fd;!^5E&$EB@RcC$qq?)z081 zP_v^oT*qJ0s8kR@2|%0dmj;Zi%C@K6u3H7}d4DJNXlY&X$M-R~z%+Y(8>u9R1dGgD zQDH5K3LX>-Q-z!jnc6;<^-=|KCw9bvAr_+d8cX+^dsjj1nU>K%6OY8Yx6JZG`%Mb6 zKIu+X4riBNA6|w1fWrhe)fHAbk95?MCCxzzL2)Jqi>O!5yUW_7d;cZLMh;K~ocg?x2; z*<)Wtnf-t2u1xYVMKDB+`4_GqRc^HakF~yWot(xLybE1KPkN!_JG5^!KAn-ZM@u?O zAA>&IaDG>~eGY1(_fR(dT}**zar|fib(4@8myeTBzbT06z&Y!lU%8h|>@;mQ4@Hb|BwJL8}=!>4#(ii?D zW|InzH<0_yk3`gojpUjJn*@H^`P^8(`)66^yX~F=&rt*gHZ+^9bKBaO$|LF?Xagc_ zmi_LgrLB!2!2zlrTi17?L8OZk20b_SCZ-Ugb8ffScTIy152UPB;fvslXtMFfKC<0Y z@@fri0C~pb`;-O>h@)`|@$y7Cz2%$u;4wv|Icu}g5ndF0-vUf)6wG@^&)Z_f|1*O7 zpz!z^gKz@a2=$Yz#N6tQYksrgSET<1#5l&>!9r~=p4vX6g4d#zIzkzIsJ!IQ1m`zk z42!2ZIeJyHRB-6i*R17DGEMptJ=o>ZUVOpe0IK$B5yhptn!0#XN+VBaoT5nRxGP_D;gzxpExx1YE#J?4mxgw|;2%ZRzcgA~-c* zB9_|LZQOU!xwuXml)Y9@chC<%ildqk3a#F?6tLkQ!aUqT0%x}h9Ush1u1)hmg)c?x zOD&(@!nxVNn~er%)6pgV2&9Q?ExYQ7(;WTegV>P@JOi}DEKsx&P%lF`D97>w?uR~F(6gzn ziCIA(*mqTyG5ihar3pN1Fo%T=Yg%e~-E*e%cU5)rEQ-jhRItqh*x8>j;c36i5;-Fg z0`SZXNH~+vbbCj9r~82KX6I90=j-EsLW!T1&r>b42^1TCd&$CQUi0L9OcAKC(HjWs z=jHMbFyh6wfJMC#=hjSqJUd>S*ug`*8Ci|DOqb6fVP`6vXU}4?h=#NMSQP#i_6(Ps z3VAu-+m134g;wm?o&@NW?N>7ZzRfPO|6t=unwE4CUe)2_{nhl5*LcMaCJ1iMaGg6(R3AvVSv z8dINPQR(24Hy>k^vy?nBPkp9{Z^U=&zYKoevD(tZ1Qxn@0r#R?cC($D>PT)$*Ud6* zVDj|F_u$Y;KzL~hfMsj(sj#M}gj=D_L__o|j?PH2+wKS!)JTB@O*Qze>wRd<{ z2)+zM3s0NZV&3p-PX0KOJ2Pr&^~U8<(PS8pU6@DuTxKiyPo99lnaWnBYx=!klYYHD zd%5KL0>o)=drdI+{Cdp+?6SKb1fH7i%ZqqcX)BH;+mgVC%B<{K_RsjoT*U-`KFBfU za?sj2=`cdO2s{5w*KXs2w+)|omihjqy4iQu}K?+vVYo#Ox zNM4pX%N9+rxYuh&LwqIdoQdG#dKc`wHi0A;wJB5t=YMXT0+Lke9XLY2bCm2gZ!kPr zOm`i7lCY}ad)AN)f1tDlU(39g#}{D1{&B{Aqj+iwEuTqW+a4s;Ku1%6;i0PRly1-F z=Hht=E*Pd5sW<`WuxZX#xM)Ih-UI_+LWC>tH8jmFM_sb`JqnbM9c?Yu)?XMVXyx|_ zoZGi+e$UuEICbe#5rj0RQ|z9`7lgdV0w)y5&iSJ7O>XhGN^+tx^d&YE{!E8f+Y%VR z<-*g%q$MO<#`MC+5{|v-;wq$*S0vNXX+iKa`kHGtkmRo9NY0}U(>PW*oOBSYbT5=`|>xTe7f|q z?8G>E3ZVL~$^b?=&YU`&uTr`j%!JVS_EI3?lqXa4?j-ytVA@JeriCdErt9uiVR!^e zUQdYIS3R18yWcu*Y_zUZ)^h&pp9@IfWDGw;m+!)nexqWQjmJPwj|!814V)>R$G_tV z#UOv1nh!`OdH2rRadmGT&1dxb8aOJGqgAI34F{y(*mFm2SJ@ThlXwc%+xFQOyd!Qk zlsRL{6#jvc%+(RNqj;{Op_G!)8j(mukJq|HJ#pDBk;Wl>o%*LJxHkI^ zdG#R!TgU0LYQu#i{5f7^3YxB6x~8EzPdt()$*RAw44{6>oqT5;&-OH)t1J}mxCsdx z2OnfVxBp;uzp|#p#Sw8b1`nK-*-_{`dOsfcTdMjl@#awxJjYrkC(tVyZ1usV*U!&J zU3%mfcbP^_r@0&N%hfJ?x5IdNHec`AOK zRn3D!gDx7|Co=d2O)y6Sk_uGul!hueiiJ@OfL3ZbvdGZj{9T}Ka+URU=2E@(hX z2hvCnqYh1tI?RhwNWHg|;Kaoo{T{7#;;Mx0VCWodXn#og6tKEjk^6Nw|BG}pXKl(R zsQcUHl+Z0)DCo)P%S;MQ0lyIEO^MF&ZTu4ZOo#l4je|!qd87L`Fp@wKzAC3_qf!@h z%j(>PRV^Q|to%WK2DuRB*+)@bgQ>(m&2zf5Q&G{QvQ68m*w1+FfNi3n$WO$v^`H-( zQ)p34!_%4CuoqrzM&IE&;`GW<+AaqYe43zrv^ugGKT@3f2Wpa!#Cu~ zE{O@z_X)1svfX27wel{xS68Nh3UDW1l>1<@O1o+yCaf#nmSk8vljQOcPaszKJN@$Z zMmP<^#zEQh?e@tNt=8OFP2t&W^XyKNv{Pr7ZVyOALJ@KZT)CHwip|OfaPGgf%OTcy z=xS&ccfNrqEf!32#d?R(CXx{2n!e3sO-FhquE+WTu?5_ZtE~G04S9{ht%M?8QeCsd zH~0CR+rJo-j!+EVmbPP}&R_2HKukrEgw0cymP5|85+CJ8trOd9RrJ`cEj$|SZC>gx zIpCeh7lp+-wlO(E|E;bw<}5xOND9 zh$|*n`xJN}`8^_zqUeICh)~Z$Ydp|)zlvSyn6$FCa2652Z3Y&;2PBQXVMseSeQ;Zs ze(vq_puuw$@v(vJNMfm}RPS=A!Nl-htKgdPx!1;1rA7jFA$50FjkuW$;9eXi$FEA! z)k2_av!Q02iDW7*S?l+9pFb-Dzv}J%Qjxp!EYA4FV(Xj7lgiBg1;(hOj0CN2$9>3n z9QVYpy15*8k?Y~x`I?;$G^oEd{SUZkPBO&b7JTHz`>?R_uDMm*^>J)Fq-Z@u1!``V zke99Zu&rf85Wuce>D`|%F1E_VAs0d96uX{6FVPwJK%ru|vFt*}$3xty;NzTst%NIE zo6F8R>CvrEZ-wipO>Qak$1qjpKrpWS4-6A{I#ndkSKE=*57+nmrLHC#*bmN%vZ%Hfu@DM$BnlPS#tv*}WQ(>?viNOwxseCPU-~YUbq|H&OyQuc3I|%+(p2M!HQ6X{M2U;wG7Z z3m9tSoyM7if}72ao_^u#%NSzAuEL>(>whw|>v5U+FHNR5y=Bg{j+ALY}(z&c#mV#y!YEd$B*FDmzibWj9t9jI-T0LpfB&mqx5ov^LrBdyDJY&61o< zhlRjNGs!@b+vW7hGBpFkrh7G{>LPCda?x+f8mBtEL`TWIb}a(^1g6lXTKI2o_D%g( z_0sLHnFHGf%no-+-^IECpNwLMJLEtEPmMwcJUuyB;J6Td_boHL{V>ahX4=x?4 zekyH!l>d@fiE;L{U%m6AwO_?FE=S(E+ZCXa<3Br7T%x&h#k%9uCDwi1<@#Y+q#mp{ ztc*Ahemog`iI}BqRbm9rp3HSJ$#8-y1l04hwdoi5CAoVc8z0)`%3(L}Wkv~CWXp?YXx3D@p9K;8B08KnYB4R za6WUo)7(eQHJTkjW!|JTA^LLF!Ycw~PmQ&DaQUgGcH-^f4cCx7>(G#gTx`aVsbU+a^Z&pRH; z-{!LNy)#L-t6mxRKUuzoNb76l>dltx6wKQI(s4*4qBWp>-d30BLdPGryFD9 zb6y%Ct#H3ET^UdT#+TjJaFmn(W%cg&+*sC$l)(Or&26fNEd#&h-pvy6#kVVEKZy!q zIfk7uX}s2cR)z(Jxvf4)53bjppndEEfdN?0b1g4OCL1D=2+H^9uV%p@b5@@#Fn)Zwvx@z@iOMCuXuw_X#l zJ)zKr)-~Bh;l$8>PcGB%%%XuV_w}mSh-Lj3AaNByLhxtGu2$kgR70x4U_@KEtt>f$ zetKW>=s8Vm4vDI!Ze6C8e!wZ=tkI{1sdbJne(jnf=U{w)PXu~>rDUp3cA-@YR7o^u zv~0*Vc;^;g%yr0-oP!?vaFp*?is$FF>MVR#y~?*sMUM{#gU(?eAhZkYi*3c0tKvU( zk}UTxt7nEe&2irA#r&&zj<#ak{BHI*3X?8f&$9H-;PJ-E1Xa$7rkIS5kCF_tlq!W0 zs6Nq&gS~~R-;!xF-3N%peVxrkdby-1lc+>t68Pbf=$V^SO;Hr4pA(%^F^lgLYUKr> z_k3{T+z`ap-*5OG3A+=(FgfT^-38LV;E(GZ99t>u-zq*5?;79faGg$VwRyaBs}p*# z)0*lt30JYU?5gSfb)a{0XT!i}I}JMybqQd4wBm4h`H10C?1D_-9Fz8wZ?YTkL^ZU} za%_k0Vq^7{>ZPk!TlL0G94B4KF?V)ti2f{_zN-m8To;0=>VQ|^D{Q@byn-N}zyJw5 zu)^hFe$~692FivN*jpn~iycw1BTliB&;{iX!*PYPq|P){^sC0R_UZ0Hkq|=tAYS>_ zxl6~Cga-|c{$DyrVG_0k8db*bBJ1A+VJ6BgOqAffnN|?YXR^hc))tv-ck$andFX_F zAI#Lx_0IR0puj?u`*EhMZcp%H1gjxuE#V<3Zy$|BoxGvler1k_`R#iOYpbqozEPxmD@&s7S8yEJ;0q7u;cu*ZzAdnE-v$$vGc_DMTxo-cs|{4$KqSgdH00FJ)KAjd^EOL zqu@zhr1f5(df=ljNw`bBCDeGvPrZ>g`cn`6cr>BR-tRr7GHd!Q-9<4%)~W^51B#cW zITD(`?~}003Vr<`6mf7UPsMP-il-SL=*fW&uK`Qeh7`>DAB+_gRe|j)`qB&T(-9Uq z7=vTIS+5g0W}E^cV`czyzn z9Aunt*ya8G$wu*!t-f23T}FGw69TZ1=(_i|&PL+P5gn!oh3186**)WKm?!YAA2sT+UtM>{rW1ZN6^Fo?Q$Ge>z;1F*G*;E}>_&M)mHq$V zs@vE_NudTeNHHJr!sTxY6`+Ke*`A#(k_kM&>YT*Bu7|C9Av{G0eKje%WM9Gik0=%F z$CfhoufQXAbO^Q!;G;3&h7W(t(|;Jj7Dcf&E^IHr`Olhk z4pN<;FHE~3y6ZI}+MIu__aU|^qzJgZE_(BVeQ5Nz&1o|EqrWyT7}ulssf?EM>bN)p zVQ_mfhT`4-OM$CDv10$koManB=!<`+mcU%u+;hO8$4xdNS6OiaV_;(TMna_2w(%tLUZXVXZ_bCa6&%M$9r{k)r3vhe_W zrQr1!m6-p2c@57I`_mlL7j5JI%z}rN zu$$}8@BFtl7SiBri^9Y}Jshq#>v<|4!o`icBEF`v(*I3XNmS;iWGNXG|3T(?F<-&2@Tvk=a)W3CxzP1mDdvJjKzA1^%UzFc`M)~Ayy=}nr88GKYa#f8YP4-w zWcw+Skqg+ZHI0S^cVqrNB!&);ivsG>DCiR$&NeZAuc^o%BH4P>&NCY2>f6KfdJ+d9IQ_s?Fjp)8qBA_lzo z{BTUOs!`#+h-U6}^kw_uf}wU_ddiH_4(&0|?Kf^j{{$6H!4x{l7|qY+-!n>W=wnRy zUo5(vY%79GU=chjB&byt(7(i$kTH3L9p%t^=M5sFqBz`u1kQ8Ny1mszp(Ao=kGG;V z8bi6|llcpmrn~w!v#bf@A_UyawcrUeh=-f`-vuEwHIt8Ke~U=g$BBjiM_o2w%@DX& z0j$VF(5&p7J-PTm3Q&~aTNNH>@Jm_wl>JpAXpbans4J387Dz;I5) zo2Qxe7YKn_&5_u8TX;5P&~E0J1;Xd! zBwgcG`F@r%iFV4T3ZHzw$&{-x)%AXD>}DGu!4L9W_*m@)G@TZnGCY)TY!FiTcOO@b z4a9(f!(S%A-%{jGXW1gn=nMGW2k1~8P8K@T%d^|+2D$)^<93^jsDoKa1j4cOhzq|q z1?&nl5bQ7(O_BYVl`mMReFa0{q&-`;n8Qy{LZB;@r!4OHbMoz5`H3LSsUtbKp}srG$%PfH2_ zrR^8zethKkU^@RDVGXC=S;B3%Sb41}p!Bm5EZ#Zl9hU!w#Zt*l zbZz#T!CP<1zm+FgjYoGSFMIn08cYlGkZ^AAc+{i-nbh6{ven$*EQCFRAz0^)#_K7007Ch;K(4SGyIr5ZL!1x+yB z&{E)IkUguad1LI@>4p|m`cFe%%v<0UkU@Qdm}4lu981nLXn>=lHIFEpS2XR#_%P+{Qr9|HczlhY7G-gng*MYN`g=%^<<2DTZpvRf zGN)v6ZxK@V&eEqq@0cKWvVG-4LQ~Ry6C1G1sd4sGAJQr8S!taHy8IN-3~PU9+39AQ zsWn|RqFeX8caO{cyL`^famMpH)b@7rH*x(8T-NjNx7}`GCC@le4BPglTB-TwiCtAf zyZuBZz?s*2*4foo8a%S)B$%n|01Yq`F02If@6~=A^!>$Y;0kis6|X#Vb+IzK^Oo~4 zxsjd8KRA7IVOPAC6gO(Zc*IP zom8(8et~gnBoILBu7k=}6#o%9OT^rrPo3S1^ zE#!dBfBu&;EISWylm_jup6j2T_(6y=2B!15C5TH}l9ZLY)_Oavb(1)foZ`JhxFsli zl&b`U31HE);T=m;`K>BMPlSZHNQe~AzV?=68jfH-K2Oo0q?_QvI>qP5>j9_W>CY#947q!w%_Ekcg^!SZ13xO1H5M6s2lxwen**N z#lNE0sh*F+@G?;^CXP_20s53LK>WTzMX6p=<*rzhL|hZ(+4k4kiVC;L;-xyi)$_R& zMJ65WVAq#_FYn=m-&dF%!?X*liER3*v6U||R(`^wbQpbi_Byw4&`-+SUCMK_54>ml zKSsT);hI@#S}7J3de?3QQm1xLT{%Xo-Jf}AI$0_66b&Y^S_kQOFTDg_gB8Yu%G=G{ zQZTcId+qm+qR{`@q4^~o!}KtICSeU0B1k2d2#vy4J5F~AGjizrz`B%z*6-pk0PG8D zx#gqW5DIumjk|#Aa&zKvW5cW_o`#FvaK?+RBS5J@OxZ<@>Ptbrr&?>rL-)OG`x1%_ z%jWbPh;Ai`XlhtH(0xoR$<(lX-6}SIGLt;b2lrd6u@IsE2UuVeya71lW3vb}r5>`e zBME;pFss2Czu}r-1xw_5F)|v*^Pb+LP_MSk?7qS;7Z+j5qW$?Wuu|7lX+zPdlNi8C z&205chJ)!18JK3{h+OIIhjB?q`I?UIaVG9YIny4sVPYfPbxsZJhxteaVJ4v;=+fH^O~`CldZ@`4SofE2n~BJR|i;=e)+QLo|1xy|DkQ{4QFLXJyy zHbdQC^r>x-nvLQ}Y`0({60CUI)911C_S1@K!DOwwF7TA}RQped%66vO+lDF ztjelrG0uS1HkkS##kTr1EsJs&PeL_q3{gl@zyh9jb8@A zE(2*+T(NGl)57AT)?^*g6}ig^e{|CgL&w!~1IMY;tP(DSH$I(@uBJi6VJk5ARFQUJ ztx_B8$b}+Qc@t^&e)62y+^V?Cj*@DcX`Q_)0{L@l0#y(&{Ga5o(D}NA7*n|Vgc_5` zMtxgOJ*If$KwPlltwT1mn%0$qm~YeW!j{usbZ#=03jV3aY`89*vnPl7{s?nog zzIK)_4(5MkyaTH|^xB(PR~}@oM~zeTPW6ZzI|HeQ-R#)VNas3?!K?7o{EX-Snb?q5 zI5v3_28E4U=+^AId@2Q;S6E#O5$g@A36|_bS(s=Z%{Tb;)LAF2PW{m;P++q&ADKP8 zxaXfvF}!S%87JRTVxN-euRNV(;fk6?y5D@Ron)$iWU|bg3NoJw$}cO6E2`qAftyVImtRfSqM{^QTQ`OR?_@AEsCkBHWNzkwuegNknOn#QP0+Vm5)8710mP!n-^ z>Ah|TMhOGw3CNg7AUHVE{LJ4*Q zg}rE$-YbT!-Jl+cVZLCfJ=xj#N${UneL$fThM6_+JcHjj&}f2XCRXeRU&21m52gEk zeaXJR-bH|L^j6KXR*&*$F&E3*c(}%7sj$ImJFDlek)WruW?>9j+1@kdI69qPcHk$# z&~rN8%ae0-F?FeKwbLnZwQZfd83z26r5W;3aY9YQ3%PB<@KQ!2+g}-V64sy|5SGuF zm?Tdnea8CSg68#E)Is9%KSnr_xcGBz@WA8{qUpAqOYvCUrsB9X%sE=b2 zGQKBd{z<&{Nrj!6(5z@r>IcnQ(G%|X#hs|QuSlNG)f*oyNod*vFK#Lu1jahbOil9& z^FVmLl`GL>R<<%sYh%4HzaRfbw9>9A(lXS8yZ8|1uH7hsQ+<4R=S`1#Q=_ z-K`^)SXeJM>7C@RYft|@tlA~4{luq}T`kO*ujEX~;+V0B%I2%>18<_5Njp`$dho4} z0<8t({mM6Mt>6MO+|0%?wXjUpB7`eaR9`-Oz9C;n%@<5}j(G|y{cx+>CSTI+(xPXU zdobi9$BH=9!k6Y4`{Fhu8<=*ruEdnF%D+)3uBiZvNmeP6`=;q5GfPV>I7hKp=tvbm zFucf6yZw|6y+#ydl?^L%V-fDYY0c?=P`VICbafG<#1IV z@v$|f-ZuLsKK;0b4bi$@vEd@*S#c=x!+Oky;gPAs@xAZIMdX(Mj(*?ieo@%|Z%TRQ zuz{b!@(*hJ&84M8B?H`ubFi})y}KD@;-{Te=SUM4HHIcu6ID`c1<{KWGqJKwca8x% zq7X5~vrYd{czS7M(DJ)oMeb5wZz@@E5hX&o;Oyq8xEX}b%8`0x@%gK{|JxV|Ysu|h zL?TsC*wDa~?$=6X`}5E0xk^Q{&$LcGs?90}ZAyQ4WzJFyZ_P`wnp^SG$g6%#QLA2c zKHgZGJQai0#){3dlM8Zx$Ic}pcGsY)-~n`GNO{alyX2E0!fGlyzolvHxDqz^lC z;4O>f;XZuL?uM}F%KVT&F09uvrDd&R|9RrEVmqy2$srmD7xae<~eGWKWa#P!#YyWb-2a92dvs{vBkd- z4EB?0tQ8xi6z;#x*FV3-sXGlpzb`t8vu{Wp-)t~J5P$H_u~?E(jrTwxW((6~cBeO+ z!RR`8IpeK&M){hXxMq*P`bR{L1;laFbRylUBycY(;vn@Y4*9p!)BG{XMXq`WunqQI zPKaO3k4j7p|3{|!hch|z%T#1%K_uH$`2{Yf>xCE>;jjY<_9B=wzBjohZ=^1`Jtmi- z6ZFZyLn0SrQZFS+mQ3<;{jEbgqlWu^Gsu=$Mai|n(PE(*CjFA76t2Az8|>xN;JP4L z8n^!|!ZOQYQytpxZPG;suX}JVk$x&HFQk%(+3LrcXZ)rV^C`0f7q>l3xu+dgNv*NK z2JBB;G|L|2D2Fh~eMSVqW!8h$CpaO3%&`b7=$a?}v>QTVuy@)}TxFY@Fm33tnaShW zc6!1)!f6^XUgV}I3{|;47Ef$PKD{^^jz1R|LRSO=b0|<4KB?6!*QN|-@s1Pq_;Z_i z{bA0(;o&u31kM47ZKol+R8q7z(l~<9c zALeNx%HRP*yf6=nA~N*{48q2?+;fAaQ0-5~TN`=zer=vq+IGqaX(C%{BkgCjSch6! z_yW;NLWq0~7Bg5-Q8y^D4)WHcr^)l2vwGzdLEb9Qqpzr;;^ut;aoS>+P8P16p&)O? ztl0l!F6t3h0c_q*8u%K$Tv~-y9LaCL?_m#U_+;}32qd?jdVbzk%6?3}ZkaBJrtaRV z@azi&?v!apd>9#P4KZt;b#*%`U7T0)tRQ1XE9T}W#R$LBwy3#9PR!eaKL-BU^VP;8 zdCK*XSASiT(r`{y;q@A(#|e&x$BV*KA8i2J?(fzbxsjuNrRTx$fbCo~Y^x8VeO^2o z_os=;E`;L$z#ak@vv`Q`Yx~lOV8aPy8y+7SW2?`*D~I8v&JLme+7*aLm@&`J>kzlMbUJbpREWj=1=`n%8jvZCF)> zl2!Q2&=qR)e%m3iR$JnMAP_FK?XS5~HY1i$Wr%W1_Z%2*As?xEf-y_#a+b=|h!J3s_2NI%1rI+jt7(iUGOu$A+!uBMa_Tt0d+lhQOMuLN;d4v0*V^4<1<&9_b6g&1x>Wdt_YIwd%XiHQA z)VQ>RC1_$bT2)FnU-MKBceu8l#nd?zO%=vrhw;2jrj$l?OM(hX=4MuZ-Sa{bo~=V1 zRgtm(r!S}nC4{^5;}M3h7Pm{hzT77H?m!S&z}L`2;{G zvu|Z(vVz+^6ke_Ejn?K;f%47O(E1RE0%rB=QZErwEFo|*imQp8SNUBloNkC~r{=`A zQUoqhzT4b_B_6w`+3x1&aDN8s^2H zsTFvq)|oT3Rf(T4QFIB`Jeg$q2slrzJ4sr8{zufngj$RRY9z{fFg{OKWOdB7xqS=Ix zPlDj0?RT+SAv?V!m&>zyn)=1%^Kfcb+DCO}0EnKZ{U?qAptQ-qgzX;ND>+y*wkGte zY0RHmX&5r9sDnQnBt<52+SeJF3$5pNpC!OkaxHZXekt4hr;a<%`Z94tHe8)0Kk#gz zBCpcW_XmA+*|SweI<2Y~c52PVb1m5QGn^IQi9Ot)Uyb+72ygQCAsQ#w z|Jh;1!BmNTH!gPc*K+(}3~%ELr|?|a#8^0~I6r3vL*ds6lqm<%Wn+id6oIjB4Mn}P znw09-bx7Nr6~n)2d7FJ^^tq}wxONB1e!~tF&LvDSlvW3ST`@VR92ux{x^gE8+qK=& zNR!@-?aW1-ayzX178&fo-cSACy+0HEV;sn+JsIGTUU`|TFLd|ee{a9*D|3uH&ay70 zo$_fe>zXUm3PGtJ@5hg=s%f^%=G=3x*T_U%W3U)156<@BsjY#VUa9ma!0=gni%;WF z**s_?&${0tSE@GppH1?5_Rm&%QZw3kvd^mfY0Jd4YG{dZ59K-nx=>*A`eQ?b(qoh}|o*jUC>;NzlrN}2om)BuoS z7sP}eYe%~5s#+V%9NZ1Am8y)N>;yS&RU?-Qz2p!Ulm}3zcg})T&$0k|G;+gxi70iPzqKS5x|kd6wJR#ruZ< zc7|Ptk-Jrk#h*IKe{U~ve_&|xLuw6|gs4HH+VMe5q?JS?P|O~vE?yLeYsn;xCp?-{ zTvB_Gv#Og}EYoZT`?`v(WtDXJ_{c!H0%|arYZ6SWtUoo^Ujq<-P@)9UZY31E=BD|- zR{+l+SH&E@SCmi2k9^fnn9Unm!e7^tS z;+^x)LVYY{n=FbM+Pl_*Dq9a3&J0}HNSJDFg~WGDh6Zmnsj-4khRD{ET;Cqbd%WIb zT^q&_t0{EWm`yMo87Pc8o;F;Z{?wiN`!I8d{bLV`b7@w%uL*jlbxpOLgzKq)kNp)x zB@}YeC=LS&scn)s>PiqMz3Qg?OdH-)l7J*8>xNOx&6C-_VWpu*czQ7z_i6<(#s8l< zpXvUXXpB>t{W{!+RlSqybV6!dP{!% zUdxPX5Htompk8h`xym&USNh+@AquKe_`N+hWqj3xR70vSb?n;w%M*8m{Ru&TJ(QJA z*7Th?^5d72weIlU8f7i7LCAsbm3LTrQ6ZEZ>P_Wd9RPy8RpM z7qPDuYPVvY>D8hsU2n&_Qw7-?wpgg9gPG3#t400GS1`L5jhDGizWIqp^^A)rb3iGm zdMVcfx{~*Y}xBkyOT8L-)));-0TIppM2iMZ_OcQ&l86PP}LIsr_A{wzEf;3Xs zEtXb1`q^NBOz1&Y&~v*fzQTX61C_bGnuB(=JC7$+#=gv4wa~R17ac9y_T%9S%c?#; zK$|VP!8&Rd#5=YfN}Z51-RM03^&Hj$@&UrPWFyulUrb-Xerc(d+!~-N1Vg)n-2w#ou!Gkvnu~5S8Kg$CM3Es?JfR9>CsmSU7GhYRuLxs)| z^Q^>wpVdGCWPo_mw0v>=|NHGP2L2Br|3@MJ;f3=jd_V{D7eIJ*9-r7f(toutzy6;r zfDnE~`2T7Q|K1}&wI|;Xyjh{Y%mw&9=FBGG^-Dee4q&l1xc@MxdoI6Ds>z)<%L1Ue zBVbH-Cd0$O$pOCp{92|KnvHx6ilMEp01!<-iGKg9kiCHd+DGSXG`C-`ft>^}s{tc* zj|OJ5ps%ksrphS)n1%I=!B)R)kW18OyQ#UTN&5L$& zsRqZ(nbO#B-{0hba#D8FT!nvJ+_knKkv~{4H1_1q2-d|OyQ!Hh^pd(u{Eno1Y?O>U z&?c_LWC?R{03q`T7T14zzX5uw@be>^*fdl(EB3i$n7x)S%{(+c)``0T;w2I0PSN`kO&2o9HPuaW@x%zToJpdEyQ^}l(W@yt&5zFIN*7b&7SzU;2 zmT?-oEs*RXP0yPqU0)V$$=2JAq?1BhaD5GXBK9U%-5c9up2JWcpX9!KzNbDynxw1V#6+5?mF6xvobAs940(Xa(hl_4(S@2><^|E6_Nh`(faO*{BBUZwK4}UMDsN@ zgOU=pZ=_2Z^A~hR9aEDPMe7+ZZo1p99JYu|_sb6s0IDNoBXfrO2Vu5EGsfft#*b6u z?bYKyCS5UtsmHG0x&k(JM2w$PR$3C9TC8-oraj+J%Lt7(c698i@B#qA{UFYnf3sf9 zpqXJhO`111zExXmc|wG4G_W{*B=a||k@ppBsx+6_xJhhfK6km>Yf|SCBLV8rvtf9w z{-aX^MxaM8t$YzEPAYz6E-cu;x)*12$mOqJH2YXHIk8C8zZkxCy}j?cIYJ}8@%<@l zs$?4I`;VolcM8n-3DD_dn0!*)J5pvJ2~ zu`)M+_T0}c0QK|$1VTV6PuVS`iiVoAs7VAO-Gj*3<(@fccREc*Jho<1bNZ{ZHloD= znG}-uFT`D+-}^4k)Lu9%KMgratj?+z{WE%f){M6id@4nNexeCI}?JO-p)Jd=87?an}x( zdqOpjtei;;ebJyu!}s;N>BxZx_Vz2x1`bFAD;+3cA_hcdL{N^CpMD)G__1K}3c!7|rfv|(j>>|HC--%d3Liw1^Xi*f%uVCRu{OBFInfz-d!!Q1zwkey;2pYCL|{MGfo{M0BzIw=X}C?_46UAnc2kldZ}WX zh^!ofTqShPH|6SLm$|)?hMX@%w;l7L3U&X064b{D0HHb0bx%{kFuq}pSrb#c8|1do zCXiT!h4GcEla}Na)I_xN2S9=#qK5q!5&|%w?~;DY*!?Lr?Pf_&mN!49*%y6IM0GXo zD3rRQhI3W{hwn}x_gA95=^073s2~+20HBdTn!m^<`s zCC7>RwH?Z)tYJRuX>LLUCeXViTZwj@Zh+atYZyorn#&>rjBvG5)Hog8x9S1p{ee4*WHs zb1RiZov=mbk1*%Y8Nu}>++XX%D{`Lyr4Owd+!rXD_Z$TANS5UJoMr%NAvEQ>uC=I9O>tSpMwn=x9ou3HxsTiGUKcfc@jMMn;nUMZO!-==TSEwn1>boE&uBCj`d{J=S{M zCrNVqCWlB-sknMd&K-Nb(Du>#&g|!c9uYG^eOfSK-z7oS6Byt>JL|oL;wJ5(irFFc z)Fya1LBoFO*9+fQ_lz!xGafTJPp_U#B<&_WdGJhe*=25RZzl)&(qmOn(HQcF83pw< zz-*oG)^IgmB9_P_Iw?D=5yvBsUdRHAy3*c%d0fvPcy|cjnDE=5aThzfI=7LgO_-2= z)vX(q)P%wU%ybI%m-y`Qz>ZJOlVwsd*I{GL$ewe+%wD4IAN&j1>?=^TBLoXz-OOhh z)}4K1q}kr!R<6hhg2i>avn3UvqLGkZ@C6EB|0QBAFvZh%*^0 zMDs&C(5q6xUDtetndmGe5NF8tTg#(%&Js%IeD~)snDz?z2mt^#CeD-y`AZ_eLPXzN z!X#)~Vf`dZL;8~tk9Q%Vm>su9Q5ym7bBU{W;PNEIyy;v+AsuwJV@`Qtyi$$aouIUS z$b;EJ!EJB=j`e_~TK>gx8nSrVBLmsS6!CKx>5|3w>onNkBr9I43ya{wZoMv6iCdc& z^wc`bHu!|qb{a6WTft(Uw1D8sy{J@bzB!&)W%z_GlBU%0uYV5xc?-E!zQzqC7`G?_ z>D^OsI3mmW1QjgOYDB4mMv#erjJP+;*KaTOgs}owxU;lOr(ywVT4*<`Xr-#GRJ-!drT2+1{24~ft5ozg_k{70Z*;J(@tP^R`9(lm#-=q8 z1ZTJ%ZCqz?IPjZ7Dd7CJCk$&)*&JOeE;?%1%0%{qAHO#XInhC=|A_f7t&*NKP{CX- zUbMyI0BO<-1_EX5z}e*d<9BzXx=|YcM&oZ;zcj&o$&&(_$nScBtC`WMM1|3juqItq z-szHvL_H3=so?$E?3Zi49GXicR9JW>;!^4yuOw%wTsYk!pi5g(_ppCC zqcXa4WBv?-U2wkC2IF7S=?&9t7=TErt z?_1?Q_}1WNHD)K#W2(Gzi0C)uIQ|ZD-|iv-SXY{`k_GhNb&W%%mj>jXY~pzA9^|^S z@s2EcDBBzaeuj{pqFtM z31nkzv=TB`7G9jUmf;KRKQcYCUQp9fM;S&e`85Z`X?;uB+H1~{r27N@nGl&WU;H$@qE25J$;+HQWbJ1u zDbSCIX8n6MgznieGUT!vq}r{tG5U;@ITXI7Ad3Ig0syH2sDL*Z&33hanJ^aUi)Q@C zsvpua#fOg_8e)s6n%@I0y{+-jso9~;-=0;zbwGPd!&4AphJj?76{C zwOFmhx>&thoGKpW`{)^x$;7=bjuNuM_W}w~0MyO^3xJ}*a6+S0%**tC-}rX}=hy)iClGFmhR0I=&#QdGzNk+dPV?o~|I>As z;J{P1UKY?PLQvkIz@dZeVmi|tf@gDzv3#>iF*}!aKDo*-RWnd6KbsZGU1I=5QJp0g zf(oK1nExyKm25xdVh+mxJy#Z!Z?S!}MglE|zUoWu=Rv~s*%zs#PE0D5sXArXF?jZ4beElHTe7JWz2JP!1?_-Y6d-(~BdY)0`ub{K zduxd7i$m>*UVbNJF1n=X6_DJ%GIo(VHr$YGT<0$$z3`Y1lrR5PbJutQr-D7ERasj{ zPlTtj)Rj+(dt>qj&R9CR;1nFnLS?mLe=uZDTXIW)81U-9mN+7$L52a61eL!1^j@fi zP1K_>=i!i5`AI-uzwChPWETgnoZ(9VKz^Q`q003cl=8uiFq0mn3^;(Vh~np}25Q=w zgAn(eoY^rnC=~DrH&^X5F3Xj>g8`^Y^Z#U6JIy>0bDO&(1{?9{?9>tQjhom0dIF#l z#e*mRAHWTn0sBwc)9o%|Y$<6Z30s64%*zUX&yotlzC9psBN@7TQNN}L3tJ^XkQ}w= zwD*q4^#LM+L9lo9>OgFZ|MZ>U=WZ3ltrt+vR{M{N!pg?nt!T1)sF`>f?k0vhGK;4^+oq7xN2YA4JYDEUz7(2{(K$RKrFuNa&TaI8 zm)>>s11WX42FYW#6a>4dCk!CZ`fwY}2ZDMw%2TR;UzlhThc6D3$|W`N?QqzNRl4Lz zUCTW{`tX$e(xb&Jpu>ab`TL8!$o;$Wz14?}u&>Yd$EoKp`xuAHKmxU7?L4|~f%{wU z`j3%)|AmD<-yyPAo)VHGGKWw{3t7#%v3U5S*-iWfsA<_?6GQVRz&P^K* z=cCVNe80DsmeUV^aFRN9MS%1jI`N5p2mS)fqlv!4LeJsAR$S!R#7#pRd`Xvx{IXGi z{loFmJxahhR6Z@T=;y(Jma+|>$Nq{7#9Q%>282pA6ap42rPol?gom0=(7bj-UALc4hfO_}E>7TA1F$%NO-e%7!?A4=OEv-A{FbFF zA8EgF%Aq@Sqn5_jBb6NUuA`63!>jSg0QvSuy&eOy#A%xN;&l>lQ;V61Z;N?CeK!7X z1ta5U(*}73Hu@4RUo^i@kXp&}>i|oq zTGQ7KUY#+FZCy1$wD)pqm=s)#m8wat*N`kyk@xz7Y(2oo*ahN!#4G282t3Z{|ru|Z9e?P6e#*C{@@$W_5O z3p{jjqLVll&Qw4b z8`Rog;+HrLs^7cfJ~Fr6NnIy=!1j(!u6nhTh@=OOoLTvT0b>n2j-f zv@Qcc_3RPe4U%_+LI(<$Ll#9Ih`#Mn{F^dR8>_%(z1Zf>KZ%8fck|h@xdOdo+fLPm zG>mv{>9;g+UVhKYQ_&aRpdtcpnp@FW)+B?^nHdQ-$$3|Z2ht^n`gBcUKnl?^tV4a# z?nG}@wlB1;#=FZh&dAaSY>5%}Gm23@{$);|0tFZq7xTf<@6CgICmTIZ12OmX-CTy5 zJ?LU{hT_Dx?O+?=B225Mr581KC+^3y*cUI2t$kVGGQPnOgNOF2!^NPV3dGy4LFzm) z*BL)mRAet09xd)3k>H&(mL}ybYW}FyRjAM38TVK3{LIK` z-v=2Scp=k732j|}S{vLRzvy-9CoDvNA{_Q{%54LW=iyG3*^4Kr^$iX_J8Ai*pm59G z*WyB54`5Gp2yFFYnaTFx47Jo8JWqyedY9s6HK-z_ck4PS4`RE#Km)qCsc0Z}<&@CecK8n1bN7yNIc04mY>kMn4VPcW$(*TKgmEM@ z=muQsp&*6?pznriE9%`_S%r=E)T{bP4|{iZEi`SbGwYl>^bgMJEz)FuyhhNTJ4dC8 zm1Y!9zNW0vL6#0Nr)*WNxIn@WAjS97U0ULlRh6;5dkOc^D=Vlayk~mrdu|K5Pr_=@ zBHp*Mx4r7RRwMoHKf+Egczcb`4|*OQ7`51 zog?JP6bM+ps@mE7K{ejvzL{(5sx9KEW}dQ>>mGz3vs!}T{j;2|Kk8U}=fO)nyb5z5 z;#iGEjP)0iy-qxyCft{?NA)g6@U|m3Bc`0mV^$MNbuVp{wP-JVSXW5E5^#D;R z-VTCiP4-&0!eniS&L>FNIwZ6WLGNdYMM#3}aZF;zFSPZ2IV_evfgk}VkX7=Pj<_!ZmVHk0N3@s z+c+~}G~y+5Hwzxa1WFMGJt4bl_9o3JQk$LIb`;v%6&_vn)DS<*Y0$0p8>JPW$ZtMs z@Pc}|avrpj`7`0x=yzD0#R#8@er;j)NexP?fx7w*Y{JUl1bE(m7{Z+eg^a9cRuX2*TNX#_3ipqihy9V z%EvRyUZ-Do=>Z0531T{!0W86>WkzW$rpAaCKPU1XNe43h3XbnKQycv?l83wIv^puT z^!Axvs;MI1_vdh)Xf_zgz402EpLXcaF`TlzAg^pc*o(AUp;Tc-wua9zW)RBk(o|Qq z5imwKTDGoRUA{UGhRCS=-4FQ2SQHo6*_#SX~Zl&f^2d|Y4a%cp+Fsz5&e9{#@Dh4G@} zWnYmqPOtl!fzpdj5G8Hlf*MuTG^@e)JJ2zVfre}lhy52O&f&Hh7E7rs;>d%mF@oy+ z6;hsqBcI<;6;;Q{z5hd%qpgNqr9pY$ohN;-B<$QI{m!+h2PQSu=vl7>cIEo0*9|J$ zs}YNfQB2?V)QAeE-uG&KuSNT?w}`ZgXk;+>9%}9z$jWthIxs@r_0c4AU1obMV zm7wr)tgVyv#5a-2H%W7xY4kyNFt`jf&O&A!hVCXEM#(mhVk=|172Q$DwyL=cTwb{Kf_sxmDYRMPPRv zu`};Yfw4K#<|ecO_t;gT`L~Ozo?Eqjw~N%qzKEhjZv-^Ct3#__)^RL~IW^U;=IvQ? zey`xkA8$J=9vTGJVAwA@+M0}^Tt{UmNn5Lx-yNo?i3s;To?epYb@{yYvrDS6Kn{^- z!XEuwl@GINw9{D@TT7qh*{gxuztwZIfxbp)ToEvc`uh0;n~8R1MjlmR(q*7D7W*!*)_)sRnBzXg^`AR1Rsw=KG}+N-~&|O8SyI{ZqOXb8)B=Ylw})CJGpF17cQK3Tjp2a$-9P;q_h zOl!X9oAnD$o&d|bv0}z(@WSupTZmjKUXVy!xv*wHmu1+naRtV&m9Xc*;0Ah$ATj&M z8(+jom77oH8Rz+KjLg3DP_va$eN%76g(F0@+ID!f9XZ+2BzSWf$$K4P(c72QAzBsb zp|VElk9rzsLM@BY=f>66CVe78&LqlN)5p0M)OM6gE#&?1&;iE7My++&jQpbiQVT1e z{h|N3gs7Kza`fn&QpH2|*J-iGsg2Y}FewLbg8ha0m@d(f)EO|nfb=eUwC_6L303ez zl?ikJg(AlEkt|3<>Y|Twk-5gR&ZOJVYs%v>W#w+b)9?A2SwH2(Jr0{Yg@BwfSdfq! zJ_1`+MJLW8v3gzBu_p!^83B4&pAi=J3<@r;VZ@~FNOp&gOCQWf_gTK$ z3D($vmk`cb8ox_y>jdLScgb8ix7%gD0xz?$k#gr-^i}Kw;TyjBv`lx-TFQLWbCG=4 z_;xo~MLh9K732La`-K=}GKOzWsqmmZF`hM{jato=6UvJYrlXTH#9FdxFTR}f-uZXv zaHG+s{x|Dq4R0aTP(u@wtgkczc$KIF?=8>D1FEfQann=C-@d%NH|L3Ah&slVGUYw)f9fNBVt7+80&WI{#-0{xENzO#G3;d!ym z!L-GGl~0t1)>joCw3L3@eQiAaIgmWndF(CGpk=y>*yrR&+LPXzMK#+j8uKbEnVMBZ zyxlK}xp2@Pq?2pla^zd`0Sk@e$5D^6mfGplkDH#%VKR`z+IaEk==QO%t%>d7Yl6+v zqK~^FwpK@FHk)U1wZAhM|CO{j25+%S-)?8Uw|emf9ti)CMGQT_c^lEN9m{#DQ|QQX z8h)mU()?+dZb)wmakJC3pPv+N@G8A}8AHv6=>>r=KZt{QF5YY(sVR@1SdaWAW@Jqk zDxA~XGfL8j1TkUDMWGZarK{ReR@}5|#>VhzGI4}#U-#p-Llm7P+C;6;n(`5!ZFO(1 z(mAACjhm&*qitrExbx;-NNIy;QBOe7l;Ig^$D@s>t%A4*DYo zkCT0XD?$SkC+T=0$fDxVlu|+-zAeScLBHWKc*kui98U8y5wyNO0U0o|7T`8)JHt|F8 zRW?eyy=?BZjsRuqWA~$F^nB64^A6v;=d14AoKwl?olFOgu*&wOhDSp_zDx{KUyu}8 zH+d`%#Vy1?lm%R-6nH8|It5ny#Z#^CJvMBi-xZ*@pC$@jm6FODYEXUZxls1bc0#sW7|v zv;}1_=c_XjSs6bA1YKX-WI?c3dDCkMUu5gWOw+N~{WL+8;4kIGU;XC^N9#7WPgu)r zD1XR6txsd1i!CcP{+bcW_(JZ7x?!(Bg7%Ax?p9#^^JVH@Rddzdt4MEje7(D7fGLDZ z>U@ zw_bk7E&@%hfO0Ht`?LPQ<(3>7d;VSkSq&T8szTdOhZ{a+IWYxvA;Q;+j^w&`QfFf@ zW@pCX-p?$roKEj}smT!uD`21M)y`-S47VTijPo#b!asPtl+}TQg?KaS@%v`!r~9sBvWxG}YH|RVuj8Uj~MaV(kuCybwy^7kr3n?lV!DUwV?? z5ePn2WtOG^_dA_n316KWrhd;ypQ!Z-j+TfsjIynMyV3{J#p;^y|kC&K9yKbbW7z@Pge6yaaG zJRf6}G}cT_CtOQxpMSBmgxXMft3@)lr8`e0Ei8Ltz1_zfF~YIJ+4sLd=P^(O$^&7> z62w>Z5#&)6E_7JW&{qwtfC>pPUj2|pI!wgH8FnxCenLBan-?pcyGjnEq8hl}#Gg@d zDQ4{&G4@bVAXa#F}_g0 z`{pQZf6jkScF*L`lpVLvIFs(biTRj~q!=f#GsEI`z>!v_@6L>w4y_zcc^)zWGhE&f z!ouARY-J_~69=Wv?-NHfmv$w;_bE|Pe@()pUu`yQJN3h>Z!g!_VX#KT7m%EnNki>8 zPzx*lO)RZn8(`7#-f!x%xSbeO1yVhLATpTIz|KY-(LYH0~2*c)gW9`?1& zd>Dv<_w9?7QaqrR^>z^4h?NB2wEL-+5lwPn`pWNHSi4 zDUm3y>PLYD2Du%7puNXw7|S2^Yr2KppU$qonGvHEL=PD7f!8++_}X{8Fv<0tmse|@ z>ZzUKmW;b*ocZzfNyF?yn*#W!qPMTnEuaoX%dQ&SJOa10rv1$}4TizDX%0tSih%W$ zf<{pqLPT3hokscaC_1B6xkccH%0a>Ha(0xbvxIaNPpuL#t2MaXbtD`v!6r0IXIiWv z`lm47gKG@GU7!dEvRZm4s>{#*IBc)yK|(;R#>d&U0&8KB-4?==TNYX--s@});1x9J zH#Aut8(E(3MMex#FpHY*y4)QyCTu>{eW zb|{im=N#47Ty`A^Hg;wI-Xp+#_%rWfqvsjG9aE|<%~rCOyNz5&qYvaqgZb&&V?3KP zaJFuQ46j1xBZR!?Ye9xhBT>(%bCJU2xh+!HBzFBtXRDHO>FUJpAW~jqjX=GKc#IfFQ#N04j`vlXlxmNxUOg<(@Cq9e9WBUu3nPi^r1e1lj&}Q3PWU{(jL#j zha$hgXPv%4mypF747}*uTN_yCMH``*l~ca23%jfCg*9Tf8Psr zP2UFrHjm|Ux$^sF36}gs<2SsD!skII<~hZyI*vz^r-q=n58ek6(HuyZ@t_!rhItQ+ zVOi_-%VmMRsG0^Bb1!%eZP!KciB5G~^eQg`2-l!dHlk2xu-WKo`y7AEN?NX)A0hG~ z8wTyzPs+X>9f7Dy$yik^`RgD-Eq!I;4?i|8Z^2SDw}VEnK!`SF0&!OqCd{(GUPZIC zchu!Ii;g)FViv^AK8#>qKe8H;ixKOw`D3^~s&E-^$dm;_LZIduZ@@V|ZN_zP_wE@V z)R?Pr;tgF{ln*tkWKb zsO zhwK-^=k!~4_!`^&BsO2Ffqw>(u*I@AVcdG*8??rrLHz2HxFx1DgSBB5n|qvxTm$rh zJ2j;0pyqH#7jWkADvgz3(6YV|c-MpvKEqp;;O{kzu9I+;oo=f{nOHv#zew;Q?cB}y z(zL7eHf4$?$7+;u$ z$~xgAVZE_U4)p5Nx|TS_H4+&I@yXj{mm}Z-dSRQY?s6fXxtOzg6vLPvB6B%9P+Ug& zXd-rF?(K2l91?X&7>I2DlmSV5`W6x0uM_UZBQw7~n0t8J>v)W@c8z{2SlmXb6ThBt z=K2*YJyt_J@y_jJ4a9xm$^Yf(A_J$)hp=-IEq_CP?U_di5-5y+xsKNf6LV#x=+icZ z$+9H=S+u%J=wxW!4LLav-m6<(<@gRg@3I@HW^q6`xH=j^o!UO^TSvS}w$XeqLVo0f zf_Md^t>ATSqJ~}_Na3b>x&VlfXiOeD=4;Jjdk0r3ZmxwUbPR&(L>KrBv?;hK0_ONx z|EfRurZCVb{!C->>a>OBQ?qMGkDsE@1@LB6m%_kh$jgX+8d|sCxBGWCz$-do>n-Q- z&aT#A*)ERR6EPQqfOdpD_0yKEk)l+917=j4dNlN#JJ?d5PrfyBaR8`e1L9+Q*ObXK zdB#_%cpAgVr1yS;OER5cqL?;9226Iv*fihEPW}EV;IX>Z80M^VOCrcDt?HMj3?M>k zLYQ$)IVtb<-36dbsqr0CC=}?}66&fp=sXgd8Tw0{jD(G_y`A@QT0e?3E`+aQ^TZl> zoZF|2Llt0+)*$|K6f+myFBLl^Eob!c$+t2)(YRg}aUYjgxo!1ELmEb6AwIyLNE|>8 z20IS%m50uujV;JfB*N{p5lS8Dp@yK|T(yC}+|DiHRynMiO%sE;zPhr~&w-Azw)o9} z>Msq0wNC@x6yaY}MhBJfy|0>j0-ZI7CXmGitebN&*TI-|b$}*$nadb(&yRXI;l2pz zzT)RrTWefZN$LbylkknoG6gNOi3UgTG$oLe2N|5;bfx{WsJN5vKzeCf3ZaKXfV6qT zOlt*{d^_mFT<{^(*#WKc+Ni8#5yWJJv@^7nj*mr^7oxeB!HT8^70EIX>A7BMR==F= zxR;+DA!L+75k7(QhU)wF`=CCWADHv~1>6!EUwwJrJQd3{=MG1J>^s)D`{xIHKisN+ zsBf3^J}hmmO)9{ovpdBCD&zXNAtTSK>cbFQa(tti}BOj+H7&=Gn7lYIgJ}}n0 zKciB>Rk7n9yz(I0ValDPkmuh)w9&pcC-_Y$;Dp_#jCfmRA7hf~<$9r^MwbND(Gltx zGT3PHcailX!!oe(!!SK>)^0*e`kTk(=ddbe+B#gAx29Ch`!eIYzCS! zbo3L$TTfB*xK3=nGrT}cnaEkb(y`~-8zf<60aXc5$Pn9GcK3^&ao`IToHu(++X`Tw zb~B~u6>W}2m01iFLXHQ=g)7MuAF?KOF-yKPPd8@mKw)yqUgE7M4uE=>^jcJ>6uc}( z7P5k0x|+C=>u&5&F|`)-h7lq7TS&=d2Rem!TGY1>CZbOpC{d7>d3)38FzExF9w){{*bk8~L+A%k3 z`6thfP-0BP85Oh5U!wF?oH6B0=?3oU(&XvD_nYU0`y9cZV%kfh{`rpUiH=_;6$!Qa1mt^YxbMpJ5|k?>*tTN zY*?`xu&Vn%WvYKEdn$L6(JyDM+OGEtTvRs7NVMi{y+}{7UMq8FI!E8w5x>Yxj?ZAy zV`~j`?i^y0AZMcywyWf-yg{-l42GW!Z&5Qm9FGNj2yjySg5RDk_9TuixOBvM7= zrj?;-j>f{dtN&PI1P*7KSwAkZ&1YNTx`!bm8VViiP>*N83B-2<7S2y#9y&WLmS8PxV;C4zFa+dgqHj*^TS2tDRMadyLp#vUnN3g46f7 zf{7w@hFR{37X>*TIL_08OL+(MV-;V%Tj=$e27#z~mVY=qWcQc$>>*f?#hQ%LI~Q8N z@&}%4T=JI|-K3?2^`AZVdU?8RG>L){Mmqmg7uo4iN$Q!A$yY-#v*_0I7-fzTD+qhA zyKpvP>#=Oc{_TBnq$h+j!S?-kDh>+!D#m_QbKr@wub(M~A!hvL}g zu+5RZyJ`J&!=ZSyibL5TE0r|gj5pPW4dXMhAmNns({oG2d-YPNvS+w-)s~FK@YHqx z`Z3Mq!^H$?QlmtN&s6)Z!P#6F;?!0ALBLV5!d<`rWGE=CstIXy^ zN>}gCh=PVkLJF)bh@}UPbMnz&IkhTb9ZN_`)+`TvAxIZe9dP-x;Y4+bMs_7*(Q&y_ zqugIQ4shYe@P~v@)@inj7ObzFB0%kM4r1C*>7k`^Q{579v-exTiuDD!cC3<`>zMY4 z-V^yGeD5}`ILYc^9C=Q6vEP1Y-(!38}~n>vdpV z=V~7`n(My7?sv0rBN{DVB+HNbWa02cwOtCM=Q=@B!XQU9Yw*!G4=idJ%Z^M$=aXsGV;3ZE}8cTq%5Tw<8JB#5=l4>p@kS~Nl zo1@>dl6t>SA^*hB1YzE#2Yu%ik+$aS0>t>v*`QOqezd>gbj`t$zLe%WbxshF&@_u$ znTg*~B55xRz2f}4D;k3LuFEdg_3LeiW|RSWpJ95zhAPwOA;~0utMw~vVNPoa&2cr6 z^gy7&!jVBv9;mKe;O?b!O^8oS%W%VoKUxe2d5n7btXh58I-MqFu}^yOXGSn+$HSS` z+hay%c;-T>f;WGDb(o`>5Ygb2M6-{g{@5BRqkR1FozDJbx%&w7)aAa7{dxSFFVh;L z5ZR(a`4xlN1v1)rvR3O?^A6SPi*3+;Dy9}9)RuuZ3PGqk&^OyFJ2+*^S0>}| zl;B@QKEjqyXuy}8x-kMRmefI=W@C2xt&_J`qS;7}*(8YR?l4VNI$%VtR_dH^%=YcP z{W*XL89zzIC#sIJ4zZhJHrjUS*BTuTM0>eFEd;M&6ZK76EVq|x(?es$zNpbKB$eF4 z^fmKFwc?qx0i7J{iC`GU-#zsj6Vs%jzU6Sa8L59;9f-odP??eShaJqG9LGm?^86DrveI`N^Q-xtzDMg`sZQKFihmPtAi z)x|gEi!nosl#xZB+%jv$zmWD!Lv%>psnrcgD> ze!4)IBQ_Eu@2&4g*fi{+X?jruvfW%=sC(O4IO;6p$u@fr-r{n*#X~65c|-?ca*z$+ zv|_>*Oyq_1t^reT*kTaT+4&u+6%IPQzp4`lb!&-$l9 zLPT2O){~8E3viwt?eS%^N|8)e6Y^W={eyFcl$be-5eURlv0t~SQ0tU6paPy zwGWiRj%MlCE-Wx<5JwHBhF(Sm35={DQh@FHvr`-20x@K%l7-w~gy6cJGrAVGcn_eM z%j%?H7k+{DY(^W%_RV}|kx?f@QYxQ@)wI9{K*~@~xJ_7{WCBO(S6hSRrgaY9R1H0< z=@AWc_75XOPH^}7+BkpffOR3z1K6i5DUzx$L7>A6`U@0m;wOf6!MdyLvB27G6K@2#$xgvIak>si z(#1Gkf;@SRS<8_cu~;kTbY`;-htfy==@h>R#_yVvf9~%oNz0l_*iPw8NltuR@>p`!&k{$Vx;J3zzc_ z7N}SBs}UpbF7%9#WV0C5jy+2m4VvN7pn02U_q9#W_yfb{aabAKOhrGy4CYu29|$KD zjl_oL&Bz0fEp@3Fe^-ShJAF&^)abZ|kGVm+dyVYaf0qsVGrVtWJ#P~8Y!JJAy3D+R zx5FZE#?Sx4+I&L&yJ*_GE+n{Th4Naq*~7|<`zrSkI!X7L^=}fjs!fi2p=RQaK3mFw z4MFPeCGq}U@I^N774{C58}k$~zZ}xr^9NI7`JF}O64J4)_C9bG_}kkK>~Qyph(`vVMa}KMEslHuFC<^ksAlk`EdV(o84h zYEwNCibZ;0XL(NI8s{=_fCD(9C1S;;=Du=)Q5(laH*L1T^5BS_au+Dz^Rf!i4T5d-uj2EB z@NZZpd|A?WIkMy4ruV)S&|NysI_zi#e0g2Na_T?z=9RbBHQ`_7a9|Qjamv_2`jhYc z4b8|7%>O1GWEH!b(h?Fm^UHdQUTy1j>9HNlXyG4TqSS1fkS_$bb*R-* zf=+$FK{$HC4%RX)d7o_H?B%Jl>@Yele`mJ4QMLiVe1K^L^! zQgt#@B^`o>1wHCnufMT^n~oS-c^saCQr;>8m@%C8^D2mkj}0{DF#+zjFL5HPUjasmigRpO~#V1j~$ER+3-b4 zMOTXcli3`GK*T?TM;hnG$Ku?{x;f@8fxhlqF!O+a(CWs%gYJnHQEWhu7?otoP6LB(r5>gQ_Z zt8XdJZTYwvNYoAaf}J&Dm+n!)krsQr!M4;bv(Xdf?Szrkw~GPl2+Po!3(D&)j z_P{P}yL$2V4i-h*XQzm#-R-8JFV3ARD_&6E6s=fE`qfs2C?ahw4=WHfb5Q@`r;Do3 zqlGj*K;mKyW3ypvh?I0e3A5ZyFyNvl!dNRG&@R4XSA6Bv8N9lc8Ng9rEekTxvyAdu zQM)Y%?L4&8zJ-VZLs%GWN!t>aceu08;B1BGpR+SjIR=%~VVzv83vg^e$H_Q2$g?&p zzQI3i_tEv3eHAAiSJO?wYyOlTRHKZu<26PRMbaIV^_`J4YQyw&t>)18YE31hRzevp z85W=AR0f>&0xU|XEcnx`8_wHVMsv9cD$+q~DTf$=vOQHV)K z*-v;>7jt)n#o1vPC;lPxvzQV`$gSwZukdqlep$~9;>Z_J=6dt7dmcR)cq_7U#yT@Z z^D%x^W-Kq@_b!KXmJ4!TL~s}~UtH#P^mJYlyK>W`3zMahAl8jwTV9LoQ}u;x=j=sd z$4tY@<2MEwu}=fcD69^lIL>RvYeOV1Ty#a z0z4$KI|M#c*Fv5L7AQzohQZc)c8(M($sW`Nex|zDB+B``Xe;jLOlBoQf!@$O_Z!lx z$1po>_-mLk6*S1cYNCH`FGM*xEqMJ`LwuEt=P5YT*vq?((i_w&R9Qut2NnK1YWS0w zKwV_txxU=%=z3)>f*U$8ls3CjmmbYmKl8|OvpE7ng6j8B85#&I90BMJY(4fhSUx)? zwRJHn>l(r?jDA(DXWi)?h?Ud=#DxQ!I0)&V`*Y8xF1vF)9iPRNdsgXF%5@+OjHYf) zr4Gfg5SdHL$@Rv%26c&R-XTFjvvy2%WsC%*_Y=$@%^6lXgwx=TK@gq`ps7{CSdu)j z-5jl+13Ptx=@RO}`4K4vCpOYrHwtGKKAoE~uGd#*^GG;2R!?D2Y*HMj%JrDBfRWhA zT;5%R6RdF!j%iJg-&$bblfO7zH>KcmJMb+SBDGOPPeAbL2RxE(a?f?9aerqrbNc?{ z6dA6c?DVmI_(D25s1-*Y}BnBH@$Wz;`ua)j1TKQ&%@Up|6N@$rE)4En!6bM$r<-2m?<;_JBGIo>qot8$3?O zU!)$hB4`#&%;!hc;O3VcUt6&xDYEGJeT&uClnc_Tj@y`po5pSIYOft0!)84=N0*rU z*@PuSr4mMY+>HudrRB2J_b&jgz_xb)QhXos`*a#NyY8*3;G# zG+NS{`%}KN>Qwr8h!-v4`eghjmVt||M7hlTJxE^?suYSBYaGg zgU+82267KgW-`tDrsO(loY3GIB=t=8o#Z!4UAhW&o6{ZA$w++bL-Zagw=J&Z#VhCY zh2usoDU;5f!9QTfY0OBq(<^5oBxyC76GcW^jnHh35JHvO?9M;A;=>9q&8#0*nVk|@ zXp%h_(>H&e$u+EBo0s1|yT9W-o$ZPE8s!R2ti-;gDNWnm?5j1oH3Ea|&Ln5Hreu5!K zjE**Rj)f6{wSj1%{BnW*7yc9amxYYTJ6B>P|SBzqZ~k zLTZTUFpRr+{4koyE~qHy1h_W0Fo&eakEMw6yZzQ-MR*t5G6f|hPcc&YeLv}tStvqs z_?o=CXpE&;Fy1QSN_pe(SRN7A%~8eN;l8A~{_Fc-a!=^^E()7P&VxX*{O$_Wb^$u+ z{_=OuTA#G!BdxFOoQa3PD+-W;SeIBt-&f=gQ{YVD3rU3?gJ`a7nl%liZ-O#x`Po+W z*wE(dX{b}&IEBAVf;L^8{LY}|lGi=f#ohHU8l1ieS&}>-^m!$U7!#oR(-+&ORxtv> zj~P5M4VkuLQ4F~+sY@6pUvju-J2lK03?+O?L)Vm71IN~SXejuT*+6=Hf`-L@h!p2* zZ#iZp>u=CO7mM%VDb_}b=hP{pFw151UhaZvPA3KWKX#*YN#H3Zuv| zwm3-5hKEv+W8}Kq8?d-<{?&xQgzQ)$^C1h|cH~WWL z-O_`x$6HDbnCS!79N!IpvX}l6x@<%8@GUE{@af{AJOpmhIi3gXV)#>us05&-6mdot zZt(|6*9{#9@fd3r=2JMhoT2R3jPZ_@t859;Yfk~2^?#h1tpnub*^Y&HLh#5KX};K# zFc@`T<#;*RaU*&tVV~pR^k~fM90?3xPiyS=uOwWpbT)|`HE)(2P1#)XjQCPJ*+^@z zAo`FJaCWt%vaC(XWuTe}bW|r5@YBvWch$3_JTcrow0#XouTzr4OJqN8(*rdk9azK= zKyH_Di>F?Xn5;4k>{l%!Bf)S%+2;ibWDZj%JFQh+wRb4{ zp_>>ibn!n}pR^gls2DqowyFHJ+OyX6bKIcAH+#pMc6=N3Bk#a#uU)2w2+~8Zz$av3w`L=^_6Y`$2Ee(_ z&wC2?T9mh_MA%{1czSLq~5$En1hH_WR&p~yS&XO&J^nYQLQ9} z-q=I4t154U0bhVd;#@Hg*!!FTPoz0bPmC2Id%atEnMNQbvzjWhji4REf8(^gocclp zAWB&z?#bHW?`GTav)-usv|4gvSKEb5v!Z_x&#G@OfI@pNiKhk9pr=ui34*TUSEAD$ z#LBqb47^1&fRHFU*=1|t0;ZbEaf?3PPV4LzD-?OQriVCqo{u;*AtCEdt{{7R!nfAz z1oeWDiasmD>#czU@6l5PwbjlgRmX?&`iwYYD*HuHlluMlTTg&y`}i?ZKt}JnYYwX<&>z6tz;w>eqz(h4jRE3%%Amns*`=H zJ@G?^-^NU?j&PWV2yxKUaT=<`3ak<7#Qez$nW4X#>JWwzwn%M*_ygA!OA3`wu5VB` zOkPd}@jU`qAz&B@E8E6n3cBxuNZ7KxS;PwX8_#K3kVT5rZPQTE&>LMbL=bnD>V70# zeEoD&_vf2cm*5mp(SyGn+Ecr}7~Qn(1rQbuyPN(5l1T{zNPK7(r!MR$G=pNW#8+Izd* zgjVA%3plvZrW$gaNTsZQbYK%Eg9(8`F`$6WBjo!oi;R@+6jSjJ7C_RDh(H%1Sf=pB zMAw?0brz0*sO9G(*K5~b-^5*ePV$82pijiU$KL5b9$CdV7$?C)(zVox?zo=VCNz?2 z|B55;``iQNa%*9ayj~Pi8wOF#3uL%14mOE49WhOQ&} zDwfJBoXSxr`Z4cfoRGqES7KUskqr2VIr(2JyD?}^J7}|Pkr9yKZ_@N^X;1@hv^-~& z962hJN7BQs-IVQ>o9-pI)+{2Yu(Mw{>!!wRq@i^0S{yQ#|NK$Tp%ycU;<4og;Ddpv z<2#ug3{^5R?`)CW71F<0HrpS2T?ou>ebLy7M?fPKcWTcls((0AKLCwTgm@)-g1jRN zbGKGM&z7Uy-kYA9R0n&wgrRLbga)JscthiT6473CG(Zvt@sspTbEosZl)c`B{+88e z(69Xof1YC$toECpbY$d=`8&vO66uE!A{xSHs>S=W=@AscJ~UeFR=}=@%E3wD>XDVt zrU#^x?E>7`FL|WEc!-cZo`y9?;_MXZt&TL@7;;WHW+);3`x&Qkh!6yy>*e4abcUi< zw;KK+rJ>r&$tuC5CEB34CE9R}-LGJJ$$`liFmd47=vEJgNW;y!P1>+P`PnrOnPcI|y17urPN)9nnD zre?wyIPgEuy<=LL3vzD<*dTZ5?t-i0rx&t+$uR9seeIyKupwRkF24RagcK!$OqH$? z355y$6Cfj2ZK$2gXXkeO(3IW*$agRUNs8~%=}JFady%Uyo@EyWw7f;i+fEnVU~$4Z zF#Hx%0c~+;TKL@BYSO;Xi(8*SJyQ_HuV{$~&$dR~=iT(2C~6z#)11`%+1{Tn!6@gu za_~eQXBr?SZjXN243#E&Ck&Bv3Ys8f754a|=wZZ_jDVCuIh&-rmDq-I^})s)p}qbd z4N;o+wp@TSGWH?}+zn+YBkgpX0wtbwDUXxLLrYi+uJ3VOhx^MC39jS_zFf7pOZkT)|87=Gtz+C*d3i1HEUdR~s)9)Hu* z_h&@yNJ1!M(M=N}ieJmQJ#EDMO;SYjD{qt0?7Uw8ILhmZiwCt@=WK=jmjLm?QIhVO zBY^mT3 zb_y}8Ku5U{EgUOwcdl(^XH|Inn};A%sl}D&*;Ux6hP}R96zgasU5MQ<{Rk-T?gv!V zElIyCaDOn-=nLU^`C2a?1B(%a^Y!zBk%pC+vpPyGqYb?nXg~F=be2L~*5EM~hmACH z>ZX_S8YRiwY3xm<0O^bTK%Om z2KnOhAm=Au{BxB`d+(>$OJ@5P1GhDxDp)qUvN#hh5?Kksxw`j|1tm>azccWFhbC=O ze4vpEJ#G8(p^-Oo%xsA~ldlwRT1XHqf;(yJiykg)KI_WTAQ(ibzTI`}A7;vhzv>~^ zk<#Dug9A^0S-qIcM~zz=SpS(61*!^}!Ey@z%l?xhgJ-_Y63uex9Q6ff2DE zMc}~fi8U~XMkd?`l{tWSCfW!1HrbAOO4qNkS!YifER8hASQ5r3G(}QKCk^|53JHQ# zc9URu$l7l0bp71ejOx!sNUN?8!|Y+`<SH4kI-hl+E%Kj_x`s$t|IuD z^7)wL6@>jeS|rMyB?3HnVz9{qepX?C2iuLCRciR3O znN5{ z0`N5Zy%aM{>Kx`FgIY{fO`lA- zzj0n$+P>bZ-hWUSz3PCsNU@&!{>_)8zwi^q!)|jQ8T<8ak6e?=?xLx57A!gCsbOF8 z@pvY42o{R=Sf}?0YCBG2Nr<~A8UN*EbAE~IMS!a z7~Ij9Og$znEjeAK1aH^fW-4oTc$HhRqBEZYSJS?+7*qxDH{%Dwj^~*mYDD1at=?3s zBfP;(mQDwqyA1i@OUr#Vs}U6_HKFttB3796d3Ghj zp=Wlw=&TrDTrdzFValW=EnHBKf!nLx$Kjjo;W86?oNg^K?p+Opp^9s2W}!Egm;eyO zeq8f?N_LWNNB=!t2UdGafquHy^`>(&4soF(lN1%sv4%lpi}F-Xcuh|aLZF_f;I_L| z9y7f?U1QWo(KX8qIWWEu89CK68b!A>GPL{f^~SlK?!?S(c(yEL2SR&D;5Tg1Kl) z+WF_(C%u7HlMW`N+#%VnmPl;4CN~Z?QJjF=H46DR$Cz(#P~S!dcsPu{ZMhkq$*AH? zo(TfdDlq`mPb9J|gn0u^w24;Q*VBTbadYy>xcsf%aIlb{Nr7+Z&wzVRs_S;2_PEp4 zjl$ruMZb)m=Z>fe;vgZ|R zNQeBKVaI&{10gL@apTqv=NNO?`NlcIv`;0;x!f1@;F&~KWidW)AGuc3GTdhA!72d( zcM#dm_Y~6ZN^M^h4JcLGcH;Hm=PxdYynX+AUNxSGV*<#1&$#VC&N(_ZQfM0es_FYs z>mcn?awG@W5>|Y!kBkzZzq^RNFPGo27)jPW)*NNqE`LPYQUUj?+?LH1!1FycsFYBK zW=5aj^4aqaOGUmGRGomig#B1Xp?wM6?en0NU-4jQXz8FsYN!%AtI0E8K{^3KHeZG! z4St`kpAMNOE>~9Ar}r$|2>q?+0~d~H5C{&<3i_i?8=f3!jZd={UJDP44EqI(%L?4* zCrqnTi=+nu9sLm}H>oe%DMkZw@Y&(_!60a63I5FUTskyp3(OnMf+`xAY&7e?1yE)I z;cptqJlx=U^+A4^U#sFcf?<_AD4p>gGP>s*`jY8yp)IGGJiBM#g+(TbL$WIs&Pd|D zUZY0XFZY{xT4FE!wscDT&Fp&0ozD}O_n9FYbSb@89=->~2$NeMR$T?FC*)23_Bfvc zfHxBP6fr}-5$NF)nMRherDC@Or~0!$Z~%Gl^65Fwx?*0eELr8b)mXr zSw`6Tl!9++lt+NRxzIYo#Y0KlKIRx+IuUUQ8Y3@i)}@lPiQo8XxZAn~oq)@qx;%2pSk0 z0^;e#qrjK%W0t;C<^xQoo`n(68bP+vaN5?NB@Qj`LR2+6Fh@C;rUS{JbWl*t2MGKr zElr4RXN`G??VtZh%jGN-oSiDz^Q|JzaXoM!$bBRf4;9ejQ`EFC)obGo!DaCrqw zs@c|@0n~f1C?y)|beUmbsZ*bt;kWsxX9l$OavA9o3dGgM@v1X_Ka+Zf(EUQQ86@r* z@v|LRRgTds!O75o3{|Z9gKb5UZS8M}wDJU>o%xnc5iA+x!8N`XT}4;f!y&v*So+U-`myeGEVl*vq$_}mU2^8zKEBLy<;~P`F5qU}F{l%NZrACTL!LQ?o32FZ z&YUyOyZ%b|?VNoF&LwSnWxj^-Y@b% z1F`aUIgjTovx{O3(WflGu`>D^dGg}*2H`GIXC?Fs_t!XMFFc7d-2Txz+}-pZjkFED zY z9rDNc-hsg!8i(mi57pIxeTTlVIulhH;2{F!n}**q;hFvqrPc+p9!uNGyeqg*7`qCT zyYjbM>n)_t#_J9n^R3m6@doJah2OP46CC7Taa@x2x7ZNk<_;CO2j-u8BZ90mOv+4# zzji?^MrFvI8P$wkKC+y9COfReYC=EQ{i01!VxaDFqauFbwMXRT)UG5Gm&yITK{-!v zKxk02(WR~=A-?*6?=f%&*R(W(VG~JN6|67O1LlSg3Pp{m;mnv#x^zMvz3|w-+t5q= z+P{5$-hSuxbQcVSuY3{OOmN)p$K#SZrR_3_`z&eJzPzHS!KRDDWyxtB8tRLODRkKV z^KN@;t~y^y;XAtA0q=w&=7o-F{F!Wtw$Jxd@z}^$Mno4V%us>D(V5cN8E3Bq;@_tX zPlZuY(BHznnWU#ZV}>+xyTVpE?~mE~E(F_Z@Nv@Pa(4^gP*yp4NOudnMgzN!E@h zDo-dc;MF=;1WGS&edCx~Y)_JRb0>$Q`K+F-TV@Bbb~d?7@DXlefjPT^ZdDav9qN)g z_sH%DH&TOXr6(g#RS+p+$N@G1dFQo5mHEu`%sWzTj;+G^7kV-kss+rkP=?#}LJAWZ z4BZeDx}!Cpd4BOith=POv25rL#w@&LA~OCyLB9?>yG~9=ncTC-5{2 zLyz4eg(|ogd(x;_v9? z>vma>B)pBs(+IZdxZMX_`S-C0O=NNBw@ikRk+6=+&>3V|aq%V>xvS0nsH%x%+KL%e zu*=2-v6LhObZ@$ISF6UrkI6P|A*8W6EGqZBZEGt?W3Ek`QbQd17_Opp>^6sGXqc0~ z260YN`)T*At&%i`g=B#FQ5P(D-}Xt-ag$!M!C0`|vB{N2zy!V{1rGMxnX@->fqdt1 z*ZGz!k6AHMFBZ?SSR!$E{qjH!bQ8Bg3l!qzVa*E&@tjWV-*DAHG+Sg8huXCXi8}}0 zGw$O(H?~Rjusav3>lddWK~EC%lAZ%^bOq(<#lO)=Kk#6YP?>=zqjuoHB!j}yD`RkR{NK3n zKtNQWNO@F;hKtPe1`mA9F;AYRsWJS$78{QESH{RfiLunRefY zpFm?zgQweBTkGL3F#X^UVBmLdu!e)u%~{}I-~BC?@3^e;m)@J00TkWuTCr-be*>9+ zpwT~|lx!6e>~i|z$!&M;#$G25-0%!F7bLo?%Kz9vrDy{x^%v;*$5<9H*YM5$gR#fR z_Y-MMl~-TG?kckGca1PL+y4{jjyf#)QgtElMmNbFiw({3jQ;eNR8`{jf2y63#VMa` zy*If9ZL?{B9JFX+AG51q{~PccXy+>u80_%HJJII$WacZ;3LsbM65;0)TOi$MOB3a|DsbItVcf)w2Y z>~i)6xDV337e`YQL_hB@yE_}os{f@+Ho4-@5y}zh)8-DGhiGKmTk6KJgUV{&zhh5yg8nsYOsG&6yv2o8~Tznoap{y=#Ig(wp3dlwo| zh5Q)X^ByFW{YxqF7K!*8XZL}Cm=NU0MnQA#DAT{vm>ngcneDrucTShaU^ADSg-IXq zo|3q^Qu==rCjfX1IWdSMGvYsh?0+ergi1s6d?ve&X2q`? zQ*})@axt1EKJqsT@<++YkdV}GQ!4s!N8>MDP`bxr7F`3Bwo+zS#=zrW&kHG4k^Z*s zuY^8D4CD*k1`p{}Loz@vcE%9zT+(qoNR-%hM*`x4{tc4-cU_Z%sd)uP>nOb^h2Pvc z_@H(Gt7Pein)%GFo*xDvJamcp_bG`cp;nr@*;oWC*D|<^YcRn zV5>R&TTHBwV`rv9l%0{hpTE#0&==2H;@tmN@*Zu-eB(oKZu&J^m_|$P6G2( z{v`n>5G0T%R_+}BJ_Evl;0|~fTS-TL?{83f(2sFFP%v}c1pEQd;v-Bn{E`RmL5v0T z|Lsk{1UH4K`z%rt`TEG{SBXmT#P4LFy8N#SuMq$y(a6H2SpmTpKTa_1<$r5e0;AfU zcV&1P33L#4+3J67|A-?Kklo^i)zs@BfBe-BH%ove^Zz;%2FMD7^5l!l$`91{pRV~= z=>hS|SDgMXwtvL*i~%KdA*2!g)6o9<4c7;nwuCKrlFq+kF0yF`0i;$4l|}xS&O$H* zm_X?2f~L&Te`O^o=_M;r0+VPF^uIJ9fryC!Vv+to9t}Y8zy(T3A)N*PAA==6kkU&S zik<#1mx0eaqJR>{;*S0b#y`dd764fVyyuctTL0x~5(s@D%J+lW@a;dt{tloL&^i28 zV{f{xCk1nd%#i$WxwOUqX)A#YANbQ~wtN3U>;GG!OEsX~-EiHk|4K(-JNV#YVVG9e z{~xUlKC~{sq;vjAmVYMx|0Px^H@Teo4*b7zHmyI}BjWQrhY1i3zUfHK@t;Q;GPGdGv560 zOCZ9;h3>t>>;y3N|4xTLyg-M%w^M0^q=*Ss0g?w)O8=%M0J8mj@Zz{$sU821S9m|< zOGM}8|Br?h9}EC(kF>7;qah(FkRhUAP*LfBG+Y4s)m_ggsqKF>i~%x`tSOn4(EQJA zCm$RWj9t=t|4v>*384GVOITDf{%1B(}&yeSJX)FH60_u;Te#_3r1pAi>To}OO3A19vREK$G1!Hr?-CyIls4@suas7Mq z75G60L2WcG_CKZ=d;z8bwvL)B8kocvp}H0Fru0$zk0j(G_z-8!imCZ;hirZ@U2KTS zPyQ3Szd8T`5-@)K>}ha+{qmotaLEXia6wjl@OMlMjUcQn{g|Rk;(_`YepDn5x*l-T zuz3L7ztooHSgWc@eoS?V1^k(PZ&BEX5?EmQT|-t(N$MX@YKT6bn3Rv)+8*BK0(n(X zN*c4?yV+Zhfvb0qA229DY;K@>q4LOZfzhZm*G@YG(97YkNFdV^A4c~)03+{QqT5UlEX@hTx+{ML9Bnyai1no77*D@7M(-N=qiy zv%cm$z4o!%72!>Apc*VgJ;O^c_;ZXJwdI1)#`33YC>@L}-B* zQu<@J7(+*AaV3x;U1A3}o+cyqzGL=cpTu@@NxPm%qZtoJH@q{twA}ZAO;qT6z_9)I zAqD?3KO^v$Vx2>~y)JSg`fYSBlX!pX2TD)rtOBx>_RFeku_S}~4q*O5u5zO7fzf#F zaPyM^eS-|l{}=57zeuPK_0gmD6TUIK^vL4g>3LWPAU@zJ=O?Nz?ZX)pmen1516r^$ zG(7{a?VXIs+j!l^^;evsLbQODhy9uN!qF-hVBj=yfIbWXU2}HwOfO1Xy2#G3P;I)t z7kh8`wAA;ht!mNixRN8U*LU(Ddc;2aR|;k=zAL7lN0bm&0kUcA#dI?;v0p#Z{0}wa zxD}Rzo7WpCzFn7ux=oeQpz>zIr63A!gj`g&r5L7}T zvYGKwBcQ`al%3wz>2IDu# z!VB2h#X0Q4cg)?*h=*ehkmouK(8ugBNuM=`db~e{zn*tTtBR1;-~!V(L%1_2stVhJ z^gNeNPZ?KrLH0UM|y3tv0QFoc>t4nL)IZ=~f%Hvbdd3$rH}6yR?o~>F4{a zvX_Yey3iS@kx@+0E$hXi^ra&6OQ(otMRNVWYx`(VT5o9@;Gid8&Fbwc6 zOfb9j<-%XYuiL|;JkO{~GrPAN>tSb#J=I=!eG!PJ!dy{l@ju-*dfzXoery<6-rzBrW4wH}RIO*lMJb&oY^&jf zIHo%-dQ~4kYU$Bbd(%+r@X(UF$m7^(5$xTtGRCrPvxeo~p@J&{+%HD+%~mRxBEaX) z9`7!yM_G5qNA4(N!uY8AbY%GN+Oc5IM(lToBLm-DFDMMK_&7hUH6sJN=)*KpPRh4k z&KTnJK>Vk9z%%y(evu-%s>rH+!Giz(H_${3qRHcKu!zDd>1*itjoDA0rBx1MwW7kx z(d>Ok1eL+FpM{P%;EHC`{N3hrHZu~Z=1;8#s`3v2Y2FLC*e^H_#CI~5&7%S+m)(!Q`jGiHrZq3S3LvLN8gSuH}KdtNkm7b zB@G1xtIlc46LZD*4H&xAbg&HoWOUbp)8JB{jGdqTCq9D55Iw>yE!-YkNMS(y>x|qG zH&4`>3XI9da@)zdvTHxp)34?=TW^89U3XWFNoKSGRyvCZekSZuon(DM_Q`_e8*P&* zJ01)(nc{%gg{F)9na7rll@gMVb;bu+mOHBIi;xEJ& z)jh+EEA5FB*|V*rI89CoRvqh(HIOW&6C;2HA$MccPCMKuZ>lev8gfm#u4Aa=)Neis zKCE|lOvViEa1WIl!_MD~6bf2J*6k^@)=(aXb+o#F{4&Vurzb@T?@Ab5&*`()+C(_Ffp0DH#c@z1}$@idEBaaqL5O# zJBmA0HeH2X)@#Zte`d61luspwXTx&ZoLBq1RWBj-?cGq+ac6id;jH~!?3^fT0;nFq zj--1Z)9Vn|FKccNFojHu3hYPwf;S1C=nL+018PP0+|)NcI9t#n;;} zk(8Cv8D%pE_Tk(z;UW_t?_U%?J*h?$>(b?sczD$e z>0@gpZ;TFHBmZxC8(QSbbh>Hn+MJs4L!1r%9#LT zEw`L?s->^l#4>I}#?7C&w)8uzxhcCDP+XG@CHyP7FeqmP#t{*fa2^KcVyOiZtDe|? zV-Qs97qk%}Gz!ws!?!M&2@mz<9T&g*cm~Z1|2hI}{L;eMqo8DBo(@_Fe5)!pIVW#; z<VRisOV!^;KzMc=m{9*iBDN7;XG%S38|P zNvle5Mdon2N_n({gh2QRY@p>&@__@^H(grofjdLU(|iSAdIJqy0tG-06b-bPS0vya;D8{;aIvbrtiB}q4kDvz zyV&V<#48>?sGRa!(b>3^KQ(BnDpc{?Y(=iuB6b45U^&x_4zwF(K9KSt*LgCT0U`zL z+jL@WaZbNzI@*61UlSgt^Gv6Td(qFWB&=+-^F*fMa}63jPJL6ywyDURfK>gG_ju`g ztz(3J$OAb0lH7}~ac6E%l zKp~Ie{4I((u$lq1E$2yQBg&KH_C!d*E7?A}Etxh_N_Nur>#Un%QGt#K* zF$N55V>)KAcd>cwGgj}9jX2pEYG@0umJxR_rtX%w3tk@Y<~eHsZc?6i`sKUqDw?j> zs8=VZ<3N*yyU{MoQA+ow*M~>st+0HqJB*p4(SBhzkFg@Vm50V4?03)>vTo!a?l}$d zAfgZ~R_lY9QQ7&*7Ud9^;stm$H2x*UAsm6`)l?+nA+WS>`(ukdlxFA~-tk|W^R+CS zI=@-uq!R@xy)s&tqCi^Ne?Q%N70Sbtn}*y(8vUVvmR1&1W`VQ zyUMIj6z3)fg-AG!w{%_TmxVobUQ@bnY$CWl1si<&CG)`gXUrsUcy{;n&8uPW@pA|_ z=WmabeTtiN{z6;gkgvW`ooS{)DU`oKg#GJ2`_Og>=X3$LuonxP8sEcicI86lk561e zW%phsg%d=woL@<-6>&JrPp@r5E&`#+BFcUHy&V6q*-sYZPIV94#ZTM&n*pA2+%AL5`kY*4Bp+w3v)0%Ye$(!n8^DM5NS)7Fd( ztUNNrq|PSRn00?=d=WaBadA9V=YaNq?0w@eHq(<$zxM_6ALRSid8MEX*wzAIJ=w6E zoLoFc<61{PGbDvUHdus-q|n@6ZjVv=mO!ZPKrR-R=ht4xZg5Vw!;DXZoQY-!sT^6i{wF>k3(;*OD*xej1m) z*SF>^+>uEbqrMUS6moTo6?CNU5$73Mp_BaYx8iE{pZiQTz@rj#4C>qCgIAhrSI@@n zIiTLRG%|~p6BteoFmcv@u-t_O&0U7u`*TDt#}2-%WWtQ&dUoqA#$F%Lpm8}AEr7Rg zl3&uMHaP|OG1K|0((u2c0yomcy$>t>Sn(hiU~X6m-t-NdPyz<28id!zw7!ylSyl^g zV4~d9a;Ptfk?H&!sk9zN)ae_@Y&RI>-mz*q?P??Jq!c7BmY8lz1-HzswS|7TUH-Sw* z=gU~dzu;XP33`o04m@7E%^IDiv<`~aE^WnDFMsE8V76MNz(LNwiXW%Nugm=OQrXsN z1~m_6#nQHo`HOR`3LNUBa2U~7vf1 z(!{JUmnxU58iGr_>lZQ|N?2?Z2+zOq!}&aZ`t7d2^*WFFsX0~RXwTsghAvzLMMa## zF>!6--)-KL`!p`bydt>)-^O$A7ubHndIY8KOz@gu%&$2e#CUsVsP}KC;mN0HHyO8g zKg^TaIduIh1sy7C5`HLS>p0KU;$s~c6QavzsVX(W%?rXV<^I3qC za#o=m>tVdz^Nns%A>7c0p5|mny3j=nM<@dtRMX znvIy6VYyaPy)ffkn;Y;i_K{8oV2LEiG|4|m2!bgBUh{`1!^TJ4T(DVcg(jbR5$*lV zXvpwn>1K{wysflynd6Bqr8F5=7x?EST)XvsC+1_Y5pYX=G-hz^)-lA z6!DDX9$^;|3>CqD-?y(yFdg!Izor+vXuRGqC?k1Sv|TBZ2HG51&2OuVMguB_x$eT% zstb2xF?a2F^_NU6mIwUyK*!1z*zu}`TEbR8sYrP<;=PW}l*>U^c?01pWir=Xa;Drh z9g?oyw0_Z?rbRztUno04~~5tFX*>l0mm@m z48{gJDb0a5dVDKt(EUrglGk?;g$cVNBNWIaZ$$_*i3~Wyx-~={>t;3l5$XW=KZhoh zn(F>BbH2))b?sXe&t2M3nN1k%xLnp^%z4_VsiVDw41aNjhrJRPGq&xJ;GM%MUYyQS zMGnw^MvKOY46)xqMR8`r#GUoa+mR=gArh3ua|P7sTZgl^ao?$R*bEw)MsVe9 zV`@=X*^9+hfBi^%V#F_*G9vgAXf~eB#Y;RC)2NuK^66oa3d(y54mF=dTsExTS)!*| zkXLKL?^7o`P=~!dayJdau3h|HOLYg)$~#h)0y(L*KBnXLXH)gaR3p;`{d$^IaaICR zt4(9sn0MRL{2U(d0g|5~{AIhCx7!!5*G~FczvGPt$=I)_qk>2K>t#w#;vCx& zM4si1MwYI$&xT0yJ4tdLzo#a3GP^Exp?anVEYq@{5^+A3$P_e0X%3|cDVDAS#0uY# zdN`&V@ua&E;O%uR+&CQT^YjSH@yIxz9A3tN6Hj5tqK2@3if({YGq%7Vb_Oe^)w@Zh9sN5K|uB2+LaX&3qg<`%j&G2*=hpw5J4yuF}=6T;Z(zGXB7pLg) zK}teM907AnAq4*_bn>EHCIYCS0Qu=gzF@t09U2tT&)u3R62LDCTFM2#bZY2G8K;wO zNPTBG7+r^Rzd_J?=WQkeJk_aPW>}1S89-XuG$S(+76u}~?==MtPmBtH#0T`Xnx*LI zhQ>@N8R-ZW2v-#kR83B=h+Eb}^0G?-ANS+!vpWU0p;q3DUF}+u+~TB|PgR(1Attl< zV4)j~Qj=*nP3ZSw;pMqkc#~rA<|?l750w7(cMM{q7fu zs&o4T^M;}<=eGXHu%Sd-46mE~I<3uv77B(A&!kkQ&Qv0;uUo+T!~l9k7qVYHGM9CZ zJ)wRrKSurxi?`^ti}fhRKb!KqB(En@BRsu7iBSP7C%v5m1PtUV3%fZ2%Ss9|UHsl{ znCisQkQm&#V5nhG>WMVKrx-P(YL6=yow`7cy@#5)P?%#UgSdk?#fm2Rje(FDumz)` zcWuz|G+yx+`O+Z_()}1aB)(a4c^{%C{M~w{l%yDK@x;&Bu`;WAA`(=BPVqzBl1BCEYUoEkSZf$ebZWpJMVrtN@?}}zN(Hw zQTCpCj}h*x-s-6Sl+nqXa6=#2>DH^Gp^$X?r0%^}#{RR7la?R`vzHl_f-$0*Ij>w@d~R)%!i)*Gtz=CK?5!iKdylQco?TLm=BuA z3>jd9PRIUu*t~${9(4RE7edwvPTJSkIu9vkt=TbTmh#4vvnd1IfnqYL z+OB5LhWMN6kG^`81x43UCG#g#^8r>6(#{S+!noiBrQ*g_v4+W69+x@JrRL5kipLl< z=yG?#vycWBtj-w%IV8x^1i+;SQsz!Zf!MqnvTi^tn;;*Qx}4LEyk-+LGq*K%*3bk2 zF>ae~0ZIxUWMr+CW5G7#d@CUxy3Jc{AmYJV@~{0GGu;5Yi>3m5&Xt`fdiT686#B~u zrt3h%fOl!wTRmzl?|n7sK-Bz8bGE?Ou-$}Q*Yc`O-h8WU$oVTL%YvCNRJ4aKK9W74ls>=z~ntvkJSfsYc-}LvLZud^1J^oa*yT8%3 zzXp&4i#-d6;7#uJL7T&_nI-!D;%vZiX0rzjD9XjE-1L_#O=?c{sXl-v>T4S7%h^5x zf4{J4Tt1QkeIn+IT7Ff{f~0*XP5(eJ8!tUlHVgo2!exkkzb&43cy(~Om>16`W}zuN zR4h91c^}9tG9~rGW+gP7&y23$>XI_)mBI<E(gI)w7{2bzwDH$moDZlWdRt}B$B94fe>wB`1Cin1^o@TLm z|GN72#j`6Kq}-t;w;#>j`!xNY#QF2qgH+Z9!h!L;G7dO(;GpG2ZTM`%S-HcORXly# z@6bBI%!Tj(H0rvvd2#!+#!L530h)6gC)xu!WJS@6tAQs3e$^Xp_Qh$@G===F@~yz` zb9fkmQ?wTIUJa9ej^81kSuH~J(*STC0AH_yptCQ0yi{)NL{wo6l=k6t7789@S{cJ_ zQnzUru_6N-#orzGJc?MkfVa~vq2M?3^O}nBRY{mh2WW4ErVQp($X`p-7?#XBpT(bn z@s$o*Yi5b8_)S78{8xVj^g?{V=BjzYtY6FCvN3!At(b(h=U59HI0Taq{FH!_GGqaj zDwuDOqe1Ie3)}km2TU>w4-E8kv>DkKoeQ@MyJglQyH^!O{jnL3UU87U5QAmF zg-B==>(-#LI*ucERfV>WsTDI9{1Cb!RA)a*S@p3j3vM{0*dGt27x5kKQE>U#%4~(^{uPZprn^OXF@`S_i_dIL5t>wXmsttT*olMz7{9R1 zjv)u0>Jh?5@xH$!hVOn?YQ_AHF>NEWYiW2RgZcd)i7)7jrBSHBRg0(d`(2lpJY6iSU_R#lx42IxxUqFK=PL#vo5?BqFow+p@8ZE6 z$jy1&9;OO&v*7PCr}H~)Iz9GjvlTvL{$iG?xe`8!UfL&4FPV^`9SFRO{pwG>g{=lw zpFl9XD?DS8!C8`dI3)dq=)Hc|Yy;tym>ak%j^ps-`-8`p!MtazJUnT$KK+)v$CdQY zfcGt^ji#J5E&*zH`*;tc5(zH&C?AL%ytRkMQSoD;XCw3%qu^7jua5l|;|2$AK+9Za zJW6yX1bf?1Pw;3z`upj8yD>8BHTF;`na?J+iu0uM!R8N@Pc{rJHkSj@0x)LL>hJ5m zT@eqo`|%gs5DN*_KWi~VOA||^WG}R%SF;;W=pD!KN6Y3DBlMFcgb1x_i;hsDdhp9| zp!>_nrfbB5an`1y6Yf5aN=c3}Xp%5pR&~wJAf;<9zCyhmUbX6TRo+RslZBdM7?y@v zCx>&LK0bt}^j)Ha@#S7GeIml%K9NNEAmNpZ4H11VA98n3dAzhR>jLodwZIa{9_@@z zh7>aW_*yS3ni;r8mVsx#5l92ppqOQSRJe)Rinnv|qOHFxDBw2iCi9eJY*YbOL30(B z{@}n})&^)^{}CUdLT;R!;=ImF-o`R*8&XLC@IGlkF(7lRM2{eAJIaajzNi)Z{rJK6 zLrjQY(LOQ^>&Ng-`=hiKU)JzswC|KY|J9-^faPm=`E^+Yf8^lA`HH&Hce?VK^9z)H z=5^$rtdn;F{m-%)Xe0*tJ6%D(abZP%7uXBszRwaA4oL+^v$bl37~u~1tw)e=t43$a zM{bm-=Ba?4AiSU{v_(VX)8YM(I@64QXha1D zcoH2A;Ptn7zFR2jwRo7^&rOM`AYSK{Ffv%60zF5T^*~hG%S4($^ZhtR_OK~rh-PeZ ze{X7oe+Rv;+9ZUVQm(TwcNx=M+|@Unx&-u-FV?7%#+V|3Az4A zF6I5y6d&o;4%D2@&2eyR^)(jly)-UV`84-pFfxmtcz~;J#a`ccDgUl1`z z*VI{_7Vt9ZioGppo04gxDde?<$6MT<0?~ zR?sb|;_+JAHz&{h6Y5mBDvJZvJenO$vo&a&hm+eH;0lpf8*f;-{SlU%%%6s1nCQS2 zK$}U*XCmg(zRY#k6$Dng=YSi$lxgRWztx^p?}Qv|an8#Of8I!FjPi(pd%tiv6-cik z9vcP8vJ8wF*z32$w2a!QDPe`_+|cfXn&dP`=oy{H)_fX$-y=4?R=bre0(7ag%q<3Q z>BFSa;~(oEk3IxKO6st!s%x4>GaI0c-j2u<5-m#q5bG|DTm8UvS?my;Ck)}gT!3bG zY8EY_8Dr!Qmq1D;Vkz>A?YFy=UlLZnybbckb-W~Sgl~IxxAN*d*-gUDBNw)1pVkz+ znol8-`o$4cBUf|NLKGg6p0A92>xWHS1yq&bi1t^y!zeO*vM0N1@3Ax~Bv=WX4Zb3M zE#9EovIUx+Kwd1*9SzIS=tk^drq43TBCA)tgnPBJfF|qSWirDwKr_wr28JgSX5c0- z$uMq-WS zkxs~az1(1mSs^RZ94oVMzSx_jO8Oa3Jc*+-zl;{gsCGTPtQPmEBJO=QzyxAjI?z&w z)Rl|=Hb-<4-No`^{DVB?7k#>cbQDE*t_&r!q{)|D_u)(L6NFGOEdYgQb%^`r zVj|?H)Wf|(x|8Up!#a?Gj1fNW=Q{Z~^;yiWCLsi?4C^8P-@@i?zWY~g>o_D!i=sWfr`8r+cPV1 zC(DE`r7myBHT5jl+fAjf&YK!qJkLY{^!cS@HasIMRqv1_!BOSG(dW9Mb66iueX4p> zJ_upVg)fVGi&ciQzfjbA$5zXB?8Ytx&vB9aHL`qEO*$uQ$#zQZQ$aU9NfLPCd3Jcy z0Z-?vCgaERBaeTCR9xW`Ua7hsbc=t+_a1M%h?v>3zAM?U5jHnW*;mZ_e-t`q&+hZk7AY!wlAx zhRMB7oj7sG4+sEzJFZwTf)Sk2B`3=pDh0pxro^~if&-@1ktM{uogz6pb||sjMr4{RvYChPEuHTIl;&WKar znt;|QrBQD2+=BT07-3|67h~xap>VSSRW{~ZxJ==VpGw@0rhZ9U=9EfKhw=7_Fb5^! zZU-K8o4@@i)1tS41&V$2S%M2(-UsC_7nZK!THRslx#&X&c zUZx2@T8X#58w^i^3z`TcEI}$s-nJN@=bPnhwL}5Kkt^G8(uhQ!AV|lP)fQM+&)iIt z0S3hw)2-D`2=jg3w4~vKANSnX=j0PTZ`F!>^bMDDR&~hTocycWww7PnK2jW?;K~SU z*+rq6oWUC6TMQYi&+M6yc5yqvHl5KzRn^uxC>ybgbxE?hj+g4cteLJe=(LfR_q65N zkk=9URIuCi;oHuyRus=)g#SrWKpIl3XU3%=Be~PSY>`kVO~Sa$)e46Q3gdR9O1B(3 z)S_Q33Vt%h>B`m6ba%``{EKsBeco-&bS}-szC<>y47>2R6|Y`gh4V&j$dr(5iWO4h3NObUX~sR-EjuBtc@oKuDYVA+C-CuZWCF zoWQeZB$KZ2i|NHf?~-DYitVS8QzSiPSpqfg_KX8hnDadgsxqhS81oSxELrKWkwvm~ zv35GfS=bzlQeD%PCPT_p!ty7}4;WH_=xsqZRkM+g_junK1=HbL)I034Ir%SIBF`zS znL9~SZ4#2LpImA7s6NS)S=w7rk1H}~3IGdM9-)M?0M!&ZjYgWU&-*2^^jz)@Uo`7H z>#>L^o-LT7&fI&c8Hv6KWuOGjXWs*7*Jv)=NsOJuOtUmdK%ZS;Sw;Py3Xe_`$%+qT zxeqBfURY1fcGPl!o?-ly4fDX7Le_c-PD<7tUz|r_dI{ymC#anNAN;eu`gb)+9L6~| zjzyP(MH?yrjVqiFchineA$TZUd(`{^4J4`%eUwUH1VU0epBuv>4T#F-B?_yG=tHk2 z!}*hIi$r;QguvZEfyfNVv{4M-gmVF*FThoG>Akn{&#dRGVX_jpXnn+x{a6x?#B}BM zqRhR2_vX2q8y^YE&*iR7;z2_n4z55UWvBa8=<4vG>J#y>ewoVs#G{j4o=d()_djcs zYX-CYxDS^zgVVps_+qz5%g3}L(>XgWfh^Vaq|)|V)ape>#7DC{JpSmTE2vn!z&VD| zX&|+V=MzOWP@K5Z*I4bJp3IY_1UnO|Dbp0J_L>y6-8_bx^%~jh7v3n}p0RnS4xO(V zHHD>#pIc8{3-(G0=9Pivijl&(exdvqLzeJ55o9e6T}a9y%qr(|yiS?1dFq6jz` zj_SjgKry1`mh49nCY`J06ew{yUSM}F>Toc^+?)3qJuS`J#FzZ`CU7JDCIX=FPR5Zz zz48k#b=u4zGMi^kAyMGq)H+c&2~&tvUnbrT=asIxWM=)@#GCMWX*+XV@0pb+-FD6m z)rU*7%0@*#^$So%OP~60%E`Gp-^j00wk z>*@dj4yw?XQf3%jJv-UiU0iN&xOCZAsXWu1Q6Z8x=^YGOce^Q}I@ETdS}X)UCW(HM z>2Oq!ccOTSHg9k#4qSE5A2?iA8&C53twYb!xJ?7e#Y`W{;gC2{c8RMO3TXrrJrz|a z58&*?siwy9Mmr_I^9N&G_-?+=28UUn>#tg+B`E=}e@7L(m_?@Ph;7$5fqB<%le#mX zyOp*=#wg$`vyerO;2-B@(k87lWU`MaRXd{8J1Aj~GN~d`2SJln)<6pzF%&Q6I@`sG zy5wh{l5+}IVgI}JUMY!d^Gy~A&dq1lly%s-VL6osNzE`%T!N9) z^}MA=Pp>_rHq81baqob&4c{Yt5kuCsfK{xPH@~HeHOy5pB}%5c)a+OI5AnjkEy>)l zB-*3?89+~L+6T`K-jn(d!orOFMm8k?Cqf?yR?YYhdmDv?+%-s*4lh$nPV6r$nHFwn zy)1@|rK8l_vh$`9G50}~=pWz)W)7C-4s_C8#iBRKC<~pMA{8%3z2m*yTnuH$%qvbxh>!z>ApbH)>WQ~g`T?8F9SE$K$l zs+vA($b8?fN3E``Wj9A}W08}@!Pk$hwfCe8U{(-_5Q8Q;eKxZ*8FO+Hc1mzRc0po~ z&nXVE-APT*S<;%jNZ5-xM=+btIi|)Sc(7{{v{0z}vHFgaMifrT5%IqE!rvXfxF6nH zm|(Zcov6{grZO2K_{P^kc#u-5Z#Dw+dqL<-ylKx9F;XCC|H$-qpSCR0@KwiX&Eq9f zzkgx8&)h!?@5CBNowhfdS7Q1P;mDN`#rP|K%0(m&TR!;*NIWfJhdO`t(Exf2v=2+jb0To8|7PeY{R@}=AA~JEim}k72GxQ|Fd^$9q?=V-^$i?cO z=+8J^p?elyqO%!KZid~_B;zFp+a6)Cl4zpnm#^%IMkz7=1**hA-=yYb-CH&yxxvM3 zPJ|_fA#&6mi3^4q3$#_nAnS>8x^Vbijw3%&Vj0Zqp1y#xyVdZ7Pg;?C5iWiu?7^2) zwLzERRs({eK4L9Ele~W>H0rk%t7~mzV<}Yr`@WQ;JN@{du%xoFHN1&N@LG=zE2K=1 zdn0VJtC#tSVa9Z{p2q7%^$5gy6tCpS)}{PEy3x=<11sMLU+z5X_E@p~nK_f9y{*Uf zutEXMG_mYN3oD=?|IE9Cn1V^`3amQu1=sKRTK~!RWsA|8+cnJ*uM--MHA&oY<3FPI zcN?qqqjN&+{N5!%OB}ekqavDY948=-k(c4|jarGzUV9{?;#ii-R?djtT7BF^YZ#p+S^vKoW5@yYq9f>T7nt*%!bK> z;uHz+D-PU_%dj7s0e2qQUh{rs#xa2PeXccqp_&=5%MVR)V? zJDn+&rS`ZmK)gJiEnbX(+n4E3JFb-mYA4;D22 zc@FkNT^IJ1LTJhd-kh1}8Sxuq1ts~$9HT+YABrv4>$bkD9^Qnrh3G(%oa-ichQ$Yt z{wO2Psf%Y{$#$!fyqnUo6|{hJurVil-ptKeZ&V5Ua-+pv4&+T;OX0H22C5R_ z=uK0fNm|2>R8uIf#>zaz9;Jvs6u*=F*}lqgFoR#GYBQb2r#cU7;HT0hrT@NP?xM?B zlh93nrrQ{8(_mu8#B>SEdJf}jRM4-l4I4xPc7{zpG}*&!0CUR?wN^dOXVdro(8@ea zy@8%#m|cC1?P8T?Wlrm0Qdz%xQJ@ zaFX*EW0%EkbLpIrQq5U0u(fDz;{6mClfW)Ml6Lp+t2(dl>7O}HU{g0oqD43k<7mzN z9W_W+QzHzGiaky-X)k0UV^%L}DE;QM7F}D2Mh}tGKJh zsm8WpI@V7m3%asc&@Ng_d7-9D_%l@-Gjn|%w|lrYrAzr&S+Qu4O!OsX9WxO%$JD7G ztR{U1HO$k}5WzM)hBPo8|R)A~X>2+c#>^nARz-talipiSImWrL>m*G4^7CV=~ zSpB_3sGxeI)C0wWbd>+O;y@DVMA~FtJwyvk#E_rVnWzP4vXosZ^TQMrgbXitR4tz$$oDePzfd*1~qlkvJ4rpPX{-T*=(=X9@|?)iK* znYFFxRpUE79QB+4S7eL~o4>k8qwGqpIgJ2`!R??xjrN`@bvv`voQ`yz=f|R`cb&-y zIRxh_@H8hl98+ssIY|4QAg8XJ>W ?Fxs5T%Tj`kzj{6@rjAA*O&RMe#bk?yE6h z%^zA|6O(lrPx7#BRt&eWEZs}(z4*3RkK-aUAEzD6Dw z{Q|xYCc?DbpQGeCKHl?|sbfGkOBhOVb-JSDQAOAac$s^YjR`G-C#wy9 zmz!_PL#&PyKoU)}CJo)xurAcJ5G_lx;By(+5*iEuXlt(lYfDL+x$WN%a zlt~ayjm}&bbJ6m3?$`O~pnY4+(L|4RN*ZOB?ll}*9d&~5OYLU_`D%B~K{t;uG%8dZ zbT2s8uUgtyD0W{D0!w`3CeGEm9i0=r&JGDHDr8g0x{sqlwhUCzr!+lAshnivCjSNF zG)%i?R;h;Q7PbyTJep=nP)GkjF%{VLl@wgx?Po|&i~gNd%#*SXE5L!Rb2CT#uBb2z zB{sd%8QY;Zk5F+Qy0TSOD|I8~-r}}G_)k@!FuR|rc#o*@MP8Og0=@g}c7t=RFy>0Z zj%uVeD%&d7UNIM*th++;b(}-2n?{`>iyS-LZ3Rg5lO?1OICl+CY*~VQa&G_b2xiBKeknMD~xx{dsLUdQ@j-yiE~6a&+A zN0B{O>ehZ*PhO*Rm^#Mv_h*N^eUcYVNU<9ynR&5InXxfQw0j;hod0I{v0%J1#c=KoHpgKS=20zz@4DNW6k+Ch2lWPJmbCY_7o4_`g#^Cge+zP;{ z^`x1R7?0p5P6!5}&NfxY96;Y{teFU*($te)J$9Ts8;$ zaHQ}uMRE6h2fRUnBpei}WM)`CG_st8#y^Z1MU~f! z)|fq|UL8`#BDX*Uy7akeKabxQfzJr=kNQ~CHM-g{iJYiUS_mOSI8Pne)QJ9jrTL)` zJ4@2hBs<_wd^6ZUZgSR3SLEy~t!w;bv7NP+&GcMB@#^yxY?+4qOI+5>C~K8*M_{zX z_Hi)20eq^JECFC~4=y>ba@2Rh?yR4#!8Go*F%ADqmFf8<85dPCSmlja+86ny%Y%xx z!E2uWw`UL(QX2N^Ddx-o`!%+^Lgf|SzY;JOcS{E)EVNO7`H+Hf-eZPC zH&97PPDRSMqfkyFFfwn{!^nh77JLIL7!>fGj`yv8{)~K7*UK$_Y?gM2K)l97ucBC; z_S$LHbvW_qcB)rQVfpZ)wZG5k2Id4a9u0!^??L|HE%kjElZfDZ#E#oa&Bau|$ z`w0z`4H5jAF{-p~x4Bx(=C|E6&P;+T0syk_tBdTg0B0n#-I$l}?H6D;@oGaR+WN$s{~cBP9(uU~l3Z4k_n<^??e zuW7bRQQN4VtY6`8?uj@XK&ihaCO?ZOTXiHZBmQPL`X})4Yp1wLlL1tUDJ&|;5}2fd zJ%`Q_p=7elPLLpPxxt#7AN<5jwkXFO9WC3n*3eHnBn3D$I=E?cvNFo8Q=XiM3COu=`Jm2?kN6ZY14wb`eGHv)|OU`SCt- zOZ6vpTnTK#34hya9=mbIM-2d1s;xgME};~}PK#g})-$oVMP~33AUyMd?%U@2kW526 z9M6THZ+5kGgXD~#+CGtz9q%tkE)BG>n6LdLUySQk&qT~B&M*j;j`yxS|646rpguOyo2-y2hMyNKX1GyTRPyj)`m_<`h_x#sNj z+pyev8Rn!&NNgalN#N3Yd&}M)N7Ae2Lut~2ZoW!bD(R40K1l~QmA$*s4l*c*xlB=F zq@ZgxJFGOL|uj_s#V&zlf#v2j?-L1$J}P8j(x#=Z-5kJkLB;{8hDAY~B+}9z%W+E^8*{eh@fk3>E|sY!43fr2{F4L-M>D5u*MH(NIcW>*|RNhtT9wX5pG$+lc() zw(+Oh;?@G&(seB1M59H99@QIW?t{~Kgbnj~&f#6X0^W@yB4`RPl1?*EhTlC*7`uC| zVz()&dVg+VF|W;|Yz$(4s1D!!)VlRz(jYEesBKErQ1!|U@Vsn)_t57cQ9*1vRKd>R z)EmC|i$G{280}KR>R8}wX|Rs7o&VEWojQZ|qUOdYPDy4_$x*8sXm#5RCj9Gw@AUm; zW18_YokhC6tU)uyo>c^Q0Qw~PtL#_!jrdAb7%i7%e9NYSXh-j^3jqQoC~yh_!)T3- z{>w8dy1M4YwY4a%snEif+7vAO%jn75gUFG@(V9V4;hh6JW_r=z%GtNXPp58==Mv7e z!P^5!s1|t+BXm+3Fkwe3d=t*J-w9OEE3M0er1hoThdv#fZJ6-Yp7~Y!*;BraXJ7(h zuVNe`MhB7tpzQNWPNP?na7jf2!&F9XJjb4hbh8H@B~Q$QUAswa4>f6;%X4-aeegq;&N%{ml zu+Kfnhos%c-Svpxv?=q+f}EFB$cFdVXO)R&UG)IZ>*c z;stlrLR4~aUJue7QAt)O zDn{r-N|@dv;_jzE=omcEwMN0GhOPbId4qMnmW%Uj^SP7y#PfPv9xYy1@u9j+jRf)r zog8==W^5U_%;m@!r1sH)OYi(Ke>;vuQDRdNCbqqD_wcdddz^!0)VN4pM<~^xVxrPF zG^N^DQO0Ev8%l55xfJh3s`hCdYetWg=oTD!25|Bw;)zTLQIO0Uqt>%wS2D*)lUC3ymWD=sG_McucC-P}PJL6|;9wtKlN|jmTUMog z2P3Z8YU$1)5GwEh23MD$JVEQPqW#;C_I^0Zkx!8%^C{JNE`AfKT1JW*7|kdV#{fQf z{H07mx-qqq&z>oTkV&#Zws&fy@KBVY1=8>8ICGOrW%iQt8MJIRi4_Qw%Z$>WDxyyL zF#ZP;Hu4-BGfYP&?u0%zw+cKzzcotz(C}-D*eSqPXWJbRJWhtIVqmGVQk+d0{m6DM zyXY7l=%V|e|7z#OkCfB+amDHMp|L((PfSs`O1-EP8O@k+ppKi6El5rBK zsw)^17lYb0Oy!D6pEYBVs2}M!>k%2=gi|NyD8d#0w(a=p!*!nJ$F2?b4Hdz)A?USR znO!`8hC-jvA0r75qP?z6N)kZxf$~{`*1NyLK7o+;(A;3p6DXgHT7TnJD>kj*Rj>1v zyb=z2{rlUt?3_b9Ah70L)9n$U^?xoz{gV`&_guH*DVK9~{2g0{2%q zv@*+xuTR@QaqS7~KdS?KyX7jxFMKzE?(l z3mAWVE5tN%%-ceKIFs-(gSw8TdY3R;En0s+YPH`8Kpa$5cbs6kzq9*+8Mthcp-OJfdv@?=Ecv&u zzm#IHLd(A>uu(l&xB9Udq$F&!_!_Z+rNV`R_u`#nRRx1ADP>8%vY*z!(?C+-E!gttzr!hE| z#mIKtQB!}ph_HiyyQdOh_m&9|_5OvU6&I*2rY?dIM`Vc8WZYxmI~e=c$79mE&;&_> zAzGz_r+?W?PrbNxG{pd{xJ20st;kpk_I(*gNi^~gJzYmS_8&|++Kx|P8T6p`^Vtf4 zyi48-z76bEA2uUGi+B#pFbl0?ZtMg#P6#IMNdxBI-wB-=*)AJrG6_jXgIM3@LZwtD zC27$+*KRpj9sNYt&(ThHqi5YV zUD%5yYwxA?-e}YL>)gSIV8pTlt)$Kmvc*kx1j!>SWk@Az9_yw#q?f_SYvooV&wtg| zRj4mAGNX{yR^E&I+vD%SwVEQ)V4b|P2*_<57x}S-B|Ss{b!NtmDAMSofDl#unw{2c zH{eKm$dQ}VS5@DX*^$fw@uo}R4;RW527~kyJC}d~DJ+!60Lz_9+|vE;*kDEvIBMGl zwaEPz3rc3MZZfIwyF8R@bK-6b&e{TG9<0=JFSip-saQly#qABj;m+y3@UEP zCu};zT)Vc~(!C337BZJcg4SG90}saJ0rg>w(jyoCdtyz1W+JQ@k zU1rGA8AyjF|8Ba0*D3w3&A+R(iTbhBE{~2%+}ViwTQiz?R|YnJSz5fGG3suJ4H!Kg zH5FZ4WGbzPgPeV9ndhnAiG*BG zvcDxK;$oS4{B5Y$|6N*+(|#6))go;L|8nwPQe1wGBL36WVgD}*r>j*a1WiOuB2=q) zpXEuj=>x&=C@NEL)!y;nxi?tlddwcK3pWjSj^8_Sm9|tvy{F|VCkqSO5$=U}-}c29 zIWWh#y2YJ$kHR8r?vAa*D`=H>FDt$j+E5IN!=|bWh7(v`fEoGoWYqXkW1 zLI&MO3pc-_r@NNR5?Tp5tf92pD)8due^{Vr55Fn32FLYt7}^0W4LP=4k-sPA*CR^& z11R3V*HFB(#g8~emo1Emy3(F<*lM;@&y-nK65QroD!==08VgTM#L=XbECFY6&t7fe zY@5q55oyXhTsK{x_e9M1Ga$vJ)rQ&BYoX95OiowdwbAAVJ(uB*#XIuR>(DqnPDX#& z#NL364lz!Wr?j3|8?^@rXyv@X9NOJ!p+SMR+0gsuC&s3_0!+Pf~&8<}L5MXQT zRQ-W#D-?9*O~1IyZ9cIaP*!J-vF?l1(>%&uI2@RK@G{%lV{y3;)g(>c~^P8 zjsmV;D7U$5Ka<`s*^2a?RySO%C(;6vvv3QVrE8xt|5-U70Rtj12ckhT*1~Hh#bTam z0^*&eTN8p2MKQZ)^g&0?BG8M~Jx<}z4Lph04Nd$VY8uwyA{lE%H49Q+c87!_w0##W z)3YK)39q9wd19w@$87@TOef-_!-0GLb`9gXsIMQVQjL>i8-q390vZyu8y`q|D+s77 zfatX@53z09j zc!>xp|FM@g5c$|<;_Rj5;GVil0)t_Tn@3Q&4_tqeBF`7eCCERD;291yy50>d`Gcn| zb~q~Q*mT-` zFFGtkz2HQyv*Pkl(bp1abNR2ml_hzd8q&XA^*o-_WOP+Ne**>7p|R#i9>V) z(9>#LKD0_)=qSM#^j7oiG=#2CNP_XWvxc(6flfKW)0%;O&;xu&Jt^ynb{g+_7J3m_7ChL>?>m63itVt|C>v7#+kK62&(xYH{_R^62R!Pz?H(er;LXO(yQw&= zYdS{Z)}UEVp52;AVXLxnKtR8^ixaO z#3I^`-FSzV4(IV$!^k`o4)?6{?#J(se8@4tepr6u=I}LYy-R8se{KHoiTq&9{|6X& ze!eYEz*Na)h;EJL?rnksnKtv4WeP{ODtx6Ujl_4L%7c_NA7+`d;E@Xn0de|wHx>iM z$R$(n>AYGdCx-z127yzX~QkxC9`m8TMXEE zF4UuqOVg7}17%<@Sr|KC4DQad-YNX}U;XJZL=fN19YR!__9ep`wpAS;Edl55o(7PS z=H-FQ==keuV8dp&-NXTX`{vxWGtX=ooVVR1^nOnj_Wu1NAAv2JU%$(RSq|+Pb~j5^ z$Y4e`xGe4__L>Xs&38Zz>|3viiP$hUdixzA=;t|f)W*L*AwWuo^~fK=&~GQc?}GQi zEz;xl49I=#HcA_DkGT zgprzw-HQoKTO&<;!fWVT4Q2IrEFixx{BH-~2z<4#cibA*;MzK_o~pF$ z^HS~l^^4K-HGl~srje^4W7L}eVF?+;XGo|iA9Z%Q^BVqKuF8W0mu{?UHs`$DMdLQr zEozo-1ecFjiq(6|Zf*wz5!`!)Pw3t+*0-OOKNFYbgfYmI|lX?F@p5p8vM{XBs7t6NCU4JUXw~zAO8ttf_Uu z>`j~7eU$j^Z$9Mxm)ZWa8zqFbDMMFTWELHRp1eAj08#9}W~C4>URVopvj6Je|8&d= zJm=n;@?LG?N}zF2H~8TM3Hs6nR|1Lp-?jVov_dKtKiHO(Xn!Y%_T;e+{)}1ifB(dP zz$yC2ees_W*#&h=8s>FtpEx~Mt{*H>)!|!I%wxCL86)Wbzc$}ZT`~Nk?6z04<}}Cw z!yyhgU&09cPhS;x6n6ie)-PN?1aeZ71Opf81GxjDX_3dB&Tor(%}Xmm)=U%Et3l+QoEzJI+G)b01PY}0{Qe!egj*g$DY^hTfN+;}eb?DE--Bbe!fd-SzP(Hl2*SSq1p^Wk zPgIvyE95nO(&A%#m^d24THt%frEGwp)LTf%h{oh5X{}*BN z?O;Ma_oB(W^&Hu(l=FbxcC+~ZKU}?KKpb7tHk=>{?h>2;!CiwB+}$;}yW8Ld3GVLh z?(Xg`LvVLzfN!$T?!Nbazvf3zpStQgRduSXPuBt6=b%mflbJ6Besl+lph=XMN|zpQ zF|mhLm;jJ&xN;!;KN)9&LQ1>y=veu5=Z!Ep)QNQc@&$0^Ol*hhr@pQiND0wS12N+U zY%n3w%la+g%tM%G+fn~10MrRK22hs(aeDMS_5yy;zuoB(0m>f)5aku2=g0S}AC78x zn>%L82K?7|iT)CYTvk17^Z$GX45s__uk#YMJu?5(G6lB!P++cK^f9qk{z)`3N;47I z4jv4#_5NR2{L3DA^WzTye|axS+dq8`3gUqj?5Kd#?XiDS3|=CIz|8+rQ8(iFU!ef7 z3Y$?nUjNR{{(bRM9}Mxe8ZP|we}%3`fi-%m31|3Mi2v^t@gaaA5~=FPZ2Yg#7gU&Q zPkxsxBO=>MulC<5j!4`%S;e_19900W9DDIGTZ?+AaTbmxJ2^Tz0T{GT8%ux0pnYME3p zasGE|-7vtsMUi{~eujAXDz9R{+V4F)p!Oe0-H?9=`_TT#isA<4x78VyjSc+obUb_o zYsa^~T_*4o1IqtH6ojEL+JE%ajsSxz%L()K>pp}D-0wS#77hIGpzdHjGlwWPKS9iq zpxnA@dXe7$XVS9&DuqOR#e(8S4*_norrl23@b7Ey1Yo4^;M0k&|DALE%c(Aj{-WS7 zJ*BkxCk^l1V2a-#uu%5?$?+EdU+4ExUQw9;kHEh-y}5z`yN0` zi)-`#FT4DgI20Jr#b3JwY6-;i|I>`$Vg901Fcwn)V))tJy%b`iufYA^Esvsq=hd)6 zH07Vc0tU(hY&2`|Qat}y8NsOjfB$aA@{@0C!772l21C>H2P0xA8KxBdr>=h8#$W-u zgOq~*hWPIvFIX@KUHK$=U;e}V|0$jH*ClZBU{L>|EZ8W&{naZr?6<-Hj-vf{g*5(6 zBK&_MyWhhF9{V1BPWU9>3jW?P8}{00K!Dt4OQRV7_ZeXm94k66^u%KN1h!1TxHb_q zye=W2B3~vP>bTp9_e{UxD4o_tM>>J?K$POQ(qoj38?0dxE8@$yzqaUHU8QZp`l7n_ZxxlnLG&Xdmpj zh?6REmn;DQu&Y8C3DQpi^*f>Kuy@33rD%j(>+t=_f=lZ%>At|0)L*yzhWRuwpl*4d zV(V%t@pDm6Yg(=Dq6(TF4hv>IqT9X;G_#s!`GmuJ+pIySmKC$m27b~+0IytNu{&Qx z5#mUy?^6ygY=41U)!t7K5|IyFoev9F64RK6UME zdD_ADvQwu^ym4J4Dnp7ZDeL&KEq=}YR|A5($Bu&K<;=Vh&w_VGc<088iW5o=;!7JC z0f3Ls!^;B5_1-4F7Q6J->z><_B~|y#HBDz4xcMCRi{WqBAIpvktP&Im>+I@`XbO0l z>`Df8miabt5%!I|t3J}Jbr?z7lO|m&MXAcWb2}@xVgsJ1D9;5?4Bp`j&v~KU zTq3`W=8GxY)xouk`x?(h0z>qmAl7|z&R>#ivU3i%lBgYqt z{e*tdDSr^kEj(gDp@M>75Zr1Oo*A8VDO(I5c&2;qj$yKA7yrtEki=id5eEu;mAujK z&?Mu04nJlAzc$bWdsm}+`uf1+I4qeQz}J-pKSRc~c0gsIL*m&K0X*O+v}3yR8Ydk<@RKZRqw{`TA$jtK_d7l> z-%W~0&3e*`zC{??zpvKa3PqGRwMP(xlw5EB8;BVo_+mRCK3cc1ylA63j1nu>2E6e( z9t++5A*d(n_x7=CyuzJ%v8nM|)9@O-$FlE&hj`%>reo{nJ%`)$2iY9O^wsJR1Uy-o z_oN(ipDqfNqP2S{^T&<2-TC}o)8C5^rf3UlCYQ8qo1yP$`m-1^tfNkX3QMw9EXiL< zCku4ruFW#`3KlptsosDg@>M|vC0H{rdR0K7GyS~1!|X*`!-Xk4qK|q63VE_iEDy79 zKz96ZM8aTKA!fbWUVy&eZy;Rn=@9Oc8|s@U(jCaA=3ay2oUr_2)}Tl1jF-Nf zrHk!K_K4K`!d**{H4l>vQDN;_jb5i?3p5}#$h$j~?_%UF;7A0$^#EK?a4g{}m!eLc zMTUUysDBqL!wMhql!l;(mzPxD2Z-E0htQ1cn~KhH>0J?wNR zos0Ik^wqahORx#tPu`u~4OJ39OKOs5#SMSP?=c}X zGYFycmo0|AbdI-_SVuWjpIT#+vxV=qSrvEYZrcQ$4CEk(KOO5(pJ0SVP-^BmH|TWz zDq}IWhz6azJt1xTD>1H7+=lVeSW5T^m2?GtJJ0-^jhK@1Ie3Uc=>WNga9>k-XU39` z3y*tCO}XUIV`r4P;a3++oS>U^oo@B0bJlO?(o`8*0;U9c-E=g zfzQSAx%Mw9Y90gtdyU29_BZ!pv~ecKvrly#;tzIwJ4{`ACruj8ej~VVnQjo70S|kT z$(j<_&rnzhANNI~IKQX*gAfvSMOwm)-bukg04~OAFAHcy+85M3?l9Ue1TC3uEUEJB0WcNJv?yc*c3VtUBb)Jqpsu|IZ_W5*nWGlpp3ROQPn zR_N|IP0K}=6d;Rx2j2<8v}%%46}M{cqniV1LAgO@L&a2_(!;Lwsmm{v@D2+n5?k^K z(jXE+SQOC$UhyvQ`9u5 zJ>X^+QFsojYi};izrD;@W6Epn2|$_xnA32prDH1214Vy_mDQEeTTgby&DfFHPvRP!FQwk5-#f!Wl2DC&1uyU_p0Dy&|as0*1727tz14BM*H_Q zK_@w3Tx4aJ!@wbRmt11waKiyjWSyJL$&rjoSTaLa?6}6e_w#11GXGdk#Gg%)p}r(y z`IW=F%1^fY%&v=OON32nX%!3<0(USE1Y})e= zCr}63%=(tVZy{mO#YZor$r6IFvF$Y3d{NYXV zI$TIeuU^6=**50YLpQc%u&m)5g01Qik2FJ#h4(7h5&a@8kjq_k_fZ8;;0HBj43Sfu}l%vg)BF?Iu(Kn$_q3oEA z#W&3-y-uF+XyLk&brn$L(4$p5IeROx>OQUVVg8^y!UbpFzHQgZ89wKqO-c8vjyH==6%)$+u?R3@2EbKk{Bx+f|D!ZihX_kOA?L9 zq<+7J3K79JEqSdHo1>xUy84454k-TWmo?F%LErWgZB!*ZzjB!rTYFk9Eh;2U!?|cE z+A>2D%`?n<+QbreB9jJ^ZF|sd%mE(kON6vs){yFKU5_AfJt@g9z6mlk!ks&%+a-%^Cc5o5lWg;?1oB#f+!8 zE?G5%wxyP$s@eFDW1^cfOu@aDi819OD85&)11qI^v`LIog?k{C`#_?2LZJlbX^-gO z>&^pLMngq`qUx{>TMM&sS?#Jf|K7zboQ!M(DIEvW*bn2u4x}CLCD<+lp|=CwiL|w8 z-tB!0zr3JP?z`QpO^jE21a-7SdP z*>atz+td#-h}r45=X7uO6T-2Tin=XYB)V@IbmXfcU0i*SnFiDzPpZADCX93{yd!`C zo08CSgmDeixkk+iAG~X=Gj&z<>U7rRLVdB0J+cH(!sIw3;&ePIPSQZnpP`QVUV{?* zrplj6D@K>Q?c_8j0gk~l7pMZII5XL5+;cf%PRrOSC0{z|o*GC$joGY%dwgdRxTYmk z?5HLU*>i{zjAI~rCl}ru#qVr`TmJeux{jT?l*|>!*i+5=v7jI6ql~$=t-YFLm{=#0 zkFW2gsJ?t#SS(ll{?-=UUN8p`wK`~1fHQIcW@Qbe8XqwtuT-dEYkSzJo#doU-;<+^ zh0Y%QE*R&Zz`nZNhMvFvnRY=UtqltT^4&|79Z)CZORgs&@+pXHRDS+LrQiIXw1zJo zr59A$1@$yb*E|f6!R2jdAeDGVq?VecWjU6j7-c28muI46c%K=~f9XaVD}UI^>cbic z*)u#j$l?` zqTp-6Ci-~hGhixheM*MnA-RlEG4SY|V_({-^Zb`(8!_%%y3- zylXc_=(29Pw=1G_VyU?JHrD&+BbCOKtmb+di-}jgf);i$yHC*_Qn&}53L_c7b__JF z(;!k0_pniJ3@z1xNmm&9+&#A7&~f83Gl35H(!pGh{GCkrtc0Fjq@FOVf<1ZW71W$M zqbZZ@&%jZ^9Hae^(a2gdLlq|0F(-NP_;A!nfY@kjp9e`-q@>^xPSUAQ4rW}Ns#Zjw z#>~+Liil2T?K=+xA?AazqWxxgKa~4QBOz?lBqC_T;pQ0U;^KYEhpb+WFYC@w!06BW*6B?Gzy&+)^8VNz^q#W#sHg!Kz2?%wQa(ij7&2ZR9=$)jPOsxD#+h zyileR_1N{2^vC=@OX$*{3;CLx`fz;kw^D27Tzmv*pFSPDbErE%1xPOwg3BLw=sGlL zHM4Uez@x9W0OuMc3w)l6w!){l@`)InUQ$m zRkuk4+?^J<9VYf8dz2@6l9W5aQ-^(JRu<0*X_1|dQ=yl>qnks-*Kt5B3etJ_v`gnc zB@e3$J0S>O4r?N-@b|xQw`mHs@*oM4Bo^F(y%j58-}E$IRD^F+h*QG6KnULb;I0w^qk6 zTP;7wfpE`LsYA-=F_Sn|vv!-frV|f%%d(lD=JWp|L)){H0 zju&2cYD5s0{ub@I(kl^+Eu99bS{6K>HGUlnn>AqY8k(|(d4jlq*uF$DBY2nX$ln?mR}I-S6)>G z5aB~RSbqvj{iQyv>Q+nQHVOWSe#9UulsX;rBXfNMYD|SVdGOW!$V0tGR=TRTG4hUM~X7Widu4 zF*|_>H1EHBXS1x?-9I^aFBuLs$Xy=eZ>}+yuAt47)u@S?osDSrVSGPs1%DXFd3WS) z@nV!Hb~Z;dJUZWblR5{`3ZD(|-pBH6KX>1SAQ zsvW|gXT#Bk5dG_*MC1OXaDfDR;7FF9WVjnJ9lI2&5_;^76;OE=xM-SH z$S4Wy`IcT(Qh}g6=NH|JbfY=oRKJ#9%>NWfvp9Nwn`!M6M71-PLTq3<(PAl4Q%g6| z$5S-_6NF|b_ORUzv*;clJ&?5tk@lTrylQd^qyp?nIqF!S%w{n4p0c6U*2AEfl72rh zMEO4QHpB=1F34aZ1y`2^D%$~B0 zwR+*eAXbt}#_{Xb#%K8q>9eSA+wqEpuzFZ=Ib*=d0jW|U3^hJx^v>tG=t|3EE1mMvIL1=d3$M;%ExFum0bnfDZ799p!EkFGM zaUksxGG<%kwqJ-EiZme%=6bal(5N0*u<6*NT%w2 zTj_hZLp&6GzgcVU ze5ukP!$Yx~bQh8ut7m56sn=k<6IC_8PC3uX<{_wTl>*rwXBe}jrFcr5c=C%UBL~6g z*}97G)g%0&{FmmObLp#w#QV|_)DuC~vyM?;xkbMN6fgNMH^Nng*%oUVxmf8)6%Vh9ioY!B&1T7jnz{&TA|ic|jhB2GSJ^&fdlz$qJc^Qjc9sw*@3{cPv|Q04 zUCh?zU66N6;z0({E;{b?f!9j3vb&XNC2`PcgWi-DbleR!Ya1~f{nx4&n**m3L3pV) zd&BtWrjxx7!&rzL7Tb6>^`R8>0Xc(28}kMop-dqy2vHZg!Eoc90~gr;-?*n zt+*kpZR7w1bi$iTlj5DNq%{3(v8gH<%URg;wK}W)3Rg-A0lO~aOO(#E_5eK)fm1#uVjbQ0bw#MzHX7BoJOFa3o}E zju%(OZ{!+?i4RhT&?(@Mq_N2Y|E=|$GL>DjAmQ2)j-%C5(k5 zQ89}>E`xjSCi~|-|2&o${$OKnb8EEM;xPow$ZQbu2BhddJ@$De+4wrI`;!=>^nI#C z!)!hMkNh~V#>s-OoQhfxXKu^Fhyhf;$!W1Q2TK;C&b39@bbhfYS1eV?IPd`7wKT2z zBXEIMaKYQb(1TylV4(pz6_?1+MH=y-4udu2j@xU+9OM*IG(?on)K)PC z8<7zluu-zXb*)gyZv)?n6vIEuL*?3B^I3Ad(w+-ZfBYn&`U$V7gHdJ$rNZ`dls$G4 z62^>UqtIFgVNyrSK!3#mPG41VVO74ONpZh{md+xe*JDy#kOB28yo^frrDdZ=P;cgd z)q8WvyyZ$29+R^dO1;MT#k53B{!FU+bJP0Z6eJK}8%sPfA-xh>PS6i^mODd8CVAIy zIjltD(A)!W*-0O4l~x-ET*x|F`f9Feo-k|A;_0b-2Yu6YrKl;6?gx7myOCG35EQtu z`4g^>v@;s1&;RuUNajbdRndn4zN6meRM9Zy;|zZRpPwu(+N|czt$Cps+RyCxmy1q& z85u+~4u8nY9@djlTDL0t9p)w1u|E`|Drpfc6C2K+U55t=q5faAOqgV8O!;QwJ{bCcfsF>)3X`%KgZKCm`(#UBV%46%( z5v1`^dEkt_VUX&Vc7h3@8+8e?5~2a$pZdvjbw8Y35%XeLkH8khn5!QfrU~C=B=nY5 zY{hAhdEsChUfC z>UftODQ%s=$Si@rkPLvz-)KqEeVR&qSo7;A=RiYH(6a^k);n2{hkwuJ*Dk?_p~iYd zvxxa=S25Rpw1;tZjs<8j1h){@oYmZa~Gs6x8aoRYHkX~|q6%pCgk6ePVn zjOvoo$`@_Mj8IphCtJGo*Z5?ac@Bt%hrM(Pc7WGaU+=}aOWejlg4Y&vtl(Fxs-MMX zN{3Y?a(z=!7HH4h)R6hG+T87}?-i|AU~z1A#_H^DI%wP$xCoCNZ`StwrHH(1WsPK) z9T+IR43(Rrl~NVU*afCh6`}hg_N0hIeAQhCsGZN-$wLfb@ zh)sm#gi-?LyE@cV6rBvt%lhYumgPFJOSgMtq*2E9vm+5pbCy%(lPW{WX3I=k%FR`Dh^$94(05~J5u~OkY8Ub2~sNeO@{@szao&DV44u8F-#lXQc|7>hX zD8`agGRzkdC%vjc2=K8m9?_(#l~IZ>8d zE!T{?;d)Xl+QHAHWT-%MPOP*oHBerFlqBc}P`eH^cXF8SJ$1gLPj1q}5Cl_+HypD3l z6o@DvEND#1kU(o511vQ}l*NaH*&}&WU&X&>yF*}Xe>-Ddye5{g!`k)JYRVl=!pb)z z1G?nQW{S7Pa;Fw2-(Xy`$|$B(c~?vVqWeaZ>QtDDw;K)2c^=Hl%E3nw*YT95T{z>0 zSnIlZ)n-@h2sDNp_u@_GeC__^B15l=aaY(XPRp2Dp0;D9OPA<2Dg^-}%w#RY{?(b3W=l3W z{6X!tn%8fx(`%t=3W2Q4CN4c$J;h0HEv3vTl2SosfpyptUW4TT?qT(qf8s9lfcykX z+=#+@t*sG!;y3*D3vuEy{P?s~^%bFDie~mmhGZ3sn`*nsd5yV6jp|r5v7)GA*P-PT zam5UisfG_ZMJgV&3BCnIlhbT$u-GmUr&|+8>r~9CGm=-V-Ye%= z*}tQK2@4f&rR)Tlm?P?czpLk+N=$@h;R(JjD9uHCp;b1y%FPygmU~Bu^(l!V17J32R%^ zt)}u_QrJ|o`jAz}DkUwIrRR1GdkP$`R&xC9UBg`I6;Db#6@4#>0=uE!d@5aM- zG_1YW4hEvT?qV9Pb`R-apk@)to-g|jk2^zpBRBJ zJ=yyEovtCr%iG|kBi`{*n>$w3uhgJzkbm&?*$VO3ZU{-SQZ!IMaPvI^m0*1@3}v1* zPWz>n$F}{n7E$VL)giSNKe93Zg<{Mm4T%k5?PtLs>ZtkVnor+#ZdASe28ocpF4;rn zRYG5wb1SxD+s90g*{?z`$f&A$%svEZCdj2)=L4nBTP!*qwNDvpma ztA#CtK$eOVBj@}0h&f?(9f73tf*wjGSTwSk3TE*q|!GQ?Abh$O>`;s zse09AD89v$i`1pBt)FM)Vbm`$ZC)plTdwASk6~5UWUG-a9meo$p*vtF%iGzMuU$Hp z)lj91gVf<4+~)AxVo)izVQ~-|$i<5xrP0|)T!+6)UW40T%(v5Nta&TP$T-DbyEwE` zA7W@tIO{o(BYV!DMM0-|a8&YHLc(N$B|nh?}XyOT76v1Acv~-?`v*f2O9TFmn)0!-0~>|6H{5t ze&=Ha9Q3exrUH5@{W~c$KAt0p&I2e5yt)j1XUK*S=Y)kkDnM4m>elg)+Ry#MU0u9f zbMEoj=Me%26I+6hN$rD0oG0HZ{ZzU$QkV$_JJ>93*DWAGyU5mP1YMfRszX!qOP-a} zE)%#4z&jlrx{@9DZ9Ut`i7y!i<)n(^HRkuHB~4$Syiv}L9LoGqf3eCKbxtk4y|Ey- zWu#QWZfxS$UuRZaBKjI$-SuGIgyB`{({QzlVwtbvHGEi(WF2a)u{RTHK-44CB*?bz zhba?!b(P|83I5g8f_o%eYLlpD?y`)??>WdTEGEs2i|(Nt8J~oKNFfpvXYj~=oi-ux zskVYRI!p+F$}{p_TTvuaD=6Bg6kt1#K0j1DHlM_GodDkKa#KG3!s!VSKDMP|!U8{*%K>*S!Kp24%E0_rW!R9#C@DDw3nIuL2SqR; zaWw(%Ys6IK@SDTFhfv5E#x)zN#)5kW1IG-Pz1|kr{ap&g{-n&4WW>lXlp=C@thczp zTC!Uou49?1um$W-FdnLLwo5+egtlv1v6e)drS2E;`Mh_==&eg0g@pPY=ad%x&$8?! zsh-VQPlNK8W=)^NqxT!Ka^I!iO#d-sGmsvkr?;TvQcNE zS*qdm0u0blj&tmkoPfOw?iMs|m^Vn%H++7DYe~2Uwral;%1?@tu?%|+9nomX{8yhvPa!Z%cr<^bR;!i>}1G)OEJ`slR53h zQPx;WO6x|4X)JP#CA3e_$nem!*V^MP{YYjo%U~!O5RD`l8S!1ZCMTL(U&c6UVIFo$ zv$o|3rT%sNx#)M~3 z7VKSQJ!I8>>GyI(ZP!mYD?7SSj^4d}lQuy6sz@>syz->0gXKu>f1;}&5f5Q8oG)c2 zduje-#8KMy_06`)IIpVkL*WNvmo3wlbh5!K6hLzLKojfSVCTN;^`EEDqFffE$3H4` z>Kg@;ktOsyMw|)sf}r^-Z$L#OXOy}!P{KNg4~>g#A$ZJMK9QLf!tqelC9ob}`seT| ztQJiM4(EmKE+RaqEsQs~aMFGklgR7WOOEE+yuKSF>zK0n{7fZaiNxEvmhJvQj@y9I z6gJ``dc8pHK|V)YnWKdb_W@~>`4W`qk~jm+NL8FGQlNv8wi0!-9h&$tig1A)V1mDhp3dnfnmUfHIImV%r7ta`XC3*=j^rt!-mx)R}pRmue zY4fFG{-bex>+d6Xa`tvc~JV-H(rHoRx>kxdEYj3 zg$2W1pp`msU9QCuIMc0(4WA_A9Xh5xRB5tx0navB7l#`&YS8#?M3>9CXZ5bzZ?tfi zc?SxKGMrEP@gd?>)2BF{vMQbI59bB@Cw}Ls{voPm#G2%6F1qYVKq3Z%KG_6UnTrym z{BYfj4nX5og3M%oi3@^x(yOGl4;QUyii!|nNdI$c=9aXO%#cbv)#+mX2B|M1a9Y~w_mT&1Fv5k)vSy69oow86Zk_aJW31u2{%TCdH44eocGx%MztZSbT?-ej?|_VYf*7nT4SegH3nUyGsUqV7der?vNP> zJy^ZiOSO(ZXIN3@ev*lv4l9!iykRY>r`nK7=7}v>*b_^K3GnsTIQ`J$>a|9!X&5~2 z&IsExGu@5;OD)+x4(}3jl~27AF63TYkyJqm#=qNhf|mneG^&+q4p(qnUWBBReM8sZ1f(DB$$jeTdJ=Jte$ndMqLm(MQHAlGFBV`u`L5=fO>=4=!Vw>TE4RSV zD0XDYx+pBv!@%$Q;DxicgO0l57m-OTIM-)}5iLDcgpw<9OB2<(R)N)Ba85pR$_lQO zpD+uOik_8k?>5cN*IKRHpKx)p(aoXBuT+OI%Aus7Gmu4Z$KlN-kMu`30(GK1NBu1! z)m2ObCu%43F=$ty{b7?XhO2!HW+9nrn1oSb?^NRS%oy>E@JSCEt2ucY_*&jSXB3}Q z3t3FELZ$FG8fajx<7Hd#;yS==Jd)Js?q0?amARJ1Yxc@i%qQ$VsR$PFL9Eqgi|zs_ zQGFf1vrpQVc5tKPGNw83zG!Psi@FSCkRhJ##W&awxEg+1kzbVcq|6;^$#n#Ro57q9 zk64I^CqH7BTzCx~?H1A{eCpbd!bMK%yz~LNzA>~6{D^hhpPTb)dR&nwa*8D<33KNZ z^k)R9=oxw{FWE!`aYMP^E%uv`)=!zKN%R6uDf-qRCmc5#O~;-@g(CleTODu35@uJj z#yt(HiWY*j@BPClow2{n@jKCx5h!(S{5-9QP<<5SX4%v@<>tF#a{A^;PQTwLBBdpp z>j)isv_L3}mgv<{*ythgy1Mu^lV79t`BdWDw}2m2Rg?Jh{VCKpP8+YL!SLw{OV)^u zuEnSk`oBnZ$=fh?>lXof*Gs&9iDKpoMGd*F)?}-THhzE z7}?^!D?+Im4?Z(VKkMipx$b*Qo3>Fbf}y zxT|clq-{(i09Zlo1adtX9R`b;n5SJIL+w-0-PupXq&K)b#lCDyK);6_-#?N8$!G{8 z(s9OKS<~XY;xR4HHq0@(0mV1FKBXJykOgL7HhfBD9cM?$6i@0S~oBW@Sdt$%k75p)$Shd()fg0J8D-3DN5~h69r7Cs(xFy)6i?ydpVc`!kW?M+BHI!0_ z*VH`2kcI*~y>qo}Gi3O-pQJ9&WGG0g_DS?q zKS9);4_i5*7=8^m6{|6&C{_$|F03aR4F!Cu`p)t(-^g2HwtV1}YIA$oal~+NtN=j( zhr=6%!IV%oC|IR|Q1()o;>|3!ayGDIII_$-oWVhxR-x%e5cTw!=(_Ji$;qvym;e4T zPDf1*dha2yT1V@Vwd)p|#w1tu>8WWNdN1QCKw)(_kb7f=&$6eMsZhJ>cK;ypSK)Ea z(KyG*h(2$`kwC2K33ZCuZ%5fe>cH2dfxQnE0!^U>8;7!XREZ| zYOf29K33slYmHEg)pLPsR+i-M6{_61mimHKe88{*G%fUn+pa^mwJVEoDP)(`eQqFp zOs%WLJV=Ro#`k9z?p!4Bv+$~RikC~fsSSzuILiwViEP{;Lez;=RYaerEUHtM24ef* z(~JOAiUQPLWHr&#O(zEswXh2t4H1JGSB@bbTI*?%+@Ng*b(Ilsei|v}k z=9d#mrzUg`2Y|l^c6hCO=Gg>Jc7}@HTLG0ww8W!PS9u(g$Qs-1NYtL0&uEHzS~ps1 z&FJ0tt2mRkXV#1D?PB7+kdH?S;;E;m0v}9tcNGyvco_Xa@u=}F01RQQW;^UyA zats-gASAmlKTms{*FNLnqrUoDs02JRkh8Sr?^Vq>O?*`>qFm;2n3Wc#SrZVp80d2} zXft2|eByG4%ta8TYW2K;l-RgTPG(YX@&g)wnbBRALp31dV=sN0;>H}%@_@@YB!p@_G#(6NLt>@INxWhx!Y z(A4ioWX)@f0qBq>m@HQrU|J!-Q4sU3$N3vHylb~Tz<3S&oo#B|Q-dk6>VW^0?WyVa zh5;@7lZQ^lLvQg+K=i7RH8NFZsi;CdHg?b4Z!*h1Y01=O4Q_cjc8>ZRiOfHe3X;+! z*pOoP&!|MT#@5jvH6?OykgaErS*Jj+DV|BM?ZU8CBMI6MW_~kHIs2kN%z8)?8Us&K zy3^Fp+c`;0557EPSgcaft7NlxQ;R0?w}BY+Mrb2NNvmX8zt$J@7mc*JaATF{%XjXD zv}V+!?4Cw_qtfF!ZHW3|(Y3^Nc1uD0W}x48qi8zkG$({suBPXSSn{;j1{7)?%^lSxvucd4L7@UdzT_)R?NjdEhj zAD5&@DG)xT#xQoD@k&AM$?Ce0AN)BS@-SSj3V_IAPpB_HZZzak@t@nm&3*-!BTIxY zKs-%s;bOs4Pxl|rdUQeyNf0s)g3>WQi5J_5ol0C+%c_`YHgd3zWHu+`FG!zE9Co4z zO-ZAdYKWpru6^B**!_Ul^M>hm^ZJ1i<*rBs_7Oe7agBd;7_LhL(@rr^!ejcO+f*^@ zsYhPcGn2FdYh=Ng#c@n8_PMmPW`eNdCV$2DZRs?iHEb~=4_>aD{ax#3AWpg9n^h>u zvLO7??(5#?%F=Yiv3pof6APR9n1%`K&-~3sBz;#75Nd(b8suzqs&+hbv49RZy9yuN zc&5q-Tc!N+6wJB{WqfNPeAa1Mc0(wsFRg|jZlfBCpYL~&+nhD;NcNjO7yKn&vne}XH;_TW>n!%mY`4_N?eX< zdfsY>d^F=M$`d+4N@$J8=TGlCo-C5L(~HqVQs8K*!DZzbZF!q)Oy3|G&`K%Gw<%M- zF4l4vHN*-hQImxBmOdc3Uj~?ML`6*RljQdSl9_J%A)kzAc?RXua+xC1d{!L&WeG2;|+g(8+0m|l~uv>Efa z5y?HQnZ$amwvngQ%W&PVJBrNO1qlOW6#GR<1X1+r$uoLRsN5$3B@s?c1Glo|S0W%3{q{+P%(5b1Rn*n>xrcX zWSJP%J`vUX$vZ)cK6XlY*BJ(TgGrV|UF5{`tC%SUOM8j$cvYw=kxtG+!MWtmE?OPN zKVz``rDw_Rv9Q`q(S$Q=Jw`4N-PY8Z|17YArvH-r~CDf6-geYn3gojvGB%J^b=YR{&OZX1%MpGrQ2ptYv0RKVB?1MsCb zKB0d~NCIA$Ry$6&;^#07=^hfmOMB)oW9ThDj>kxuV?1R1;`%}35$a(-3t7G zO(1a>vi@1P{awbSn*qAkV9f7*7cms?;eV& z<_X4{=(r!!*3B))DmX`{3g3fcZLj6q3NPp4C6%s0 zetL@bgZZfm<3$X+a@v~g&)R`Mc6zPUQ~w`%Z~Yg?m$VHBClD+^aCd^cySrroI#M2<~00a0|bq@hDN*~1q4hR`}&nzSn3b2egL}9Pm#eZA@iZ~g-9;MFdZfH$Ez&-^|LxCK|`@|xRZK^S7B=8v6N+4{rl={5zlI2k&L56O_AoyjGvuj zSV$4M!^0+4{+!7C8EZa&4*9{VY3WRDE8EKR_4Cj)^Y3{fZKC*8g^eCh*#g<7_B`TY2A=u-6y8H~%4dduJkx8biYKo;U z@bbB2ojG~glD02dfE)#XvzLamMIMwCE>rnnZK&UIGwB09x`1`EeVHp`g_Yu7jTngm@Efj8`4O?gB7mPi~9OTTBd z-!s=@HCjw~t)l8+SfUsNtH2+Jq&&fa_;q{I^cMp8wS6%RZPu(QR6G3{MQT_8Bl#Lc zjcE)bV-KiGa#I4lOT`}Nf?QsucdgP;hl&h3zq2Jbr?Zia11;+txW+mjy+?^qUwcP) zA{*?b*VyG-w#(51ty86$%I71lI_Bz=R^hMB%W>Va2gnW=RfB4&9#0iL^nC4YCnt_B`B66qn8`OWH-)*tZx#6Jsc@ z%aGF2f!g^IjP&h@%*%T(f}7)dzWOmU3THZ4pt6C){V7-0Y&ub0`8x$v=jUfZS7BO% zf=L<1B=#I@@&w&fDEXNsj>`I62}dT>1Dm+zwVi8;u*SMWQ^~4G|3GV!z{YTNK@%dy z$!)bCu?7mBIPjH*3dORfc;kZss1RPU=K9H%v+Xv}R%OtTFt40QxQ+?DZR{P9{pDVA zoTd${)RXCcac%e2J`}Ti+W?3sdvhe;Mj6&IGs-@%%+T2h@i9z|l?bHdzWntt@ zu1PVwYG`MDs}LJ*xGtN=9owk#AXr6uj4H{fhqVa{xwpmepArW*slw;M0&?RWYoq_P zO_8dYFZ#&5>O_lqMk4=tFW%U>c^UmgdLuL{KwnC;<|4C-Zjt?7%t~`IyjHKeN?Bf! zRF!XL^!{7V(+vKsND1RxzS&TWY+j-cf|IF^e|p|oto%7yrbUHddb2J}hwZj|SOsZ7=z9k(>?aV2&2h=S#~nms*_rT)5Gkp4OCR)UeqI^5B`R#fs%Z-U%n z(TD&d+I{kV>l8nRT9G8mZYwtO$yanY_u7$ZIYWkrgRi~J2@E3R#I1N^rsPUw9i8ve%*PMEASuxVqB{aHqIH?17&wy%K z#0$nYk(XIP9(6U$mhD4|$2%aqT0sahkaT+?^}S;jfkc*qJSC}~m|5&GlbyW1SCeJG z>}NiLrcF!DLz*aS_F;Xd%*;8hAy-&fP@(E{&l`%$Z3V|sJkTK#XyTXtQK!5&_gS;v zQ~Tqjc);~9L?xUoNdC+q+l&D{7bM-eKV$RH1p+2)8o37D)xHzQRhbih}0}8eZ7)0$5Bqw)p?U(vPiQghT*bLSZc76v(S_bpFvb|?gtHXaV+WaVdVgMzN2T|YAZBZ< zWlbYK_{PpP#)&_XL)mO2Jwpnid~{bMaFb-d4 z$i|N40Kn+^=f~-Ow2Xq{JY+UDzX`Y62hDxPqwHUwq^GeCdgBxNA4kfo7-SioaCE7O z6ht;0_^S8(3%gZa>z3dl#_!2N&2|kK4)%iae)m|7tNOeZ7k;iw+1K5fLTFpB;p}xL zFGC@Y;Akt5W$VU#+5UHyKP>%BF3Ba^xQGP({-qCAHv?9=*yDx0;nNDY-#;G6}gDn)e9 zPlba^+eb|;D=z%v(r4j*Z$ExtxkXU)W^ao;wdq5uh+Tghx>)&p;*TBQ#3;XfRJ|C) zid^JdXJ@;8DNx9OA)lY@kES$SCik@5cIP*b*3j z-ou+j@+-eqY5B}eJaulr%Jg({vknyYLR^2H2yJ*9GhNmnGW4GNAfQc%yKvNpwSoa~ ziD^3Qvr{&}e@D5fZpT^0;FL`j$HT`~4mkZ40iuy z%7t$+hKs_l8!HLeCWOQ>hek-5k&Y_IB`*;X@I$qNujyp=);@haijjzzz%u_g+2;9w zdI4a;wn)DP{Sr!89cEy$@hqB-5N##otd~BiN(DaEl-V1d0R&zOZi{vS9G5aCj~bO> zIV(i8KH~ko{Z(`&%gB_oH!r`^`X+|w-==>fv1ixgB%SFMV36%@A0S1<;G?sz0cvm0 z(Xtd9Zj|z`VQ!xqAKF0&nu%4Go&nkvsVNvR46`(ibjW7MAM9@l9L7WlZrlB*H76wk z<@A0vMz&RjDFG{rB_Ee#5)Jz0EZ}63*gM%EWnkXvc4jpx&zo4+XFD7Wh`VqX5KGrC zfvB}qqbbrV1#fn3!h>`elx-5V*Sjpg%hDU_>e;Cn($MxrMcG)yA44Mt&c#Z~!x-OF z(#m86Mr)ywa7dQEbD+V-aK1R!#n>S(`twl|#Mgk3#LL zEQ_dzZl^QCnbvaS#%WD{aAuVBqk+4pUZpzQmN*TOQD@rVc&%=NJvT?yZ->`hbTGax zQc>smjXc1~>BiqO%D@mYi0a}-kFuUU+V9A*cmNf#Mb5mbLUjzFiKBj$;KEVv89yz| z;ve=Q;b#hdd)Q=|*b@_Kq>em>m8LVs-a5sO{!OmA+7@mx`bJgiG4k@+*z`>-nK9r( z&Nj7ZzB?@3OXWBOA9krUQSEG-YQ6Z4mRK2lr)}P9{}u%FQ1~-=7E(ANppP^PHtVhsQ_xLYyC~dv6RiacP9pi($4}^R{_{=^z zdkM+68cXQ?l?~~d7m7UKfBXmZFlm3rtt|g!?`7%1BV^NU(prvnRt2q$GO6isU0Gl+ zfyV?qmg7^IzXOhL?VdM#hkhtZ#Y=qJKfK7DmF44Db+?TZA!xHZdS#|yM!Zy1{kUIs zYUkA~MRv>3`&pMWREr;RLnDmN#j|?&xC+4($JGxBVh=R7n*G?Qspte7n7KySl&DQImDtIKWF$`pMRF_aoc1*c5ERN21Ex55}9fm{2BH}`xPp!2x&F1q<0r6>;+rJ<8+n@$$BxgcQ!lH%QlO;4J z>R}$G=)5g`tb2ZWl40KL{&-rzjghsx=0yhFCO1Xu4gHWN9rw~>keANQZ^+`xP|lXe zW*N1m75P^2&2*!fr(e=Z8@Q_lp5?1C6_#`o6$12Bt?JjdXN%b^-diX!NbPhX{7rBn z7MSO=l%XbKFyTp`bW_sA-A_dG^Y(Bu0rbgf5xN5pIm5knMfRaTJ~)5yto**e5j%bT z3nF8zXVY`+`dK$!R)v^9b~Q^?LWn%jJ41zb#W?J1iys~9b!}^P&!(eI4iEFtK0VYIWR4UoA{)AJrL_VPv@<}ObbQN24f}yD# zYQ+frkX?wmmM7?q*nekAW#z^Ja(Jpgh@=X$5NZEwCtfXK3$ooVR@4zq0gQSa3Ksef z=KCDx+sCXFp|d&T#D_4DSiJmhx0%zA_RD&NJXMa zFX3&(0%p=a(vmU-k2RI6g|pG|$PZ*`h`E=l>gJvhgP9K^&D3pS~Uds$1 ztudDRP_YZepvV)~IH@uiB35fGq>2xRD36y`ALiWsMtd&Xu4!>$wG(mrP+^(t2m!oE zn(uU!O&c8bzN-k@R;cL9r|5;9;KL79M-(+%dD`waxr2i0LVFIwX+6Vo-0bU13i))Z zI(#9h-JUhU)`xeJED!Cx>1C4@g=!c-=Y4r@fQ>ld{hG)MxBXl@9Der+gU5}XbGs=_ zi0@XU`>?D=A(n1Iz_=D8lQ@OlAnDx*2bhgSsjWX-nSDbb30S!Co_bL zZ%-fN{Hc0;(3O!m#sm$~By(X*k&y9c3tybTFX*k^O7d~~MyVFR&uo)&jQUZi`?IRb zd=?*2^7q=PAxD2vOi5ql**`U2l1U-{P-1?Me}_+pl8nJg9@9sgMM!e5PRif34ph1~ z&*LRx1Dyq%E)8IKU(5MN@vb|LXE!w-;bYl#k6b{lZ}j-6AJ#fLu;#%cM2|>-J3J)N zK;8@J-h$H4e2jYWB9|y$cSk3!dMk3%<_&q!K0NPPTJ{d#&Es2>x#7!MKom*!6o#M0 zcdqoI{LIk|<8!gXeR-ML<>l(GgyDG&BdJ-KSqFa{Cyb8p3*R`T3LQi7%Mh;(qsV|X zuB#y$Jb!ye_b?_qxHV};vBkpgZ^Y)d%l#?=wrzGE25v(a1lN!_eC@viKS_?{qm=>uwmo+r-UUD< z2c-47<|hqk1OOL`%t^j6)J^D(RZ(M4u_4@2S2HK>RX7Mnv*qFipje-fk02m(RDIN? zq&Z||`Dk~4ORPE-bD$7sZt#1PSvb{crTqEmV~GJxUe3q`nZzt`7~MzQeDKx=$IM93 zhhO3VJL|zM2{LbF^}3Q9ldHy`+4QZ}cEYgk77heVm;RzX4>u(3y~r~`@X{-b)Bv57Z#k0l^?wqHuP^t1Gby`hIEsfOzi)wH5Yh>=+7o__<8 zm(yJL9x@7J2sQ2|6=OS<3_1I^W#R^U2QF1k%9eZmNFkzk0yTa}L+ z(&YG0L#-2Uhy_|kn6?+hY1E^kq7BIBh!4NEXY>cja7X*4G~o#Y0vUu0uYcIL4uuhq zc!#R~318Bf4PO!S>GFT*4?FeCrHI3*4Ci4FSHa=&vdUYr|NKvORbhyU&8Lpf;&sIuMmU%c zAr_;y1d6C)8Jl*CVY_p+u^~mDJ8Rn>t|YxxRen=0E(M_uqYEtJ0$z=;wtH)OiRUNAQ_gI2vcYN z9C{C9UEjn`Vh)`BzK{z=g+)vrh8Fm7bE{&Cfg zexE&r$7H5Xe9RJbyDPE5??G2GMPYvLa=w{9PGi--*5cc;s&i5olU;@CN8OH~?heYg zUl-w{h5c2VwP4am*nFoHDh78!lT*QQh4%JWla0>n(cRA^@%UyUPCuy1&jV6|IV;(_ zh_kJSQQ!pL{7raz)Mashjs>HvMI0T$Ixfg?YZM!KCw4I?_?@~pCcWXhYQ9nWYqe91jx3neWrMU< zhbb6+`)~#lC8OG%%W{x@G^P=cu##HMaBI@2W=$i*OEvQ)R+7mBbY_2l$w-=K@+_4S z;DH_l@tT>5WIVL^M-dm?DYH9JMAu#3q?U(W0bo0F$T|~RGX3Jc&suh-gB!3&=3k8~ zkIwm6B9&uvzM(NK|NRiO`c!;q39<@nxw#*i9wts%8QRP`eiNw`X|IyO9iCpOTPi&f#=-Lg9F}g+s zn_%M5G*56c!LHOjTyF$^U0UM&*e(Kw8II+hc|LL*(p~I)s$U3`Kdqb$QI1A zF?n0DE-a5*A2ZRgqd%bKZKEoU;jZ3ybtorgJk)%s54v&^Ap>_H4IcZtv^+79l2|EF z33ZiulW`h=@!CN1D4!AL=%}WlaM9}}@?x}wA}H&|TY3g4Lv4!>G{K}kX>WXv>F;IX z_^Mc4wyYDZcB?rViOCH)VKTmvO4fQ@@h9j-(S!xfNHb*d`!NKJzBRxdBo5t9Zse*v ztJVKflUTzi_Q&e@&B%<1vdiq1_pw*vTK_j8C{d zegM3dhmL0_A^xY5$R|f~p61`2;HHYk(Dd4X0vDzBjk7P8VMv+&u`sYQlmxXPTiV+i5 z><1{9Srq1D;j~d0;kV1Mv7sx^{ljIKw)3O%M>o&hehL}5-3C*174{hWm!3!pUcklp zqq&nn()4ChbTOVnV!fKeV^F_Xj)ACc6t`9L=9p8s)Y+ zg2fJz5PF_w46fJrp@mJC?sOxJyP6J1BwaV7vtpqZo4P{2Magks_Nx<#(zl%qs=Jx9qZ&WO+we3%J9>jOtAWmqTuYXVZ^c$in z=;aM{7zt?6<6~7?*Pu<@1qb@jvt?K09vCWWBr~Lx%&@zrx$6edG5G{ip6^HmKV-o4 z_g;m^4iJp!_GRe9O9Bcmx7g0%k>))bY)haxhKOb9?d66Md5NM5w8*qw&Y`_A^gayS z|9Xp_v(4BA)CK!iAoxaF#g#GI?)e^*zq=M1UsXB70 z&jPN|`I_`2=Nu1CAzy;#NJbB_tR~89fZ{KbTs!lWzdDtxp{_Us-xSd7&L>lK!0$Zy z`>uhFjT0l5WA&By6|B4Yb~GjGuhbq6(Z_uVMNM1Hnmp$FK*Y}wZZjPT5B@g2ODfo` zh!iTaC$UG=allFWYQpQe8QdpBeedJrbYn+y*T@Bhv-cEOXji0=J5S~a>@pG9YQi|7 z+BkR%6dLMw*Y_vl1CmYs$%Z96`-|rrT^f!>${*WDp(VqqyARghWrC zqA-JkH~|JOT^da8Ip1^C$VEMxn+KTbb|}a#_tH;l@5px!1kwIz*b&_hl|fm@n?5F# zzSbC!1BPVpUG*!^eMQzARPhZX7e&6;{9xnXU?C4k;j`ynmu8oNhx&l+HZ&;+2K?H6 z>B2mc7JAomoE#?sTUvII&y2YP^It{C0Xz3{Q*`60g0_Rc1i=i;@a^=FkDG}ofq+UN zuuRKg*u^z!V%zP$CVf6mbaMB>VTs2KtZ4%}0P2l`6BPZ5;+O1AOBTafT3l`jt{(8v z$V*zUI$;*jj>d%C=T0cd&lG{cTMleqPw}Ek;g{EE}IYRJdei!<8AY0Ia2SKpI_c41r_)DcOQy{FZ#j$dXqyM zbtNLHhuSSskyQ=vU&dLde6@j&_D7|@th|F&?&~aIh1WHAmJ#!j0-A7f4_e2 zXWl6Qa|bTsc*RFR35ogCARNl|XF>WmoQ!RaHG0ZevvHrhHKRV|7PhY`~u>YzVT; z33%$fVe9O6Uh5x%)ckabm{(x|M&L0G1pCLh5M)e*5Fl^(dAMYv!Gmooa7r*i8bo%x zzVRAd4ERP3#0_5@-@Ifgdn5rF3A${X&>mHw!=3pI=&lf+iq72X|Fyx5xF(%GbxX~I z`#E9o;{GwhcVEu;0vpozFFsSEo6W1X6W)T=z;DKXV-W(vsC5E!ew*uE{F+zShxD#- z_klnn@#Ono*q9*y<>G_nX3gNXO=!ZZLKWc;k7mF_wYQ$AtgjW_%LF{wssF6aLkZ~9 zXCO1nMA}C;e@PW)eOBo@b4daM&Tuuy|FO_6m~tFPT4e<|Dmp0=sRm#61WL{$E#8{oDeIhgT=Ap)gFcz2o6Cog@1K*!UpU(3Jd|4 z_f^#i=aebC3|POdEB)6q@BtFlz2&}S^N>r2&j#vn`}FAXj~~4;A#>$?=s~Y#`NxA` z8vg^x<|FZYhuh{xGT$d^E=`X&ffVS!Xzz3H6r~obJ z+f@%-xBRdB;F>`J35Ig{%(meloOPl@Bmpl@-2f~9zc%v`6*>~7w4~hPUj+&SjGkao z$u~tXdYxzxPC&a;7XUbl1H%5YqU4_4@(%=~yLtachC;_y>;DlA=7J9cjBHr%$@ss> z5=E_X?L$q@0v{XT$^rvsLN)J@?_WWHBGTRPDBR7X`&Zi+@TTfBPtLzL2>{8wN3-+i z`|Mo=aLip={3Ti^uB8(!+#3lpr1WC(wh_+~d{*6n1I~wVfnnqy97=2sfY*06Lw#%i zA7lTswiE?|=7E5gm=Dh`1CwO836*3Y@V|i;Ao({Z%!9ML z{zuv>G59Kn-d5!Qx{eF>LXzzC<-gniX21q4_$uHCL339dUTf-6TdEEJug<@Da1jR1 zi|4xtE0h1r3$Vw;U>=B|E9%)H{h%PmM&2a^TQUC~WAkeR1*Mo8NfAJN-Js1OYx5`3$k!~+7`~Q}3Df!phLV{@+@z!ed)%;D;UrPf%LnIlXgap9jbC%CPtu9@_PK4C%KGghV425U{y}s%p zpN4j&jkkNt<#tk7f@dn!u0>nK<}&{+$b5fmmRZ5;v?+l`)W9)1R+E=`?+@n82}ur5 z-gkn*%s40T*<1)0_SFvh?Uffi9&7l5pE1jj?O&Ur{%+2D!5XDT4P+2t`XQ?PO*aZ9 z>&{r(|2uc^K zmALha$VY+O+4DAcSI@pyfR6NIJUfFFzOd#Pb00Ni)|Wn1pnG`)3T@VCkAB#Oioj{y@~V@ zgE_fQtwcLt3P^ZggVl4cYApsuII@u8i>YS7UL(E3kzHUnxRvtb^9`6WN`mW>a>MKA zk~X*2L4v)uO{kEhA4VVWHQ~l;+0n z!<5?<8`9blTbaNXe%g@(z^e%h52yVu9%uy6Cv9%+KNLON+IG~(kJ@fNBW{^tKTqPy z&DYHHTuT_z<}r9Qf!;{si;+l1!rSHa;?r+T=v1z=-nc*Ey$3n~jNtq%px&G8bJS0p zXAL2Ff9ERBRr6ZKGPZO7Ep#Wu-mTv8o@)v!+1^EX0lMHf-$a#e$JI?pM(nV9=~ zb+&h1y;CXHz-n3C94E}Z%%cZot7M;9wrub{3(3CW`tp9-hTpHu&29sJQ8JEDpF(Y-4Twh*&K$7+MW={ey-oP__#)d9MK^t8f7#mLr6H=5G*rJ* zm_=g`ed)=J(fn=Yq}y2?sA*72D^LTW`|NHM2TNcaEK0@iglv zA|6afvw3WiHt?i8&{rAXq;?r}6UQZ!w!Dwm&!Kd&()m)Jau)ufqjn4kZ%i1-Udd+9 zb9L~c^*WsT{ha36FE%xP`n5j3O>%}$3p-qKG!894S<4x6>#(vrktVN_z_%jVoq$M% z>RK1>pCY1U$!-Mqv(jG2b9UGC8cm4BhN5L2S}e+fAm^?>(JPH?_yF%Zik)Wt950`x z2w-TBZpS%hdAw3QJ*QtXza#LTd0klbajF0@1riG#(`=#7o2zMU5yqKc%|KT;<={qc zQv=v~X=8qlRrfds;0gvsFJ8`P$Hx>_!aA&Ie8K;T4`427j1$t=+uGX0TAlFhI3w#? z8e~YD+GsYY@ODUcLu#l3SPuy1(i8Ukm_@z%mhJ>uXX!!raK?0-gQG@Lbn)SPEl|&l z#X&zOT`^6oj`3pg+oBwXQ4a4%k$9jB@cKHv=`1k#2RVSY*gV_lT!Y}{fcTnsk&GEn z)S<|vgbt)w(w=zn=G^}V9!pkf*>04$XzY!;TJx)lQ_2x=U}TmuYV?gQ{0`U2eCgtV z(N$frz0Uyo5-(L3rEE5zeV0&eJbC#(36)M7XJEc`7=pg40p&$2L$6lPFi~krAxxB^ zKVEP7x>Df?xqQinjsqqY?gS;6Uz4?O2!|A=7l~kx!pyZK*>se%+v8Om>{H3b%1$Hu zc{2iS2E0<-*TAE4b^*ysf5)|X>3!Q_*Eru3mbfs_zIx*%+QYec)dr)96;}091F_$Fwmnf39~6$L~+WWuBl~Kuc7aatKFK zbw7B_)tlC7JiQw0`Rd#}CMXJgm?{9vXXe^(X#%C{)+ER!ktY}_e8aq0+5$sgUp7XX zYpw!c1zdg#(8>&;?rgmpZBQ&F95aj}isz@_7!|xIyw4hRR{oUCAL2J!JF`s*?yVCS zPFH)_LQ^+n$ru~Zv3SNZHl1qCq=Fy zofoN`s=Sc(X%_6dK!+C!$$d6G%1|GNv_CL=IBzD+B;M_M0m`@MOGeBxdY)OxZwz$C zw84=FBd<=YgkNr*gH*UBj?xVSA&)?75|$(~mEbX!+SR;H7N0mp%1>l+gr8#vByuPe z8bnr*v}&{IE8w!;Rg&vy1`^?tpEb%S^m$U#GI!cIiEG5ce;2O7?Wcw(ey(sfY$;27 z4dO_i8K%C=mW%9*(a4cHOS>7B~z3^P!eOTtN2S0wW8*$kl zdhR-bTYI^-FwfmUUNel@tRH(ky{`xr2-xVYX>q2BLqw90L6e-^G?@$i28lph{pn{> z&?{;4B91Eu9x)Drmnosr^{qj->&!7S(Rsbo(^TzV#x9n&Q!{F8LDDKb-6R^eA441V zip7+|5R3PIx$QAeFzpW2AoGYsGgHq_T2|9$Hlu#iHO{2s(+i{m(t+sps_~@; zyW7`^r&O*KY<{;%e{>BzuRhDUFGH{Q>oC*@^gz}?=TGk6Gf8S@dvw#41-`)?wR3bj zVnQ5k>B&1Q1|F}sQN`)zu!A~aD&HDfWWM>)o}VVw01)&UPN*7ubJx$X%H4hI9|6e7 zsp~kZ#tFdOCT39vh>7dmLo2aTY^Jn99YFa>9ngm3xLqFq)H+6YIC5`Y|~ zpPabrJ)~o#bD_I>g8Wo5T1YJ}UBwuCCtt=9VO8|u2jx6e2*a-NZI8*DN`Ek;$pN#G zvfp{-ic0oe&taEDlsLZ=Z^ZARC#BkX)vi`x;m%yW&%PmVT^Kbgpz97D`Wo?Hd@UQ^ zh8|0|p7vb4gneC_e-Ayj($&Wa&40KIpb~y8d+d=%mO$O^jIz-Y;rz)dc+cOG4+W$; z+G_RjRlDCRwEk4m3@4EU^!mfguj{&6%Z7S>F`(BXCgokCRy0=6$)mvASb8OnZ084V zVBb$ZhLn%@k@0n@kJ2yaWQBh7{FZB>f;!o?*xtH*v;Q0Kcdt)ZpH@a>7@erIAgdp0 z2Tv2VdC~730fN$zZ)d2M^~4rQ@o&ag$uRZ)vbNr;ddE}>>`R-!I&I*n35*Y$)?}$w zz2EV7b+GYTW{vHxiu`_eiQmDh8x`tXN`qEV7M=_4vmv@1me6cVtCE?COVTKX;d~Ny z+brx#d`M;_>BQaS;PH^2tL#}AD{tgO7V%j^`*9M0Rlp*+s3r5R_XI=1mjSeE-knb* z$a9I7T32!Wq*OL~PY-^E1xJ!QDQ+BRjU^>4kCLAC10^K|qKug@$l}MIJbVMBIPF{l zvH0HgB6B)G!g?uFMii&RQFMmnhMe7aS4y z%%g8^pNjv~P6#tvK@l{HGIb6w?P-C5=~Vh|ltK8JijVekatOZhH8(-V1JI~?A-3O3Vl zQi7kXqSf5&DzWbmR${OP?cJ`#5cS2#(il}-f27&QtW`~ME$bpcT-~eKGKx5{sFHa< zFd)w|`&ou{L(^+rby0Ed-aSU9RNz4j1aNBB6`FI$1T+269?`_UO?@Z%&F{crBNNy^ zDuDci_lo__#1B~ZOhym?Wl}$@ZC>dPr~1n6A+GQ#>l^ppNqp*Sb{c5^|N-_Y$ zY%W`jXc4gVJv5LJS?L2k%0*zo2gWg?j}sIq5!RlWo9IEO@{d2V$og$NwEIw=23}%4 ztM6s&O*<~={$%&~>2nw^${T(E9AJ{@l0P{IwC`bypRq-Ca-Kv~4G7N|+Y7oWMWDT5 z26f;mWe;Ll4}4Ypa8PSoPU`AlzC=E>4re`&j{a6@7W1?^a60=b_{oX}J|;uPgz3r8U34$03G`e81hvb$4$~28ZaX<`F*~x!dhqAbC z{<1m#`|F>%=J8NI(`#PnqA?3C^85aB_%9z?bZ%(}rFw%Y&5f0%(HL7FTGOIB&KSPh z(*lTpV+%}kgY4!CvoqHYHqGwEzBH0aI!k}esK0G|K@o9d&gBD=*O;q*;JAIpT<+yg zvjU9+DL>l3?JivexLW{Jx-Ei&l0SPs6_6Hg|CGKH^OO(HB`&k?4*BzC#O`7(?-YV^ zpk?$VJhHFiOW;U~hBuGXF>#k6feVk(e5zrpD{)HX!1v)B8U9VL+SH%$dJnd)?tlh% z*b&SGiR&_+*w-0tIG6X+*EFTrRL=Zm`$*k2$T~uI8fT+dOS%lSbGLC0v6ACrFQ zl6o~@PS?>CM!0zt8J>w+_K?-Pb5cW0r1We@ z9z}GLfQXx)Iz#Y+m=s0mAknxG)pYlrq_%SxKBsHRp9I_3j|8C?lX+}IqM6Dk>^bXn zSo55WUayFXP%K8bNZiP8x7MFsh36W*hI`d+OoYZQE-i9e3<|IEw@CYIIF$twfqW9_ zOdE0DQ`7@n*iadf@OTR?{0PfGdA>UTO*<~$UVt%51%tG(= zQSWYJAy)JoUXR8~Tj$$ubt@Sol{sVon6J1nH^&*sXt<#}C|7=1q1i4#hdK&BaOn>>m^OVh(&9_LGqBzZefiU#P~xX`eS+tGb?u~PrnJC ztRg1Cjw4CZTFRz~tf|8E#VEF)CwF1j*J>I~{j>;EQ=(tE} zlcrPG*iNc791gu&c*~raNLI$ubBpKf^{0$G$3s{4&v5a(I3U_zSa*TxTY*vAT%5NA zD=6zXya@&!il!JOkLMzU-VWn=p|6yo5p!j_3|OI7J|*_b%*vXVrSl8U>IE5cN%Ec9 zG+PxXWI=v2Pqt;frwzQ^2`FoP#CjCd7jzTdLj;>w7U!fYKc?Ouq^x-?0-Ih8`Py(F zlCf}+=F!~jB+#y+)a}<|MLQNd?vCg$VK^g^dgZwy%s;jPjKjA3N;T-zKMh-#%FKo+ z$XnhNsUA!E;_SIxse~LF@oB!GhJ_!g@bU_b`TQpB7PfqsDy{mv&n*)yS5GzfS}>E7w?ZVDg^pB{6A98;YOc)bysM%IjhmEMwc1B ziu0b6NMz&0G)wL3HCQIG0eJ2fA;bKZs}dN`I7;EErM-W>cB5<$U&MoZiJ8X1bm>YQ zvZh7^s3TnCm0@gM(eK3wiNhVpPSy=FN4@oOix(DH%gC4-U}88d+n3fM5`_N}(=F$3 zxJJMk+rvb`;~q69B^F4TE)5!H*VM^K6NHhGwwlG{f`44(jU<3mbr{Z~RXOcF4Ocik zZarrqbjLgqlL}PxDL(Ij)8LuXnR#7#SU4%t@`=>Y|ue#=F%O|4=00lAsF zYxC$dBgn7lXqR69&B6SF{0t>aKHlVP1IlMo4w(t zi>1_0!;NR%wMTt~X1_v_4G6~J`|eKwwj68SHu!D1@g*tTco@PJ0}2`jX2^#;@$m>j zB*T+#yY46A{J7hR%TWkj^=-G!usZez`}+&}Dv~CGkw|Q_qyy8$eae$Wk>PG56*3L^ zT=ip3dgyb9DqpTGBUVJq3(|6$-K+hVSfkqIFG%bN?$1$^$N|PJOT)^XSD58#XA#5c zFR{nJPxHCnc*QG;KWRRAWngf&6(5Qb>mCSdL%8`NjWm!lnyX~k{oz@XDP`L@TAFN> z?arql4pY&Rtu??MmfppsG}W8U+;~iS6IOJ{e_i53>1`GiL}MV(qbS;T zWpK#)shfZ<7OYLIYc|b?p~xuB6$vX9Xz{r@E=jb>>T<8k7=5n04GXn*$;7*wQ~O!M zv3arc=|`6_ww0U(Q7CjQ78Niddp6&Q!qgqv zY>I*Mk$y>Xf(Yw|A(EHkP#poo4ei{oabtJ9tL^6_&!hE~t31omeXj={M3uN%@BJ-f z;@448zi#6f^69sVd8uhxMG@^$zIaVSY*yz4KQlwJ49C1)7XErbU&Qv&aI z_EU|Dn#HmGDEE7CO773h9Pg<9W>_<#p2WQQyuA+;N0UMvSSRw|>2sp1N64E#qVd^6 zxtv8H+?qov=ORLm^bG0ikj3)WjXAIAuTx1CXkx)1DMt(poTyG$?*m#K1sK)9bX2 zlk15n(hjPY=+3Y{YmZEHSZA`mlN*}RAz(Ay{_Lx}mc=C}oseuXhhmJ|E8^*Yr9Yhz z{>7YN)8AEjBrBpfm%6h|oTjJZ5kabe+7P)sf`~%pNOEHt+1li!_W5`>!G{sllcCTz zWf#EzU3LEmvCbn%S6Whrh+qP|+6Wg|JJ71pneDA3`f4g=y*52J!z1LdT^$zYZ zS+Jhl?2~WN!Qr;tc?bOzK@xw|Y}SyG{yH~cheP63(L{1;4d1bxI8nkjU`nQPe1~sl z&ba0O&bMg7rg;1l>w-?lBilX`Cxv+hCC!N0Lo+=06e9!9Xv-0svpXm7%)l*$%kH9D zz)rNSqGxKBh*B4Ee??6Chi=e`1F+L36O^y`mE3TcGGbwO!6GV%Kz)BUp<5fc+LfVB z?kQ+r7)D)#E8RfOCZ3mI%dYTlpVX=Yc^OF>#q?dFo`Q@>ZbxfDIr<>EEf{0nF8J=s zo{O3$!`G8;vZ=+_H72_pxrIrUP((q*w>Wv87;d6|Or23OxbFG^!gFsL4f~~6d%YZO zg5VvL8C{l)2oe$DsK<=ExTg`=AMmH=G!goTIpW~Qpgo%ow2YK1_MPU)H>Kel56e63 zdEZjYRmhR+u=B?zsoUl=If{I6%!M$d`+s(P@@5X_EsYf$){UHn-Orf;`WSWm`rUat z9@1DcCEb;unYLyBGSeiYB)uYK&Y7d;QMkfB4(|u6O1s#)eq;ct)}SKh5}tL=p5mrq?eOA{+cuoM) zWkT;r^pQlOdD@x>6Uq!dLFyh;tSu_N3TLDp7=eI7j7Bx z`|YVO=vpN!mWa_MOb$YiaDQ`pUYgHbK96s2k-yH9PNe=(mJi*HmO1FPdsdfpNm*v~ zkoS+~$Q)H_)b7c9{>_reNlJIz_K9CF6zJjo+k0%mZzd<}1O)P+P8$Q^)o2c1z7>`I z!Km18EfgY$i7LT4=f7}dlOjUkXIwJc&+)l~s!OiX5EN}yekmvyH?OxPdTX5;CX z{ug36KJcnx=MX#guBh(nj#tE_=5?-R+l9ldrp8lZebvL*+6c35gf@;8b}Kg2MO3(K zk1w5=8iQBEcD_1YYl@vA_&R5z$?otQDXm?c+;09eWBaXz9Sqc}Ub}I}DkokMzOWEr z26Fgmxkk4LU*PGc1V+xn92EILk!Pq0+83Ky@WG6t4Vh6kY~n~|ts<&4{V35wl> z;uY1qF?_;q+|#cnYK0_IwOHzWc)+hb-U|7+Sy3Sq;YGc0V zZZ7C3r<|s^vHwCz$a;wXjN>8Eb4DEq2F5)%@Ujk`YS;WjQD&fx!FZTzK(>8L|A5uz zkrl^G@0lRIK5^C^ zcYyHGVVO&Tcsjov))i7 z>gCp23H(^aFlcp~CN9c1p|N{2{%I#y*0z0*pMX0rqbpf>1Qv^}C?G6#;nFEJ3a>DG zOA?}K*RT*7{jtUF1NYUMu+YubWXR0(R3c$p>O{K(L)T1Jkguz>bIu`r#*IOwpmPAw zM{yA;$jXHIEL0Db82g?A3R!0QrG$NEC}@<6?lHtoeOHlWM4plS&@8mU%6Z$N)t&9jD2utjhktnZD~yblyL08p zcNqKtkCe5}41TQdj6=zC!xCanmtVFDkV8M4WIuz57_avk-U8>ox5TpcHJMI) zutbBGrVCLQS*U$UMG59C4c&jHV8vnMUdq6GhD1Lg5#AxTv4J>%_xKOnRxrCvLy1r) zFBdJ!B{bxgtSn`QiVJZY=Wcr>d>^Q|brlP2bTJ(9x`-$yc&dOCP*D6a6Um(Z(XIQd z(p>3eyhcc9pu(kCsn6?bAdReUx2d<(=i%C-r7hRDLfhthe>=oWqMdVZoJ$^(#KQCym9z`ubJ_&U@~_l|oVH)NnzqKY*Q! zY%&}@8xOMFS>Q%13{Ya$BaA;E+FnWUqZv)_Ya%h`8)-@RFLWttgSv}wZTL$7;osix zvne?0z*3zPIL>SdOynrl%pdjx{*Q zmm^n5=Zvwx{O_ODq;}v0u@>e)n2)J7<&ybA*LX@7{KVcp4m%rrGj~?|!u3s541ze` z`j7_(=T6u$8B$$=kHcF|BK`0(r~f<~Pabc+geNeximtekkqon9)-6m&0=E?_jIJyfiuR3UOrHrD$!^P$96G~Qhut;PCD{$`1p^n#zK$zMZe5}q8r@@ zP<(mRWEVfOp63VsN=w$yP`794P#F)`nM_a7*z`d>=erS58uPQjBmMM-8h1p~jwtHT z23Nj;s5BOeCSVNKz0QpfO0&<{4@KyVyQvn)I(D-Jdr68K8@fu~U_rG7HEc?7L?LjT8q=&*( zPpGjo{788iR`D#mp-Usk5fLi;iz*ZN07f+0l3U(27uTjODG~}u`JY1YA|3aD2bDIQ4_mhyCpSWAoQ*x4n-T5-*r152u8*Pv z*1dy>)rd746F7`LnhX3wynW~QRw7)f6_P#T@T4$nsDDK&*Z_@$@O^?G{Ge$SSKJ2`l#l_@q8iGS zv-o=4`jZet3zN8gBpFMpqbolAFueG!>ZbYPw(;QNF%~N5m|CYn$B0j+@({LXXGi09 zK}K!2Gjv*R=UNUpE1L9TLj9MEE2Y|y!Ey8583#AnVMc7>sg;$(+edC{yF*5Ly~EIo zf5!{Y&x+ncIP3OWWZero4OZWs60kk+M|BdzHHbRY<2uuG#MYEFcVsw{f`GA_fg5op z&W|ZaESjSE}NXF+BH2^M)wDhQ3Aaf zh4Gmb(*rLaQ%P64la1B3gbn&?{ji#-68yo3{ew;7=3+=oP@yNSY5a{k59{~$d>55y zJ|Unyd+xVi(Hq4qfLnDYd$?Mg54>Q%GJn2D&VyqE@4!X*)L~9(O811ItrITYauqfE zHy~8n$51lcS(zNKf|M4r)pG%oD?959*~9c!0>Vra1&U<;sRs?Wl6JBxQ;rHKPcIgebNX3PKzKka$o5(>h1Se*177%sC} zL#rTN;8b*dyy8?p1;+5D6lDZ?Y_GU4u(=VoXC><(2w{2rTQrCw09i9=BTun$8iHx1dphm^jFV0v?m!OqWBNc3}WJ@ zr~1q1vd-4%nA;5X7j^?%;)E3atS8Fyuv@=djAVLmr^EW0qDITiCctS+pbvg;wClbO zF5{ij70m|EBP?v`8~g)2BIIvYy9@Z^pocY6y4kAj4E$2uj33G#%X}DDXttRY61+>< zdz4`smpEskU}kf2lYz6>AoAm1)#%H`!OIeF41RWYV-zb@mO?3xbaWs~kC9GLdanjz z@0=Je8t}xk{ayvIkmR_!s9Z-di&!=`qg+#mpwSYvD%C14&1kBJEVei6rx#DrVxyLJ z)yG$yqq&T?v3U#Q8J&%d1+e@RwRDi@=iOzO#WXZU>xa9;9*f6$^4*FW8jo%0QTvnH_VH5|kn+OP1l!hcv?ll9CVC zs(MzWP=$;Rq&l}dMSQCz2H5LxoUus~OC^^>#ct_ni5TAmaD1UU(gFO zg5jFKekm!<`*&B$aV^2JMrCUb-_x{o&{4R+c#i&auYIJ&g@*?m(BzF?W0LgK2f8&D zyCB=px||xmR*R1&l}Q@60zBJcb_in3(}@jb35&4|koJgVUbFhP3oY`nnoVy@UHFzA zc}Spu1&yD29prWCQhyX4oQ0qF=6s>x4Br;!ld4G8*Hfx%HXOxW(2Z8K>L9b=R<|GJ zx%=SXSt)z!s!-!SgFrBmlF1A0?2mH72*=p&8i$>l@s4?UOgJQnBc1V7Cp2kW_b9Br5CiJd_%1$IW|qCgJYBxVd9l#r zsYjs7jgyy)pDL5ty+vYp78F$4TWbX2#RFWsR}DV~zZFgvM~onsiGEAUdx!SXG{F|8 zonP9-^wH#PjfN~rsv3DOo^;JV_eL$lSZS%gtD|WV=}PDa*=r4riwai_&l_#6vZJr3 z;55-#8^}A9>WJ4Wi<_A~1y3tT&TuV?$8Sf6RZS_QQPf3&^EwG~ zpbK?b_IZaH1HD@RDhwYYWb5XUW7jmSoPR9qrU*2wjRg{lWrv>xoU$Bd#IIW#{+F{_ zL>ZO;U~vpT-G#3Ihi#M*Ld@vl)QhTtz@=VyncDP1%} zI7ra)oPgYkN55NHMsHk$N;v?4@a-aT3q+fT7P1jPmI$#4$BX9{rSN1-VvRSnqrtx zJZXM3d!49pi~-NnlvObm4Wx?X^5_@Wm~uHnm{qkfZfw>}-;rus%Zy~(u06Ix@F5nx zMa~_So?8b7LyA+bL5ev+@|N*V5iy4fE2MdR!dT-viDHGRySNuoZ?p3L`4QNx-tIdr z?l5IEw|5UKu!yWWTInxyL9ENjlURk8N(`DW;DzK${rg$YMZQiXAKR<7m~x@5mzU1s zq|u{m7+<(n=hf6q&u%04VNqP0jqA&)>(A?FM^8aV=b9+af-1dzdr@$9ln4&?IoA*w zN;yceY3>@{7zrFNyA4#mf9~M;fosGF*)Kf-7vXvBut3g!K5SXlQP(>k+)Ngbz{M1NvauHhec52#g=2e7>3W&4$5e7MFC1XE zZe*R1wj^wm?-vT)yV)8J1gUd!bd~YR3g+kJRRko>ETPJoLLQ*6hiCrgSgOWsd}CZ! zuLq<5HEt7$oKLheCJpk*`d6$2Ce`xShK#?`iBgMuPzi}qOkdZ%o#A18kyZb%D8?MF zVoYY;Qz)+b_=!DBttRhz?7pD$xE2^-Tv)^)+kKDZ74B_a6kFgHmQ`j1e~;&KQf^wJ z>s*_hb_CO?culg5NA$`Z^>UVifSn=kZ!%77^^$uwQ_nR_5Dh`|0o`85HYp*7g%fPA z=@fJ~=G?m`2bEDTsi7WJpoYQDH1l)U1C_5gIMTNWu- z)j0WE?WY_}V+k?uMLdYvyzDC7jw`RyhE726AY7Jf%v{%~E&G5_HFyK)hntun1D6qZ zhH#I082zNVp&`x?ASBUK3X79AeYAlV;tOsqZpprRZ5>g?Ox65b@TTD}rC?wy`=Pw} z3Yw)+C$TV}VpN}wzb+=-~kGl?t<8F z)E!~7W_EV_lOMN8)>^C&6p%ufMUKrZ&DIVw%Yf#IVN;P&NhAFW@>-Xp>^=2DlP0p1 z$nYDHq(V@D*U^qs&g*`HX zzh)RvNuLncV6B?HNa141m~cvyMJK%{%sMI75M~N0@52+RAl{Y4O#LCVmeii#2gbh} zwsnv~Nqkobs4zJbnZ-n&9HLQ8byrO#(KW1NrwR}0J{xCTUIKdK4_ji#;j^cco_dB9 z<{HhKCT42kIr41;?o<1^xcH8j$@V>+-K`!MW#8%koo+0&G;t{Bu-s=x$aMjIZYexZ z#!GW-0Zp!YXwG%`e)*#bW`?|9cC%iYB8Usav|IKo{y%xOPDK)~jP*S{53Km*l!NE^ zf}UtmcKWmrw%gXjlu|v`qXh2ql__vn=}J)12`??la5~$+Hk2>Pjc&A(_)mGOj*Ewf zSMv2s^YL^Y+@Osm+lNZ&F7ttC=>v7HGM#OO% z31EFy2(lU3Q5-o(7h#*B(T3CT2!^eh+*;|SV3l+${)%iKJ1dC!`z z()z{BrvsA)uvk z8{1~hX31r7p^{6HZH76yN7pdpW~UyGV)mL~!t#oGpd0kgFNr}#soFX61JRNGFp%f5 z|0Ez?a?J&`tT={;&vxyO7F>)$Jn)nb&R1v}w>`|$T<~EoPnhw-h6i{L`M~SWy>(kS z2BGLQ+k4K-Xj9OROEozO`-pUr?Js96O7X&RC6a;-&_x~7si<6X1D#4CJ4GqJP5LXw zOzL|KOzj+o7c#1bMC`W5sEDP~gxxWnzgv>F-VtTOX;QGeMQ4sV2~lPgVXR#uVb{l@ zyR(soL*Aw1^t_L%l8%FsB`oedv31?g5UJ_{iiYDCSpYXq{82c~n*#~U*ji_dP1{dA z6%c@JTVu@%i%ebBqnQ;MDRRFoK>Ub`pM#O(IO^H~Glva9!~+}XtXhGctkBRSHlaBf zMn1=+s!Vqk8(xNo2ZGg;-n3?(N=KpUv<?$)uvN>10Z7xha>Hv>YzMEaKwu56E)K9}Bn4d5Vu9r-m;Pl*nfgMK z40RW34x_KlTpnsodrA`S729vv2hXleaZF|MGpSS0e=X`>-mcSclK&B!sLL#ywU1F~ zh^t2GL2h(s_t3c8KYQ~JX^eDMJ^m?P4;GZv4Hw5^vRnOtvbCU#j{)uJnxDjPztibH z+s#EYxs<75?L4YA+}gzqlQFoI5g7L(B@>BDEjL###W+*b8~fH4?&eH)sV1dHwr(G$x3LjRWb)m zx})O1mIbg<<%f5PjWM3CU>4;VB@o-wQ68|gUA0nBn? zBl_vo4{4YLYngx*_l?-~A(tkU5abdEQZ(m&t<@W`h|9Ybf7>X55^n2(?F_f~g#FTF z4oAm>awsWMIc1RirN;#v^VT-S=i8PHsLWzZvS6hVlsKM_)_Vv7nnh3Ii?3WZZ`pfO zhB-6ITc$}s`;$O$&bS0}?ePqqUNL`mP!#d*ZGb=RCCRkGBpw6GId*9a)z(h=@Euz1PzO89++|`NL(Uj2}0c z7@|R=((k9F&9qzyj_E_l`L~mtl4V%p+Fr}=@;w+NA`&gKRmA!yORxpz!y^@bRckUm z6*?1@48Orwcw0B;o_l(PI+tR0AQ@>Vj+9po!(-;@_EHT(@*s^iMgw0_PQNahq;aZX zMH$%~4#gekUR|;ScNu zJCXi0xajcD$ou9cL~#EU18`}^cmL^PjvL`63uYLa!vsnoB90g*A9b)YW%G0wOhU{S zhM{?xdULe{@j$6}hWUGkR5f5mA+WQ%S9x~KUi2Ig?fDftk+K5zjPAJ&Cn(J*jN!>S zYz(XD;l+~JAjI8SJ>mrA>^!>CsMjHv_|u3lRx=151`?Ty-r!7P_?GD3r`vn7RqQSwkTe&66*0}4J6F`(e854}RniS;$JRwApDV(A0YS1zcHaf;K~cpv z0!<|hnG#g}sbsqz@{TiMdO0sj(-E2B22F0QE>KA-)PYl?&{Q4eYNER`3N1f>@rSKD z3<%zpw{;-s=`_!n8`p_McCs(RMWiB(rzCNvSCl!9l8TnzC7s-@JW_7dnWW&C*wlbq zW{CEa-WI??MW)kh{P^^bElBlj9{4!J`rQ6I=JG<3iimN^_H_Q| zi)(|t;Ht{4Fb97tJ3Y!j#pfT~9UeFZQFQol6Vhd;t5I)fcYSzzc;|W_yNNNU4b2t@ z3$%5CjVsNTDK0^=(M!hbvg}8$7dM(%l|)UM7Q;4hQN!UMq6Ao3haswcMBe5bL*HC2${RWBNXHg?eD4z0uneAwnIXBH6lGv0^q!gxA|B*-r7bf4XJ;yCbt%;O5rBS;K>awa60<2omd-< z2vIDkXkmb`iL;}(XUB?IcGTer{29V`evgt=M<5l^d=2#64UB{Dz-~4SNo2M2fKmvy z9I4&uQhu0*`*j}1d*^*oaHX+n8fW4BR^~R(k0TlEpIKAQ=BPVSW#Fk8q{Wz#g{53> zD`AH3W-?&t7T8-nA!-bYu3x81!Q>KWu_+t7X*BV5vxZm+4f08lSCi$_22i3swlkQ^ z6sW+aMFqU=dEh^(^&hn0XPmpnpHhJYan?~13e3|i2X$sI3Mmy5|L!WdP5UhFjdD+O zyZm|S*Vw#=kIl!f?La3hq|Ywr8%&HvO#XoNQVGqaigGE19YQeiHp;u|&9KVU>=>=3LZ3*= zTr*|>76h_=26*0%f*kQ@m}X$f5|ylqugCBI>Zq`DQBjmMeiSSe>nFy`8`FXRGhOdF z7-Xkev2SBP=X4i5Ur;J>;_zjcP16s;hot0p+QwI)T90U@w&{gMuc8zSqAXU`4%)Nz z4ZdRLyz)x8y9!Mai z1VRVF*5*rbl=cdKNqIwZq`qo->L3Cm+h=J0mmGy_V99E@Mw;+*@w_!)z3gU9fcGG# z>XwtpvidW{u(a~Bb?HOez0Hf@FJL_kPKnrq>6o})VPplrPC*|>)ced8&6}vVPm`0S zlvQDPLkE79Yjec#KUQQ4UV;Hba2Bq;=!zNd-`vPDJM*;awCLA1qlKLZ1lOuSV;uQY zeaQ3^?nbU*<*8|Hp_LyLjAs z<0Wwl+p_$l!Kg+?vTyOVVG6^!uDTyY+mcxhOF*#Gzb5|rp>Pv z@IV8GgOul{^spqASUolut|4)JVU$~eh;Kkm8Cj3fyVSG*CMlvD%4M(=ZJehK-$cBt z*d8qYJr3*@m2;2nupj)tQoPri0+4IJmMJl|MMl*Q+_ z>{2D|SM+-6xNV0L#0^(fu-YAcV%4|1oJLy?+)!g#qCSUrD=h`_st5LhhdkO$%V5=(`6v%>X1D3pd zk0~{&j^|qE@nXTtmh+L*k)-%yVXHa(LLTZzDkp8j?ol%8N3HlbwE#RIuzh1{gc&c$ z<;>BXQQ;D!D#yWvkzt%Qi9Wn*YKKJa6iqP~&9jwZ)de*!F2!eFm_OX6R_Pzn0+$cc zG^=T7uct%n-n%ExlNy_#k(4|dpO=nx?B`v)cNwW!2U*31w&dFh^wkv`E~d<1O>2#$ zrOR^&J@^rA188A!1I9Y;m;q$CePc2^c>sAj*gK30gyxSD2Y4C{vqD62U@xpCyxG`H z_!Lf@Fhnf?Z9IQ_ksveyvg8Aw;eK*on^KB^q zIU5Q2cbVR6N`hwTpQXGq>b6;a6!Z)$o(;umr|Me@|5%H9Lu?f|hB}|7NAiZ*fq4(1 z=!|8(kQc^SXU4Rm%yV-JLzJ6F&=7aFoR2P!KxVA1S9Hz`3)ESmUo>p8nLYQ;QwHKn zlI6pKDVFHyR%z24#@q5DvwvKRNqR~*dTFp7CDc~;tL;k#o-MB|d)9Z$fzc{Q)W{$m z?&P!y%DQ(PX+5zIc!Ci6lDuqFK*4B5d8*@&<&v6}6no0d453!glr@A@H`Fo^TImN; zP@-ry-CcxgQhyqs%b9gFXSi%->=W0sZd)q`;H<=ph7S9b>b#sJuSLyHA?OJSjzbIt zydb;!RzQ0~Hq;9I(wd1}Qm_=ZV4D1!xmJCTtH~t@LuC)xLAw?W?06=n>9@t_d}$nE zbRcmAS*5F2buzgf5z5!yR>ubkdWu)yeDU<$t75ywPP)=lbGgNY{B(Glqv7d1I~Tqs{-V zOawfZNFVa3Zsa1LoSxa|n47VT$#$!TMq%vh0U^!8R*9Zdnn(cn9jr2cpwJ>_O~0PB zE`2;v&VqwiuciMEl7U?7aYUYy^pK!r7UhJDutbyFF{1Ft8sz&Rx8ieZy`3(?NR^3w zk7Mq7;CHMaQ79AGfQM@v+;>yx>Xy1w`xi)0pl4h#TO24HdI^a$pSU&KNj2RDvHT3Q`>}aS98J zFl~Jq0CsGnPlK4AoE}xt*RBjg)}}OM{FA5FG9My7&9Nh=BV-gl112mcV`)t*CB2KL zXK`OFo2zNt;8*?&=j}Q7CfNLalk=}}4?#p@RHQ3f@t-dwag92_(yFK)JngdwSKVxa z;PK-DdkMwYzwWb=_;TZ`wJ6W5*poE7gk*^@dv-ff?<9DBMErI}#mgEm!RpP+soKv2 zI@9$Pji7wG^$&);v$Op zf`?LdA*&)4apmPSt89pWtVu!$#1oY7FNZN$u~1b4oLxK0*`u|{&P85F_gN#{s{3H` zENvW&8JT@K&Z4M7VRCa;n9NYJ^&K~Qo8R$q4RJ8_bdYrb9!cR zSxGsaM~(G}BCTZ@$j4i#3yhsPQ{%+Jw^%67#*~)}hqqm?=WB+6W^#KllByXuZkVa3xrLJ@ z;r+IgM9ukvgjWIc%&Z4vwR&+x&=^Up6XSAH)u^TV<10DsD3(gfzUv|rTJV=erR1;e zV&wX_VUajb&3cY!0y)XrTN#UX^%CWSVbc-BW0L)Q|3PHh_DDfRh&S3=-&V^nSpU)mRte zXKumbB|3tB)@gBjtH{(+V!BL{BX`b9!e%kAZf?`s)X~Rt+^rAb(N;U`K2M+n#Z+fi z1(^wSZ+M0B7@Bfsj9iU1d`}(nX$dDL91q@^+rVWZES@b>?13&=5=K^T`+=0wJZVwE z7oU$wk2+e*_`CcqEZ+49yFZ+Z4P5drF*;0W@nT9$OlN?E)vI<)-mz!X3X+513ftcz zQm4_K)XgcSY`1jpEJXT06tOiTumL-xxVeSAi!948ze&@m{yCM=#o`t*DT#6%_4lqJQX;ou_b{ z-}}V75F~8S`RJ)IVEw6*S7iq89D}?y94!hab)kftax`2(72&cEcUeyAmv2TtIN?y( zkblCbkUfEWgt{uH%mX{8M#N@>ml60g?Q=Uf7+GbLSqF^tlUW;O&0G-mDCzys0rPOS zdj^V(e;rN>g_-_Zm&yR*SyYP6D&jOVwTv_$dBqQc^!eA#qAsW~z)aQiWbl}gJ-pv0 zbmE9HNN=KPE)$oHd@yYzAdyZ11qCT;THeB`&U|rF7=Tt)MhIshqF!UN*QoB0O@pNH z_~%2VmXQyjf>kPgOPtRNi|$VJFX7_cT)_Q?@(!oX{2!40@$4Y#@9#w(_`6irO8XZe zv3WHf=>ztt7Rbn9zVc*T@{llV6*>+!k`_jt%9+N^y)saNBcUWom@Ip9Iq&59er2TuKqR)TO zU+jy02hU`!nW>L@$4I)fyr`0(Pc-mt6whM^tslFQ=jk^FIIXFYft(RX)ApPXyl6}& zjodD{FvD>P4^wO*<9X7THS~^pJ!pQcp!p=kLU_En=k2cKPJ%E?des|zh{`S$SP(wPc% zw@#m@jb682VSOntsrBP~94F>I-4HZPyV|szF9rDWoE|Lf-Gw3`7?d#gXVZx3Z4sB0 zSu2Q|IX>w-AbAFOKyZ$Te`qwznW25nW5gdkCzI*oCA1tIH0lNTS`7;0g{2XV(pSob zaN4&frd0+VJWFFWD%2PIs?oulF{Qd!?qHZf*@@eWF^VhG$kGkioV4CQ7xfMfP8WO_ zRhYiRO@#)0+||qo1q!gn>p86frEOcezS+_b$KOHw1v7wWf}puiY5g>!MvpeCO@+)h zzVh?4yL-A_Y(2hOJA}Cs{84XcPyo2(jp-es#)Q=*NyY-iRh9yMP~N+u8mSko%zpb> zKAwSHuLbBi;AarR_VyIk*&K}{`hHG9Q8hznNLbYnnAwfBQP_Jgp>6d|PZ$W@X~|#= zvk=!|9<9KAiz&*6-J`vcd!6MdpIGLP0S5FqSrdM%Yfg@(4WLJe!v#@kmN$%cl*td_x4XaFYKYrd zaXWpwCRarnta2LgOkWr&HAqe;_{mS+qobq|&Il_LasP_4Ianm%oc^sYeE@E&c^2_R zD;qGYS-qG=Zrw+isU%)y5J0L$eZGI|%b?}2`vJ7SW80%f(Fy`h>dG>A&=OSd^B+!I z9JJ4DN}s$crZVjQM^A!Eu~QP>`j}^J*R+lACxi?8=G!jxK~>n{i&0J8gm6h0eRBBs zd3qrH$4Em!=;BfIxNlP~;f=1_N~iMh`)cTS(Ogn% z;!VL-&`&Q8OvNU#sfozqmhOamA(%bFQ1Zvqul?~K1~RPJPVndrfm)As$;~IJ4EOG1 z%072az#q43{wz`-*)E-VIYIrFvs!yv)CadENbK3w;wIpS{9fqiOJpM#zWXl>1wQ!) z)iR795XycwN^-(_ z>6|EK06Af=&q;&u-b+q@$zTolS#2$aoC=f*F{d(~ZQOJLiwDn#Iy=z6{c3)s4H}81D!2gH8-VNawu<@e`nU0M6 zk=C?4+(P0&mULLHkfMe_PG0#vyWQj{`j1{K>AMjA$Kd{1pisc}PZu{s3Hqnf9*7ji zH%lA(qtmO`wo(}R$M$*v&mUOmc6Wrf0PzhYhiu??Lw@Xvjb|C-hKpQn!OZ9wE;gN@5pDIb3&0}WpUzVqa{JYo;OeB#z} zKlw~j_=%C4$J`|YFn(~axz1~?M@M^&XfN^cBJT~@VNFWIs)Zh0(}Cf^vOVRu?9Vd! z%IbEKitIHbl&7W1QL$Y9Pw7JcM?YZhT4JUn`}2e7t*P&N`_wIAyJtSdCJgC?0(8Qg zzq|unWyzZhl8pTA7ie@SAndMr^UCG?P!@CXu(0*% zQ)aE#O^<8%jyxBqqS0$OH_o#E%e=pVgS0BVkOu(PZ@0REeEBzjaEbhzT{fBjcLW14 zP<|Q76}KL3N(K_aCz^~V|cFOx}moMR>YPDRPa6O{VxUozmY#$rXRFF{*^ZS|6?a1 z5X+6<)4Izj*t`C}=lf3t@bhK+XFdFQhWve9g@xoDu!!xVe=g5S)@}IzApQS;NdCF$|HAP9 zN3N3j^D=oxht>S=S^nqX|JUBNe>HJuaX_jlTOQ&Tiacx8x>XXweX!Rr@V0e__(Q0ZzX;&*&8nx;Y-93Ouwj>x}I0u&$kg%TAM~xwsh*2VhhmZsk zvUeaeCfz?^&*_=`MrP*T&;8uTe7|?XT=Oo7HS0dv4Xke9NBoX62AA=37wTs&z}$kV z;|DVA#Z%Vg69654obNrs&z2CnWd%wTBExXsjj8$9PAM^JzghB^oGuU8^wqng$2QzkJzNa!O_H=#M?I0R1 zHU-|NWuObn4u#vnZo!!Ah>|_z`^CqnzeHXp#;oTI}Q?3_*HK^_=Sp%W=XjCti=IYzkgsH&DF_i zZU2tgm=8gkcqAy%!AG1p@VzKjQQ&~Gr?BWbRyz?+BspkXBSsDuMh@@(QXW6m>+$iupnqa>a@lA-@bDPN}bA-UQ|R>l)t-4 zsd}`3I!Wst!YFmZu4`ep8;j9LRjVKBBb3AAW)1wG&HNFskSuqXPJOPsU^t_ZE*akQ z;7$Q9&e>UGV0xDrL!P(y*~w-CA}S8`BonV#i}6kSKu4Pl!@ zZR9;X3iuv$>~Ch7S|3hcOEU%1f8Jx`h)}OuEJ`o_M%{a;Am<~cf#!RDDQ@mqFnpeam;Ns zqe^G(r4$6+Z^RkMDgtqw)qS$bKGn#>aoYBww`>C2uYl=4Hn*Y5LS+Xs8oP~%sGUpv z(9h2HNqf}N=0W~fKkdSUW)~p%YC@9Ces<8hG5_KvLi;LkLS(O2RjA@O<|m3HKUj?m z%fOEG33`sO`Se?5QO9t{09^U8&GkwHcY?~laFUQTe}13X%mo8i550+ly#`qF864(sR!eU{`WD8t&nvlZU0VvB-c`xX78h)cRI8q);KuYwc zBHNPgjm?ROIo`1v)MzN2vlyj zFu1icDJ1j~MI`Rdc{vb$$}rJY&39Yy5TsJTh;PG`(mZq9&__WpsZ<2Zw>3yWHilZZ=8xiAH9@{SijKyeGx@E{5~ab%JhkT zFj~u8orti@U*H9%{f=v;di?{LgBev##{Sgq$vHN2orQ8wOq4qfk zk9HLNW#w)#oTM~lO}#MP`On>FQmEe^_jcgEy9Z}9hzqqV`n00-)*&gauvx&5n}!Y8 zTq?DvK;DVFEhfE?>1oi(rpq(aw1&P(^Flm9=5IBV-e{6Uq-czg7=Jje$LrcGRK=Ny zQx+oGVe-)lj&tN5?CyofK|W{@9oupMM2D>kc7RIBmalK(!054`-es zzNrJ}rwp3$8((R=z=w)x-_G&Tw_NpEY|Ewchjd>}(Ue!_MMWIvhgCzJhT@@X~sY#!^TlP#0qRLjJ?C{n75Ywg^B&Ivv{ M{uCcu{~o9OzdYrCod5s; literal 0 HcmV?d00001 diff --git a/regfile.t.v b/regfile.t.v index f13815a..f67982b 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -1,3 +1,5 @@ +`include "regfile.v" + //------------------------------------------------------------------------------ // Test harness validates hw4testbench by connecting it to various functional // or broken register files, and verifying that it correctly identifies each @@ -145,4 +147,4 @@ output reg Clk end -endmodule \ No newline at end of file +endmodule diff --git a/regfile.v b/regfile.v index b8a3c74..670680f 100644 --- a/regfile.v +++ b/regfile.v @@ -1,3 +1,5 @@ +`include "register.v" +`include "decoders.v" //------------------------------------------------------------------------------ // MIPS register file // width: 32 bits @@ -18,10 +20,47 @@ input RegWrite, // Enable writing of register when High input Clk // Clock (Positive Edge Triggered) ); - // These two lines are clearly wrong. They are included to showcase how the - // test harness works. Delete them after you understand the testing process, - // and replace them with your actual code. - assign ReadData1 = 42; - assign ReadData2 = 42; + wire[31:0] decoderOutputs; + wire[31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31; -endmodule \ No newline at end of file + decoder1to32 decoder(decoderOutputs, RegWrite, WriteRegister); + + register32zero zeroRegister(input0, WriteData, decoderOutputs[0], Clk); + register32 register1(input1, writeData, decoderOutputs[1], Clk); + register32 register2(input2, writeData, decoderOutputs[2], Clk); + register32 register3(input3, writeData, decoderOutputs[3], Clk); + register32 register4(input4, writeData, decoderOutputs[4], Clk); + register32 register5(input5, writeData, decoderOutputs[5], Clk); + register32 register6(input6, writeData, decoderOutputs[6], Clk); + register32 register7(input7, writeData, decoderOutputs[7], Clk); + register32 register8(input8, writeData, decoderOutputs[8], Clk); + register32 register9(input9, writeData, decoderOutputs[9], Clk); + register32 register10(input10, writeData, decoderOutputs[10], Clk); + register32 register11(input11, writeData, decoderOutputs[11], Clk); + register32 register12(input12, writeData, decoderOutputs[12], Clk); + register32 register13(input13, writeData, decoderOutputs[13], Clk); + register32 register14(input14, writeData, decoderOutputs[14], Clk); + register32 register15(input15, writeData, decoderOutputs[15], Clk); + register32 register16(input16, writeData, decoderOutputs[16], Clk); + register32 register17(input17, writeData, decoderOutputs[17], Clk); + register32 register18(input18, writeData, decoderOutputs[18], Clk); + register32 register19(input19, writeData, decoderOutputs[19], Clk); + register32 register20(input20, writeData, decoderOutputs[20], Clk); + register32 register21(input21, writeData, decoderOutputs[21], Clk); + register32 register22(input22, writeData, decoderOutputs[22], Clk); + register32 register23(input23, writeData, decoderOutputs[23], Clk); + register32 register24(input24, writeData, decoderOutputs[24], Clk); + register32 register25(input25, writeData, decoderOutputs[25], Clk); + register32 register26(input26, writeData, decoderOutputs[26], Clk); + register32 register27(input27, writeData, decoderOutputs[27], Clk); + register32 register28(input28, writeData, decoderOutputs[28], Clk); + register32 register29(input29, writeData, decoderOutputs[29], Clk); + register32 register30(input30, writeData, decoderOutputs[30], Clk); + register32 register31(input31, writeData, decoderOutputs[31], Clk); + + mux32to1by32 mux1(ReadData1, ReadRegister1, input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31); + + mux32to1by32 mux2(ReadData2, ReadRegister2, input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31); + + +endmodule diff --git a/register.t.v b/register.t.v new file mode 100644 index 0000000..143e22e --- /dev/null +++ b/register.t.v @@ -0,0 +1,25 @@ +`timescale 1 ns / 1 ps +`include "register.v" + +module test32BitRegister (); + reg[31:0] d; + reg wrenable, clk; + wire[31:0] q; + wire[31:0] zeroQ; + + register32 register (q, d, wrenable, clk); + register32zero zeroRegister (zeroQ, d, wrenable, clk); + + initial begin + $display("d wrenable clk | q"); + d=32'b00000000000000000000000000000001;wrenable=1;clk=1; #1000 + $display("%b %b %b | %b", d, wrenable, clk, q); + + d=32'b00000000000000000000000000000011;wrenable=1;clk=0; #1000 + $display("%b %b %b | %b", d, wrenable, clk, q); + + $display("testing zero register"); + d=32'b00000000000000000000000000000001;wrenable=1;clk=1; #1000 + $display("%b %b %b | %b", d, wrenable, clk, zeroQ); + end +endmodule diff --git a/register.v b/register.v index dc9b8cb..db8ca0d 100644 --- a/register.v +++ b/register.v @@ -14,4 +14,86 @@ input clk end end -endmodule \ No newline at end of file +endmodule + +module register32 +( +output reg[31:0] q, +input[31:0] d, +input wrenable, +input clk +); + + always @(posedge clk) begin + if(wrenable) begin + q = d; + end + end + +endmodule + +module register32zero +( +output wire[31:0] q, +input[31:0] d, +input wrenable, +input clk +); + + assign q = 32'b00000000000000000000000000000000; + +endmodule + +module mux32to1by1 +( +output out, +input[3:0] address, +input[31:0] inputs +); + + assign out = inputs[address]; + +endmodule + +module mux32to1by32 +( +output[31:0] out, +input[4:0] address, +input[31:0] input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31 +); + + wire[31:0] mux[31:0]; + assign mux[0] = input0; + assign mux[1] = input1; + assign mux[2] = input2; + assign mux[3] = input3; + assign mux[4] = input4; + assign mux[5] = input5; + assign mux[6] = input6; + assign mux[7] = input7; + assign mux[8] = input8; + assign mux[9] = input9; + assign mux[10] = input10; + assign mux[11] = input11; + assign mux[12] = input12; + assign mux[13] = input13; + assign mux[14] = input14; + assign mux[15] = input15; + assign mux[16] = input16; + assign mux[17] = input17; + assign mux[18] = input18; + assign mux[19] = input19; + assign mux[20] = input20; + assign mux[21] = input21; + assign mux[22] = input22; + assign mux[23] = input23; + assign mux[24] = input24; + assign mux[25] = input25; + assign mux[26] = input26; + assign mux[27] = input27; + assign mux[28] = input28; + assign mux[29] = input29; + assign mux[30] = input30; + assign mux[31] = input31; + assign out = mux[address]; +endmodule From 14256146a5f86726afcf5ae8014541211aecebcd Mon Sep 17 00:00:00 2001 From: Sung Park Date: Fri, 20 Oct 2017 16:09:19 -0400 Subject: [PATCH 2/2] Add more test benches --- regfile.t.v | 69 +++++++++++++++++++++++++++++++++++++++++++++++++++++ regfile.v | 62 +++++++++++++++++++++++------------------------ 2 files changed, 100 insertions(+), 31 deletions(-) diff --git a/regfile.t.v b/regfile.t.v index f67982b..852f94f 100644 --- a/regfile.t.v +++ b/regfile.t.v @@ -141,6 +141,75 @@ output reg Clk end + // Test Case 3: + // Check whether 'enable' is working + WriteRegister = 5'd2; + WriteData = 32'd20; + RegWrite = 0; + ReadRegister1 = 5'd2; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + if((ReadData1 == 20) || (ReadData2 == 20)) begin + dutpassed = 0; + $display("Test Case 3 Failed. Enable flag is not working"); + end + + // Test Case 4: + // Check whether decoder is working properly + WriteRegister = 5'd2; + WriteData = 32'd20; + RegWrite = 1; + ReadRegister1 = 5'd2; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + WriteRegister = 5'd3; + WriteData = 32'd25; + RegWrite = 1; + ReadRegister1 = 5'd2; + ReadRegister2 = 5'd3; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 == 25) begin + dutpassed = 0; + $display("Test Case 4 Failed. Enable flag is not working"); + end + + // Test Case 5: + // Check whether register zero is working properly + WriteRegister = 5'd0; + WriteData = 32'd20; + RegWrite = 1; + ReadRegister1 = 5'd0; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + if(ReadData1 == 20) begin + dutpassed = 0; + $display("Test Case 4 Failed. Enable flag is not working"); + end + + // Test Case 6: + // Check whether port 2 is working properly + WriteRegister = 5'd14; + WriteData = 32'd30; + RegWrite = 1; + ReadRegister1 = 5'd0; + ReadRegister2 = 5'd2; + #5 Clk=1; #5 Clk=0; + + WriteRegister = 5'd15; + WriteData = 32'd50; + RegWrite = 1; + ReadRegister1 = 5'd0; + ReadRegister2 = 5'd15; + #5 Clk=1; #5 Clk=0; + if(ReadData2 != 50) begin + dutpassed = 0; + $display("Test Case 4 Failed. Enable flag is not working"); + end + // All done! Wait a moment and signal test completion. #5 endtest = 1; diff --git a/regfile.v b/regfile.v index 670680f..1f2cc01 100644 --- a/regfile.v +++ b/regfile.v @@ -26,37 +26,37 @@ input Clk // Clock (Positive Edge Triggered) decoder1to32 decoder(decoderOutputs, RegWrite, WriteRegister); register32zero zeroRegister(input0, WriteData, decoderOutputs[0], Clk); - register32 register1(input1, writeData, decoderOutputs[1], Clk); - register32 register2(input2, writeData, decoderOutputs[2], Clk); - register32 register3(input3, writeData, decoderOutputs[3], Clk); - register32 register4(input4, writeData, decoderOutputs[4], Clk); - register32 register5(input5, writeData, decoderOutputs[5], Clk); - register32 register6(input6, writeData, decoderOutputs[6], Clk); - register32 register7(input7, writeData, decoderOutputs[7], Clk); - register32 register8(input8, writeData, decoderOutputs[8], Clk); - register32 register9(input9, writeData, decoderOutputs[9], Clk); - register32 register10(input10, writeData, decoderOutputs[10], Clk); - register32 register11(input11, writeData, decoderOutputs[11], Clk); - register32 register12(input12, writeData, decoderOutputs[12], Clk); - register32 register13(input13, writeData, decoderOutputs[13], Clk); - register32 register14(input14, writeData, decoderOutputs[14], Clk); - register32 register15(input15, writeData, decoderOutputs[15], Clk); - register32 register16(input16, writeData, decoderOutputs[16], Clk); - register32 register17(input17, writeData, decoderOutputs[17], Clk); - register32 register18(input18, writeData, decoderOutputs[18], Clk); - register32 register19(input19, writeData, decoderOutputs[19], Clk); - register32 register20(input20, writeData, decoderOutputs[20], Clk); - register32 register21(input21, writeData, decoderOutputs[21], Clk); - register32 register22(input22, writeData, decoderOutputs[22], Clk); - register32 register23(input23, writeData, decoderOutputs[23], Clk); - register32 register24(input24, writeData, decoderOutputs[24], Clk); - register32 register25(input25, writeData, decoderOutputs[25], Clk); - register32 register26(input26, writeData, decoderOutputs[26], Clk); - register32 register27(input27, writeData, decoderOutputs[27], Clk); - register32 register28(input28, writeData, decoderOutputs[28], Clk); - register32 register29(input29, writeData, decoderOutputs[29], Clk); - register32 register30(input30, writeData, decoderOutputs[30], Clk); - register32 register31(input31, writeData, decoderOutputs[31], Clk); + register32 register1(input1, WriteData, decoderOutputs[1], Clk); + register32 register2(input2, WriteData, decoderOutputs[2], Clk); + register32 register3(input3, WriteData, decoderOutputs[3], Clk); + register32 register4(input4, WriteData, decoderOutputs[4], Clk); + register32 register5(input5, WriteData, decoderOutputs[5], Clk); + register32 register6(input6, WriteData, decoderOutputs[6], Clk); + register32 register7(input7, WriteData, decoderOutputs[7], Clk); + register32 register8(input8, WriteData, decoderOutputs[8], Clk); + register32 register9(input9, WriteData, decoderOutputs[9], Clk); + register32 register10(input10, WriteData, decoderOutputs[10], Clk); + register32 register11(input11, WriteData, decoderOutputs[11], Clk); + register32 register12(input12, WriteData, decoderOutputs[12], Clk); + register32 register13(input13, WriteData, decoderOutputs[13], Clk); + register32 register14(input14, WriteData, decoderOutputs[14], Clk); + register32 register15(input15, WriteData, decoderOutputs[15], Clk); + register32 register16(input16, WriteData, decoderOutputs[16], Clk); + register32 register17(input17, WriteData, decoderOutputs[17], Clk); + register32 register18(input18, WriteData, decoderOutputs[18], Clk); + register32 register19(input19, WriteData, decoderOutputs[19], Clk); + register32 register20(input20, WriteData, decoderOutputs[20], Clk); + register32 register21(input21, WriteData, decoderOutputs[21], Clk); + register32 register22(input22, WriteData, decoderOutputs[22], Clk); + register32 register23(input23, WriteData, decoderOutputs[23], Clk); + register32 register24(input24, WriteData, decoderOutputs[24], Clk); + register32 register25(input25, WriteData, decoderOutputs[25], Clk); + register32 register26(input26, WriteData, decoderOutputs[26], Clk); + register32 register27(input27, WriteData, decoderOutputs[27], Clk); + register32 register28(input28, WriteData, decoderOutputs[28], Clk); + register32 register29(input29, WriteData, decoderOutputs[29], Clk); + register32 register30(input30, WriteData, decoderOutputs[30], Clk); + register32 register31(input31, WriteData, decoderOutputs[31], Clk); mux32to1by32 mux1(ReadData1, ReadRegister1, input0, input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31);