From 699cbc010f629f3dd4344697fbd4de0b4a3435fc Mon Sep 17 00:00:00 2001 From: apan64 Date: Sun, 24 Sep 2017 13:53:33 -0400 Subject: [PATCH 1/8] wrote fulladder, need to do tests --- adder.t.v | 33 ++++++++++++++++++++++++ adder.v | 75 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 108 insertions(+) create mode 100644 adder.t.v create mode 100644 adder.v diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..e5c9fed --- /dev/null +++ b/adder.t.v @@ -0,0 +1,33 @@ +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder(); + reg a, b, carryin; + wire sum, carryout; + + // behavioralFullAdder adder (sum, carryout, a, b, carryin); + structuralFullAdder adder (sum, carryout, a, b, carryin); + + initial begin + $dumpfile("adderTest.vcd"); + $dumpvars(0,testFullAdder); + $display("a b carryin | sum carryout | Expected Output"); + a=0;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=0;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..94d0338 --- /dev/null +++ b/adder.v @@ -0,0 +1,75 @@ +// Adder circuit + +module behavioralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + // Uses concatenation operator and built-in '+' + assign {carryout, sum}=a+b+carryin; +endmodule + +module structuralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire ab; + wire acarryin; + wire bcarryin; + wire orpairintermediate; + wire orsingleintermediate; + wire orall; + wire andsumintermediate; + wire andsingleintermediate; + wire andall; + wire invcarryout; + and #(50) andab(ab, a, b); + and #(50) andacarryin(acarryin, a, carryin); + and #(50) andbcarryin(bcarryin, b, carryin); + or #(50) orpair(orpairintermediate, ab, acarryin); + or #(50) orcarryout(carryout, orpairintermediate, bcarryin); + or #(50) orintermediate(orsingleintermediate, a, b); + or #(50) orallinputs(orall, orsingleintermediate, carryin); + not #(50) inv(invcarryout, carryout); + and #(50) sumintermediate(andsumintermediate, invcarryout, orall); + and #(50) andintermediate(andsingleintermediate, a, b); + and #(50) andallinputs(andall, andsingleintermediate, carryin); + or #(50) adder(sum, andsumintermediate, andall); +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if the calculation resulted in an overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire carryout1; + wire carryout2; + wire carryout3; + wire aandb; + wire anorb; + wire bandsum; + wire bnorsum; + wire abandnoror; + wire bsumandnornor; + structuralFullAdder #50 adder1(sum[0], carryout1, a[0], b[0], 0); + structuralFullAdder #50 adder2(sum[1], carryout2, a[1], b[1], carryout1); + structuralFullAdder #50 adder3(sum[2], carryout3, a[2], b[2], carryout2); + structuralFullAdder #50 adder4(sum[3], carryout, a[3], b[3], carryout3); + and #50 andinputs(aandb, a[3], b[3]); + nor #50 norinputs(anorb, a[3], b[3]); + and #50 andsum(bandsum, b[3], sum[3]); + nor #50 norsum(bnorsum, b[3], sum[3]); + or #50 orinputcombs(abandnoror, aandb, anorb); + nor #50 norsumcombs(bsumandnornor, bandsum, bnorsum); + and #50 finaland(overflow, abandnoror, bsumandnornor); +endmodule \ No newline at end of file From 5b2818f67916a8d4cda26c48398ee459e7f2c075 Mon Sep 17 00:00:00 2001 From: Your Name Goes Here Date: Sun, 24 Sep 2017 14:57:08 -0400 Subject: [PATCH 2/8] Initial Test File --- adder.t.v | 48 ++++++++++++++++++++++++------------------------ 1 file changed, 24 insertions(+), 24 deletions(-) diff --git a/adder.t.v b/adder.t.v index e5c9fed..cc3529c 100644 --- a/adder.t.v +++ b/adder.t.v @@ -2,32 +2,32 @@ `timescale 1 ns / 1 ps `include "adder.v" -module testFullAdder(); - reg a, b, carryin; - wire sum, carryout; +module testFullAdder4bit(); + wire[3:0] sum; // 2's complement sum of a and b + wire carryout; // Carry out of the summation of a and b + wire overflow; // True if the calculation resulted in an overflow + reg[3:0] a; // First operand in 2's complement format + reg[3:0] b; // Second operand in 2's complement format - // behavioralFullAdder adder (sum, carryout, a, b, carryin); - structuralFullAdder adder (sum, carryout, a, b, carryin); + FullAdder4bit adder (sum, carryout, overflow, a, b); initial begin - $dumpfile("adderTest.vcd"); - $dumpvars(0,testFullAdder); - $display("a b carryin | sum carryout | Expected Output"); - a=0;b=0;carryin=0; #1000 - $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); - a=0;b=0;carryin=1; #1000 - $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); - a=1;b=0;carryin=0; #1000 - $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); - a=1;b=0;carryin=1; #1000 - $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); - a=0;b=1;carryin=0; #1000 - $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); - a=0;b=1;carryin=1; #1000 - $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); - a=1;b=1;carryin=0; #1000 - $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); - a=1;b=1;carryin=1; #1000 - $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); + $dumpfile("adder4bit.vcd"); + $dumpvars(0, testFullAdder4bit); + + $display(" a | b |carryout|sum |Overflow Flag"); // Prints header for truth table + a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1; #1000 // Two positive numbers, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1; #1000 // Two positive numbers with overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1; #1000 // One positive and one negative, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // One positive and one negative, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=1;a[2]=1;a[1]=-0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1; #1000 // Two negative numbers, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=1;a[2]=0;a[1]=-0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // Two negative numbers with overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + end endmodule From 57d21cc74f1072bc39565b2989b952cf2a5a2d37 Mon Sep 17 00:00:00 2001 From: apan64 Date: Mon, 25 Sep 2017 16:12:36 -0400 Subject: [PATCH 3/8] commented adder.v --- adder.v | 38 +++++++++++++++++++------------------- 1 file changed, 19 insertions(+), 19 deletions(-) diff --git a/adder.v b/adder.v index 94d0338..27797a2 100644 --- a/adder.v +++ b/adder.v @@ -20,7 +20,7 @@ module structuralFullAdder input b, input carryin ); - wire ab; + wire ab; //setting up wires wire acarryin; wire bcarryin; wire orpairintermediate; @@ -30,18 +30,18 @@ module structuralFullAdder wire andsingleintermediate; wire andall; wire invcarryout; - and #(50) andab(ab, a, b); - and #(50) andacarryin(acarryin, a, carryin); - and #(50) andbcarryin(bcarryin, b, carryin); - or #(50) orpair(orpairintermediate, ab, acarryin); - or #(50) orcarryout(carryout, orpairintermediate, bcarryin); - or #(50) orintermediate(orsingleintermediate, a, b); - or #(50) orallinputs(orall, orsingleintermediate, carryin); - not #(50) inv(invcarryout, carryout); - and #(50) sumintermediate(andsumintermediate, invcarryout, orall); - and #(50) andintermediate(andsingleintermediate, a, b); - and #(50) andallinputs(andall, andsingleintermediate, carryin); - or #(50) adder(sum, andsumintermediate, andall); + and #(50) andab(ab, a, b); // a and b + and #(50) andacarryin(acarryin, a, carryin); // a and carryin + and #(50) andbcarryin(bcarryin, b, carryin); // b and carryin + or #(50) orpair(orpairintermediate, ab, acarryin); // (a and b) or (a and carryin) + or #(50) orcarryout(carryout, orpairintermediate, bcarryin); // ((a and b) or (a and carryin)) or (b and carryin) + or #(50) orintermediate(orsingleintermediate, a, b); // a or b + or #(50) orallinputs(orall, orsingleintermediate, carryin); // (a or b) or carryin + not #(50) inv(invcarryout, carryout); // not carryout + and #(50) sumintermediate(andsumintermediate, invcarryout, orall); // (a or b or carryin) and not carryout + and #(50) andintermediate(andsingleintermediate, a, b); // a and b + and #(50) andallinputs(andall, andsingleintermediate, carryin); // (a and b) and carryin + or #(50) adder(sum, andsumintermediate, andall); // ((a or b or carryin) and not carryout) or (a and b and c) endmodule module FullAdder4bit @@ -52,7 +52,7 @@ module FullAdder4bit input[3:0] a, // First operand in 2's complement format input[3:0] b // Second operand in 2's complement format ); - wire carryout1; + wire carryout1; // wire setup for carryouts from each adder wire carryout2; wire carryout3; wire aandb; @@ -61,11 +61,11 @@ module FullAdder4bit wire bnorsum; wire abandnoror; wire bsumandnornor; - structuralFullAdder #50 adder1(sum[0], carryout1, a[0], b[0], 0); - structuralFullAdder #50 adder2(sum[1], carryout2, a[1], b[1], carryout1); - structuralFullAdder #50 adder3(sum[2], carryout3, a[2], b[2], carryout2); - structuralFullAdder #50 adder4(sum[3], carryout, a[3], b[3], carryout3); - and #50 andinputs(aandb, a[3], b[3]); + structuralFullAdder #50 adder1(sum[0], carryout1, a[0], b[0], 0); // first adder to handle the first added bits + structuralFullAdder #50 adder2(sum[1], carryout2, a[1], b[1], carryout1); // second adder to take the carryout from the first adder and the next added bits + structuralFullAdder #50 adder3(sum[2], carryout3, a[2], b[2], carryout2); // third adder to take the second carryout and the third added bits + structuralFullAdder #50 adder4(sum[3], carryout, a[3], b[3], carryout3); // fourth adder to take the third carryout and the fourth bits + and #50 andinputs(aandb, a[3], b[3]); // logic to determine overflow (overflow occurs when two positives result in a negative or two negatives result in a positive, the larges bit in both inputs are equal and the largest bit in the output is not the same) nor #50 norinputs(anorb, a[3], b[3]); and #50 andsum(bandsum, b[3], sum[3]); nor #50 norsum(bnorsum, b[3], sum[3]); From e6d9be7d0d6586f5ac8d521c050039ce351529ab Mon Sep 17 00:00:00 2001 From: Kimber Date: Mon, 25 Sep 2017 17:20:31 -0400 Subject: [PATCH 4/8] GTK wave pdf --- adderGTKwave.pdf | Bin 0 -> 4899 bytes lab0_wrapper.v | 2 +- 2 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 adderGTKwave.pdf diff --git a/adderGTKwave.pdf b/adderGTKwave.pdf new file mode 100644 index 0000000000000000000000000000000000000000..115e85c0e287dfab662e35e4af29a1b2bd300fea GIT binary patch literal 4899 zcmb_gdpuO@`rrHPtujR?bWzI6rHS3#F=mWWjbRfSm!d|SF|&rrm>Dyp86rnTMXq(C zqH<}|{X#A!l3bFKcBh;Wp>$Cyh05gjuA#Ksea`Qk&!_dzde?LPKF{+$@B7S{vg{o& z1gr(hw5~5X2W1K2A#uQZ6pe;+fklDxAjk?(Ja7&Ip&XV%I0qp|4%@?AF%PEGQ8Kv{ z=7gY>nJ4zGD4b8xsvGL{%rq^$G_3Nc;8``=rK^@Kp2aZNWL!73)Z}!w8kd-|Jq$bY zo^F6s4IT!*J5_w|b#C-|cK3_o(W=T0TF0ih`O@goCxd^Su->RxxW@CD?X2MbBei_r z(&)&Iqt{e^CGydCkNTra*LdDi4dEV?*md}t-?1R?=w-i37=1N3-QPK(_O5)W%Cvi3 z;7y~lxyLj+TWS^``sg{sY&kEL5#;Wxt@Uxg47@e}RqxiEQ~LCnQ;uKJ;*;+J&OV-v zNgKEm=xN(spJUy-ORxioWh(ydb04>6Qec1o!XMjG^%Wz}t`DeM(e+8FNuD9Ehw>FA z2Pn<=Qo2>?wp*NIc~hb?aziaU4jvF!p6;Nj1_s;zc%DqsZ25tqa}&4s=c;sm+7zaQ zv^!{*=7{?)X6RK1U1mK%Q(VP+RRG`wM6Hah&1TB3b1$zH`RI99t!GvOcJPhTMoQ7I z*cN`Gc&Bkfmv8LC9#tAFIpzG*bf>4CARqx@33q zO@2Io-6)`FF?LVD`c8wjdg;zqCnVaK_-lt9uTntZJ;HJjc>FQ_*WPauX4RZCaMe-e zFs04>dcTixDa=r;hNrTUR|e^B9>S~sWvn}r>*Aj~ZST@b-XfF!_*WxYyV`b|T=8EL zeT(PryYs&F3^1X6Vf0tKY=WsPV5FUN9Z&NrynGO~MsK$NMR#T?$orD= zD+YEmQz7Rwk4+M~q$e|auVgbrO=laoX>G|dzpZno2axBEy?f$ao$~N=v2#ZHd=Kt_ z-;(dh_49v~c+6)`!!ZUFpf_6 z!x_7Mj_-VI@-&-RgUzwzv~9}+Ht3+YVc`1aYO57_JA3Lox{A`KE}!JRD4)>qP*2*I zU3AGLEhFnnP2wJ6PtOM%gJOes?9A2DW%Zp6K9aCT|NN9)$)SDaMUTg2`r-|b)zp0! zLJe-^?v9qBC_^mb^w=wXCJ3aIgajvk;)FB2INALqiAQ@b#g_hNwz>woRu*7Awe@U$ zQ-!aeTU~*9T;b`9q2&RO_gs0ddKG_W#J#3vxcW};@9RGLm_dqZ`{$Pz2UxdWa##8i z_;rN=K{f1{D?9WL91q^tE_s|B>U>pW#z4Hg+rm@v*-Wvi4w|n#ds48WI@_++%yjnF z#$Ren@>9HPZswHepz8v^;yKvFmYc`Ty7*6P3cY$)m}}uYZ56@ItPJA)M%bi4g|C{1 ziTEYengDpKHfwGBI46I%@85~1<~;WzL{hZ>@TpmPZA<(E9hZW=O)u%K6_#Gdubv3c zczXZfzS4^Pl9L_rbHvH)429ow#aix)?gReSsg*TH78GYbT{d_jNlG?&ZzFqMpI#b< z&u2GmYRaTtGRA6T=qDA%Ii8^ix&($3eHMBcN>poSKNHk&ebVapjRL55n;UyFXYymRo}+6zlt@FVC$6y-n{0WiE|yiy0^`5_cq$P-%&J?fd-8>$tVa~! z5tsM=vEkjwd#W4yoEFylEo7U0Izw%eI=or;=F}g&C*689C%)rp_LLd?xXay;nN5`L zJgrrwD(*kl4jPWlcIuB?h3iE-4nipK35liFNe8dzR- z#2Jj3=NoP;vYP80a;D=#%lY0on>Z(Z?`ayhvs-FeN?W}%r^*bXFE};083Gis`y*2Z z^1E)fU(VY(^|FTdqOwDkce0ZnaDJYFb^iC0{VhQSm#?c{Kh@A~$G9|<8nxXn`3Hw| zUWHoS*lq&nC*y?$m!BV0@+x}^?(P6?wobL#kzzFyv)ae+k$W4!NY4u;O3xceJf@k*Upu?6=h{?*@ckKj3y&DI4dXp7bWn_^&2tVZ>pvfS zPgXs@8iaIfwr|y*OV6s^`>gK?mD#4IHsM!0m^5TPJD6yx$XhtXbF_ z3{ZI0YCl;pt{V5Fum0WI$>t($hFxXesRJL-O~ug%{5c!?43C%$hebZ4(X;4u?z5kT zkxNGTbBiYpmlZkONxHav$JxE&(^qe`MqbyxQ~i^m*nOwzhmS`t&ER(YU~tkO7FPQ_ zq^Vwx?8)*e-d-1P$r#mcVI{_%$lLNz{(LCr^qr%u7s=h(Z_ivAxgA3<70Q@$+Qmby<=)p0=lWxZ`Zt!@ZsA6}dvcQ7 zWtN_l=7+mG)S7S7Q}OO&cim{z@JRo*%~2Q?tMg&6&2-bdPyW8Zx8gU+;*@gcl#eeD zmKb)Zh9C59+nl>?mGZ-8f2#KWh*y{T-bzEM52SWcoAzinkB%62PQ4KWi+JNh7g!;K z8Zw?CZ=wZ(fEuS+Obl^e;Sw0f;snAtSC}W@EEg*wHXg982t;=oTP#=*P7at;r0AOfQBrEl_|4NOFV!mVVvLIgT}+!JL&(1VOZ)I7d` zfkZhzCwo|#4^9&1+BjrI-0Q`Oq@v9g?<;H@U z(C~3}`81vrNEQ}!`uLup*(oI)ZZIr|0^mS_$QIpyp%M)Vc(!P7OIN(BgaHQ$evOdA zo)Kq|*iB;w!Iv#MNG_L9aX5uSfmM*OVrd|bK%r1@cp{ES!~hA5ELA<6LEB&H^atcp7LxhUzNj3Hagmpxfz0Jb{eCQ!qqJF9MlL zpioJ84Bmo@$J20MNrK`7RR;K-2Y&bc7ph-KexWLsdVwuLUnL3_i4~${5{Xd2Z)<;*yB~ literal 0 HcmV?d00001 diff --git a/lab0_wrapper.v b/lab0_wrapper.v index 3270bd2..cad99d1 100644 --- a/lab0_wrapper.v +++ b/lab0_wrapper.v @@ -25,7 +25,7 @@ //-------------------------------------------------------------------------------- `timescale 1ns / 1ps - +`include "adder.v" //-------------------------------------------------------------------------------- // Basic building block modules From f9c7bcd5da8ace1e8c143acd0a6296cab1b1d0c1 Mon Sep 17 00:00:00 2001 From: apan64 Date: Mon, 25 Sep 2017 19:01:26 -0400 Subject: [PATCH 5/8] updates to test and wrapper --- adder.t.v | 2 +- lab0_wrapper.v | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/adder.t.v b/adder.t.v index cc3529c..d44cc13 100644 --- a/adder.t.v +++ b/adder.t.v @@ -12,7 +12,7 @@ module testFullAdder4bit(); FullAdder4bit adder (sum, carryout, overflow, a, b); initial begin - $dumpfile("adder4bit.vcd"); + $dumpfile("fulladder.vcd"); $dumpvars(0, testFullAdder4bit); $display(" a | b |carryout|sum |Overflow Flag"); // Prints header for truth table diff --git a/lab0_wrapper.v b/lab0_wrapper.v index 3270bd2..999a899 100644 --- a/lab0_wrapper.v +++ b/lab0_wrapper.v @@ -25,6 +25,7 @@ //-------------------------------------------------------------------------------- `timescale 1ns / 1ps +`include "adder.v" //-------------------------------------------------------------------------------- From 4b41a4294c9be3f18b64c2074a4f0df3b28afb15 Mon Sep 17 00:00:00 2001 From: apan64 Date: Tue, 26 Sep 2017 18:41:51 -0400 Subject: [PATCH 6/8] 16 test cases --- adder.t.v | 30 ++++++++++++++++++++++++------ 1 file changed, 24 insertions(+), 6 deletions(-) diff --git a/adder.t.v b/adder.t.v index d44cc13..1600dc1 100644 --- a/adder.t.v +++ b/adder.t.v @@ -16,17 +16,35 @@ module testFullAdder4bit(); $dumpvars(0, testFullAdder4bit); $display(" a | b |carryout|sum |Overflow Flag"); // Prints header for truth table - a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1; #1000 // Two positive numbers, no overflow + a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1; #1000 // Two positive numbers, no overflow, no carryout $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); - a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1; #1000 // Two positive numbers with overflow + a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1; #1000 // Two positive numbers with overflow, no carryout $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); - a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1; #1000 // One positive and one negative, no overflow + a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1; #1000 // One positive and one negative, no overflow, no carryout, positive > negative in magnitude $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); - a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // One positive and one negative, no overflow + a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // One positive and one negative, no overflow, no carryout, negative > positive in magnitude $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); - a[3]=1;a[2]=1;a[1]=-0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1; #1000 // Two negative numbers, no overflow + a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1; #1000 // One positive and one negative, no overflow, carryout, positive > negative in magnitude $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); - a[3]=1;a[2]=0;a[1]=-0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // Two negative numbers with overflow + a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1; #1000 // One positive and one negative number of equal magnitude + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1; #1000 // Two negative numbers, no overflow, carryout + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // Two negative numbers with overflow, carryout + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1; #1000 // One zero and one positive number, no carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1; #1000 // One zero and one negative number, no carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0; #1000 // Two zeros, no carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0; #1000 // Max positive and negative numbers, no carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1; #1000 // Positive and negative one, carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1; #1000 // One positive and one negative, no carryout, no overflow + $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); + a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1; #1000 // One positive and one negative, carryout, no overflow $display("%b|%b| %b |%b| %b", a[3:0],b[3:0],carryout,sum,overflow); end From d15a3f8d07a1d53eb246daec265bce69c3a707ee Mon Sep 17 00:00:00 2001 From: apan64 Date: Tue, 26 Sep 2017 18:59:05 -0400 Subject: [PATCH 7/8] added make file --- makefile | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 makefile diff --git a/makefile b/makefile new file mode 100644 index 0000000..4afd824 --- /dev/null +++ b/makefile @@ -0,0 +1,2 @@ +all: + iverilog -o adder adder.t.v \ No newline at end of file From 0603bc224f52fa94b7909d19be25c93aac1bde28 Mon Sep 17 00:00:00 2001 From: apan64 Date: Tue, 26 Sep 2017 19:05:59 -0400 Subject: [PATCH 8/8] lab report pdf --- Lab0.pdf | Bin 0 -> 145459 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Lab0.pdf diff --git a/Lab0.pdf b/Lab0.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e5e11b5795151c985f2f18c80c926d8768e251c2 GIT binary patch literal 145459 zcmeFYWpHH8vLq;GW>!gRF*7qWx1hz$%#1B&sm0i0W@ct)W@cuMzIWf9c{8)NHota% z?3P*Cv5XUzmEmdbkyYh~R6#_HmXVGXhP3M`?-PcR1Hb^VHL!r;;Q`RgnA;fZJD7VI z8vz)uK02u$N3qY^-4-f$6 z@Ao$fk~T)hZvO;00GzD<3Ygde7}>tpp#-2;vbA*rFfsgVp!|&~fRXWg3Exw?{0k}O z{}mm2NfE#=9yWa=R%1g(6MYU&V@7s;P7X#xeSIc1``uw4ptTeb_Qck7JXxV6Lv#B?SJ;b(aFJB-x|g(!_dG;Z`)MQKu?bYnpndo zKw^-qdf1+!4OyhNAW)*C3!r3;5y}D$83&W#_rq`*2k94Al7HCE*TsWg2VCUe{cAGd zY7RJte>U|$=lp+Rd;eFg{{Qj+R}g^t4-WlXq2%+u8uw z{vC35vig>>?<9u*WTJw;sj=*TP+is0SpMI)>Hi5k{v!+jLDzpNhOoYqzLl-%f6*`| z#_#+8{`CJ#{ZIz5v;QNBjQ?oje~QgNRR5nisHgW20T)d5AmSsi!LW0H#!XE0fSEK1 zLOEnyjM2EdyZax8^$dig5;cUS)s3Z1q<{ay4F^+Tw!0|VL+hwjJ z1eYu0^7&zTT278)-ooFl+E3o z4J8}PcjCA5{R_%};Qg;S{xk3YEsp<&j+Kq=zhbukl2xf1mq$_B z=W{TBHXK0igT<*T{ae#Zj}z4-xm&U;I4n z&zCy;aTF+&4(Pl*VoVERi(IqB^0i80h_d&l@0JZ~R&^?aCr{}WQqEpolrlW{2>sp* zERE!|uJ^uQj}K)Nx`_ON?)cDgiSBeW?`-mku`@r@`V#}nyH3+KvjpW&pD)BP>=!m> zPF)d?q)-E$kznNAG<-inn{qav_sNJBzjBYCgX*uILM?EXPw5mJ#j9O3#QGG zA(I7F3-bVKq|K%AGUg7dMj*W?)=4s>^RdV^D=ej9Z_*AzCj{=`&kV`H`<08gN z77WmZ-4-R&_;FYxO`nE#+7UgYyY{wWZu_w&_N*Z+5x7jF@e2~be0!qj1wqzk2kMLR z83`TytANY57_6px5o=~k)Q!8+ReUR`9T%6hT5-9UtXuxKASc$ZgfWTubcvSA#}CT> zwWozpzIZ><02{BrKIZs$7JnSeia>T~oH&ftI5*4?N#X_PuWxtKvalQaUkKO z3wOgDg}8n@cVe40Jr2$F5YW0O1_>_(+(UrUt3o$!98)!CkIxO5t_q-L3&|m53%%p6 z_UPp~IdeU9mfBm&bE7G*@5L@-fN~+xgP{$`@dmB?XM&DjrbO4xGyMFJKn|>^!_^4Ah7-A3^}crYyzg@}_cwF8l=7bi3Bs*( zNYmYCS+Q!E+=C22!qPA>e?u%8?t6u!qjD?iB+pHDUUG0zu(ozV6pp=A?9ik<>9BFX$6F5V~}`--hwM+vnSaP z45{m?%D|y&mzaBbdezYMG%67wr;u*Znux4`LAfG3Wd7#31xPPv1cNyjVZTEE!Oexb z8(vF?BVkC0RSPX%B|^bVgsGQy7j!1zWA5dlf_EUO+}`z>!55Gj_0=+;6HHI;>c`IvB}ytW4Qq#w z`lk8c6>0rAM}D~5R5HVW6XM2D-7~#Y9HXhgqfJ3Q+X~YH!3ahW=Y~?>$rR;uloAx3 z`dy2|6JCd3U)@xM2**IJTsI;&dSSqGNqDDX{*(e$TcMxwqy7=lsxVd#VpQBboYytk zxIh!x94vn2`KO)ZChS}dp5GoEkY$PAb>}7Hc2nHcWO)Ucwko#!9 znJi9E0|d6GRggb8GraR5gA0X#oLP?GsIx+Z8wm4>fxH$u1a@**s;7a10ob{8JJ1!_YO#Ew?K`lM#(c*Zzvnx?~1>rwH^FWhyL!FkLdn~UG8 zIo@ngp0fT8vvsRY0If}yI=Pd9(rNT?d*e=dKi3!W1%zXTmixb*NtyoXLH`GBh7rKX z&h&l4|5wvt`aUeMvi|q>{ZFgE)|Y_23G4%NVrbsCWUMdzY7$Jpqsb zZ160z0(+M@>l@I}V6Lw4LEAPxv;t5FJ8`Kk%#K%Is#mIN&QGhgkDEv3-p9o(^~?D* zc;kY%2uPT0bS`rGevzeQnCyND2_cyY3Ajnoa=7OPkgsErQbn)Wk^``;qf`lkeT?zcmh{R7q z$gzfZ`JAgf&^y_+fdB{2f_KpaK<&O~?7-9j2?9?I0BcH70Xd5!?N8|?@q?-Z`)0!X zMP#1YwszI}qWTm0{zwP*M|g}jL6T?ahtY#>1O=WyP+ivM(NYICL5kS&H*uN#77!B~ z6FqL`yCXk-E_Tpi=?;h#Uc~1mw@Cqt<(Yxh4Q#6?Pm$;?mZ>5d$eLLD>Ly4*tqeuq zCvw=|0e(|Mmm@bv6RSda>qMKrz|su;y|+(D7?sg@Tu9Xk)Dn`9Rk5b1r~GWxv9NwI z2?@#qp_o7pAbvc4i+Eip4ZQe*z8oz+>DlDh4=zr2VCsB`z_;MP0T;t^$==7gcZK@ec1wOzWS$<5y3ry;5f4zhQPPqKVIIlXX@H% z@Bl7ZUqN5?U3h7ltFNb3>Yo|Uw%PUdfsozlQ6ZS!gA+sGNGNDvP}lY#USH{=eQ@t; zm>FOBRDv}4KtjH3Z(dSg=F1mVZ{Nol_7tG6iGssx8cig>8^WlrgZ(`)K41F}e(H~y z#4mpTFO!!p^LJm|c-Mxyx4wzzkgu;jwmQf)_fPceat*a)(~mMP9$VmjU*QJ<-^+5U zVUTl+uPz-@%(^4q*Z}pF5AR4lQ}=4fVIk0Skor$$8sAlCANo{$ERb0{JBTkIRUj*^ zjEt{6&rO<~i@UL%_wr$Wt(>EQm#cUOq@2KkEBL*`J;)TnT$8Ngj47er!#z+pN8YMD z@Zmi~cuKHh1tRe0EGiAND@PD?2{F zU*AEt-;pNWCBl~j|6SXc@S%%4X`6CwgIh#du(^xwCM#&-U zcIK_PfPk}|^~8CtV=7QG;Ba3K_q10npeJmXq@)$t0gZ{xdPF;0oSbk&;MX(8GM#a& z7rC0COq9?%?voFAOsjwGK}~f_=2DyLd(||-XyLMfQ{EQDpUG+Tl%sJJMUs~4xGp^( z_d|bHM|ai_CfnUGB~E|vg(r^RMg41ff!vQSiOZEjy2x}q&H1VYpGsMBaxeks*0c%6N?B3j&@fo=MBFZ+-- zG+L((%vfay+?Gmo=*IxoPq$pP8BfMdcY6z!{z1x79g_mmsNhPIf+c-L48=`7M)^W8f{Va2KB(lF%rbdA znh7FUxft39cB9@5jzLsta^qKYZO0PAO(GlE&9|AL^pOij8{s&A9c$`V&|l9Q02c%h zMOzW5(CvkFmn)9-o1`V5`9?6mF!0U8KQAAP8LjaJNJa}p{@T>;wQH^~^3(WQA82kg zISc7^>er3$2B5jzB_^udxx~boRw+*I_t-U|i%(5lS)ZD5nns~Zy7AulMd5*pxz%%# ztI=k=trh-$KLNSR$P*J{zw1NG9a8zjcg!6-kNoa)kM1E4u_&0`AG_1KKdiMz=MDe#+_rf4P&8c}u5*J;Pnp$mF)3EiPr% z;V+2@m-%o4mMR z1j_x%g9x9m>VI2oOmzHvmVcY#(3PJYg8TRv(BR!W_G2mR9Mx0go+pZEx2@k*hRQ08^lj|iEqC<;L{h{x>JnA*QwD|hnv{ut@lM%!;sz|T zYsKWir(Ff(1*~5{^-RvBvs*n<5pd?C+g@{=ki;=3j-0Ff4u)5yMZZ3T_oBzX*Ol7O zZ=5+2V;0)m(Y$%hN}m-ltJf!cWO~Tn<^oU1MYfS^BJ)%Hf|Gu%z4@*mWF}dxLvl&E8L&dH#TtM z`q7Z$f3!GghnpEIbM?6Bvr;>QpVsYxUnfWo^%D@mqpgG>NZ`UL~lU160Ss%q(sG1 z+LxfR*p;2rQu<-BcUGQ)Adt2;W8R%@nnZqwZK?wujo?4@fo3e$vWFEWiB?`1{J9Vt z-U))to@Hg~x432cxSE9^r`2ZI+vssO06foH{~DD~^`ms;AtH-16AXIMQt&qW5{BpJ zK5&=F>I{ukY?|7+7{0=wq-HbWOo9^|J)L&jOIN|zc*kE7Y`4GOBRMb39-;jvFl1Bu z(Ggk=aJyx<)Y0IFG?MBcYM?_YCk;l|n@*cf=LmdopHQ}rR^Ba4R3@P;uWdE@tGDbX zbqnFo6iU#aKQq9jsuIHJMV3TjXD>IrMC702Owll!NVN?z?)&lv*u{78lAx%MOR*{M zeOn76FJYb?7GQf;lcZHUG)v+93ij!H+~d+L66XUa;@z7R4U@0uf(X9?h?1UWd)NsqE}X&0ulm^;FgBa~^p#jF`Q7 zY%kS1x;!5IvcJFH3_T%S*{y2pJ^3Y}9Ku888a=4l4me5CM%Hg+A}poNykEvpCJ21V zB*u?Tox|5yt}3@Whr7*1Tcpdk*ZtuZ)FQ2gF@?!{B6+}Eye?XmK_Sm5U%IxxqFTh* z5yoc|ZX{(dbUBVnO}hSSzg6t5uPBGRUfPSlT{Kn1JJ}k8DSGGSF0mKt%&Q2GNCf?9 zt~^oMkr@Eth%HwyuN#d@*UX%7q{Xlo|jG7T1MR-Hz!Ox@(lUXP{5eSZ{dW_ zl+9Ly`N2CM+UhG2zi&)>R9~m$(P>;D-X8qRq9xh12=wTENKjUprE7>_@Cw*kB(Vgt3Y?_fzmC!Y^Dy)FcPt1i_-BPcEq; zNUFb7RWIlx?vI0hF-_0MUgU8Ms8;rpwNwvYWYeMpnSn6mWjV7{2r$Pw=17m73vNje z+Oyn<-Q*E{mGd?+@7N{c+cN^MW@jRTJAJqjs)I--JL|Y1Sg>=Uy+V`=-0*j?#|&%| z_Cn8sNw7kB$g;wc`#G8DAHyui!kXZVKBb)5;Fmw@TJd0XdBmck8z1m*N;7{YE8vzu zqn=;D-C$MxmHBzNjm*h5hGkf1K{IOg7C+c=*(TyV6fi@CnGL(@G zDQ}yP1&M`#LeiYbJd-jlS!$P1G$2NV;t*X^lWro%5v*uiW`l^G!k2zc7w`Jo>7lGJ zFssK%ZUd(^=RL Irg7Hy!N!jEtC^a)SB{L$HsiP*h%)GPxJH-A)b;D|Po_u!#>R zdAIE6B%$;;ywNve!4uYW7-)8rNS7QFl};3~VPa-G+J9pU17mH@Mzulef%nFCmOYs8 zO2Dp-;{Y|B+*Tsf40JK~fibOl6%Pq}-%q=kPdP#;MgtoKjjzZwrmjPqjD%+!1S&US zm^oUhb~IyDeqZM=4Vj;~U@Hkhy{p#Ph2c;lp_VM)p0BuB8EnmQ*7>~Px+!xhAu$}r zkI)_Xl1EtjjzYeZ@?@rU>2`3TnPK6@hk^SVgtCwSt5&o)G1EK6sYas914`G=Kzc55(FfA9H~r~RPyiH2>>OvwDWj$QU6rjWA| z9Hy3v$?fnXg6n5~JzJWXbP~|qlSEqnKdAQ-%?uv}#Y;r5!G=d#@YUAsxv@drYWuvW zOjckPN`&kKK~NRX{c`t_yn{nn$h;tDZ`pqw4&EtCJ^yHdLDzUM-1_g_t&-M?X>D=02ItF5mbVSP_)br8yqs`IZM2>`a1l`qxpc z)32sdDPOujjrSi=aHBmYY=53ebMxZ_mH-=3)(CpS9vlzSq%~J}b)3?Za$ZDNVujpL zIgwt@jor~v?|*h=cNX-{LT%bFG~FLd5|70&3fx#?*#%IgC8U+aN80JDh?W}+d@T;m zzPg1hH_5<-y@@T>kH`F*Vp!6ImH4wFb2zlxg$ohEhBQXeorc4CzUrjC|J9uTxVyVG zoNb5t&XOu$J8H2iGPrG}%6bWTR(tcY;b1{_2iqHEF7^iAU7c}92Rp6CyS=}!fFCDF zm>UUrfdXorocIq#!KR3-U1#I@j+tz;P*`ix4;}uAQE)|b@4KC7+4wIi6lP4s9>i_r zPL=8~#4eNDI@uAtUn<729%`-Slyt(2t(6w%o~CFfxx^Q%d$?fZIFFRB z4e-67$e#0<%Pc$hXLhrQYzIZhyv88X z&~ok9KTJ#33|tRCODRdb8-5UEpQuYApAC`?ji)lI1jz@8m^tmhNxwTcuC@mNV{tyu zy*j%DsA{JCO>teWhhDUUIEvhD=GZ&(Q0s_3L7~s4xu|)i)h1o2pprg($V2+n@!DtH z);Wl;G1F`$+iJ#5_awcGrdkxz>c0@BQj4|O@pQ6l?sw6Tt*tjU>Pv`H#7SEPuq3IGTCMp|y zQnDu_;$Nax|3v@0{2U7LepuP-&$!6^R8ZcQsP-Xh@H`Wy=iuT+BHGBETBhS`zg(uE z`hwxsAQDv7dqhiOSE9F3bZXV*Yq3Gf%sk=VI9z>eqSkd?Q-jkRfTWp=D+m|mw?9$- zg&6?bG!m7E4N4a$9udRNit5Nl2HK-SNrznF*ZFePjEEjl%TT`g{GAbPjgcAQDTScp zxnknrNceUq_0G2H6G@r*LGUcQ8mqY|&2Piy);jfGVC@d3cQY#QPi%!kpEdB1uByAJ zBR9E2=)Kx1B(;4>NYkgrI|C09{@Dg!5(VhQI5FZ?&6ZHha}E{TGBm2XQTzTsW<+pq=5h`S2^SVwc2}p3GOm)DrjXYCi?j8KLvyd|R;mBYFX0(C` zl@VzJUm|XVK+s`bysk)kU}cWjMwjBt&G!yFq95z@VCmyhY!e$0SURPY`tq{6KHz(`nBArax!AJ8yC&jb16dd|C|K0O2vlTW!c%~jT_ za3&vD^_Wof*;Vz*3*z>*{M`pks|&dK5BB2nhn|y}u9r|6bUpD%bwVGPi@b%!Wh$&b zbm*h&yLpXDk!=VjdD)dzm|9Ai-=dKIWCaXlY4>uN5yZsQR(T+6@&hl}ul&ckeSjvt z@}|WuCg8xca75PD0NmuE*YqADVO5654Avew)8a>xP#F%UFOK=!y?m>KiJSFtiJ19C znCcLDkFJ1(zM5n}kyV{dozKHiJJ3Xb_2tn0)TV+I`ozc)&GMpSN>|7FYL&CHX2&MJ zzok$hz1xSw#epb7xz)t@&OpaSl%qv%gPUQYxtUOw#<+Ntg&03BSJY_r;SRDr%{&A+ zZyQ=twH45a`kg;rgw5*WHCqBxNqY~{}#5SQj zO6sF+Kkq|dA0f&<1lnA@O1;1qIkPIJ6sd7a+Qkbi*|sFHSfO+zhC#tZm}WB`ALC2> z5p9#MRcsg147ZF|rVAOp847fO!1CZ$$&A(aO_rW3$%mntt&Ej?tzHFiY^@|iZ& zS0pOP7~O)>r7hJ%e_AJD$q&@ZDeFI=H&0wH)8|kslg=|l{9Lp%Tj+&<>J2N2hJHCs zz@@Xiwc3=luPX-sg{SE27#MdX9@i$r!ecx{^~_|QQ;6|HTB>uQP25~Ih){c9_~rI| zA&X;cp4)|QP%Yi{d2W|xSigs+-8!o-p744J$cBz{Q~*|Aq*$Y#{%0kp4x8+sw}*lU@e z*!8QF523fg5WYLWO_nZ@#gPrf4AAtr=4N@iVAqAw7rO4bv7nv0@Y{yLTA#;HkrP|K zRg{@mC>zZ)6RfO7Je=IlZ4$QY{h9HKKmDPOA%$0g8a2!~MIoM`jD%{(`YT`2HM(Wf z16Vb8RWodlxKm@xby8%k*`=*nRbq{$G{Xwcql4tO6Hev1{WSp3)b#L~l`TMhhE1lI zZ?mZeuLDBK4Mfm39Ly6tGng!{aEY!5-T?_38-f#C>Lks>@nalw~LQV4V{ZQdEEYnJQpmdRD1q{eF?8x@PoMiJSY;l z@qVlUV%YK)g)_y9eTo_>MW0sP3^MTjCGD>?MwWNl^BJ0yQFWM9v=dI=T4fp*vM`~s zFvan-W%9_Ah!wbox>|#@^x^}8S=_D3UiK})C@tx8>EvGmIZLXy%+?XyY0f%DG39&B z6=5Ii`<_z0^IS1gR^xK5l+sSk7}3}8)bX++Xgc#Jc&<>(f+kd%0r8pi3JCYWlaAU( z-cMHSib>=%;{N3|wG2r_Id1cq)GvJDd1PXKUA|o9wl}lY`%&BtMXJ89UJwo)Yv%6^ z+~MAx9A)hwF~ZQaM2fGN^!?s$H|dcJ|Xv&Wj87h#SiXm*y8P_ za^bW0`mpB*KQ>F8a?>qPqg|SdxiXW7f7KB}v!j@G!mdOdvS>mRRBvd_9B^^PSqD-H zYc5iDQ8SvFhu)FAc~PlRD-U@m-*}wDHB{=EyW}Of7>M2nni%PN&{n#Dzxz-k zShw6L@JaROxh#0|**omx!@L$4rE68f(4B@BH|G?($*U|Ny7E{DRVnXb1xZk4nk13; zdX_*~LF!h4=$>P+NX`vygjp#jJ&yGhUiR%yr@wXmMv9A>2K=5_4e19yYIr6{^ zj*h&%ZBhl;g5-^sG1B6a5u$${jI2H_zDvEM;AC;n?!p6ZJv*VRk4a?@dwx$3ME+SI zXy->DVSN)n>6;;wiNN{&cN=m?4)TR*`#ah$`QHt>GDUVKge)6xC@zNrWyp2ed(A$Y6xy&9o`z$omvcvJL#iq z4XAEdB+UNl8{^!{altH-vd-FR7^D*zZh%3rzOFCKWty&KW}J%Hhw+?K#vzbsDa~bv zXx5P9xE>)i71q9s_WqP^drKJuxSz|zB{pRTrKWyaxmd78><66M-Sfr8NP^6|#o9qG zxR@yK2k9MwIftS(TSz+(JgNzjfLiXOmIR?I{ zY#o>K7_p5+3$E)*myL6F;}RrL-<^g9DkOvtPA5eTP2()aS8+iy@s7SB#;bQ4=YiOS za%o-wPg|Gz=})XPr@&@Dh(Gvcn4PCXvj?smjSO0uEkCl*|B(2jOsGAC7nQ3Cqgs)Y zTEN})=1Rex5-c3bGrCB!V~U=b$y_Ukt{fT7O0&Do3hHG~pDAm>9j@T$LZ zPqkfXMi&hR>EF|U9PnnG5%g)7!p+<=4O`6DLb5JfcubaZs;gPmN#{Z`A(t8nqn*fVN$#BjKNgvo`VDE&=8} z&nttKcUPSm>8@t=r%BeZN@}-vqA4)0#Zhq31P)I>fe$f1e>{$Z7HwM2+q*7kUpd*d z!e)YHo@OlU_}p~3F-K{U@X`MU z34X~E(s{1+ID#dzr|j=X6TR@T4O#1f@AHQY14n*GJ77H#wLYKR6yH08a{qHNR-qAj zs^wkir~J!;P}rN6z1;|C;5vxRaB6Y<{XLkT?jgRPEozCeuc6oeH{8pwJO&R&4(%d7 zjke!5G@PjS)rpmuY|bLWe=PR-3CSXN&K%fXCRV}-?CuzUX-$l0UdLetCxn{pXOhHh zOi?e=)0KKoP%!bu#5S9a)TT3zu7Oz?uhlU0)xD~rcA6(00O9<;hp#EoMQE((9dgc~ z$ZjjEefgst61)etxiqP8SzT^odPz8pI?Hh(A>z=9Z|!T)>9jL%sN;HJ^f91LtP+}L zj4$_Y8Tk3Ryv3?B^HFn&RX@iaJhL3#g3aR~1MaIz`~V?)!`&Bj+xyy-KKmI;erpw{ zv{RgXs~yWkty3Umm9NA9!9YBO>Jpz?E^_HlzOvLinHA(e#rPGc+2DEd4Z z87(Z%4S)6QEK#Nwc-4G5t5K2RYIV}~KsU^tSgT0~uz@pbbP;#u$#|z9>54j$!gd}u{W)Ds+o@vls+#i^K2LWdzi|9< z(s6y80M()wG;y)%$#hY}UbsNWM|*{bU4}xL76eHYnn_b2ZZ|{`GngjqWvK?bU>mt8 zI>s(RGC3|Wvz>nR;5kX2yya}Wi1&+U$JG?go|=-(y9zZdK)Y=FuS^`TPIC*@z@#|6 z6nf#VE>;A?(x{s;HecBM6Uizxbr*Y45<(0fe{6^Ck?;Zy&Tx;jZAzm< zHJkZMFj{`yixKR#$C(Lx_o>yt*%JB8$`##VZaPdwV5w6mrY?_(#t-Iw1xK!?(Mmpa zOR2LNij%W!=7I2C#WSkO5t!uF@+wkd@+8kbGVH4pg4y(>A*z__5x?fsc0^bQ19z=q zbwg@*-#BYI?BZDONl-N6#dEFmwlr4C2BPrD&e65%FUYYRFDe=vTi6~q-WSYPr4+1y zwNZBVb^@5agnF%hA5zqtM~4!U+*@>_q6J}j)FB>Sb*$O119haksX#Ro@4PK*3FyB* zz+Z-m;kbVv5CuS?KiZ^;wCFE-a<3^sbssMy@;?M>7T}9nPDsfWpRIURCxtCuZ*>Si z+D8+UNh_btWH;0A%u^)QyP>L&j{!{!`zYS(WS$FJsCoGD0_EP=OaT z5HSp4?OC4NNvaz65YiTke_2*-Tb;+m9~l)zPe`DdS_n$vK-5*u`ubBe$ZP_+USJWB zZNh<8YJMsB`|s!BQz`HZQo%-db>lqr?{d$xQ>#sJ7I}dXDE3R@x*Q(#69M}v` zoki^;=R4NW8ukdwaDkR^xwdWxHq(M8%>~);Gpwqu4Pizw=Jb3EmY(;N&P2Qm`_e6M ziwy4j9|9>%8&iCO+YgRn1(~e;E@a7qv_D~BI&}jn!FA0Q7dy|ig3yDn_uh?8ShU0w!{GICdVbiIf+Vfr^vpY_G+g&#Rc#N)-5?K$ zov|m{!YXh2PKhG(V=_D^@&dYq(uBF>jJ$jyI-ch4f2lJr8%_u&_UuV@*`q1QHYRhy z8#_qf4w61=DnpV?SI_CjNnQ1h;FlVlwHdw z58BeTSut99xDtI8Bro949*Wn^$=%jEQi@JLX9l~&BnCc{MDOFH)$qF~LBGm=fZyC7AdN>)6fI{^EF1>KukQk+{ZCJ?vKR zzWV1c-D`M+bCVBZF53McmpIX#;O#2b-u8Kf;ANe1?V)0ukzAu;zg}6#`%UVK-?kGV zf2u7;FLRIGR;$EUaZ5_O3lU50hy!Um&~&R$(tmht0CQU288PD|LS-gek6iwyWUBqe z^UOqJip?G-J!welu~~y2G8vncWj<0}u+KbW;_V&$@v_$PbkbIkP7<+te9uX5G`lGi zk>XX@YmGJU(zyQG@MV&Add{HBm7TZca)^`JMlk1ex{$S>csKP#yLs!%!jm{VqO|W6 zVxhj|MMV6ruaA?$v#XS0C_LUc9=@Etuyaw6F-YuY#y@D<`A3rBHQ06eD+nV+vvit| zqY8BI3b)QxWRb#~lD~Rxx{<`+lyzJ2oX!XvZjVw?ZIyAz0*9L(_r+1YI`!^ygu3O zW(9$}HrR=5)l}gLoBwCe`->vpNV)FyB-hQkV-z9jk|MArDoU?LkC|U;o?EJ7Pzb1 zrKYmDX|=;9?Tw|7#_i7g=WGJIkimzG!p>O)0!vlHM=bdZqJ?+S%vHn13hw0Rv#1lj zX^B#0$iWX;IKir|mkYSxIz`JSW|T67UxzIpkoBuQ)JH$T?iA|HqtKt|o9Nk;;vnY5 zUUuh6e1p($I4W{Po>KuQbRf30Nox?Q`W?$-;PCpjbdD*vuA?UC(94Jru zj%%PGCS2QR`U(qz6^tr0at_lBkW=G4=|M%OYhrMB0XdUlLR=0Ny4j{p2Y#oI5^o*y zOs~SjJ(nq4(R5k>Zl*T=U(DqQ!p?=B)=M4LHuZWeE_kZTPSFfh?DDQ`R9$Y1I}Rwy zkdIX*Uc8enlH}dDwu9$`BmFzmyiC;?EhO4hq)_8P)<;j(G+sRgU~`hlf@ zoNP}z;}@ivn&-^<=MC!K&gQ3CvuXbeRjGjY%2$WAWw&GH^Ny?jG#Q+kWa>hBQ>>wM z%QdDabF3kyg+zO8k=WsN`5)(NTSJtV-gK`~M}gDDl02bT zxkxLec|#4t39K>+&E7+5j7NohZJYB;D3Pcuq+|4&cSg(PC0FmB0}ecnR_N3d@fWaV z!xZrvK7g^|lHGY)whrPol`G}ON}vAgeB#S!yghzFCc=7xPdA+BQvAc)ym?HPWn|NAflJWXsKSV@JAtA*DsMhmhN;+G13XUR2(9o?>RQQj> zgYMKuD6hSTWuOYY^LezxCanh$ixR}(`m(3}vfiq(J;P-ydSSl#XfnTMz_|7e0o|NdsH9?XuR(*D4w&oZEi!!6Hi60%?01`#PU*qCWdg-Bf=3?lK zy3BCtPX`a}S8XFWk8*cxugIo+JtHp3w`YZy_FlTyVf$w?HQE{E5wHtSbC_-RT3Z)2 z(`5)aG?c{z_8;M8_7rA1VUka*BAS2jvRREf4JIY9I8G;69En&(==#ndpLb}HR#=Nq zxyc;z=#-5Y#Tx#qqDaT6ge1O4F|thQZ!W!P=Q*341igS4vsUla;z_w$nWoR}?zu8O z`T~)O21xzy=5N;jWAisF$M++v|2BVfu>A8B>%T_-)%(rK%EL%$#N!#OX~#8=}tU7{6Yi^?(>bIKaVQG(MpqCAj=Di2wk!92lntU~ZmF zD3Ddq`ftDX^1@k{5<}>6b1N52O`WHkTQxAg*0SE@qCq4L5MpQHv4FAyJg zI0aBHzpwL1_^5C1H>mx~TqSs&!vhdkAh0}yClnN%vwOH*pk_cOuzUz;J(?;|6@Je& z{|J>YiaL<*1Vs19;EQW(SDr6zYF*$PVZC=fy3OPB-->=2Iww5=TI+i(Qp@SCHxwYo*%+_!1?lY3$=(Kz?d zECVDY!~6pHg{wCck}1Qn`MJ)y?`AnZ+9B}6C#D5k-Moa!e8SCBQOumX) zGG<@PDkFO19A*(dhrD!f>??~zgdD}tP_8jN7Z%+}>n=jx9 z^+mTvzO4`84Ras3n)?fu4}JHMecyfb9^(9+{G)3S!oktS$AjY&>2XfxEAY#$pj%I# z0m@n*0|Eu1rUKTyt2FM%gWd)XdS>3*thBMwgO1&$U0aWcq(S*4oThVUtjz0dM901M zpB*iOxZ6$7CqqyvH_HIAwTh`sjf}(opSY{<96R0WJC#P>{4b77Kdr;8vpxT@aB~O6 zOtmH+J7lhdF)ZBORPykRcrHFA`{E*`Z_F-N9x97M3XVT)SMf;}$H$*=wT{ z?pjog*MnqZBxtG;p#RVj#oidfRE%x3z3n>YPf3+35?1zvXGcwIemtF{?Wp zQzi?SE#ab!XD4buoM0pxOZbL(g$bli9Adpygnwg>U*4-(EnlwUqDr>C{CmDh1wZ$|m&nYZSyMw2aHg>!k(f;j6jkI5iJbqdyX+&~U{0_;yz zDDfqmRc5KO=AB>MDP?#5`22*O9~Nt`kfI^4o75>|>P`{5+meaL=}f4jR6z&WZ*v@b z!1r%?K^#{UFFj+q#g;URPvAdm=&=Hxo3pR%K7ME_dRGnLG|ewW4&ha7cKdwxD@ST zR}hbrfMYYN=?$7yFsh-}#_*2?p?WW9HsO!4+b~(!?1mi=*nFUqL8^&F%W;fmQbm6W zaHif=vMt#ypKt(5-I#BY@)e24&lE^z&oB3ti-~k$s>Bxrl<_F5T)eO7oShDJMbDYER>&xNntB2n*uaEN}(`FHlqvR45JR@6+U!~p(9(77rj^EyIW z)?sr+GHmbqa*<*#1Fsr=R=VN;hV z<^hBrkNR$`w$E5LXkgzElTfra+)vmTYVXQ!Y5oqPjblv#%j%PYC^(~|{M;hg49OB& zEsOg)zq$m2!?t{bA_wFwh$H(6+Fhww(ez8s-X-(U!&m*i?!f=X*g3=s!vtOW+O}=m zwr$(CZQJ*~wr$(CZQK7hn@J`!i`jHKwdh@^E7i|AM}J`LKsE$pKpe|U$>b>Mw9#f- z)MacTcanotZ#N3d4z1J<9b0Ha;|WD%RYE~rqMitJ(gS}4H&U<9idz5z>SxiO;a=AT)_mVi&Ix=7x+E0lTXs!l){s)Ed7mJJ>HhQ- zinEkt$1daM@ZL?jG$XJm#UoQ(NT0+nongj`b|_cR|J7oSC4xMrZEZgL)uZ4{S*-%{H&`^U7ed3AbXofVvDjIaaqh1t0!a6J@Da(Gez z=ahFAU;=3R3*9dX_;$eI`p3AEk~V(cDol#0jjqLw((& zMt*l-g3f8>V52#-QWldM(qMY7O=7N-P!4u>U!9zJ!7brbA zZAnsAM1Ju&->8~f4UsDoT#bjc5$AI8*uB2HY`e-c`s$Ok;r02Ae!(+S)@m*74BSE! z5oK#*tP>J}@2QOO7P9cV1t$Md6}(LUm(GVVFnEWFvSMZUTgaPj*IZXRPo81Qzq;Tk zehPi=Tx<|>S{2&N@Irk^W4Yq3zG;~w`a|X;CDavSuaax|D`r0NxlOi41wR6?^a@RA zXViXAKahe(R}rIKn_DAc8`O%KTc3)CXtucpZ?po+%`%QzZM$d1F~fN4rsqZ8uph2j z34T(lYxS(cE-@i2I_qA`BluRk(N_>fW9ygc!O7uMWBSn^F`L3OSs8kw;aIMkuJcjk zUQuQ7e*kDddLkR~epOyYLFrsnE>}uGmmDdgyHbcZZ$eLj%sxJ{gWa!&9?6neIeB(s z$+V}Z*-;ejBouM;$%`25xV@BAl1=F zVK?=Oq&oZr-i)>`1IPZgdR1sMJRxG8507BG=y$i&C9oIHVkM%L-n`CHi_*D^ZW>!GXV46aM&}*dLTNA5 za@@3Hb=h%BgTqdRKvT66N)^bR=wmfVkLhk6_M(-QOa>gVs<{($c{cITm~Wxyd#@l( z#&n4{Ln$n@x}Iq}%8J<|(qL(MKq@=-ds6zXY7Z{jct*1_%tYh*V%oSdGH-PZq>`}t z;QKU3OsVIkHhAhm#UJtF3?q8&rkOrg{v+X3WFM|`a%tS^cZw8|C2Zi_@8A}z?7|q* zNQL9m#iL`^G@4VZQ}ka`546bCSfwq8EAvr~gZgw_UN^|xUhK(wpFN|0CUA6O4k0VT zbpKCT7v6e5j=hEW6G0Zin#@CZBFG^4ByZtD?F3TX@ z#p?H`+hVNk++=*DWV+exDTXs5v{FlP81ne`f=0Q$^iD<5n15MTaq}EZG7-GGx&M7plBTwM(BBBNN7l74 z{n)kLLG^~pKnzo=1J5vd!wf~JxJ_m*O(SCHy&6KYP~vy-R*y~irx-4(&>EGkf%FeT z=v!eW#3w9(YJ=d_k_=QfnSh^T$6lfS$Ty=|E!)c7_UZ$EAVG9GPeMWp>YegE7@3Iv zME~l3T}!QHO&iK5k#LJiO+WmZMcu(3qNJR?1g7%jp-gAcSyMgbP2F4mMk-Y~4cu^|SpL;rH^x$LhR&YZe@aN}T!O8ZV*C4`AvEk$+2K zT#C+g!94LWLX!uL=&_D$jEm3G+(=1vVEs$0aR9GMuy8NI!WLiM1@4@)8xM%hG~x5{ zdXH!;>nt+?+33>SioF1~O?Pweg@DhS1tnMq0gkaek|~DgY5X}X`XGl37!4Z*ysJW( z>c_)9NyW(0*Q>U}o;|5YM12m7d-rT+y91kSyjM)XF814~=T|229X(BTx=#5AvNjg} zS}sV|4c6M#+dv6SI$n#+5mrGXR7M&g;n$_;EEG))1aT}=%j^QAvDxEjjFq(yJrJhx zcp)~;iHHce((#Ia&UbU+qh%+on&w%mh(>Tv8t)6ZNb0pu^v1Bft)3K4R$q;NO8U%? zsF_~kc{++9QKq7X#KFqz+fnI69(G3$|jIT3kIW}U>9W^hvaXx28q{5=M0X;pW zXk)a2mK`r_d%BSO)wKIfXXn0p{=e_TKvt_R{m-9N{wbJNSz}_FhvW=(=itZ#R1iVX za8uZ>ifho-2;KT(tGlPokAA;lZdmS|dGy~PDoXv^R?@u_7HOXz1t)%U!0ic_HTlJ? z84o|7vX?MlgXGmBe$iK3Pn6yPuF@W!?fzR;Kdq>}uo>;kbNmNa-A??J;8-&kmyo%s zl@E?Q|33``(ZgS3+wx3u#L&j2#7)^uKv1hAT!>LV`LQO2X?FhUPVek@eKx0eM!g+LX}yo0G#_lwfJ zxz5=68FfMwrD#X=`k}jT#Q~H}loH^FsyoYEFjIXP8KS*L6J{+4;Ii{M$q3_15Uv7! z+`@+&=RKD+)E!p$c?2ZAM)q2lLO%He@f#tmBuwAz-|Cp`dbW|-L2t6j*$q_fn z&|mcyPW-fO_G%i@?qxs+us&7o)pWBQG-rHStLArCt};^)8aA8WklbD==aU4DaZL9j zlDj{YPZ8#(E~P27uCR^wuJ7)i^s#N(a>ik2W_^~8uTLzI5aB)KADuh&4VU?4Qc3j6 zVnBz-8!!iQmgt2U5IVfXlG1O*>(z4Ih>##KKN?Rcjd%Bp4-8c=GqwrUe``)UbHW5K zYCw2{?mX(w15rRzKXw?8`~=HZjQ6eGGK3qvk15k^O@wh(EO5T5yDTORAMz_9cVoCs zsF)PEio8&ktf{qfoCKo5oC`lZ1JVK&WCHO`+7t%dWZrD~Me&4pCS-eibT~+z>uPi7 zcOapy(DVtvEEH>tZPwf ze*Ijk_u!CVvnoOVsYM(Qm^R}y0Bo%|O;gJycwoqaA*wDXrBFECYz*~}20GgIDK>V;y%beahAZS>hf`CHhga^C%&X$pxEESLnHcs*wao%Lsn? zZLG+tV1b0!$^i*p+V0qmou5ff=@E0UJOl%zHTO&qv^EBFL)6##NHI|R=;BV=UQUjBH4(30|yA0mf~^kgl|KxRdLw1W@LvtPa^os>rya%uyU z$-)8*=ry24q$RgQlMTYX6uIx*0#@nKG0R5NpgooZFW`)5D>r8*Mo4ycF(Aq&BT-X< zM*5S`g^oM%O?43SRtsDsIfeo?B0xwpgWLJJ{Uemvku~q|?C+{fj2eq~hn-{whwb z$qAzl0urmx>4u@B-c`sZzEk}nDMhb2*pJ)MV=8eMM#f2@hsT}h|FNpNaNv+Nd%B!z zt;}KEc1Rj!5$;1%6Z;_q%EkCpd^%RVmuj9+>o>ZjEN_&|Gd-%k>_v z;8KWtzB=^QVO^-Q=61@1JMxpFF5rD#3Hwk%K7E>u)VvEZoR~SQl+>WnkCb>uD(kGU z+}HCL?J>yD5l(3QqM60Sla*;6VB*V-3U0tEXW23fg)*h#_cSgNo#^7)6I<1Ho86j{AvB zlz_F+Rpnmj=y?_>m_@%{O}2R0QdeZOdhR)XT5&%-jH$XN%tYZy ztjzVFWao=UYEVjOTlKk=;ey3^(8NpHr9`cxv3D@wsA+8MtZ-@V-+kRxQql3n8b;wG8%9iY}r;xGgu!_;SZmnCF8cS|_dbBE{Gl9uA< z0-_>!+{k(lOGn$A_qem7EBSVlLnH{v5gdyWN%X>0UOIFj_*)Z!$hMZW-QmHkA9?8I zNj`?#iC-vjm;1>bpIDo+UM?(ho&V&RV-Z zYcC^YaAy+XfAibf7;39#jwO7`8p*zIu1%oYeEYcY_;3z9Op2*CBGXg5nKPd-@300<>%o% zXDP z8oRjCzNhoJ8P&<)D(?y&d3uk z=r}!33Wao$GBe8B!OUyiA1@hlx?1e3Y}3yeDj?+(!1(>zL4O1JxPr$uFbZfH) zlovC^#;>!Yg=E?Fs3K?#TREDpJ>Sx43lvKg)Xx&lW+Y%?!?uV*oH|-iW#d|4< zGP3DkYAP^UGb~<2=&&Z1;WA`0JtV{{gDXvNISOD|A;{PID|7L)SzYr(-7^+G_$gjh z2J8)hltrz)#%ZcrLHue=ATKBj>UF?K(_|jH$3)RY#3iD^Yw6f zCW;zJCut3PE#3~CdYaH^OQt^QbhNK{>dW~vY)iI;Nd?|&7|dUl0qXjFUSy^Gp3|as zSP8ya>dsJ)nveMw?ZC11)pa#lczoAGpYZoWS`0kWZ0bIFAPLLa{MjlkO~pvz!}r zx;7gM1IdCd&jU9LE_wa;_o-$ubW)rI5L4xbI)6b$N2CD!5u$33=-EAmUL)1fmZ zD$dl<7Hsl*N*eyVO!Eho`V2^}UTl|hGp#L# zI+IKlZL#Al+pH0>%kH+38FxUX_elouDb{x+Q1eA7U=!H7%C=%62h6O@c2-n7Ub*Bn z2h{e_p3=i$YGGGPDGS698ms9eeE_vy+06?Cx}kYE#P9Ud&P3mF#M| z<2nGGuFs<$q`Hcj_hy82TRXd^3VL##LjJc_+fo{a0Rcp0d(Z z_w6R|^2MfoDP*o+1o9U-hIB+UfLW2h`x`tixE0v%w)Mii-GG@33v7`L2t6XsFVY!W zDeB%o$z7&rNKNz@*AWL@$(-!O0y_STyvItj@Z2la@dG>G zeU>m=8N5mh{a_Yc9B_ggW9=5JJdl$KlclAS=k!3GJ*n?`(w%-4a^MR*)q}mdvl&gA z`>RPh>I|_OvZ+Brz+jI~{D$UwRAq=DBQIA4*ji6zqPI$4DXHEd?%%fljbAAnMzgvS zuna~(4XWD0BAOhilE^{N;Wz22Bs=NMjM%$!tIr<3XPTg* zfNC^i7f@w%UkmXozqSUuCm0H+@9kNUbaMV!zjW|X4)KltfXqc~NkBf`Q`kPBGr<_I z_hzV^G3S)&=Mer6sjW<>h7%++2OH zD>AHLgzMz zE8L}+Qp=0fxszNhFFIx#MuMRKS@#=x9fuZ*p$%g6q-)KfwQKO0vm|ZMT+Hx9@;=|l z3XIG<|Kc&&@Pw(aAqcxQS9H@~W}|6l2x!Gt%qzRfC=`5gGiqKYF*R<;i1f}yUyv>n`JpO{QLp00=;Z4ou>4NVsY>@1U= z9oW7tQFp%B1Na6>3iQBF-tr>V##flvIogOyVgNMK?7=~ea&hQ_o4~nVY12bxaiDBZ z>@wIS=f6$OUR#N%pmnw`(>|`;H^I_9!LMmrS!Ii!aeQI+oe^@DrKb(89D#REi%X{m zad7r!RG*nc^-|jIC-qq(I@amUz%;2^pt%AKx@mWrjqjBrspLMMSv8hYMZwG@f!1Ur zqP3uS=ZAsTGm&V@u9TseO#9KIhbsF;JEMCsr?@Z%p^KvgsjF<*vuJx8oMepz5L1W zX{Vc#$wjgh#E7q}II;sF5wXB4?t%tNTWJi;>l9wZJZ;T(m!bg0v>^i~T@eo1?w{kz z+18cmN&;@y840=BL(;XWazEl&qQnk<)Ay+Z{LLS`;{pGFlUe`Eiu?c1mXnk5f3sNs z*>W;5GO+x=(5(N`2sl{(Pd2LsR6%D8sT_77cOSvT!JS;YN=Xz|%#auxI3Xmq1(=u`85&jLm>A0Aqe)8iHU9B%!qdY=c-SYID6aXhuc`S8wiPjyPa6 z0j+;>YHH@bj9XwG3WSXrL<{&h0IrmvY7H4SFbx0|TbMZ^_{u*t|A5`qq5j0!%+1Zs z3`lE(c_Tm;#1a!=)(ox`z%+pu;u?er=v$FV0M7#Svx|jHL@J=NBJ)Qd3KiQJ+?)Ud z!~<0$3p1$tMqd}FCRV_p|LOt&l~OYxh<5yw-gu)A1_QvK9lU>X_*1^UujdcK#O$Yx zd47I=bP~&hVh=HvM1Q3P9Bojvm2LnLBx`-bpFzuDC?pJ_3Ka+HRe`SAeIS>HS zkQCs)Ww7t6i5(<+gKM36BU|%Vk@yMUP;ZOI&X&Z=*c7zUtzP__n4giEVf?=3u6EkL zPY2o>+uZu?FQFl`CGi2@6oYe1QwMk4!{O zEg&(o0JtB(#KiR|g^B^ez9Y-k}kr*RSE1KmIF^?$2NOS3KqK zD*w-33@I)x&HvK!m+AfQJW$Ont=3<=TduZf=n8Foqx&}KLUOYq(>v;o_9`0qJ((?Z`p zEB5?<3*eD|!V`R>BgmihUslq@e--j2|AeC!{?gjk(z>^O`)KvdjsL2wQ~n3mc4~cz zFRX3;AH8(^O*41rb***&@O>XGf30=WhEH`?8v0Kk>aEpv;ah*u`n))P=xBMhU8w_n1scz+a@39=O`fuA-ROdZziT~k6qP;a9A}~SmW$52na&CBM z9jFSkNg0c2Yc4T-r4ij}jdNYGw5|Tcqs9Do?V=uOI?ST+1d4I7R!E%v0gP#!;3*9r zW;HM|A`k9pCiLd?$_tCw>M(Sq3k`4K*__0yk9!SlYk8Mu+X|B^+n4=2%Da|_h=Mvs zQL@S{5xC@H`_ru`=)$7l0qCfRmvd~;jfDVroYms2=x?ZT{bMFfeV;;K=uiWZwu6;?4yj{5YAk}y znBcwOBF`F-pPfH`-5QFk?soKB_&O+CFb?<)oqIm?q~jR&?Uw#OWaOmPd;Mm5ReoIP zO22Rd93pVIrmQ5hp70-^Z&Bm=KA``)T!cqm$Kzvc%%_D**9$rJ<#fCcdhxJdA!)B~ zZ+HBJJ)7yRid!x)8jMtnV6vjc>5t;B>H={SyutAe6{o6Z*c1quC`g+D)M=Suh%*skJi`^4RJ(fWoKV$v!!7?$rgOEpDD8y-@AB*Zr<+5U)m}e zn1%(;(!MTVwMHETBcs_!#c>qs#;9wBGaYilv2Dh@d7ikDHbtLxsBK`zmB+XP&drTL zait@QO@7M3Mn}<{w7OBu2VSB7JYr=@81{N+2yPkBV!J99Vrh|^(r>(V?fs|SM_=5x zR1dwGxk1*Xg1Fi^M^hLgZO<2#f|Acd(shIcl25}2>PGNKTM|Yon|PAdpgCplUf7;zY?CE3D)VLB; z!wG9;1sq&s?h^7+;#3oOL=w_ntp?+FjE}Eue9&;e$&EFST^ODRaa@eD>xAy2(Z3fj z*Bw>7KG<0#%HI+IS%#LSy-9i}bVpZyDdML;!muWOjVOWT-$i*6euv&Be^|IIL;-1! zGCJmaqLp(k<5618GaicfCL+}6JgkXR0D-o(&i;*HQZsfwi`(T3@VIi^EE|FVX;gWQ z!gapf*kj{>YM?|zUK(k|q)O_dRxo2pGfB3-q>ht!$ii~!RmQP@m^(v>w^Xk;m=?SD zhUoRXP}#-R{eCeZhEpr&`Z~Y>(LNKCULv)Jd0+cps}jEh^Pu@kl=8nA#u?ITH~cr> zKQLYmwCsBEi(Px%15+^L`j~ZsYEh;fZH#&E&BipEE6L)I0#MroW|`P(e^R%6AX*jL-i^NPOu6})w5?@?Y1cJbWA2`!f1E~J|{N| zGECmE+cK(NoPNC>W!5C@Ux^&yr~d+Jk&+i%3n42U^=L(DA*g{fGMK0lFp5e~wiG4Q zp}jLgzV(340g)N$yRcq-?ivM|*emT{xJN>{J;HLFeK@PNbV})Ob}~v5%OkXoDjiab zM3&YUPjzD{yrP*t|F5+=XdyvdQ!VDF(^_PmiRnH16498Wkg(t$_RU=<*?Lr9ug`xm z6wmnwt)zh|TA@gNxx&D2y5sE+NddG5Xz(3jW&&5W%=v|hOV{1Oolh)BvE+0o z(Nx^44;_RR{QS?UskjRF=Tz^4K85n4Q^fv&7(*k3w+!3a?EY<|1je71NYq6uj_Qch z3shV72LYTOz&y#Vxe*Pt&$9JA%UOzhq7EER)NmTaTsc_oF5dmx?C>9;-^-@OhZ_Hm z9a3t8J!M2GenIm{6X!M~u($0_N+#xrxJtr%Y$0!11Z6g^JObpp091! z^Qe$V=`^Pznz&HQHg;j&jS6Yk6wF{U#B7YoGfoSN^+%BMjJ`6+IJE%2$vMkzb7Z(0 zm%tS~qf{F?3;`xW>>22pt_7xO9%?oi`N(pJ_#0H`I6nGW?S`HBmN2RboAl%-4b^cr zl%9#~mWZAlzA3sXl25QklAk}BY@Kl^hnx^a>|cK<`?hUhJSMC8$&@r0bv(>F4ckh| zjvp8Y!-NatOkVet2FX^iw_hKlxuvnk9LE%~`2SZ9GkkIwRk= z7W=Jeo<;3HTHOS?g>*06CT68;?hD4I7{jha6l%)=8QT7KUhd&kxf3{~l_#!c8KvAQ z%yo#!E=faGfP>q!Ge&*h z#$3K7BzNZjWbR0fXEe8@lL{n<#wWN0#jSuC>pj+sNu_Ex-b^D7+U%vN`a(xfU8;&$ zK4P#{2T~h;qo2y3e4SAj<10O?2S4o&r6?P0*^q3#DKyL8%Lm6WawL0tE`zIZ-zeBJ2_f(;`tx_{lckZup$@ig&uNxvLcJl2CMz|x(>PL&$rhj)FFKo zM;1y+j%B(i7{L(B2-eI^sMwR6 z;A2PIl~O}iPXj5OvB*4Nx1tc1A(MMyQ-(_|`6?4b8M*()vGg&dry02;uU#3hny&Qe7V4%yb1S4f{{99QtGiIs7wx5@N8X&)6S4RUq?>yf!`+ zA-#mrV#}{cvDE?Tk+6-)*6PeEJ!np~An<+c-cC?ev|=@T3Y%ckwgg-BkqBDksgh`b zOMEF_nF+8hFVq?`myO$%DrL;?@bAwEvXIMs^vIXZ2ZZ>@6Bfn$=K?^`_w8aX$z&|1kBHpV{mr zUlMM@Z!z;|kvw^*3Nl=5E{0$5G{JD(gY8x*_XRroN7pPm!G&msz&P6n!RZk4qQYT< zF`r$#pdGUFcc+Q@4HV~o(P6Ay!>oDm%#CK0b-e)iO@;!DGvENMJ&J!PY-t46XMB<9 zEmWz^?4d1BVwkNdrGS+!AAJIhd@hP}cGUO@ZPkR{fnug^lxU%V()=8RSOA)}wt|W2 z)}{E(QdBc8B!NnF)KYi_Pty?C*$<`qBY9DCI{OZBnc#WK>(G_n1(!m8*Zh{HZdUmc zsYvh6f4Nyx>IIV1si<%L*E_Zo)gAQr_*U;1_*KceK7}N{ zB_K7LZYqq4N3G)SUQ!CRtA%)&8<@K>68~S97K7 zkNC6l&&*HiLX}S@J?UuBVH?dOHDR*+;+7|XxUnE>|GfN-S82ZG`i@9YuouLH{Fzqf z52g5g$-dKuKb>!TmUv!iXtlKtovgOuKtC9v)^>`&o+!VKFMicTgX2P$Qa9yciHha$ zr;tUY&@pV2J29V+1f1#Wd|(udF|Sb@+c|ilr5Cc4)tl2$r3THBHt$kaVX>N!FrlUq zG@Q;WYEO2BHJHEj(6!ud#zv$`%#=C;dr?3&3yCW)T5E`VR;2(PVP}Oal$q>xuW+Ki~V(g1oKAT!%t5jG!%ri0}fMA0i z2YnA#@`W+%WIE(MkDWNl{1AxRaqk~(<5%sn__YIbYZp=Ji^df}jv5bOeRO8E+g|Lo zpv6qQv%H!Tbfkl&4u7iX$T=3sQu!IWu<S1enNoqn4Eqo`l zVmhp18PukmEO}lpQnE|eip(Sgc@GxN^;R9&buqBHaE;({Wxg1#diARgIbVEeaIeEy zEb&p;w(5~vInCpu6`IwqPSWVE?8vKu3wTVW1hHq&$m)n_yDp_HYTruO4GH4P@t8{U z5;EMRUb@!YF=;6buf0XlGA#HtEJbnXu>|RNX)FJIvzFD;_MkI)_-DXvz8c8R{0(Rb-*6BFV(k<9AOy;-@}`6=dh>T^(AE?I|4j-70>5-zga`w4LEv^JV)u%|bn zv8M^O3Q;MgkyNS#)75>2XiY5Tx>4gtXs5g-Q3m6UzR9({RY6!v;@5U3#Iv}qU+}$j zGc2`?u)F(>4BVO&=t7wVZ`(=F!(a`*3NJ8~w5%*o0#<#47 z9VbyCcVWn{d-PHXjZ?x?cL#i?ccvM6F_G|*NMICer*beqDcR9MwVG9jGC6#L-xb-L zn~et{DfE8-l5AWcYncGj)u<=fwc{r&(X;I6`VxKBsZC@6-6zCsp z9hhgCh=(0)sA%9b7;Bta1*7j#~H)x3a_!5J<@^L9Tpt)>d#B@9+vD$jq zHQ8r*c!}yg*Vd6(F9y<`vZ|As#0@pML?0bzbV3NnM!?&9ZO6p68p4}8mOHAFhf5tI(Imc;(to%e39xv#3>48=h(fHfAcn zG?B>Ez=5-zdNiJoovSr7r)x(xETSQ=Svt;fXZ>JK;0aUUvUkp!UCnt|AJ-25;1TO> zbF4X;cJ}G6n#^ke_D3kI>uQYkei)M12%!u>*j!)C@hvRUzx z_Gn{FD7Q;W9g7EG{99UOd~B_VkA>buECsL2(ZTi`MZe$^qRRWPFsGqLhC7& z!%C{bd*;}!`gf1_=0f%G2+^wp4G5rhe$b_F8A2qw603yw5gDPW*Cc(BI?6O+hDP@h zaE{zYSM^B-u*mZDV^ovA(g0fMglF9Ae#F-PV*HZmyQp-&SfqAfrwNJNeCwP}XGh0x z3EWC~Ly=t<DNcTS{BzZ`mkL2!38>leWc~m@IKyx+CSGX z6w;&^^uNgn>B+PrYK4E_=}ClibM9B%Q6#oMKz+B5Y36773amGDoJBuJ#3gadXRJq^ z3hj3?uQ7V@S7wHXqtDX%;Fh^jZOdWRR?2|2d%W3I_(haFWI7Wv zq{_4|5w(J$$nU;iWaj6lFKW@+F4J9U!lAh_pJvq0t#{(rnr?Wpm{zyb2TfyY4;Lo-#?_ zR4ybJEkjTNOH^eDX>YVSPD9|)hGRI2dUU-O6@3f3kaKTSgaz}{r7}s->~M>QazoM7 zWmT$ik8mU|EOrkgiG$3rPjK%pK3F#upP|px*1$E>-9*Tf3Hdl_D+?x2>!6*mcWY`d zGrH;l+$S6I;=bPmE?Zfe7Pdq#!=G$6vpjWk*W%Sdtyg3HMNUuP#Mmnjf%p|F(4E-1 z_lujsbE;LF;C%RCTovP_9@DLe&mK$I??=Q0z&Ydx0?(W=p=q%t2$ojs8cA-4y`96{0CgSnFa$; z{DWdP93)prv$sV8$i9chrw($x9_v^B|Rx`4W9Tb;r4O@jSgqGm)FF09=(!_hIREzQ~M@(OK5Q;TDrWL z+XaBi_9a<&4>1Bpo&cdgJW;bm>| z#kh9E7XolS=2$lZRf+kTFv{V}Y|>U1~L49vF<^znbf=zXB2ESHC(b zu_tjP+9lA0*i^+_YmdtDC+`XjDq(whh2$i1JhqVhkp@r_Y99wQFdD|$nXt>Xxp$Ww zU4pBr_TNxmsuRTX9#VPOiZwhd6X4`~E3ig<$>qbVmM;qnEaEjub;kPSc2)ow4Yxf> z;Sg0Q$gPdQaWy!;3AQwRTUe+iF+Z9nLE_U?IOAqv&xQnjtfki-yCT@)POL6wd?IP4 zJcU0X5W^s~m4rTj3k)K4)%tG3sM^%}-AY-hYzz3r_$kniik!f07+u8LwG^Z)#g1d- zulV+&W>|0GmyX6Sq-IaGVKIWR=kR?yE>VY#TUPUg%8Dt3IfIE=)JD%M>iV8YKn;hhh5?7(tgo))ZAb& z*mzNJs^0eEcaM57tP9y!k z%9_LxPbuFsND{|xKi)Rhk;LHr!Vu36+SXB@gAc7cO}fR=MOK{A=^CI|0b70$Er>PA zi&FIT(S~1Y7)2+=0aq1;ONuxVb$+=j8)tgd9zO-!m(3OiffhN0+o1yOjo%JPo&3`{ zt6Z~nXYG8=DAIQ}4~92nb2?@ufROt&UG`|6f&mrgx3%TASVLc(D=!OPix6rQBhH4& zeR}-2($lnvh5ERaY%?1I3YjjJkNYCiq54WYXb0d6q(0w8>IdMm=Mtm4-6fk6-!e03 zdIiWVL?lfPj>yo67;3n)&jw&Rpl(Ue@F@7}etTZ-I~1eJ&lZqc!ZMdSqz%LaSU~*4HW6{{bynn1_U-k=LnLc$R3b*juyJ z3P_hPH;piz%1-M|U3Oo|IyWOzwO6s?=i){&w)@)xz0T1kRBFjoB1!F#PM!t17E-7$vkFbaX?{aMBL!gdM1OR)T#}(^;dEiHZ2lLHic6 zBgFtRjU(@eQdU!SskLP3!*J`FWFbRnZYT7&nHl9bx2-33sZ=U?UFn*-x?Op3ap4@Q zgJJKwQda~X8EN8yz%0M(L74X(Oeqg*+}vx0-8+%4}YBVzBXB56G!NF-Dpo~9OcwRhb_z@^1c~~kw4djj^EqD1m^97cNwx1W^Pv37c=FZ9e!%Wm z&D@_XG%(d1GT;FTLNOJlN-f@ZUpjmv2FhGsPsj|1-6_d_Hi*fYWOs$*Be!H z0laJ~SJ*@~>lLKe`<0kRl)RCO*O)q$K^CX1WTCh$N?1TQA2E0O?y20h_D&`b&LtgoxTKJ<(tnV?rJm+qjF6p zqcc&whEawKgXM`bQC*lO?<6FX~4tX+7`pnj7Bkxdm%+so) z09dU7lcXJn?~;`7A6}L0BR}yl&aCaEWoW-iQ}JQ6j{(_F#>JnEvdk=xG8BoplDbXr(*EGX z(2>S?nczm9&>Tjs0M2dgJIqbfj1ObbBzBBo(V6;mU`L4xiO7=W*GHCeq`4mCi{Im3 z^Fj62)V}Q^{U|L_3=}O@n9$0nA1!V7va7cj2Q4O2e$-nob@JyH8PUcOBP5Y`mjvy! zR=$7dY3GhjQjSdRJvNUkwnttcqsbEx`J3E*=+!-zVTk!UJRUk+7iv=pqfKzY@rBq| z(UovxuWz9rBee)(YgV+JSKr6j3VCtWHIX@@i!rSED$>0YCR6T@b@(g>5wVscVIl z_ug+i(dpNonp3nvXV7FJd8>_-Fsi0&U`;Nx>K!HQk~Xi{5vj6TiM=Eo~O>L z+v)wjOL)+M->v=B)`lvn4$R^QF45w}hoK}@7N))T*d5MHnOMxUWx>~SiwX>gkXyXx znXDz&4Q}y2V*CYyuc`sK^W+&uN1TmbzyLSJaek*UW+m&x%YmpB4IWP9z#68dBno^R zJB9}yfn;?b+&LgV!-y-;of=xPP9PfRz5mj&Uh1^1KDI2@YrRio(X{-B3SsPcW{^6^$wtk6y_4je4`5incqunXf=08R0DHH`ci&YTFN5f^OJhK7PF1)sI8#TS}()A^}hvj{(dvu z6Em3*+RszKvdOnqVYav#u?I?-WQrv*!{feechYdvw$L3k(#I#wGjtHP@w{YVyeo!sOuV7wcq&~%Q}qj!0r8{K_uve7|)**F*8jJ^=;@H$dkHO6!F0ip0-9*+o8 zgEOobC?&dDIwhK*ota%rk<}O6r$mT*gt1(*b_l-q+%I*Vflhi0Sxyxi5GG!vhZUAP zX-NZF0mm{guOL{JUC@Sf+FEJv$wYknvm&(16E8o3`=u9QQ4Dh9mJ0QTEHjPTG2GGp zyMPzRPmDCIH_sZt`^!{vZnE2GHqnA)5VaD%L(k$3q_QQ;ts`FZyG;j#k}H_4pmyQ& zkYi&`c_+HyX5?n$+8WG$sP)aFRF3p6)Bf;v+R3~Z7{N}g!?d`Oq)?kP^j&5&4Q{GF zw~FpP+~Ok${iS#W4)5mks~-C)bHJwYmdQ(Kzldq&L+@ED+>$cLn2hDnz1Lc^#9A23 zi(8gJJ2u!W++cVbSD-6c)k3lj72(Q(v_nN4j_F4ODx1?X+gS0=1uu%$*fomnV?Y_d zC!eN3yYY0AXDz9J1xHV#Zb7NaxOiV&{$5y*3&scqPN1IeUh!}v97bN0(0t%$fjI5F z#o4A**-J?S^o%>YBi>T~nUv5J%NWd3+ zM~?9ROwV4Q_@3|W-L|*asQU_S)xE6-rG{L2q!o3>7b)lzp^2W04@5xFaB-+BSQ?CP z#ZqSxKK+~#1Uv(xs>H*+Jn6!)4ywRfA-!0lqCsZ@=9A}rQCP45;?$X>Yty7=pHecj zO7CQ$bO9#6!uDyXNnf%#LnwGL8@QV8m9V6{IgkJ0D$|{kaAor&E-*d(Xxtv@$iFA4 z@ad=?OpI;JW)2rDg+Kyvwu_C=ycW=++B8L3Vwj?n?RmDb|NK7ci(u6lOnWc5%YVBv zMs1_Xh6-Wf8&2CnZg(HTr;V~H=qP2eMB;pVmTayvY$QV{#IdOQO%}D|Fx- zrL)7D7(NUlxzKgUtbqHHjEvM{s6nOX3|#^~KY8D94%vZAw?lT5+a@PEEolC)o80Rg z(m2`@^O=Nni*5({gE;>CH#l7_F*sqhz?c1Wt2YkfHO(wxsFbtO@| zK?sJX2+hXxKddWWzfAMg6st_FQvC!pi)c@j5g!zk$c*aqSj^k3gvGfAB|s$GGdz#` z@{c4z%0GzzW6;# zC!p(4<04bJAPTbzFrCqQW*2ypDd`eS&;kFdv{4D04eItXM@PAt{US@n66bYTwFlJ% zn+<>S4eUBX_ISNybh@C{@fF8s6loJm!64DNeT2)+%a@7TGmX28>k+$4E=ZVgA$#p}dVq04#$BRk%dGduTKHU6@=a>J2KsJt`*k-y7Ib<{A% zrSDPYR12)Qq$I=xb1PZUWBdJXP}@gURMnbB6BX!?D=jL^j`+)TZAJY9JR4ChdikDU zI%+&y*e{_9@G(@K6S7SvnUB1F`LR@5R)VNeSSf0i+y@pLU6x3$MjM>OOc|!e`3g<7 znSBF9ih-)$9!Zz#O9e3Y6SMPR4@V0;E^h!R^MncPL!z6~Aylod$w;qHOS(1W@J3m~ z5RQt>Z9|O{X&@|9yHNSwZ*KMF@)tcu%d5O;!=BC-ff(sq6nL4rc??WC6ps><@Ixy~ zdmDm>Ax(w;BRHz127Zgb1gZ`df&Ub9QIR&OOj;XDwt{@%)&m;A9Cb%;Ggtb7J8$`D zVzBMpl02CHywka_kX2CVV$$EqhJwhcq*dV|+4AEdnP-?(i*;^Q?&;Qztm@rAY4)Rb zW?piK{#9wMiN#WE-9C!+yp0*q>U*LV(UhS7rH>2!$+rs6%jc~Ft;aZ6Q|m979r_`y z_vdkh2PuubV+j0ZB%Oasi+5AEM?wy)pN;MrdR>VXBSlP;pIfobP`{2 z*e>%QRkh@F8#Gj!eAA*L7ltbEsW1`^ja25pYJRSvM*F?Gf?x%#z1%E#r|pXZDaFV@ z39;KvU=eUe8ghO{EwF$2n!K?7esKXtcn*WJzlnJ@X-*1ka*AvmM@pkpvZgdc)7B6{ za3uf>k3e}rR)}L!HZ64jDEkRCaVSP-g zw_-M&Fk{oCAg*s5np5$CyFY2_=AS1P4Z(Gn4DC^G_LZcpCD=oJNauf?-REiv%m>ihjk`s5=04TOJCgtD zQ+>5f*ldM4g@8MQp~)ZDndBCYYxk_z{Myj4Vzc4J=Zd=RamVo1AAgNtvBDCWEXUKB z5Rx@wKyqJK*pc?kbnljkhb(u(>gxD0fgS|b&TRiSY&{fY*)+n9s-bVnz(bP&k94%_ z=e;olC5NJ^!`kc-#K1il+*lfD>mi9Dw?xP^5KpLh+L~k80hv~6mODtbM2WV6jCF@_ z%Vrtj_8I z)9*EgaSg!O2v$gpQM{v>f`sw+3;3^o&G4XZ=pP>(Ihf64)Sb`A{7knK=ES+t2TL_5 zo#iKgbr4l_{(4j`#*sy@v3cHQxM7oYUEd>SLuxP{CmIU>2xM8(btTKU@^H)}@sJD= zmN^F#qDv7bW0XG#s`6JXP1l%+w>oOwm$c<0$Km$H*xola1`y8ZB0F$;ah&JGu~G73 zfxBiJ2-s;Jo@B-sV%K5ZfbG?0d7HI9n3b!8`ETpyb+vCq^1tiw3w3)zaMB>_D1QaL zw>I#korm{mvmNz+Szvg^%wmkO;ogvDA8uR#qSpSw(SDcFUPuem*{n{60gVouRMloU zyQJOlPasy)hL^{e%0SsL>y3{)z+OoaJ;=Jeo*>@9!`mKv3H3Tb_v&+EvpBae-ExVM0>sAjw=Gow1sL(M@qbA3Y_i8fiGuMcq4OlfVM zm95@uJ}yU)l2mN#mMbUJ9|+nwjrQsL`6hb!*h<_UZX>bnYFE3t{sA851|V@6KJP zWgNW@`|x&Dll);@iq_P$)JFsaMK*>A0v+dIFNmZ8`L@f29Y%~7Eku+( z^b?ftA1YGMC4(F>{LlC&2lQNu08~c=L`Q;vfesk}1VS_y)Eh3@#3hJ)5m*QE=N@z^ zUd|p4I}8NnI7WPJ&B);r@CCIO=m6S>Nbq;(2OlBMDYy`ssSH&RHljJ?*MVBF5I)d{ zBo;`F%Z~&MgOoNM1~~#)M@Pql2tG4FvMHMF)yJO}#0qvU$awD$W*hpR`XrD|87ZK4 z^u?&)>g4o2f0XR8GyF4XBkzP+t}`FDUTW7qQhh@fIYY znHz{n;7$MhJK{STYX9jM7EBN^zM=x9TW}(LAI?4y7Y$Ba!Ok8qC(Ow=t$yE z)m#z~_^|z#ZTz>@{f%G5y4k!xEmg>JYAd}Pb)FF*I9$Y8xdY2+KL>k64SvQP_H=?+ zWE3=16mb5(K=*8!wD)SkiEgOg>?q$bqb~b5QA0$5s~l|lx{+7xw-D1QAud254d&(d zcXEEt-%7(m%HmNx3{+fETAPVt{~e8R1 zt`-L)0vD2-5;v>py-yfGlrO*qQW#|)q|GO4VA$ek@(9WK#%_-QMfoN|262BG(JvMt z_;Wlci#xU=CUpO)(lHPg|m3J`Tz?S15#kaxsVI}<()+i zcL#PjvIKMUqP-17)QgG|2@AaE`2wLqI&ad8@DV@-1oZ{}RX+qIIO(TJ0s@pbUF(I= zD)uuV2$VNi3-SZe$F&ZW{XIAYp6{FaQ-=D27CplLpl#4b@!jI5k8R(Eizm*(9~vwL zwx$ZfhMzpi-2OlhFNtD9fsYM)2Y-|nZ_t?(I(=&Vw5UYMwnG)`7MMJJrPX|#9lK!O zbLGjPRdX8GBQ!} zJ2zL zjIytbn9zTZRLv+W>}8$VupR0Pxs(@s0hiR%-`ZC~8kXeYdS+tzm8PM}nya%c54tP* z^X~r$9}_j&F6h&alz6I^w`&YHRhc^{5mubhpNaD3SJSi<;s(Iu zRV?lCH6FW9EpG}zy9Fzz!B9) z-$83xT0NAf2_#Hv+`=Ui3-3m@FfTBXB^`jYJ@>V&Gz7^&{fy7D6UIYk;(S(&093SH zAlFj`iF^VdvD;%5yh1FgAiaMRU1A?y0O~+CEGo^A^O<3sWN=~HHlm&l9b@;!qqq9D zzd0!`-YQ_ZCjvfZpTVp~aoo>5`Lx{|&u}p<2oz=^NpcwAEr;nZ#*{WZALr#9yYt-T zN;fZiaUNL;q-wK|QR!)$_({pvaBkGJuh&C%aAunSSA@sUyo>n+IZ7WZ)SzgkR0 zRXz3Rz5F6gSm--06#>sB(HAgv+lNYinSqx>)drI(p(@Xu3XG8$n`7RS=3Cb_u>ej( ziY%hewrXi(Ud2~ZT$T+oZSk*;K03Jq^BuM_*q(j%OJ-J}C+DfGs>pGnu|azmw_zze zx0>W(UK9pxwc()K{bVG3yWqWAuesT;0!nT%e#xINgjKy|YT0RZ z>B30}8`pa0&!O)?9`fUunCGiNwFzY<_(K?cQKd!-$zIsh78R}Vh^2rkOS{z%X$@#o zQxQk%(U9R=#;mrv?GTHuqja3ay=Sa0hh?sUsF9!n0(({oHT{OC4T}g138i_6##hadd_9$G>pxlil*6WZ6w#+mra5DWk5^tR})kyTN{& zuktb*%t|46xsbS#dwm558)b<-I1kLJIM-V}2oDzUl+cjEC`l6*mfrI1vm5RebNi-?M$hck&=17QHru+uDXXErSD(ivqJ^(qdqkQ{EPppo zKBW*{pCuSPiC67%N`;2|=lJqc;7i<#PqGg!)PIH$>RM0Qromg3ve(5Sm#&fh&_aC~ ztM<;b;q_UujMiqFzWUj^|C5(J+mK;k2Vmz&c(hG7+eQjvQ&G}`;Mepq@hd{GNTE-A zknm}7t1ioT&t_d&YiKSj~!z872Y z$O-Q&YBwdib6cln_chv!3r!>P3eTF_jpHS^lJ!JJOI8A?V0wD4WheAd+>Mr@DJe)&X&d*{r5KA3nG2(XkVG%33LuD|U29i~rfi+s_& z8#7|z2z%=R#3os`3y7W@b`p%$Y?k`x+8m9nfA#V=b#1N1O+fPcNFIsz!&pth;4i=K5q%J!8v# zFNSyevtz6pvYUSSX_2Bo9W%ShS2$f7s zN3HPvtuUNN=O6QhFsxg8f{;dp+FS$}a3w9cOfR$}6=U+lwoj4~{2cqH1aNnsh@_uo zJ!&HWFEprfIJ8>=CJ>C#*8OUrsWS5L;Pu9k@t08ctlRcwg z<(h;>mV7c&WNEZ58TetfvN}!l^0MZ>Ay%8zBaCx{b;mH*{>k|Hsw*o@_zE?EV z;{b3s{7$PFl~uksuGCS|xNdx8xaLif_ap`cUn2QqM+rE?PH+TS(Irn5<_|o0>>&JHRR_QVcr#E_^a_55Q>~tat~(v? z##tSJ4i@x8u1+rAf{vK=*ndjQLdfN+-CPI8_>JJ<)&ma&&GGQ0*bF44kdBn$9-J+xXKU93ZR9gOti@+ zO6@A0X_KolzEQ6Uo!cZa-mo)=yp28d#VPDO*y$5*$t-jCnc z!vBmTTKz^OT~h>eCGWA>uB^dd6Vwy!hbv%{_?rIXxxG%6w@%brX$RZ*P{usXF!w9+ zYW!DK#lXh$G~#I0xFE~$J9*zGVo?s1`{_WlNubgAs;LaURnV#4?HeDe;c-8LL>}fk zvCjJw>MWXMg1euuNsT#ypN?OE5v0AXvd|-S<$ChY5LKl(&w<12PS!Xw8O_Md1wFA6 z-kK1e?Mv${dcv>|VU;f@;hxu}&21q!*{4t88@^a>gi5Jq{Pilk?Po(01Xy|6vE)-c z-N@BReC7nXpEDBlF;CEp;auhDzQFYaM$$QvvTQEZO`{0sm)*H+O0GfGVMU`;55L1x z$a+vbde`KvWZ-A2IkeAa@=72*=in`E63?xxJw!I{VojxKsdFp^azSRU%u0{f_xHnQ zIRT!gm-2xEv*#GFHOTe2nVr!jUeQCV46S9t2tbgetGH)iO~^OTPjxZ z_pt2b)acv&DoN@3<8$WOZ!lr!{qi?TQ(oX^1Ow8@zEK2Mn6sY-xU6~nUSCX0lV<36d`YEk=7It<9Ivbl-&~T5X{L7t=SCl1FfP+-#=~Ku&zfl z-JGK*jY&mS;yw>JEFS~NAQLyKT51s~^j1-ayJ$?ABI?Hx=Jxev(wKwl6a+8w>e13k z_`cWg4?KOF^HeU$cAer@dbWL_#sT$DG19SE0=IojlMCsiMj8HZ;peau#MQt_Jj~L! z5h(b+p|flJiuH9}jzFg9lOC$0Gwh9vR%S$!+9!YtPiH?TL-l|&x%uMXR_0?g6h%ulBbbS!7<0(TK3V z_vr4hYp6EV*l2{mTx|VdbRM$E_3$G^O5jWuAi4l+vUPW)>M|igJZS85Prluqa#q^j zWZy&Q0Q_GvyKdQ1Z!ei?PvQ#RYpu}heVfqD{E-nwq;A7xHGE#(%0BIp#3tiR+O(++ zifa=QH7}Vd|3b`u4jfcT7Pi=0ps$0x+*G3JVZn`N$9}o0i=x4Zsaor?k>U56qm=Td zq+7qbsSC4*e%K}!`V22`JG)*2%9$ngBE>O^lO zfS$1iz9nbLfmt>U2V zEt>%B*%s^CBdwN=&HhZ!FBZRxg>j@%7W#dnN5RN5w-@}V!L_%8t@t{JGLqZK{tY1& z=uMGq-*ab8zu%aD5m4B%kc+qJD@X)wY68PF`^}@k?MSdn%@q9v{}=OryclT>iMiCQ!vl!1D4nxW5Bj zd={rS&*Ckp1XEOiwu?zQZZ->1pSmolf zBRU^U`);L|kHua0>PlW^Fvu=G90HACB0hBp=da7FGjXB2LYmdoD4KLTB*M#kN`qWS zSLYXs=RC69IdeY9O^}f36Yyd4PL-WHn_90WmN4KJBYZm}Rx(zCI=r>bSMoPufqLM4 z+^Cr|nww<#b#3TL<*SBucP#74iqmFRxMnoTnKLOm!9i{vecSJava*S`6lR2r;jrbm zCeFFaQ$1~{iruG4bT^8k+nZ*W)wG%(g_JmN=k$B(yQ$JatI{&t6z8N2S40re)|}J& zWSa>Wts3@c6ZKpM9yBK|_p1OsAs?n)V{}5AKd=_O<=Ovw*NPpT)u(LUSbpXe(T?MDUL1{^>LNpd0 zxcJ!%_hHtBAw-}UD}&L*VDcx98qEoeR0=-+pqVR>dzF2CA4sf&CuDRL*_Wl*jdccR zI=cy|40OvSzR*qf)Kett4_ZP*!mIkD2n4&s$5b_+)j7`c_-Pd=#f&CUoSE#I|87@3 z;c!I`kyr4ZX4St*=!h!jIhCcuUGbHJ&9Bcy2TVILH6E_@l+WNt0R+rhf>$##(G3R|7YtsJAG%%HxvpHiJ&r}K$ z1m-!=)i~q*ZF!NX>{np7V?);DO~W+q)2?_9Xm~j2{U%CWUr_2=zV?yr(S7G<*Vhwo zL33tB(1}Dxf)|_tW>kz_kie1ja?zLhz9_BnlvLnFB#a-e7irR2LSyGnoeAP)7jF9! zfqunrsQvT_qHMSK!q8lTx}*8-1|fc1ukm1dX!;$;+U3dSyw;hS_eiRF)KFY+W~&&h|d}bM8ln*^TkUuD1!p43%JDuVp0R zi>O=z{_drvxAIh(49eC(Q3&!%G%DpR4&HB!roclIxzLZXpF-Z|hB+p;)0 zm$oi{{U}FV3sb5aD%*F|zM2?n`4YJ!Cm9|V9K*x(CSY;J)8?DSO743r$D3Y&jy*3-3g=qCrtA3?47-sv6nRMSlc}Lho6gD*kTc`!s}uN(2k^IL&W>v9w=bdbTWV;GeXyk? zut$3%z0#1T8j|ylk$kt;?Z!3f?j(bNnTXI+QC@erCTatki;?F-MJO@74~44mu=LzH zu{3i0MRV%*pMnV$WlpH3aVM3^dgPywRyj4CnOV*NZ`KFLW8r}Mr1%7;eV5kSLCA{f zn|w)K=!o`lR1Tp=GRzy_QLA)X1v3mq8B*)e3D2h%F=xfKHWNvT|#+s^@SJT~#r3(qR5NR4gKFV#`EM*zS zM#eL`R5$d^%!)FLfm|Em1#oSv3%hLUDzif=XB_3=+d`yem^cDK`VuLE-O&dqj+SfyK=q11c zR%u>{tujs$8$g1pHtT4d4(qgHuO{aJjBrld=19MUZe%3rc6>KsEIK>a=*|l7J5uLj z*87>ug6fn?9c>bj@Dh+x4p6jznq1APBv0;)O;?_T=FX46dPjv~Y)UjHM}>&q96pZy zue~KDHvcY~_+H4YM2CCLh&P_rbUJOY!kf2a`g?DPYpGjXuP@$?vt`&esvCuJq(tuj zPG43}1eoTi&)OhX{V`?3bCvy0>Vkm$ME7xOS6?CW^qIAqmS2MLXm)`zq-7zB z%stX)S9cR#+8WF6ADLagCkawao z2S5$)6a=7V+W}KgE_z5W9E8>D^XsE)-o@e!J9E)0tnPzmXIgxcUh+3v%JA63Uo5fq ziq<%Hd^XB2RPW>dKm|hdLvc$Ed0=xw=?mx+7}uFtM_pgD;Vb(HNFwjkb(m9mRNCj< zXOe3Ux3CO4>`7E8EKewQkCYbQ!#2W4BRN8nSV1ir)h9_Z1cLP=gUM~j)YDbIG`v6F*k zpjX0RPdUQQ1=$T=@V4n(akJ6%DB5|DyWmWR6aaa1GAiufC^}4gOuATAXNvheGpE*s zS2qb6(>AKnBIC5KO3>)O%#C@lRV8@BBfM{lSd`?^yvfO*XC283>WcM;F*~``ID^OFnm$VsuQO`S?`OM{ZlQV> zkh^W6(@y|i9MZxbzLu<70#o8d@-mrr(I{E2+~u@VO?YE@ip3;K_v?)^zE?7b`8SA7 z48yPF8cHLt!p`~%c)0^Ixv~I*e$2r;NnDkG2#W(Wy%UqgIN{pm_MThg{&o6gp--Arl$fyrp z_?^T}OqE*5o)m2l{e0Bsx*DXcw$DH(tXwb#eFO0p@iuH;KvGML-p)WyqEg&C2BB@k zoJ(`Edsm9`{aMJ;)Nzq0v;y_iTWK^luJRQNx7Li~j|S#J+GBbm)1}J?TVh*ZbGEi@ z;pYJBEeXLs`PIz3tB3bkrE;efafh~g&)XI8q(dom0NAl(I5E*?VACi>mdcIS_JIuV zGVPi!C4=y6y62`nhOd5}Ve$wan*>~VQqdRBEC?Urd?`BZ7h$z*GV=daCy4&>F7Cu!rL3m2yd}iIl`!CS4QxTdta#mX{>WY&$1M@2OnmoK#o9T z9qYgvYd~~aU35BKQ&T`{W@b4*%wXJ)AVacS)ziQ*Q9zn;pmX3k!f;1t$JRC$#~&#t zegwcvW^6zh1OyBpy<5N}FM{S)tf-Lwf#H?tbKmrZ>EZZ-@Fr&P&d(pUNJ{{TpyZ(Gr9e9uxEO009{wt0t%8uc8smdr+W@efpF3bFMFjUX!lKVL$TsYQDO(- zr)molho%Ue*2VrN@5Nv?vl3Io5XG?K+N*8yfqxQh3JvMR9M{b^*S`$@?dEf^G9%c? zxzU~W&9A8q85b5bE6_Kv(Z6-zhI(QfBZGsmB4;azeeWl;BYNj&k|L-M1j)+EiiwB| zbOr|GnW4%2g;0BQ3NZ9^Rq%Wu5V&a{ydib96$VU?)Me~ zc0&Pvm}KW>=C7$4ABn{^!T_OMK>T!eoqrIX09Jp2 zWug#>Ryi^Iw7q^cJ+!K>ICZx1RhR)VA9|`y*ucuJV)D z>TT(V^vhrB3(<43<{q-!bQSRLqSY7VeHU?}z*#lM;(xZrAEFq}Z0xa{YoH&Afthb@ zBfFo`pVx7g^dH!sdvL(umEFTbm8>k=Yr2zK2ugI$au!b+}x4RR71G1g_ zhjuG7;2#oNJ3!5E!iRKtVsakl?2a*#GyS=D4_BGvC(!O_?Hjg-`R;aO_pJU0(Zq*# zWM^pVT^~@_r7JJ<6KeMr^qus0^8L~QNd2G>JS2S1tUcVMo$N>Wv;QG6@euoTdwC*o z(At5&%YZ#cc(cA+X5ZxG^sWxz3}5sk+Qj9Qvn5i`YcJpSgET&Tmw4PY!bsta^>dhh z5Z}%{!|O$!`_kWX>`L$K+P?O~+lc_6-dsnRI5s;%d=u~4Jg4jM3H~^`7w%r`-$nbr z`t`T6@O`uM*zNpCk9=V4T>h(S-wJGXi9JB@{A6GB)?l-8o^m?s{&*sHZ43QlT69DGUZLmO^U!+{T)?Q#m+1ZBCR%*d!hteoK_$%W|L zVYHD}(1&G268OYxVH*f-r6_OW`{2hWFO#!w?VpV{e*U84L9;j`_m=3(W9T~kygI7H zHi2CS`PNM#nH`VD)ocg)nkkf+Xv}Xlav-xd(>5&)RYuq^H%cHDC)E-tbIu^yW&6ZL zppK#iCB~!QNT-~5dY(qpx|vlsS_k8C?=8e?F(TY%Us?Oq-w% z*$wMSR#E>(9~?_pfo?3Brh?gSj+w@gYylgV5)l$ zn>8+6eArVU9JJA}yxiVf&7UUp)hZV57XCMAPuGN^<{AGxvMW8fd=9;)5Gh+r5IgGi z3HBzpEjLeA_}zy;E0TY&-WrXW_0mzqEhrW#%l_y+XY@mrJ)7EBJ5xNn`B9J+$`}4b z#hd*r$J13U*lL)G=G4djDl4GR(mqXGKZbqI;7)~Ox*e`Sz!>{Z1#^t5p%hc!Bf$cPH4q5mJGUQOHI_T z-_2n762(|yl)28=>i4&uAXcNz-7W!B>Z4;u%yGGM_B;-~Q@brF)94T9_o4`pqPR_V zgc?zBhncv7=;ffpb;>bPf<9X|a`}gnZIGyZf@ZA~Sfb&rQT#B{L=%3DN+JJB`bspioD?_1_x9HPR-1_80WuH(##h zaeH@WO{?Lwva63ms?@F_2iVz{SIl7G4{%_09gFddh_RxNgf4wPT*t4=r)Fm!BJY&? zo=>yc)<9rT2+cizH|92G;ZE{z8i$5rVKuoxs1-I@seTP5?M7oF%uhx3Vk3uqS1elO z`C45fbfR?r3fC){ua>bAy0#FRMm5`w(L^J7q%k}W3B2kSu0eP_jpuSBoKej>!v5;C z1qybmSu}v4#;xh2H*swFD2l;5Ou(DzCz?j|v@R#+CQO84)>SOeLU1P$t`)O9lH{(a zvsEKMp`Ko{l*2Z4bnG1c3Y(pVlUlR#%;pMP?3?c|>-6R2(rJ&^lvab8G+D&Cz0+Pt z-g5TjjO!gRUm?J|MQbkR#+lb=WKq=Z`D4GaY&$%@=trX@9aU9n$W8)eWuv>ke zrE}7)qzakfH1>PZ;p6EmBlL78yKYK6O{cwxWH-l%rl;DT0-!Oh7(J8i9J-RkbLLj< z3_;?5*wz1G5kve71GTElhnL7i`8_ud>9LzgxEs6s0;%+*;**Ot^rWG$Y0eI$>Rby_ z5uFoaQWdJW_3|KgEl*}x=aH!qw|}BEwLbyb#bqbp%uodcMIJvPY=4B$wZyEk~9Z2W}pwCilkRu;d;=?q<6?{D|uO(~L&~`J^a+#-)VlaJ5zJQjmh?;D^ zv0vNAvoBbSG>J^D(>>jCSowl|BbrBHmAx418W$`@77_{a8x(f`MKK$cyx{vxakONI zWq~J%3Ecxa?h%}t2rGY9rr0vSrU!}4naIP2`l{OzLhWQ3+jT3W?F4BMnzkPAgw-@i zDhHL)&+w6ia~klp~`UOyh~0OIJm<{%^EBZ zOqJq+(B{(ean96TejMhIs9?3~p|Ye#GuhplXlmU%h^|oD;m1BX3}qe=^S{<>4TGn zcq74r$oy-EfJhJP63_;>0Yt8~ih*sC9c++yJUg8rjip8y^Wd?Azz733v3om37$qIv zBbJ91#v~~cr*Czk6`)VdUUdG8^a$HyqpN z*0UT+)3E^-^ig(@%B~npkmJC5_ROqlRlAzA@*Ul*)BTqyL8mvj_ZaaE-^r5@2)D!T z`KhPt4fR(OBnN7$@zG~g4~dGg-3m4_%S)u`GjMxadd>wbJdgYgXHoZkI;Sp6eQhDpxfA5AGEY_f$qQRYfHwW?;2myCTMiB|sUJSHH&f!$S<| z0+Acxpqo=fs5RI5<|eMYkX^@0HJjz;FZohLK4X&HW%OZNrIMu`=flLCJ1ofO;ZoA2 zqq=DdR4;bK;3zIDy)On*i>fsm)JAz?*I8A-w$gCXX|NzML&_D)IH_7D9EO+s=F3ZF z@y@w1&H3TabY2SxFS&rfHZD(~kRl6hPn`#n32XL7$@0)P4COG+p& zb3zARRzSt(k4@FKE1}tTmzcO*B+uJFEBJa%G~zgoiB}D7ujXgyv7LVu?D)tPU*j=# zXUrTK9Nj@HsqquS0>|)({bL$%+PU+B_Ebp4&q>HNSh(Cz&2cO`7f){y%0zKZKyJdtDAEePPR>)$Be1rB4rG)Ymla1kW^i*427k*= zuQ^i`^Y$LPQ8k&N{c;xF#rT;Lzv+e?>CZ`GbjC3L+R-z;=^wfje=gWr7TIq%RId`g zS-iGqLV`@aUTxgp-q@oEA=_%}jkwxZ#u#*<<2#Wu*x$ct`$yM3hw>`Ne#;Fz5iU~S z-V6{me-WVUCY2j^r8Ml>{luY82LL;GJnt9j7%hu39s~_%H&A-zIsYLjxdpCTQ6}9j zK%0jf@xpgj;+Ut6d7muJ?sT#6Styt0OZ%0QRR3hmiZJ{u2S!3jjbWW*{&bQ8lVU7! zj4@?N{WvF$=4q@Z&~q7gt58abp?g}WYpCLg7a|KQ2^sN4Z<%dHwk`f9=#xX2Q_EEG zfv=(#(;%%#EOk{eChDo@Q#4ue^a)NtN-vqB-`4s?Pb-8w>e)${aG z3ZNF1D335q-3$c+Nz-Dc(xg3RSfu{jO;KFOG@@c-7aR^?l(kCCg_+~jSLH0{Vdv~} zREM?%9`+=AZn3B;iku-tFiuQPqYAb02Yhml%6T4zw0>LwvXU`|aU`wG70@Ol$L4(; zg}U~5Jc{BVE6mzu@Q}0ZhCUk1)HM<4Pn|JS$GH9~JB%sA>|JNsrt&wGezi-xMxnul zV*nB>CmFsUW$FI_GeFG0%u+*qW38BrDozlw<^9RF>QpUfIq&&$_~V`M9p<*&f?Vz7 z#aNQGdjK4xc&YGC39~&;lf^+oTT$cQEMjVOodku-EZzP@z(EK#)=>nuO4$^Jg`tp7 zcGTxnP2_Myt-wK*Z6b|1X`St|sa#w+iaoPpiJImkqQ^`D(fJV>1N=ZW7?xWA z+2vF#sul8xo=TX!RfP`2_6L78=NB&%4uFEPj#T-taXum*s2BnRsPYMH9Wbn@P+^Y1 z#O2iqYCQP6tOP-X?C#*NgW}RQ;RWwET`-+7KX@*s!0#}22`-G!)YRnvv`wvEunkz+LY{)jd=Ofn#87z^(lh4Cepe#~}SqTMkr1OWU;XD(z9S_(3I2TT;!pVM@ zVe+{#kO3oIB)}{(Y~K!~1>UGYeGv!a4ED8@Y|(gj+(F03X3?0<*ABv+u-dqlO2#hl zIbf}hWV`Vx|9VQfYv{-)xd29@Chb&U%+X}e5Fu#J>QKoR(m4C;N#>^G-2-A3x0}}z zx${NJ^5rKtcnd!>r*1lqA71_>&&vkCvLEp8I**Vw=&QiLx#rRASo2C>`sM=`l#0^31QI{(> z(I(&WupCVKq7ecK`2B{q%Offm^ZxyDXNx7_eCQflwk8N_c5^} zgZu>LK;a|gshqJOz__uDqRTj?;AHvxpi6~NtNU82%V{k_Uq7v(hw|+ zM7T)zeZ<35`I%TW`SwteFoSYZglSQPf+==+!(gugNfF^LAqL{x; z&govShNrHB`jKoAr&>Psm3^%AWLGE?>?hUD(QWsAfF!oLrj+~)J>4#r3+893m!dU8 zrsi^|#%`#=8*r=WUX;)G_qs%%y+g#yU4;?ZZh>eSnYnukbHai)H2hjt##;*Q5rVI? z1%t2t>%g^RO>x;@zNxLP+9-Y#rDD#J1K54)10n-^2o^Zc5NU;p$;y6w(2=B5?Q%C$ zWMc{Hd0&wwZy)q`@t$uDr;{KF@gNcS(%*IZ9;gDu^?N=bNxMyRzN+*-kEc;(tFR52 z@_CXq#}cz$6042yR@j)L9xqZfyUHfOG6@dlE4`j%5jtzG1a;wh8q~JHv=rGO;$N-e78&On)FEgL)u1OBh(aAa{GRC%B6Jm- zB{0Xuz^`g<5p)n@RH1=Q-d)o-XKd}0`tV=oT#sK~bk;eH$=afOvCr%I9UAhr`;vLj9dW0Ah{8hq3E5q!EHPxg~>NAH4cgm)* z;N5pV=(Z)9WdS)dUle++yjnIyBM~3Ljq>41qd4oqgQoh-5Bnk(kw;6V)%cBeL#Y^m4?$;O{OPLw|nKT#1j*f=_$OR0(O+TUz1Q z_@T{90QF&EQOJz$hGMPm-LYcZlBgO7PuN}Xj|T9ViX77JnVzf$%RTk|_T?=@({im| zIG9E1dRlTov>8qDoh_|FhI+|CGzo=-S%ZuL(T^LsrN5@!XYdEa^!o-oPoZ0eqfAzn51t{g)3lGs;13fHBPnb0;S=p8k> zX00Dcdd=wh0Hi}i+c9ZTGSew$cw&F4djtu-*b1V7bSTBtNy&E1&Z{kR(A~XNulSr7 zxY$=|HWi1BZTOMEE&35;D?KbRN~CvRdUL_7bA4Z4E`j@vuB*Wx$=Dg9$f_gOiE1J? zDrQ7uj83v-+meFB_6Sp=$qA%wP7BUXntqK;+@MS?bi<_JN2zZL+cXz37~82tD|2go zCxj8{caQ#>2BF)O7KF&f1+uR&MFzv!x{;;Mm;Vw}+f6r?$9^HaPP(f9=}Ximkr&u2 z(UAE!c}(6x43%@zj$i%c!+~U6x~Cy5Dyf3y9ea-f!BWiYIg)*N@Ygpp^+(t@#U?t( zX^qN(4ukLEMIye=ZYa{>JRs@%R5UZNrVfrR0q!j*ZB1OkkvFaFQE7-7gx>sR3y z{27StQdM_391h}|*EqL!oVF<<*0Bx2Qf6j8=qbbLkRT2q-3$7-`pgs~k|m0D<#F0u zWkeX!`2{F?h(7b*vDntP@s-Q)C(o^EnV;@9_r2D9G6dBzlNQYgm8_g6rXPRsRA6&22}`Vc z`*ebV!^ubJnMWK(n&jY8K+AFqe=k_#!$;aqa-c%yV_?mJYnM;e>9Rd6{N+XNWN0z| zL4|T{DeP-}J^v5qG~z-w>CrWo{>u)QrF?pJKB!%ryquGX+?(pP-o8 z?H_9+Q?gh$F%qjOib5e4Wp~$oM1J8%M+tG{4Z4{g+bN~H^AOwBp5jzYd_eg2svED- z?{IajPHWytFo~xQ?Fe&sXs*vJS(3)`fPuHU_7W5I%mJf@U->ffga18+BHw#|PATB| z%BrO}Q>FA-V72L;=8F=l8U^tt{w*>i$|_rHr#9h$E#?oXu$X3AO$FuVYaQoql=!S| z&pq4zxI9>Ml9W**QfWYIkOmO)g__!lUyaD?j)5 z>l4AUj&PQ~)-ln?7N%b}gR_V2a6|VNNEX^Zms+NHi#1GKJ}K$ozaZyN2qS9hWW*jD z5+HV*GSEEhO{TBbm2&r6Y0@c<3)d<;ql0Z06!H0XW3QZ=F%5_9$5#EvHQHM}84z4&`LG<7!Ad%P)s`h1encWcN)zU%JMk^IL!waFTgngb*+b+} z1y;qqO!uoml|t*cApYyevm0aN2O>Wd$kfD9tfo~DLe;uR0c8rM?!?GLT<|9F_OyiX z=FfH3ruUNzIaWnlQ4m|?JPalXLhns0!=_J74&*DzG7^7M#lq`QGb&gYsk$^KJgc4# zMtNX<84u41KZiX~OUHqSeH!~Z{)%_k*^|d2M@X7mxq^yf&#RYyDJ>GfBUpcIanHnq zvq__cDU4yZ5E!|qo}cxdgjR0pvm6@7Kv#)o$(6vZ7^}c*fCd<5Rx}nFE^%shh z!D4w*GBrW=Bx$k)W5w=Kwo_tgP(lc964%g7j0>X9-RTeGj?H@}W{TBs+koTdrdYas zU;W^+tA0BJ9pAgU_hw)3YB&w#G?d%sl8MmiuxL3T(?4|?Y6eu*XC-A=)mk8CUCkZ{ z&U7S6%F#h&WF`sLU>iq~EH#{+M)J+Nqqhyfwkh)y6xZwXHOTj|SmOHI1`=5Qx7Bp;rC$mM*HR_IS4Z&tbC50GxT-4vPTLEdOlIGO`aJi9iV+n=r zB--RhDJHrsk@k=Ce^<@muN(!4)6ceQ2#A<7@B4(3pm(y)K&zZkje8zM%|bg!NksT# zv9zg^aAGzL=1<}+_$x~)pE-G zT&Rv=d`1&9qo3c$Wr8hrynwvcP|=bZ0{gX_o*7;%*}bfjnX^!9drAURhZh;|rfE4X z6^c+Y&6|`R@5X3C8H(SP7K*H>OUsP_eaCmSs1(n#WMD`>sQ}`t^jL5^fb(uzF znoe&nky0Y$%G;FYZ0kSbL8Azq405SjVSTmTlML-8w&SzfSrms~9AwnYf@AiPUdYkT zt2!QZiSeXeP%e20A))A?|1oESEQ+=K*e#~>0PoSNhmJqGq(x&LCXLV%9P$!53Ho}H z!G?ODaAz|n*5HTmb68~m+KaKX{=OD+)|sscwz{da1p}3G3teSX<_p$srm4r;vNfmj zA`KE7* z+z#;1JPz*Rv#lK%QXnX(AM=2*qfB0Gz58$%kpcN7Cz(k^5kv(kH`;E{5Nne)PNGHS zKA^te2t2KjKEnbS72L|=awL(}3p6j>&o^65basoR)kcP=JZ86*jh<(|toQ-YjLl^} zPf?a0jz*YL{QBB*Fzg^@xGBUZwRGeSo(jEH(^J!ULn02uCgwd~e{e|=jBLu2#~?30 z&jzXLFXU~A4?*dtBx`Jl7iUsk@JhRW4=o@ib$ib^l)QZqnPLi~cU{n=<}zBT_MG3} zBVJ~nwek>ylD1i`+qFh@x=2t`A|x3{jfaQVtq$r|nt!ePuH6~6<2L;;bXp{xOZqB( z5<*nW*xA)1g;g2>eYoSDAkAE-VnO zG3v-gVo;|Ms1gvnLIVz&Hy!`%NJ8G&)Lv04l6JB;KKN5{W+gfqmrXTbc?LWfvKwVG z6+xVc+6ah|&M+*&h6c;;ufkbGUjsiRQziq(?T8@ZCV|BLT=o&X`5`Csh+T0IO)l$qV zJvMJ#h7SdoCs`!N&yxS%iB-0jBzjyE_R(C1XkX2_;*^%8YRTP&!--Bp`g%8a)Z{zY zZp7nJS0@Fe^YIBn!bdMF(!v`da#pUv&4aBfDw~WXgWfVkeP`~Hh-Q8LkwXL( z3pZr$G5K_CnqDtPqRiKrLJP>OrcbC;qW_KwX0-HuF)XF8su3rpBka>Pk&?y-_hxw0=m3n3mgn!8W zzDhCUk?yosfsVui#I4L%boLUUYw+LZ0%Z%+^v|llAkw?T&!`-u4yH)S1wkhaDA{(6 zOx0TI1Qmnw5>aZ6=#=Yg*WH)ReAsdwzPoW+KaI+7ZJK)(qmcRvRJ>03Vv=rIZ_0RL zwQ>B~rnxq#h!)|P%TX# z`=O5dNG@vKebXE!NJ-y^p4aFax9C1vOJF6z_~r3buOXX55yfD~_hTg^B=2i+-DhIc zhI35k7p^fJ-jJ2ypHW@ZJSkp6ph1RwOv_3+mExW)DX^kCT5$v9=sAZ_PgoccfvXL5 zhs~!4yY6_qM+{@I?#}1z%pVhI{VN^hYsQ|si>2E!Prf5t#BLQRK%0wiH$Mas#mWOA z;`LC+$wl<8BOXhuMy(-jp&czujD-^~q5>b;TmT_Hc7*jQOeE*w{T4Sc0u{_QR}Erq z@0WkvxZ>$cRO8q%<$O#~yS=#IuGGff`!qHn31zLovu0#Si&~9n0UZ=Wl3oDY3&Q4Q zMp)pw67du`ba+%F=nYzs44t3H)N(c00K4M2_=X>ncfJ@!FOv!v7YaY5#~1G3Xxl|x z9JFU_oEOvQc)EN%wlTA_!}IL|9U0C6N2tY!6Gd31pSQvP$@NqkdsD|UBL;U4lDxzl zY%*K#*BPJ*Gu`)r)lYSs9e!Z@xSSvA9V&+wb5hiBsvS2^nXph{U^eR1lh$(5x*`rpq2j(2Dq%9sXkD&eMRw*2DsQY9&o79g5u||v5^tEJX zS$&n{28bF+rYmBa>6OdT@6pm7C|a0+{7^jt6}&%*9Zj&#Zj~e_PF|nwI;JjP?@9x5 zA8aNZyJo&y*nxF2COgnZ$e9MADIx-^eY&Rup2nIj!G@%SZeW&d(^v3GfHX zEN6mBq1;@8%p&3d0R}$iA5@B^_KEw`GWD`Z;tUB8sxO$)Frf|)`}E8KUby) zx7~=sZaz)hb}w*G8|=Oz%$v3;`R#p!urkWDsf(MFmqP-Qz8RF!4|*rm zklO3Wah2|FY*ram`8fYh8QEPcgzk?H??Sp%;qoURMJGS-TPpP0qJ2O69)MK9th!r` ztfA(U{0uF?^;0_&h6W1n;MM%GnXQctRcTWhLgCfuCjE{C>U6?DMu)B-u@j8JP}UDq zEys}%3OKH3mBr8AwS%A-vid^I)y_dyK1bi$m-K;tJJJ{TC9@bWhi-%5G!ZS-q zdC_OHrR&7i>fP-^q64~7x*$%}Q7PA_@1FJ?afXM`;+TELQDM{#p^aIbsu8I{8v zW1Q(Ypa$ate6Iu}$#!y{?`X*zeq ztkEYMoz$oP{;Ocr`ku#Y@WS%6*>PC?6&C{(9$|!JC&~=X&o#}O5EN=CEoX3y?5@)~ znPE#2L}pKxP~0S{&aZ5fgL9a~#w?q1=gcPSMffGWd0jJn2TYg2Xbm0VCvHTbjRo~C zBbK=)dmY4F;Docegs^{!L;8rfH{c>{HF4>Zi^Ps{hmYApn&WDt)BfB_aOpI4c3J=Z7GDR}!#3q*pj{5Th-kP{|UjKcQR(ENZ8xckHiPkg?C3cz#9{#^1{SDd`^a zG}(C=E~w~xPWN&|+1qR;BYT^jtC<_ynLbdbo>-C}wqk723AttbWqlgVlNRu0fDN#V z{}htcM>965UakmjvHuAr@wh}{N6v{$nUQ7|PCMz6jcBlxA_Kv-0rfn(RMuMy`9@g= z{MObr<5G?!d=BwLHL#!5i5@gq7B&)j6@zYhHEg~ho zh@c8Z`S$pHghsg+W;p0XccW2cLMJdPn@%O_`z%SF@6OjNf>u_xE}VxP2N0OWi0(ly!;~ z%HgCrQxR)U_6cL=kG^X)<+)ftuM{a*1NCY@)>^0!tO^lmFb=k2htkFP8iw8&(&Mtr|GJD?$s2ts-c;cYIZtd)qvF*pJ4MhaU7@2Vhhfm$G!b zm!R6SpuaQRE^NVViM!xC$tYsM+JNSBSFM*f6QdTfdhnpTNtHx}=0K0W+c4M8w`a@N z#_O++p_|&Lx!hzeq)pHs6+yJ5r(Q8h(+2Qjdd^s-6mqwuA+7QIAT7>ukgK}%te$5i zxNk|H9&)mf-pZZXv@0-o-bDFf7H!M3fXg%bGEBLIyiE%wTRok`34@ZP0ZbuuaB%K0 zIojUbk(Je1@dQQ?IT3s)cUzXxm_jQdCZB`_S3ZZGE`qRw4=X))Yt$u5!sZVs}$8osBHW0{7Ghka} z$0_g;KOjH28l9*Mq1bXXhRe3lhs=v3*nElo)gm!8TAzeUl2*;*gr9PYPB5c4;;-7* z)s65SPR~ocxiO%YHLYZUJx77Ad=g*l<(zS@gN2sSa( zg=lcxDuR2_V=JIy|EiIrBbmKzQy(l`tl%-~N9;su8PZJ`SUb@dfV1mX@+u?@QS`UM zqB`FA$`HJ!ZprxbXEEQ0OuyW(Bc2Y%`xk4&ZHB5J7HN@RUgX6`NS!w&OlHcB3cudo z;s!B@%?5gm4|dZhW^MMmKHG4p8(w_Z;mnX5pmE}v+1D`p#*bxd6wrl5a&?`7)4(;? zH1?|{UNeX7rERIqAu3*_6ZS7N%s^>Lc0q??>#LtrO z+wd~k*0Q+YWHZzsJ$$rhdh6OmJbkrKK`fBXa%{rPD%j-f*e1pAV#2ncHudxNQGcQy z5*fz!aY&I(hMgpqgyCM#s@g_OeFtt3l*c5RL!)~Zd;}jE z-}w@0P_-vL6M<6Idf#l?-4;%aIK+BK&TJ3o;72GYt zyaSA`LR-wjB3rBsGG7o;#}zJ%NUs6-sJ2IE)F0}QhdY19*cyIcVS$47VLh+Pom#6o zP03CFCj(0vsI|e0HY>ApDLGot+C~|Px}k5y?!#_}pR-AdxM6X5*<_FQM#E32_R|yR zV4ml&477sI;I)K;F;@NhhSvyCu$IP3_iI_6+s{h-l9P=uGce42k{=Hl(Www6W<(f% zx)OG|m$3=m&O;V*=(y_*ee>4x1v{6^GNncJH~8XDNR}svTU5G3925yh7V(Fy&mvN7_glsXo}#1}_Yj(8XVxDp zpsPiQ3kSqi_!4@hbn&k9JdhDKKA0z4#l~tp7O{et1@>Ox!G}f~pJ^E(9 zv?#!ti~b<2U3(sRK~7*vso)gu0M23w`eR(+y*ptx#M0$0;w7t*Kn*J-uOyJ$X64NM z<-b0;kh&A2mD%16Jc&^oqC{*jwo5iHg3K?%K11#8_NNDx zo$}1i9>R`I3j5c7>HQ&5_Ccu}f~ZyI_D9BCE#w&$uz195UEt5T6GVJ`qzK#`Ll25H z{^z75#X6k93nGz+Q70Sb!QPJn)yphO)4m3&*&8hbwkmrP9pxcxs=nC7XN;fqiqt=( zTKS^!7^mA{a~3C{V&S6K@`Y%4#3hsL(9+>So>6~D8k;f-mrdnPolnQTt*`zh6G=Rn z#E2&J3}L6|d4tgDsI>SURTL&W$|IZm)hOWR!DnQqDIG^Li@@aDrJ?+cIREia!EWmz z|M)z7cg?5XFTJZ8t<-Bg>J{|nVi)p|x1VvT*V$nWWo9QJDPQz{tRl4N z-+jQQA6BBWCf(|0EEJqxPz;kHOZ6?zQyhx%OW+_g)7De8!gNUzRUaE;YxbdmmcEJEW495g>du5LZ%VC_7LJ$M@DPlrXtC7ugqkn`URF| zXP7DY%#g>U6g5L~kpdbQ4ODacY;o^=a6N*afs3xVro^dX#!Dez7}#}+mHdkmOm11j10ipDu-EugL*gcmTpD0b-M2rOJ=2|hQZJAdT3-j#FZ(X78ad#nl z6nBa$)ZHr>CsSr}LwZ!GLcT)Z zSQu6+2Y^3-MF6pw!GzobmVQM#nZ~|%I<<$=216CgHw_B|*JTM0&j9X%_s(+Z)7?tr zQ!EEF^P3`0(K`RwM=7xDDY<#*fZ?^SK(n^w1wEVCyFr91NHKd?DYs~a@_F^OZq~OE zw=?0ugl+FD+ve=p|2j{~H6F4;b1&cvZhK&P22Mc6`qRdQ8h?F((ze~dPzYe|WSDS~ zBsr^u%I|f%fs%|_Yh8U_scr1bNon^OanrLJYWC|lEPV%$!zJP45||bb-;iP@>Y?13 zDMU<*Bz?b0pv>Mm48CzD7I5^r7jDtw^AOr+CcmR>nMvs&pxrGjq+*dhJQi z+|G@=UQZP_BtO<n>FuN&CyQ7 zCbHsVqqe4M=RhSd$Wz&qL$b13u0Yn`m zj0Q~O^uD5o-CO?h5My=ZXevJ7Nn*cgQkR4Jr%3&@)=4ytp`qba`ch40csij|%O3-fmiWMuaRvZ-};x18pHk;j3hLZBPe&MR@FKO5L z&J?RpryFV!`dK-<%Bk`ki(LFg&V`fCPQ=p9j}m5=up|Dn1#rd@CHg;M_PpG0Y0)&r z5=j?PG==v?Q&3yop^14a*W?xiimx6lx;OA1P_VN>iUS{O+rLa79eaF5+z4(K;%}jdL&5?qEY$b zQWMmLM0CKVa3=9!ks$p}|46v4yJ_ecT;!&L5BtQNup->xOEWR;A`He^wQ#klMFB{2 zp3EBNs2(AqS(V`D*YDMUQ)rdSdc+)5WEKdd;-P5Z0fo{8F+TbIDryME7 z?<0?O@e;*08kxApU|-_2w-40C{dk&6>c4JEWb$2qi{832 zS`Q)!(w2fi&ru>NHFWc%GWU(udM#fnKbf8;V)**<^(S%_rcZ#rimPIeIFXqAkXQ25 z$Ht0J_017kV-=u}rKeik4?mugsoL-Px7%(BY2KkSW0GZn?GtA|O<-sq>0;PeH>|)0 zF|G`xFJ&D6SdvSG@5%_DOh0<+k;K>0pXJ#hjF0HxQmA=c&0aeeL7Kn5QeFO}ad2su zSoP~mtI>KJgyx9onU<+OkgD4vdD7$}OR%+7_R1i}Pm2{SNhVK+K6oqxzYe-lYj}uvb8O%f?q%oU_kkkV%x(HK5kC0MgVZPjO!#cXY&S=n&Qp?rsn{WW zrcp8-VDY1|{*yGTE0cZo2w(5!K*1!WuG@1<&5%<`_LozHRi7?o^PdJ`341*G*DfP> zyEdwkyYGvey_WKT(8fqNAt#gpNrUdFR2O9S)-bp>V&gU5szpqd_Pa-nm1$bcceDJ$ zO!4cSft1(VzV5I+Mm4~EbpFbb%by~nRq(JbxI)|b0+#Jmi&R`8PoiJqHJ~#>#1-0F zl9Bs2_zi=*kLo+b5L1vrvTTGaN2$r9KNftU{!ajq6L0K&8p}kmTcBuid%GvOMD;Q8 zYwGUhJ@W%2$26M2#!~g@#@!G?s;@ot;zo8r*SW`q*#Th6hFxRv6IA`JTh)Wt{Ew8- zUC95K?tR1Mv^)eivX@YGmIdfE+ZR7~z2%y{ zIr@RMr|?< zWQy7qs)P03AqoWROf;Dw%q)|&!qR`LNG(5NZ&1P=z=xCNiqunQ1Z_|LCH=y=;+*DM z^Q4{c12%2?SnNk88%ak!jMxA^ZNZnB@MQg1V9Rrr*WTq`s`W;t6~W=1*2=OQpPkwv zE%@f}{wGG#f0(V~6j&J%_S=K`Nrj`sqg)~rmV`b*8vP%z(J$i`Vh>|Nrv500{|&5H zp}kb{h5PX~apOH>t}qX}Fp9J+XG#G}?G(QC;>lLmFoZ04^m6FKd-<0Re!o!IBDJ^b z_X!azBml5n{jR3!EO;tLr-&&wCe|-jqwyBQ=7tkC8RD?E8*bV`%=hxcY!+)Xd&i))CvZrydxb4&&F*SbprTL& z$a8f!_~UWk4zIJH4b|{egsuFOZf=qHvqpoKNY8bvbV zUR3S1R74P?V^(mp@x-psopt<4|Hc^!Eor8IEG){9eD7ML^ZK$pkvIr(<{ z}lB$Qs8nu$uCYI=Qu>CGY9mu#e zzqVKsK;||KvEKHr)K#<(mE}gZzJ~5j0w$J!B=t06SS3ix$tcuMUUK+hP)1ur=gzHe zL~vt%>={dR8+Fr2EZI7RI1}XflZ(wm#wr%8iCp_{Z@u)g$VF3n;m;>@&z!_hU-J&G zK@oryr1wP1IF|w(DFQqee5DHk*ekWB)VV}=za3}Zp2I|Mx&C`h(Bg3jd{RmQ{0Nxl zJRD;Eg+xMDM9mNUnb^ekRk3iZ5{tRtw~z7wpP!vy9~FjT2d1wCzz}!6T(v-D2NzK& zRS^ac@4@A8aDa82ml^_>&Yi=*MWSWzcPPKPD^ZpYY<4vZ=gfphYc8y*hmtLW!cY0d z`Al4cuTDTZC{8gf&MArj4-tB2{%)#>9Vr)ThagQ{FeX0dy2x=tx71h8P(MKY2w%FP zam!OX+n!03JhJMZ3+GyyPl+wgVOaA(*7I+9)Sj3=IO!wOF9w+V>e-s&aE4eG2l-8_ zndd}pJ`j2DIT2YS1@PMul$I#N03Y6%h8BA$?8nZSXd*rNL?9GO0)+TM z(iZNKdQ@Z*s*%Sg?$U2D;y8c>VQ%O&7A|?j;EK{o6_Wy`rUwn{1MB*uG9zLL&Qr3P zgoo0zF{O1-528}|nq0NIq3Z-qby7XrlHa5Jy7v@A27P988E5s%m-MH;exiJfwlR*> z&$(>>1M8BL(fDnr1L6P2KYw6=^{&x96&6U=u!USC03hBg;Fwi!tb*pjOYQ~2KE@9Y zAQQtA3>%pO?~1J9N*OlXY4ohy3Uyr39VdMK&nJ}nbTAp|Hk5)#Wri||Z-N>_!@S_> zxh{Yg_vI6h8b>ej#Jko{7DAcq#v|89|g3b#tCmr^J`l-dYZI#RpuyO-oK zA?5wiI6v7zcg6gBaB3O)lY#GYiJr%9(-ubQD$ptA1QSm9YD??UeH;rO>at z{Iy?sTAi?b1ivzCjFqQ5=|k$UAU)KG`hQ_(&v#>un6>&uzCYkFl{~9#>F~V*So~;W z&Wb6~Whly3m*<&#fOv3INKn1QWP065JZr}Y9{ds*8O-K72nIr{|4Je}9LA_-uM1@A zt>PY45;}o_p~v%WTgFtzvl213gP;H8lBDHu1_Q^kgEN+W9~XyS%=KSmP)B&7)UV+ z8?)K0KK6pvvT;9x9q+jygNO-+Egw_i8(V@ZPdfsvTx`;Ne#OEJvT|;L5Hz!3!Eb&G zJlbw(qiIAlev)_pW;dOTqSkxqz0HJ6Z>U|eBBk0E&t@Fw6On-wb|}m5SM&bg?dq{> zOLBtTMqkA~Q1O|kn@Ek8V1sjFg%pp$r(=TTnH+wm1+ZGNMhq;=@d_@x<3Q~MEh?QL zlJn^t)W^DQo?!3V5Hbeld}RU5l?E?x?Q=R=9)H7pwV@1>`X~srl;pnrm2c{%?t=Kx zHeUDTZA=Gr6q=Y2!h1R{po6+uc!!7pB!QMol_7#R zOVRnKB}L1`u=PIYK^`^0K`30_huaKkqPVMc#{#E8FtY-vx=V%7A-w_`Xvbq$P+asm ztAVxpcr?361fB9;?)X@TuBL6LBTo_j@1AB}qSh~oZdV{@pM^%q3pe|bta={j7${M* z@(ZrVE*x~>hgCa}iu}`r^iC#cO%wC~=SHU(pig{~(BPaQ!LM>>s!$Ak1UTcY7bO}9 z#G{cyCpc)jk>t6g8Wo;wdp_a0Sd?O4D(MaTGqYqRyxT#n74Aid?~}rwU>d_ zLEKpxOK0(NCsPP<&@O`Y8R~ z-lLv{jQ2yE;wfo$Wg?GE>r9lUhmA1PDgdG7mJ2O1l{?F5ZVjLSuAmt!)ixNflz|c# zdCJNSj2UZ$=di#>OBQ&2(ucwqeL@W+i5A#%^RcL*D>Ifr|g)tq^IvdgyNenQ&u zh27K3`72liNuG-w6v zQK~6aWuqz9X{x@ETTsjX*bbMpHO>lJ^n4>S#hWv}n2hCEc zt~`~K5tJNvrO0Yg3FP!VdwHjM{xIGuwGT}^&($1U)m?l;k#v!>WcoYYJ0t`*L9oTv z7ifwFyvXnx6xKr_PCFQGZFw3h^V6wvuV~LXP2hsh&cY(>l87bF@2vvpPlQ@mMC*UK zgdF!Xga;jMx8`XphmzblC1ghWOv2OA=J!KvR*RRcDNq)F%AIYQau~o`kX_y;-O_{h zP9d=yqS+yRlije=`GpX751n;jkoeW}u$qgJe-hZ#JWDlC!fcIJ^*mySEcN3pCGe?} zybmr<4j}{DZgQEHCW=4lZlsR3>T8@K&_cV#U%cM%$A7vC}Q)rvJ#an9h6G} z4#gd=wXJRqb789X0L>;@+*#W1&Uqc14Lfm-MWOjT-a9VWE^rg>7X{nEsPN6DaA@Xn zxNkMi_!bjYGh;&Xxm`e#?>f{2#22O7%~x#6-VpHkHzW%-X{x%#KsFo3pE0g_zwMTT zjcah*^S%^Yz=7T{nEfK?HjB z7c5R1ery(X4pZhDbiNFu>TYG})jE+Sc*hA7AMMNh(eJ=v4{Z=sIL~CK@>z3yKfVdt z2hWUW%y0T+4Wre2J}qfo{?AjG6IZ+j{MXQlg^*-r9_Cl@Y(m7bbb9=$^3}i42Rs9W5mn6df)w%?00)MF~7wl3pkSdpmgT2 z$sD^jr3Kgf=UxeP^x}j~l zI%J*DLJKIg!=KcjAXvN*oJPfp;;GL^`##_qz7c_ZCL^?SzS$#{U+rAS6bL=xaSnz^ zw$6W$4;92iM6&v^V^#am4%yLeLzXtGr1YNe@>Bup$P_+Qm?Zrne^iDTruZZF`$4*j0uq=QsPuhV;*oREv z#};Yy!eQWRsZrXz(+4>{z7GMMePeKCUAt}A9oy(m$F^(ZP=G z+`LEi-8$z~-MYUP_guAB?HY4Dm}4+!nzl`UjKXnj(s#yQhREpzmo?c$c-ZNZ%rG71 zpq=W9BQpQ?OMtX)?h_IcA!(#^8!ffQM7?NO$YQpUBC$a`wL+L8gDyV=8Mq|i1;;63 z)-avWsI$v) zPIqcopMvTpbr|uKtpfBCT~lqKSxP7|v-Fn0Gw{MdVKRmZ(R9&dU!f~|CFf;3Lqi4P z)Zt9JRDfF;bnIL@FxwxIy^e(;lKjE|R*Dry(nx@g2&a4`%;R=My@!W>-v8Z;JH7iM zyFWUN72#TuFA>jY$bn&Wq*ccG-FMBXIE|Wb=k!QhC+B$ASNE5QsPT8&y_{@&z(1ZW=DT=7{ZDs8Ca4UuvgU08Z;pj5;ZDz-$~kU-+H=x$M8eGz zlP++rW~MVC*sSmY2dV;7zkwJP46YV~3~j1t%B@nhd3MOI-t;tvQ;Zd4I(~ z$r!NT?VQnS!m|++%<1qV_Q#1nmaLGO`H~WasopFEmq0d#HVPppAu$bj zXRY1R=B74@8YmkOV(gPk89P>XaF?kI5=FE7=9m1plA5Mlv<-zzbh+gHI_&~ zca!VHpcRwl2hMpVQ;KLpK|_dx{aOG~L<3b2$beBSrXmziMF1m|i9kD1OsW7=JQV5v z^xJ;l)&h3BERC&t^`x&ddG%aYLFv#p$H}`5b`Ut%VRb<$qZB}x<7AG5BZV~)Ll8ou zpfm{Yb|d*!5#(DzWzl`<<-ORoks^TpLABAO=boL!iUfsw>jEib21!;57^4y(_>Bfp z@I)s@Eekyp@LZ@3Y9!GM5-IQ$5X4-+CBUAP$(7?i21xl$uMP2=l5#Ba6XE;9EEFCL z!XJsqMtU?h{d7moW-6$7_{``pFpq>K#|~e$=Sj7rQojqv=Q2aTwC`F zZbP-5M)$rsu)gdQAiTM-fGDH9Tsr%Sd`brOzafP5?W#Ai!J!8Aw}FZ$VS=?)7!ijf z4n%^}M*-=?(o*SCun=!U^SktEg+sT4|A64TFoB4kUDjTB@3JScZK=&+9^O|9UDYxV zNG%PuSsKooLwvi0eNoB9fC>GLYR3ch=2ex0WepN?Wfm=LpdAm;w7kH*m z4d4zVo!Mq(gZL2_%ujF=fdsM(P5`!hv7R{tep?9}N1Qooy~k@Oc)VIGhjW4@4ro&I37E zzP(HakJqYEk5Zf2z5s;6F14~Q(YK<$Ze9U?!NXe<@W;g^d?zk4{sn?YNdh^7ikbg8 zVF)Ait^#>*sqO=Z0!#iuk>W1(NxAY_djk7O0*Abl+b&%vJVXex3xKvoj1TS&=bQOt zoAe8Pvpf4pKKvwj@>?q0KRUd}MgM^OL_`Y_^?-a2MtwdFC3D=yl2Qiw%coO~>~~-p zWiRra|B1Rd{3i@Yez?u!{S`%_hqH`7sFDH((d|Z=n_uh5$5} z{{x(;0_H;E&HM@F`3YALN#u5#sF}gO3P|T7F**iHkB5K(K^T+PmIw(YUjV0r><_?( z`%S{2&dmTp(1Hm=P|rS7>oFz?s!zOx_&5&pB4*n56G3cY@p2S3_I>%IH4Hh79|Dh= zAtYF@=S0Eb_TIOh)9v?wBH*TOCgENP8M+^$X7)19pHk#NMD&2#R*t8*H2P$}pyjG& zjd&Z{p!x!R@%)9jF{Cigs8skizxdnC(@r}ZxZLsr6_GBcY4U>-ZQmPD8M3jey?%n?X#6V-Rd*Hx?_@}*v60*kmW+qz0pZ=6T*bX zsVx6?_7h6vnaP9b!56ZA?x&VGp7Wm}4D*9phnLS4_oiA-r%k3+16%tfC-i$wY%t$szFzHRR}WnHJw#ll8d$NJrGV3=)5$!>Hc zw>JA1(l58=(l;j;_9ZDgVc+I&(`SM7SO6HHaKP+QyNHv#TM*MTU0#G%BY((lLlvcS zw#P7Yjl|x!m|~UIHV%q`*6(Nao3jk2PR z=N<~&7ON60Z?m1bJPcR2ZxUFG-2op8z%=6ciK2yBF7GND?f0$&NM<*lb*0pO#Vodq zHJ(}t+wYeft@`<;GU(?@GUWwbposY|NnOU9Cm}YH8`9j^hn`xhyK@nW_F_*LY{{7n z1qgNz3*%wO2gE<>UY2_{z3^-$-E5r7k2l-}BiY87>X%lHtejsHouoWfDqYKyp;A0= zrIPPit3yMeZ)AbWQZ`8zu_kZqCO&;$X^A^aW+g{=JieMW*0cL_j}v8&bIk1L)Num7 zjLwzmBK|f`koUKZLZsi-d`$<1hTFI44pt_-N=6zHfu+mkP!2z9LlCw>qV+N~8@!z< z74ckE<@L%w7tAjF%X|2XgBYaddQY4Ar+q_OdZ%2P)0c^qu&Z1fzd2s)YE5I3CT}j1 zjhnr0D^N19z!K~047~x(RtUmKUr~GThqB=3TC!wy#TBPd({iH=vq^TzLng zmjrXUki}oOG1&cG_b3i!yOi2!T&ol@IrcLW?MA zBbTAsjVg@rjo~uAG&4bg?mgMbRC{Ss4R2%K(Z(W75Tx1VxoB@8SpQtah z^)Tnd)s5*4TO=-6sflk@-1KlX%c35K8HM?n9D06N&94G{V>vfgM^yBujORzxZoa2w zC+2fu*XG9R9{9_)*E1Y_Tk-M0yX$!M9-$I~s`d z`fm(k;6m5XY#5%6eCh!#cywSmM7|GECtGHh3r z8D)n`DSdUWRr6io#KH+vmq#f8>5V;_|7hLm`{J~cj7JcPcTqJyi+BVbPdWy#!C~Db z*@^2g|4r8fBb?K_hO|n|)z=jx!p)O5Kk4lbtRrbV%CwW7P zaM_Jdepf_GY*d)E#e>m<i>V`0Fr0@e%6v4{1{sTuKq&0=!`P<*+#do)P$z3%48R1Vzy9W^*i)X%oOBjp*Pu$!9!^UOH(lMV5_o1L2+kdn50)t}vo(*SqCb6!%rio)Fgp9n96<<4 z&_04Vio46|SF9{(Ky{Wql_}FZ!hg=0`HtlCY_v(NN#O#K957cs+8ocNR?QVpqC($T z(om~P4_|2k5CZx}?twn-aax3XSJdWm7Qw@ZmTL?5wS*Iyp3{0t(Pis#GM2S0#BNy_ zA9ar79eWywO5%nCtGeY19o!G93;V0~JdIp`(tO`YIQy7nEE6ToB}UEbsAiA%R;=k-6bX<|LztX)nSu_5ivlh!2CE*m>~V=I z(Se16W}Sr(fzPgXCpgpT!O`T~Oy`?(I9G(vO-2LOCz~d(L+knuu`x_T(7Z+u!WK>` z14JjchOLuGSczKo7Sx8DvQjGSRmX7;?ql~JD}OE<27EDO{`ZJF-T}xgZOOE z2jLLStP=P%HevRrql$GauaJ;{n#ZRte$1lcr&2x0Nezk#d-N+K?k<4eR+Rj>*S(o9 zYqO7qNIN1U^*w>vz{X@aaTW9yi`DxK|2$2Nk)`b0=-IRDrUJc)wv4$zu$Jj;dWLyy zQw9X>rxdO+5DG1w#4WPN>r(N=1q!FVW(B#)S?JA9BCBfT1Mwj=9J^{pMrw3#mO-=h zg1V~^IS*xBZZC|5r};*xQ3V#xN#A+~sXwdSmVk6Zki!8N54ya3>O zi#;6%*qO&f6_36mFsU{VRPa7k9HYVcUXkfhtMxo-lr}CNU&lI zek>qn!^I1J5x)KIK{b7EDHCM9TCQrlkp%FV#_5oG$&yXd1xB0@HZA|zC2);lUC9e~ z;Un?NxETjW->S9N)YhmdkuBAF60nxtcKg$o1X0)~b(PSziKCmaiJcM4-PqcAna8n0 zO|G({Ns==V3mZhdRoLlW=(pR!k>qW`_$V83i%=#h1Fy9iyXtnd>Py2h=V4>5DOSbC zpW(tub)Fd34qpgjXVWK8W7uO?H=&lP{s9A;3ONMgNF+#_MXX7-alipR+V%au_>S!> zKjT0Y{?x&f15R;)n39-QCo>v7N4{g~MCT?c9s#Me_8W9{igQFyqCt@9Qb6`YA%XQ}btSEJelt|Ff9kl)6rzknhnVW{p4 zggA=3vWmuOj~$*hKirX8^<-C5vfkPN&WB@wE(o;;#SjI#Y};GD-(Zr=v?}Unc$W#Z za#9^xoV@MFPpcCsAKDSW9BG?*_NQCT0JPU8&ja!3b&Z)*30;GFt2{v24)w*QMK(Ly zi%-E1gu)hB3F9Nj?riEz#^oAGuNMKF#lrKcdbpN%ZvRI33k>SNr^!G{MSP|tg#|S+ zm2RwOAJZW0(54>k=$>xiQM(lFKH3=DVlsuiv{=HW^&FlP6az(Zgt4XloVF)xzJrNC z`-08n6(u;DHfc*0k++2t)_RzyN;=ftgNWI&$s4`oQbZh8yRWWFrK`0wCH z{ZfP+IkCa_$xkc;*j;x}GdMfHDQ(?wIW@;|vlC#{y1(e1mP)V7mcHIH#BeUKz`3AD z<;BDN8jMP3f6}~6t22{H{&wpSm~IJB&NwX$wyIGd=PXsU={xQ>*AE*Uk#(Z!KZN~* zr}X&_fe>}>sp$VIpFmIY>Yrd`SRc;a7UibvMvB>P$8kx8GBeus{qq~P-FurUHF zx%wA+YYF~3O@4y09xL+YBRHQaSnH$geNBT^x}QD3!dVyt*Uu}$Bfm`@y@=?;M9!vy zbk{+xGj$+9o&ek``95oV440?@W4!5X0n0<(HJ94_OGEM1tSa&b%+>FBt9^~|X){WF zMQ(<1YGJ9gBP6x?%O9B4N_!1PAECV zW|)fID9b=KUZ&gvmB`jLJ6>J$N*-+6mQ86RyZE0jO#vGhFP3K;%mzffvyGu7u(a;d zLTn~`NIG}7`^Y4LC4;Utt>fyK_D+xGK93;3{^lhLN#b#4nt9CjdP~h;5>7&^18ev> z3d;E?iXO@Dy831(r(yq~tdQZI^r^kt&?vFsrzjBV?EGQVivbam9j*viH%+RuoxCl> z6XZTLnR_lBq*i9jhPAWP{#LG{X(jvkaTS%^u$XBiDLaF%&6IY$f-fQ2sab4Djv+8j zRKj$xlb||swJ&;c@*OB48ZtV~wB%}btoXLWZ7SU^R`~aE1qMZxI#-aXG6!s)QmbvT ztov>uy6tJ4Gw{e|7zUurX|bnLaZEks#M9|J_4fgURQU70Mo3RVHxJQ07+lilwQUPi zMW66^FB|7$tMhL}@;I$<9$CG*oSDsQ-i_k2n4;FhD_zI;L0B)R8_ucjXzNDmwxXGt z{p_2CpI0&z5Q)O%uH;G;x$@+SvD!HE&h_9*6c}$&gW70!XVk$BQ%?w+Iua2%h-lb+ z(`S>a@VMRjfZ2Yw<1Jy$*7;OZeYo^>(@xy57fEKuvX=$R`yNHn|%npoek_qP4Q~4peqG~(6 z?G;>a zG3r%H*Dw4ye}X)TEGl&cfF+0ULv|oap|Zudts^f{VF2DpkSio0V>Z(-lxLW|3B@AZ`zqBQJ9|;*q5f zfQ8^#x>$Q7z1IC{2hrS-3dH!U4aC1lRG68e7P-Yh*#OtX>2sTM%L=XFCBi>tvTptqQ2J(-d>dM}N%Fe<>nfVNe)R!TQqU&M<$e<(CE`aB z_24CcHE<4T%a8)I=a)6V<4;DUq>rCo6tp;Le#qHwwvs9`y#m1J#y26~7m2y!AR$?) zX%@7;iwHIz8;mNw8@UF>#p~7g24*W*?#_VMUR~lR)*2Y#c#y^TUkO{l2~>q$&6wC%#R^Elp!Z;DhuEkKK8to~GX3?GY?!wBzY5*9U&FL@R?{BR^`fGSLK1N4n z9G0iQOy+genNU9>Y7!79650yPAdN+wz(6Zcl*O)Msd#hB-A98k$&@*A{IMND5M?Y3 zCFdCU^{5gXAF(B39t;89u1{L|VT-`lKqrkzqUNmQq=tPFbn8%#Ta|^Lkl)V8f~9)E z!aa0Kyx19Ut#ha_w`1wu+?{@jDzT9;h=!vuu{pH8#V*B_mla7$qaYm-=qL8j7PQe( z%_-HIqzK<|$Wo|m6;9|RzU?z;L3x;i_@Up8L1B2i=vTopim~7%{b3_mMRLM8L~o>8 zzeHY;%+e#BRK3uyyGNTut9?e|0c>r$qlsaRo$7N_^4#vx+CSg-v+luuD_J*03clOZ z*0a=9^1Fj?4^;LawX|S_#u#6uac?JK&Ms1p9JBU!64v;6Lk)v7?U0J`CQR1`qo_iP z4&A`NM}ahMqFRhkRTCt!8QY&GL(>Z`3n%_;LiFLu&$4KJjFp@ZduY24inGzIOwpZ8bQZmD#O z(ri-5*a}uUS+NreIm9JV;yP#N#sKnRLVZIUp~QLoZ@u0f^mGwS(rYI3*%_3}Bb!!f6lnO7Z0qwYES4gPhb&y77~46s9e~g3XBP(C|%)D~8pL@>?!^(2&*QzYv0aqwiFz zOd_h!Jz=;7-hnHrA?HF$ko`GRhr6M4Rx<_%hmx<63UO0Rx{X0zqqjbDjwr1f-I8L; zt3)ki1$Y+;v(X8zJukitwBKI3YvlCi`k&(87ljALXVNjFSL!Fuqo+Y{QH2HjI%u*BE zD?WRA42iE{*&gG0Wn_;rFWAuVRJK!SN2vfaN->uts3WZDKgj!?;c7hvs0f!1=$o`$ ze8ayV?EGXhaF4Go$g!hqGZp<>Pgc)_;bp#NDkZ@w%Qnw-i`WsV%0CFuUhT5I9{BuF zI*A8wZbw&iogT;Omim&GJY<0oemuPdf{$j$731<2P3A!@RkMM8n-;x*JA)I85~WC1 z7;t?e;WdFqdXuMbFTB^xPmpS=SgjHaWb-_}m@iJPKOjAwz$`|z@DcXh;_bRW2^lPZ zwkYs0Z=4x3!$G`?yv~2(9ff+=vK8-rq)Bnjq~;e$Vx3IBofD=_9qe4j;dkN~O#^x_ ztA=#g=;RD7m7Hz< z)9jFdiGlg6xF%|0?QG)swY4^IHW4;4vNQg=Q^iY!LCHONl$b75J-PF z8dwa%-h{~BnYQ+9y41 z?3=XaZ8HQg`fgE;8}b^wwYA|sL`If|p6yGXrSWK>y*sjJ8ym)6OAY19ZcDQ@1Nv2< zPGN_({hlCg)zSmKq8Zb{g%S_Vn=F98!Fb1dC@-WUPM_?zGBFbw*D!Op;l>pN@cnbH zPEou4Sg2R~?p+wnh6fGV)6Q7xM{TVO;AN!v11m6?^+HQJ^RuTTxlYSjvNWq4dMGeR zxTs0r*D-h(qvc$7oETvRo3BnH{uZ8~ggrp+ll|eiJArwO)II-&V{nj*RZj?D?5~Pu z=kW8a^9OWkYSoQ@>ad!p9%7kkvaZkwQ>9SB8Nh-^TK~Am7%Uhpxezs66Hi*ih8K|` zCfznvs##%f87kRC&I|9Qxe~4J(?g3qEYo&&D06dI3D#bP1Gj~B@BYpLQiG=}u;!dM zPM3ItfqxXc*Kx{!=E(8m@vq*B$tqQ7q~ppqsJqzk4gT-h`m1fxGcEy9lu1>!hRm9~ z8aK5K>7#v?ib-~-fchOH*agtanQgf5;~ujF8P|VKvO;3@uoXzl{0l9;M^1LJ_e-Pn zdadUUyi<&>QhBF^^YjuA(+-T0G-Aq=o9JrC=o-QN8MEFH-WdGo)1}AJ^9oPQIRRPs zFOV`1$j@?*he~_6B#XVyjF3dcK7#Wr6-b3WE|p!yCya;1#S~13_>&0~KnpOL0h=Mm z<`R!J0QDIA#Lrw1iowa@&HdJ>9%l}X4g15Iz8^{q=9UljLU$YHKlr?TAKngja>eNA z>YBvg&yHKzO8Y2Leute+hc-HL#?Ehde2V-MxU5umbbNC?~hF;>X$w+xY$sF#y2#ndZ-YnBi^S<3U zHF4JhW5hF3BJEUcJCc8L^YC!-2H&KXuCLi_<@4BGfAF7oXuY0vriNky;!dff{!>8{qEh{ecnF`8ET!<{FwG>_B8aa}(%I2JEq#!n@%zMX&~E z3>B$qpcDcu#4Kf`;bc#7`+lZ`8-?Nm=G{*Hd#tK-$u*mcc`QeShi`%v<6a;3oNc_c zEs6@rT1_~#-Xd>-&sQ}g-{w#Bm5tv|(zfBmAOU}$^Se%HNN?wFoK7MWwO$3bwgEnV z1$yrfdcJJbUz$tpY42YCUp*V&y!`ff$08mEE=^1=QllY%i@Kj|?6S?+(krEfeOY~|ML2LIb~xE)a1d3ZOOoJtzr5csQmoNs(+NB%Y{F0+WP0mXBc_)s3Z|n zp#K(BD8qea|1Vf)Mx#1N#z~VYqVyM4SA_MmnO{=hN%k1V+dJyeFuYvH(Nx<(jaEc) z9E8X)rc6HQ#2Ge^C{Acu7C%dhNV_~*1dR(MxkQSYl8b^3R8G661(Oqqq8QSv=ZKMI zk{J^#o4zqxIQ5yS=i^4A5HWBSsv6@wlT&avqcES$;xv<%ur>$U1l@z82>#^=AIZXj zN{NDo_!9|*re)rhB<5}oa#aT)ghH{nl1LsqcAg}1QLK&LNM9nKH&=2iqIys%A}?kX z{1kW42bWi%MQ#X>e99q=#LH&FDHwt7KM;`0Gop>NXeI>fhBT~&P^=bo22nV0A@HgL zHmEBaT@HHJl;KOUYQdX9R5+22^?5oQ$dy%-LGOphBAs6)Af>HfEUwW+Dt3fp;d8LL z4-b_~fvH(Dc#3J=1Uv*O8#S;@nL4{mrLn9?JEkD~lxPH3eZsJD#|MwFjyYQln507I z$YZ{)OsKWu(Z83Avh7krl=4JqkHQCc4stoRQ$$7Nm6#+|ORYdPw9F=3THJ8~UB9Q( zB3G<*ZXu~`I#s)t=Y+JUE)G^g2V06WpiK~W98=uM$jEkf$1MOFa+Oo}Xf@ZAX_53- zKFAcHdUZE@HsVOLhOj!Zz!(}Ovspf8JQ|*)$Q_nMkD;4taZ1dLNSS@qcOS%Q+AtCv zDrGP$8B;{Lzz~5GZu#0}td%Ru@C+Ba1a47QCgqgZ&b6VBl#m0jTO!`GWbg1t`&^v6 zkzQrG#Q0-FypBnG2R_8ez9)l3Ctp?GI}n5wl7)Oj_BosJpRKQoaV%1Yf-9oPR0M9~ zG>3ox+{D19mKU;4T8RZXps8S`Ym>+oQ@t8lKKwA zR-&zqI?c@swigUv0#L3PMFUTse8705|T3kikt5jZ}Q(ju!Fb0qw7Uj=j z&m)y?c!t+AE08R>SgoZLCoD8fQMdy=sJlk?GNkWqDP#9N2BQ-lgkvw4)}t6TLg@dw=#LCLIT$lE|#ytzI?9>bFaXCM`E8C_;%%XLZGIF84kns5ZSsU zhMh+S*%D6Z$w34IVdLV>^YVysMB{&R2TNnu1 zxf5u89n%xAGB6UbaWE3-5YWjRd__Ob1Z@Ab1b*G9XyRn&;%H>zM8L-K?`Qr!`jIy< zGx;Zw`Fg6Ip(V^e!~V17=Kfz|AZZg@GiP%GMkZFqe~o|E&NZa$s9MptUezWPb>mVm z#o}C%3E24A1dzaWnsnF`YN`#XixaXk-(GWs5cHUm@YXF7MEt$ZXW2r30&aJHQ8exv z=>K73vwq0@Bgc9rATFAGAIO@)X%=!nKk4_a?!^?Uge^+)^6tumKaFavI?2~QgK;nk zD~D2^oif=0UbI7>hnvqzC_1$SQX<-MoZJacx!(>VY$nNxW^xDee#vsHU`cVRD2ax$ z*XXZJ6H5wCdGpVt0E%V|)5+}4h>VesW0<4OBb2?lSG^(<(47JA7M5hZGp-d7tdIm>i zFliIZH{{ErMR_*m5N=|SHg1(ME0sF(5wsk*2@!B(gQhyi5$X`l5HFh?N>GIdVsb5$ z*>Lk+oG7oL{O}s~jq2B{t)9j!*wMu?G8>LkW}VZIbHUN2d&$v7InQtsx+T8Rp3L@CISlxe_c@RM}Zoz1u_Ce)a2bZTJ1xB!;45`s_jqjFISRIg>gwY0X$-@jTGB zX$`AiLJLRZo?(x8;Ro&H0s@SpO9NO5t4bS8gFRt@F6gLy+0KD&b(NX4_~r~{=US!s zl0%b?r3}bRB_z$x+;z>OB0FcLhy{!Ut0z5-tj9zFnhhxUB?_~u>DX?kJCV36Hn`CD zU&d=452D07%2v&EJJvqt0>Q)4eK6G6m`i!8${fF_kaporb^=kU;Rtehc{9h2aBviou_vwvqz<%SF_255|&bQMTVTZ52jw zT62cFwvGlib|HB=ToIQ`JmA*d4Jirs*glLtdU9NV4h2rjAtVk-6OOo=HR{D$L^UoL z7b?Kr&VZ=AO@BK%Zr^hbLWGXgVYS9RZZ)N5s7BpEmEo8c`DVQ;zEUbks!G0ZL%%%L z-Wt9$smcCEzu(lpibi5NX`uy!QUROYUuGN3VFrwgJ+jx@0u7;t0*~t{i{ptE9Q{Go!aCssA)X3)8pUIU#TXt8EZ*2O zJ@-U#&{)#P#^DqMC%G|GQ!oR*i$pHcAi_-k`)@Ga&z+V(cmA| zU3RBkVrv0C@5hG3cpz6=2nPBFQ2z*fH8mM3H9&b+%>~F8zwEBvL0QH>cO%TPzmH}Rk$7*Jb zlC1fs2(A6qzWqPf_xZ4wuU2b#r==Wtn`lH5v`2mn7zX*hUO9anVI+KP30Cm6QQyIk z3T{%JS$NL9Iy_!^Z)~BoQPezPwhB{pzdSr+f!gz*Uk*S0@-U4wL*RzJ9QyOeHagbw z-rg_gn6>jYbl*q4Dv}S)=LssKt$z=_j7D3y{#IP%x&fytT&utRYl7CQRb8LQHm}zG za!;tWBhlj-qMf;e4QAtp`d%t4t#fnPDqF)Jf92RLIvN|Q^i-yLbPW2}GKwPd2}j$V z9nWoD3D5P3dG$NI|M3T!9+-RB%(chQpHs1qM^BXUKXZmBnag7I8?uw$p#Z%P&TH@V zwzCWyh=okf)Kp%aX}($pjH+dFdP`>wLNM4niD$GH8tE z92ScDye2S%QdokYk`A34w+NJAIyO`%uf3hG;)$U z@A0~j?DN>hBNOZ`2-%q{FwPMM%`~PB`SfJL6;uD=#()(X77Fn_@DKZtE)oh_Xer}s zfd*0CeWk2|)9(Rdgc}Ppqf?jPawu2F;?#f25caL|r(BP8s0YX)ojSLrd%U*=?)69mN)&qgax#stFQ?G32-r|J<$L?EWRY2frm(Ch-F+rrjMm8u?$xaT+T>=-VF*9lNQ1@S8*~>Ul{( zs8Rdbp=?IwE!mMzzZqnQ&WBvtbEg(;f&&BlK7B`lzQfCEOTTp2&hANKw~yF@LFWhh zLH4w<=;R>D6fDPXPBB7E8~cB^*U);jnOfH_a(TzQ;iw&JfXzXTAMHu?W(G%dpi z1!ZP<+HC2~!{;5qnLIT+`b?Y4s(jLg@)h9qyfGyO`pR(h%7`cg>zPPOG^?DT=&gJE zxZUbq0v|5^8{7Mbul*+j6R@?l`{H_91Z?d8#@W7T-G324=6`2#|33uqpM@r0l<eB02vsWng1^W*sUrRyUvQ#b6Go6&4^@067PxsI#NQTS;P;27k8J} z+{UUMAWPVES>^9xO+#boA^Jh0Dm3Z$1M zk`EO$lqG5+%$G%S_ogjWZ9cZ%JB~N`7O3!x(5@sN_Vrn{ik07LvGEl-Z9Avn@SWEA z*;D4PEgB~)S3I~@=`y=wCX?rymn-F4&)hQm()}XELuEo{O|J8GhAVmmsydlE63K=OZT{AV~HS_nT>?gXpehCfF&$|C{OT0!^dR3{&9FwObgf^+h7PM@7tHL2aak8PYO1AX@;2VfI(u%MKWmF* zLD9>pNpaDE*Fl?)=Nj8D_Ten&t{Ugs3$Kb{N8pnbX#Go_9;MBRE=6?z$k*^CJ~4OT zboE=rJW@(#sn##fJ5KU*4y$4VXy-q zn2u0*GWG(2n+?>B#B}ud#z&x@G%@wXs&Fruzn_>)Q&sBSS_$<+pmt_F`S|9=kyy=% zE&D05znTUk#8AlbBoKpX^6U7VfFnPSI0DSFOVp!I72)K)RH8aOb>NsM(}Wt>Y}~9! zOY5xMpCQb@)T8{l91qr|9O6Adx5>-?xPl^V*Z; zn7KyOt0oO1ZqrVa{6?h!1nRy8Qz64DqF-ZX-GPf9*fVdOy<+yP8nLAIq1&ZN3_sR! zr5|sCmi!Qx0gt7&{e_f${Xh>9JUjWFLbjOhn{3-eAERlcOF3)?v*t^dQ3qwg#6_x@69t|#UAHwpBVXH5dBpe{0CM46{6Yx9cTYPAe#L@qW1q0 zqFMjt@q!l4PVy#>LUuOxcDDb-`(L$7Avrb5snEq`L7aQBJ-7g0fI(f&hG=q_|og)DQ{TJx}FR1}>6ALqQX97mH zujPnJE{4wkR$Y~_`6t2fA9IbmoS~(Okuw3EnuYOK65-#*{*U>Dot>WLU*{9u^9>Je zUr` z8<+?2(H!v6(9n(1P1J+Z+x;eHcPWo}LPJyCa=@n_oj%(hc_*^AJ*KZ5zoqA%_-8DF z&r{J0il-ly+(QP%HT1Ku`)l>01`fw!Z}dt5VWEG~({3PaRNSvSpN&P8^l0iG?M z_qug9!{5!k^b=AV!UfuY%`ng7HiB=k-96&6*b-5W&0A@0#HT9^ksOTgBeh&)JZhVp zG*8vd7*0ilcoqzoTG!4V)urUWZj8b{{t~jgv%Mc@y2MgjHEes&m)tkErFjc=NPk$% z14^w@T1#?Q>OH-WD|jeWtHu1=mrt$_$36rAzC5<>!W~-t_xiCbaFvrCHqy6HKWlf` zmV93mmYC2o#m?ik*g}`+p8QCrfG?*{O0B3SL;6klNX8qLJb=5>nWO1ioY~LIabKAb zX`GLB%F7fxYR>rTdvSu=oe~#m2R>=tkKyz#uur$4jq@bDDWTvo_}saZaq`H0 zzm?mB{TnPJj|QV-&&C~v&h@k7{b4*d8U-q`9@WOIB_T=i_7tO3J$BTuH;#SrRX-w- ztF8@Y@>o7)w0_Cq+5P8hcoToLQfyXqG7ag$xAvyIV|qUd-R)k%{qv#HucxD?&}BB_ zwPt|hOp^xtKNY72yG>)?|9%-tDLZLnU+z38r3CA+iD^!qt=+2BmVzb0{(V0lHkF&2ypDj|BagrZl4Y*pHX#0N-fm z_bC}M`Jt-vcmusCZ8s8<^;`eakWaJYQ;%hwM|Dg!M>qS^4Mv1%oJNAF!r9+)yeoBc zAD3zvcy!^}^ZWiix63q^PFAmT&)|f##RtiVFb!fQT(W76I5{lqciyEcFMH*m&$nOV z@ttnypH*R6F~ADe2C|=P$b&;J9u=o*4A82kH-1I;MAWX5!X42Jt&-DIT6~RaLn+rn zX4-eJ%QMhMZi|oBTULwD>s@ClWvkVkH5MG#uOj!p7p&$+)?dpi)+JJJJz@=_lIqdWI$n}q-aC*952 zi(!cBee|J8fJSD=+1Xfk`50Fg^=-{Lg^!+<-ty7(ms*Fym*XDix9Mp5BZi?j92d_T z@0+#rdXA`cO6JUZUxn=jm;G-(E;)-HHY;r+R2y_IXD8swEETLsAq=LH9) zdeW`SwYx`sjNIT>s?&fUseg6bQvftHyyy)W8OnO0w&+?@8hnj*OP9I6+_z8gwL{&! znOiNZ;5n7Dhn76WJ?FhS9tP7xuu%*{1+sbwXEPq&l_7`AgPU`nS?;ZmG#Yp3d>BIy z7u}lo2eEd(cLz6a2hrn9SsWd&_R1N_^&>`_vG#S_vb=5w z=FWq#Eit2J2xpy5yr#!RVin0odFQ=6d9o&^9I5WWi%Txs(p))%k)BH7W79ye;ITJ8Qx;wcFnQ-c;ZGMbqFoH?jSEzd`2PHw%Vz0Zo5)( zu>JNh_5^ySDg-c2Uvk;_yw{5+^B}4_>kJcKRo&MPQ?3@MzWIFW%7azL=OVRSETkst z+Gv>oGjN-8>=YP0rgOhu#%SwabHP+?>HpC77EpCG%i8e9AwaO;?hsspOM*K=g1ZEQ zLvSa!OCUG|cXxN!;O_43@(;;ycy?c5NrEOh}W?9l5 zQY-Dg+&QAl9@&HRTby(rDw}|HG))f@6MoVyw2!AXC!O;N?1c_9=JwqSSQYbU=7U3! z?iH>2(s0_&jF!UF_Ejy~7z)jO4dyg)ElAuk16b$9&r-Y&%RN&BcP(^-s2l6uwCNs^ z{dH7%m}|7?`;d22#-nsTF$I%(s#!Ds5VKW9eRKRV&(97px9lZ*Hz+w*To?J*QkwR5 zkJ{59-14x)pBjrxi9g)1YQHW;?84|*H0?F9It_t}dU|!39$G@?yWSJk4ONKiDhZPnD~i4>l$F*IM$p*k9zMgqvAD^qZDk z?6SFUudH$lz+9=C50~$A{TMQ-LVxBSNwB6)zJ;F5UFl}VA5khE>-eNP*H_8Y9Y=RK zGI`I8>EKpzlt7NuG&y@cm|0poAHwvRxh`I1EL-dN(Q)bad)trXXsrh!Uz&&X4K2<{ z<30SbjoTkpNFFuiTexls({=@BocQ0_D464j=Ig9ZX+xa@%g73 z_xZ--x(4q}>}DcaxQ1AB%7t7PTWF0 z?>YCkn4|98G~u_37n9a*@TJ=n$qS_hdj$;5qt>5}f>T3`_ebKc%CPj=YcAa08h7eG zmTa}pS`gfGhrK(Ps1LHqJtJmlRC%{OdNf1Zja89@uhPUvev|Bec;9?oX+BbpsEogC z%!!HAUDof;_i8?*XDi; zV#BPvSk2ZF+^X`$0^Z3R4DWNUMz>8xl7iVrr4tWOHb%{G*j`5)F5`W7%_=+(9)k(mPB+lz!r33s6U-_~M#@PFMr>fa z$PoQLExb&1#4C};G@^(I{GUY!;O$<^s*)MgDpZSs*xBYh-`1Q?q@BLah+1}aS zWY#gn)?^D?BwnslI6bI+36)>p%cg$O zMo4NoGq-f<&eL3OKI4l^V=wBLSj{b6mjvG1+dJk@{l*|zmsQf0Wxdz|E@Z#MKnm))}v)2dZP`~<@WPO~ix z99*_C!;$b^O`KFb(A#!#9-G@sk2Hh#jn4#K)hwb@hCf*26xWiqg=;lB{ zb?W32yfL>GZz_fN=f@}Ks)vp5G~I{^j-BcIDPhQV1Yk&2M{I@rf?xz8n^~GS=l5m$ z+rs+|&o--xPy(>@o*p4YKG8A&yBBx^1S}Di+C+iGXi*9xsNNjtGp`p4crN?av{8p5 ze~dRgC7hWE5`IrX(GRJ+W!r6GTz$xnv^%~ZA~VVa7e`oSZ6_sib&7oHn~0SI_lcv` z=$a+vy9PFo2~kVwdG)k;%odL&f!ka@qfQ=()B`Sk;hCpEy2s;3L5pEA{3xvU)Aseh zO6ayS;y!VzobHh>XA8mMHy>CkC2T%;M?+D%f3KNecM81SVPk!lu)481f4Y|U`l*S_ zDDySY2**`xS2~nwEQ$1Hk@qF@vsyTzg4Na{%LyU#lcSpV65Uh-qa`Jt#rRm0?GUqqqlK+3 zA8$GIc2lYmki+ccGgbnNyccjE&ML}Z6=&3LXZP9I_MRsb9+jm>+|dn-agZ_jbP#Ge z3arY)dXquh_uEIL+LL=jL4Kk$YH=f7&F|Wht5ZV%T$kWHKa30K4-|OQ0WVAS0H+(# z$@t2UyOcr%Px8e0qI>;`q8sZR*1g&ef4?pn)25bmHKG&wwTI&>oG(8y0w8snd5W%fq( z?alZcI^*to79%^6!@g>jqdRSS4HQ`S8(M9aeO;x*F>JzN{kwYoO4SFH?vLiVR=kI8 z^F4YEOZ;l?<%DSgBR0nn(HQ~PM@<^|A?ac=l`-%Tvt8df#K5b_&rDcb@a8fKP8{Lj zVj{KItOc3Cdr$TPyomV3xzC5pk9a4SKXTTcmS&r)Lza4_qB_HmKR}WS<-j5aiF6CrIckpHk6P(QV<6+BqJ|Xf&~++eJSDx(_#n&6b$z84$Zg=s?c7*aU7b~ zPbaJD3DUV~;{$33Rpq|y3OZ)#9NeljZYh>P%+wv)Fzm~y=)SqzmyJ-=APNN2-#KCY zZE^=d?*EjO0Z8hDytNk@bVyo92N9UW=p$U^8n_ZSapM;V48hVdH4pG6tuvxP zUF7;bSsiptwJJ1W`qc<*!A5!DQiE1V%ur0vuy3ky^rgwDCz+qr@7mUcJieiubLs^9@$SnGKi<{3uL=VuH}wFLRq8LSv1JK5H*lEnn{8q zXvw-AoA{3yJRad-4&)eb;MF)zDBrw(k*ZfRaL<2E)O|B|Nr_h~+P1=AyxN-jk>d|M z0b1^*^in!e&s#67Z`f%*5M$PWw3vziCP<)NGi5$K$VhT?3)jCbal5EMR_eg?DH-0T4<##5{ghVtTpAOZ48E z4v^vq8OZV;Bxp}QeiT67D=Ofw)?%O(=2cl^X4Qi>6%>;+xUFH3J_X z1Ao>2UrFl@&}dR<`Ug++_*M~MXwty#V{}R50daxzUQ(8#l%7B+8Gz%y;1<`+C%@1a zt-`XMNNp8qZAVgxgfk-puxL+58Jq0|@VsAuC-kQ;VJQjPj2HzOlVV#j^Y1JoX^sAN zl|o61Y9u_bs)bZOWYBU~{=Mv1s|=vfX+PSe^C;{Ns*7o${7#j>N`0|g9a25o(gK9v z4Usec=j$1xavtEgAK>|QgegiR0h`GErfK-QdISOGM>w<0Pd9QKcWA4m-5YLuS~PxA zB>TW{%OI@gJ_)g7x~I!c6?}k*!eaid_W@{+oY2HVALhY`g;v|y;eC)bc8)xe(|;ZU zC#zL^&&)@FPQ?EvNu9eXhd*}^Nyx|CNCoAe%%>*IGfgdosg+Pz~f9q=>@dV1zH#i2f>J-j>55Rb46RU>5I{Mtiz~mv{AR zj`n-aAX`=q@od9_sH^0=-EC zdee7{LZRawuyX~kf-n=nwzCl96$|&wa{YRJFRX@+>PLm!z!rgw98X0r*PD)^$Tcq=@Fzh2LSS+cLL3g}2cV?`k~G@&3y)WW|3Iy_Nq<7Y zlfBl^T4=**rW|hi;D5I?iW0kml|&g04_IO8W>yS?;j6~)Yt`CGim%9cUSWv6Xz$Z< zFnnmFp{QJYo0YAXRqKyfrRL~g^-d1o?2=$hk|Uf7nPGpo&*#b>w9kQ}#Z7#!Vu)(w-8&gEI)z84RSHJHLE(XxOCvfKu zZKe!JH~ww;A6`0=@M8E;TEJ`G|3*YAJpk!Zlm78AH=n(bzrioQaOeMyKm)$46b0r0 z?ljh_RY{QIcSA@VY9Lg)pv*eKTm&A-@k%En;C?rxKbcN_w+xHXeQqhy9>aZZ`*b~H z>6rQcB!MjP1cmbT0i08hO{KO_(cZh_T?ZC|4ZM1xw!aFc?_UHfTmxrY^W-IdLVZ1B z(hI4srFJVXQpb(F-G}WE=t&M1P841*z!lAGjmoL7eT}<`Y~Q#S3gvBU}y(g!7-wDGRf&@#s+CBqW8b+J3;Uk|MrM#y-(cqh9qa z^pmPQGb9+kLwgfg4N{n5_+94g3>H0&sp|(9gLnAvnnR>#-^1de>HSB)0zro~R(e1humKUV`_cV94fFGc`zugL6 z={uj_J_)vTGPN}Y`L`E(J%iK!;>&aq08#14!s1%%0ZafI#tc!Hw1}4Eg@4rkx$ql! zUy@%O-mA@{)?cXB@?Qc^sAf=g(RusjpIxkh&}cm=b`T2>5ViRu+yUJ0%qyNne;P2e zn*U!LLuK_Z8uR7|{o&E|Yom(0N#o&cGikm53@QuFyM0~<0h($~+le1HcogoLjJJHh zGGy;7wJ{$et!hvp0D_M~>1Q>^WRM=?Fxju~V8pFc9TLzYqk`MSs%KSFqo&+axw~H@ z@Z7joE730c+P4|!Kf0WQ4d~-7Do;w<3!(a_h(+Tj{w2Qtx7j>ncU+?7_a}I?VM`p< zY41e{wzZE=ZwkLah~Gm+Vd3b+qOwJGVbO$t1F(1t`^I1zo2LCr)@;|Z-iTV4^!24^ zTLRnf=)Dc1A-XhD2wKI7=g163Lb5aWbiDci@qTqct3nUFp?Ntg0ari7$={XdvE#?I z@+D3U<#~#KhX~$Y_!`;ok@kkM2Z{_tC_M`RXaM7n-=4ClyKpYqaXCj2sBH4@l|j8! z#v`KQBouuJ3~l7kN+C#0vp}5?rUuQ+^Pi`(argQIY zw8ZqHKUAL81NHnGJrIWZn(T*9k61p-a1z!N*9+{+ ziu#k86O_}<%JG^1w{{XBcqy0U^P9C;wb7HCk%glu5rl&i>YnA!=?zYtlFm(DRb&&) zR_dHYm$J-;slPqONSn96F4S1jE&I-O5r?0MgwK?HXYH_*F@Xjyy2sQx9`NFNwQd5+ z%Ip4b$@Cw3Xwl+1`Q=~J!$)wX9I$^$4*@Qa4E-7??0J=GJ~v zc_8+XDS)Z82bVVhm!v|oeP{5kkjM77?w}ZQTU82?QPH8aL8+5)kY+FKrJYiRrDMjo zqV_{-BgO|cpPl?sbf1Y-t5yOi(sLEY8?+xvfTq6z@E_ue3M;K#5LU_Q@0|89you$qn_we{9%I)P*!iL;$@p^GP5Zu7j#Os+1 z9Rq>_gm0J2zis4i@$f(9?4SaIrrtw*6n9#c-;pHLe-zO{WILJKd+;9@D)o9ZZTf#c z;jRRr3334QHADaIw89fiSAJ6Qe_7b`vS8=0g+2e%;>UMv|GcxdouXuTA|rD1IgKl; zy!A=2s6|n=YL!1)B4r$a%9 z+|XDnkQqLVt;S)D#6P*9S|Fn3_HiKS8+JX*4VcC1IoJthuy}e`3fwIEGU9pb(>;_S z6E&f2qAAJ1gdxPFK6cx*S_O#>zL)d1w3;S>CT|arf7Qnpg0+5f{xfOaB}7%*ez>)- zmf6^cZCD{j&A7%q(2_x8PVenp|%~QP!h3}0y2ulrGZQj<*e*mj_9GFKn6H$ zS2*!kzTXFQZY$1_Nf*^(ys!MFXYH#Q4>?^3vGg1-e#))j*3jKMi${F$C>A3BM7LK4 zJFn|!^BFgUsh`s!K~i})wHjm0)FNpBQ?R6x8_ss|XA!qc3ieLB68(up$sA1$GO}{3*kdn`kh;x&*m@VzSOGS#Gxi7i3 z9Gv^itl^;&sgFQhPR0dJHZHoAgytegK*YzVcvpNaFk$XtrDdp~roX0xeC~p0&OaQ6 z((lf=c>4|QQff!|AzTL`MbtSfKC{5eqhZL367e!iXGdXCM|<*qEFoij+tk(~En2Z+ z!SND%o?kW0xSfu)>x%!|wr;~~XKl!55SXzb^MBF1m({(0>D}KJ_%gjb_w|4Fh!@BI z^oZ9#ZZrSit^xahwS4Ry6Z`+}@-bkE*}u1Z?04D!v3v~J1fS!dmya!aSiQMiY&|^& z^Fx+L2>(eY8%#Hj&Fp}mBpU2z5>JFfeO~$1&e_RIr}xv`E-Zw-2_i~9r(b#q%bZN` zI$;8viCs6|xg#Tfx)=niX}IpexVVdv8usV0bVvwP5@3fZK0prwt-wt{fINQ^fI#xOFd)zeUJxkrBN7Po%^3s| zO9PU9koCfvcW+MDMIu3-sHLBWa2k;Hp)Y~hURl{_ChS{4&pKYD25Fzu`>3yU1mW|( zD!PrZAfj9tdSy8|UCeJ9Wzx;6o`-$}LNaF^l>~}XMi_pLzX+kSge&?<#)#+f>($xZ z_|_4dGA3Ngn_UKbErPvHA0cK>PD;x)X27_BqJ_ejdY{M|SD~D@!@bo~!-*^9j!IiC#xR1}a6_DOdJS+8dj96S1aY-NCGc z2=m9xb;FV}4o4PK8F)_jLg+01;#MhK+mX(;b}UfGeRX^T=t2}N{2#Z~+`0_#&2V*^ zrcMZ}78eZ5UDsL8%%`tBf7I)u+hugYHlHiTX_|1~+HyQA2WkH}4o*oT4J$^Ph=uKs zi5x3_&1hDM$i_{uH}p=K^3JV3g(5!Ty0|Y2duR&-rrpZ_Gt313g%NfzF{!`X4A+=* z^kk9dA{4JGe{o!!Oi;uZUdH|8&!*!htn-PN{Ck;;i+G;}Q)Qjnu0Zkl0k%kPfdu&b zHCrcWx>%MdU*@+ZS>vZ9nkcyuXgK3TFu_0z{(w3n3&5t5i6>BO@q;|a-gTT48Z>fm zv~NmcVk}vG*L+hh5OwZJwmkH@c?8>003n zlSH`n*r5FG=^O41GRbbHKC$w0Ek?-}>-w}&PMH@@AzTGCTu+Qb}ixQWrWQqu(5`7zm zFsK+ro?Ki^Z>UPBGp|=GYp^~Z{Af>Xl~0<HgoN{oKJ{zP0n-Cp6DIM88o=`pE?y`?-xc z@zx4q#sD0fM{)fg<#Hv}|w3pgQc|yb>it60;$Q*wFi|fdnYocnpm) zf->XXlP=W;gsSwvI z9W%J=8y#KMS@7Hsj53eUd}6>phU50bb7>Nbk0pr##)zM=d;hiFlsNo^RgPx45~^rBnnVlh_C*1M8TFbSySkAM7tg z8P%^YTThh0u8}^be>*(Yp|zu-r~UR}RrR~kv(Dg-+Rtk8C4!KyY;>QcM?1SR9aq0$ z-rgUVD1$z3yem&&3t83Ve7E?u)$AA-HsZ+bw>w{HHL~cS$9ovEyV(Zk(zeJXW%Td} zKfoO9gRPL1bMp-5h=z8@D>;<|&AnHMnk2oA8fO7?~4U=X3 z771Zq4tY=@1%`|h(9L2)RSWDts<-fVZs+?uk$0w1k)D?FL{Y4>1aG(c6pO|SSxv7~ z%o_CgSj-fVT=`PBvIbq@e`5J?k%>of3Cvv?>l0x|QV2(ZNqpn2FbFar8;vuT2EdM7 z4J}lHerS%Dx}Z328zINqZRPwn`k99uEQ@*~?a9DTAkBbTw+?KOoI5@J9nL8{u@670 zIr^`#%ufIu*mk)Z&3xgF2=+RQiUP3*h-A2Xw9>z#Kt@C z9WEVr2G#a%jGD5Nk(0QCy^*lC69$Y)j9@G#^JBDnKUMD9UeE-DBi1!iIqJzh8r&w{ z4=FNvQi9Kij7AIS=gGQ2Zt}TYXATDJK&xjW_^0lO8MLUDNea?5UXqEO8WaBnRpk&i z8Q%8^*9ekanwpyZNE4iH&ck3r`1R55&o4qnwy0_p{&O*0?bD z?b>&ReYD3`N^Ko~8NtRvr$RBOY_S&fe;mMA2F>2jPY{W>zgV~3`az&t^y|Mkl2o{h|o&9I=A4-5RD7w7;H`M&r#fQ`L>1;7wMpg^8) zfaE`F@V_8wzky2t;BK(vx6Hxg3GYn9@Hq7_H{DMi8;gsF>HE>de7`ADiTRQcgqNsR zbkm!>n*Nt;@9zcu4=vg_IZnKD+B%PxW4tF zx*npr9;$$Kx!cW&+1%RtY{(fYhR1C$1#3ORdkqN=M%*u`O)HhYltuPI4f4`6(o2^M+c>B%0j8Gcb^5Q zRWPWDAoE?kH`-o7#ClKovdsV;`+}ACq6lcky-N!zjF7*W)<_$7s#x>>Y=8lt_^9c6 zspa|cczYyOyT&5%hR>4AL<*UF2_yRz6`FqyJJ~BVfgcnPG1!izPqMkgLr5> zE{X77AJ3GnKir-R1cdY58Q)(WBJth)TomE72J!>Fzq@n3&HBEb0BXIo;n2!_()A(} z2Qtk!xm*|3EX^%1w;1$AWoKstztxV2zV1;5Zx~)GudSIVg?D?P-x!wn%!N}MZ9S#8y5&5 zuXwI-whtA?I?n)mU;pYOQu%31N6El>hUzrLmMDa$ExHSDuls8}MBoQV5GofYp~c1I zSMP%{D1sgMHM_vyiwfN8i5<>o*$<@tTo~_V5_^L06_?Hktn^M<%)c`RN{skk57A zyasru`}yjdg?guF&DF$`FRY2thlBAfK%Twh~d$X|3$Iiu-AMI0C#$@MY6$%)uc+`@|^J8&wv7rC!J`bIkmmbBm^Jr%p z8yh=5UgoKHmb5%M3krH~Vr2DipSxWaXrl{UTwj|iF{$Eu>U~^sF8#VaytTEZkRt;} zER)PNIW~4SqaQwNuBgYw&CP#*z(On}D;tiSUSF@)^y8)YK=5$PB8|GUX~5=w(5XLN z)wE1bO*Nmi!($*NVsKW7&jP9TCo64UUS7b+mAG!w6eyP{S^n;@knWz>9nHzz<^FVu zwk5U}&LD-Mdb8{8+2#PE@=KG}fHfCLS4 z{A-Q*XJD3~etb7HmW%`gdL_V{6~~)rJ25>y?`v#WDt>Ai(?-$N@(DWe&9f4=nf!zL zUx~#FK|sx(R86Pp7sYNGK|BBq|H0x#MJqP|^2zOib1#1JUmk-x)cNFQJzQDo6{o&l%*%_c9X0zpnIy&zyuBDA?aM?>82ekGH5)>6beZsDuKg?ECZTwJ? zvQkA5Rfd`iV{UE^VCBL7%kctKb8~YC)mGs~DNb8uJp{M}1Wy~^Ws6&$?htX=s0t=z z5!H`6coCM%VH*6oko;fc&sCczuD%^`i)O5FFq%T+nGs~BL~oibP)_Br7SQ6eUhC9! zSTwBhi$1 zr}-1eYdPmD@9cZ2%AVLDi7Uf}V1|Z-3?{_fc?>7K+n=j4wO6kl)qXmQHJ`8MeSSDU zlN67FhJoqn?tV?k_i(@b++0^TYXL((azN)&=W;MxVFX}yza-Mtt9O9VHVLANQEwhU z2n%-sUECz)Vq%h0RpsR2F{_Czuyt^-Txz@pOj8{&X!pa>(T~#7LqNVzHap5o^((V$ z$L(YX_uBz&0v^XvtD=1u-;o?%hxkj#k*f%V=+?`&{ur8uhK9|}qoX4rl$bMLeG351 zc($}<D1{^2>vP)cCUvyZWT*kaqEE!F0 z7ukkoe&QqT?U{ALa zEtQmC4nlxjXx$G3@5g<73JioJf0{K)<+WOA9UYChrT4l3W&$|i#sG#VYtkk>@{?|!v;!*FwPMejz|n9pAwRE)em2f-8P7Zk8tEZh`mKlZ!EzIV=r zvEsZPXc*aMY0wz$r1C)~bi4TF(0ra86!hXu0PsOVKmcCqU~6l;KUEa-`%tg3zz5(L z956hK=G!eGo4RFFRZfoR4j!+w?P9&%*;zb`(NQC?rrhIk-)J}qMF5Y(N(UeZ{c2qR zo`n;I-#hjD7*RG#pAJu_H}shcS_kMx9i2TGt8EoEi7T*35LMM<>jLL{UIj3Mg)Y2h)Dmyz~*CgY;1UFXyESF zVEos^xpz*tL+s0DCMF4SagLXh%HjCjY)niq^w9OXVHsVZ@nTF85fQOp$9EjHIIz1K{h?a9LErj0(>Zu*X3#~OET5v zh?5)`Wl~bo`5MbqfTt|feSNVPKy@Y2bZ+GBH~V9RgE8D5PJ7M_>kr_8hc&22o+STpt}C9=ZW)a0u?}N_V!&;BkA} zLs$b3nUoGpLqomHrqsVw=E&zmpRrA=n7V#@Uf+l}9d&BRXDo?h=z$*-hG4qCXf z^;Q@+Q0FG7u3pn zW26oO4N|4N>cm~NEzzQSQ^=GQ{j*jX(sPig1_VMpbv(8^2zUH+ZLSeg!}c09o4ECr zB=2=A#w%aNd0DEF0SXCy&T-?fQ(`a&Z91(k@0{hZtPAO-WA>ci$xy*M26B9ID^iNY z@CNhbf2{2?3*)MP2HAv%P##{5GjbHcEE_lFjB0$`pt}iT z?{TamJLM}5(~Wej^9{!O#Qc*!h8jEl9HL&se7wy1w1^rvVove7MoAa+e((Bzx#gLU zojoTTb7@So;+4+esO@kZl*xGTV&M_NmF^!Fgh1=sKcoV zr5gI8W520kwIs4nl~eMOQK3yTSH_h}muZT^(G27_xM^kqW!>}mQIJF*MCQH8E$-Z{tgV8^R|mliK4 zY}RXiBZf@FM8@Es3M8G)^V8i->DZ;yuV0TD8k>|>aWQ?uT`zB@9}+37lB%eH?zEV- zUM-q*W3SZLR67WI+v8j24z4ehEsc|Ts)<#_h5Z!3y0s1zp;~?{XKzEkTS+#FrgV{y+vWHP z420>vEwpy}*p13hTUmHICkXB#iS${jt&HsItfv@DTt$<{K2e-4_Yw{3WAPZ~pYK|H?_C#nKSHsLBVS`?$ z;$nTPk7Mu#s(5EyF`lh9%G39`)1R9JaG(f5_jQcf5H1Pt^e}Du31sag~K=qc}{>yRfP%dmK}^Bxy*LtPkjA^4I3+Kp>|K!WyEh zknClvHT6RjnS6m`*DgovRGKcwF3BC?vEmGB`D7FGq+AO%sB{coZqxS%L1XC>k@UV7 zdx=Z=!DK(;oJY!j^w!%g%qx;ghlc!`Ier!M-5uoV(>M|+MTNlJk=bg54x&h2=8P17 zqaT8!LwlkM<+;oFSl4BHoR{Nr_!+U90wm?H%1H?h*!8ScKV5l?$s()hsVpNAbQJ_; zI)6`91lk-Ru=UMo^&5#V&jZg_BN%bqr(B(C12{w|+@m!XsMv!vp$Iw}i%=lOUHLvb ziqn%+!908Y{7}jABxSN2Ja2Yb5Mx)!v`vCV0@;)2`uEP9=+i{_Jcn&X4{!-ElQh;( z$xz|!ba5(TBkh$4Q=hVxh16`S8g^9UwzAkHuhXg_s+dgRr`*x<+Onl(g-n*u1j~=_HVflF?jz z6CcX&At?LsuGKmwi|0M<1VMa2F$-N{m8$dCxYrSQWnUI*$|XzJw?@Z`nafO?6T>A- z8t^cwM{q)+)WtB=if~`b_CHO6UGB-d*QnQ^T~+;7J6>76QtM(@NZ(vlU1;8!Wk^TT zYMO_qFVuXBvQKehqJ(?Uuogx3$(23z)4`F1WhB6pTkoGR<~~3%^r`o<#Ii8t1N-J# zPLb$!2DB(a=)v@$WtMysTLR>+(8paP*4!YqBrtr6Zi~03)N|Ws6?9xkKD9hcKjaK` z|7u3L4{DblzP^;9f0p zE5;R@!&G6)Ghlk_d~Hb+wPXd(p<2#S6YFW-Qq=J9G1nl2H^}6eUkb{zPm~wtlZzag zEauuH1q&d@4AL^^gEW*w=9_A>J;J4LF6fwg72b4-l@1#WoGN?7(LdV*k1(m)|q~;Mko!gOp!jZqdsB^ zw7(TlHbu{p4qpT|rG~asKd)JI9-Y>#w#?C>Grf4E*VK-su5py2$=IFXQnYyp#tiRC z9o64dp|dBw4y>wHFPa`n7+Td1vQMNuyfaCrQ+hj%so7mWjTz%>Xg_6ECh~Q1>J3N= z_1KgX41_lsel^p*znYhSceP?1!!%_^kMTphCOvOxOL+bASC_l)Eo~5gzX8a*83N0q z4+`6~N)3+Ru1_5nz77hMxshGBaKLsAEgB+>X-2QDtuZJ$b*&pTjAvoX1k!Vq0lp8GzL}sZjkgofxou|9xgPGD1cDP))$wJXsshozGwIAx z{k6hux0=IgXgXVTtPIr+zMpk#8`ODmPR{X-aB+<;GnypcP-u8Xc6@->UUNuo$rhdN z(jJ?099KLr8biA@f9=Ad!xfdHXanCWMgh{7<0~^_*jCn&dUM)J`uK4Qy1;jj%(>;m z2)IT-q7<%g|BCOL;^iv@QA&^d0y+cZzj^%`@OP|rzSJ$%S~#SBfz;J` z^dqk(OM=!L0|X-NjD`k?W?Uv@Tsb5J@4=*v~U#B&J zcPtioJR_<1er#EOetNuKcE5KCxoE$1hHqxd6wFhh{|v_yl{8$4opX&&Lb}iKbQ`0jQLk0kqAYkP?^fZcx9j&*Cr!TU)al@touCWX3DO%96nLb26NkfL!hf^Z0ziaq22V4rHB*TW!=`gaXxgwj_d)Mv&z%bTd#rVHE#QVu@ zYy8O1g~2crY;um6{le8zuSq*)J+bPxxFb*Rkii*%`PfK z%z6@RD?&omI2!0EDW&X4eMdeWW6aVGUK&hKUN4k*jr`&*w>>OK3fVOnOiJS!8eIqr z3JL^bwC1G*M+x}`xWiFKi&Y~V%X)CokpnlhCs_nQazXFK0NVoom?7H$2rvj4)dn8; zf`tPN8(1Xcv+&|Y1==5hEGoI%8ZePHMuMOl0ShotE0%t!uLj;Pb#~5v8_-%CC(3`l z?HeCpOR}XlkN#~|okyBOkBr}IL>lZpK zX59i0)3AqpsIsd`ml><3b-UTEiBN~%Juq-vg!CxyI}DEr_h7Z3PH*#D_a0c^V@2W- zT7Ea~6%r;lP*j8n4WR%YDX*7?s^GKpjzLb_K=5l*myXaqo4Kp#ppk@!5Uh!U(otj- z5j+B1pr|#8@RWs~f>WhK>y>Yx-9lZl87~=H6`i!6wW}3Z&DWQY!|3>r65BhQWT2DW zIbR7qY_avXV>|Y^a}u|0bUt_LJK6R+gj?q&qRIdG876|Y_eDrGfbQ+<jAw$DtalZFtvLFf8U)R88~=bKrDc^_2LS3U_UonbJKX zcpZw_M>ahT(--386ks5Zotlx^hD-U2?CR#;VHeG;#&UXcj7JB{&V9DK#raj5!`fck z@vyH3@w!5J5d7C|Fl0BkC++|fgp z`JGgcbA-QKoMCMP z4ux=G&B~B66$s`8f2}ZSvM{#~fk)$X)V_jyuY zm>L)Y*s9En^qSk7SEFKAbyd4_Qr6-c#mgbqT!M{*B!`mpnPo7$h5mIZBF^#t*HuZU zcZ;Wj*;?N)UC7?gM@w=?IaUdksh_ApU56zo?Lo!pbz?Lb0d&q@848W~fzTtnZ`}fK zlTv%RG$uUf7#nwKdF}L~|L(lQd%P}LvKy;_ez62|@(f5R34a^&+F^EUxTfyBtHd$| zPl>^Q61%{>DD>JHKK3}7y4%)*>o$eVe9n}!Yr-psh?*P?wPoSkF};&R0kGF##PL32 z=x$z>p5=fe{7>ehLi9z=IsI^I!7&-Az!^`D?MX8xr<9pgq=N@LnsyEBe zcgpGk&#P$<8~aD!dxQaTqk1UJt2HQ_e(CR0Yv11+dpwF#bf8^+b0{_Wn2)or5=(Tr z)HjovIj@e4qqCP(Bkp?j%Sh^q1xlV1}E`9LV<`Il%@A2s>0el`2%VY-EO9S%Fd@DLO6p$gf( z6S*H%OtCx=j&Xxwdy?-^g{3nlPt>R-8izYpX_(}aF#e)lDKc=JkB%Q zofEmIW7tivH*=3_V!W3ge{evK&GYQExKvD0v2@RzwryJ5u0f&fBeOl0U-7%K^fzDZO_2cVVt)Hzk%}|7h(T%i8B6*t{#j-kmo|6pKC_aO zD|1$Pv&wuO_vfshY4eMQnvfW5{le<1&i2UHXq;(7l&(nfA5QSTA&CW$qV1t$?ZQQ< z8#l<#K{Yrqq3kXN2=Nkh&g{__u2Mwm#XChH!TMB7M1uZfYRIVN=idbfJCas;9qa|ER--ZU9Caax%i`wghwY3CVj4ChD>KcgIWRE(QpBY9vi9l%iK>PQFI*>GgVQH>4 ztfFeFJ%ktcR%VLNyYk->1q&*Oy z)uvx<)f4@RGz(;`TyAa3b3k{ zwc*94K{^Gb8#kRQAl=fSq?9yBBQ1#1Eg&gf0)liZk|NUGC7sgwudSYQ^whoIeeUx; z|NlQ{_N+ZK@5~!BYpt1ga`g0&xPH&rQD=nU|O_7 ztXfU8QzktYr;|%`zx58Kp=Q}GY30MqhvLbdr^EFblQfeu=yUkq8D@y2S`i1P@os~%?^b>Pwr>+u4U1+eaF1kjfHh*$(i*TJZY>0 zb=LdB+G?=&edaWH)Mv2IX#u^#fNRksDtBIU&m~?wM2nT4?06zh^3l^3LZY*BSH!1j zSrO~ih|}(45EDZQJNNhsY+8p3Rhb{3MeXNw!&e>{= z%Bt9HLVJ@cK*#Yl@(+p)n?lO66uMFOz{)gSZ}Q@=>X=Wch5q1Vvi$raH zZk1oGv-(X>gjEo?vpb*>>fp$14A}0RI%#A3aOF5qb78{9ZhdO6o{*}6ie@|TXDaN^ zs^~UfE`Y6ZXl!)cPhb=RwbJLVlsYu1vEb#?;_F?@3H_|>@R;M^(fs~6uaVXM`}?Pe zM(=Se&KJ!FM}%Gz9&=NlJi*0VkI+X;gaiTtdSkUI`e;4pmn+i(%;6Nd z2iyFm{Z%-rgkosc$?2@~|h7Kz~wP&86x3+?G!AoRmoahmEm z9i`o^Zn#Z~;%cxr`MHcD>Yb5=&q&x&nR?B==T5AK@#cN5hlX}KPCViDClf;MTK9}Q z(G}T>iivA$s=UC^MzrUFeQl8?BIKqJfAY8h%-&o^Z2_AmgJZCf`21;+Be)fsP880J znJUa_V@S>Fg)R@C)E6Q*nS>Hg7tkSfcWT()!duPU+e)JNQ5ydI)SX*n*_jfY=Ls=- zPqb*;h1+P#@Usi&Mq(HTrl2yD&ER2hV+^O0%UxYwU*s{WEqJH(>dty}?myQY?@Z-I zE9TP*9#^QCuA8!HtQm7Xx18NC_CN2o=-R0n)ej@w*q8kBK+W}_;-&V~ffxR91vGZS zBpIw56RlRfTsA-(p}TuM4c4-d$MV?}KN2#?9SMfC?i%2^bT;Uh?TPEpmN=q7@86hf zXRGYF(3sce8++SVqH}^nKcqg6<2`bZFPv#HGZB|aM#~;*_?${}fQ;j%*;<=4k+?w| zd!>8HAQn+U>NDh7L5;ZLq$=>S9xIR{Do){SC3d$fJ2ZI>+VisN%EmK`#N>7Tx?3dc z*LaE!DKKXqZ9mF5uAVyM961H;+XG3N_p8s|O~pNSBw2dU_^95qpj%1A_Q;Tmf84fYBUs(p&bhqqB!;7+&ULWi zft3g*S=^iMjlqp~5A9NT^-C6`3u9F{P@Bi%c;Si%aW9kgl&_Q9~mtKR3m z4qT$|)yOxg7tsX`=jnnq%xR~qRM7#fO~krSw_%SUX@(rf*s1M!!FU&9>j>EKu;(&+ zN389sEIe;&UX;MF@Ei|)ysPDWak!Dy+ilFFC4oURba`WX3oowsMYU8E2w7pLFMk94}!*F>#NMlJPhqD-3sq zoGxE$ElKdT#0`0dr48XgI5(u^acxA*r_-!M1C-eSl>%%zv&mpOo!U%2vJFpVxwUb9 z`QSa*sD_iHdVUK%D4(}AN9#y`YbQ}i9m$hoRj_t8vU7iX5LaBuc2F_eA(_noVoszw z95Jo3`u!zwrejsDnzpx>E3Bv7L2NXbb-HiJT}U0HIYeX$_T!jljx~9`#zZW|UI|LN zk3KmvOq#w9zhjR!_muD!jSSO^2!wX%z{g$WvT|g@kIp>>!zq% z-`zb48Ew*yYqsk~nScG-vxKkCIkW!K(sSRh5Ms3M@CDD3TmSW1Wq9#h>3HoUGIFq= zM^|1}QSMwE?8Vr4USdz4%<^c!SF3mNOX}5)CTV~1q0PIwbduoFBT{u>0)!Aq4hIoo>xC6j#_7%vsSW%nk!MwL}9y^5I@4@ z=XbAtCnWB2xtd~SrDLmqODEl8V9MKlXLs2QY_`hm?FUUlBsA|Eo7yZ55Q^R#?VU&# zcWkVcM7}Nit$jrxu8cR^`4kB7f4E>zJts2--|~|Suo9bH6LHuZ&NQ`^aw$C0afJ#I z`Io$@BsFCVJ4h*=B_M8OZJaW-Qj5ZwHu47BW!Ku^-ML{t#Ta2R#D|<~)&5)Uyx_d) zIRQ-p1(JJ>nF+4lJDC)%%FZfQUrq+2k(b_UjJXvn{sz{1Vs=8%0@6#}~oIbASvH_LA=yJ+5 zMry7XsC~Y?-0T`V-vll0&7+~3a`hB!R%CkBkOL)7?A&RcIlFjNJap7$;o8xQ3l!b zILykRt(#{8>(R1q+%FH%&4R!YAPycp;eTEU3Bo zhUy!=fegrPQ5EnjOZfPdJYa>Fr)LtfKep5x9J%VTakd%HaV4J)$Meu(o$FHU8efyAC4^#Q=_sUC`9TRsy$|U&}Ep>{jjc%s?wb-9=hbxHh7!>MH4>@ zR`fV8pWbvmMN4q?^$QC@qD_wxePvQe9K=z{cQ*u%uLu*h@Jd9i0A@2w;mTz3~8hzl#AVS;Ph_`d@ znl&&V*0r0J<+9kz;1{0E*agrE4h9Xt?dA^)u?<$H4`;dUmwGm+&F4sb+6pW9@=V;i z9WE|LbS^#sVae{!6JFPkgqzILZ}i~76#%NsXPZ@LswR6y6%8YvN8=ITz|iI7$wO%) z`@`t$9b3Cl*x1LrDs{ecN*jqe zV`A^*g?{+{Cr8au`%g0`kv*w-*U6D@gDQXUrgfu=?m{&pi|}#He%nM_|6z#4VI}c- zS9`O=F3e zt@K+{OYgE`_wg_zQmT{tpYdfVqcxpxCO{E5pHIyS*T;&b;B{cNiQxFmZ0Gsai4*}w z9RAga2V3ClZG^wRB@Vj4w*lb$|9ZSRq3IMHnr@yseS!(Fzo?#|KER_e)O2K+QXV+p zOgF!Nm&6*fa4-K*7%86T#DUtst&Ag@wcQQToEG=mr$>g73omvfeL6o=&ySk-QfTEx zc!47@C0E;^&G6%D7eUDlJW zgY+YxG5Y$5Y?IeT682*r5E`;K^Gp8zThrcBZg4Z{(_7x)n(%IP^orXUIcred-E*g9 zP9KWcE!+o8^F>Pjt7QEn;WfVVTB_g_eaiXnxUIMF>F%_Pi=g)Px33aY+0N@;Z?BKC zf#_RnP{RPb+!^)KV}%#*t{ak`milV}YY!!O$4MScfQwX`$2B27HyhWW1D!b3b6F0n zCXTDe?g>1SXza;3F2dfnTB3)9{Bg{z9P~S@H3Oy28QcNsDQv3m=bT;*=i3c&@fgOh zyg>uN4r{3PHVvA$WdTRXPGNehod4Wxn8JAMEc=5VA@FqdPVXz?@4&9>Gs+hYuxNjcbW6o>NLUhYAd_{y~lpJRs zWiFwk(Stvu5*J`MO~z`u@TnxdGaUllwgMN&z@BQ9Z_jgPU^lex>9i>xIK2Xta_@Yd zKv_WhsV})ZibLZ5qO+bWGT4ac-O*ZMF< z=XA-gEnvRx6NG=1CfB*T5v6Mp8t3d;4!5eNs#~K_6Nl@Z;CMuYr(^j@jnO~QmNZnd zs1F_1coKGCv2)~0H<2$z4RooLC1sy(oZKltpxPnxd2)>v#{rS!1@;#|jRJ!DMgP^{ ziKcxJhdh%3z(=SP4+)>LD3CT7v&Y+c%QVOdjWVaI|D1Sr=kA?bP9mLZeE02V5E2UHjmLj_a2(^Y77^)~q*m$U!y17tfpG3(=g2mS9qW zy1c&ybj?PfRncMXRnCp5fOWTJDrb`j z8-*$G_DnrF8C>YY^ept@m(kv|sc{)Lz_Q-ic6{f^Dps$inxFUuoo%n$e_*~3SqmE1 z8rJ+6O=yOmj>V!=FBEUXjFdy!Pl4#vl?Pn_oQ$b?^oiK$RgGh#y5Xzo6W5N46uu83 z;LymitEM}bo9EkxhDU~$Shoo+Y_apNIblgYeLsRN@R-S{-I_jaw|2^xpNTPLA)|ZS zTyg7|*T&+RnfM~PRcJF2|FfUFg+-1hAp~pcL-i&h9L}dwar?)X8qj+Q@b^Q6T!R~( z1C(9Ny(Jp6(apou2NvyK#WVNk(Z!j_sc4>X$e%3+5Sc%0+N-3J!3`K8=$|>~%dHE} z`uMhBtuU0Im$lUry)c!Q=k6J;3=yeZWQ6Vcfq;7@&qrc#rlHVJ&*fYuOXrr*R*A*R zQJrQ%?9rO6Q^ydcJi)#YAwvJA^hwEVDd~dwvl`@#ajJtLL(4wK;)GJ^P|G+5;pODQ zm@6`!#Y0ZFN{!!w5-6vEBT}oWu?q|@B3zdU^U&-+9#}LB#)py3o8n&qCvyzzZ@Fo* zYS?VmgsA6#DZkU$>9+Aj#nUBaW8&JIxfW0{$qZIpH@+Lq!qv z-2QUTd2h2~8hWW_5K^L2?=ieNaZPi{@|9Zg!C3N?-J=G{3Pd16$L-nWo>rJts9b_beWa*N&KB681^zERIm{&~uEy+76vJ?!0PB)bqeIY?5?*CiBC^c1oHOEhvD)hVCUmT(wOM_rpvGu zRYYxn4Y<^+9eSUH5}eR&5BE4vMgeOu`5QlL+&|6z{ycE@r*pVJ)p6gajK8BTvQ!>@ z(YL~3$2^{9^%qT3VjM~5o*PVmF&0uE0RYi0QQ8aJFL#$3Z=LWFGIa^+`3-5Y!VM8e zj}Ryrl6j*0H{E9ktCF33QzL3ZT!1pby8>~c_wv#ktN8LPPRf>x@)O5tbwO(_FrQq@ ze8Y8VLi{={SU20*qwnP8uP}B_OR~8VFiApbKNJoiaxKEm&B>@|nrOr+T<K22gA`Lb8XFP3&KOZ~WzP_S{x#0{FVFwx5ZU&MQZBkL?7csB}NGU}z;-j6JB6CwX`W0mzOz6u^mG zdA;CTg^N7m*Tc(rL8j@Q5FE)GOm%UCPu<15jqYj0>l(%ydYTgk>KpxzdCy`sE6sMq z+;ffUH2qjP8mu9JJl|=RzoS{?(}u!gg(GF=ftkl6FO3qFLo~sft8r^$MVP!-<8Z?u zvf>_(#7uQunUl_Ef=q4dIdk9TSC2dOo%wM|@h_LfLY4IstIG0l+n57bRKW7WbT$Rp z9d|1m8%_={UrWFNw|X}Da_!LL^b3FvfiP2Wicb8cJ^K0%*bRNFnGRe21OT+(oA7me z^tF~RLq0R5sWf2pz?%g^b286Ph%(q^ zrX&UXcKK4AI|r?2N=r^}@wOgW1$#Q;nF&ell*>7p>ur|HFAf2QxPmU$hx8#ZQ4`y$ z{A+!TcKf2QSU!Os|o5T%=qO%twmtg?v8k{Fr13J+8c7E ztOBAyAkvl0NjO_;X|bh%r@uUQsK)Uye}V=qGZkE~iKg@80anHAJN9?P>nv0f;tKQq z_hxLVTlcg;c{}OV?YwLFzy&65*Q@q(T1Gj`Z==)ntA{rI`_If1vfzI-Bn_kT@?mgw?WoTg`=QwZ;8$F4urTv(PSEf(&7LW)x3i}#Wm^X)rY8>2{ix;uAc^}9#ruTNs3 zs(%P+>jyWXwLKW+FdFT8Dp*R^aDD!2T$D{GbHAb7e#NJR{?AKKW3%!<#M{lbLc1#M zsQ9)0hHVw8-34ug-fnStl*|zkA?j`L4c9UknCk{{j#F^HW7d+Re8)X7KibgM5RrV7 zHM$F(i7t|DSCmOXNK2SiH99@@!Emkc1Lt`kIHE%82*1aZr-^aLmFKk#8^s4*7lF8? zF)_ikPx2AoKV_S!DqqC)SBevDzeL}`y3E6@%fx+{HK)eDqlWz4(KWEsK`$UEM8L!5 zecPRp#;fw)OUZ4ob|Tij)vKrAus)d=mZNwC$to2(Y6K)`h|*97WIhJ43eK;!7+@XvVYLrmG|K) z)?`A5k@4ooIyYWi(?I(huf52^hQz`cuL>y6kCOE#qdp!wh@8>AYfqdF$+Vt%A_E`g zFvme&j&U5R`|!+Ol)=fJ06df8K=QZ$L%f|O- z8K(r2AEz`w(5%f+$a57dN7u0@cfYp%$UTW@CzAd!BPLOGdP4_K)-iq^SEwBstI5i)iNksAWwEK*JkTW8Ta|Zj zZlU8;z;AowMkls)p-UT;{O+P()J|!3>A)Lm02iDtg;19Um0F0H)Y#nVK%xoW0aj=&tZf|aVc)&HdrylJc@%&LNC5(X z7N9UNa(DV0i$5e%M+>fayqZs(4qu$W`=Y;e($51`PH$2HV)g!3Zn{ zaWKszLy$S>e+&BY4b@b{K>q;PNxx!jZEOqxs52lu!1QYxG&5Kl(;w1UIa!+n0GbC3 zZf#=V0Qx~C6`(b=eCP=J%V2Q(Z@BGW{XgJ3nizrf&?Z3m6=x?CkQW-PT%Nf&!f?@H z`NubUXb90rEF#0|`JXkO|}gML;P~3Dg1afL5Rr=m7?S zabN~m1lE9U-~c#MR+av2k`dr zUhqNivGD2eMesH7ZSei@Gw|#1#|TIWcnFjTP=uQZ(g^AZMhJEYUI-xw2?)6e6$s4; z{Rp!N+Xxqk7>HzuEQmJ{Wf1Qonj*R&K1Ga0%tkCnY(X4ETtqxTLP8=$VnE_Ul193R zWP#+46oQnDRE+c%sTXM;X&*c^=_)b{vLLb|vH`Lq@>Ap&$ghwak^7Jrk&jT&Q7BM2 zQ6y2cP;5|qQDRZ@Q5sPCP?k_mQL$0!P;a0rq8g( zdV(23ctUzY8A2<hs31Bw~0-NgNTcX2Z>LvQeKs~ zYI!yCYUR~Q5(E-v5@iw>k`$5_l66vi(wn44q(P*mq+?_dGG;OrGIz2JvX5l@*T}C) zU3+-##kKd>*2xLUZp@#UJ4S~}$4_TQ7f07dcSz4b zuSx%mzLI{KftW#t!HuDSVS*8ZQJB$=F`aRM35kiH$&x9VsfQWD%)@NPoXFhG0%750 zF=t6;>AjA4UEsRS_4Mn*&?`_8s57(xI?GDPD$nZ6TFJV}M$4wf7RlDecEQfWZpEI- zKF)#5Aqrmfwr-|pBmyg$; zw}^LzkCyK~UlQLiKR&+_e+YlO0HT1XfVV)M!0`><8;&^7$cJ}Qh5hf7}kyj#{qU@sfqUEB8 zVmHJ*#omg;i%W?IiGPy7l~9*RkeHIBmNb&??fTE0IwBn=^gOZ(6tum6b zl5(Q*XB7?=50y4mJXL+weANRrQMGWjadk#@C-o)`EDarvJdHz5am^^rnY(OvJ@0nj zBe`dOuSyG9OG7JLYhPPJ`?>a_4v)@LogrNYU02->JrX@Dy?T8teFObB_Yv=F-p{{( zW}s-0Zm@4CW0+*PZ6szCXS8N~+c?H}#YE5~%4F$*;De|K%cg>+(WWbA!e+5%>*iwS z3FbQ%(iW)}hn9+#IhL1JnpVZuDAxC_t8H*?ENz-?$!%S2dmpkqeEM+4?uOlSyKQ?p z`)mg|2VI9MM|`k>`RK&xP9u zJg7V#d(3)@c&2&5c^P^&dDD15@m}7aAa;2PLx~Je6&JzMGR$3P|V(Q{pTIA+_9-~XmL()Gx75ARWE2?M7%gl zFiRLr6iqBnB1;NPI!rcB?n}9yQj~fvH8}O;rTNQ|G^wW8IW{>nxhlEMd3^dv^BO1w%2vs z=&1g{|Dm#zx3l6S&&TpEp04swyq_w%`MRrm1bS+EZ}m3x3HQD07w>N!kQwM4yffH4 zq&_q^F;cW5AXN=FWOB73a%bd$~E0QZctJ*Pm|SY$RcINo^f2h?>QU}7|8d)i=E>5j%Ng=n{5jKk&4t{>#HID+ z;XZvT~$^DJ-z>pF7!8emlYzN(ob9z9|s@wg2Dr6vGz)Q0Wf< z#ACm~KsHL>SbfvmzWRUAFMkpP@*5sJIP(F|1!}LEzrk$N0e~Mos|-HE!Q7M*hAF0^ zM)^ZIKvhg0nAn@x7@1INn47qm+n9p+w=p(%G`F>(G`E3iZ@(4OPn`b?f#2l(O=osA zaRv2CP)^&2kLyy?r!FZ2L_90LI7;g^@EX_uGhU|GPAgJWS%m*apKfQp2Sf{cWUf`WpEhKi0! zgoTNLfk}o>fI~!0PD4XQPDx3}%mbxk;AEtvWEE!PVl@z%l$#e6Tz-1GF zjsjVPe+viT0GG`G38?kMgSy`jd>OcP8EM$upOSmzY*l*$>)P$4B$Msq=ayy4c(Shw+3(`0Gc=$tF32ACu!>a z37im4?CoWoxWZ~M&Q%=2WNjIc6q)}F-xmfWwZ9wWHHDMx|q_R+rEif?uX#{Q~^=lTX z^tyAB1Q{r_oxZT@10gS-@4fN~DfoPjQ9z zkV{~0b!G8EU{PR^e|42?b}jv{KZcqi%hJF4xu^eC3=Hm`^&6Sr`xt6|5BV|tcd-Pg znKDHkfBoAGEb7#JesW9hf_1#&ORPp$hSQ+N=fz&{mw}V8SUa@ z7iP8AnzBlBr_HLog7Ou8=VVFCp@#Vy$qlV5MTLhvhoy%#E?BsiKyX;kq@ds!bEVft z;FM>1o@EweQ7ofZAASxkr&zDLYQH#+pt>Np2;QJlFM}gM(i|jEnsc(W=FDW&p5NKR4fE`n^uQGc zQODm|ihI4+{s08i2*;H1$3&;y!28*vT>@G7%{1+SzmLFsc~KlQ^y1Ew<=9%IK% za=J@^WHLKwwUEi$LQPgj!Y02j!MvC@{nhe0i`JWT*^k%Q9pCjM%m3ua_Kq<{mFN5p z`aO*lb6!+Jvicv8zDD=z7HHv&h5SVAJDx<^2F_{{Q`T7YpV968uxc#fa{bQ`<*zYG zh~+%oVLKX@aA1**-8aq+W#%l*uaw|X3F#{s_ z-$B2p(HRKum#rxJ8RM5w`*Zj8p-%HZp?Rmh5`$V<_RksK>2Of%NaPXtGrW$QbUbuY zcV_4Gch1++DNhR|w1ZkxwUS$|DE-c6;mQ9V1g6kZl~S6YgBRTsb>&3Fwy>c|JKOJv*%me=!}=^qvYjc7J$-tS0RC*V$kROK9dz;kwF8Iw=btY0+D^XK3W9OVHd&#*ya?u@21a+|X)1BK6|D}szgy`&(3{1W z=UGR{Ba_FFnG)qDv+~uE@B+Q&xxFvWzX_9sRHbhgN`WuicPJQl{ab|G#_Sn_Mql1HF5j8S6489UFB2MN17VEC znong5%|*Fv-_sR!CD|MGRuV~BujQAq3d7e}@#uCxNL|~jzewt3y}CYlPDJr+h~7~< zHb0w$-&wfl@Lc1pxSlizqosRBqA#v-xw8L|VeQfR@Fif$81zA{}Jdy_n|(@Dbe z&G(8tJTE;Z+gCNnEGI8LrawqswD9h&``d*A%IXc0nDMvn@A$Q15&z=QVVQ$L9JK;S z`p*&fx68mZq(M?z%&)6jR9JsQ`Ib(w2n#zu{+5JFKJO~64HI5~Xw7c~nv`HnunWb} zgI-H%{fPUOs$a7@a|T+>%ukYl*e$3k*I=(h+nX=@Vae~C8|O!lPujk|#_MP2MqkVH zz{{(ozsfc4%w1Uc?zcxGHTBiE2aLSCd+5g37C78=Y3(0U|6i5WDtXMO-#0v3e}Me4 zVY>5sr2m~Hj?-;(ni8z^LnCA3q}oQ7R@T;`g(c+`ycMngUxM(J<;*XEmSM14AqsXY zAn=HYh{)hjB0OxD87#~dg~P$g9f?cj5IuWapIw#T@YFS|@&&X_ zDh}@6(g<~w%!tg*Gfac9XocD{*z1W&iQ07UHB25}krb2KDC#<-z^9eWs35C2Ja1?! zMJK7{tL`-P!q^zKhlbhqkEMnu)aJ_YZWwOHKy zqUq`9GQvAp`T3%WzHdrmuD0~uT-lgIC58p-LG~_D+v84~#S@Czh> z!_euPftw9W_1X>ZbtX?W?h5c(^H`l?xC{!`qT~m@v6=ObKkt07gh#C=AE?YpC*J=2 z&%J1$1y>iQ4GZV>D{{l%H*_`sRl*<8QOE&-_@V*b)AL{M~uXEB}c z;@w?hTNjx3HxhlLQFC3+n=Y>`c}UxIK|-@d;>7wdY5$Tzlm&;R;F^|`L-Gwnq3MBN zz<-0M6lhVr@V!vWZAT8JH`j3c2|t|sTL`F3uWsSwuhpKmlvvwul}lC(Or%T}9$1Z5 z>Gl$6HX6UjjlLo)&%wmrocZToH6#79N*lrHHX|46bZtv{oWD-`3jv(KvVn#{Ibn#v z$G~z^a968}L7>c2I(p)mzw}ehH{Ks7!Y}`1rTE z_$r#XrE}tgQ%&cKd2?-|wKs33{-sz3fzrW^htxZ|qxW~TtZJRttO*WtMk-Ccd&aAU zJ`WyDnz}Y(?oHkgJ2`bGJ+GKx`+{?Q@<~Dro{F!9l7cL1o(K;keHOyN%U?l=&SN*a z4y+Qb;wGzp1^!7o)V$llIPIUk&c)*~| z!W%bCLtFJJ8}ZW&f5UsWlYAHo?Vm>{tL%%L7qwlkSWE?OlxsAP)Js%c7hJPhq<^Dg z$@7 zCk)0)Sd2aSR*YT}1ui8xuOud?GPBiq!k!LPE@!p;YNndM)ve$E5Aw@HN(U7-*~RkY zu~$_QZp6I9%=#Y%l-ob2vuJdEXD~JXj3>nQ{r-PK>0jaq(OP(cCuPD~0JGrIaN8au z<-pS9p-bR^BWPSF_`J1~D&4lC(*~+0$!x3GQEq50=$w?Q|N4|9w4#$lvOFNsOimK@ z#53X&@J>j6?5)~3{JD5X;#_|{m6dH{{QJwQm-A@KC3&&dJ$h3s$R&ARdHeaA>Bxto zP|nWutEOg8?~PH#ItQ2}r=#RHPam+;KSk(yn?Q^w1~I#@a0T^cD!k*bFcFSg`8)%u*C_n7-vvfo*yJ+1-S&Q2b&sqFu`Rpt=TSP)fH zPBVK81*u8QR;wUA303qwW&CjxSLq%)#Q~rr~kIQFO^F8DZ`4gkZL2mu&hVRC6M;+ ztoXzuw@%X;)MPFJEJagAQxSsIiqT1P4}E11eWk#1JnvyMwQ=XInz)vIqLzI^nt8Xt z$a?YisdQsoWlp}_7o-F7p{w+g`*<|JL^KEEt<|d|csB$ZPS(p2?7X@Js_M;D_S58s z{MoedfA3XDw4JQaw>oXFt+W}#nL0jTo0KEGF6Z?j|M!Id$nn|DspgW(Efp}vP$JNF zQXMt2UX-CexN)tF*?pw8AyIO;KG38>FY|BYP4iB~b3R|qWjWtdh&jy-C`oMukHrs4 z*@foqmCO9gyy8yRuF@-M4Z=QaCyD+D>;w4r(2aymjIn-M0E8?qh;vy_>Pxkv1f(X42Mdt&!!$tjk#a0W@#dz;oEd8y>G(W$^6KyWxquroD|~lMat{$?CYKXX1sn zW&?Pv{*Lzf&71mQ89rS+wsS37f9+bx%6g!4H&k2{R3-xk#Br3Jbpj3e+7lP(2jo=7 zUl9;^5olY{ALDrhHi%DC+eX5o6n1|A?9|#i`FiM+Kx_Xzp08aYH#Vzc^nNYJbqQ@N z9Gnlqjow3jLpP0_p8P36zINySLi^FiWrba+d&S{B1Jn9lJPW$qU~Av?<`QT{=M{bO zp`qSC2*TH{TWPJ8NIkYVu3l*-$43_sl&Xz5>h*zn{A-4Ok{X7sb!ff$S~1W$(t7NN z{QO2YJka_y@>?P8kbP?#Jfw(%Han4nrg2)ns`{19-%IhI1pm(!6sh;V*1ysr{!V%M ze-;Z(Q+=P&w?^WQB3((4vHLIJ5qx|gseZ7kRT1P&g8fb`qCHaHxr z?Hvwf5rcQVx9{6Mj+|vrE5WAxbxvED3N~`Z2SNPU_VgCf@GaL2{ZkPHY!PI}eu{Ws z6w2@RmB!fuHVppN(%}jUlAJaF3cygHuh^TMSNb)Jyyu3EX*-3inJdZ+a=c{>s+mqCjDwQO!uZ(2GA=jE$kc5XMo|Y+trC*- z*K%DuPH`*63wv1{WhejC!##+49^#N|JY4dZNeX-XuBoVtUd>bffRj@gNM{qM9rYVc zSTJ2<0mkCC0c(PV00C9*KAp{9u!AMz{m^5+OFx^*otpPIJom{0S+rM}-G~Zg1 zQ1c4Fk)VrmrPCNDDDVm>`u^&B%q6Dl--4(979`Y#;Y_2O+W%wZudYB z$}aYKkyg~kw9QKPt5@=a`RgHWaVz^&$QpejhLO0~%$+9ll6DU?1;PLwMg>iHP#jPe zop0pidxi~eU^ojXKDJXEHUK$>fh^Q{JWyIgc?GZ@D+tJGsPtxoEHq^}N{D-LNE`wJ*pZ}$*I*>PdE|$4k2hVt_pCe zZst@a)P|Ni4ljNAn$E=@kN2~JE9xc;0q&4=mW)rJ+;NdU*TB)_+2J5s1 z8p7WQJET1w>e3xJBwmtvrJh|<`T5%yI-VUqJZl>8k+l<)!E0c5| zRh_goF^OJBq}{ImxYsTJvz3Il$G)!3m`QpplGz3QW4!#Hk~wp35Qg$DUdOJs;P0@( zpv0%7^r%ysCN0IhjO)0RulK$2Rzy^m3hs&IM&6^YWq!{+CTFwoS^XsE2@vE#0nuO zbL9P1vab~APfXk~!vsE@2Us9*n4kWU@vjhkXP?}Z#*tYi3r3AOxAK?1`6-yiD}O`0 zsf#8_Zo=*=y~6c>B?Z5P_-YK%#u-n_D-wFUrFBcu3MLy*{~WHDFO{ftERO_O-pLGyEr}nb6X9m*XaDY3gezdq{7z9inNQQf?DET4c z&GNsu26w^@qDb3_N0wUW_Q z(<~f+0~MRxL;N8YX&6yfBXIu=l{RW2vTW`W0LQ0MZM9DS92rIr<<_9_U&nsu=9`11 zV%pPaJNv37S3zOre-i{P^oo3nyFHgNjg<>KD%Sprf1*%`S%SWu?9 z?%{Tuf=!mpf|60#9e7Yd64R%sUoW-}FpTo=5o2?Xu^`yyMmtABy@e zfx?Q9HkeQvO!oO-?Ckz04Dc)yrw- zS?ojgvI+*u-IBO;JQ2YR*evU-sRgd-u-2h2rCm+d&K^%8(ZJ|0da2qrZf_pglQh6x zPS`$@Wi1}?jF|X2L}1K#km(inMt&ND+VG;8uEQlzJWZs~h`j9GRco~0h`gu2wAo2N z9qHwNyeF)AT*d|tMQcE(pZR^gAD^A{5nX<@qP4}owQ0J;?{s@)YLs6Mr*pKC-FwXI zFWN9KC!54Om#Umrp4HCB_|T15Tt=A@Z%_OjM_633_q&Ii;w{WC#>pE~#%5m@f8;mr z<;&lZ+pq3*wfokW?psGm`xmC4>*Ok)2_|hCaEKl-EC-%Ydj+JX|LBP} zG7uK0?O~;Yx)Uk&@5ZOO2j;6r_oj&;^<<{@p~9Ovv8+pNv?OP!{DetD*7XD?mQsF5 z8FbY)4Wse1;uqp`NJEWZj6T9o0xR}nU_NMqVRN5lGwRJHWau_gu=S+o^D8wkpE0C7 zT1t7e8&$0!sC~jVeq<@b7Q3gI;YdSe1eJoTd8N~Wh+>c#M5hLx3FOF>hp-)5CxFv= zgVbKu5?vR;sbyJ;i1-{W%`CP-RHwb&ghK~II@4*-+Z`Oh&F2pgRM%XWa_hJHte4HL zVv$*=5~?|O&UV1`25XY~HNLzG34xwZ$FN0cckwLvyoYqPAm`_w2XgJImETz`KgEeE z$XPs%mM-60#m&2zT0+g~O29zozq1wq?kAIE*np9f1^g|dklo9`3G2_dmJDHT3 zp-yw8T36|q6A0CQqV{SfR?Si-%{)C{u#@>=jIjw?O>p~m43nrezLM;HB~|O&a|(`N z-f?o$er1u#rq>4w;-OJXCFq9R9xE!z-@V`<9O*Q6GS|5LE*FpW={15H;@0#`h zgFgVsLzpw7_?1E9h47Ei?mpP68`zN`2;azkdi)g|9Em=^ zXgs|HzT)=yP+S6Um0*(k09ao^B4IcDpA!0~5Wwf)pF;Sj z5Pqo&{;3oG)$0H@k$?6Bo3ej?|FshMI?3{F>mUT2W%;UWfCma}Q*pc#QQk4=on`+z z&4Qg)^1~zxs7kigu_q$P<$rv9rhCz zLL%#aUj~FbzX9=n4MMQVn8kExhAfwV#rQ1}BoH7@7Jwm5nTJg&O*tC$mEuqFf$v~J zQ8Pja<@1$1bB&X~;PX%%kronh_q8&4@T7pic{lZ2VSX$EH=CY z`A~YH^CpeHM?T)Tf5>R*3;#sZg7GY)Oa4AUNN{pNVC%B>x%vOJ_tjBxCEL4=JHcHV zcY?b$?yf-_C&673Xxt@ua7}Ot?ydoXhG4-pSO^yMbuu$|X71ek)^EMP-dpSS;!w8t zx4+uw^yxZXUA2+n1*9U?jf&tWJLLE=B(!i3_0kAnsz{McuPjE$)cZ7o!Rt#n^u32{Cq(&n|@ zD;p;%!ZX9+ErmC!FdqWeN+2O80RYpp56WP@`?n>RLN=FZp+IBXgjI;la+W?nw6OBC zAXlr{)m?=bc!%s5Z=RxUuZJqp%r;T9-7ZRwPhWT*={##2+>u{$5CbEf!~q<{!Ay-M z*8sRHlz;*sXi1Mq7(Y`F%!8VZm|(xlM)4sBy1T zk*=96i;Y^B_r#vXm}1q0=2_Q)uzPUL3=Zpid(lMl>Oz2Hl#dmRdvRz5%7H~8L@z9k z2+x81P~=V7_-<;@)Fj3{szmEl;VE+CGx+nLOuuCN7ew0SsmTsF7YY8fA~T(o6WhC? zFl^XYDl?jt6Bkhv&>sfJA&T5(!s9Lz{6Nb=4)B8CX5%b5h(nQ1;&Lw9VC6QKW-mHnawX@zL{eF^e@AEsvx>{s@WnXMZ zClY>?J{xmns)Q$!Pv>I^onujCN=Evjj(<{ffamz@EK_HGR?^T#c6Nga~ z5Y(pq>qN+@&;f$ZWQgv%L778#vjBYR8-};}n)2PtV=_DvWG=94xP^}ZYO*ADB>-b_ zLYOd93ru#FD0vr3j;2lWn{9d@g@0t^^^Z$ntH>RG=pgi^n!y8HRUTsd=zYkOM2bE# zagQpW{WJ5LKr=^5iCOslkPN01@YmM#p;{C7sFkTsQeu_azpyo9yMA}~DZ*sSpA z|AG0x`jTeiJ0LR}y8yi{F`dv45>uZ8^e>R%MMgL!kf|0LEkPL3iLf5%_$YlHU>592 z!o6YWqo2`-7bmi%vM%TVE!ax4ZbVX=h|lIBu}SeL0;jKI1t^vQ_=x(2}c1`oO#wCLxdg=QPW0o^7OwPT6K)@=s1dzoX! zrGGPW2se&_OjRJuMPTFiB8>tYUZ^_4p21RC^(d+lj5GNjfEDrUzl;5$h2fD1&|hXh z^zR3fxoeX^c$YMJjQ&LXL+lqgb_nB1-kQf}Qye_0u7jD3Rr=3NNK7Ia0A2`tG)GNx7e+Ftj*T6JfA83z_~kKk zt+Bt|J*2d0jgH|{NenDSb2;E9Brpgq@xJ*ckfTwoW391)-EJxhL`P zjYG7}1Se6G)v1Qd306EPi)Z~`6X&i7%)Mwc#=67E#D{Gd;1mxLE2`=OR7ulc6g;Tv8K+t%W++MPvu-#N36;B-r z(_aDqW&vUaTUv(!#$2bUY_Uz)Lj!b+bsPT&7QZQJ)JOx(RUos;S}p*Nmyj&&!m6nM zO1y|zy2{c_Dv*@GR5f%KMPlD-Ck6$5)$`x__cuD=c(uTiIoZR@8oy*8DY#P6DTHpm ztt*{3)t7owx1#eP=pl8>^Z~~IUF-8=TAXgQlu7@O?i6i_eO5$-4{Q;W^^;hBSjN=* zgz~L2)9kO^qlRx@qU4qeD}I&O_>I^zRQyr1w7TNHup@Bx4pLiE;z$1} zQU9nSWXUtzqtm_B+#+ z3!=)Qs(`kKP|~`^o?~?^(8uA!qRsc!>7JS{3xXu3uNRE3p50x}}-aKw`!bNx&U(lwN+ zi3N~rFrP(m5K-O#+L0Cj_cj20hs?!1Rzt`N+fd>4=5sg+gO8l$3FBe*HhEHe;d`%S zPt~)ykuMqx!lS}}HoZ2ygM6L(Th&i#^CyBEhUI@F@XK&KkRpmHL~KVufP5VHzoY(X zaCjsC6KP!JKg=qxkD<_F>jZUw-AiLPYH{8EkOBaFPR5YQ3TXJ{Lp^=Ds@*l-jJT5m zaPTC1Bs^@0RQ$F8bY)R3;D8rzFWn5>6~=?X3Z&~i<{M-dmX4w za`tpG)l*_kLWXm=8Gw3V8$+C4MVEibNe=x+eW{R-ncF7+UhZz<#B|aZODKMW!MunM zdMkS6UHP#RzxiS%_Za#c^xxi10M+y3g6|qWCG9hUWwQHu+dSw6z-=t7fRZ<`Ge|H8 zE#`73KZvXadLn2m$OA{|h(mhfWR#U)_3`XQl;s)kuqC5V63j8oATTv>W@u=Ps(1{j zxS=S$A?uRP`2und8?5;P>RI&tWP;7JWI(du=iPa*CsJ=C43lfk95Jwn0O)8gjE#7P zsBEDGz0qKqnu5=IB6&iLjkw@1-9|!!03A`{V;11-y2PxuW=O;Y!Uxzj428xLtO%Zx zXxQgA7B}iy|7KJ@i)u3M@?1TO*>>ROSpkN7u?CIzE9`W=axCuGQg!i*aO7EV@Q%p% z$da}jw1bXK-awnTc27*|7 z1`ak=)Y}nN7=fwOATyLazk=5ZBe=piYlkv_QUBHZ@us62^>#*8Y%DCHemq+B!_CXU z`8%o_K#O>^?r_-Y4FlQqvn?r{e&a~Wlt0{{VgH+7LU5IsrbFyBe;YKVpvFiLA(F&` zsuuGq8!Ul1EjE?pQ5N-#vno@C(eX3De4ii?Y~SI0!DJ2l6Cg=rT*Um)I3maN^Kq$_ z1sED7(T{Y59%^Lz{4taVr40xBc^sQ&0Hk#|Vqt}i?S=;A?obFCk;JRT*2df(1ZUUi zsP2Pq$nq?Ubkq7CwgkO`QO5ToxMtxK@V?%Kcxw7U)~f*MpzGZ78un|21TV}jzZ}2_ zCKfixky0!Mv+x?$8`-!PP-fHt)CSJtAS{Gctfi5-43PBsB2D8hRiZ2rH&FUAkEB5I zyx!fLPC>rj@od5B&$5>{*c=h#My;t9b{$e9sfv8j=sXJ6zuC+R)EpiTGpL@EsG(y7NgK?7xaJ-xiO@|Bd0!b09ft%g6Kwu?uG}j@)+o0@ zd5y&|ql9iU1&y{G=ANsL34XTFL36))&bX5E>4|GJVYv`{sVLrwCHpCeS)QLjMcP3I z?!3T{`Q(|W47|D&_5k9Pn}m4Lf(CR4N)_bFDAmQJ#t57$rUW1kLKgf`6Fh=jt&I)! zBAy0GC|rfug2Ixf=WKQZgTr4klCVJt?azN|=7f68k@ zgU_c>cI_)I$te(#C}+V+)IoxGUQ)jnM#1cFxhueoHIq=i;h?RBH+XF(DJg}DS`b=# zP0A$v%SO>r>7N-}VSS0})hUG~=_7dBBPHps)p+QU&B*5dRsYwM7Ijyyj0rn<< zF8nhl$}BMX7ClvJzNbN(3b2 zgP+(*FLs}7_DeI%q-57+Pz3f9&%!l-J?obq1+I?}JCLoKcV8*Z#~uSXcbRJ3Gr%%PvkvA>3TNxT=MD)>}Ee zvD@J#>lyd=upPTA;c=6VzOEBPA;xSyOp<0yO?|7;h1!zG)-}4YgQ2m~Cgi~VsiQum2W7nsvN`tR&Y))Psy*hcd zI)5=%5gx4bF~PJ4(+*8XhI;ZYNFsq&LSbpr2n!w%7!n9fz@kD5$418pe>h^;;s|Ul zG?Ne63IHZA=bP~izC)L_fGoi{WQctn}oyF&P;dK!`=W+vd;_BH~1TOLH-3&|kI5Ac*|5!(zP16mQy_k@_zz`1v` zPj<<|;kW`~$iOAyFj7ULi)e?K2xer?MDS4(U`-J$PjxSVwFe|Wj6h-@Kk6Fp(+ylR z()9~BK#)XFK*}x4tsWK8h8GtREU2|P2wRLJ1g@I`F&x%irvYN?bS?@Y0$-sahZQEI zzpP;(LPT0J2Xq-C!D-{4!wDU ze?v-2B7lGcM2N2x8G=8X+14iNJ^9_y;2750Zj?L1XNy4yV)tQw`cp}9ywA9(5^a7G zaCZ;fr)tsa>R4t-!Fe!^>PSREr>k?EjwSb$q;3z#98M4fWGQhFU~hic)JvBrY=H{f zIX9p$fU*{nliK<|hjZ1G=8*+z_#E;28=3;(nob%70D(Xnko0t9;99Q7d3R0M&2_vH zMu;d5@Y_4%F|qrV_q(QKYY$355eRfOB*;7+4Z-;_b1xN$jRo(9eJ4J1?=|`uzui@K zS1tW`5~nS?cDm|vEDm{O!1N64dG#P^mi{$xutb71atd~%EC21J`1D-ZVvmT?gK$|O zqQz_;#JQUz!}L@P%l$E)GE6hnN9yR@%r;_^^3&Zn(#L61vM|U2l}3%J8j&&q^0_Hz z%rI~w{T(u@)c7hDqpZ}UksbmJXz?B=Ga-TzaCnS)N!6dlJBR~wjbg5Chjj6vVhsUc z1xj0J0@8`$0GIM!y{1Sk$T$edpMzEcd>#d)Iryw+IAR{RZ-&gVo_Kl1ocY(m&Wx;H zI=zv~|Duk(zRZI|jlXlwTyfMc8`u;5_<`fgw5yzZkdIR`a)#SZOKu^7f9hgL<_1k0 z^J2bx$HM!FZ*~EQUpl^HJAJ6iS{vZG5aCHxJSp1{3wY?hDr84utRqkS0@h{~^-b9@ zED*z8pg}W2tFoNg|KMEfxE`DKHB^thBsLsp2|y_EjY;qY3EWMoq~j3ZC9a$9rPX;} z3!TrhgI6^A6B>-oDV9Tqnr7~SM*Y?kv2p^JH^I4L9Uu0;st}5?P9ByLR7T|$6`sB7 z&Ja$XRg=q3|GvhH8m*KgQ;w^9+9&n0s-gp5)8;lv8++z=>Kp)k&JuqNpJ-CEx9X5tk8_ zZDLmPv`=-+{`Sk_z1v+bDiyBM4N%??n#C=D@`cyN$P2y5^R}+ldes__RYEN4nonb2 zz4xw5q{F|$-1x&*xCpPiYE8YQ_BOTmSMPTaGDg!>}yea z)Yr;T>X#oiM|OAIcD&mB=4B3w<}ST`(Npy)6GLd#C?L6wi5bo@$z?oD3AtL=4#`FF zdL2jZ4LoyFR>UejOgwK0vI-4EKkhO%cv=kF936!ch z3jXG3?RH0d`R&O>@*KKA^Z{?IRUQFCV_@N$lJ%U?Qt(FE6rfXHAac3|Kd;#~KQj{; zGd1InN<3;Ku9#Wa(eXi(&>1n!BIJ}^w)RNJ0EtAq$}pu(y5e;JBqhWy^(&@wf9-Ru zfzFJV7Z$;n{g91!7{1fm%yr-IL9$)pB3CP}JSVp}qYBiUyMq1?^UN8hII`Mr$jo zR*p@|E%MyJ%%0vC5@w|XSZ#kGy3N0`vQtRsG z^VbEkSf%>yxUY@dsY%8@@A~>h6l{)%S@%#1V0Gt)6SvVk5~VAyLbVEGJ7*)8nxp}H zug;@sq%u8xdJhzsLFP$camk9-n|fy>(4HouLpN)nAYTZ$h$gFhtL}N{&Dx>6miDHl zAYG`Qm)!Z=TPJoxI?Ip6?pt-unieyUu4l#V_#p-&Ed-#aJA?R&P5x5c2WOpiRb$yJ zx%g)@gOH->_*1{!^8{u!f|Ckii-6%RI(!=EzS?w~fhEBk z_TFTaBv}qg*Col^7%{;ox`dkd=Hp2N&(F6Vu+q1X{aRd|94j2(&^NCnu$eOZb6-sN zk$m9DPzP;sXlZMNndf)o)+X51E2^gun+h)u5{UWh%G%LE_{S)T)(CmO@B?%C-wa-b zaH7fjZkD0LQn<*VvsQdk^UpbBVVGh<>$%w(4!kjW@DL}2%!$-hXvyQgzbu}%^mix{ zug5h?weo0Z;`fLr^1GTO$bO00Wfoi5^r7s;*Rz}@ zaJ^!|_`IT0sjTD}yl8E+nz74T7s6fpM21Wy*f%l09IxZ7dyE;l5b3DLVWaH23LGmt z(`u}&&PXI9m5UHR*LqF()!JdPW^X2+8m>d-@?w5GasG(X8*y<{`*^~UV8KP_^5wqe znv)}~KSKyz_axeyKm=Mu)>q4j?0fI<&sL1>H|uowOR#L3-=_G7+|;O~BzZIT~GzJ1SO zZR+|as>(QK;h$MB4T!uweU8bX(B|YPn|F{LX-z6h8s}DuIUbchqgD2JP+Hg2^Ol}O z!l+&9eRyu#i#cF=wKK!Iial!Xqb$bNv$~Pl9?h6%c63Ip(la?d$)jFVq93jGcEU+A zDfNEb=Oc4FNN{>FIH)~$=;s$Q4UG4Qb?d}^Cipr zkD`TZ+Ou|P?B0BwL-6TGR@?;Js}1>fhSmh9TL&P{>z$_$_Xs(y#$AdoVx4@wIAKj} zX!AVd9Q7qxo-x~)?#k&@-qk((0{2FhKUUkwOvNsQQ>er zqS+wGa$`j$E#k@ww-y6U>*^aQ>GQHZ1zw_Xm(>IU3TciVKxT zsyOFUgF*^%Sf6Fj&l7Z_)?Ye9khWK`@dOxR$8pi(wso*uG93wh)FWb)zSn6or&&El z7W#ge5A1#-ILjXU%FzNY?)Q@ct z+za`W8d;Y;ru6aKCtmiH?-%*SxISiozkGEF#Orp2iLY4DJy>!GvXj@u! z%g=O^b7tACz5U(hv+GGg5mXVVO!Ur&bdo;h#GYfcYC?RL(4jo7PdH&i`eAK&uI80I ztxHf+R?&6(U5O#+b(8nt4t^q;?{glXrl4`2wpv1El9rdd6cinLW*NIJp`V4x>B=&> zo-5_=jVS9+k{D3Gs<}TpEpOneI3F$WHpQX4KeR|v=l=>bSKzW08o;N!Xxx56O%GnW z+#KXIboA|ku}Q;y;F_;BNPpyBp>BQf74^<6VT}yN*=dF}JRNBdQGSV8g$lZQe(W)F zEv$nasm_QI5@D6AjLq;$soYUM*NXNDyg$A=o0S=nEd?xoQ9g!is-byJpnZ&qy1ATh ztEy&4-tJ_B9pQXm2h!_d-ya!^DfMeFGVj?!BPG@o@n0QOXGe|E55+HB(P^VTv&G4> zM9$S8fnny${o%7x(MW}hEykj`;+B3`(e|j!>E_1fCzoHpap~H&R6cc2#g$sjAH8`+ zdTNnQgN8eJ32%C^Fyr5>ixb_iW}Fi*hTFtD`{3=n+sr+A zs~meT6i-!Q`vQ+BVO2!(Tx{E8KRdpVysYmHQ$ogKML!ntVi~*NCt-el@9@PBf!?sB zCf-hk82yIL+q*kCmOm0DG8VV}+y!`zrrpFl5_m0M?Ixm%5+zdx&Lm`*)TyL1mOAB# z<36svv|;}Cw*I3}TpSXL075`{Nqq;Q{_+(DX{DCwIUg$fc~tv|0jQ5TaX0Q#(7>z^ z+;h|5vq)ADD7M8!VPbuUXTwzOL8cWzJx?c15p5rOnfUzz(se#9?*zUvK>99l?>K(+ zwX(&v6A#%=N4?Pf!Ge-KjXc@??YDU|6g}Nc%tM^}#ve(%Rp#0D4L437__-|=YWaKAsjXxE1 zrQ0Ft@{b2%7ybzFXd3jM*A3 zZEHSJNU!pMo~e{V`l@QjjZZf zcmJ38=~<`Uc7e5x;ucqKG_KY_0cj;}B-pZ`rHyvTm2R zO)CBSIZyN^Busi2-+s)Z0c=RK`S4RNIWg*<>ZrDe|9JcUd>mF(>?E&z%ew0g&vYfzAQLO5$q~R~km6>nTBb!)(er64wp8j6`GI=aEL3r)<4YaHXImT}%|T=VsKlbk~Z z(TsvG`g%k(>S+}LKVB{vWsm4`w1A6sI##PkSn5~17hj4*$DLEGyLrw{Ke0O)bql9I zcdZJ)7Teq(*Y=GjE90u9TDgA}_1gQL+q)mnGwOWfJmNhL*fN}X5gi%}f|8LhV{Km& zG8{TNEGOnDD@AsocYfOw+tD+SalV1kTbes#xRirIY&sp5Jl%z3QwnC(bq~opLhF{3 zk4G}^{RlhKbnrwptw|^zj+apR-nE{AFm&5`BRAEK^4Y62p5ml}f>TQcWQLE;c8$~? z+{&-%_I2GOiLFG`oeZQD+2iL*I8Xt!zOe-hJ%YTA&-{opXt^B;z9;Q5D-=DbC@1(O z@ICt^_f{y#i}$lG%Dc^4UIpRSG*C|mALYcH_ZPz~Umy3rvuk@kCx`|Mk)JS+>C-n_ z=&|&DVi)S)Q>NXU#RGUAk_`?Tke)2f3zbI4hn3o` z!qzhEcX>U0uCMx-aUC{66f{(tJ3BgWJ>WLeB!5=^tzNW?)kSy(lonFO4kM2#i-v{N zwxCO++i~;xM8&Sp!v=T1&`3V8_UT61r4zBf`!ewdi354z6=R0$5&ZYx8dYkdTvN|u zwE<`g{>{anYuv*;O zIytsbZRYWHr*-#kkXLy)#VEGm$W#%GToy>rX@Cx*H$zuR+IXH5h1DsMqADi10rPr; zQMI|h)}Suukf?Y4IyLMdtjLI8$chi<Ngc{n4K#n^`PJ1#^cpdjsnf-Dx`y3U=MLZo5Hh zq<>E0$dPm+P_?c^{9+tI&K;Q zzV;F0Hy^IOkeYIF@}Bvnh&eLp@x93nzDyuCYpf%A?xkpFE9}j?lX`p=d?eR|graDa z#C4aEs>myS!dPr)!nBU;JtyLp-&=hxV0#^SU;OZ7$AzwPGpMkip5z3*+Avo*>P@ds zCPAH(YodrXnxU3LDtX+gQ?hS}(kG?EAHkbhR-KE(=7e0aHWzI0QRIDZQyrC0&fQC4 zpN=tDQy%S$((Qxa<;rhi-gCJO|et^lh>dM&KWiuSp#g3Kd9jq%Tn?tMw= zlUEzj8Z#RMShmNwDMu?ahol4xonnOwT8GW*J);P_Ot0OA;XE+Clhr@sZLk<6=}wkAYyvrs1X2Xqt@wb*f^w zQ(Ao6^=Tvcre?Q3ZoQ@x1Rn>+t%kIl>% z?(d$43}Yy^eI03Eb#TP3k>0<;A@gGrilM8jHzqb|*s-n~PwuO8xKevnobiI2=jB^g z9cxEV3^8Id;G#YD{UJjDSL~Vm>3=f;4 zYtlRE5Mnkv)@I4~UiH;X>TZ6k9>Zqjm5;}(fO-IxikxafGOF9ehvN9Uy29jd!iHQ+ z=1p2-cHCdpKCT`)t!QC^(4VuG4i=$q4I6jHj~LO{!WKdmr@AqZPv) z9(8m?U3TgP8-`?oL5+r4{Bh|*sDkb1Gn=dtu7k#y&*@#dPAbwg+!?+T19wR;irMv# zcZ~PleoVkmm%Jps*lebZRkJMg6ilRvzG;3q%uju1@6{>%j_7DBQ;%{+P2Pn^!4wi$ zx3vQ6KC939U93sU8GccwY(x&*ZQc>YVsh_Tc|rj>)rz_bt2ncfdsmSO>0kd?DCj0G zh)P*Ktbr}@Hno8@S6y2CW!-D3{?U@!I&9O&gW|HC35AethMt6ZTzB~ivp2;4rqvSb zweNDp3>r4kwc$pXvBc#ujoKV>R5!e3`;KUQSV=7uX;n-*=J{FnB;Q(QBfuW3)wbtl z6h?3gM(w@FFTSCm^rGtok1jSCrcg=-I@O;Lo4neYz@jI?p|A(?l6CH;+jYJSYOPtS zPmi|Cp!%YnKbWG6JS{J9YoC<}Z@KM6OYbZ9)<6&KgHm&d3Pxp#CwrtOZ!fD%y72HY zGY)^Nc81K5k617FnBY)~Z+$E~?vH&+5@mO_FE`)9BB~>fMx^qkIkHfuT zzy4Zm{grWeythX}-8ispd-LSisqqe6S%V*}y4b74!x363CPp12-w+i3MzY)dBTwNR}444}$OP(fW##}afq~yVWOZ3m_Kfb?A z|A9Q*ZJk_!j7-3%Qm$6Nf|W{x-K~I((n4GuoctVuTzt?uQ(g}KXMafilvW07Tj>M6 z?A&dEwm!~QuC7+rKxeSU3$Tq9R7}ar$IHpp((Mdwr*Bnpy%(JeC%B8+)z^Lu1=O77C&wLhW!Tyv`Ndu{JE8d`!BlM zcJB7j-a~7(UBS-IR+hl0vS52RtDp0mP*47xW9H=li~qEt-3LC^heo6Qb2^j@s$*vl z4gLyE?V-+;wz6=tgi8IEs)Jn}+<+n?P=`C&dpJ0{0eOG2v$C|aaEJPqlM8AJ_*4&Q z2;>BELl0vpK|5b7Ahf$r6{LZNB79&=UMmYuYp{Tz6(>JfP=M0{4CVrJa&Yj2!B!lC zVj_Z8JUrIs0$i4yJbYFh*49=6ygcUo99DunU@NdSzlE6bU#DxcEX)Bg^s=%vR*3XK z79?p`-oS+mV4@C!G*{5Vs0J{x1(r1vjhfB~RMf*+)6AxCMUh~stN~YdAyG6Iz_Anv t0|<6SCjRLjH+SeY