From 9e126b0cf9cd9f21c4c04d65594ca48ba4c581bf Mon Sep 17 00:00:00 2001 From: KaitlynKeil Date: Mon, 25 Sep 2017 18:37:18 -0400 Subject: [PATCH 1/8] Updating our work for lab0 --- adder.t.v | 29 ++++++++++++++++++++++++++++ adder.v | 56 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 85 insertions(+) create mode 100644 adder.t.v create mode 100644 adder.v diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..c9e8b85 --- /dev/null +++ b/adder.t.v @@ -0,0 +1,29 @@ +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder4bit(); + reg[3:0] a, b; + wire[3:0] sum; + wire carryout, overflow; + + FullAdder4bit mut (sum, carryout, overflow, a, b); + + initial begin + $display(" A B | S Cout Over | Expected"); + a=4'b0000; b=4'b0000; #1000 + $display(" %b %b | %b %b %b | 0000 0 0", a, b , sum, carryout, overflow); + a=4'b0101; b=4'b0011; #1000 + $display(" %b %b | %b %b %b | 1000 0 1", a, b , sum, carryout, overflow); + a=4'b1001; b=4'b1110; #1000 + $display(" %b %b | %b %b %b | 0111 1 1", a, b , sum, carryout, overflow); + a=4'b0101; b=4'b0010; #1000 + $display(" %b %b | %b %b %b | 0111 0 0", a, b , sum, carryout, overflow); + a=4'b1101; b=4'b1011; #1000 + $display(" %b %b | %b %b %b | 1000 1 0", a, b , sum, carryout, overflow); + a=4'b1111; b=4'b1111; #1000 + $display(" %b %b | %b %b %b | 1110 1 0", a, b , sum, carryout, overflow); + a=4'b1001; b=4'b0110; #1000 + $display(" %b %b | %b %b %b | 1111 0 0", a, b , sum, carryout, overflow); + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..965bca5 --- /dev/null +++ b/adder.v @@ -0,0 +1,56 @@ +// Adder circuit + +// define gates with delays +`define AND and #50 +`define OR or #50 +`define XOR xor #50 +`define NOT not #50 + +module behavioralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + // Uses concatenation operator and built-in '+' + assign {carryout, sum}=a+b+carryin; +endmodule + +module structuralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + + wire ab; + `XOR aXORb(ab, a, b); + `XOR abXORc(sum, ab, carryin); + + wire aAndb, oneAndC; + `AND aANDb(aAndb, a, b); + `AND aXORbANDc(oneAndC, ab, carryin); + `OR aorborc(carryout, aAndb, oneAndC); + +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if calc resulted in overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire carry0, carry1, carry2; + structuralFullAdder add0 (sum[0], carry0, a[0], b[0], 0); + structuralFullAdder add1 (sum[1], carry1, a[1], b[1], carry0); + structuralFullAdder add2 (sum[2], carry2, a[2], b[2], carry1); + structuralFullAdder add3 (sum[3], carryout, a[3], b[3], carry2); + + `XOR whythis(overflow, carry2, carryout); // Our Code here +endmodule From 3048ab845a3f18d5e52ddf785db436a3fc3a965c Mon Sep 17 00:00:00 2001 From: KaitlynKeil Date: Wed, 27 Sep 2017 15:50:44 -0400 Subject: [PATCH 2/8] Uploading the work we have right --- adder.t.v | 20 ++++++++++++++++++++ truth_table_l1.png | Bin 0 -> 11437 bytes 2 files changed, 20 insertions(+) create mode 100644 truth_table_l1.png diff --git a/adder.t.v b/adder.t.v index c9e8b85..38a4baf 100644 --- a/adder.t.v +++ b/adder.t.v @@ -10,6 +10,8 @@ module testFullAdder4bit(); FullAdder4bit mut (sum, carryout, overflow, a, b); initial begin + $dumpfile("fourbit_trace.vcd"); + $dumpvars; $display(" A B | S Cout Over | Expected"); a=4'b0000; b=4'b0000; #1000 $display(" %b %b | %b %b %b | 0000 0 0", a, b , sum, carryout, overflow); @@ -25,5 +27,23 @@ module testFullAdder4bit(); $display(" %b %b | %b %b %b | 1110 1 0", a, b , sum, carryout, overflow); a=4'b1001; b=4'b0110; #1000 $display(" %b %b | %b %b %b | 1111 0 0", a, b , sum, carryout, overflow); + a=4'b1111; b=4'b0000; #1000 + $display(" %b %b | %b %b %b | 1111 0 0", a, b , sum, carryout, overflow); + a=4'b1111; b=4'b0001; #1000 + $display(" %b %b | %b %b %b | 0000 1 0", a, b , sum, carryout, overflow); + a=4'b0111; b=4'b0001; #1000 + $display(" %b %b | %b %b %b | 1000 0 1", a, b , sum, carryout, overflow); + a=4'b0001; b=4'b0111; #1000 + $display(" %b %b | %b %b %b | 1000 0 1", a, b , sum, carryout, overflow); + a=4'b0110; b=4'b0110; #1000 + $display(" %b %b | %b %b %b | 1100 0 1", a, b , sum, carryout, overflow); + a=4'b1001; b=4'b1001; #1000 + $display(" %b %b | %b %b %b | 0010 1 1", a, b , sum, carryout, overflow); + a=4'b1010; b=4'b0101; #1000 + $display(" %b %b | %b %b %b | 1111 0 0", a, b , sum, carryout, overflow); + a=4'b1000; b=4'b1000; #1000 + $display(" %b %b | %b %b %b | 0000 1 1", a, b , sum, carryout, overflow); + a=4'b0001; b=4'b0001; #1000 + $display(" %b %b | %b %b %b | 0010 0 0", a, b , sum, carryout, overflow); end endmodule diff --git a/truth_table_l1.png b/truth_table_l1.png new file mode 100644 index 0000000000000000000000000000000000000000..9c558b3d4a20cf60a4f93fab8fa128ba2ea1d23f GIT binary patch literal 11437 zcmeHtbySpHyEolKNy$hLNSAav%m@r2-Abo)NC=3CG!g^oAYD>Q4J9F{grKB!gESJ7 z(&rxE=X;;`oORCm-sg|+k8iDq1#5LV{Zy+?QTZ5}z>NZYb2Dv-$e%K!pg?R>0Q{asz$yk-35 z*?-HG0ls4%3$e5Qp5o&y&u*-#%?fk(vSSq!6cZE{xa05SE6T1w!Yb!wYcHbX)t*qT2`pC1h1LLfJ2*=?6Pw8&ne}DkMA%qzb5)l*@`q$|`PWJ!9 zbj-+~(=m%>w4MCzT#VsPu6AzTfC}>Lx5UJL3;T1_b>52h>(^;| zR(B^Vu+jYDnoWamzlw<;nvy$7&t`ZKb(nrQ&$nkQu z<_OAzinIue%wDI_AH>z!DBBx-kU)kKu5`_D_&GR@CaO67M4lDft3W%_`pWEv|L~gh z)iJti;wR?!x(N7j7VYe7WPG_@tk(CURiU{QYL;}S5HY*{o>Ut%1K*DK9dyGs=Np=` z?wwus+0&lf50tVemwQ&)M;|SA&1q7+wGw!Kl2f!QaP`wP$mQtW{Xx=5Mf2X$^pAm~ zfm^GKUsn3EcPyOe*U9;w_3#ON6CB z*y4Mmzmw}Qn^+HP4G{mU6qGqW3%h zRRMn4msTS^Re|{SD(jS}x6FRC3)d?o<8WcoRfN!nyxgqr*K={=dV`&|S2Of?T{G*f zHO8WZjJD%u_r7n`zwR^5G7h4e+VIJ&wS}nxU`=c_Dc(5voOzM-cD-zM(U0H7j!(vW z0L4{T=>zFY&NxhJ`=NL#GD{6yBxacO<0q;*X>8&-(lay5@ROs zZR~;nB{NnkXNEMk_1Dqx!dhIO!0fEFmO-7P(bdzq_HV0;TC^9@>Kc5OaRH-SO;Vhf zMOu^ewB`2(cHM`xLTN_V1aAFU=Bxi@_P1*pt_TS_Z(6|uQH-H_OL zxYuB=HG1#tgtn>4jW@Q)B21#i+{xl{x>TMR|I`sLeEnw;x>**qHoW%vh2q}5=}-Q6 zH9KR2e2+Jbs;>841$z!JB#V0!+PP#Xxb+3hNqo20n3(;%xd8pLxR)A_3buaicuc!B z`)dOoF?S5!-ysc;lit@8;<@xcC}tQc!InMl&8k_CadR;*bT^q;O6%Wycqp?;y+wYfc zzm^#mg?@s$!&{E~`u3gbGbFBJq*dIBXD4aRO|L>eO_iH8t-Za_YI$EZG*GA)M$tMY zw4tP6^L1ma*gIXuDE~D`(c?>n=W4g!?D)v|(QcyqcT$?M<$$??J9ELK>!bY^f{dOA zi-%81LPPt;!M@8qd^ZLd2e!&mZ+#Sen=a#aY|u*hs8wtQS}%dKvl1+G(%C12?AW_@ ze4Zj`wI>ZH&kI!w5)s9a8 zdKR>@?{O8Mnmk(ZYfHk0xi;|b4U?0XZ2gaGL}osW55kDwC%Ci7SD#GJ40g!+`0gLP z3UQZ*K$PZ~vy0m4ul5f|gv8PUXXq zE=CLpZ3z4I19^j*!Qjxhp8oXN9B3_jsq0_V#~Xy4HL~l(N8hyazP~1=b(fju-Hvbg z#-XW#q}9i|k>yXPLQ;u^u`luB4^TpZe)rBSSIzTPnj4*eG=Q!oeV0o2rDMt-jx&7? z=pUJ2R4`4rf9a;>W#ySIUAtM3@32XCX&&?ZNz;$9{8l;R${N3yk1PaET9nG@WzRZ7 zY8MV%J5g^3Z9s1odX1Q5_AJbhx7{gTY*CtDOcBn8tb9toQ}{0PMdGnTG&;UT_A+}$ z;yI|Eh3V`(A^P}z0h5!6S%P$9c+Hy?+GNAM#y#hHC-V+Z>vf@$!gsf5NIEtmhwY6F znH#qg7wvN2T8%Md&pxF8(Of&-cdZDyMzRXVrO+C>$#n_dEOLm%WdA zLBuC_R^<5kAI{_?@qNEMU0?mI*|OlFvOwy_bwlx?#HM+L&1S9hT;fDp?MdbK!`8FL z0(wdENObWmV090v%&*;Q?{0_VPG;P_iT3w5F7P*5GiX&`HKlL8?79^UdvpL=AFS_f z3s5nR#eRFv@77YpPgh3hJ;|gI;!NK>d~B19W%S|N=eqbA^-;Q3xgOaN;ZXc%V&3M` z$7d(9A=HBQlXhw!b*p+CM!qp#IXt);Na$A-z4#RMx-L-BWO{A^>O9*0Z5>~2legi~ z!GWgp{wl1@OkF1+9CsyC5!Ln}TtVl{=4=JBr8Fjpzf~w`1trl%r)d@}!E@1hcmN)& za4-ycq^!lxHS0nqg8Zwq4 z@!)TZObEAtmNkRTl7!d3Wt+=7v-J;JxR}fz2kSeueqsr(7Qg$mBbQ_Ue1haYR~*Ao zew}=mTiIao^0$jKIiIaDRLw`TXr9AUvh)L6OW#KM`P^CWSyK0)ZS+ERXMr^8me7}q zFKt#cU@1M(x0i=gz5$|dpc{S`mq7|weH8UQOkIZoZ`>aDXqwH#wx&kkUrw=@kbp)! z_ZNFt`dfsLsHRfO0#eftqRw{VR7TlqT`bhP6E zn?ob7S|T)VFi>k7&o7wC7oX(IPx)s^XFf_7>6F8T5wQW$I`R-fYs}Db~6>- z;a^P)4~ugX#NV1I)aTrLZm-pHHk(MtGxxs6(!wiusBuqk5e*}FFIue4o0#e*Ma#F1M`Xr6#|l7x?swVAjc^Adl8|md2^3W6CTYj#m zn-*8b!#fLiy5HVx7N52Wu9z5fBJbS0rV#QymR{odscqZqa`kJm^ycqoY68M81m3M4 zKW4gA9BPn&Ef|7 zre`~;M~^<#6HwyiQr)I}rh-fKw36cqE75bTr>tT)UV~U%(3BNtJi{xM*LN-wZ?L2X z+nXz15+2@A}JcC@q02FFN~G`IPS| zGX$QJB@X*F53i3zoY&(9yohZT{~Uk)tX=OoQmBQnDdxW@o;!@zXM|dvE|VGZRGLaV zT=o|5O_2AO)?Sz#rPc)9yka|X9Vl@A7lCRVl{Z=BkxM6*b9A%YIc6G*2hBe;c z+%8UG|U=Legpd-QD(t^}mR^13DUHrkOe1uuck>poU8 zN={9HSBo&&Bm0hQ4#b}!0>7M^B{-Dcnr9FNm zX!2c@1OrD<`&~YoHi%$PoDR~S2h}wenX<|=z)x8?ND}IdjfqpDr@M}T*?jT-2xH~j z0*sDyQ9~KepYTOP7oLcLYuJ*sL7D?R>^e7UwBsCMdE5bgy6$+*2r_sa9!Nw9R+XH$$vP5FuXuR<-5+p7z~t!h(q$kV)!|y;*hYGANju z=i5Xgm)a%z-Y=@psqHx)w_kxK6IF{NxYlTrxXDeqm222^0544q7JB1s9HknG<XxGtr3XmQ)L8Fxec&Bn4>eI7j;UxMtx zN@}VPo5w@NR6Q@?l#wCJ99I0ClwrVWyZiBau1m0OduO{`=l>oUj8gi^9YuD( z63f@q>2_4T@E=3p61b|)Q@pMo)}{uZ#sMd{+uvYe8l>cC{s1}0sOjM!s9c^4k?;Wn zXW;DrI2MT)Y9GoCG9tQ1`rp^H2ZOiKw^LSJ@CqGx0c$vV2`0HD45b&Ljh~q-t$fc1 z(9p_=e#F5lLJOGWSGGE2D$F+Pvo#gZwLKjGec3*EEmb0lWE#+*pA)$S71gq>ib^3q z;YPx{Sse0A1xfTmWl``j?`YdV?XP+!{Oop03Na9!y{@!|0ZH|K{dsb(!KHib6U>E@ zS`PnQ3U@)~*`L{At=~;Sngr<)4MjNO9OeI5 zd51QR<2|urL&8gf1pgP`zwN|*{IwLn>$E>jmQT=Yi>EdI)=k2~a+ao(SFo)oG(bWc zbQyq)Xo0EulEnFStjhrJOX=a8<0)lfqDmtHpq}nGAgEI*QMA6fr{f62DGX7FG0$I$ zzxClSz%5os=*J!fk%%f>S*Nt5_A>+=@*x)2f)-va$xUZzCW&y1W%Zf|_lR158D3A7N{QY`*~>Z;)u`wxI{F>;{X#qA!3gPy^@l}LoK->aJZG$-X^d*zkFpk3(er)5 zR6Kx&w47}aQ6fx)Hz>`U{=u8%7=bd%1k_W<-}zWOmf#hBo|pp%x4a${zrHQGB**tQ zVw}10b?JbTNGXUouRy>AEF6uqdYd@3EwhAGaSAr3PYdM1S~e9R6*%K@>CE5YW}|Gl zMZ4q>xb~`4iL|3wVkzo(GALc{k-e24XuP)h#h3GHi?q8*nvhWNPWVK=-%aDNPmB{Z zku>DRHTi7JiPq-0IyZD9@wU6dM}e5qg(J+W_xNQ{@KEoZr)KlL`UBX1?hM834C2zU z3IN)0TSORxkg^QK6gMAFeEkg=|YV3;u;iE zl{*ED5ul!xVZ!wP%1*o`aNZ%vrXy>3#M>asRoKGOoiNT=0w`OaUIVEm^`~(x6x@&+ z_n7SxsL#R@SX@b|?QOxTxY!!j3}CF}sO!8=TCk@%1A{!%7$0!K(qIpDq@+n&qq#<6 zNgXVZCW(R<{L2Fab3Wl{)j?Kn_CX#lpdg=?HUmdQ0C_V~jIs?90cg-|fsDuOaR)28 zfigw`5Q!s9d>=!lKGEWg;rzoT76t)w{o{9ezBD{U3d8iStW(jgy7I#T$c4zVQsK0s3M!(GN6&lsCP56OyZ!6alv0{d%5>O0+sTs@wz zm^AX7_j5`c$`~8tsV%8bK3~r%bu=}HuRqGwAmJLU4bV2Y$1jxU)1p24%G@Az)eNZ4 zgkvS>^(TWM+U$OR(Qw(Xsw6n?01d%~-BiiHIb~ zz|o#X5Cwrxkv^JpHU26{K5okG71}XQUAtnou52H>k_aFh1zk(KuAvL0sdYu`mroe(wBX1=%LANj;a(#_GAbp39h%bY$yNIN$>$?1RC_JwCZmS6 zvO>%aOe!xHe+ux)_JaxVvCFTc3+Vo1iZ%IChldoM+XGa(FF>yvWjEcwIl>?S?Ro{u zQ&XV7dPtlCk?o+N$k&{{8DZQ` zco<^aDNF*6h8hvC4%y!S$V|3WY4wqNJyqzPw&3>nxSpd#xH5h@N}D}@$s{0E*;2nQ z5+cg$(eaG>dLdn^krSS>U7#v^K8}$FcM?EO1H^@iQ?~#Y4bkLY#~hL&G$9XYAKKCy zb_c1VF(Wg&GB2|}KrrA*sLe`U3kp^Z$inHx(P4Z`*+7S(Gl0J)0KKA>${%dU5pOsT zQ*(RAh#+iFRX?imz)G;WJYfL)F4VB3PJ&Y+esROGH5OlEn14wdgdNDCyE;)oKx!_F zKSoQJuWagw7T7)iN62>Yb~%|#C}7ZsvUm=_n|&t&ztZqY7+mFC49nc|_9*n09@VlKTk) z_!9eXr5B+2*@jbUs*>foq~s3E0YyqTW{*)sEv*eA_Jc5lI_x$W{?nI8IJ#_E+!1pU z$8m~F2tlYs)Z!LUqM5)-{%8LlqNEfVJY$f7LdW# zaWwLdWXGm&mC@bURCL&W)9|^r=v<~R<3>v3;~y)|cfJzF`rU4cFlY(dG(51bYm0#P zHdiABA+KqV%U+z&k0h%OTZa}F5L-Xz+lQsza2cbK4m^L`>XQ9b1;eDcD zE8vLfd3Y!|&cDm9fZ`w)_Y5MTCbUhisfL%-76I4UYmOcUWBX0xfH>HqLC6MKF%Bv? zIk+0$eZ~beBL8QJ>f-i59Gp_xPfmG?#Jm)=B z`7zD3k%b`#Ey~>&Tma?#3$0kE{=Ay?0Kooo8A(}YE(oJi04R*4}O_5#2mq3s7@m&K2kV=ARaxnzpO0xijdL|nU z1qkP}2izI4XBftRBZ#I-q995)EL{~WOdM>82xJuKbkPbjd<^o>;Kuso+yCk;H`Rb0DC(Eqt4ZqIOZWP|}X3_IOE z)oZtA1>*0-ZAf43#^@8esuD^7ex_Xz@S9_)|8BR2@HCXbLt&sHb7VaX5rxT8@JXOe zokXVEzuWc5jk=IB`6|8JobGLT6SORKXc<^R^IAPkR6L;fg+!Z=j7vODH zH0E7^|GrWh{*U)U44$eK5;4uc{=5n=&$-)PhAfs|b@EmH&;KAx#fbpp60|lWUut6FV6- z0C4NQtinm6U*G9eHSiG_){zUbq`o$p2m!kN;m4GFT>?P!grWCMFa&V7QUf#_j9+yp zs2rb|C{Ujo9*!qPU2Uc*w z55V%5n9Dwh&I1wPwk;3aE%c*)V!LwHvjKibe!5)6Sdb+wTjzoBm+*vm|L=5B48#Z* yVXioPsBINduwIcLxbZpim>(#Pl)rob(~x|dl}){$ttyx|dJ%W-!poH`pZ*5}kx#P# literal 0 HcmV?d00001 From f352fba06d10a79843e48ce7ac7d8984d55f8463 Mon Sep 17 00:00:00 2001 From: KaitlynKeil Date: Wed, 27 Sep 2017 16:29:01 -0400 Subject: [PATCH 3/8] Adding the vivado nonsense --- lab0.cache/wt/gui_resources.wdf | 36 ++ lab0.cache/wt/java_command_handlers.wdf | 12 + lab0.cache/wt/project.wpc | 4 + lab0.cache/wt/synthesis.wdf | 39 ++ lab0.cache/wt/synthesis_details.wdf | 3 + lab0.cache/wt/webtalk_pa.xml | 69 +++ lab0.hw/lab0.lpr | 8 + lab0.runs/.jobs/vrs_config_1.xml | 5 + lab0.runs/.jobs/vrs_config_2.xml | 5 + lab0.runs/.jobs/vrs_config_3.xml | 5 + lab0.runs/.jobs/vrs_config_4.xml | 5 + lab0.runs/.jobs/vrs_config_5.xml | 5 + lab0.runs/.jobs/vrs_config_6.xml | 5 + lab0.runs/.jobs/vrs_config_7.xml | 5 + lab0.runs/.jobs/vrs_config_8.xml | 5 + lab0.runs/.jobs/vrs_config_9.xml | 5 + .../impl_1/.Vivado_Implementation.queue.rst | 0 lab0.runs/impl_1/.init_design.begin.rst | 5 + lab0.runs/impl_1/.init_design.end.rst | 0 lab0.runs/impl_1/.opt_design.begin.rst | 5 + lab0.runs/impl_1/.opt_design.end.rst | 0 lab0.runs/impl_1/.place_design.begin.rst | 5 + lab0.runs/impl_1/.place_design.end.rst | 0 lab0.runs/impl_1/.route_design.begin.rst | 5 + lab0.runs/impl_1/.route_design.end.rst | 0 lab0.runs/impl_1/.vivado.begin.rst | 10 + lab0.runs/impl_1/.vivado.end.rst | 0 lab0.runs/impl_1/.write_bitstream.begin.rst | 5 + lab0.runs/impl_1/.write_bitstream.end.rst | 0 lab0.runs/impl_1/ISEWrap.js | 244 +++++++++ lab0.runs/impl_1/ISEWrap.sh | 63 +++ lab0.runs/impl_1/gen_run.xml | 115 +++++ lab0.runs/impl_1/htr.txt | 9 + lab0.runs/impl_1/init_design.pb | Bin 0 -> 1687 bytes lab0.runs/impl_1/lab0_wrapper.bit | Bin 0 -> 2083848 bytes lab0.runs/impl_1/lab0_wrapper.tcl | 65 +++ lab0.runs/impl_1/lab0_wrapper.vdi | 473 ++++++++++++++++++ .../impl_1/lab0_wrapper_17860.backup.vdi | 359 +++++++++++++ .../impl_1/lab0_wrapper_19132.backup.vdi | 414 +++++++++++++++ .../lab0_wrapper_clock_utilization_routed.rpt | 140 ++++++ .../lab0_wrapper_control_sets_placed.rpt | 64 +++ lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt | 41 ++ lab0.runs/impl_1/lab0_wrapper_drc_routed.pb | Bin 0 -> 37 bytes lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt | 41 ++ lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx | Bin 0 -> 355 bytes lab0.runs/impl_1/lab0_wrapper_io_placed.rpt | 442 ++++++++++++++++ .../lab0_wrapper_methodology_drc_routed.rpt | 95 ++++ .../lab0_wrapper_methodology_drc_routed.rpx | Bin 0 -> 3254 bytes lab0.runs/impl_1/lab0_wrapper_opt.dcp | Bin 0 -> 214007 bytes lab0.runs/impl_1/lab0_wrapper_placed.dcp | Bin 0 -> 218718 bytes .../impl_1/lab0_wrapper_power_routed.rpt | 152 ++++++ .../impl_1/lab0_wrapper_power_routed.rpx | Bin 0 -> 14388 bytes .../lab0_wrapper_power_summary_routed.pb | Bin 0 -> 723 bytes lab0.runs/impl_1/lab0_wrapper_route_status.pb | Bin 0 -> 43 bytes .../impl_1/lab0_wrapper_route_status.rpt | 11 + lab0.runs/impl_1/lab0_wrapper_routed.dcp | Bin 0 -> 223651 bytes .../lab0_wrapper_timing_summary_routed.rpt | 349 +++++++++++++ .../lab0_wrapper_timing_summary_routed.rpx | Bin 0 -> 13409 bytes .../impl_1/lab0_wrapper_utilization_placed.pb | Bin 0 -> 224 bytes .../lab0_wrapper_utilization_placed.rpt | 202 ++++++++ lab0.runs/impl_1/opt_design.pb | Bin 0 -> 7879 bytes lab0.runs/impl_1/place_design.pb | Bin 0 -> 14595 bytes lab0.runs/impl_1/project.wdf | 31 ++ lab0.runs/impl_1/route_design.pb | Bin 0 -> 13318 bytes lab0.runs/impl_1/rundef.js | 44 ++ lab0.runs/impl_1/runme.bat | 11 + lab0.runs/impl_1/runme.log | 471 +++++++++++++++++ lab0.runs/impl_1/runme.sh | 43 ++ .../impl_1/usage_statistics_webtalk.html | 459 +++++++++++++++++ lab0.runs/impl_1/usage_statistics_webtalk.xml | 407 +++++++++++++++ lab0.runs/impl_1/vivado.jou | 12 + lab0.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes lab0.runs/impl_1/vivado_17860.backup.jou | 12 + lab0.runs/impl_1/vivado_18324.backup.jou | 12 + lab0.runs/impl_1/vivado_19132.backup.jou | 12 + lab0.runs/impl_1/write_bitstream.pb | Bin 0 -> 6314 bytes lab0.runs/synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/lab0_wrapper_propImpl.xdc | 27 + lab0.runs/synth_1/.vivado.begin.rst | 5 + lab0.runs/synth_1/.vivado.end.rst | 0 lab0.runs/synth_1/ISEWrap.js | 244 +++++++++ lab0.runs/synth_1/ISEWrap.sh | 63 +++ lab0.runs/synth_1/gen_run.xml | 55 ++ lab0.runs/synth_1/htr.txt | 9 + lab0.runs/synth_1/lab0_wrapper.dcp | Bin 0 -> 11987 bytes lab0.runs/synth_1/lab0_wrapper.tcl | 38 ++ lab0.runs/synth_1/lab0_wrapper.vds | 294 +++++++++++ .../synth_1/lab0_wrapper_utilization_synth.pb | Bin 0 -> 224 bytes .../lab0_wrapper_utilization_synth.rpt | 175 +++++++ lab0.runs/synth_1/project.wdf | 31 ++ lab0.runs/synth_1/rundef.js | 40 ++ lab0.runs/synth_1/runme.bat | 11 + lab0.runs/synth_1/runme.log | 293 +++++++++++ lab0.runs/synth_1/runme.sh | 39 ++ lab0.runs/synth_1/vivado.jou | 12 + lab0.runs/synth_1/vivado.pb | Bin 0 -> 31641 bytes .../imports/comparch/ZYBO_Master.xdc | 146 ++++++ lab0.srcs/sources_1/imports/Lab0/adder.v | 56 +++ .../sources_1/imports/Lab0/lab0_wrapper.v | 125 +++++ lab0.xpr | 155 ++++++ 100 files changed, 6862 insertions(+) create mode 100644 lab0.cache/wt/gui_resources.wdf create mode 100644 lab0.cache/wt/java_command_handlers.wdf create mode 100644 lab0.cache/wt/project.wpc create mode 100644 lab0.cache/wt/synthesis.wdf create mode 100644 lab0.cache/wt/synthesis_details.wdf create mode 100644 lab0.cache/wt/webtalk_pa.xml create mode 100644 lab0.hw/lab0.lpr create mode 100644 lab0.runs/.jobs/vrs_config_1.xml create mode 100644 lab0.runs/.jobs/vrs_config_2.xml create mode 100644 lab0.runs/.jobs/vrs_config_3.xml create mode 100644 lab0.runs/.jobs/vrs_config_4.xml create mode 100644 lab0.runs/.jobs/vrs_config_5.xml create mode 100644 lab0.runs/.jobs/vrs_config_6.xml create mode 100644 lab0.runs/.jobs/vrs_config_7.xml create mode 100644 lab0.runs/.jobs/vrs_config_8.xml create mode 100644 lab0.runs/.jobs/vrs_config_9.xml create mode 100644 lab0.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 lab0.runs/impl_1/.init_design.begin.rst create mode 100644 lab0.runs/impl_1/.init_design.end.rst create mode 100644 lab0.runs/impl_1/.opt_design.begin.rst create mode 100644 lab0.runs/impl_1/.opt_design.end.rst create mode 100644 lab0.runs/impl_1/.place_design.begin.rst create mode 100644 lab0.runs/impl_1/.place_design.end.rst create mode 100644 lab0.runs/impl_1/.route_design.begin.rst create mode 100644 lab0.runs/impl_1/.route_design.end.rst create mode 100644 lab0.runs/impl_1/.vivado.begin.rst create mode 100644 lab0.runs/impl_1/.vivado.end.rst create mode 100644 lab0.runs/impl_1/.write_bitstream.begin.rst create mode 100644 lab0.runs/impl_1/.write_bitstream.end.rst create mode 100755 lab0.runs/impl_1/ISEWrap.js create mode 100755 lab0.runs/impl_1/ISEWrap.sh create mode 100644 lab0.runs/impl_1/gen_run.xml create mode 100644 lab0.runs/impl_1/htr.txt create mode 100644 lab0.runs/impl_1/init_design.pb create mode 100644 lab0.runs/impl_1/lab0_wrapper.bit create mode 100644 lab0.runs/impl_1/lab0_wrapper.tcl create mode 100644 lab0.runs/impl_1/lab0_wrapper.vdi create mode 100644 lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi create mode 100644 lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi create mode 100644 lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.pb create mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx create mode 100644 lab0.runs/impl_1/lab0_wrapper_io_placed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx create mode 100644 lab0.runs/impl_1/lab0_wrapper_opt.dcp create mode 100644 lab0.runs/impl_1/lab0_wrapper_placed.dcp create mode 100644 lab0.runs/impl_1/lab0_wrapper_power_routed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_power_routed.rpx create mode 100644 lab0.runs/impl_1/lab0_wrapper_power_summary_routed.pb create mode 100644 lab0.runs/impl_1/lab0_wrapper_route_status.pb create mode 100644 lab0.runs/impl_1/lab0_wrapper_route_status.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_routed.dcp create mode 100644 lab0.runs/impl_1/lab0_wrapper_timing_summary_routed.rpt create mode 100644 lab0.runs/impl_1/lab0_wrapper_timing_summary_routed.rpx create mode 100644 lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb create mode 100644 lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt create mode 100644 lab0.runs/impl_1/opt_design.pb create mode 100644 lab0.runs/impl_1/place_design.pb create mode 100644 lab0.runs/impl_1/project.wdf create mode 100644 lab0.runs/impl_1/route_design.pb create mode 100644 lab0.runs/impl_1/rundef.js create mode 100644 lab0.runs/impl_1/runme.bat create mode 100644 lab0.runs/impl_1/runme.log create mode 100755 lab0.runs/impl_1/runme.sh create mode 100644 lab0.runs/impl_1/usage_statistics_webtalk.html create mode 100644 lab0.runs/impl_1/usage_statistics_webtalk.xml create mode 100644 lab0.runs/impl_1/vivado.jou create mode 100644 lab0.runs/impl_1/vivado.pb create mode 100644 lab0.runs/impl_1/vivado_17860.backup.jou create mode 100644 lab0.runs/impl_1/vivado_18324.backup.jou create mode 100644 lab0.runs/impl_1/vivado_19132.backup.jou create mode 100644 lab0.runs/impl_1/write_bitstream.pb create mode 100644 lab0.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 lab0.runs/synth_1/.Xil/lab0_wrapper_propImpl.xdc create mode 100644 lab0.runs/synth_1/.vivado.begin.rst create mode 100644 lab0.runs/synth_1/.vivado.end.rst create mode 100755 lab0.runs/synth_1/ISEWrap.js create mode 100755 lab0.runs/synth_1/ISEWrap.sh create mode 100644 lab0.runs/synth_1/gen_run.xml create mode 100644 lab0.runs/synth_1/htr.txt create mode 100644 lab0.runs/synth_1/lab0_wrapper.dcp create mode 100644 lab0.runs/synth_1/lab0_wrapper.tcl create mode 100644 lab0.runs/synth_1/lab0_wrapper.vds create mode 100644 lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb create mode 100644 lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt create mode 100644 lab0.runs/synth_1/project.wdf create mode 100644 lab0.runs/synth_1/rundef.js create mode 100644 lab0.runs/synth_1/runme.bat create mode 100644 lab0.runs/synth_1/runme.log create mode 100755 lab0.runs/synth_1/runme.sh create mode 100644 lab0.runs/synth_1/vivado.jou create mode 100644 lab0.runs/synth_1/vivado.pb create mode 100644 lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc create mode 100644 lab0.srcs/sources_1/imports/Lab0/adder.v create mode 100644 lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v create mode 100644 lab0.xpr diff --git a/lab0.cache/wt/gui_resources.wdf b/lab0.cache/wt/gui_resources.wdf new file mode 100644 index 0000000..fcd4a90 --- /dev/null +++ b/lab0.cache/wt/gui_resources.wdf @@ -0,0 +1,36 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:3333:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636d646d73676469616c6f675f6f6b:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f66696c655f7461626c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3232:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68657863657074696f6e6469616c6f675f65786974:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6c6f676d6f6e69746f725f6d6f6e69746f72:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f65646974:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f666c6f77:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f68656c70:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f7265706f7274:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f746f6f6c73:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f76696577:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f77696e646f77:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e77696e6d656e756d67725f6c61796f7574:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f656469745f636f6e73747261696e745f73657473:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f626f61726473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6a6563746e616d6563686f6f7365725f6372656174655f70726f6a6563745f7375626469726563746f7279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:726469636f6d6d616e64735f70726f70657274696573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:726469636f6d6d616e64735f736176655f66696c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7361766570726f6a6563747574696c735f73617665:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7372636d656e755f69705f686965726172636879:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:32:00:00 +eof:3263351119 diff --git a/lab0.cache/wt/java_command_handlers.wdf b/lab0.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..5b8e884 --- /dev/null +++ b/lab0.cache/wt/java_command_handlers.wdf @@ -0,0 +1,12 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974636f6e73747261696e7473657473:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:32:00:00 +eof:40094089 diff --git a/lab0.cache/wt/project.wpc b/lab0.cache/wt/project.wpc new file mode 100644 index 0000000..2a7153e --- /dev/null +++ b/lab0.cache/wt/project.wpc @@ -0,0 +1,4 @@ +version:1 +57656254616c6b5472616e736d697373696f6e417474656d70746564:1 +6d6f64655f636f756e7465727c4755494d6f6465:2 +eof: diff --git a/lab0.cache/wt/synthesis.wdf b/lab0.cache/wt/synthesis.wdf new file mode 100644 index 0000000..9a78515 --- /dev/null +++ b/lab0.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303130636c673430302d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6c6162305f77726170706572:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a303873:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313437302e3337354d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3338352e3331324d42:00:00 +eof:6425835 diff --git a/lab0.cache/wt/synthesis_details.wdf b/lab0.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/lab0.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/lab0.cache/wt/webtalk_pa.xml b/lab0.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..aba6f2e --- /dev/null +++ b/lab0.cache/wt/webtalk_pa.xml @@ -0,0 +1,69 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/lab0.hw/lab0.lpr b/lab0.hw/lab0.lpr new file mode 100644 index 0000000..e87eed2 --- /dev/null +++ b/lab0.hw/lab0.lpr @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab0.runs/.jobs/vrs_config_1.xml b/lab0.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..c4697e4 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_2.xml b/lab0.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..e87fd58 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_3.xml b/lab0.runs/.jobs/vrs_config_3.xml new file mode 100644 index 0000000..942d824 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_3.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_4.xml b/lab0.runs/.jobs/vrs_config_4.xml new file mode 100644 index 0000000..942d824 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_4.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_5.xml b/lab0.runs/.jobs/vrs_config_5.xml new file mode 100644 index 0000000..c4697e4 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_5.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_6.xml b/lab0.runs/.jobs/vrs_config_6.xml new file mode 100644 index 0000000..e87fd58 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_6.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_7.xml b/lab0.runs/.jobs/vrs_config_7.xml new file mode 100644 index 0000000..c4697e4 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_7.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_8.xml b/lab0.runs/.jobs/vrs_config_8.xml new file mode 100644 index 0000000..e87fd58 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_8.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/.jobs/vrs_config_9.xml b/lab0.runs/.jobs/vrs_config_9.xml new file mode 100644 index 0000000..942d824 --- /dev/null +++ b/lab0.runs/.jobs/vrs_config_9.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.Vivado_Implementation.queue.rst b/lab0.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.init_design.begin.rst b/lab0.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..88be7af --- /dev/null +++ b/lab0.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.init_design.end.rst b/lab0.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.opt_design.begin.rst b/lab0.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..88be7af --- /dev/null +++ b/lab0.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.opt_design.end.rst b/lab0.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.place_design.begin.rst b/lab0.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..88be7af --- /dev/null +++ b/lab0.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.place_design.end.rst b/lab0.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.route_design.begin.rst b/lab0.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..88be7af --- /dev/null +++ b/lab0.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.route_design.end.rst b/lab0.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.vivado.begin.rst b/lab0.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..dceb9ef --- /dev/null +++ b/lab0.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/lab0.runs/impl_1/.vivado.end.rst b/lab0.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/.write_bitstream.begin.rst b/lab0.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..bf2e1b5 --- /dev/null +++ b/lab0.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/lab0.runs/impl_1/.write_bitstream.end.rst b/lab0.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/impl_1/ISEWrap.js b/lab0.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..8284d2d --- /dev/null +++ b/lab0.runs/impl_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/lab0.runs/impl_1/ISEWrap.sh b/lab0.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/lab0.runs/impl_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/lab0.runs/impl_1/gen_run.xml b/lab0.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..d36eef9 --- /dev/null +++ b/lab0.runs/impl_1/gen_run.xml @@ -0,0 +1,115 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lab0.runs/impl_1/htr.txt b/lab0.runs/impl_1/htr.txt new file mode 100644 index 0000000..7801d7e --- /dev/null +++ b/lab0.runs/impl_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +vivado -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/init_design.pb b/lab0.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..7bba91cb0f089c1b070c610e16c47639048c7a23 GIT binary patch literal 1687 zcmc&#TW=CU6qZuJj@9zwCG|En1KJ zl%HKp*Q$1LF7jBg-r>xpPtlwTyVBw6n9$tNvpITcWeF{TN9R^F+nfEFx|N?nr|89X zR8ANuEhpJ(|F8}3+`v{FXXB`}9x$(eX|gFOd>9BNe9S%W^FS+n5=tyN867tH>Mv0iTGQG?wEjq+LkStJu>oYCF06U={d0cZBi@-#%xqpZi zhcsL$D6rA`1etu?L^G=Z+!);Qd+ z6ne1Fq%vrqwl?v$@HqZbP+wyq6T4Bo&LZ4Sm$Cz|Fl^yoos(A2I zHbVgRSf0nEBK#1>;ETMqq?hLyU?F*94Tl_1m|2rzAI|gtO>Aqpb8v`04(KL+KqZK4NWsclpyPC z%&lydlt^_#?my5{@^F3Z`aYBWCK@Q7kTJzKP+>2e8u$w?Io6{Q>9U;zw$P9*0z z>hzUxm^WcO7gBeJHk6--gNw8+UV0vEoZ0NoSK7l4#O_B_xQ!m(7MCXzm0;3>#1p zjAaPwgF#U6h7apQ5QQiz-UuvfLO?K}h(7re3HspEf){+y#Om(p>F(+3sjBI&n(m&j zhMB55=Rg1X&sWpenVk(Q2hVpuLWmv?*FXL6Bh8O}>h#0UKKuCTJ5E0L`04vje6ac8 zJ?q;Y4?KSQxu-ty%m#=zw>U+7D9OS(6Ml$7xsg1oqQrr8=H+dN_Xi#boU|Y>^ptk z;|toyo%6=WyD?E5HNstgZARzT&y#5Bbo{kuH-4o%w%xZJHN%;7zbD{3;3H4;j^=(J&;1J47jXENMOle;5Fk)MV68yRq#^O735ty0b9a%bxrs?a zQmWRn@u6h?QpNOw_deM>vL`@*zzzwt%FlcBZ{^1rL4W{(EdV;Jrqt|0%TTwnQ_NcHCHKr)Md~3idjeN~ZuV*_|IdmGtsyQHH3LD*Cug7tB@78f z$|1^}iLh6H||yGalD5radpd*WcTZNi#dmyWpD44z@}N zEUv(E-Ag-iaosbTFHd0gm8~P8t>nn@?TxE&RNt`JBn9H*jZDeL(d-@^`ok>N7MColY<$V|!U+%{ zK!899C&Hdq>X3d$t@Nb)c6a)GZBO}O+a7V?v2jCNt+c28S@*VXSa{mJ_+z1wgwTEg z(>N236;9g^>}#mW#fxw6-9sm0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U*o6XX(KHr)ZW>ACSQohBf776dr;$Vs z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5Fk*cK(h2|KmA(& z&{38^l+{>4+iU%t%U|jrPvfYyXBt`LAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAh0_H?)%~HT(?ydATZAYjj-~od9GjW1ZojTF8f6-^O%Id zTnj{huc!Rw_B2sFbItoKYtPoYg0;?U-LJJyY%&4_2oNB!+XU8bu5rbU5Z5@HsR&F> zAgbxT`h9~H$Ac%12@oJafB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+0D*-RNM>tQ zOTU@4p4C>GAqpG61KenzXKb6}GD3E$X`}X?DqwitgI^y$FMsyExHBf{jr@GvI~v|^ zjvMZE))&}!qJO;Yqqxw>B#lbakD^;E4Q!W_&uTI*e zQ1VGlTZLxX^+79t>JY>=K(yzmW1bq8QtcE+-kL)GR*4*CVoRi5q|l3RE3uknOl+Ld zKKgGLqmp-h?b*saC&(ybKAYCw+E(4I^-+yNqubHNA`7Kk^q>nboze=I2l8}(iGHx9 z+nL^Wx?KaY4o3tIof~PIenamz(%VmehK0&_;KeGIlk}5z4`1juB%QZlT$(;l)=~6! zR%>osG7>R#Pu1z}w`^Pl*JQ*kqv7a78I8r8HnekwywHA(kBdgcfq{r>g_Le^H>ffPtn|vJ=h@Kg3o3LdZ`*Ql4@!pN=GvOqQJbdrJ zCth8Yv{Q_}Zr_wRN?PIK&?xz0ep8ZNak_R*ZOcq=C;MxgY@R1N`tqdJ_Z~Qg^JLs? z;(J?RB`gicIU5x?bnj@}#4XvE>DcPE>GR=u;_M5b;EL_NW*l}&hEKE4z7WDCQFtl4 zQ|o}h+MNR@+dJ&uP4(}rI?uaCZz{{-kKfsM(ZSJEfc0B%5+%x;O5; z_E>1eKi(AUbVOkFnEPKzsbM~tHZ}@4+^t&+p@-vezy683e z;+^lDUA_NDu1P+41?Pp@(jIGpkSAkU(wM`~!3998cnJq8&fiae>Qr0RjXF z5FkK+009C7W?SIU^Ap#%Jo*pf;;f{d-)G6{&_;j&fwBViz2B8qR@-~fWfjB|*jiu; zkMa8oKE~JfQ-Q5lJo~-wyJr6ysgM8x0t5&UAV7cs0RjXF5FkK+z#I$2D?gj#>XlA_ zKEXk+G|JQxkjZ%Oh)G9!wP>&5lP9~~g0S8}s zu7*WT?TJcL@8``nC_cWQ zse9B&ca26k6GHsL=Ds55>*Mo6x1B#P@yh%1BF6UT)s)x2kvQ8RE=>+Hqdnbg6h}*E+t=+}dfoO!&2VOYAELKkdH&_zbz2{Epm%ORJJ-{-a4mcf_#T*t H?}7gVYD`We literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper.tcl b/lab0.runs/impl_1/lab0_wrapper.tcl new file mode 100644 index 0000000..e6722d9 --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper.tcl @@ -0,0 +1,65 @@ +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + + +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb + open_checkpoint lab0_wrapper_routed.dcp + set_property webtalk.parent_dir /home/comparch/Lab0/lab0.cache/wt [current_project] + catch { write_mem_info -force lab0_wrapper.mmi } + write_bitstream -force lab0_wrapper.bit + catch {write_debug_probes -no_partial_ltxfile -quiet -force debug_nets} + catch {file copy -force debug_nets.ltx lab0_wrapper.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + diff --git a/lab0.runs/impl_1/lab0_wrapper.vdi b/lab0.runs/impl_1/lab0_wrapper.vdi new file mode 100644 index 0000000..4450a7e --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper.vdi @@ -0,0 +1,473 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:14:53 2017 +# Process ID: 19132 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +Ending Logic Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 +20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 +Phase 1 Placer Initialization | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 2 Global Placement +Phase 2 Global Placement | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.5 Timing Path Optimizer +Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 +Phase 3 Detail Placement | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: f83597f4 + +Phase 4.1.1.1 BUFG Insertion +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs +INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. +Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. +Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4.1 Post Commit Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Ending Placer Task | Checksum: 437fd169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 +report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 + +Phase 2 Router Initialization + +Phase 2.1 Create Timer +Phase 2.1 Create Timer | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 + +Phase 2.2 Fix Topology Constraints +Phase 2.2 Fix Topology Constraints | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + +Phase 2.3 Pre Route Cleanup +Phase 2.3 Pre Route Cleanup | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + Number of Nodes with overlaps = 0 + +Phase 2.4 Update Timing +Phase 2.4 Update Timing | Checksum: 1c5a7dd61 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | + +Phase 2 Router Initialization | Checksum: 1cda7a673 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 22f2559ee + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 4.1 Global Iteration 0 | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 4 Rip-up And Reroute | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5 Delay and Skew Optimization + +Phase 5.1 Delay CleanUp +Phase 5.1 Delay CleanUp | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5.2 Clock Skew Optimization +Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 5 Delay and Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 6 Post Hold Fix | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0509572 % + Global Horizontal Routing Utilization = 0.00919118 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 7 Route finalize | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 10 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 10 Post Router Timing | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 + +Routing Is Done. +43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:19:39 2017 +# Process ID: 19317 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Command: open_checkpoint lab0_wrapper_routed.dcp + +Starting open_checkpoint Task + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.20 . Memory (MB): peak = 1083.047 ; gain = 0.000 ; free physical = 519 ; free virtual = 2093 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] +Reading XDEF placement. +Reading placer database... +Reading XDEF routing. +Read XDEF File: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 +Restored from archive | CPU: 0.040000 secs | Memory: 0.034851 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 +open_checkpoint: Time (s): cpu = 00:00:17 ; elapsed = 00:00:43 . Memory (MB): peak = 1312.207 ; gain = 229.160 ; free physical = 207 ; free virtual = 1827 +Command: write_bitstream -force lab0_wrapper.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./lab0_wrapper.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-186] '/home/comparch/Lab0/lab0.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 16:21:56 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:38 . Memory (MB): peak = 1724.027 ; gain = 411.820 ; free physical = 386 ; free virtual = 1809 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:21:57 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi b/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi new file mode 100644 index 0000000..42ae8e3 --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi @@ -0,0 +1,359 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 15:37:28 2017 +# Process ID: 17860 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:25 . Memory (MB): peak = 1307.207 ; gain = 222.145 ; free physical = 69 ; free virtual = 2210 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:01 . Memory (MB): peak = 1316.211 ; gain = 9.004 ; free physical = 70 ; free virtual = 2209 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 92 ; free virtual = 1846 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1846 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1846 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 +Ending Logic Optimization Task | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 2a7949ed + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 85 ; free virtual = 1846 +20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:24 ; elapsed = 00:01:11 . Memory (MB): peak = 1754.703 ; gain = 447.496 ; free physical = 80 ; free virtual = 1846 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:01 ; elapsed = 00:00:05 . Memory (MB): peak = 1762.707 ; gain = 8.004 ; free physical = 82 ; free virtual = 1834 +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 73 ; free virtual = 1830 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 04e28315 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 73 ; free virtual = 1830 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 72 ; free virtual = 1829 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: edee9771 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 74 ; free virtual = 1823 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1d0bb8885 + +Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1d0bb8885 + +Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 +Phase 1 Placer Initialization | Checksum: 1d0bb8885 + +Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1935f7404 + +Time (s): cpu = 00:00:00.89 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 69 ; free virtual = 1825 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1935f7404 + +Time (s): cpu = 00:00:00.89 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 69 ; free virtual = 1825 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: fbac76d3 + +Time (s): cpu = 00:00:00.90 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: f9bffe0e + +Time (s): cpu = 00:00:00.91 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: f9bffe0e + +Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1996402a8 + +Time (s): cpu = 00:00:00.99 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 +Phase 3 Detail Placement | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 1996402a8 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 133a2603b + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 133a2603b + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 +Ending Placer Task | Checksum: 6f8f3d89 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1825 +29 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 65 ; free virtual = 1824 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.32 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 82 ; free virtual = 1826 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 93 ; free virtual = 1809 +report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.77 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 103 ; free virtual = 1821 +report_control_sets: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.87 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 102 ; free virtual = 1819 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +Checksum: PlaceDB: 6aacba74 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 174da73d8 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1814.707 ; gain = 52.000 ; free physical = 70 ; free virtual = 1735 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 174da73d8 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1818.707 ; gain = 56.000 ; free physical = 64 ; free virtual = 1733 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 174da73d8 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1818.707 ; gain = 56.000 ; free physical = 66 ; free virtual = 1732 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 102e22a42 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 168577122 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1726 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 +Phase 4 Rip-up And Reroute | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 +Phase 6 Post Hold Fix | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00689752 % + Global Horizontal Routing Utilization = 0.0078125 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 1.8018%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 12.6126%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 11.7647%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 5.88235%, No Congested Regions. +Phase 7 Route finalize | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 1012b497b + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 75 ; free virtual = 1725 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: cf0135d5 + +Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 75 ; free virtual = 1725 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:21 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 80 ; free virtual = 1731 + +Routing Is Done. +35 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:22 ; elapsed = 00:00:59 . Memory (MB): peak = 1859.598 ; gain = 96.891 ; free physical = 76 ; free virtual = 1731 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1859.598 ; gain = 0.000 ; free physical = 75 ; free virtual = 1731 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +40 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 15:41:48 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi b/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi new file mode 100644 index 0000000..39a7bed --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi @@ -0,0 +1,414 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:14:53 2017 +# Process ID: 19132 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +Ending Logic Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 +20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 +Phase 1 Placer Initialization | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 2 Global Placement +Phase 2 Global Placement | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.5 Timing Path Optimizer +Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 +Phase 3 Detail Placement | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: f83597f4 + +Phase 4.1.1.1 BUFG Insertion +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs +INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. +Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. +Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4.1 Post Commit Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Ending Placer Task | Checksum: 437fd169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 +report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 + +Phase 2 Router Initialization + +Phase 2.1 Create Timer +Phase 2.1 Create Timer | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 + +Phase 2.2 Fix Topology Constraints +Phase 2.2 Fix Topology Constraints | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + +Phase 2.3 Pre Route Cleanup +Phase 2.3 Pre Route Cleanup | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + Number of Nodes with overlaps = 0 + +Phase 2.4 Update Timing +Phase 2.4 Update Timing | Checksum: 1c5a7dd61 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | + +Phase 2 Router Initialization | Checksum: 1cda7a673 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 22f2559ee + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 4.1 Global Iteration 0 | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 4 Rip-up And Reroute | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5 Delay and Skew Optimization + +Phase 5.1 Delay CleanUp +Phase 5.1 Delay CleanUp | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5.2 Clock Skew Optimization +Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 5 Delay and Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 6 Post Hold Fix | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0509572 % + Global Horizontal Routing Utilization = 0.00919118 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 7 Route finalize | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 10 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 10 Post Router Timing | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 + +Routing Is Done. +43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt b/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt new file mode 100644 index 0000000..b69f2c0 --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt @@ -0,0 +1,140 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:19:07 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_clock_utilization -file lab0_wrapper_clock_utilization_routed.rpt +| Design : lab0_wrapper +| Device : 7z010-clg400 +| Speed File : -1 PRODUCTION 1.11 2014-09-11 +------------------------------------------------------------------------------------------ + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X1Y0 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 48 | 0 | 0 | 0 | +| BUFIO | 0 | 8 | 0 | 0 | 0 | +| BUFMR | 0 | 4 | 0 | 0 | 0 | +| BUFR | 0 | 8 | 0 | 0 | 0 | +| MMCM | 0 | 2 | 0 | 0 | 0 | +| PLL | 0 | 2 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 1 | 9 | 0 | 8.000 | sys_clk_pin | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | IOB_X0Y78 | IOB_X0Y78 | X1Y1 | 1 | 0 | 8.000 | sys_clk_pin | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 9 | 1100 | 4 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y1 | 0 | 0 | +| Y0 | 0 | 1 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | sys_clk_pin | 8.000 | {0.000 4.000} | 9 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y1 | 0 | 0 | +| Y0 | 0 | 9 | ++----+----+----+ + + +7. Clock Region Cell Placement per Global Clock: Region X1Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 9 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y16 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X0Y78 [get_ports clk] + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} +#endgroup diff --git a/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt b/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt new file mode 100644 index 0000000..8c32c17 --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt @@ -0,0 +1,64 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:18:03 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_control_sets -verbose -file lab0_wrapper_control_sets_placed.rpt +| Design : lab0_wrapper +| Device : xc7z010 +----------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Flip-Flop Distribution +3. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Number of unique control sets | 3 | +| Unused register locations in slices containing registers | 15 | ++----------------------------------------------------------+-------+ + + +2. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 1 | 1 | +| No | No | Yes | 0 | 0 | +| No | Yes | No | 0 | 0 | +| Yes | No | No | 8 | 4 | +| Yes | No | Yes | 0 | 0 | +| Yes | Yes | No | 0 | 0 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +3. Detailed Control Set Information +----------------------------------- + ++----------------+---------------+------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+---------------+------------------+------------------+----------------+ +| clk_IBUF_BUFG | | | 1 | 1 | +| clk_IBUF_BUFG | btn_IBUF[1] | | 2 | 4 | +| clk_IBUF_BUFG | btn_IBUF[0] | | 2 | 4 | ++----------------+---------------+------------------+------------------+----------------+ + + ++--------+-----------------------+ +| Fanout | Number of ControlSets | ++--------+-----------------------+ +| 1 | 1 | +| 4 | 2 | ++--------+-----------------------+ + + diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt b/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt new file mode 100644 index 0000000..bccdbcd --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt @@ -0,0 +1,41 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:17:54 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_drc -file lab0_wrapper_drc_opted.rpt +| Design : lab0_wrapper +| Device : xc7z010clg400-1 +| Speed File : -1 +| Design State : Synthesized +------------------------------------------------------------------------------------ + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: + Ruledeck: default + Max violations: + Violations found: 1 ++--------+----------+--------------------+------------+ +| Rule | Severity | Description | Violations | ++--------+----------+--------------------+------------+ +| ZPS7-1 | Warning | PS7 block required | 1 | ++--------+----------+--------------------+------------+ + +2. REPORT DETAILS +----------------- +ZPS7-1#1 Warning +PS7 block required +The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +Related violations: + + diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_routed.pb b/lab0.runs/impl_1/lab0_wrapper_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 1 ++--------+----------+--------------------+------------+ +| Rule | Severity | Description | Violations | ++--------+----------+--------------------+------------+ +| ZPS7-1 | Warning | PS7 block required | 1 | ++--------+----------+--------------------+------------+ + +2. REPORT DETAILS +----------------- +ZPS7-1#1 Warning +PS7 block required +The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +Related violations: + + diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..77afdd72930179318d2e9e0b7c0b3e7e18880e7b GIT binary patch literal 355 zcmcJKK}!QM5QP&KYEi^eC`fx5>P>LzuArW4y?82B6na^*o9S*aCfiAZ_2$u|_-8$8 z7X1s(50~@eLD?0$puM|^ct6R~d^pjfoE0x5+7_vh zR25`{N10N)JN}My)bN(=*c)f>oPTiknH(%u*YJ1ftLx!|WW4t%$S6}!d+X)hHu<|jn5%H + Max violations: + Violations found: 12 ++-----------+----------+-------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-------------------------------+------------+ +| TIMING-18 | Warning | Missing input or output delay | 12 | ++-----------+----------+-------------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on btn[0] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#2 Warning +Missing input or output delay +An input delay is missing on btn[1] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#3 Warning +Missing input or output delay +An input delay is missing on btn[2] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#4 Warning +Missing input or output delay +An input delay is missing on btn[3] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#5 Warning +Missing input or output delay +An input delay is missing on sw[0] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#6 Warning +Missing input or output delay +An input delay is missing on sw[1] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#7 Warning +Missing input or output delay +An input delay is missing on sw[2] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#8 Warning +Missing input or output delay +An input delay is missing on sw[3] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#9 Warning +Missing input or output delay +An output delay is missing on led[0] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#10 Warning +Missing input or output delay +An output delay is missing on led[1] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#11 Warning +Missing input or output delay +An output delay is missing on led[2] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#12 Warning +Missing input or output delay +An output delay is missing on led[3] relative to clock(s) sys_clk_pin +Related violations: + + diff --git a/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..8ed5d2d2a1550e170ea0f0fde92682e255126f1c GIT binary patch literal 3254 zcmb`}OK;Oa5C`xzZZ>IZ)X+nPf{<3_08}E19Vp>Qc~l9c6#6(J)L zkt5~+vo8xioDo9^O6yDX+Te>wi`QkOecxww&LjG_HX`K9T^e2KwmZV zO*6i0=!f1M{C$GF7VXl<0WVfy;(gT#-SgcZY&@42EE;#M`m~P?!ae^|3>^U znk0nAguf~M=6bWm+-hlEX;?F3^x@|c5u58c&8Cc~QKw_i@?}PzQ5(Z1k29H4oopKByF;atFVZf8P!LM{ARg?{$ zaD$i9dBDzLms>%RQ zApnpLUJL+9kplD!fP4&O05xTRE(8G5zKa1MDN=x50g%rCJ>3bGl>we{fY*Em%yI~)p!d>~{F vE9wsEjtHn9(#;SDNRHg$Kse-cA#*U)9nw7!-~efAhyx@??yxT$^6_v9Pgfbv literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_opt.dcp b/lab0.runs/impl_1/lab0_wrapper_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..1e293e57b926fceb8e3a731e1b95304fa827fa26 GIT binary patch literal 214007 zcmZs?V~}RS(k|MZw(Xv_ZQHhO+qP|E+O}=mnzn7eXTEdKjT?KPyCU*OW@J@l<%+76 zPu8;(q(Q+@fq;M@f!Kn$r6-l39bkZgfbRbZ`ai3Up%H_=yOW`VgQ=6gvx}<{otuS; zO=`TN^biAT=-wmsY%!?1R%*rNQikZSU`f0KZHdkH(9l05ZEoMMW@w|82nSoo+qb*U zvo293)?Rh)-a;>L4B5MXHh6bi7G6AJQ)`fEVgx-wK%~%804lD7F=={l_*F#|POHCI zWhO3PBJ0@asz~P=H*^~{>YPVYNM(6r5-4xGEwGOZK|%F$$aQ|p37EoX2y5r)9|hxg z5-|Bwr)|k%-P$R3%_a#)O|a8U`~QHS*8~&v(1q=uX;$Qkqj2-AaY;9sw^zE}5P)G99*|NP1KBPp~h)5NKJ&3Wuv?NQI;XA6hLmDE&BOnMP8rJNku?TK@X;Po?)j&wfT-UYd65bm%zy%*!cFK*vWfN zwbvXNS+3|JFIZt2Drf>u1EsGSi06Ws#H4t=a44lhv`K)tDPZa`!d(;Vy>X4<b8MlQ)+IlEnQowXG?>m--;TAJG^ zCWrnIk{Zr`S@j~?&y8j~B5)#w%#sczx2el;wJsxC!Tz-AJVg*mG;fM?%7L5 z1ddim{JxITDz*xcpq%{}$ZI2t+CJhvl)yN3+(xL^rpFVGC||56{3e_mN2D(w_;VUI zU2_ACbS<0qjYy{j4Mhs?4FWr+^@GX?a`C5ZlCFLFFfZCy=peEC=g{o5jQ}uX#PA09 zpKrBVGV2%~3JAy=4G0MNzcvM(vxT99X{v^9430Y5OAH`x%=q)e%il~IRBnr9iw(~D zmTY5Q>0+-X@_NJT%7ncz*9N&wv+<9mn`G?XPVlYnmk|P zE$r-3?jd#AulI||(aFTr=Ycq%;{|1N`8qx)A7cF+%IRZiYim#VwZ}4u%iyJWgt+T% zWT;S~tvmg*8-^c>t0Eg*=<9E9Ian$3(UF3}k-wwfb=wlVu(wtG*fT29JxSWvY_Drv zb{(w=L5Q+0(zdT>_o*w%U@TTIoI0BPolZ6hAh~3fvqc%R0vTl4ZX33nD_T{}Ibo2j z948E*ik#QWohw<%fta$JMlp29xWksCLkG+d0?bZ4N65n5@0p;W*TapIaY9L@Ba6b5 zB2t+xSD|L4FqBzJYB>{vUgf0ElqMEj62}2=gJ{mEjTWUs$*7`HFw|8vM;1~_K^;(| zXCfs>DpD(2s-;ue*srn`^Y7yDWDN5o$X+R*&y`K{)-;(6l7Y@JykO|yUoN&JkTQzO zvn=>$%m-vvlZk6E^ZmiRoXsxfZ__u1TZOkWL#>{L5a!MDybGHh2bdn0kT`KnQMI(KT8 znd)^_a)wb$d!iCl;BOK*b$T-AKslArLfYw!ZNLJ>?)lNs#N)#S+if z$oElL#(wd(={k9})GlWPcvGNtFHv3BNpiuw8i`IEO$qy^1T9f`$y(Tw3AG=kW%rh=(yTx#S5gL6OEFd` z9wz>yjeVifJ~QiwFG3FkGZ1T#%pQazSUNGN_hNsvB>0QHG2Yf>qc4%tV!@IBR1?KQ zxk(YPRUMma*&!{rb;mHzvc6)$K}t2}$RTmSN^$@K)xKPcI6W4 z>|U`xSuN4ah`3zDM{QGMb4q#I}WDK z6DX9wOaN&|-syG6`I>}B>d=TIKS=p2kQViHffqa;4r!OOjFaldC|hpe195-*mv|Sn z5r6(zs?EOn;32NV5NuANq+-XlQi*l;9at|yD-b6~H0@y3M9s^6=};lCegUJRkmlP# zeF#o9M%iC!5AzAHOCy}+Z9Pza4D94PQyU-G@7AkHzL$iJW5+k>nJ6@$EA%(2T%kj< z+IIjS6i3;D1V$u{4_u#Pier8)4I*f7?SchX{*WAeZ{dQ=gyxM(XAAf}8VRs`(WOy| zru2|9_8g*_|1Kv3_}=naM$fu)Hl9vHIJIFW+P2qDh`lAt?(Nm(Is{i|$$3K501SqH zZ{o5b{a}?n;NcT{Luz+vyyvJ>EG{XXMTzt^Vb9_S(^Hlh<87~v^`TKrZ>?GZHqURL z$r&CrL}DEJn3Z)N*o6;L6z#K7W;v=(sx|c@s-iza*PlTLZ+*sL>EmE{CW1rkLr)W8=_{6vuv5p*aNx}UG}ZTB#$NK( z@+igEE$PWi=s!RY$mREXn4|XeO@7c4_!$v!(tAA0SA9s7oeT$k!1#Uu{M1c;x0t8+ zxFkO$27JYXJgBQYVf?(qP!b5gkB29meg{4*oY%|$j0J9cKdjYKy}ywi8w0=RgHfH% z={@D~*eLPgCjcz}TIjzPy#Z#^F7DBq9B zj$;G9q=DbryN`6#JJ{JN^I^yJp^ttlF}}N`_*x`AohjmdVCnSs)jwY-`W9T~k3_?h z75!SkDZq%yuE>y3y9_u+YEyth>Bl0Vx^}@v?0ENG^*kk^?QfA#+-e}BcGw0lHIGRt z@reHUw<4l;iG-{%Pf9CsiHOUrlM+(6u}9eawM8s&iHOap-$h5Y_H0;H{U84WVzO#e z@DVP}>SIsIDBd(dl-0-}BRHznhuMFlNyKC{f}x_Gb2X->y8pN^{8RTJqgs;hyyQG3 zrNWOQpsXPT8|aXb^wd9-Qs7S_psJh%8xAn6d#bugLJ^!0nV~d8Xd#(L1Js*;_#^WGQ_86mHvVpu*64; ztLr1a9{ZmUz}rzdonc{oE_V~syT zGe5%Gs|1$tj@ek2<{jx;jSTQoQ z{6#$>B`M2eNliPZL9Hfsaj2;k5_`WIIgna*?_uO{UbFW@J~DUt%Kk=?%B+-u@x$HT z<>llXEK>ayBIqETGo%Z}WhMK09`wg})s0^_#bvv5M}AHUyV_R5*y*hB{xb4tF7 z6wW$4Uu{$JQjWi-S@tr{k+{i^4AX70b7qVxve`8{Ue(Z~THCjJk#tpU3CP^lc%Iwa zN`MH7Sj5F-7~rxTMUum?4&a=gMTWDyhb6?Dz?^7VAx<6G#C9LN4-u<(@D+9&QMEEJ5Ei| z(@WDbEi*GRDN)GLPRdMAR7=rJOwTnnO3_Hoj?+l1GO#EzC;%A}4*rT&^uSz{NPq$Q z&j9qDVAWvYfq+&6fq)SII{;G?vuj;#GY21vO2;PUWK_Z>F-qT|UI#!_P+j5hRl>Dd(C z8FA^;2V$Bv;>rd#8u@#K^A2wa%`rT=$7_gvbhb)gyJ1e*4gI5}_Qnvt_q#(Tam6E9 z-j7nLRO}e?<$^bmQ(tbzl1T^i?epSq7H(gekL-xs?mId^H(cixA9MJun*Lb1K8LXR z>+KKye(&aiOZ(10E7-B*gd9Q`Yr;|4#GWY|K)3T)Lx3o*fnQxlS6nL-<7k}ZG2E?>^mm9GbG$lQ)U=RjO7R;gEAVZbDK-4hM!*6z~@GjCY6#btZj z)b%$G3nJbEore5yBq>+1!tSzriH|$}PyMz>E9~Iq523&p@{O4c1YYrj1MV--kL|1s zqpS({uqKtim{>tGuW_+7R$35Lo9G2ZA!e3VsVmFP3yQvRmv#P>q+4Ey$~lVweH)-` z`iXvbQ==R(dG11&-+%u6P+Q%T$VUSaZ8xl_hcvU{!OYvc_w^BeVj_yCfR0NDTP`1Q z%Mm%^`%Clt%Uy7E_pVX|NMR<(yZd$!g!z8GF=RZK0cU{;=by-t`aKPOUg@M!m1`-M z3zSE0)8`z5`M!tPm4pBsS@OZuU!(nF!vXKbOW#Ac&0VzlBsYK&cG@`tbHNCyBNR3? z#qFB`!#Df-KiXRrwrl%g2N4T6243<|(Nm&%A{m}EUpXn*-sQ{Qdr{IzA5#dyIDHqN z@Kg(j1S`^e?~10V)=*K?q00%Y5rz?`K`>V_VdK%+Vt)qHZr{q6KLlCL-sV}A)F%nE z%9nlCcKY_-&7wAYFAsws4B|q~rPX*1(np&{8u^C`Gvr<+rs0FF8G{lTD&6xg1>)l5%JV&q^-_boo-*}HrN9LlJofRRTBWvhT{|zVJa3+quQ=qW z9sUjQ<%VCcNNBCvmFp3-GIYdY2KSHx_c(zr=hX+c^L0Mr=yLU5jI|xB;tg*uBe|}L zcoHl&+*`7%(W>cs`BOESSNpTcODi$;PprK^Lccx(eD-(A_Kv2sfYW+rnUIacg=uxYuvCVm z+n!~<5Z1w=22hf&SY;SUQ;I9Lg+NLjc6a_RHXi5A`#MRe1Ce+-Dooql1=qG(K}TZx zLvPl=(VeJiqr7e{x))W7H$!n8z`Cx&Nq`DExfFm38!w+xm&NMKFg(ol@H> z*iOF0x_qtU{^csSOFURs9>->!D?TYw&s#yd<|TzIz((_|pR3@>BH+>z6ju{LnQ7sL zY+Z>Pk;)b5J!d}974a~l9p=FqM?U2#v0V1V8f`M6S?buv_-u8QfIs?809NA^8qvU( zD+Sobwqmgf*|py&pk*}upQiTMML%8CE$w4rETfUrINa9)CiuGO28CG=4PLf9Z&^Ti zGv7PptnL+BJGN0Sy&dkA-yXRNfK7oY2ePS9#otgUv9Y{*O4_YPv5{0Sq7!#5arSi( zDqcfdR;r=~H@*}gXG90*p47P1nm?;?r!9|55%D7jC3%t&fmNBy z;7NUBJ zrdE~`g`(-+k)OS@PIY`VAjjgSrUVzjb`reaW9)ifp=tTijDgj{3=QyDr7-1EJPF@W zJgdKp#f8P>ahPCPU|=<*$P(-!$yNu#hz}UU^S3-?^k&dj;hHVVRb!txq#JdjZD?au zu+CFeYeUbOG5c3M2&8eVcO(XFyXe4chS3IWukDcSwpK`a?fNHC+iP-R%yX9)x94t1 zIa?bTiR8T_anC->)KbcJ;hxQY(W2tzw<3Hmtp@IWJ1rwW?(9Fee7mr_+n&#DU0SO* zwW3a-YG^AhZ#u7O6T;^$SzJ+3*DeGrsa{kaPnXdHQ{5BWNU{uipeD2v|nVg`hJ3X$bVEk^8$*%?cZvzzTrLFR4-XI-K}im+=|$}C`|_V%586# z2L_WxfX8NVq3t!erpyT;_R-R3n*-J0;$x6;^Qr>Na$G8q@<>P?(8R(4_ zEw1t5}H1H|)F!xLATW4*P0=U$s>aA6}aFCyBuv^urppi&wm8J`G5WKk1xZIWD*`AsX{k4sN z9NKJzDw7+j=%5z{Qjsk_8-!r{6*B!(?CY*|8}RZ`KFuqfDWJ2kE*c7-x zT5-MuQ)FOy1T*w0LM=h)$%yPg2wZPk1UI}pL-Ldvm-jszg!f9OY1r(-9A8=| zEMUzu8pz&=Ez>L#Z{_?YbO0rq_^<0IS${UBOsS@kwri)a;GOs2-WS(aoA^DXlgGcoS}ZDekEk!jMgr-aSx3AUBTDR}H2f%j?kHN2bFe#twgb^F_N z4HKx(<7BSMv-vi8kk~nSpm<4nO)|TjrNpNnV<)N%2OI5ya8m4yA0TgMMSQQhzydl% z1Rwf-_67CVIOW!Wk2=f9Kx24pe74WXCnkwt+FW!g8Zym+&&%pOFp+rTvhlrhpJzfp z{&FZ>u_>oKHS6Nov$tcEh~3o3wU;U&8&*YZ=IXtYC>UJ-WHhF3HXYm{xnZU}lUHB$ zsQ&NNcFz6Ednb?`6D~Gu(`i){4OOoKO=PR~=cm5*=iV$`oQTMMtNUf}M?J8e9jo?h zY2SGKq?qUbfhcmp*3%%$f~U?de9-fL0zZI9>nB$qW@vP25x~>}GPo}Ouju<3ng;!x zT`s+L`5Tfs8)d^m#;fAl20)Li*DBx5$4+!{&uYc+hNC;5=MVg84w1K$*H_n`jWk@V z*rR2AsOQe}(11nN5{5q%$)*!A_xs0O(_ymnkBdqaolg`UqVp2*fV>uTM}BawKX)y2 z#`ZGqDSLXPIvW)Z0Q5hB{;udA}&W@!Dr$ zN$he&Q0DAi4@OhjV&P~gQo5TH+zHmUJo}z}KtdQ@7*>C@*nYFv@RUdij?QCdbnYgU zTx<;gRZY67H}mx^E4F9^;XckwnguKdo-(>yA-OCpZ$=WWU!VCX99Pw=(DIzDAauI* zjxKOEyZOE%3+CVIV=QTeEgy?lw#4Jip!DCc*vWClsU z-e!#qX~Sk*daT`HBp)st z+7DN*a?(j0)pd#YpNPAap82~y7mqt?f1f@QCEH|l-UQYn>#;rlNG56z^4|n)MW^dN zaI07D=CRtyEw*JZ({aOlQu^18(zI`mEsebsyb(AMa00CQBwQNci-5np$`iB61Ds53 z5Y{ZL2FGvg<39*UWc}ytTcOn^NLTE_K3Y6B*3e7(tQ6x0f8DPFZT8o5qw=ktyD=Gi zt4t>j6P-@$+C9ESh&>UP9q z+4ljK_#f?lk`)Wq+dPHDi>5yJ1NN6;g z80;%I(~Rmftc^;OHEeM%P{uhQXs9QQ1Ufj_++rXDAia6; zIW60@2{3WmBl6Y(wM@3pYhc|}?+qrbz`QS?)JKNH z^9VLQlI7T&(IIQdr~uSby!aI8nFKFN!(5iRVL+BwjQ++zFGg}!PEY$;kvEZl?G(5& zrH!tB#^pDUc$A%>Esi5ihW)QfQOw@dMJcb4Asr8;Hv*SHvP#by`SwtE3Hhf>l4pYB zZT~WdzT4w5guJ>D%jgfDMccc-250KR)ylB&$HJi}7`3^Vt93$ML6`RVW4@*~iIb!o#UwKnxRk29}5jL^*d<&Ijj zCz`P9E(=>&L@>Ym`W;ONt6jjx8p74dOM*Za{5&o#Ie4zn}3K~5i* zr=U{>xDJ^<1}V<)sbBgEbzsCT=jdW~w>s!LS2O89v>U5m{iqE z9JkZ0QxFq6CuOoVoKjew?q%xPy*1H`T5RqDp|EjCJb#F2mc~qy>A5YkYq1D|{Vf7X z?p|$QF-)Ybh+9bScO!JrwXw??3^l7?V_K*bAC*yk#o}o)5sVjZJXse znSi}2X(ONW9_rqsaCp#xpl&9;(c{jrj>!P%tA|6VfUlGxf9>&U@q-P?5zz7n{g(1O zw{>EIxss&^4b7V=&cOLg(sUB4(X)1Pq%#pEkbc)D}~tEI2u4`lMPPaR~+5U(C(Nbl$aLcn*d3?*^&i7H2GqY9HIS zjl&oo>|At(lhEJFdt4-A}|N`Qy1@Ks6nz0-(_P}&$6tzeO4GJjO437;TIohQNn z++dD?_@|ZuCZ>qq;t&i>#KpMg*cc1|f%VRYI+sK`6=*UEWqu1=;9e>!-Eb8XT1&B- z`jCbq!kvg|4GHBv<#d4pECp(!tPW?TIuyWDT*nlR3AmPHgadkny<2TURDS*_&AP$h zjO=!t@k7ZQ)nRr-ZTN2PyhabMG|g^KEVch_6BVyCziEyQmSDSJ{n+0x<7@zWqA#kV zqO9Ul2p9~@py2$azw}OzcUBXq{j_5Aw9-fp{%BW4v;v!`A^K-c#bHq;AsY=}fO#mG zOh481xDU?H6eBUmBs25r68lh(gU||{Pyu^K>{a4k<+l^X-{VWo$XHH;c?jn}5;{d; zkz#obqqBsRj&t1At`%fD3`f4zqIZg*5v{#8NYrZ&0lnAfdSVE*BKxJg>274zP2qBX z%k;>cOxRkMa+omqII=t6r4Lue=nLMhqs*oK4u#|DsaCfZgjU3 z5GqYlhPUeW-Ue8$Pzc$!GxlbSP>}DI7`@+Pq|es2wqbNrGIu1#D(<~C2zDdJvWthI z@WtDpE>ZyVke(QKFBDqr2B$xlPTU^9R7YCK3uc%3h4npDOkLhk4vGcR#A@M zQSdQLL)hWvbOLak2wI0$^VgKx`74(IZ1*fgQ!;RRE6Du0c*Va-sT$-{z?@r6aqhtx zM;!6aXKPCn&8|3IdjGg^_!C5&QCA08$ViYb!~er(#_?nE`>uc(uk-)Z7&Cw!fLI zRq+W*Mgg9MDtS1ojMxmcOLNDyKSu-#Qc#tV!o`ZQDOV}V zl0MI#k)wNVuF?7V84=V;Z$eG@9V8Xb`^dY%>m-{Nz4hd)?SKAeC3Aw|!#%t+ISgwk zOMtwsuyCix^L|N&Q;VERk0d=gh9>!)8V5)2J5?Pz7T0Q?Vg`WW?%d>@j4H;X=7S$! z%AY`w_kzNuA77S#-uJM`?qEG3Wc;C1-WzZ4oq1EJ)s{c_33iA`Zg?CU-!k}XJ71STrzE4iI!1BIy1}%HJ{pM8 zRXfxgxVn<8JX=IHvmqP5>dtz(#eB8pD2_C{H%aC))?{$0o;H7cT)4qZk9W(wPR$`A zm3Yy#AZA-y?5@*M;-xQ^y z5b0;&v%CcFcM`n$QnPy{xvD#I6}?KqJ2dv;GQdq)!u- z%FS#``-`AVH=J^SBf!cfy)$KAwlvzpltAi;a-ByE13!DQFhf@ihL-!!C{N0BxLE$u z-V*(W4s(62109T+MWP>H5cB*dJJ&*+Tn`!%G)Ep^^w<2wd&>1*;%Q*#xbG~>(w}=< za8ir{3Qk!{S0^Ra-@nu?4J=4VUuXy`P14Me%qpL&9OcbrO5cVhC zyxws%QDZRoVug+$F2g*XI6=N7GK)gEy+RnMvU8$J0kydvWiDgn`EHO zX&K%m>y!;S15IFnK=BgSdX5jhrYs**)m~~pmNjKgU}}UPV=;O3c3R`HWyI<9u27`I zQG=Wufu8b5Z$2@ImiZv+FbwxP2DD4QF{6ghS<(gwonR-usM(;r_#wzssm!=QoxYAI zY`wGQWT*GW%G_p0G{;%9tUX?nTibuN&+@!dP42?SUwDjVzSmA(1{Q z;-dfMbSZyGd!|2qbAL5`nD&mIJ%br|k(_?1tK|B|%fKsimUHrbq_;Z@ ze>B>ppY&K?3BdIe-<`rWi!*(r1GI?365`W(~gl zYZ$oy%N2LHqeWMO80q1fn-Le=5cywNVdHXJMK$`KmJ4J#%GZJTUFl5i5JkGwk< zn!Rv}KnEU*QgHkkd$-~6BCr8`k|^CrpHCmi z;t*eQzzl%z0{SKL65{^F5^!qII42M4)b=U=+f%leAZUvJiciv*ZNje0TtCR(CU9zDS(8qp z`vdt15EGQ@&xzx5&8}9yGH%oqyQEI^bQ#41{z<5HEdl*Z86(jM1qqWn9Yp^}e087d zp>Uk$cwFcKd8r<`ac61#%*!8K&k2fFPhIybru)jWGVi=?sV$&KOQt*F$H)ir^~Vh$ zlEfmAkK6i*8C6+`kM<2uThH>x#rCCmCC+TNxB;)&h<|2}j-s|yNoO9J_FGzqf>yEW z*}d?U(_gp{d`k)jnmXrQoBns5T$}$E9=wh@3#oCFsF?essJoTBIUCmAE4lllS8nej z%4fceOhnsf%!G(IrAqt{1C$QEZ}9Ay(AGTpHA0wSLQ^yD;Vt9$xp}%92@znzmJ^Hd;Ngaw?5M`!y{qQXTZ09-Be?EiEtK z9R&`<_FGyOl7V%3BztRE*56gD!$3H;(F7V0B~4$U)>qVde-G;9BpA)JL{H2R`MMTf1D)0GYI_F@+_0p zT`BhcM_=hj+1*JFB&FVmZPu;T@9bqU_nYAhMqRY+FeFxRkr>h%~LnY=it6IkXmgw%H?CIw06C-xcp8M)}#|lpk z*0mZIbyN?XK^f{94M{SyV7{z|u&fQ$WZ6I2(MgV!)@4}6B^+oI^Es@$186w6f1}`3 z)sJxRdEU~%7W`U>g9DknvJ)1{+>(UH9FQ>qR3`EBQ%8jSkcHobgQ-fAeY zvn8LuFmRf(JD0yZw@3QKxVtU2NLe6+m6boNShko*=Jl94_Dt7mCgO?pjVCKqq|9(E zW|yx-L8`l*zggD>!48jKA%B_4EG$tCcv+0fz{l7oz*hE^S#lRMFEpPsP4kJlBc3ld zD|Qa*#<^(RXmwUMMK3fk$DrVKsSKZOmTYSp+-OpWC!aT9G_oAoOim}z)G*NIH~4| z`30i&lH8no=~K(Df7>{aChX8+(0Odsm006rfjE5OXI)d#1VZl!w7Jau7A}B2TteS8 z*`WFzn0=PE2^LgS$Oda*O`+v9;|cHUBnWPT0U|F_zP4WPR>$uT20%`yuN+)U94hNkX$)3ml@ye0aH z5YB*^-I!?`Ckt0x*>uBQA<3#o3$eJ>gK=z*OuD%o5J?pFAoMNuoX#3d*G9V`okyW` z>o{OWBzIYKoSr4S!*PwaK$Fht$6r!8>$aSk8%Oj13>H>oRKa9>wpZ{}y<1Mr=;EWD za3tOkm%R#2b{4U%MdHziz;3CnHB%fI$G%U1>L^!BI)hpv2(eO?_l_yqIk3~fR=PDE z5RD?Brk8Iln5w5%y0LDEdMON-2fQgHs2MrjuoX)f;v#oMIWJkmr-86{j^N!=YyI?zV>~Y zjSwSWoRt<%0ht3OS)70UzKevBO{K%p0nsU`;mYof%TM44DtxiS?%Z~OYDtAm!}h^~ zoynk6?oQ`!m}4f(I5;P4`YY74tKvf!0h@kx44KA|SjE9~(BALUGu0%4N+1S8S7gy! zbcoO|>bh~*^8}08?Yd{GhX9H)ykHuOuy|@6*v@Fu6}O~190>k!_Ar8DnF;5SXqtaH zjj;I36;U)DO%If!`zs^&%IYk!4EW*9so$FltdR#UE__EM-?zP}ycGsfGX0gdq=)`( z{V0K}q8LWP7FO~ei+)7{2B=~rTh9$bVqgi_bNQ+^>lEp4$6t?i%8B#J$$l1ryjKUc zk+RN!XbLV%Th80u9ojIGFJzH-`A7m1VA%!3E{NdyV}EH*Md)O5b{ab$KHu#r%}w7n zcN!F_%UwfrxY7eqqkhq49_WAR=dgMmqB{@&qOJ||^oY}`q_?;##w;3MonRdLz4K&g~1e;}819YeeSpcWN&QIZv}RveqS z=!1+JYC8##i@z#7UBd8KqZa_5)muj=7p$J`+v_m4=BOoID zthDCg6k2%iEq~)DRhrJTcPp$w-@@xWCiu2$e{QNN?^TdT!RJzjZ5vd0uatQ$d2T|9 zYKpLoJR<(BlDtEt)rf9hclAS7N*W~hB0#I?JFGyu1!wfvT9KSNxcJx2m&spV1%+nb z4vUCmxp^r!X<0U%s1D%~ku`^d@dn05SsjJ@xf_m&@n_tSMb@s&Y@Bb=uN&I*$q#!_nRDPlV-;7@m6H~LR9_biYNhkbOS*I{w-ld`6q7$g3o2Hl` zCjG?QkL~nUs;aL){uo_)&Q+D(T0x4KiJ(q@@8=0AwN@vkZQ(o&LG?WLQ-Nz>w_xny zKz}tqFKT&_I+%M-a^fI4?a`1oy3(#2j(ZthRdzY$Z8yjQ`^Zr1hA~7|RM+?D^ZxUEv;V^p2KG4e zI_B87C%g5GiC?R?+tXJ7(#wD4wq(a&!*)0lw|{xMbPXQay=5CdW2bd1^exi8tEZbl zbLrW)JG0acX9@Ci{+x)7Cbb#EOq#D*pYG0%0KBI@(UH-GQidRf#di6-|I?8GoC`?? zZZA|z{n3p%9Cs}}evY1emQl~gpMUnytu2>r*?3LxPj>j))aB*j5(;Ss-Kb^O%aGeH zcqneIJ!FpXs3k^<4AZYG7auM@6hT{puobVSP1)s_q3`3%!=cCy*|T&{c&UvWh@^7| zFP|DNbDTyy_CtnSAsj0{w*|x$r12H6HtpLAr0}vaPj}piP34+wN1eICjnC-AuJp3Q z%PEu=U)af=@KIUi`h=a4u55P%;b~TQj4e-o8@9uL1T3>n5i$x>E&DRx`6;rL*Bcj4 zcOPE8rV<%dj9GoB=#swK&vr53Jgwjf zBUeJfuh|7>8X#rkq#;J(*It`9Yl7A~g9zEkLbV23g1ygHG4Gl3@8nD+Gym>m58b45 zpMKtN!GHEY-jkdmX0Fw=nVNQFo@D1I_s^fdnd8$75^uxzQ9tOvgnxOV&?GuwOAMH( z4dGVPXxz{2dw9PeGg*`xsQg}Phw15pA+|6yj>N%U>Y`=!G$EEey6npSBnIRrg#x$k z{92i_X<%i z>9rLG3%;UU4}xwpR1HzO_zIsMK1g@z>Mx^R_)A)HeTNrn=1gx)q=9w&ytp!92k<}Z ztXOAW(47VsO^4}qYi(oF*vSJMb6v9ag@4~G+HP-ZZ-v5j@%hM^)(5@1cI^fONb}ua zeV*f{id%2h1(hE$x2JCo3N;3@stuZ;V_{Jy@~R0FW6`REN8+rRiY%qwo)o47elZtF zoLeV3;z94u|6$grk=jVm-JwVt@=)@ zxxW86dE@F$TH8pFUXL3fP{cvE@+x_^5$3`={WfdbOA7Y>dSY6B@e(FxdYinx9rf(l zxQobz?x0|iFeml+N?C4%vdRn^2s<}qRrIHU3R)jt4A_RNvUT7D_52wug_aN$i_#cM z+xD(+n|B`|l!hxK?wGb6Z`QUiWyoE?WdUPbpB(yrJP!;5xWU$Xwdg~HqtkG({JgN? zNJr2Qg5fRWHrfv{_;eeywCDXL8N!wHb0bUfGVQ9}#~Z4X&sQdHGZ6rlL^?@epht8^ z>*iB51PeW9Y46r$NP=T@thWy~3R>C-9T-he2wY=HSMb{5{0xGMp!qIAJA4xP)xCZ{{HavCA8~?@r0-&bSK6FVMCW^ABS*TP8{S6m&PYMpb=CCwS!tZ zj|_D+o_claS-|Oqvivc`;aKN)t?mCB-1h?feXE||iv@UqA@Fs0oPZ$sTJry~_J0k> z71rbVdHVMuIppu;_&SsKdqVJg8sqh0r@qg0)oRRkdApC_Ec6=P)m-6-Q4hi(X z7UG3<-plxZdiox<`w*i#-~MXveT2Y#{|)wkO}6|f1AM6iE^mj&_P*54BG(9Nd$;BN zFNJHLhZOGF`9Jmm6M!#(-@j14oxFbfe#Y*;X5xSH{YM=nUSI+>|Hkuul-&Bh;SlKg zB)sb%*%0_eN9=vwoe-4*2$5kv1kUIW2K}Li_WJMG8Na45OUe8mivhQDZ|~X!zE2CU ze&_6d_XolMW>Nc?8at=oJL&UzTM*xS-(@Fy$i4Mt{y9z#@kvU!9o5J0r;_8^2#TAm+gT-@kHbeqZ>2_o}bcy8C?p zPylQTgyPQ@AX4=Gu8Y9`@qcH8|9k8oJ4!wp?u&gdm8~AYY4aB+4bBI26R6mpEuln) z?QUlEm)}NfpuHa{4yHEF+ifqojluWhTcEub+nuZhXiV>hKpN9VzjzIt&s1w*d~XsQ zYz^GkYaf}d!Oy?h(rIztO#eT(v43t`|J?eL;HtH7-uV4=*ZZ3rYzti6%A3xw?3ZKT zO5*vxW)Rx3&g+)b>=jE#5R0Jhn6rT@AY7L8F{j?Zyt_+r2pW{UD4IX*0$ag zWh2}`rHOdBx%+r@Rs7ReT4d#OwZNUb^?E;C zy28i%+eyyeF;v&}IeI2Gk$&rBAyDpatRdy-=H~Xzp~JVk-ScIa=P7a4e@Zr?KG*1k zob%hJGe30p+8N2(ZF~#cSZXXzSU}TCYwplDdt|(m*&=~lIa8;>rYrKbheWI260hs& zuUt8=D$EbnCTE&ci>(>uwLk0sE%x8U1UE+d1_7tpjYJ^-!Cj{mh|!ffgwhfSe_B=Vj(nK`C^L zfXJv6fKbpV{lX(q`-DXzdP+;|wBos`$v%; z67Kgw9YnfGye|_BFG)`=Q zJv&l~`77JOke}JN%Zla;*bKVTzLc?8#LF>Ut`Ffl*&qbgXpUP!Z_i+)vu*A ziH=?a!8DV@apl*k{t%F$xUgmfLV>9khr0->IXTwEwB8?5eXR!)h^iGuc)bJk|W|&n%*iIoHN{sEPJi z5$(1t+-jD;vRdTEd6*XMZv1OvJ;;i7TZw!bd1%N6$%4n(Ytz98tTuYc2u#F*2=ix+ zfVS!cJx4{VQj*3@)+}_X8-CGq59xPc3Or1KkWvjie1(_*hD!m(q=95mL-4Eg<6w(R z)+f+n{1Gjxcx~`SbmLJ4Ab8xBG1;KJ4+Y6{a_b7$Jq>}iu(g1P8Cn`j0de4f{IGwq`U)7;uPBeTEP|MGW5WQBnp7F+ z9V-byt_>i!hd?L5K!Z(gp&>XI6O@Su3a)Tx-yxXQo5eJ zaezoNwU+UGI0Z?6!8jN!Fbd6hPCd#0D!0`7tOadTiQ8Hi2iF>Gv`SHw%sp7WxsRaw zuO|Mf-#+qRtwPgHFo#G*1JT0PW79^qVAH~OVWYLg(QIKt4WJ{_Ow#vTM=xCiQOIsc zvKyW5#=4S~j2!b0!%AGrwwMDhTRPT}V`yO+$| zga)$>jx+V;Ngtoq=Aftt1Z6{lclUs(1_w~>?bvp{e;y-4?7l=%z*cSz6Ezy*22mP= z)tIuQW?j2ab-gonCk9nlaInD9YrwAdA<^E9Te(6%KG1B(qr3Pcp?nGhp|xJjx*K;o zFuY#sFIP(U0Y8}9tl6$N~o1dk(4R(&pvdvXP{BQxkBBc{#m{g}t(vlJ_8_L&8mf4!?%d2HBtt@hzt0)wT#?W9F zwCWkA0Dz0{6UdqXdG39q*R79VRnME9ZM)bF{UCa}+%-63E|Vs92j zf)E}5qn|NBf<=^x)8pVEzEO+_F#!(Ly~BzHA(TgZFRoMy_tq)=?m#$)&R&*&{+fle z6vN$by=WnlN7#D)R0O{$ViE!MaIz>9<F*=TxE%n7b8V*R@(di5Adi1jGC;E0*Nw>FyJO_eU%9YzZU8h3nZ2NC2Y7n zYwIPLzxuUOsB((auccXe!w1OPvXTvzx@nyW$V^kS84Gx}rN!c@P^hvR`LjYM1CaF! zk$Yvg_OVf$_Uz|*s;x2Qwv-E)06Gt!6I1n_`QHW>y7Hd#pQxuGX22QpdkWwhEDYkv zD9eE~v4&K91%?@T828ph4Xd#{wss)ssL^g6^FQfu*;JSux7AGQ{zX`8E&tdN>eV8$ zc8;$htO3Z%zg{g6m^mN|%o)QyNuTup2a?UD9b058q`-ueX|0m=8G#q4!a;s!QOy1W zVsNuX!u~e0s3%ANf=#2@sdUhvS)Bg^jm|=IwIXZXA#9|`#!uMmN#aSw`9CdDA8m+5 zIxi64y1Nbfi%eqiy*+ME*Gg6Ie}j-s@pI`nyal3>P4K$_j7|u$iQaI%hQhMLK+FY^(H_fk|9|rs4G=JO*#}br1SnwIrhe62} z6t_%tbiBRoVZwjqN~N{z%*f3UIzpdexBW1@k@`^^8d^E{&AGnRKYG!64NHd|nd!|9 z>q8ss8R(jtn0FV{yRdyY)-`rU_qMd2`ugX@Wi`!?lbTYnJ?UsbEX06H(9 zR_8A_uEX~qaH9I6k(e|E)~bY$5IxM-)&90{U6a$wS< z9;RjXH_9->YR6Fs`zmJyc*2-wou6A)dR5LH7!jY^etlq0keXLi?UZpq@>gkPrs*y1 zz-|^o-!92jDXuKhVxC0MSx@kH1k?WHL3O)BJ9I71tP63*nn_TuvjFNlKNP`!)hQAb zyBwpSn0+gj7+N>)cQ^MA_YVh4CY(g|%tellYwzyUIr9nO0PXz zWk@2oBL~7Z(TU(4Y+B6$Rt-9^6|*(>in#_`r9$J_a?uGNrRbUl1dRLJ$6vdroT9`N zFqQh~j_Mb*7^Fmn0f{x^ZI(C4ShQ|9ShRX5ShQ+vc2U%Vi$E4POgkD~aI@(2pdCYz zpk_CF4Cr3+YBzycy?bG(1I8wnJ4}tNb~mH&T09P*pq2=B?e{u`eZ#eR(Yk^-y#Z`K z;MQ+2>(6LS_7)Jr{wd}>K(r`uP0|drO#K2AV4$Clm%3%Tmb6eLn?;jZjc8HK;KQgIY{;gpJiOA%-qM;}E3Jd!PPlkNlYh=6Tp<^`e;p8+6_r<;OwTeU4NNUr{6j$1s ztZEIumNo@Vi&O zDg zcd>|7r(tMSb70_F;@L1W#x%=rs7X#zpGaduXpm!?W$WcR2h=amu|f5#b(=*7>s9Ia z;6dFG=7wn4txM_ZM9o%;Wo~*L3=^;5b9w@<0)=87ms*Fe*l5sOe+XK-iUluD8UiP3 zc;Y8;cmxzwLW7q~&?BHTFoafH(s5It;tGN zd3yPJca5u4-9I+Y{w%%D<;lQNICl3y@a>HHBkztG>>hK)Idj81?&#%9>MGR3p_4+} z9RLr616-mquZvsT!%@LXS!h8zRi@$095JC>=`Ol7o-5xh)o12@SPogB-)f#F)H!9i z3iz)_hP-Y~1c3Qu^iM-fjwA~fSskI^3s#fl2@~$4%y0?{pKM(9BiNdSTwG2n)25Ay z7#97oproM^3LyrfPCY|MJJ04xFc2rKh!9pHv;0HZgL-e~f2kW+~^)Z{SVJu$$BN0t-le}wCxUk{(CP%MY2MRd- z6AZU){kx)}nYcDCZOwzpnLruT?7%FlHXvpQ9^s2FC#1;G-NHoqsp3ZGhA1yyj&Juz z@1yQ^Qq-Bzb%4+3Vfg|&JMD5$DCXocaqbnH5 z!5{X#U#AQ)Ay1axgsR{`x-xX3k^;4`s{)~^A(!&Ih?n$tjm1iLl)k4^qGxHWSKN}7jZxT)|HzqZtETPG>d#%jd;_eP1OBBxJ4~Z5*6NvyknzmvTH%xXxB>B zXor`!rk=wsxaE`Y<^i-oJ7xNXcHc{I!a^P;)x(<;ZAMT1!CK`-0hyJ0C~`;uNL?B> zZioU{-9SkuMH^^JaHh~e^siO|=tjHQI~v@3p7I+6)qsx-#R+hMfh>=FVDT(w4fbDu zgas%TyWzHbw2+6bw0Id`VS$b184A3K}$F(P?hX7NGewzL{&On!fM&w z2MpMF!Nyw6d;_n%@Pm?+NGb)wYS8%lOOsI4#lmXkcIL5GM|TT%7^RS}3YO7^73811YhuN$@v3B`kKT^Jh}J*Q$^pR(MDA&%6PXX1rzooKyrfmRWmi%IT)P3ih75> zL(r&?+Pt`5cfoL!lo{*@d%LK!#F6WZ%6fF&StL|tPGsHLF%u7@~s}5hNIvyyZw&B=~ zQgYdD(#$&&&15};gm@YZ^&s9w`Wp@FTWBr<;(fhq7&Uw6jzy2Q9U3-W8y8W_4n+zP z?y!P%o?1k>JU+Qs2?4d*-C(C{o=ZgU;z7gC_zQho1`WEF3>|DtlO)GH)vkDD5OFm! zz_EdBjuoT0q<>tnRhvDBD9e`71Y9=32p7lMhwGc8$O+k zjG;y8-LFhe+jh1H{?(5+8=-_Z9-gSX(Hgt0!lPf4oo#k_O!;m(&tLN@MZfUNHFEln zN+ukAZ63oG8|9v=sK0`U!CQnTN-VCxjZBU=s&Fc%`u>< z_C7Jr&Dbpi`Nq@$pPWmuGAx+ZWH6nu^JUW9yVJ!N(;5~aR)lK^W8L4BmcYH@<^ZkJ zFnc%30#S)*Limozp{}R&>;AS7E=7ES7GUKEip)pqYi65Cz;K>doje1(@2`Apr}gVB z^GJCq7Py~kd`;(@ROVl>&1jji_G$4uQok+eG**?lFCHivyAK{{k<<^lC$0tgqD?Mi zmXg9P;hC0nbowoz8S|cc?y@mXX#Hcd!xmT{UjeJ}0^v1(<29YO*ByN-53y_s$hWm& zijYMx*UZvE{P1SPNCZYc`~vCY*?J?UWm&!=z&EQiK9bLyyaDRlF1|Uie8}X0Zk{y| zrvW+JbqgF}d6i32!Yw%}H~|mllO-&aR2+8ZiD_u21u4Sv8F-nD-9sd`IN49>!<&d=7N z+fL8Up}E{%az3m?s@ZVeeDy3HT_U@7Gja?M$ZFjgC}55{DMG5cd=2fXVjtmGCE%)v zXY93FJ#OcKC+oDG{YUN3ThBBLwHe1AKM$`>e1ms`(n{mez*GuAH)pK?;j#E-m)@j~E5H^{Y_Jvr%s1uQmoaUka>vhlwdH*X>rsY!xgF_ zFjhP%Ju%c&n96r^Z`byc<%)>@yV9Nzc|?BV(Nvb~nD;fs1N1sajyeV8fMr32Xa4CB zoPa8R9McE`&Y49Lh}qUX zJT^?DP#Fhunq?RZy#Yx6>xH3z4#Wda*u!3t^Wg>a}}I})fvp2{{Y-&8P})=>Cs9JREWsL z$20?KrnQ7+5FBFOcTa<|!vI1UYXP|CpaFc;K&t#%9kXUgt9FDC%`RLrvm1xByy5Dr z28Eyido6mIUd)jPg`_Be2_6D4=V2JL2hHtlFv-Tp@C1_#6#^K}c82u_%Ca&;p$i=v z{hKaWpb9Cr=76}0{Gj*Mj+;imarEj3aM0xrAVlqZycFgEm5Lw^+jlpG4FD)kbbYAO z=db&1IzDJ1fIevLzsN?~0P2}%erB$F32
fvf~=T0&}Srxn`fFf(LlSPfMIT`@E z^d=`-bq5%mx1?`E;K(WTyTuKHn9gc+firdj0j}?i`YdtiXb}F zZUF+m7`-oT)?Io`P@8NYL`*;IH`=}u#B7-Obfs@w>4CIHr|v-LFZS!;p@gv3^A86R zqInugW9~J`iGpz(19;-b-vA;Pl3PzYi6M1miu!qK#N2P&Ytk;<=U;5BbX}RlkN@UP z(UoP}#{VHP0cA`8TJ~%q1q#5{)Fzn#D4bpeuHFAwd$E2dZL}nVqJ-6(ST=|Fm58%M zYD((f0qCEb5jU}Fc?bVBxtjnjq}Xzm451Aci~6M)>qh@O!DxyPYOe|f1k;kJrRfH2 zU{~$}f6r=3wi%u`ZcC;ctaie(`AZ?WIDL{tVyOT&$;FBJzr0_MSlk_-9Btu5UD^DJ z)ZpB1EuN`b8uiDzeMoTo-lqc?xC@W|zc&8c4*UPbQ%xrj*nokw^8ZW9ZVUr!>U}bl z6`vfiTr+5n#V2W{X#JTN2Im&`Nq2^d|NnW$VPT$ZPSS=iB!XqDB(*=3G^SX9hRip@ z;pGC{Qn0Rnr0C}}^3)rvnN0#(w)!N+!f64dC{I1Sn*m^N{*#C34cKdH;uMm>Y7#6P z(lI;gu`tq4f||SSbI${D>H|Nk|35u=$WYTQIM~P`X4?>vHMgVBJ(}tI>^Hsj77WPR zTl)|aX#JJz0$lXsoQdWY_BY_jehl>3{OI{hXan*pSTxkVv|mO1pS8Lf3Ug~2+nWcc z5ZF04PwWB*t8cA0QP^X~UeQ_x_h_kmMh}f~v|a4hkU@c3-s+eLCSo6f8{Rg*5=88pahVBsxMsQlcu0hJL@4o-QNg z&CF6QDUCM>iKy(&2O2d%_M;&K7VmXlAwMLE^5FWV66z8}_IfVi1mLbZ| zt>_pc%eSq96XZ@>55h&~x|zH+W1{AJ$Oo@ ziv4sqMuvnXTv*6Zl7wYYcpyiDgymgW=+Knbt;jT36g5$O)iyo&J>_4ZwrU$5^qwLP z02+P{B~SGn-b?9276SPtF2uacjC_ql05Qv~WZ4B%o#OV%y`ulsDXwT5*1Et=)z#}= zAfG&}vvU5@5uL!sdIdPyr#>_eR;iTwWMnM?dLpkYd%LEXX%mpFQ0HrkO}fhC0O!z_ z(kS3>Z%Z}lrbq~wasSRtyQwk%oXIOJfJ`forH?NHnucXu$a&SL$u`1sxPNja99S3$ zInz8|^b;vwtB>U}g#~SRJuCE@Gk9bWz}uG=%^l3_6=kx>LYEnqng9;GqD)3v>T^|Y z`k4CWwU$L<8RU8TBAGnm@?9BnONOXC?8`9WwG}BU0n22tvU-=pg4Hsq#tJRTvJ_do z4paDjf<#fOG*LIne7%(=?+mpBbE4rbs#6}wRHD9D(j?8)DsY`j+?(Zh7jyh$IBE^( zyz%Gjrt~pObZnJoYuXgCt7;)_1@t}@!moOj7QmWA2@|$~#3@zlh2-KZDex!jgg_l^ z-ePvT`lKad7dxsKroYVKQnfpozPl8-#>uc6vKe$5b3LI$@$GDSS*0%(6mP|^LS1C+bGbV?PmdO-V=wMO!4{CgyH(q->?^vH3 z^2~P{-puukVP2RYF;%sjcPc8;wVam%Dr+>Z8{91I-Zf9*&bK8^)&8h(4ZgO{WBm__ z<-gp{i#eo-iQ~8Ele4J!0)=J);7*h;i@n`||*y9o=FKC%gfI zS4eOkv3j$fV1Zlv0AlxkD|yZ{%CK6qJJd&mdr$5Bq>>Wg2G*7^L^aF8UK%5C4qY*O z+mAa!k%bJ`LlAK-H+|O4P)vcH?9{n0b7p@79-nHb`zkyHX zwowS)imkLf=6p9{q7W_XV4#7$D0?WOXmLybQ}4^T2ZqoeAU26Y--V1>hn05G2SiI0 zjerOha*<whZYSnVgnPJF8^XcjOilSJ8#;Tr$W)Heug(F5B1}e}k>DqU$8u6-`l5MwcJ% zfw@lJZE1tKUg|TmX9caO-`cZ)(6VC%rQ>+4?fYfsCWzXG)G)`^G0Jc)0tU)1#9+q- z28toVU^YSOE*}IE>j~X`XM8y(H}^dS`NuIAo$VEVPR_0Yg@XMhSj757f!R_oE?#O* z8D?{G@wKL12A~4H{*~(Z{Vg?gJ|^5>bX5l z%ckdD>>(z=epgf%i$)qRnL$%pqEhDSvC>mwtn3}Kev4GCFCkE>D$m~h4z7=>Y9K_e zWQT?-M6PPbn*EJj<9hiNU8~%>KY3lDGie<;f3YPfZ9?C@NbNz+czv z3K{kW4f{Yx|8#P-Kv&LJj;#DH5v)S1!_v7E`AS7k&XTFZCG~1j+^84XT*ia4lRm?BTZ`*2iwlv7c_7zSkLOrjt z_fRBn+TVDEIQ#o08q^Yg(D!B(!QA`bdvElG?3}{jZ|R%2DlUSRqNfixL<#AITV{>NeoC>2^ zb%fqoRO`%Oo z6{iOTmkt=|Hsjt-oI`4#QP%R*W3pLdIz=C3rML+fDeCZZGH~S~+F8nbsAztAzj@T* z0!&lLR|53Ts<}5C|FzsbYHBrX)rhx#CjZ! zC5L{&?RP|%|0#P}F!~h7Sl>$ESf>PY<3ia`zu7*9n-%y!h!PD7xZ>i6!~1=V7!DCB zm(V|xmSRNC(Z{4h0XXfHn3r#DRCGk=kc4>s9!rA^P(x5E`J|y97I*&gXm(660i0B7 z?sF_gB3R~Lpr|xGtF|0E2%<3I_o8fBw-q}wrdlENG)}vEU>QGynP#M1k_T!Vs5|G$ zw$9r8`>)Mu^8h-0xp>7jbz(q{`{%x=OUKAlU(h}S$R$@#FGwL!^bZaghAo?)vmdS+ zVQ02f0&*$y*Qu^VD8XEMT_Pho~U{4FM9D_t_` ze=w2$v6NW^u47KamS^&Ucvuvag0oB){13ma}4FqLejjlns2}jKXs8v?#IG|_&DQd$;pvl z4}^tsv&37o|8c6oP7;}6y&X*Q<)$x4w&n5Rz$%6jpLR%i1@#OXFfhxALIp# zOw>J$3oNJ!b$-WBAk7J(N10I6t!Vm(G(=TeQbEbY5p@lVP}Jm4lZq)+Bm)(3P&mO9 zz1X|Sn|N>xydlO#O1~N!l!m)@TA7wDQ_AV-Dj}vDZiAh{ahH=*=K$=|Vo3L4iL$L1 zg`oPGrTpH2wsp!dFA!*puM#yMK6i+|RggEzlM1L8^AmNSdrhB1 zkCdVPVrmuM_zc+8+rjA3tuEQL(&Yw!TUOG)%vmmd2dPq5k*!7^UG2I z#RvG*wL^%$&gKItp##rifZz!D_-FTCtpJ^&JkG0$y$+`X3B$b3X8`mEX&H80!Y#Wh z`0QB{f7CiqgZ*3g0z_Q&zV46>5L+y|nRQ%szI#d+0|Mvki&!F#pO`Cb80x({4=_N( za|1Uy)VE|Dt7Ip>a&=!khx>3=02it59&5NaloNY1eMcpv%CFUi5h%*DwE^fjV&epW zu<=MFW*3E!n>Qq06o=!emIuD8-)*`8Yu+Q1>3F}4I=LSpXyFeg4ZEoFBV6up^3|M) zedHW6Jx$=Nt0uf0djXu>*D#i)cR^ZL#YA40q}B~(=U$nJV(aX%S1l{+CCB$aPS?^{_%^Ig z4AYv=*u${>JO(`E~3avhVd4Ws6+S`^^6gv#=Ko#kp*kT-a;-|!jCgSvh`B=qk5TmvqB4J&$pSBXG_#9lecFx zmQUd|qbF$UBxn zmab4}AL5YA#4M75Yxpg?)?aurBfow`0gh9Q6+Is%N?NYf7TaK7sI*Ff#xmM1`2l^l zoEss#%W4N3#+2-uWQ}9A0kPI1$YvZTgH>cNPR}YS2w8q7_>j3tg>Aec+D<#1n_~f! z{Kz~W&o)k5DQ{CJK1RMqNn?6P7O=Cu?0SKVBj(EWG)DEd^4iw_npyzN?$r)!bSXW?-2 zIJdBHRz{8DJSy_<U@fM&H4TZs`ulGLG9lWYgP*{;(wG2yr4;M9o9d4hi*Uf$PnSnF?WwFupHi?Lb&tDwBpO% zg-_H1o}%>Vyldu`rhEG`Mi(gR>gLGSrmn1vl$Ndx9^M(BJeZ#prN2rHN1D?o&hAfr zlYDsj{j`GU8Y|f5V{SUy^oxA${&O+A%R9+&nY*)S#%>hLK9)HdW6G5c0!HRkOi3#Y zT3|f;Z@7$Mh1laMfzW@Yz|#63ncfI9nZXF#)Z2-%ZnfS&p6>U@#NO7=a~{r@OWFB; zQhGg}j+Js=H=Xtunv)*RQ->Y}he~j50WHs+B(XtLo%wSEhMY=DoA0x>N`7H=oR9hdkWxIm6!6*fo_~FTC*$ z74xpW^rg_^1ozjBP2(kIbCYrZ?jYQHFmwb&-n>skj#+Uf{nEI&&;$rgH>sVuoCBZR z$-GW2;yuVfiI^`%NV8n%V-vcWtA+ZDtl1D=Prci^_`~X){?no^nH0Udm5UseeevUD znwu@=mn(SUy>5N+F4M?2?3H3(%RSIcx=VGY+&@j`ThA`$wKiK~uI9Bry`UD0d5aOO zQ1CC|69qc!e{AJOg+I~6xXtW^{Tq@7$ziqD&)Iz(cjt4aqP*(n3wg(0(rTqV6Ye?< zG_6_Yd76>0phrq+wbof9tW8_CU+0mou%}uKoi<|TY`7bFyW{Im<9=qkgIpqvR`X}I z_AJf*Hb{M>amj0)W5cT&sN|l_Iq-j6$1{_&^mjQv?|)MK;i)a#Wy#bTGxm#%tn)nW zqDHruod2f#v6HvgvH7c6?G?!7gI6AJC)UYHoRnuh`c$^!!mrNEz|{wMxh~RnZtlb7 z^7tRtu7>4V(>65X1ulm2*zGux^P@l2l1#XWr(Pm9v$7q$UB>S$bEjob-I@6s59fb@ zorgkrA@>z)Ctd|Xd<>ZF1jnDkl<6Ozd)PsMh@_aNFausduz#`K#?_hCNNbBbBg>hU zeS3k#lv6v{_;aO=pZ(COg)eZ#Qn%(bX=$Q)nTzst_v7~AX7_#)Qo7^U-5Z_y1MFRC zY`tzD)`w0HG?>Iera+wVr{N-N=$7KL+8CX%b((SLq%!_Nl|4h%-gJ)n4&`)BJlh{D z@M4uB<%xuT=tA7ocF+*{l&a))GEuBueaB6u$hO|~P@uZ^^Mt*J)5*QoYmfIO%(Za; z`Dn$`N9>_S`K9&*;ypr^i+RmuOzNkE&aW2smS8t*^3(uJ`@e ztwH&k0~&5YgAY@u&rV(l9c>>MZYN3f*;PCIysElL%r0$#?#ZV3}6z=WtWG_18 za!wM%3cDDHrGp)*dL_AiWyqUax_mV{Upv6%IJU!ffd?yfXbtK6XQjSOHgO^qL|fH^ z_F{6zo*9^lEJ`umC*FrH^<)~X$PivuNZ31nzr5^Cx(p0<#o6nT>~u9YQGt+7W>7cASzDk#$drW9#j`|W){aJP)*gn` z1g8Q{8!gTz12Iq330DM8i{ToO5{X*Qo}1FGF$Y{69b8`@i3(*6=LLJco6J{GVK3Rs ze9b#dNfP`FWLM$JVn_WB6XXDVoO`^$@P{Wi&*%)c|p;K`DJQ!%nUy&O6fef^qr@ceplS3-%t$LB%jn3-p_iMXkF6aE zB}ZB!Cj+nwXeg6Sk3el#;*wd210mW!BS}GjV>bqrTB8*C%gY$r;SzMM;r7|vDUiKXhiab5I zHSegdh>*=b^OOg#5~XprOTrNor9d4LHot6hYHg-Z(3Xv8ZLbW`%Qje z&ch&Bm5o{h@>GGMuf>Z4CJmbXC}57llw-m-H~ox;oq67;2DlXhiXEN3349ie5Gl3>=Laq7BX3v%x~)hd=rHqgT^nOS0uC`n zyZ>+GpowJDgi(s^@59jwTMDvoL6G9TY#MJLr$&Hxff>w@Gt$eI4i3J@KbuU5Un9D> z-16(V>{Van;`Mg*@aia(?w=kdfanzmEm3*xnwfJdmcsUz+c#Q9bP3Rn9hEb{tcVG2!&w6*!P`VGPJMLckPfDG22 z(G) z6#E+4Zo^VlyJRC-TyAIu_Qp5djdcvT16YD69>{XY!BJ}X)lm4=efU*=gad!VsJ91! zExhtzGSDcTBVclzf#kK>+K?ej<}abA`&Y^WTINhCg&H{e#bZ#^-6&mew*?{fQE#9S zb(j>ef5`mkf^9?n4xDh22EGrwbt3Q8IdOp_=qqu(QxQ^S+bO+@x55y@NyR>?5+F#G zQh)s-n$2Z^f?3WEB;88_qSpagy{i{pS87lAw%>8NMS_=5-IbsK{N6#S0KY5Fnn+0g zCxbdbfA@xo|A&6@eIvw|kmOru7i~A55@>rh%IwM@1|~*qqcW#gl`js=LDWz9_KUmB z_?2(WU3qqfRmcdzyO}F_ZXLl0`V?^Z>-T|G=rKVgxX=6p@NJnUSgW`S2=de+=B{_rtTdnzlcf}$LjZM>|+^)4Qia0S`)x|;>SWa*mEQs z@N>=4@PycGmq7oWx9-nqHo=?H(GTtq+Wi4yT$dX_)n zx1{i1TqC5pwxj@4D);yH4(W|s>UtsX-0M>tK)H_c7RO{yhFcx zTWG&Dz|L1(TeUCCZF&53{FYhPo!fS8J00~gmEP#HIbFyb?CR57{b$2I$Lwvv;Zkb; z^HmhYUpWtYgL|zyD3^bt-u6|=5+Y8Xq2319$`a~6|6>T!r+Y{o%p?Vn+>Qsy&Z~BH zDdj6({0_*PGjJCJBZ+615kP(!Y$gcr(t}ADg)Jc%6LpL8I()p&^SM$n&3&!Te&s40 zqy_{;Qe*vY5j9l-giCT2E>Lxoynhrr0>Jw+6$1)HWy& zH^nG7G;fd5gh8JslWtpj(-T5&(kTyXk<%!bW@=X{XKLTy(6g&av8PN+cs3SCXR^|7 ztrUq7-Au;cUY}0g-W>p|^b>sRf8V%y9&A4$YIJ$F^}73Tbo=uYI#>C^pu%EdHH01hC+G#-VM5x$Tf!7c zu0gl+`NGi&saYsz<|ZAh$4065Q%P^vps!`)x2Tb22|CS2VV$F@2VHMk<6LifCWy2+ zen+Pblw4%^RC&pfl~fLR8BL2JL_^NR;Ou2SDQNPyl2t83s@BabGSihM8Xi!mZl%dO>N>U%Mm88ULUC-urd0r1`p{`6#}|Mg~|dOJX7$^WKO^FWLDkj znFh`vP6&tkAsnynCEb(HcaF|Ayniqz3)qT#KMqaM}0M-QeRf{xWkTi8U!5)*7G>ctY@(b z>a0PnaM}xtYPrR94~82um^;J>q&W%fgm;4au>9T86a%@zjzqr0oA?USmI^Rwpz;4q zwtKRvFMMrB@O^oKlqwTPRAqJ4PWx_^eD?kQy<=Kl$lM2+HCZh&7yGdW#!u0fZ6>Kh z+_8+arqnK3*p)`!y@Ir@a?nUcSb12vP;f2=+5Sc`NBo&mj^r(g9NA|a1-i9&NoJ|= z0d7W4CY_XNr?h2Kv;o=rT2b*^xWaRJdA>0F+v4K)0wNVf<#FwWkZY#}o@Vc#NebtO zHFIm)g)^O^E3V3u<8mWMr(`l-HbSI|^_*=9kj*;3SY2jlY9V4t z+6?}ab=i4EZA;rQ*)@77q*OGt*?ODR#djqtywjv>Q~A8NlLNFhHejmW$!oJImQy-1 zw)2rO6ye5xG=K1xC+rxPi)Mz?NiE0mq>kr!*2H}}f9f{tTjrrVc}}nwX^Kt`b@K8J zBfm0L^}eBx#oZx8YHr0Zczv4*ipP5tLn)aLa$S1P>PLX&` zb5c8J%iz~p`RQD1TBir<#VhSm$#H9%rx&2SG46_9e{xEG-?Ubh{%Y%PDsW+_OXH@y zI4DJ&+70d%IcE$Wft_p9$icF-r&)1(z1;S4vDN-;gX6(E>-G7lvEMrTwMEwZjnytu zQ|KzV^W!4fN5&KSSkq2mCrm)?D5{S%%eEgLB-O45+!abo#Zc(k_>gQCxPVxgJZ$<) zbZZ}qhGiDLMP}B|$oq7|j^fm|h4aqJryp3?e!ED6C?TeRD73DAKsXvV+(>Sm{Ph=K zCYk-4R%sS|-vg2T8+KWMK`pZHB6m9zm6r8$B5`3Nk$Lz95=45sCYGPm!okJ+4F`eD zbY)~)mzCoK4K#2cu~EUT&h8!(65jF^>88RFxt<8uIE^;I(Jujl8We?K(9D=2e2ijj z;0X{(XJ^CQ*9u`n>HLi&+gupj`VeOdQ%STnCOw;Q_AUC8b^J-&A-}yWAPxsxYMi@sAntF|B@(89@1Kf z5!X&_6(i6xiEJp!#?I}5>kOKPKJZMhr{(j%%Eh(!e)_j5TJ2M z+VD^~#6%|>8y~J|bGN9+WG5S&AFkhiL>R?dai!QM9s9G*sh<*j`fE zY{qlkrZnmN=g)Gs0K?91$VqMt=`7g&XF#IoJH)jX)M;T-TZ{Bo7%9x9aRNv2WfQSKTyfANu=ulUo#Q68t&_NhpCXf&~nzvs#L0 z#MYl})q}(OKL>R?TbG;~2b;a9=vS{M!$){^I}dU7nZl=cA#GZ{zt?Ty0fZDOJ~n7T zgwuIdj}VioIqSb8CrJZG3c_?jf)A)}T1Em^>6Qf{qDlm4fB=n*VjwURMH&nsy6Hit zhtb=~oSyr&HJe5Jp0CfV_zMKxe=BP#%wqwc-5QCFlJ;-K8dK|qsZY1IGX(i}-NKWW zq-if90Z`2-vZ`#r3yjIlA$^hp+s(0FYa)t85BbmcUz7XuRZMyW@6aKqcWe7pvZ5kh3O_)!1_^&5Cec52fmv}LQTU5@DYr-Mqn0YHf9$u?EMOcMk)9itd{5 z|Nj9HNxC~4@c#wfqJbujT`-KJ=+*JY{qad|Pg0);37(IMYB^6*ex?QwzHA!V$^c4> z5XqBg%&#FkPL^D3+IbBUrj zH70yo@!##RKeP+-01=ni)Sk_#G4aAD^Ce6p~AVf5{y>mgr=m9cv4!qajRb{TgQ4UDai{xFnl*32@< z)V!f7!)2q<3t?T(^&RJkUC$|rYY56;#|nYW$av<}#dbv+cO*QTd$qPv>+Hzo+Z}yz zDACwVyHB$Ywvk7p>IR2!1B1(zzGSDq1unF7)4^7EjC`*R0J^k#b9LTs_7h_qy?v`v zkFwjL?!1Uz+vN3p%xbdKeo740*^ zg{(MP?X_v|8!TNNNpoX`l&v2|dA5PL)t6TM1{s285FBUgV3BOR23 zcel&QC>$cN-l#hQ5tXn35oHRD;;r?@8VmKbr)$a1Q3)%w&&a_pR|_9HKUb@G{1Rks z{7>NWNxL@LuZTMSE`$nkBI}8wZ(rgV(k|47!=Sg=2HVQ?l8`8_wSczRT5x)9dU3k? zO|;I?n?IXBGsKRsKaSti(wnayZyDcR3$8zUJXd#lX@7n&bP8MxtoPEpS?(tHVuV7& z$6|3zeBO8WTL7?wUu<>~190g-UhSk72%Q~fJKRRTuYuTYF*aVsYjGaG_+oP%@TR%l zRLOXGiz1rtln@VCf&VEN#ildWB)K)^RYkTg_jFW3R7A9QlQIBPIqL0llga{b!fZav zU32k}QJJ(fOl-XC-l9%~rK3mu;5ChVUvd#|Cq7;bNJ*x zuC<`biK)A-EY?M5sFcz)ISrrKSX6Bn)Ye6A+ZAr~PgVUL*kHUQ0o|y>%ryT6dWG-_ zCDY;AAF5HKkIddodnYr2((9Qn;_JJV;^Dl}0y@joxX}YT%RhEw->v-n>peo|x|}Tl zDr}4#85+dXlZi(zGWUTc9>t4Y5LN(OcE$|f%T^jtLx5lNHN4~_PE`D8&!qLAFQByf z9Cg__{^Vo!j@VQU{$As9{DYI?VP3eW3S7wN$6N5>B^}z@N)51>N!}Xe5AvF#YsyMcZc3uyiP%8T@M3 ztpUqRxn=BgncUdDaRhVXaeG@)oNP{I(~jY5lWXbGkGrfQ9&_zMG2vB#ZOGn-SO>tP zn0OV7#a)`B3wz?=@FPU$gbqFO{2yLIL3D2+n&>}z3I$QLI{O1ZsQ@hOqj&zXuE^tj&6HjuAW&td1}g)Z)+l6t<08cCxiuak7Xmx#_$>IU z=+7M#cjR~8yL60yKmX!~3_k68;lymBLq{E{o^>1D-!)1`JoEANd#yBab zfkwCpSZ@~_PuP`ih@Xu8OEPoK-Q}B>0ary>?-OS<-WRSLYnLze)_lgRAfs3A1f4v^ z;dOW90iErB-G{cI0<<-4D!Y5Q$wTvo3P)q0L-^+6mvUz2EINtnX;T{}W{K&tW^CzQ ztu<-M$;(Q?(cRxRb@B@&qp&BB1mJPSw@r4|m0Vbgy!#QYx> zxBN#TH*r+4%5xX)rLpbIl6K7`fW?KUx^tootQ*>OT$~fW>v;n%@TBs^MML6b3Gt{li2;PB2|*QNau-rhBjOut;9DFumHrdt2|k(zW(IpMF&J zm7k_zDM}k&cCY|987y{Qo7(#BPn`lp5g_rbsCE=ZLBnw1xR#KczgdODEautqPc${_ zEUwte>2$K&UakNIj5CvwEM~iD?ERJhDKIw_nRvd1wO}|{=z#vWK*ITD{YggMnG}|K ze6hgqd2>2nN|~GE(?R+=QrareeSg|56Wg;w6>)E&Z(Uf+9>LAOv5GU?PH<@*ZDbw$ z)GTq^C0RLsO;KA*u$Sza%qf@Y6U+_!}NafE& z!iFPc^$r@_4u*`%Q6qJdG^?VAjO5H3owR;;{~9id*Ica{%fQD(f-ER=AFMUQ@d}qU zMvAw*AZCf?7b~d`8)JJyP9Gs4nGe;UW_zkke`+>+S*FX-=aNgx6GV%alrXv-lcFQQ z{xwWWTJLT`h8Y_*v=<-0eAuwofmW$bgNFkd2;T3CR;_r*hq|M>l-{1Ghf9A!|HGCS z{fDhO5FLRS1;kPy4ghfrePV@#@kJIR3qtf z0WWKdR++e)*%FYmn$N~=K4Ew=VkwCZ_*JM_?Q8YJR>Ncj56rWZgtg@8!ByN$cKY-( zVk#|TaT6n5sS$D(MkdWl;g0ipMBULS`-Zqq{scvn(gwB)?G9oEHWlnVSUbRFpsA0C zcze3LenhsavT}ZT`Q&UuQ-WgU9}{7w7v|z(N=N!Vr9?N3-KXSme0BOF;V9# zwM0Nx^Gf5YYc&dP&j&9}7n!r4a@SuV>UJxMQ72vSn9Gh1TI#%6D(3@o4-F3DlR%Mhmr!ofZO*?6^e zTIS2+6{33>B@GMH3h7lmG5|wcszK3A@s*t-+S6>@;<&EV0))^uh7>^1$A)LADs@UK zjSxPYXqBMmsew16v|k?F+k$XS9y$m0ysqE&Hffm_V$QIA_pdW!a= zEo2YVU@OMi{?03o+ze&RO%Q}Aqi}0Pd8)w`IWUePaM^F^hUf$Yt{4e{8M;u3do>Ug zTZ~fun2-6HRM0F1M2fh;qhbHazV`yL@OnNFl%;Oc6}Yewm3AymUVG>StgGD7wn}ICs`*P3>?&4?{H$mATGaCN z!>q+me^?9ui^i*kn5XGV->yhn#>+Ur7Zd3GNz9I1slha@OjaMmECv9+|L7K@OY(fw zq}tT92)$$}@dSmv&NYnYEBxv^9-$cd@?R_WyHOwf28mS*lX1W6u)a3Ug z0K~sLO0$wHC!?HV)0Jx3SPLZ-%pP<;MADsdmvrN>d1IX_(v@PkSSLKpN0xq%FJ<|X z=U}V+d{Bp}gw6`1Lig=#TnjoUfpU)s*}h@f%$Ll~@%DT=ZC0@N_B{U=<+774S`fHG zbG&I6TFw;uRL?J+&G)QG=v$BcDwloNF5DR`-1-%hbW~Rp$+_)9x#DtL#1!VD*L7RN zTVE4NY06EvqNYqflNr5fTA%ZivYl?_r%`kp^OW!FWQcKyP? zM{)o%{vrEY*an_H{a#6fyYsFlP5P^dw#&-Wz}DIdxa}Sz8u#~z@|{cC5}+dO|0tAO z12R>51x}N&e+GutDyE(cn&buWjdt3l`TIOft)3DgzMri3)Ik5Eh%o=C9n5<;jv4SF zxKtYSTgD)IVQ$}I_CU2vg$siS;|Ki|Trs9ui1N2ak#bY3d|-GTMXPjes%F{h4I35r zZP}iYG<8(N~6a;0+{1vc!t7c<=JdT+JoAl^PBdhw5^?o8Z=SB_eI z*p!$G2fv1efwx~ZTa!->C@%jRR&n{Sc>MI1Vn?UW5`;Jh^ zv{2|cmt%={XWl{D{>RR^KEYD|*tm4myBRC833wA&F~iecZLm2=~y~ z3C^E->@`O0jIH8nlj{w1HI4hzG%;*%|2ET6f@^HOwCi1>8ff3K9t05=z))- zYU>J^-5D!0COFb=gMle#?ciecJCn4!Ch<>Hw3L>fC(^H{Iu3v>*@{a|c7g^=N%eX+ z@Sum&c|2}x6VF&rtT}do&+#k^o0Dsc2}3z1VzkWj*f{C^aV47cCtgmfU#C`HKN^J6 z6I#Vduow^Vu0mNlWl)y$)alkxL>W;MgRZ;pD zBs1vVIj!MPiMJos?dbc5>(ZWm;sjkgT==)*orTmr`xb@2i}DGAqv-7n`!~&M$H@UH z{(_(hIICH&b5^6SxKj%5!lWlzCfS7?*ih*W)_$h(9~`Jz>m`dbpX*!^wg=cdXOf4s zvbpgsc6w4%9bQDt=S4k3Q!2M$tg(IHrn;);=XI~0Ng+kM(YsYj(J>#DAKu&C&Qx>O zB&a=0>8O#fu<7x!FUc)y_43G^-J4U37$?^NTmjM>eIXYGpKB5bIlI^!WeNA`Zj7EG z(dHKAwdeKMzeZS1PFsEW23uP+f(;UUV-em`z&kX8>;m#+*iJ%&U9u@>2$!&vvT^A}1J(pNx;>^g&If zKx#r=x}fBW(d}TWl7AM1kmCbXuo2#x3LAoOpkOsj@(c$iB<0br!~H8=fMY$EFR&H+ z66ggHkB+R&(sB=+%u<(oHa3Nd%ViA>R5mqHQp4MGFOg(CgQj7%ewo0EQ{H3X`RJMh zFt!B*;xetDS+@>>G{@JaL$-!AJg2%u%>xq3UNvOe`j1i*)r4VBO4Lccf5L2P>@(*> ze>RS+md@t>aaK8}_~v@=Af6EjXOJ2J^9i6PsZh`xZb-C3=TQF`)MU>(ZEvfAB+72` zUx4%LDhtsh;%-=w^v{Vc<$Z%uR24?D66V4)~J@;A_y-oWNYi3?5c5(*NYr^!rGDF7Y^28o7}qID#6^!(Q+FrjZaH0dOeuY z?bYM+t>-pCUwn_L`OlUP%b<}X8<*>>r_0|@>sw7fJ1mb~7H7_3pAadI4;$GhYWfds zq8p5vxOG_h+M-8B>unQ*xNpYQHZPCc2M;a?a=j>qk13P9jJr^;3WHVibKV6lbqtC0Y0|YN^+%789n*#JVs18=rkpM%C zDgB;iY0gqK`#F{^;%s;0yFCPgSdP;n+{DtY@x`kHD>tX+bI7Kk?{G)}WPbNu&c{|s z9@}3ifre{czRcX4C;Ml^6!?Z7^``2Uv3h{*^sGV+d(hE8!>&@L_;>=^MXgTQ?%Pfs zlgVROi2>lUna*T5T_|JB)p9&XIZsDdCq(iGeoV7CVC8$0>rfHB>B~Znvjw3g)u9|X z+SXE&?3V2miiIiGU<>Cs=Jcyo#ro$ss~scFHICIlmZPRw*P4D+d$M`n$+k%smwr{^ zTm7%ibqr?@ujiYGzgLG(DYMZuNAAxrf648uw{D3a$N2U1w*_z1P-KTLd4=FH>-So1 z)E?`NHcBdmQdBssiZPlN;Iu6vtDQ%yn`YEI_WuW<=vVU&3lbGj7n~5ZYlJ7+E!u&q zvO}C^U10B)oT9aBlqSXPEy>aSNbYJHAY2tt3zf?2Kn+Oy6g?czTZdObHbLOWWD8Xc zg#>VN;lS_a^VG27?7{%gSB8@-MeehfM=2pk3xk%)`Se`g2e|0@i~z=3=8FO5DGGR<)?J>pXZ zs$yAef*ceQDH|EkgmA!?EP$u|Kth+Mfgh8sF9J4dU?t#R{t8lZ1fG_G#P;(Cyn8bE zjR5pcF=AgP{+J#O{E0ta$v_K@6EA+vDO+;*Z+U2*aiYXKrRw2V^Q5k?+fTSX?{Szt z4^$NQ9A}7})gtUtTyZxfVAjHoTZ=T{$rFb*kB%QYya=zur$?`2RW^Iyogqan_ao6P zMQPB)ZlIC-356j1Kv4dI{=bEQ!0#cTuR@BBdEwH)NfFVD4s`%7JOK(p4IP1i0d-I) z^>41=w>r5=Ssd`fVkAYW7DLRznR84`Z4$%QTyTYku`5lrRjX+_cG5OrIWCEVj)#U^ zcv8a3>lh4=5XTNUXBF(*v+mH}fgLgB6m#P5c7^Yn@A%mZ1Yvgc}7Yz%6>)Pks9?%eV zcTX9X1Un~tie?&%z@9cd*wI1zp@I#^S{m&h>j?BoQt~0=)b2N1cyG07N+)I%@IurY ztn-GCblP-Cs6I3i*Q0a|J9G(_8mOB4pI*WZ<&Jjo$wx}?w<70RbS+py)8pj+^uGa_FjxjfFBRX8e1uXg zYDw424y5Fn44Dw}G;Re~w7_=0fgh0K@fEDyP3Nl*eN__-j`z5Y2ZO;)$SawxJ7q<; z-;+s#;TRI#cOY6Vus%S01`(GKl?+3M3n@&rMwURdi#T2;iXk(sMN%y4PM=*T#d>^| z4T~p^(&oMwXM-Ts$v(w{3XU(_*=zzvhdT)bEGD1QgK^>%k-~zAZ5(J3 zd3obP_ZbJ2y?4il|OMMoLz>Bz%KNHEQ}%P+~Yg}?AL(-;la&xJ#O zhHnjp^B;tNoQ{3s_Lt#G2`wQ67seSyQ5F)#yqOmbaw3O{EYxGeh(g{dVMB->mgGvQ zGc}kJn1P57`VHN0g&W_+n=3;Ma_mW23^^`_7gnK+nvepZqPpP2D|;b*|32KEy6;W@ znylP3_B^E1(hePrYQkz|9eL!fqc+|Z=CYxPk&?vgjd8Ca@Bpkb)dFagSbvEr^T8CHRP3Vle}xn%e*tPSm{4<%m;tVko3TI^>0=gJAgJ<`xQ z{2pjcH~KK$s!&IA0yzKi!%rxuf-wFm z5GQzUO-yi7(=~LM2aVZWiV4hhy$-JOGkX4bM)3y5pn{5mf(D)j%R=TNWs(GEPowE# zfR}ds4wI_2-fFplgpNGugyA?nC~BW2cC%cKmSRyrL?dIfpt48Cq`Kb0pt`=tu#n?@ zc5_>G6NYh(xt1R#>;mX1ePiy2#zyIvBt+>qXAZZRd#B8)2AM%Y>w9I#>ANS7S(#Ru z=-IoZ^#1K4V=eH@zJH$! z=qCnr@zK0OheW}pqqES8S(_EcRg1X7t*^pxc8m{8B}T^k@MmFLc8dq&*rVi+=!liw zVxm}ei3j6J>gvf$6tNx;A$jvwu}0pdp7F1wi|@0egt?dv;I#IK*G#gC_1s?66;65vg3+b28FZgS)^cbaj_BhuYxV3M*2zQPwM32b=ndft5u7{Ek4-pe zmZFu3%LhUCXq?x{Cqnh;{HNHMztJoOD*de4-NQK@rl*>W59;N=keWCT>j8-t!v>9A z@w;^Z%3Jl&LC@@=Ol-vS=5WBZSJkStBkj=CloxTl?f!)+`EFzTH{#4rglC@Pyyff;zl%#5sLI>^xxs`c_Vwm8bMxyyEo;!u*F@OM6W!U-v@VxrhUmSbw{3_ zYtH{d=efKvmF!Ct_Aiz_p}}`U06*YZl3{vAt@489=!Vz?fT_fflWRhfeV*-onO~o; z;Ep=l>K7CCCmjCO&So5jr?U$cr$f~Ul<@8cK{bjE|uHEZQ88uSb z&2V7xpy7~VU^L{HzdVT?j5)sd+7k4)*z)o}Nh)xe1uwA0j-6|P^q-qL$-X?A{Q1DP zznh7TUx0lj=E{2s4&mbv9o=Q!;hpm*ouSvf>)G2vs@F$XU;cQK+UjmI_W3@xPVSm8 z7lm^o(v2JMuA#4$(fP=>1a8$rzkCZDb=y*I+J zVZYa`+qMFlQRt#-;$HUgDB{y#oRA*9Kx4YvN#!ha<2JTPal%JIK)u4 z8{z<7KiT7tyN8d-oBKmjiBa^e(vuj)MJOK8W2u;4wA@Hnw$VSo6m)nq7-M5TJb<+F z*rJE;?)}{I6aQdxb@4Cn%UDf5=z6+;l$;PIB~c@qzTI3Ek=$Z>X7ka?>$a4`L?159r<*P(gAvfmbE5m<^c8XAmQ3<-}*CPvgvZn*EjfJ%S zn4?_N8eE*lt+^NFiu_wSG~Nl2apv^VU*zS3s8vR;G0OC9;vjh}_qH;tf3@i=GXCZJdUyZ#ZQoQH72FFu$9FyT8!{8Pn*rx2 zT6b`T94zPjT#hIs`1O?uw)-aOq~c+$mWkk-xy3cSn4Y$16GJtzcIpapwT!0!lYEzH zvytEfY{qhg&mT$)z%fr1QO2W)?@qm21C6!PwV1|A!M1cmm3X%(zE-4Xm~;c?{6|0h zw007q|0#a;_=XIJZ>ZTNd8eRg53Z{WvGzKMJt)$ z?rFP2G`7UK?fGNbiM630b9&wp%3@NYd4l-ovCZ#=*Jq0SDt}?M{U%m=Cv#UGDLs=N z8{k;GI7*<#egv;>E`ezM;`Q!kFwBK%J?x)B80ZiW?qdcIgO?=>n57um@qwa{Gn~c^ zf(_HW9wA~4s8&M-XBlf6{~mOjIMoBAYK@x!>cBblhw#=-_AfuWpN2RBbjb@ZqZJpE zI6|13^R9#cGyU$gEIV}dkjcmez(V%H;F-kqbK9hZfICJmkbohJi4|L48Fn*}U!2w&F>|5N3mc_6*;r>ot|SG!%R>eQS==8?G_a9@ZjgNuwMC z!yY#yAyUTuT*?iI^^=VO7=euycxRxxm`Ywm+#kXw*Y+sOD9%F zO@e@f3QB8Sbz@{bHTn}cj9c|+dkx*ew@cskN)~-fdked@^XF8BjWV7bKVAWaR+EiC z36@>ti@PbZZmlLbcH_=|G9^~(8M2iiLwT1fV6zH;iSJzMZ~qonVwuGrL2B4qzyZ$D zgM_WmN@~H(UFNneb5#xAimI!@=wsp5__nBQnS*|srV7D@ihg@~b#d?Y_NhGr6|3|B znYa2Y+?4SHIeRLwH@~)5Z@ZVT_fr#T@Enn=o8XTi1G~Z#94!}4b70}T)wu9OiBiwv z=2oSNZ+lPE**nDyw+&i0-i(J4Y)xMBAVx51l~564JD|klLssgsO;!rOLpJ=70Zn-x zh*kfC3+W7K3+YMJ=Y=}X6sWaYP|5D}0#`0EMqX`OEs)&$IkA3o^k^Ej?BMAXc8sxo zuV1fs{b578-3q3SwPiG_&dX|uS(#B7>tHQTdevsCD{vTknFm-6fwZD{jH&Q>>OZ|U zOycs&!f>rz;v~-VYar?GK`B$L?X=s`5zI#GSVMm0FO_``4kCDr;ND%>kFjpW9q$|q zQS-Ro@!gtynlkL!NGL-d9|L;32=#jae@(>2C&wpDxz_6~juVT64N6eUl2N0zspqNc zaFQHG^0JB1VdyZ((?P0IBF?3i-CeCtk3biW??6s}diX!I^9jA_=5$bL0LO^dKysi7 zBp_cKGf3#-oMfRU3HIHBWm_(C;eotw^<#abROUAp=oTWeXj!7{m)YSjz#!l zf1IsY$?k~m>PlJg%q5o$b~G z%&ex?DpHw*wmY_YF&@_zJqLtH>`d}K)l64Hib2ygeA8~rFt6JpVVku=DSTd}(__FV zqpIT`ikr%99@Hv)w1yO`=2)@lC>Bb9QY5A|u&J`b;cri|-YlHwr~5t`=IazlS_rEE zzmcP1fRc?)n8(o8m9!3KQ4EGZ`*r+2YTg}kjn)1GAE&`qv4FW04+rJqJ5dND)*2bpT0Oh7ERx_Bj~=ESwogz{a|0q3h8+FyRFlXoGm`;rgTf_!F0^to7`SCZ(FA+Jl7DHEYMFYM$r9h- z@)O-WKiUn@oL{{RR@;eHMzZ1@JWK_?gut|5HVG1>h@IlfmOF9uE%pc*TszOm^@#?x ziw~N^87EBu7T^&RbsWh4b9y?>y!lupXha*8UJ`B3F2~ue^p^vtLdWJ3L|f*l-9;y# z@MQH(`*7^|{(H4F+{rCeAc1{eb3A&QG-X;4E!5FYL;!c2kpW^2)_+CN6}pa`w~=v@&kk!ku-_GE-pyS|Mjriap`>?Fr%oaqN z8(EJMNPBr}bl}s;EtET|dZY!Cn+?#>+KqN#-K}&TiiLa0J;Thrn?}q~^FKb(8ALRk zm;YFxVw~GP(B;^nhhKy=E+>ou9Ma?14_Jt;$4nc}5!DQv_!2!Spyuynty-|eR`M!c zw3$19s1W@ouqsbq)Z`>s&K!g#n0n z>uaaMUX`VwvGl{kp*|tTe{)3VJ0$rF>jp734q?ZJA^2Qn@iN!u|MGrDp?yb0wzTjD zU7z>X^B*jP<&wzY!Ya$JzsHiizusBvi#git7p`;B2;4pdG!_L>Zh0hFi@?TLHVPwA zT_wlQlE^sKX89W^^ij`zwRMuJr-VX5Z4X7eu<54??$f~DLcUC-_rY{8`=IhF)v*z| zT%h(Z{%tf+{e8gzA0^S_eqveEeL*#}2)sHJtZsC^TV6-o-Ah7=4<)t&s<| zRqrRmJD~ zsug8cu(!9{ag|R1(bGPrMQ%dyZ7j~zU6UjNE+-)%d9*^I6YaB;5--rVyu~9k)5>TT90%(tHOb%zOtc(tK4n zznjaW1&SCeK#scBv)-=p=`#c|_v||f#96(9Qy3U3?eZ`gA<8R>}8XFOyh;GH)6 zkLkw%VoLDB;)x!?^$i0?37_*p?_#fBsM*EI(8&QvE^J2qeyww6Iy0?Xg*22&sM%CT zxYlFlA3{NeTE^V#+F4hITCYcX=uJ@b`a%2rmvWWAOVqwUeC6sC|1L56p26tU*swdQ z@{BRN{tOqr5JuE|kNgNU%CCs}{=WOZIqL!S_2k>}mrpMgzR8the0Yyz9ZTQjif+E~ zNOu^i?(MfEwme=#&ECQciSWCJ-E&4{5)a*7l%}HM0X21lfl@rA3u~x0JCmtXQg>k) zyG;53o+GOE=}$&)dMJ zs%~9|y`7BWv8o9tD@f_yYz8C@6*y|qdb7OZfZI{kH}NAbdTtZg{Dxo}(L;Y4Qovr7 zKq8oA{IB)lZ*5qzM-8YV+@48;jH3qp8v%X5=^XGFjtq9rOb?;%6V^9`N7bV8K$R)a zoW}NWeYVB|hW6?5_n7?hfLsy&E?~`rTst-2Ga1*&IPi9Yr*A0*0)eOzikj>?Ql(lr z?T+(dX5B1NP5*?uL^+>Qfqk@UsCT5BlBj~)jJ$%oWJQ2rd^pw2YbZ~&gC=d)M2%@d zLO+xuqv^baRSP4koOil+Vc49-ZgB+>37hb%3w#bju5F62h=pyJ#jj{$E_f2Wb0JnN zp$80!J4@=KFVP|RYSGd*SI+uEj}#dq9KRZ8T8^v1kt)>58S zcbZDBgPA6w0@Zfs63=cWse)sB!rbdrd;46!lhqdZZx(<_G+ruqy@oQ=*hizEW~1C* zmkSTS@gCQ~EJG48-S@yGRb-$rDPAVIA@3d<3u^xmZd=d>%;t%_A&#Z6v+ zh?x<;YF6)oD~+};3lfkarNHB_)R^RYJ$9RO>#PlB=?&M=e~;~=ydTN6UJB|m{%N?X z;l^wtc=5}JfR$?kGW)Q;q6>ZPw=cybx+rR1vmjA~e&8QphT=z~q}2*N}U65c38RJaZ+nWt;ja)EH!z(7n0123QlKO!$Tl3r=+- ztk}6Mgp$Lwe%^|BA1!dNoqJqy5+PdK&cfLq-F8zBvhVC-3htp?H;Nh~T9^qP2L?(B zy#Iz5_Pb|(g0$))vZ!ke%A7!G2^LS<$9@6$$sWS}x_n5m&ky|wb-NV!elC=0IZkaV z_y6kzx^Qq=?GHl~aJ}(?&fW6}7FZ3@WZ|42?MH50EslVXzzrQ{6y}_zKP>V^{j>vc zpVQ(@nk}u-Zt(@u8xr)VFd_Y&yLF{$9JmWD^6fB%qxuc^k zRWsJ&@=NnPL@N7w6*$p9J4M&cJ09X04RYH)fx(8UoCFVEJ_H@`e5>WAc6E(qQAJ&v z$;4^CFOjgl|Gwl|-+d;_6p@elutkiH~1>DVEtMBJpYhM#JD zqb5l^$DH%SHKOy*`(GP#yS&541w^X*4GY!FOt9>i+ruOboL~ktoZuvCJYc?ZAhC*?QetNp)Fo&g_l5Y=C}9U$ke;&eX`8%O;@ zGMUU7a?dUFx9&6r7$<13u)!b;f8-hb2Ht2~;Eg6#WU_KrE0p_YUkn4EiWO5 zuPoE8+MuD4#<<}Mp?W_L_)(Sa?Pk9Bn_D}c{((%{VcmM{=a%i%ToMY`=2GDq2WO$Y z9=jz}L`{IuJUjBFhzl8pAQgxGVbFB|T6sw6gc`X!Kpt0J`{dOfM@R8M>#YdSeBBCL z&RJAr4gXuxF{UY8No}Gd7}Sftc^L!*dF~hPt}Gqt>;zhgkEj=a?CK}3a&|pD0VSFF zrTwCM{E$IN#qrwcfJ5{E-H*&327&L~5(lDxBs>%;*iRABFuCRqV+hBT3^X zE9`8c3c$_StXKEXm|DPwlKTGN5WzWN(hI zV&^jrqM{)^M5ZQ#)oex1-rNWAc}5ptz;Jf{BJJg6nhRVEi|mJ3=xBM+yMOBfPkBSM zAM+F|3DB*UgG8!5nJ`q!)j{DpOA6|&Y5o50z-aYcDDXtWc@t zv72L9v~r+_%VxuosFVhdEtM0oxX=K_5eSnrHuQ8Jgl2|HOx5c|;mqNy!R0G znz!`3**%xRolw~T@eY zqgBLA#?#y}WnFy{?DABzhnZMaYJBT-L^(E?$nqTDFy%NPo+)uBFyuI*AQPvx=&Fp7 zlpt9F!Ej~BP09BjIrmJ=6hdFlQTq4 z9_vK?9p8_G?jZ*+dm!kBfXK#HOu{ltEo}D&N5(p6;B&CO5(gd97P?wATp}T2p?X^A z8=PROgBMhArVns*bfCl0$VA3;j$%Zq9eus>ROJW6_IY_T4fD=4!M;6VDmeE6$JEDyml&wZp&QJiQ)9Qkbw2|e#K zC{Ct^cT6XlG(cB=iTf^`yuIdd4nBh%-DK1S1qDBqx`~jCXXSc)zN?g*p>dNV7ik{v z*sw_mT_tv_y7HsbBfc=`N0t}1e4Z+$#v z(DV^E+<>$H;|PJ^887$}S@_98=m-qYT`#iq#5ZKk-n^S2Qsmz#X%I~QNHD8xcVb2( z>gmt25;;Wp)8E5MQ9LO8Vfn)S53p27Xv$qsB(<26f(m70Sa>25tN@NEtWzXYA;56o zNhxgHGkYi}=%kXfO=N#^g`yir+1^)JM%$x$uI-}WBS@$qZeL=9DykU7xbEAXl)4gPn02pDFt`10hIH6)X)5gVK7VO0lPp*(qDy~p!QeLLg{^d6*1>xTo zDbjQy-da6^kAKq}^;hrxjb)~H*0&|!#9A+)!N+4yS2@nA=I~nwrbwg}aTC?-JIfH1 zPdp^C$0^Yv+kV20Nis87M@m&(U&*aekOrUg2E6h`);GeL=V z0la4H1*zh57pTiD?!Tfr3#TrJReZ;eze1-k{pHZ|XhF&xKq~!yJ$f^-ieY+9MDy|o zZI>3?!SaO>kX4x&PwudzfZx39(Oz&5xT=qz`TA5>dL^1Zq*4|W4w6C{*6;Nw4c0E7 zWR|yr^|^^hVrj+L_ zPO~hd^=g&qaP{W^S07Icb=gnbTmyX__?5%uU10%!~~) zGcz^J%rx$MzVqFC?%X>wYu2*<(j$9oOWX3c_4p^-cKgv4esEBiOJJkt>IyS5s>#l? z?O&^`VW5(abi=f#nGVhqtv1(H&RTU#yB~vwzjf;nJB4Bk^st#m+;^r#V?CHTjI^4!5O2VB1 z-{Us8QE1y|d%Y7l>ptPLu;=5sE`~_d|u$$yT@TC_=3EK!lBA;{0$O@!EYW?{l^K z;rRrzOF)U7%*Wbn<9)-zD`2>OOEgRQK2pS%*b0>zTseC^$gD~%zyVu1oQM|^c@JW7 zxZLW_oz~RgmX`8G`dZ&2gtz^`z${1HU;lFp`Ng-jqRkt{p;*=JhJJot-e5BT<2<)lt}P4 zU2G<*Q03MgcSqx{#W)-IW1zh^s3Mp1xw2rQSz{Fsl|XD2a)lkoU?acJn*Ecf;N>!} zu3%vU@}F0oJJe3KK1>NBR=uY9n>GpO5pj_umUsK10Z!_I7IQnr>WdH*2P;d1xmYTm z^V27|z&!4hmM1Pwg7Q0dD)Ym0b?$354vN%9)E{GH7SK7L`{W^-^ENEd>ri}2ts?9w zBpi>($4*W1i<1_lFK%Q$2G`0IJLN(~LS=TJzMtPRy)p3$d$Jo{$#CKjb)@EdVz(pq7Nv2@au zzu+`YEUuHCxGWwzZn`{;uuOo;-EzD*j^~T>^ZvK?3){>eBM5Z)?NsuayU3ihWf3&* zc6ovzunA=3J-ywR*evOUuO$;JZY|bfE>SGED57`xaUti%hbW?|2#^}!!aG(v?xD`i zqVyh>sbwItkJwr?5J94z2Eo6N=o{v!sW#sTK2i9~{p;?xL1gD-?!*g}`SB+k1-f_$ zIkPrDqF;rsEz~i^d*?wxCgB(Q@lu746Of3FIwI`+mVB3O*tvWx!DIGVri$X9g>q}5 z=#;f16T)w3M{86P_Owby?90_${iLdput?j4AgXY?S-0;fT&H36!>&0MUMtXp03s}DN7>idvC^WfV zi21A@dac7FJP)lDwU11tH`nfv>ZtrsX+0yJp<92XQ{chCWU1VYlb$X8)3u35H17O> z;0l{!5gbS7>|3ZAc)d$AZy6ht6=_W#-m`XrN7H(p!tr|TBu|M;tDvpjf^?gDTsF8~ z$DansqqVzZ3R$Z3N&1{Q<}RAw=dmWzh^C+(EnJLiybpH-Xcex`Wp zI6S$m3H_g&+kCxCqs+IgrwfYw%E3tbrrm#Ri4GQ%aGQp5Pp%4kP=6-o$(t%~G4l}O z3OhmW<+PCBOnF5~t1|WGB;~Bmuk-NTvhq2*mr~K8BU4RIeWDBxk261ny*|-NzDZz)DCC}f#ShkZ>^oYdYFKKXojli!!6RiOpn^@egn>;fHKWf0#L`X?4)W&MA&NY5(cO2EE(-y4BIA_&KC@J=AzKz)9nWXZ zK~XY~Zc#e-ZjTG(Bp!J0#qJAUSDuXPqmArVxD87I1}fFIUVR<8Lf4S?`gpl3Bo9kC zSwIJY1m?t2>*V&tEHbxeR&Nc#pxtj@I=k`M_9x~5T@-tjGS4jYQE!qyCU{^mRo`YYsD%O=Te zQ};VoT88n2z#JImFZd`GL4rhDD+FF%j_d}6k|lQaydEfA*9I3>e>O*i^=|W+hp>kF z(=x`Y;+E+5l}tTdNW`AtL_@FTgHafgD0I{n9Czb8o{v|$K{P9g{10^jvC!|}+v?|o z(b*mqy#t>Z4)Eo8C+I@uZj%Jb&lSVA2fir!3=LMYj<7F&yGuB=ud?xTm;eWN_dQko za(~mr0AV4+UjPfzhyh>FpBX2TsDH5`$In&M!z?zh`CZaz_@1~LJHyF^eQVrvl8=LZ z3(`|@vuiyXjC{}pTS6g&h9?LMZBA%&T4+#Jh#PoMJLp}X0Xt-TUf_v5PXr^U-zB}W zBJDHo;@RdNj!TM3N}+hnp!0@c-|=l_&0U{Q==QHXnPC8XW#$gB zR|N$^f0a#W>l+DQ5X>Z9Pu#Cd1adwG*h%#s+WCa6WOnm6=Z?0q<&H0%ZC2{~KW2ih zgIPIC5KhWltA~t6^Y!+fLi~9Mc%4Z3DpczrJTu}+wvX$vNq*SQX7nM9lh?bja>uc< z_OUu*WqI%J%&;6rrM{5;dB<)Rv^tNBAHJi}-)RuF^~a?8^WD3A=(^`(LmtdnbCoq5s0J=5PN4yL!_mwx5q{KrCYk{Z54C z7!>YWHqfLyYV=m>afYPv%}LZ9dE2?~?8(*Jj>?TmRkeSfG5}*$B;t$N;_l6- z6#$QOT1q;RE$guTlitfQJ-nSxmAD0`!~I2=x*JaHIQqmib#XFKEowAyE(h@UpRElwRTd!*;Ne?@ti$AlS(W za>+mW&a3?3mxh~EU|9c)U=b2~F(O9`Btc7MjjCep(JIm#L7qw_V-ho~jT)nbdg+O+ zB(NG0$y2PbDw@)qI*lUO8Mg~qk7oSPuB<@owod5cmE}d_hB7M^@=KvrGAx9vKNk_H z=NZ$O*K2uyx*H0)oo*A+bMfMK8=j8BqKKemT&eQz^fVfvHe-5h8g0U8jAiRIJ};iV zdb-P4`5{UGwUl#v=`Jx3_W(9~lj+B{RJ!QHt7Ig5<$%Sf=`tk^>&e)6Q=I?3VgNz_ zeIh8Z5L}*N>;tB)jnA(t5nd_@$=4#xp*>MyWcOL6s?yr5!U>kSGMrTdPvSFZua}1G zM{@t+*`i=DQshAl3K78@n=JhT`Sk>7S9Bqcs&V%PIm zb&>MW2ngxfxzW@?PRT&>WPVIOL`}LwZnD@X@UWY#W{Z7Qp!?H{8@Pu41rP1pfm3($ zFLam$P&1FPY^z#R3#*DaS90oPPzWn?l)Rmyw}Uj-qUzo`!l$E z^|n9`dFu);9K|`cA_X^=|7oln1Kh!`zYG5h3p2DP!he|{)|h&VUOP5vkY65@g^G&X zaoH*R{1=V`A)TOX170b`dSAg>4ejedhe(+UPr(8Xmm~dpt^#o`u-Q@QZ6@6FpnoWR zOZ3~9S^Z>JT8TQHXR{n2QK8N0x1o!vSFC&`v-u}E!=?s1z=FaD4l9SQ<=B=zEc}kc z9aE7LPVByC*-F}k>9^bvuKho3*0NJbDYH(dH2luNs0xqz3}k~y`sOJ7r?y{+CregT7p z5~+7cF7j0hYQ@b1H0QGp3y1NW1d`ErvO$(m`vz@f%vw2*;svLTpF;1%QwK|go~T?5 z9=G3Z@8?#33k+A0R$Dn0{&;DD$If&l{xW7HX|XJ#qWDv`!{P1Q zGHwG@t%MI@mcCEx(qu2SVo`e0-+y%Al`2Jx3pD``nN6)sj$BUO+!^u&UoAkCPSRmy zHum^Yh%ex}Zu$vb>5Mj!8S(75wtnsyrZjS8AwI4yf&1WjI9L6-Zf5p>k-Xpl{auDl zgP#t7SrhCA{JY0szdz?}W%}+P*A_(^X6|c?KS0v|dUIdefBxo(+&wD<{q*fLwWoc4 z*7sA=pU~cp9u~r&UxDvD)yP?sr>OX6-YS2A3;9pTg%a`1WA%HXtT694o5jg6e5!?-ySW#;~- z?7Ix#c)N>OE_6#wUIUJXU*^_CBY9D*k#;3jw2-eKpFfQi`6jTX&Sx-F7O(==Yc(?q z-(IrAuw}wqCnz*#Fln3^G0>plcW0oS0I!oJ7my$U!_)%|YFi;0WEx4OPE;C>p)BR@dmjzB2aLFFdEXCOS8bQ$U_+no|tB{$$#yOq!J#h+-nYGfsNziY*5 z#WI9_&dR|b)A+;`Hu>?I>`dxH6$p!AE#C0xW zVi!S_*QVG(rf2Q;TQ_#r58sy0HEg|sWOqI^j$!-`ygJgdW`)Kr`a9W%kGGGNvnF}gzWTqu{>;0iMI;y@`loP8rY-@RLdZfqXT(;Nb zu2rusMiQss=RySWPN>|Q%+|`i%x&+Z{8ywTpA})h==AjJY%|d2o5SW`$BnhVJmoW zbi4X;bbaH9!#aiQH7ETu&hRU2%vp_CbgBc!L(B0ho+;Ol%ikD_oAEGQi*zG`q6Q)H zA#)aoCzosAX|b9ew02Lbv$OS!@Q%gZX52Gk-7)A(HHFv3axp*2rQn-hP+QTcnsG=j zcN6b}=ZhEwMuozVMQ)P)As{70`61HBPZ}9oJa(6$h2a?U&>u{-O@Yj%jv<1MWZxj- z8!obUm;{Ouq`eJd)75f{fFbVpr$`}OwX7(=i{Hff+f^+CR2)uupIs#3p4||O7P2F8 zbtbQfAwPCtmpd1{pLz``GYeRFKRW*ilqv!x;#+G=T|`%)4?&fiG_TwCrvOg`XMLZA z{vv&k;H6~4woD}E22^42p>jUBVUH~}I^@DvL@)csVdHr$ceoO@!%kIs61uCZAIGqv zR&d&3R&XT^QIhso*~^41htZXgW?b6v5%uj!IboD%JO^?MU|)~LZmJwlQzBOD8+t_t z1_dwf*@Qna-k29705n!sAwXkYcOl)9#pK&|iXu^=@m`YS=e#)TteVf_zRX2*o7fvK zHnSwlDfrB!S@s37?DZu7FdF+kb>)<5=hp#2Vb~KSxJU6hCjO7;XW=-OVWLA;cAU7^ zc|lRYD8gb@(^_w<%&T~F>ff%4M2F$>HOgYk^Vw?SGu%`@d_~e-NEuy8GgmNumLODt z7jq!AC()VO7nzHfnTL_lThxO;KDWhAsz29Pa!S7a^it371V{V@gP2XpyhGVF|9=2n z)@WB#w_Q#rnVG@&}vU48cvHpnnKKIs1 zpRB+Y2T@-;EkUiqkm1W@i4*;e}JqS^$vOf(P8*p^reVLn1`l_ zU&}&|khq=^8AT@v8s_Y1(v4Z504dzy2)=?{3vEsz9k=0AkpzPe=G=2v6M?Ia*T4$W z7my9S>U~%vq8c~*8OHBk?OJh;CqeSbs?Dx^SaJG%-+u;s7rsV%#!Y)uI#O&(6PLz~ z1|ILS=I}Jjan92`2&#unAf`lP!6d;x#o49!Ti@68e!%IB`hYIBM?pknj%_rb2V2b7 z`0B9zjK>&)9gS;Ui?TX{Ns~LB*sn?i?gM-UJv~tnQlcv*8ZI4clON7 zvr#LqK`G!G7o5rcPLOVY=K(UBVV7(?T^JVAsq9zy@{4`q+qz|9%oo{A0io?US^7=K zxb1Q7aC$cg53neoR6ky(e6?wxC^qTQ__#ZdBTdKK)3NJOnvGAicE73A41b@$U3tIJ zkIv5*#O@W9kle|v^~_~C%zahZWqVhEb(X63Tmbq7 z0|&t(!H6}<{8EH;IiuvpgpHi~m?6SvD!Y;9Quw&+>XAiIl;gh_Z}f7X&!^yrB(vCE zcHB7md0e!PC)Tkj?Y+TU3l(`)4pJ?S6*IP|8(~E#Q}|`RwI3IB+|doB^$TH50K+kv z*z}zZo$-+_Crq)gPmrkG%LWe;p%>NVR}opiAJxZPhPd$P$pe8LY|LJDdML-Wetu+A zZ41A59k#4g1!SM6?cFTVYV{O*TB)enF5yeDK_!&HyW=GdKN4II3)%$BgjlSq%d=SA zIuKCWC@ovKp!}e$h|Lt!sz5wS*Fu&={nx3M^!!2T@{GH9N|pq=2Oz+|b{LCnrOHzk za?owx1aOKmUz+`S&6>{@tPu|7VJP7Fp}nXH)wdPz=NxC?=YnGqr0&;^DULz+*$#aP zX+UI-mf@F9P?2(()n}{udI{u#WbFwnrL2}&Y+J#NNkRREPtRaO^*xMY!6-PQ5Si~f z1tda!P*E5?L%nA!dfAQfi7>+BGMQuE69--W5+~}r;aax4ywJ)R!Ss9ADIa#iI*{jRa#=h2;x_rb`+B} zg`IK~Lp};6oI&N!Cv%r_`&`(T3XT4osf}K9U9B;>&fAhfs529b7?GB{E-N^6M@YHV{*;ZFig={shZCHC;6dPfZ32BsR+(eoYzuCxJ}UxyS&`j+xhR z4z?35Lgy-5{u9*G-sTW5a({oD)zfmxMJ>f>G1emM}h3v`E5FWKM*IXSTK4k{t z^kPi<)MA)(MYVXk)M9LS%2GD191j$EH4L%QzPPnp1*LkqZ^i5HU#94b6#60tSbidp-vO9=n&gT zK33Om!S|sF)AjVAl#>2XtT2P#QASXK1ABdlv8&<+BsUO&nhW;nnH>Wk%6aEcSmgrv zLrvZjCwR&e6L(Z&gTyq5?`hr=7r2`(v*@|T;@NjGN$(Yg;UC{8&li6ZlCOl}Q_wL9 z$<^qWEPJ%&{KhxauQu_qcx=i+;F-KqXP1+%M~mI5`|kFWW-dHzBQ;6ckeC?uJx9e}GT^T$vwn z@1_ELThTC^0tGb}f|C`a4csa#v?F*ocVd>$cIY6z$W1>$h)tM_%Agy(^fT{vgJRr? zp5jfzfN*hovO_$xto;u70F1+(VUcjKM$a&J>JD5)A(U}q^(b@Je$9Z?jx!3GML?wv;`YZyZ8CPeHd4-Os2CU9L#Yqw> zZJp|uSj>~EZ=TQr*aBpscWnMCkNZO`LTOP#CsBsZFuB#)56|Ypj=1P=C=${T)-l9l z^BXL<%Q*Pl)4Oys1N#nj%+QfFEk$k*(a%yzS3^t4g@ssULY|P`8yo?z3KyQ@Ffd1fKZ@uKO$AKBv9M(DEjoCDp(>)mpM(93unf;|>^z>>7`p;R?Y!b=!R zAmUfsIn$nJq3TC{n@nMO;wLRrgcX}-(YvkImU}#GM)JNYY8jtL8Y|@qG6$++B-?P+ zgmRLCLz*hkO2VkTv>Ec{)dO^T!%*uG-I_)10OQ=g&lbt#`gEpyq#)ikeY(?!-F++sVp~+4clA;X>_15 zVrPVd@*R~(xWjQ%Jds9@vlAW-!upwILn3^IiAH5XBC?o>=o3gr$Y{itJ7A{?^t?eg zj~+n15DrC!Hs5L`|F9plMWkQ-4`R#fU&NMie!~~pggF?O;u#qv^~PM$lakNBGF=a; z$@={7irK(UNGu@=zcv$&Nf_pq&q#5W=;;j&07?_{>eQWOTi&W;{s75piEk z8t!9GxsMF#g#C9&*9lu0DpfNzQKwbg`x+Aa<7GtY0=$tvV{+W&_H|IR7EQ0_OdA(`X&S&S?#_r zszrI4r5tZh1He@<09--q0Kk>Sx5N^{THv-u6}omI9^8uHM(hzVd-U${TjEio{_^Gw z-AkH|i)_`Y?GZ*x)XJozY}J}d!BwI@j_px`8>`j?U9a4x%XQDk=z9qzhIIw?B3yA>N54S*DwlDoj-a+QHt5j;HB~XSsOf-fiOj5ls<2SC|-V z8@a{aIn zfa~&YE3}4d1!Wl4_UVSO$5MAe#ZsR{zBl?3r2N)0SWZkI9_h%ND^Kr9suZGmUi`ok zUs29My%mZg=O(N_XSv*gRWwD~O!}&f=|e=y?MWE>#Tzocf7vRKf7mLV3mG>3@Q2Jv z^EGSpfsUDoEcH%(sy@5#Gdmf zqcImA(~ZUk`;p5;;RD9=84 z)=aSD;|5Fd2lkxL?hQvY(x$8qWA@Gi)ER^MED1p;rxjC)s@Q>Q!QY~(q=B3ys!U1A z13Jdgw!vN0IB2wr_lnOE{4xnw<88^>es?l z7f#m-RLDHsN4xq|k{RstOC`J6)}Ie`5L+|?D(C;5G+`Oauf$lE2?|N~R4ai_XwfX; zP2ee>%UAJ8z*V%=-CIM~lLq&ikm>Xk_^ zTKzkDl&L`!4?HvJ>1O9S;cGIO+aJ8zP=ugZbT;A}Cl#?^u*oDfRGh@|MeD!na)8=A z0#{cw!cfGnVOs8(Eu+4?tCpavpq{d?mf)f=U(-dy@F^fMOn6ib&zGXaG#7Crc8+x# z%3In>+U7=D`dFu-xtQFkVuep5wnf7RiC$W-jKe1}zfwKTL-rcgiR$H4*o2z)bP*&b z$KP}kCUdN*8x;o=ZMpC?0?ahzZ2RYQCO*!Zr!(HncDcksgYs4Vd4P!)j*dE*y%Gm6 zsF=y)h_CMHG(yZa-SQ?VX|HDO2b7{2)u&JdgW*a#2})$~hzq$EtAq8)3#J1KvD%4i z!uz9!tz<=A^u%pn=TtA(M~L3Ao=$#ee^@`= z`gk$D8JviIEV|EpG`!#X5Nz_|cHCX?6ua0IU!;O9HB_$udns_K?`*JkskC+}wU&kS z;mz$X2v^&T$-_?93+fGBC*n7(n+9blI^nS=iJWo>7CgLy zdbGX>eHh~jCI};pIasMrVmW4Ly0E#%W~5(WbAOzWTf@xv>BBgg*5se?bpW9`zl@+d zzbpd?1VA2seSR5ir^Zlz*&nN1g9bG+b-e|tT%)n8^-_)`dM9MfJ+vyOHFnUcax#*K9 zk!t78^z)z7w1vwe)lv13D>BuxL1wU35Upw!3%4>T5uv39v_*w#K!7I8yHnkiIuJ5df7&n7h@~lW7H$$+H zWuWv=l0Hk8KA9l7m0JB&{UAdR*;Lzq)b}5a`A2hu4EJOd3{~0w(8yT4Y(`LpgRH!B z?u6xfG=9{ko8_yfPdppYLs3JSzX1D%O6r+u#eEOP#NRK77+VYGzoOaa;c}cRN4c6) zwof64Fs@$vcjIkMD19FA!vBi8G2FE}!N)Yt_AHf324ZIS^68pphlQyMN<6n=gK#w= zlBBaP${;0&9qP^$lX{5s^iLvZ_tG9e7TyIv@+vVVY~0+x(}gjA2hOZ zH>saBGw4m&sC!_7QD`)vgEVo((S4tF;$yO`Io@@ghnCejrMLShl#g*&+*=&fFTtCp ztTZ5be?0OvW=P2J3odr(1jP++%0`7kXQ>^}1%|84HKPe|TDlgAu2k0B(%zYse$$e2 z2$R9XoT<;A2{vV~DXzyubJ2N3;<23a$ncz!?)7d~AGe%s?5?+Awjui}ULdbKq^4}y z3!h4$wlWvB50$`imzN@3Apa(%Sz2F0G!92=b1X>*3S?VnO$|pF^OMD?!zY;Nauf8A z98ptd=4t&arJ*2MW6u+wFsodi9_d8-_h2xd_eL+f&(mrk>Mwnx);N=_u@YPeiv|uB zq<>a^E4%-y+d!1y#n9ZI&ro^lu$(5Vv;3ffn^>u^cn^#c@u>NhazL6x#D*(i#*hv2hBG8#a^(Z?*IY zMu$FezGgjBKFWn(^6@^IQNZ*rCNt9s)2Bzk&T?2<>}#>%q?uBLV*O8wkvhF(f&ssi zPadRWSdbg%k?{>rbFi__=^L-EWK7Q4H-goPg&H8z75v;9^j_sWs<6b+YSyIW($raT zXw`I@x?XGTJX*Q@H}hWxe7lHL%oN!;NYRH1Ynp%+6xW;W^9i|gyMpoJ|0WV~$qGCd zfL-@OYk47ee2_jokHbc#Vo^~rhREm};t4}_K_j~&mpGJe)VtgzcXdMJXP4bw;~juD9-TA!Bi`f+*<#71cre2681Z7Olqnw~9{B(jT>gNfZH74k>tU=nY*9x)I zDHr8h$9yVkbW`fLwh-Zrrd}}D-WS*7viYHmrSP-GPG>G?)>yvJvW8M;Kx>_$W~Wx= zc&Q?ur-QD*#vf>nW&;bmDJwe$8+$(+yAwM*#b@^U&+I`Q(W%y~OB`~k8u=e8iT#OD zkM%1EP|r*^?8~;n&~LW2iaecfwygm+k=T7}!XNz}{Zy|U(9=Fw8$9NK>aWCTb*ha@ z+ojNA8K=?G<;WFhY8B~Am)|^AkL{2au+$LEA_UTIRQQz95~lhSrmhgCvJy%4FW!g0 zQ;-$CVe!Sk(cNmW>&_HJ|X9vDV1NN`=seEH;yjJ1!I~AStGy4`C(7_B`Zd*i) z1>yB8>=l8bDQC&xMswEsjCj9$;*(7d5BxNa0-Le>PRpxC3fYge{qMT9x1E;He+|== zYdw+DK7`pulcP@8s^pTDMEbWXui@|Tk8r^VP)Ar~&%$ z1ZY#~00wxpW$!j(pBsTGBDsZ3dE0#{Wot!I&Ffs^VZV}#5};pI4P z%sxC9ZN=y(EV9IU#;7XoQ1;CMB}+ZR&9i?L9o4c!DR-uYuOsuXwz!eauDJl)?`&8f zq0wm;FjQ`EramW~hyrUgBq&5Qw7XQb^JPdk$4%IwK zy5LaGj1Zo+1f3uXB1MfU6CsbP_i&gwHT@;Io^g`doi*a$S^tqN|Ju$N7w%L(Z>mxg zY1%=dy=$t1(GSKHS4xffkhrT5Ev=63;wM*{`x{&*_UUi-eg|MBKQIiJCrPWvo3>43 z$EBoeZ;#~DM$A{pmAWqv_sC6nuyJ3U?S6}2+@P*%SJwB)YrB_li}B!zTi8osY2f^7 zWjlcGX2fR64>h*SpE7LA8b{_9Sn1oi?`1nev|eSO2cvG@`Od^fsgv&E2t-DzSL!+_yb>)kk+$09;Y(J-P@OU%x zWO|hy0XzB7^**O?wuLWmXSpdA=x-5`=0sLJK)2+{B)}N1H`qGOhb3`zkY0%S65CKE zTN}9>J6FZJ5B+jHW2xu#)w^1eF@*y#w*WJfMQ{l*R}SwcV4d(7C_9 z)Q}!q0OK@5qaCi)<{(pS0W?-CDKwrw=vL~<_ws#opSo`5%7arfg^nPJh%0ps-&BLg z+jeSWT-l5yDw)ra_1p12wJPPwK}T%4gNgJ4cP)9Dr7Dx%h)IU$38YfWT!U3ewT#MQ zxWMf&;IHtaj0XnX!i!eLY-ChK>c_$b$DAGt>m_qQ;&r5ir_;W4qH6DsHfWDDcGghp zdn7B+UnHb8{%6mG9pH}m-}xc_X2vg;sS)t)A(cxngeRHUXh<(eB$=2>OKSutn3$SN zYnUaO5EM{o4>D67q5qOQ)|tk^1*(|)iJi5?TKvG=%cj+fA0q?dm6Q`Mn_`p3_}0V1mcxEk92aW%Zg&c&|m$HfATc2NH7 zYH%z6>uSK)0$dGgd2)(_XUq7eJ(@tP+}h4@bFgJx678x3htcj6nRR*$VOuqrD2qQC zwQQtoP>rzDaaAbfx5JrZ%DUUm_%{@YP_8Rj+C;Jf`vTtRjQ#&G4!4f9((t$W;^16| z_KlN0gqyD0xp$x1L9ejC2&R!8ucAlE~HTVvfQ^9Y39*h=RvAoKvCFSgQQ zDz=jHRfi<5GQ2FzdtT+2nv*tJSTM_=OIqHT3@|z~tw1RU8Ep_HSxOzblO)k<^>K)| zg!%7LR~z@pmt<1;Dv|1Tit(nuD&O@_dI-=4?h!SJ?yhm=eV{)U^q0oXsuR&$*3@|^~Mb|kti0ZmE=s-9Y3lH~~3YpE)Dg#Pzb3smF|IB{t;*haFd1J~oy zH?hFzAkRoT?YUvPK41QA#p271#0sHPEz(_Vd3ZK?St|7~@E2o0GrZ6We0W@$@@*a8 zbm0R?&(m68?o-FT!<&UytN;gto8(KY10&PJzb_Mk-%I-cs5ifL;UhPJPkVA4A7uBC$R(*0$Ylb(njtP_C27#Ik^GdL+>3p z%9EP7N6IbrHh_IVuCDwyw+d*c@!o%}`c@*H&moy&ZRHSOA_H{v#`yi8^rnC@)6xbs zOCIR<|CE&wTkvX)Z;JF{(BVB{b-cJUI=YwgBblo&v8R;x7>y83A~t}s93u54 z;047ECT7q;;(LK)aIx@d2b)kXRL##RkT6`wj~f(H7m{lf_Cta1C@;K-(ZwaFznO$` z3u_&^K*FBSNlsh6{KeW^qEfmP#K6go!Fb6LqeEd&Z`p-%-!psOGerjENjwUp`BldV z@3(P@Q9YK3zWIus8fDdiqSYLr)c)5ZbSgZ=CH6TvCL4+kZO%}_9~Zr|1QhME<C3B^!~q5Re7Erh zSirCFgt4Ji8X>Uraa?BCLM|i3Xd&Ei@Fft~WKJ^StVt>2bv874v8b_3P+>Jr1UXc@ zP~TRV-%-9HFrkk`4{X{IsA#ZEtsIEa+2&^C#kyC&@QH;j`)xlP3zz>9ZBQ*lQ^Qsi z#D;PArQ$|_XdhFhfdZ2br#e;g!Ti_+MJrCr#Z!XR`bW}m=;upe#L2?zqjueP6$PFS#7Z30GELys|`Onjj^Xuq5$ zN#dC~zA>X*25B-ze*`p*a=;__I07#adF7Y@cDv-p;NRz zB1B7d2JVlcq_Tmhv4Nu9E;E9;Zb*Ve$sj;@?$aQEe(NRn<@Y7?wZTIORD@`NlLy{G zw++bwUnhM$+YAI4vw-QbqfBfrj=__gn;is@=kT3;1`3Ne zHwBir!Ef%|nM`wu4PQTF1x8p24YfiA%1rwzITnVH3LUZ`!Z>^ZujW*&+VsZ%_`z); z;Ddt<^Ty@Pe8|liuo)TAZCu5)I0@tRK6rHZc6$xmUzMvAs?aIW-jQzb01Fjl(VczC zpv89>n8_NN439ucX%PS;Z;Fyrgow6a1Cua>QW{R8h9O!^8ypQSIut;%+l_K<2;)ZV zR4Wmvu+RlQ15?%Z>1OZo)|-Etg7{}AG3wLf&YqfDsOBf4tij0(B8Q*fv@be4-*~J? zs+gYQdi0y7kT7^eFS4qDhJOU^9GorMR^2RlQ1mANY~-ce5KLkA3CR$T|w5ecZU)(o66h*mJijqEhzqZ8>wpDk&dGcm@ znF{!PxN~<1wohE}Wqt@<(5d3bcYz7lFrw&!de?iWNA6mpAO3^{eKr>M?a)HWX2OI3 zOuvAGy}O&|Yd2u(mm|QtkQm@19GoT1$T}a6NsLtS=|X|sJhNcw2))R-t65-wjLb+1 zjkdd4YH#$B-PymJUdZZRJ}efIhIud*kdEr0xW~+O7Y|2tW(Y(Z#xiEIJsxPRPfRYa zWNmKlAAJbvBM@8)AR`qaF#3Fvinbt3k=#9Lz4%>~WpA*Vcm311;^fQVL)7MkxZGE} zAz+5#0}hwz776$T>!koV5$omjjUqFsoo2Qn0oego?d1jnC8`_rZTFg%=vWoT{y&X0;Is`V3IxbDJL~CyCERQFb+w?FH~*? z#EDdHr|Go)V#&>zliA4u02%hefy-QL14GCTq9s5lMpG>=Yg$>#TM%}ghtCdxTEWrN#!TW7%@4h1-ioo-5OQ;RUCk0W8 zm90(q%hm~WFZ=S&fu$e+>briD=Zt=L((>!muS}CUf~sjs}TBoURls5QAyQO)uh@e%x6FRvg*V=-Q3`^ z(%J^7|1aj=0w|BC*&BUucL^>D8r5!%SyrAZX?gEegTZ!LSR%%*Ird~YNh9JI~`eO-z&}-Nm7#!pqZG-NPmOdmT6Zt_{ z_|orb`}E-wBKIw)6o{y1jEcW)or}2KvHdbofCKMB8H|tw=~`b&9&~&Rgl*rHNB`;RtMk*ZUzbmQ zzKLVo&2U48B|Zbsnksh#t*v^f~O&nVEL7El5C z%fh8lT8`twRw~tGX0&C&eX3K0eWC)a@n}p)9WzpHzcE+L-|r=zUutcr(HC-v5 z&8u8*)|vP3R}aCi3C;sHyG9i75i3v6yt%V~+1Sp~V`I!DgqyxgXqaK>vtekvVW=2W z|NL0ZxjCLUeyAZVSh@4}uM75*oIKg{h*s+^H(GL3=~aEtcTsFT@G!6 z@u}%~=#6GFK7XG_0;_G_8S-t*!5(o<7UqP;4E|pKuO5EBzTUh!BW+P+HGR6|%4Eb_ z2*~cdk66qPbQ3AqYt*9tTlDbgSuhZR^<;VP-k9yXN{jR?o0~KOYKdTFFMTY5dD@)W@DP*BkJXKs@F^dJl z+`ZCgxUOrNggSn{WuJWk8R^jV&NFdPue=*GyuO>DPta~ELaEn!5rpgs$hVSalE{(_w#^5b(Lkl@0y!ZNPP$<_G&92xusIk`{gXPZ;k{mJ+F z*9!z1LF6$iyy4f)>Fl@F+NmWUP;XvcemOtBqS;3f&z?>mvF-^}Bwo`ZtJYqWrFcK` z<*U%3wRlQ8%IzcE@%Y?6^|E=mRb_-(wt9S3J&dww36CM3M=t;T=$HPH9_O0>vAB{R zoY{+MWR#nVDS%~U$#%)3t&ORBC-3{N72}%KH!T3lr|{uzR-W>B%hs_c9Oo4w{9YDp z@!(Jltu6cz7H&`qf|G%z;}_5;7>bfC@2d}av?s9($|q_k(+kP{5O5kfqbjIpW!`C) z`&Vl!(~J`Mwp7jy$}i50*jGm;@J;zUdgH1j6BSD=3ADqS8NM)tk>+U6gx?3X4dWN7 zS^w8fn7S|e0p>a|Q{$bM@+;a}C7&^GD& z$)?%x2KYo01Twiz_xt~xNjL66E#~NDu7(boQD8OD`MHD_iOl&N8lc24pxtuoT-eQY*OCVf+vqHoMj z;5SwJVVz67$f{UU_{wM(7?dC#@f|iw_>BB?uG%=Z%zZGoF6#$~Mh-VG2eTpz8jBWg zUv^%BeeC%IcB6=RGa5-p?TQb9r+jW6RYg(T-Z66-Bke^+OR`C93Uak6Zen%f zN-WrV) z@58F%lQAntm3>GGL-ez0_YxPD?HSkGGn~((^Ds|Fm7_PzCT#*U9B4_tRK;c@-`TS+ zU7LYvhBllz`C1(srb==u|q$sC_n()n|F>xWJ(I|$Rn5VrH zFHJP4*LLRUEyp->&K{JHw!a-ttU{2a$}*qA&|&Y5Wo;T&|Cm+QOsFq1ZhJC=)><>D$?qD(*JU8mvj&?j0C}>~Osg@~WUus$~Z*2llfY7oXD!qJk4F-S9vS_G;MV1#w5)PmC|=2NNQ( z5Cv=y=07ZMFcrpjYEK<%0S=kS(*B+3;f=vvkC(_idTm=H?9eJPt%g zVJWo^e1*3Hv&mSbGR}KyCfR4!n1tbeWlZhL-OB#iVp5j+QY~Tr9AGiBo6n=yp_fXZ zX|s2$u{Td8vmA#a-=f zo}6m0bd!l)(;#@&qy|Qyeh`f08Clo|O$pK`^&?OoF z)RZPm)9Fw|F_Rf-~FEjFsKgXu(SdKI;Fp6!tvc3Z{C^$bf@H+{o{1*$Q{7?Ei2}~@G=bhZz~TNZ zkp3{r{-cEMf0+bWtNL?Hf~u@qnq-1WjhEgkV}Q-{v;bt6T>@@ulne)wd)?x>%>x1c z4iT4v=)_b%sKvX7{TIGxg$db}Q)3Me*0l!Uwf`Lh&)cp+FrNd#0JrfLV_rDKzS5M|LnE&b%8_aw@1Jp z#6|#FAbQ7umMO^X-hgy|q-A*M0JY3QpwE*$fL9S-Z5L)@dewAPTn>4-D6--p39Pw* zAL=V1JnEd~%;>15%*)syc}^Hmu_i>%5O(%dH*NUJ)*D=aHDya2vx)wnoWWZ3NF9UV z?J%IDwRyluV77+<8FX{_w!Jsn;Q=LS1XdwMu7e9u9xkvZ^^S0Z>?TZF4xtDD3$kXR zii*mu8wBgo0P=^_0HZwE;%v7G+@c?B>Mtw$!>x8#n~Z%_uLW(>ETh>JU`xw0f<2Q! zY*zc(HL90N7BEQ$Y&r^nLMo8oyE6k+1aK&K>3K4i^byoe>hPXJA^V8il0o)3fds9Rh` zEBrLxeRmo`(7x18NanV=(>TUR-_d}$2S`GPt3?r@m*8@ceNDC!CUX4l&%IR{5dOOs zIT%9aAGY)Xha;4PTu55lH@3^f3C0J&;!x^m)!_B0Cxf^6NUaEJxc#O6R=fZ zT9cZXuCGyCWp9gFxXr-2CxTEV$oyto2Cy5JTVk++UcV5i{4?bLA}t5_0f9hLEs~_e z5ingdE2bX(0LVSWoA?5ETCdy!G}@<%`|MFRZ2eumo+0Zpz%LPzUV0u^s1Cs+V8G;E2VY6^p3l29F z2qoqKLSSbg3g-)<%GYY3dRt-v`u7 z9{t!j2)^#Y4PtOk1ib7cFrX76@ezP30HykTwY4W3`o|FsI{_z{1j=`OmwGP&S4lJ7 zU*rS^KH2!d90a=nv;*KrG;V2pPl;LYW69ZmOKGaBGl~fR(oJ z0CFJy+4TZ?Y~*(zy6N=5jVQO*!mr}Jpu1RZvB2;aQ3`TMQSF9`a_0I<45fhGM z!2go}IOj)=5S*0RD?)(Hu;EZ<#kfy4Cafs}NWiJTt zZMy%D6^|Th7+HRk=YNAY|Ezdy8N$8tRLav1klCs?TOl6-5@OyEdx+S~T6tmEopLkJ z*uK&;Qq^YWG;GWtr19hlFkzEIgYS8=*yzs@$+#icMXG?+$fgG!!W0^Wlm|-U`p-#D z?~lj9YU|Zz8zIt@C-kTR@?4JtUXok`m}rG!9E{k_5z9#_5HL<~=%u-rhFf5r?)^W! zuw7{m3h!)N8-IlEfdD)TY6O@kaSM86(P?UKVGwzmf%VULyc#eHI6yxMNoznV2uPs@ z$^+{apv|q1<{zP0-2VFh^^q?y*8=W9dESp23uwHPh_AZ|7@>S*;B%+Ur9eB_r5{YX z0C&ZJdm;kj^rLGV15^k=e^xsmZ`yxj3IB4u)&^!s|CDQB;t!4gWKgjNL38gU)F&1vD8-Gn2cx$Tt^E^ zw?zcWS3&y6(DLSfQbTX-WugaI%LAqh&Px}8EnH?3z%VBOzBQN`IPC#FR_(U3b?tXX zP5*KDrMA2!6si?}G@&0IMSwkg2ab4xc{4yQT+_Oi2U@^cL;iRUJU~t-nr`t9m>U5f zc(GaZ*xSpoUHankgx;2NyV8m93oo^#HCnwiV2pcmNt98=Ia01<%_-r*UYU zDmOrbdAQ83gBJz|Y9-Q7F{@;pJt|F~^*;^g^`GBsdDgop2K6GZ&fCg>KRR-{3tm(| zf-CO6aqe_)epvamVv6q_D{qBoO#86daW&sA(cmZjLGHD}{R?~EM#8MWmy|5j0o&1O zA4(}#HAmh?$9I3PYhCClg{a-z7vPCIHJ_<{0yTc2nXdiRfXx^jf%_-mVVkt*@8ZYM zuqTFVEFo>mUN!4OmGa9F&H`P(x=0K&y0~s~c4b?;b{QBNU=E;VUJh=}#=c3W_T|U-vzkgnmqEgGd1a;L7uM**DIXv#| zrs9AUL+AtMZl~aNO8?UmK3ucFlCMQ)+IX+mW@eBW+V|m2DZJy^Xw|%1^lJ*R?|ct$xHli_{fl+qQ^as$9HzeUl}N)^Ee%3kMF<0&(j2SPY0aZuzWK zFfV*N4SG#E=?huP?|2hd()wart!=(9oyJn2rJ?;4v;1=pmLpZ zlB_%N9kr$@(nQlOwN(EpImjD)Ln8za6l&0fNbM(oZNaB7leJOO%Xr5DU^eSBu?--} zPkCEHEzHssvb~J#7Or1BqWKHE_j}+zztF4AnH)p1+gYgX3o`!o54IzO4(}lG#1# zzGhiMvtvt;-XE6p1)E!}T+ie;@*w0>GNZ_r29OielY1C$kW8qKUGnRpeF|{qk6YX} z&+|E6Su~7$1DYibJ6dg}@$DogscvrYzkT+4_Bn#ZH$m4MG<2x;M2RLh5_F~!ixeLL zVP0?7eBQt`lc}yQ;GNiGkYurE$!r~DXENBy%)C>G={mB5*)xd!8&wsrN}+cfF=IYU zj-3a)^M!TQ#rU{5Z2jcClKiQPC#1uI>22Apf$vlQle01~o5rd+(%0s|h`Zg_whx_m(S;+RxsNAXMWNg_63 ziLdZ=brhdLCu=+Cy#C<|Xv0#5JFd|!4pj+D+Ay8Lv&?H4EB6H~HmS3wI` zgBA2kY&(`ckD(;H+JgA_%P2hA;R1`REn^qp&L*%MFF4$5^%#~61r!5)%1`Q3zdx`NJwsWHj-ptWkaRz0*jAQ+&rR>9#f z1_j@eE!4e7x(Z397I?KzXPPI#ch&6o$^SkA-vTs$Oq}uH7=Po%U5osu)HO1t4k-1) zjrstT-q3$v1)3b_(2p)K^SWCY2To4YEG!cj@v?nUw@is1dK4^LXCL$F7IU?bE}MK$ zTeV>dxw4>@N7sx zc^mVxtKycd^Fe1J@%4p{zw*L3#NkQb>y)wE=!ZL0osYz)*&z4F$X{RHoI9@{ z_CAV{Zr)!Ske)wztPZP@#`zLGc^quVI3Ou?Px8@pUeC6!`}2+1p#EN>UQX`0RyU0= zAqe7Mz$>weUq_dG&lFz6-6{5xF_j%37vX;IR6RT;_8bvSbS)yYQUoOp{6(ysNyiYAy?dW9f4)U#C*%Iwu$lTlKH0ys~E`jfH@3)#D+8LNDMJ2#vug zAQ4zcDVLJeEXWZ-#V`w=&v6!nBNImmh=sh2au@%WLE?YGy~E>0h4xOq$ax-3vn(gS zh*XA~f?yB~CSzu1WoD@{2M_lUL)|0Cqf(P}h82wH6hWhBkA$i~hAX;Q1VhY3Ohz%2 z@y_UAz=~5lDFzoDB*Q}$U}d8l3%eA|ks|}OScCoVBJkq%J|qqMmue}%A%}Zyb4L}7 zV8lS9-+udU3mNXUAUWWFAkH8TRG&u#k`yccG_ryZ{ZNU9Jn*TYL_^|SX0pSWLafMw zAS~D~$k(0}B=M}{VbGpHFJj0**StMoVVAzJHwH;P{`}%v6w_kgFj`X&yr5ylFWU$L zAXGZON`Qs2tLZTC(6bK^Vg7LI3n?-4hz0p*MuHZu*it1;O>?=XLL|e0Dw>WWMa|*m zM*D7@M6EsuUDblOw%;GSSP?MCkmVWtY?NWBaxxV)1WaC~SZW81z6Ke~eyD5|G?z&} zW#1!lBq)NQ$hl#))dV*$kLJxvS8ul zA{@^eSAv9r_V03%9hDv-b2AD-;70+rOh#*QM<9M3Xbs z%mJNEmEH5wD|hurzVa6ajbEvnJq%U;db$wJt1V(h8Z(cpPG<|I_dnae?!*h45b8J* zV3q%1*wlRv_AfASh)Y{N-1U0Ml&BbL!BscaM=EFe3X?K36ZlR3gNXT3!&a}QByr-@ zW2>(rSB@qDK^t~C?Od(goF!puWZ14guMPRE6n-}PVSlV*_>Ge^^}tR=x_VD}6-4#zFc}D#Exl}t%P+ciY9ki1k5h8^dD#|bJcJmEPlsnw2~MvK1Tu!Bc&8kk z8-DFN&l8J5*Vlev4Iy_rWRW1cf-Re!I*F|ppIgtC7kShCtbibsPJTgy*s0QWp9hGN ztO#j%JgPDLD9#^aQA!Fe3Y(B>PfK>a+BxueDtlM422s9Cc1}j0GOAXLy1HA~UnRoq zeHiCNd|4!j0;BnJH#dG_v~YHc{;Uo3A<7mPl$x>n9*BTxjr=CH(zZ49A(dh2cgOuhvX_U_?)!GIqlepZ zy7Ydm;s{n{Xq|It&n`4Oh#@)#=PDI3eU)$qk2TLiEjkw(9UwhD zmW>@|joo=C6D$-~5>pK7Oi^&M$|$&Rq(x=0WATR1-^DukIPLvI{GY3p;tY_sdK~dK z-ZXgi6%-^Ut^Qdx@L8vMcQDL=IHPy>;>EKyhjBRW+|Q$u8;_rk*X_fBDzioz4c>H! zpuu+UeKLd?Wj)IGfw^1rCTP^Bvyn+kOF<>l_ZrI|B#FUC+qH4MM8!j5q^7>v8y4-? zcSsARQ^&iWJh|(MIyhD{Pj}Z($4?zCR0qn;SQ&?L+rxCSMO`}!=Ilo8mY4fKwskfd z9pP68AxlW0lV%|ERceK;V(HQMj0|^RDjjGedVV4!+bZLaulL6FZwpQ4T6UjdxL>a^ zmc%Vy|GI(dxa)ZJ`P9)-u10ROyg?dAT3dJeXE#-ofsLFg)BCT8FnND_xVaUrlU)3s zo55-eo^!Nr@taoe^3{tx%r=r+=uZ*J?Bbr%%Ukk*nN395W907ajl0ss3F)5?^5LI~ z9+)?)$2m?YLOH^3?POrWi!$Yum$SQNCadd~!sZLODTgc-X*sXw>>(?Yp;fSW&(q#w zQ-fzBLQwKccsy7t(d&>2lFr%QEOKv$FXq>9~MPAf$Qh%{es#o!|0$iZF_e0v0Di7V&*QaWNPtxe-&rL<+rnk zk8f;HhmzBmv5}KTp6mU4k#q)Ka|fo&A;Aj7v}Y3^zv_;!JU-t1VICi!cV-v!_N>;7 zAVb?@LIS>}@SvZ1VI@*!*2|Ip++6u+VvK=mZwyc*#`S7bhc(oclEV zUkbLgyDjY4mx%0Qy5Ep8+u`vv^pINZY9sk{KWehWv=h1Lp(v3ED-__m+e*HO@pt>TlS6%4)~6Z_Q3YrBExgop*K*wRbRd%n z|Bisp757wQ>=LniQ-1YC_Z1fz85&j+mMW2^E@lsYqhg5AP9~T*q5gtihw zfHQ-wp8fL@Y|o0-TVed_Sqb_aKLHR7HUv!`JQZvY-zEH?pOtg(J_Lq8%yzQKbT%a< zZ<4q$Tr#{BdxU>1V?vcfHd}=Eyl=l>r@?;2Uh|1<-B>^b)3eWrs;Kn_*=7XDVB&S8 z-oE`KmOFV#T-x^W{_dq4e}F{ze%fMs;>?}x$F<|j?b}oI=TaRXXwxgAqw9`O9RWqz z4L_vcAg@X1lBqZrG>={0YRJnz&!0feOZj&CW-+eUm2uN&c26B~elOuE*zFZG@uL#! z8Ny_*0FxAG*F*S@pL(g8qJ9%GDsrA~E+e`Lc@O7X0%R+kr@1PKPTr{V;9;wyO4g(^ zF$YS3ct_xc;qW02$lig7&7kvwqCqb482+fNkkcZP7a)!TIxFQ^xuT1CDa=X$W4Q;HMzw`h;Nf9^Uy+wR91yd~*4)vF$>0z{+P)Lp$ z>yHKVN_Ri`5cHC83`naf5>GT>V9Kg5R1k(=QIP$;@F7amK4gNRO1CxrdF^5T6`=`_ z&DMUq>cJ{EZ_~y&eGe{RCu$0e49aloAI3q|QyDa-E9bVAzzJ)lXZrq7s+7yLFxkQw zR$k^I%tx4`29-u)Q;!SQMyh!Vclrw>wBv%Cako$pKOiu>yC_fLgn51Ct_;M1;~kO9 zUN4&E>A}<5)P8Y_IEU&uu5nE(aLz zUN6$79VTC-sWg+=)o;Z^W}Q6>(f2M)Ep5w=K|B0=49yA&%$XAuIMU-Usn57 zlYsp@C#ZB^ZYXUYV$j8njK-V~9h>pk8=VpDwvp^qPoMF;!G0a6}Q)^%eBO&b?*Y`IroV{07*c(#HD&VgM<((wi z>B>AMbPhp>tCe_-VQ|SZr77CJ5km)(+%gunPJ-VLZ?Nl0I_<{g`EN#KG9(=>Vfg5l zesR#>YfXte+m<4*W{^4Cuhgikr5w61Gisp-fe5ydjGdjIC zAhf(A6IIhTev>3PN){D~ zQ{*Mc=gOy;Q53FQ1!>Iefn>*N zwGXSp&J9&5OX6bzt(qoS48s??5ef}i#MYFYGxuMwMt($Sn$Xo}nOQwytMTSJj~Q=i zn$!t?Q_0mdA*>}Lx29~``!@4M(}ay&DyNA-b?V6$YP2;^)1;of#PoC5%$}&C5xa=3 z^NzF>*1(?rWJCj|UN1Y6-Ep17HbEYdlT8p_jG`{Xk+d$gUciS(-Fm$M-&neHnX&fR zFB9cB>4GC1lcP9yJBZ#_Ap)&^Ir_#*x=WHK*Lg*D6vdN@x@(dq^*`Q6P^`$zSTMqZbBC8#)dRQMN?q|R1A@YJ!SFQ zU|-`@;`RJQwjJaAcxBMYj}y-DDuI=i&(y7#!l=9s&?~cg0kww%%Yhi_4IiYY5#{L? z-4;@tFu40QQR+2oILdE}gsd5?O$PU$|72x{vx5SEJ8VhW#CTwmoS^QT2|SJcd`45s+fL)CQr^XfSe~P^p=@J){qr+C z$@sbV_4DM*M#iSzwKr?G)HMLXrufpFf475dH0J1Dg)Ue9ylDriK{;{G8Bm_T=bkCd zF_*asZkA|o{VHZ>=Qf9Q3uR2%gxgluzg3K?5_ij77-ZrAueDC3 z4b$+v%8T7$IOV;Xy0!pbUbc9*YiX?fL22_FXIo^Vtd{IVD+CO2&&YW;$8SQ9mPF8-i`0Q8ZfQ8tE{JYv^N4Ic_ z85vv74)cPpcU1!x{W?@f-LtZ`oLN-`g6w|_n0j@XM0_zC1J=I0Z;{b(#FcQo6LZ}5 zyV&+~R7>6}X6apq{m&p`7epoEm-=RHhq-0h#&JmGe+}m_UlNwZ`L@Zp|AtbhX=(kq z%{vnn6R(%zH&Kvt+gzR6*y$uja1WZ`P!RD?Lc&p{)A^L*6m7!MPAFyf98D8AWv()FVljJBTA^(3A=0v3+?un2bN_c_-#kPWr72F*6q>;>(#L zg0ZY}SV=JRZRwbrXu8+@AuYMQz>$3ddU4KyBZj1ET-2X?Qxbpf9l!l^&mFi2?OFq$ zf-XScCw(M?fqC@HXKN$8jP9d>p-C`pKpX2K41=V0c`F;AYb+AZaCR2j(LpSFvFZ_? zZ(i&S+!bc99Dq7{-5U&rn}bI7&9B*+ zIZDrsMAHch?v1cz7^Eeof4W$i1(zyPho>v&rtz+^i5|tR&_`cjqYp-)rhApvt7j9p zV@_G-Y4H&&R8AJAYkqiB8VXN!G?|E=O1WZpB%g+%>D910zs&iLzGTHtH05eiWf2|E zSZ9|woA;J7cugV3S2Fyki*7HxN}Qr%o|l;!<^(zCV!hQxy`vZJQ(!qkrbDSmxc7gExxL2TBv${9jbgqg=gk@ zMh+#`gSM;|@D>BDqisYuh8pu&d3BXDXczJ+;}*Sg;w@ZdHEe@|w?9Y@ zDR+U}3_BQAX8L&D>UYhY{WZca$HSk{#$|Al;EDU@G7xp0Auef%a zguH&h%hRWgC|9NZ@d7F~E8~rZD1anlK_D1yL|FIPJ>jO$4%;IQU5WREJL~9|ErqS8 zLU}XRYdnwLzeyo=U)o$W4Vf_KB@!$S7mH8WC9}`naF+IPNgsDWnl~gnct(W2y?!}e zbfzg-5OF3Z=YrXjmMCF_d1oTgYo)8A%!(sHnRFHI52h{1+RQTT}1`$jOL!3=sa_$(mSOU z6WQ?T<+v@!y>@5?_o?Cx6ATg%-&^}Gpen7 z_A!SEA1#_jpL&o+VT=O@KwMF1a4LZa7z_r{9IL)$K$#n)*4y46WW924qq#7UO%IlW zaKSe^NB!YLkgn3Ez!{4Gg~~{A;dC8a9%`V#)AJiFN(B5o5rTF}I0)|xg8!_Nk})XV z>d;XAc%<>87oq~I0$%9|hJR5!e9spu$TkpVN$WO^3kOnbiej)JZ0j2(r=Fd+2{|*t zHtuF%PZqYCj&yt_Q?PheVc~PcAvqLEEG022)rf%CTZ-W)iUL~m0eX$0xn6`t7(4Hu z&e{Kg8sb^KA~)c|D)${e*f}ZjsqVfD|Yo8`35pi`~U+W+E=y1FkYhe_Z(e z+8YxcIlbUVTkX2f)#2IyECv?AhPRD_bdt3UH|WCX&iMYJ zSkZgFiBc$eU;aCm^!|B|1Jg<-uZ!E5r)`YHtcQo6Wu3oWXwoCbZ-y_oaf(!pu8vqg zSFhJUTwh$$pX+i5pPB@yp#_dw1x%M}ld((T!e8g|vyl5@{gz^x?CF6`>0Kj!;pYFf z{o;9dOya~fb?W@&A#koF)MGO>IPnat(R4q&EmdjTF1T^WVoL?nZ=uTkPjmsh`}lBa zcP8clKO>-sM8F-WP%I$q;n5T|izYqvMrs z%2OG-6+au8)(n-;LAc`_tE|laNSC~HegUq{J-OX zTFrTaE59yo9=(3~wD)D68KK5iPdUZk)5f~sXLNE}{(2FFua~HZ_WrFp;tN6$>@LYi zGjWQ-b+FIXe9m7sjZHhw&M{AtlPA{+QTW~gA zLIh^b=M?EYvpneNASXCn`ph~Wy3c_IsBKlD4jp#9)JLF~oQa>LaKG*K&q9%3%s*ye zzmW~7X|)N8LJfP3scIFHazFnMAkYu`oLIx~)8Im2KtNB=NRK$CMAHmWulIsSAwxvX zJ7?S+N-GNI;)Q?_F6FNwc4Ky=s~~Mc1!$UgZT@ioK!Cy!63i^1jR>hfKj9=KL9}oX zJzfcN;2Ax}bOr?^Z=Setp$xQ(ON6cOahA+l;ThrGah1TAw*M~?z{S;LXZ^;QCodbN zkuzs)&c|fi%)RhbxaaW43}gsGx#`M4&v26o*c+DSV}%$V8aUsSZz7w;&eLzoiEgq1 z5U>i!Ryx-hDer=nm3i=bO}cvEasOxJ?_aQBFVuYa*E|s(#zVF`6m3(I9Fni0s!*ePiP@4hJMD$ z`H*fIq@`RRE0S)VzWlnoisQOV)F!<&XvSO4P>3A+?r-_PPz}-FvZ<=SnoV<3W_na% zs;jooIn=z}@H4mj?zP>)GiMKU9h`O`X3TYV&lZ&_NRv{%qtbWOJeybB1R*S7#M<=* zm8Q5JN`!VbAkR@}Gsw}iv>N6ETA)_g(fh%Va%pdT5zyXWU73(l6xzc3H$%C_`XqPm z;0wGz6}b3Z$;IGr**Jm2@477gqpP+nuO1=Qq3A*|FcR|Psp{$N!9egg9s^H8`Av(# zQUU%vdC{tYBRO_Su09!7)2QoO#gdZr+aZh?-tQk^hSn9fKQbXkt0ky(nly?;!zHK~ zNHHv^Q*JnYKOnMf|!o1?5h?T+f#D3#KrL*h=)&L|(4Ae%|@ zGR}tL*Wu*Y_5ta67JJO3%-lhTQiW-mCOGO_YmM7kndJVWjPn`A5ZCm9fI!UT+}r`b zfWo8-qiWeGHx0ZIbv9DVOsjtqdo$W%Oo9dk(D#-#NE^!Y0-_qkH9=O@I; zXEn!-+`#K!*je`_TOC3$`>!&I2NcD@LH9tB>DfO;|GhSah@uHy=rubRuhPdtug^Bm ze_=kp-%^FwjqJRilcSZ;6()m`(&rr?>m(QiwO#o%$YCXqi2SF5f|0MikaZ^HE|wA6Pqme z_q_Q-ALb6SA{8gg4tBC4W4~6#0QepN?zIJ2xVW0TKuzq#uxJ(k9N3W2?{D(0x)$ed z`}!>tF{zn!VcAm+x96?%uPN%r%9YqzRQ5^orvswG$Pk;{qF9wxzE%Cw3>`S9uWHo$ zhOW+nQl^D=xn^GGc866xOD;#*%{c$}2p{)(Q(--!lqFZ}q&-pgI(J*XjOq!+*I;?O zl%egF$TH+3^>Nwp{)L!~l=KyX&O87u5?5cZ(1~z0IijjKlKtwNevY|No1L;0*2<`| zex?&ao71b)bmc)^`xMT|lPNrzxbW@>KO?@ltFA8aCtQ3F_*>ctVN3Ug|_t zAx)0fKOv33ir)M(yj@pOc6C$PDp2=z$5t1p@5ui?zcQ;D-gft~=$@tpFQ-f%A-|R}`(V27K#L!yNTUS3J z`E9B%Z#JhZ#m)tXGUaPQPs8AlyBBtP)6%$KVV-@|WviX&if9z(Tne-$A$0T0n?Fe3 zT@ZJPJLcS@)MBz7nWa!^kP;n>Z)V$bJ!U2f2U`pP>n)Qfic{AvU!+Dn#RA$yvCJs_ zElUUBe@&53(|-#(p)EV1Zzbkoos-J9s5E+Yu>U}ZG0(km&%FvNftf>p`uoq&i1Hcw zQOD3Rsej9&x)&ere+`{);w3GxPNRdi{)W6Ek#|l4eydRu5r>zVhV+Q?aho?LDqt@k z;w`-Zd`OpY2U`lEMm4R^nkIG>_o%|_p1Kjl!t45q>+wKBbzR@y@f=jJInNpIQ42_A z)y!TPYY3RjAH1(#=P%x8dF0)hP%30bcWHbkuW@HnDe}fdfn85w{i^CosR?tnY0VqL z6~V6GBjQt=o%Cdf>f(Z?iP`}&qic^XC7PtUM$|a$h|j*0manRs_lMbKn0E_YZ$ssi z)k&xERefD7rCqlY$bo+|G&c&F_&?Zt>!3)YHcuCKr*U_8YuvqYcWc}lcXxMa+}#}t zYZ`Zl#v6BN++mCUzM0vbl{B6s8`pzVZDdhQ7Th3pIL@e>v;$_d}P(J7gy2G@O^lUF%tkFJ|7%r2R1#xdevkVmgbc8dW7{(u>yz1W{GW+K_OvpQx2 z%8N;G65*cCR$vQcJG4OF=ZQ_yEJ>vj9o=32H4KzIl@U?6K!sPr9bfst*>eO&rNZ+t zRA!G6ut3BHwcu$E4+c&Kjt>6cFusbZ2yGz%el|!ib%Ux1$V>!%D+&;=v5|eagZh6B z!$_cE7~w?vNxW3-!`=)2YZxMZ7=}qC5KS8W{%bPTgg~uu!TpDS8CPrxbtJt#y3jmb z)IQKKtVsDld@JD}w2uJTm2Lu4`a}Sf7LQT}=)t5sU4no57jeZZP)8yuV8^r0_riiJ zhw==6Ain>JS-2et5m|8Z7w%d8^$RioGC#48Tj)Lk->3f;_LI1|BFrJ+!!umdE>ijA z0ozHEFuzS4tmRk|eZ&A|asb|)#N&3&*1SFM?oqGA^0aF=@|I3-rZO*Ex$ z2O0QSRC>VPz_>duIN3Hn!Meg1WE_ic^he*Ah0kZuNw^oOP~~XI%*t7FkmTDKEmqOf zPS_EDfRuTDspu0rG0$se_D7Q+vJdK@06R~Og@m@0g|Hw+&FgZWfN zf)sXt&1HZoVi$Jc@$0VZeSDOA2_Fo;K*-SRQ@9F`=qYXsPc^1klE@&JcmIVs+Ll9E zfihm1;M6#0 z$?tiw+^89xUlGIt_0Nkws}>$ME0Df$^VzaP9#-2#ijIBiss+k{mQl;1Fbuguxj`H7 zR3iU4Iz@i;$AGKIKc638TwfkNlnpiNu${DYKGao`v9;kB$lmM>xe4;A<0Ir?5OjPR zU!#R9p~Zj%2TTu`BbokC9I*-FxODbOX9x)D=525qNuQbvlTmZcP=fyct@kRYY&?8R0xuy~uY2;6fvj&h%Zs{S;Pa<^@LT&74UoUeUod|EM&u6I zCt0qvyap9}*cntzW!bYYz2=NwMt@{zkcbRB+xU<(racTgX8&xElK1-$)QJqUQs33p zRrwoFA!3ANJCxM1$<#9VOqx6cWna?i%i@$ZZrty^7{6j*c~-%Mx%7VTHq+j!kW9Oq z{ZJl8(8NxUA%KF)bW|wHLP&6A6&oEvp`jAZBG!8KT}&GP+z%^*LPgy>qmk1(cl2`e z5bYhv2;*D?FH&6pNsx9cBp+>Zp`=d{mI+~@B_zPKcl-ydzL^^V)CPw6paH8SQ$Bvd zKb5~0({L-DP$AwiwCsnJ5`<(`GobaC+1cDv*V^ay8PDRO?kD(xlS*4ehdyrx!^_j% z1A$$V?nX}D^4i{kZ>^oMPt)tx(e>@iqiYD)dJjIKLGBLmg$n)=E32374dC&*`%mG29xAnF)M4X4QeO4OAWH2MAB`7Qcq-Q)GC%@S9 zjes|FSb>PEk{6f_-ipq*$Vy0X@PL4XfC%zPn?mlu`-)2m9W*1!U3xy*X{p^WmEZ~X zdAjhcsjC7lpmm%XFp3h+pvZ94V2VPh{$P=Y#vq;OaUZ)sC6+fK3aBQtJPyRV7HJ+E z5by~__)8?g93G-AKP_@6wMnjXoi6EkNx7tfqZ`~k#uo?AcM5l-g90+16U^6L4=B$? z! z8Hk4_c1xMKPKroRv?)Q|a(j79s@#XXYDiDoz*DU~!=Aj+-n=|V=D-2(095)9pYMMv z`vpRmq3Q_-IqJ@uf5j`qPrK2QfLxW?4ildus&{>|!sEUU9Nm;Lg^HBu5%}r!qv=l^ zDD@l6Z?+nH$$28ZjQh_7aDZr8509@M8p01Tb0R{dbM(mI*;^!e{9sT*q8`R!pccd) zGUiUR=~ELf=IY~D^+8=ba!akk6=WcsK0BKD{}=mx3XEINprOqmm2uchU|+03TdYA{ ztwGP@b5cQ^B%yD09m) zA2%fY^fB=fHb5PYGMpmJ2k#ds5htbD_^{vne zl*-bMs0O1QPrJ^se&>t*tXzhQ*0;L19`qdE* zEbW|g_9brFm+ojvoQ3vW-{K?=tSz12zDpc5S~}D+4SMgRW5Wo!X&_IsUE|OvG$(?|GkTk@;`9-Mm*x2 zkQ$ozn!!|lCDHvweehn6e{H@j$^8)z=FF^v`jJPJ%q--O2A%7cF*@y+?xDqe#riz` zlE;_H)%~JD$wFrP{4bLf2fKVd9b0oTy)~H`Yt%bW)gxLQHDsAtMx2km^Y=QFL5D>W z8ilZ%?GcVy{fnP3RGmd)=1OL`F|xB%W=6O%2=sLD{t5ZOCk~HC51}$f@JwpH3`BG# zwT0R~Yac{>bJk1Y!l1MVE`fbrLhmoW`;x0*rPWMOOkj~EdNac$|@9XlwoDll?0`VW(Dp4Xm^*>Wy6597Of%~=J5E!nrwYFx8wLu=I& zS-*Mh`9a5fj%9}p+kb<6JV2jMG^yy9v@UG=3T@0#GlmMzeEIj3?_rgAY;-Km9G=3~ z;O!A*0F6eplm2-*^@SAOXXZ_c3KL$sdSoZP0>FABnhIY~qs`u>yzwono+D>K7Dc7x zyiSB%e53SjkuP1no9kUD;qMKZtR6F$HVX<)e(j0q{&@oz6iW(q)0)00ecDx_$+1Sj zI1o~aap7sSW~&<~9jbqV;u2S{Emk+4+d=qtn+4QE`R!PQe41L|uAqWFcN@`ku(FcO zZ?V2VhaA3k9d{Jw9iJBb5HEiMdVQW|9-`Id6H(Tr?#w+G6BoSKUgo~`6Bi>dgcBEr zz8ZJ=ojR?s^PvkbcIag?mLIe)4CR)93alihn%$FKl%jHG)jdGh>o-LxhX@ zedtyXX=P~j!~-m&VlHl|nQiOSUnPXsF?XC+gPTGyDN5*ICpls49+}$qdVZfmJIG&< zmt4n9(g6P|zKU2t?e&TC)*blk<5`*EMofe@ijqgj107Tb^jktp;OHc)5^^d~f8Dad zxGnfQ;0ZhF340t>22?QBdgUqt8>s^ui30KNgNW{fGJ$KM$9%lsziw7|?66mjoxdr= zdMEUQ*pLGM-R8wa95YV2@-F~TI3`G9+w-Lb3kWFxDf0Ck4HW#idEoic3dRO;h_d-h z%Gav22B;RUNSzb-1FoCUc>MwGSv;i8XuL&$_O;&|pkhq7z7 z$xw1@1RB9-sgv{fo@7dK!|L08Yv~oIOPWE9{TUW58Gt>V+dLbJ7TflFu;WiFp_gdy z&a3fR9>tTD)%_*YIJ(Dy%Rmixv;s375yg_O<2Wf}MK)=Y5xcw_mE~(e(UU(=ex|kYZvwo?N+!o$JR(p_Adbg%v6`@r%J(Nv=eZeG z@!gyR$_jZ!zRQ$b-vj_8h}x{3&j)nYLC}AGDvkArHM*q*fQ2T^?=g|kS01nab^6Yu z!Y9LsXIw~tS?Bp%Cg5AWMv<1k4g>uQ*b$g9lrwghoG};IO>j6Pn0*Nr?au9P*SK0(oL7r6NCT4chHtQ5dSSmow`Cj8Y)ud)pgn2O4p` zv(*rcN;cqtGMGkPvjt@JGgz%KojR&fF{9xb(?s_pl{HgVqNSTQvmr`Ip zZV3SnC$$L#6dWSJf$FvX@IMUJiE<7kWeVUTPt?W_W~Pgkw}Rq<$C*DS4qi5yKc9{u zfGT2k(GCNp8IPSj^w!*LF)1iPBX=(0JN%N4QXbf&5W0NtWv6tJ&j#7?u--; zYPriZ2PA#C-4+s=RgBR_LK#)^87#!Dv&Vs;BjM_>2;mJ)BE)%fItYW4(B4!o=lcgH z1Lc=j#)ZaLBLsJWnXgSjnfktPpLH4eIh{2R>+1V}v%yI-zUXw{fw>KS2nBa!(_Kn)}W02|{6ShRqw z5B7{^9+G9%$&OlK@9tPRper-b(qEIENntsU1T`Ro4D{p^>c0yB6STX^E96U;{QLMX zyW2>%KI$1LSY;MKVSi$6hRJ`?mHo~OdJ>F{9U z7crpbMTq&|&2n$v*jX^}p!#wt`m%B3Z%l1^Yr(V=8)BielZqv^oxuKsC!f)<$j|Dr|<2j!d}HHAOwpP#r4Wxaj$#Hs@Z?c?_K zAdxM%6gj2hl)Vs%`S$YHkRg)FPJUc{_hIi6*KwSrl;ieJRO#K@T)rVC>m1QgoJO*Y z&q(E%MYrqJ_Eg_cW2f~NN=p=^JURe$h}+zZjbXK-*lRVXL7 zKRbUO;;s*tYrXo{`C7-0c5XWbUqNd5vA8bqRR3QGd|M9uglT}3FP{5eG2G`HwFva_ zCT%T9w?Vq~FIevIE{q{a>Qp;MVbjtLv;s2vqSTf2z$x9kpTAR-@dbXkJ9rSX=U;cb z7H@TlONE0*8HL1~DxMk{X@L&p;L*bI?tC#aPuACY${M;urt=od+mWSfcVcG_qN~G0 zcW!|Uv;+JYu~s`S70J6C2l}Wub%#PW)P zg|&S4rE`x*mpG3QdMJldSiv$TG`^}o;YBI3D&@lR(e$w^Y~jJj0@DLbJIBv?5IX55 zqkF!_>WgrTg=CV@t|2Jdj-f2HAOyIkQt({T$$g}Gg``b6J*@qV?87d;aZUq^)Id)j zlzc;X>vCn+dAL2hm_-|*MFz+b7*ywUdL9#K2p zR6SlXG~l72{?4yTe_EDsg1AuIiD@DK89S`yo|>01oURT{x+&C-*}~B3V*_qz%Z{2C zeF#SQNf9E?pA?ws?d=C~ry>OX*yR`>_=v&k46|5a0F7co=7}(e{%D{vl&I4)6b-l4 zPLvLD0Q+CHt#|RqjL?+br`II1u;m^>-c(@D9QR~XfU`-twU8_U{n*;vw4v2Y2uzY3 z1AP-2iPgplV40?LbFTM=nAZLSZK~v z4D4&i92Pi_fyy9B$C%Obu1bS#2AMYDDcQa`D})Wba3kD4NhAl}^w3%j7sq}c{*4+? z-^&DMc*`88-GDY~wu7+J}1OMQahWLw>Xc0p3^oa_2K_K{tUI8;5(?VCtllw(%xtS-ERp0kapuL7N zYwUwmB|&VS2J1hUwIFWR{8@*ChF47(ii4*HUl3qpPMZ9%`7(JB=vhpmFn_alT#J|I zo({O7wNTOli&99FFIU3BDI07RqQySrVT0VVf+;f1ae_iA4poD=tik=WA@olzHc8nF zpi&)kt|whl`ypoe*#;5=nr$aEj_$9*r>^SoE0Pw;T1&s`QYlx!pd#Br4@phs(%+Sn zuM{dr_vbx0f;Ff*5wHl$_eC(9C9u;)ur(5X2GIRjq~rGOj}Wg~-L??4M|oq1Di1XF z&M{t;AmgQvznh-=WG%0cf9v)0>X_ihFIi)sez$ewujZUIj5^>sTdkc?2s^&A4z_Lu zb)~W8wXv3R*{j%_v2&4rZ!7l~-FqGx;N$4}1;`=mZJSf{c29Y#-HDR0m76j_gDX%V zx85TUi9C7VCy^kEZJ+~xn))FB+{^FAEaHdJC#b0WG{vB&e?!4nHar&P6Cd3`0|?EnVagP$wyrMTG!R3q(4*qcIy_c#AA z=Wf`!CEKNnDR=USy|bMee@h&I$M@g33y0oDF_W+*7m-<5Up9q5_k8bub`&U< zIGAPNVYJanW58Vk$%g(h!cCmjq&=U|B;Nuychzjx3igbzv5|C5c!2-addwQKq>f7C zFXY4ei0lF(A9vh%(zPKc_moM}H3=s-kuk8Lv^&*xC09rUd96li4gUXm$NSQ%oPvRQXO^-zPqH;i7y=$IC-#P@) z{*CLZnjgq%fkX{I3g*r?Snu(^--DjiAFZuHCy>wwU%-)?5~|cW&LV z)4BRjhwlUL0pq%%l+yJ{ZD_2`YPfOMbS121DlBv?$QoQ`>c&>qH-U>#j_67*wPqBH z5#^ax6?E-)M%}WiL$2z^MEd|Smkx7^5_c%`yyuvBR;FrHHw>#wwIv`c&D9 z{|0uc5$qzX^ksqQ=6@8|9sY>xex{l_W@5gFpLzCLaFY~XSQZb6QvPuIZpW`ewN)~) zmc;%T`fg@!y6xWsBfA-!Nss&)o4qeJr=lLMK(>ci`M6H0m@@mp`Dp)gKE%HX-t>(Z zs1Ame2^Rt@>&8s|G9nnd`sQh1X#_5paL=kR9JQ}>%q;<#FPyh@A4;DY;CTNBZQlCk zV*c-2&yCfV-5RK|NlrW(LHHmUuP61E8MS0pKqS~4Cf?=|Ft+=@4(x7cZAgDPAj(z& z{#9P{^qH#rZ)}e*=Yf&j`Em9Sb1&>8D{t1PLwU^qH>hh`KuG@))Lo`M7N7e_?%tvS zw|OijykQ{T%T$FlSAsAXgy1@d7CeXM5{I?JMF$3i|8<+^v_RW6_vn=a0?aNbb9{(@ zw*kYkxao3D|H}Pvp=0`QA8eU`XmZHjv!0rn-*+xbJmBck#or#%3}%|7yFTG%US7{q zJEA#ZjTgk_z>>lh#Kno*MGUxNxRi@idv6zbc#g`d(DuOO-+XQ~z!T_cekgtCW`C8w z?DC6SiGsKI>i)2HHnrv2dMLecju{&cm$BAk!DShnRmTg+d5R{)9g4T37834jnQRRp zQO;*;n}=*n;loZDz%0l6?l-0n`k1k`rGH0^Z#uPfdw*h!#Pj0om$G!-m|!teA`ykC z{p|9T=G|3(K`Ww}1~e2{;V zLjc+&CJ~o(F7XL37;0We2v5--*H)-&q6zeNa2`0I1sw1N4(PRj-Xi3IW?EgyL$HE= zKAKFmlAp;l^^ET!31^?;IF}R6DsFH(_Vj-B;qOdz2T)bo7ISVS1_A!?you7?Hs>iD zz|-C~`_JQ_-7)9$OAWpAx$H>tH@RjRCt|PNcgn+GxmIbidwHQamqTB=yD17+sDy#) zO(OT4%<84tbvPf-*2|tqx0D7|0mCTBF6!E9j}WD2 zFgK&glY?{Wuop$R&9EZP!HvxUF*`}v2on3^RPFhwz}mbE!*NfL)Gx*p11k4vV zd+faA6Dz)AoEn2n;SN{ZbwXNQ1I4qyF#hh_Z)|dxIhd4 z+%M$6snB5`M#R8;Srhy(YSI5BML>9)zuwShC)xzxuge;Bd(n)$F@-#y7uRVmm@)f! z@{dWnmq_q;bq_gw@sd}0%+=p zxnrRs>+`+Wj96L@<9@xRUHkjf)DD3PbLT`K8VM=JQVE|a2{i+Y_8ti2^z9Z4BAsRA z8D!s$-5J`VRtr9H$n?Zo=I$+-zpGT%`<|&8Xy8QnV0xaJ=7#9!E)O_1(eyLM6i!gM zMyz**M?M=w_Y01N)!$!^{jn(DD8XTPTxEaOfPTvPDRtU7{fzWXf4@QArYHV;B7Y3> z#l3f9Xphv_!HB%&txfkwE$?ZgF5XL=kIQfEwL@*wmrp;WY#481niGC?7IJNJUK}C< z7T8u`3@-{VSYrB1pu1jg?(2^(?X~sBVrqS3`ZtD}*M7UULau|RN%A&bnm^myLdA35FqiE->CGB7UwM^?DXxg zLUgoJ7BMON5eeE|xwUoj35;<^02XIpDsN5Gv)m4+i!%vRv^>K$+>WxT)07x6p_(^*wekbQqjmxpUUjwWz9Y`EY6v4p3of2A9WHW<$~>wXojBR6!UDXth-BHh z5a~|Mn8qrLUmU{2nnCV?li`bCs^$T|K^81YKmXT-bU7;lNR=duvd-Fw|G$*b9fA8l zRYJGjj-IZSe!R~!{`r>J?L^r1M7ZTdxa5|2$1}c;@T(^B|CtiHBF#x~irMQ*6>Oq1 zF6tu|Sr;lRa*gBnyiF_ckQU7+2-8-j(0O`#1L@4gdU_+eZ1%CPO;_=|`SQ;=^N`?B zF69BjdPRnH+7;J|SQ6Ot6c|vI9IVJ4&C>WYt`@Bl;i4-@XNxcn;p_S9xFoUlyiJ(~ z4)MT~MKYu+-lj@A2buMJJ-rC0W2CcVnJiT|i@&vVrx(-?k7=DM-P_j3DZiOa+1+S$YVUDl0!KeuP*Q(p?%B)&C z>p}eEhsnIy3j`#;b;-MzyPGA-clVxG4g>(V+2gC%IkC7-&%qts0EJ47X7kB__9yul zkm7sAij+y|=0KkE^Kb{{v-51{;8~Dj@MOEMZdznMety1K$!jmDB`ug=nH09MES7#= zudWJj&PiAmm_?rJ^eXcn({~aO8u99<_(X3J@-+rp;WfcNxput>Oii3}6SN7H zYZD|_42YC#^CD(HhiqbaUGQzv^`qVL2kF#Pwu%InI0+F`x|;}*Ze z*KFdeowWFnQP>i4@kq_Lz=8GjYjp1Xb?<}0JZ?IIL1fGABp@7JAR%|%#A=8ru^z9L zMhB!M9z9EAEA4VS=|Pr3zT!RpE>1bZ8m&Iuh`O1(P;a^}sKz?t%%)TT*p?+UAzB=b z?lU%3C&0%G@cQ!mEB!939zt9h7SIg8;nkMh-)&Ya1!ETl0BOtmShSE1a0Z`+c{X}Y z#Zhu3Hj#k>or2Hy0}suM4B%lL<0YoiQcL>84X++!J+BmcS0v*Xo6M{CKs7Ja3ft;C z|MHMGcwF5&L5;*yglugWpnQ#i1Uo8u6jXBqDlCif5Pw zPU{J?+Hw`R_^{odg5TnWSaSLhPrUIk({6!;cc5-d@}U5i`PVd@s9~`Yz04--39EDg zCRb+KKNO^<8+RuR-UV$e3m8dT8@`&cU!a+!LR8kX}VGrUY8hb zbD#VcklrI{)?E4O9sDM?DY$H0W`8YCDxR$j6GWwverw!(S6Cia>?U(GTV|DQ<0z`| zZVu4`ZD_gdu?*U> zJw3m_zxam;9(PP>>ZVq^d0gbJmbu;MkxCQ?-1v8=UoToomAT%#(;c%kyA8|8ihM|8 zPmVdf=iE#8@WogDE_|ft)z-0tDu3ky@y|M(_RydB!kPJB_8uH(!-|@EHhkgadNP(k zD5ft}tJ8@ThI&F3)n5-bXHvaV3|i;f=TX9B5FN@s8sz1I(j*&U^YG$t67(T##xz-| zvRl!ba0mU3pC-#Xk5QcYq)Vxqjjz1~myp522!a1vB)*S%hB{$6B+sjIeTr0|s5$np1`RmD!{nnR_B2kpRWhbM;fCrC&euSfmYJQ(}=z**J`AbsR zfyENnfyE!!p`He_KJ62oNkVc&gA{PWbRu$ejXO$6%+Kxh(-^H$P_Uw&DKQ!e?l)}@ zoIGvrjyStZMvrNJ(^h9V6%~c*u%hg2SevE0UL)qxL@5<1;0KZBq_p{|D@mtaoo$}KFgO9pQ z;)F!+<&DRN;KnX|7nEsxdrVt>i_xA1ynQnp0yZvRUxN(@ja1~h91|SL_ z6{6bI#*qYC z{5JMC5eq^OnrPrMPo0XWS%f9eYi7YQR{!(f>gqXVHCShD@5XTZb%%`YZj&pxJ|HH> z!KTfG>^+yWE&$X@ocI&7Sy%CC$L+wdgz@I;J%YOhNb8_Fn#eOw#_06jT}yW+@`FGv zm86e{H)iV2tbKKjZr(Wc8xz;y$-GoWp|qT`O8ViC{nvXrv-niM$@rl~Jsrp9i158L zYF(X5J*OJ*j!?s;;2X>u{nE( zHeDHOH{H{eh|@6v_ptl89fD*ml_EK0?{hf~ro5~@toaxCvKcJJYo@#q-RV~$YZTGJ z5#W)mWLWvtdN%E=+*4wev_T@rku2*fU>A*lsfDo};QNR^J}S431WR~(m(k}SW+ScL;DRl5|` zMAVQ5#MK@)D?=^2zwzpBn3{`c$d67nEt5h%yg5HgHnZ$JkL>{?*7jeXILsQC`$nB5 z!+0GoYmMgKp`I9mf#sh6$mJrx+Ba>6rraQYv_P#c4LH!YX_kHa*z|KLr>DR`tNh|B z=Dn_U|6}}V^V?V`fbiU}kDqorQ3pOn62__r2;w)4n3-XVBbJW8FA3q>#S7UO--!ajEI|+PL+P4r9ewVz*aS1DK?Qc?bj&b&;hy@tQ_3cz!$g=0-}WAoDvM$i5tbh;7Niv)^4vkp&Wit_a1w1ecP zbI1;c|Dql^b4fzYW{pH=j{Q6IAeqojKn)d`c6-ZZOtsHtANG%Xe72hrjYEXFl`^{T z?u>5}cLv-vJNvd7FctK@yY-VI1mpTZ)NB<1rwhym?Qw*cOUPtF(^lC0j^8Mv3B8w* z3lE&{f(|WnUx_`F__!6SHC7U106tV(b=Jh7b0LJCLSkYiMxaox{c*U1y{^sMX>juOC z=9XezR)MD`)bl|m@3|HN@GO(Jt^sD-gJ$t0lXpoM@KXZ}(ExV-OrxLgcsk}3!uTd1 z`oil^4YV7787~)3mM5_8_cUuC5lof)b6+jxX{ zwzmrMCfp2U~H!%vlu2! z=rC9>iWV_8DLjPe*8szCdK82NwV7LD>^iuw)N#hEk$Hst=(wW1_ zVM5;F3fY>Tx_FcjI4RT<+GQ>m6D^g@v%SYPT6Jn`&tS)yfm8K&(@o6rQ*C;!mQ;Y=-!*|Rk9SoycEHnjr$ zo@G8CLvm@zRg+>4=IES=$H(9myOx~vv1}R|v7StmGYyw)+EutS4YGV%VwN)v$KFnz z7f+{5`w(3@{H4tC&@IaBLL89_fT!%sv0-Oo+ojC*U?rNy*Grk719TD3mz+hF?&h#q zwdA^;v|Fm`#@Jd9CnS2WZ}C(^pGjjhq@TzRj#u<8asB9NqBJtJi}z%XI1UtQ*=zI% zzFjgR`{^+~?N$!XSjQA<;7ZoA$CfBr%G@i1;V$V~Mkk_oMIPB(Mxe?P4-IQaKSsN0 zIbJ2mGU;PzRgq{(wnGRAurAQW z#%L4^4Bjx&yd+#;)v`-?o{It^0TB+yZ>a}eK7O+-CRnv%MU~x7t=+FDF6aJf?;$q( zKN^1MFoyP&^lWsGSZ6-wN^N$ZD7GxXqe>|V#E~5tcj%s`Ms@6obo0!>ODF0hGze=U zE5~DT^!VZLnk;KOy4z6PGmiVfHBKZN?G&uHTewkYAU1)cVc7~5VB1gUxNy(5K5{n+ ziatBp_{pD5**-fhy>%w#7OY36<|<>OsmsbU?H0;)#VgOi(@=HAi!8v?sD3n2x@8%) zj(*oFjat4|oMQ?TQjg`!^n#{`p0B^&-yAye_s1@#rY^7Kd2;%O1Y9Jp)sCAIPcux3 zXz4V{*{o`sqUN#7FWFg=^{=yUD~63rR|L3RqK}T_Ejwu&e!&iwPGD4J_(&%;quEl& zi1nb9Q6Q`T7FpBjHH7SiJFhY{ht#3_UM1A@NOmHqUo5Y9Yv_oXB@k%EHPARNyGCG+ zw+Ys_tt&L^W?rcWPTG&f;qkj_WD3$I7ULlvZ#`QgI!v{aT$a_Po5@AN)PhxCZlTw zp8~BUYPL7Dt6Y6c8fzL;pMje8BBQEyT3}I#S@&sO%cpUk1lN0yN$Z1N+`{p+YXq`^5Lm z$IZr0vRS{`*P&LSOFyl2O?BD~HJJ9G)70$u2~q8EvRRdkdxcr7^KHRwgfy0oalr}p z)p5NEXJ_6`hc{ERRkOeo%1vA<@$Bs$mN#_%qAW{^%+(B>o{>=LpmYfh+~tyx@T(4s zGC0n3Llq1g>g^c_yh_9T@E};s3PTfArYQ0~H(kjiMxwHsXil-*Yo?j=7kiy0Xdf^= zaiL0Z3scZ47J8?pJz9=Tv6+k?8tSOVeR?^ei%1o>5e>0M7B)AJliWUIRnF@A>Gc`8 z6@RW&R%IW{&x&8>Wwd>*IOqkN6H{}wE%r(?QuYpllC@J1sX6fswh+{Ssi+P& zdMuoNmX;F8dckx8?m8i4vWw%T1y9}h+5o1wh%ovj1U$KZ1HN<-dURCOPc3i!ZtP2E z6=WW_N3$7-`lqMHSJo&dHm{~w#YMbP9uX}a>JzjDtKjsVp*y0BZOZJ7<|^VQcg0l9 zQO7>k3j?$YWS#vhyF_G6q|}Ia<#QfOMgm)1r*9G2-qhv0U)2n?;58SaQWI6K_-kPM z)9rHhGsXQsGnmmqCA`IHqqoWKBvdW+)1@C2D=F;T9W?~cZ`9|!GYQ>Z-=_FeWT+0X zn;p<;wM!#UYEVF~q%YAyW(+u*FW)&P5}+(Fh6^4Hzi>;IL_I(6y=9S+HRFwroRwQi0?O%u*xlll)CS=!^fv{*cb;wZ!& z`479D7+(`VsT|$6R$d>iUFH`YE9j$@)M<>j78d&O&R%Z{95x;wW5gDN{;fn40-adY^xwY zIn8YeVPbOSmHSJgaqi(S`M2&1tZ(bR%{g6VHPG+W%_(91)C3&XLvt0aFs7E}^}HO> zg|Zp0(sSzS?8(J?b4}J6uFpCx5ycrV0x5njS8_==Q~Is(b6nOf>B|7gGR`WQdf^>i z&T0&U1HNd6{m%+A@AEH=zJfhnaax-flX=c5tb40B>ai(T>2IU;+y+zJ2DALe;pKQJ zcACs~nlhK50p!J;EQD4L5Gz3SixXPtm17bO^Y-9#h)kjO+_m0G*pN1ca?9_iEJCEl zbmZrm3>AZOO)8=$Sp?n#?zQTdHqJAW780AEi8OQPjFX$T183dM?-nA z;O-7s#A6i}b00NZ!EX5R&vBI{fl}2FslNIO@ZSrb=F$fWYW>bFl3+C7s`EO(Q9!sb zV;q7=5iA0MZ#zGALH2yYDbn9xGx_Ywh|h}^n+-oS8Detqv)*azSNpfq!Eaft-XUN` zC-M?ON1j(>f;Nexlt;8L>7Mv(zS zGcK$F5?wtAU~c)nd5RABnl+y{*~>zymPVY6*<}`Hkd`12d(a+;XXKq_#o5~Eiq4X0 zgx1LFVu5Lrs?6y`<%CP6j5gS;RVvt2epL}9OHmJT4s{S))Y?i*u$fE~qicv;GB<4l znA>`hJ+~uyv8;gk<(gfTfNKJ|S`)F_!MIzqzZLcJ&0V$Tiy^joKzmBY$ePT=SG!`f zf+1!VKC_O6wCwgzT#ISfhR)QK51grngwbGevnRBw6CJ+=(mS5NZYTt3rXTshY2m4G z^2xTw+q($>^Fp3KOa-C+7APV#Y=c-NU0;8}t|HJvZqkan$yz5pPakcmX!s=VO-bPz zm&X+|rtT~C(q}UYnyy4ZB@e>e8Bb8jy}G4WX5cYOjBU!d7DkJo;K8*LYPrW1^@>)Q z4|-0IyUmVglBOoav6(Xja9hKUYsnf;YJ)j30=Fz~EMxiA0uf2I<^iM;{cuRhn0GriPxta4P_9c=MeRP1-;TZ31nyiV&eGF^rHy@M0a|Ml-R$bLD z3d-Anok5geMT|{!U|@rKpLVoWD)3N>Ii8MPi#3|gP%9N@ljShr?C4AC_bW2TA}u>i zuX7J4kVq(H5aJ4?OhV_0iQ<>`Dl=O2qBHDkq z*+ZYGp|)UQus=o^)JNQ};L=!$IZio^94>0>*8PIme>QaP(E0AGKh%@4sNz(`V+rVa z(N-+kSWwf@JCf0KSy-_4399O;htApsrB@*xCYa#gw=5bfl8~3q#MGE*Zr7-dg4y?E zjQXWBpS;>$U)e7|^#rj@?MKVC=rVMbC7)|jQ;qZ}Z=JBeatyFh6GSq7J~$@7{+y)a z4e+c_J(veH>hQhUy3J&%YBZPJr<;f_aaLAp&tbGap{i#!7U~RhR;T*hB}Oi&l^(#> z^qa45_G}hWCn%_uR`gq3eTE;a!eyJ6D6fdqU(m*g^-OWol5ekG*t_rByYuiZQj1G& zLX)KJ3pnK+TcTUdDIIv}W4el-T78L5%pRTgR5QmvURHk9(yMHpXkOrm9B*F8*V7Ua zV#@cW&wm4M3?Ca9@W_}SN}t~e4qTAdRT0Z`qFJt1uBE*NpbqL}>r!_Ca!2r^8~j&m z*wvk39O3BFfoBfoXG`-2K!YFr)H#Vg|85ub>Wu|&6aRx5$R^V7XHa94>0dO}*#b4) zy7OsjI?0SN72@SgF>o<0D|Naeg;A(A$T^h7mM88V8CHhpqu04(DR!aTuA)s&gVdYc zJJ0&wVX`K_lmN&gM3|?!vuCn^XK58HebiY*B0y{5vKueqtpw1_Tj*}^z_d@7o3geK zT)@+}%MG?`w0K8j$~r(jWe2sbAGK$R(W!rxMo?D%+xfMdX>!cZkV;t{zT}{N zi}alksl*1n>w()sk`G$;=4D}3@2_-ef z15|@k=@?&*?M1cxU97a}+QUDY$8gHoiedgEH;L$vY^-ifY|l0j4F!q}-=vNmIT%!n zo~`OjIe`oFsDqVI#Qzu4-U2F)CW{}9;E>?%?(QBuxZB_|xVwhn3~qx5g1ZLS-~@Mf zf(3VnH+;L_`|rNpJ@1_N&iT##-CMV(s;0W9y82dkSDzY8Arp^!rzMr#)^aU!A&93u zs2EGZdW8e0aD->1Pa08S%W<*t4lxOX&ac@OttICjIxUTO+M3#2<8_F&ZKD=U!;LD? zJ4*GS^$>jD#GziH#@)t|Z1>KRX~Uj#%@T<j8cL|c zA9H~hWEJQHFka^Mw`rN)QIFNsYcTMQ10~;vA|EZ+5Lor_?hYSJIP!)%y7r4iT@$-- zaNfwOs;7^+b=9&B=S~1hrOMR{XRR<9k{5D|pEH2%iZCyG1pZ(Wue>SZhf683-Qq{N zN2~dlE7gfuu_rj0Z##>+5gdpH`?}vi$zIUMSNqC(b3aWg%T21)XVT}!2bz~U=IHeK zTx7>Sq+zD{{6tAvW!ATdtMS>tG_sp4WH`FG!%i3_cF0I7Ube!jm%nJnW_Wkz?97W^ zvzTxnL#M;{t3;FMT!h5?3oT_(V=4=ii-goC|Hcdc#>=FS(gg)yt`|(DgN_yF&YEF+s{x-hQ4>^I=B5*Cnud+!=dvao*+xG!NHTGWi7i zjjJJQlP^cQ$~mDP7s*``D@Ng_E=} zW}CkrU%!*B|29-Mfv@~7^`NQNK$!Ut*4;~!Ny7G@ES|cQq+{7mwF+k2COS`{P=LXx zVj9<%@I8BVSR!6L9le=O6+!VzxsUVh{T*&Nv#aH~#T_0z_08zV9qZcW%Q60ePKT}f z>ISE#6o*xhYl36u73I&HJ!Sl?;oE(x35{2wWBujA0%zem{vO6y4KQatjCPotAiY}T z%-h~_aRK%4xt9Xff*G!FZ8i1XT=xco{^9M=OO3V$0(y~4KdmAjVZL!R#A6-`hm0)4 z@2=Li|0%Elm%gkZKz&vbje1(s>j0RI zLcZA+sCb9g@JKMzJj8`YNM0AzUJ-F@G`XVC%nWF|-0kQWB9_zXZez=R3O(9Y_bj_6 za4uw5uXtJ6U3$S@&dPm=cAdD=<)!d!LQUm*p0^`ds3q4iw!yD*Q@5UrwXMy6;#pp> zK6+FKJFfCRcGa(?c%t82ecA39OCnlfd}OX0Gk^aU8}?#U^$+^tJ32dTihE%o^~qZM zqmFQ(?Qz>G{@vP#Pg2VjOPbtovWs3eC5f*yl_GW$?E;Tq%0K+{N-!d0`Z}U0T@W&8 zYoeC!eS{8At3iEG?fP=9p;ha6vt~+NwOVgsTUB@ci>$cESN+GN$;x#Er_H@`H0jGC z(bn%PKPSH`Zrai>US2CRFS*`sFW2814Hc2BopZw(Y=a7l2RiPZNgIK7FpSb7{WyiCJE%9|o4ixw!5JuvV89(%Xb@`4tDU7TQ{aoBj-g<$1?Pia5OJ4t7l0 zV{H8vphx_6eTC{1;%2-gP7GG(hFIhH#q<)ggP(4e4+87wksnNKw!2MqFz7J5s@`VBUWjIWtE-Z&sJM|nwiTrKsS~5l(l=@LcqxLM@C&< zxFU3_VM_Vt(dzm6?v}EY2i=>9cx-nge9v)aYXfV)(>}t*_7@r98^t{mS@|oY1qbJo42*Yv-avUw;$uAuWEz_QIp#)N!C20`kluN_Al^I=*#;#x+u!Cfy>o^ z8vLt+=AeTGFzCeZkSTdRk6=K#PPPdx={u~h=>(-zjeF{9*1i?T0)I1W2XSEQ`qgot%*~vF5n*Y=Tw9!>A zw=PGx`OcHj#VhaxA+0Q^AY`Rb0T7NBQeSM&7#Cn@AZl&n%`sO*nz*11+1uw3bKxFA z!Di&fR_4Zb<;G4u|0S;zDQgaB4vLgUW22HDNEN?{L*=E{%K$Tt%3z!44=rB3y?M&g zGLON=mCm_2=MBRXyyjmRc2%c$)~sW5{|6Zinqi+izG1M}-x0-z_odkTLW#cDebV25 zrhT(NxvQz!{2*XpiPH3@>F%G1qqP&f7F=+=_!XV5=(g-rv$c~z$em@l>=WR4A?;*R zanyK60c4w_M`E(ApG~x*Sy35;iQ5;4>DF5zJFZO5GVueCo@vv-DpSS#>f#9PPg!_>JpCbpz7*ehdFJbhca z(}|)|FD(ElrFmQJUl2gnd`@~NLazD1hJz3g}PRe!^EoBfgZT7kFbh$r90hJ5bQaCDPP z+hL^V&IlbpOeU`?a?f;IS)=#POHyN7vig^-(QVIILW!|?_TkGSfJ_VzGH~C9*WFVm z{*j5ZBTsU{NV2olHTEr1H$>{k8JXwj1EMLnN4~mjA+fus32DS&-L1#g*_hVMMvqQ+ z!$-RYRd-yyC2t|fyldS{<4uvPe-?1Q(HX2~6(a9|CP-lpJyiARJKoLYA4r88({0ut zn{9M?Zt@!MVKonQc>^tAC#f&vqm~kvjFvp-a@)(A#mtMfk=hVS5O_Kawv;w@GyH&O zd7D(`NA@%yf9bxTfi4i(Gyl_AuD)d=XVuG#V4y~yYfHeH65lj|$XTvA+XZi!)c`QN z9h+oSOzAu<90-n@q0xAM8I)#nXz!Z|dV(?Ta2fn?-hbQ=z%zbu2_IuM_-LCzi2n!v zuzx=`#&eQF}30zd`cOH|7z3g983J#QZs(`ac?9 zei+K!;=H&V-X^I`p0$?*y`5v?6=kj})bN z#c%tas{fb{8Tc=J*MDh`d5b6GHSI4uqy^}mG~h76UKd4yCeFE$m^3cZNWy|8n0*Fc1{p@Kq52CYo?zX=t ze1P^V1RR_M#HumENzeR~8qp;gk)-1SVlimp{ug~7Cxdl_w1j&J^oL|Cqr}>rgVnHj zZ*rOAD4HhjoZm!}AP&e6weZH46-f-k5C?tsmkyC6LGH-?lnE0O*K@)8L5!T1=7(u6 z=^1`W&yQ@hu~r$8d{o*Z4l-!4WZw5l$S=WTbW*ZZL!^tc63JI)EaE5$Mn%)an+bqK zfB#MwXWEh>|Mwk;787sjLntk9(Kg*hd1P|IBdk=PT>}qxEh-bG99=@8^BMlG0f%Xq z**9&U#wrO}v~}u{Bfim||4c<}lUogBE=HN@3GV76g;psFj_Pij;OW_7nt|9v?!{PK zAI}

%Kc`ap8TO@kntgWocB~C1N4z2&W-{m0zm{hC;}cn#0Uh))3W#5s^4JUP@uWgqWFWnDMQ_>qg)(Zc zGVkmjTst2tVAa{7JSbv$91@ELxcGBBW9Nk<0k` z`{`W^jn6X^LPHk^ZX|`>tmPkE>E*I0kX?8l$(xq72~$PNXBMyrmilJM;MC=(jbqH< z7R|)(IaYmJ9h(){!4EPgbFl%VpYy(ZV$xdZON7g#;&WM$&tNdJbFvY0Y~P!UE?Ahb{mLMt@xuwr-GRJBrMN|W|^j3FA-q}D> zT9fc!=Pel688>20%Kk) zuZlCADwi&}Tp6KB2~j5(!zCN5UXu1ulrao#CV)oyZ;FgBGEt$8jJJ5sg&c+xrfQI5 zKF3bb{um0uzT%uq<@>3bGRA6RcvrfCvPVKWK0|hwZ#I3z@;YC`&Zs&_6BFzKtqRhV z1vf@DuWbl{9#NT-WJJP1J+fsgD8^*aq)8V<(ycvoj{L%1h2_#C6CdUF4+EjR%&KC2~Dg)`x zP_RMxN~_)|7+RG24B!C&NR2{6l3V~wtTH3cKoJ&_sTv{-TM6`gT*%5$kyf3bBz9nceP+*V8qMlp@%2k*mj7zrvbBfB5`1se^=$|L0e^P-2q|sX$1(nxr zkMfLZPwPMXH%K6~GODcG#=WzT!0^z?`?*90y*f(T5sjwppMvD4p_IHd1qgW zRS&-_neOm0SG*3gGlUdhyP;oEuia1rKBOC(=!BxzBIf@7BpW5HKxu~pZWK}5gi!{; zN)N&!$LG~ON5cXqJy@t2X)-JXBnxyURk~wa-41?uU}}IgeZY9!NHwG?v7T`rMI{)w zs)&7*$^pX>7#P|VLME}Kti%fYY@Du6e`Gz@sTnOq)DQtEGafD$l)qWQf6N&i-PI6lVk9Lj2--$ldWCB~-{m1)K`g2&5s>OU@<> zTkl=4l(xPTJO&Zavy)QEBN5bDwFeQ*wkcgKofmZ0b4yX2BSoi~;Q9*EyZB#Tb5K4GlB3+vK(qwIl5f?)qve1q{T zO)E4?aKBwLKCCexIH$tbibymnRDRN9p5i}AG$Hu?&)cd3nO2079{LKI*5=Y4e0Z?O zR1TVbtlH*Cij2`~r;oGbpt*D1SQ06}fqv?t0YM;*0+69eq84tom zJ>}Vu|Dw#l8Ljzp!VVk$^p$X%sVBg=2w_>uOfj%WliFsv=pboB<+C< zrwwx4CY`d=7Eo6@tMV<5RAQsRGTeOuza1agBUl-v$?mK7zOsSt%&SyoD?|XFj+1RH zDhLNDwOPZCH=_hn!P5j9{s9 zn${@kgSJ*%NXI`FkF^^+$D^E66lTVpBd+X-oSVa2w$Wy^_2e{Vy-x;$9lk_s{cL3# zsA&hO1Ekx8(6$CG!p}WTw;b`eIE;*t$^v*l4-+yYxVI7seZ)Mz?W?}t=kTF$JW((| zAqRQ8d!d@V8q7hF6vuktMt6zLzVT!U#~(w)j{Ol`e|?dr6%08n&~i=34HM%Dao$2`o9QR!yU9IR4ddBE7ZMmoD({1O4=uUCArwN+F1$)S= zg1G7F&MYuNQjIjN+NiG zZ{Sm>F_XC}pqu%}2Cf7(W%x(=+QQo0X)rZMU4c|-K{`vAf^yikCIpSfr%|1pf%q^D z!xOh8!5)gQxXiRS{DYy4C^Nwb%0WbzaHkH>j-#1fBQ5F>)AEZ502|>>uYpWHl~G9H zpdeVv3=foqOY75LEtw4>-z;s=xfZ2Yo`f1)WDz2dohd@%J$J12N%^`-H*bmGwIg|) z`EMV5wSU(Ru6)Ti&O$y}^CP;Umr9D>)5Q5OQ3;2aW8ln@SF*ADe0JAJ$Q*i*McFg@ z^mMY+zt!YDh`kM0-lc0{c`zGHbTgOL|G<35rP~`_h)Q&FL#Oz3=W=&(L1h5Q`nCDx zQK~7uPvrT&xftf=Y3xB{Z*ZJ7H1^cQ{Ux(Q=a^=8RQ#$mMJ8cnbuJTQNFh(lkE~m_ zLcHe|%LUF0>X=9tiXO@u3Li=b%0Bj{t=8;QbMqu&1)?{+l|A!c@woy%;tFf;rs`xJ z?>iXz?spZ{`+FN+U#)Fy=Tw*M7FqM>^=NxOijlf8B2=TRgsp%@4@EGI4N6h)Hxj*J zs!{brqfVP67is$W%ezQbm8;CPp~VWOLF=)!%9m<6^PyDXb;8PJPoSJia84!We*n3E ztN#dA%N>2OjmF|e-tgu_op+AKjrhbdC}#y-qJ=u#O%sB!|AZ^UcBV)fZhBR;Z2%va zW9t;(rK|Gz+`-Se;;2ss)Zy(47{1?V?)fI{jt7Mmh$n@KKi*7!h(3J2G3Xz%X@i<} z?ajty_*ib}XaOA|Mz2Kx=-eDfzzWr<3bODoMa#itAO(nMb zS|E)waaoNVO8SX-u*EN#T2o3u4H~01DCzIypE4Xawia}{==Eea((|9R6mitxfmCzk z307pBgJPZ4xVkM9wf}0|X+d?d$iThg-H&F~XQ8N`3 zOgm=y0@XPUpM>faFzLbD!s7RR38a^Z+ajkdk0Wb-oSf=uXQm}x5bqi0YEzTc1mr3; z>3dAY*on7NMRt55&0&JCSTk{P#`--qaD|DYwEuk+CKeUy!WrWdT)IId+!n{7L3yefY#Dk`>|STPWeY0N_z(q>jxm6juoJ&FHx|$wugcb;i>x1|y#xVwKnan$*$t6EN@Cb5MSip&%BbjC@D=P=F#|it_FI=?8&y zKm&9>4m8NPha%ioGH1S>B78|+uWDsFcu8Ku->8mj!!3kMWQtL4+=T?4LvI91zK}y? z%2$5e#RXz+LRs!OUQ>-ni%|{8nD&#Rn^M+n#lqJ#(J1^0+W4)AGen^ff@TWs&aM_b zoZ>dkBBDXSZjF*5?8@N(B59~B>5qGkw|uqQ1`|`@aGj;g{DK)*wW7{tctb6IyE)t z4|fTW_d1dKO=6{44`kJr?BJbKAN^E_q$n^6N0?t$td~Jz$aF`9phh(v+^4jSRj`1u0iPC-+>e@ILl1=WqM>cT1n1 zxnes${HI#4<-UH$#>PH(>VvzLYVFY;i8aD0U~rG+{NXx|s8?6)Iggn2H6uYtMvC`H z&QWJ@cL@qzuMTULR|m@Q)qM;pH~EA88ghS@Q^xMane8FfXn#vaKJRf>e>bt%b1vV+ zvsZEgKc&17e*ENNW%BNm*K;Dp&DN2ZUnbL9f3-SS2fdrZVvTDvLicI9xFU0oa9*pfQ(yywvu&JuRt-Jl2EH-C4+u&oLE{X)eGSjn4Q zb5HIzDD1xI-#@R715hsd3RR}CxJ$*JIz9}%9O_f!23AwVEv=qpHS9i}9te<>i7bLK0bpa zXHHYqJ{69*Pg*>iQVmGX?q~j9$0UrafpqOx{RL{i45C)o6L%AP@5Pv-Kf7mVa^>#iD`yW+w;p*g ztyU3#TyCZs4xTDka6rk;jEe@sLVY{OtbIjr`DbHY{j8b~&EEzW_tWzK#3gXdHB6CH z(z>!zd%pPi9I7l#KFNmPJnWx7rCii9_>?8y9H<}bs+TvzmMvbAlxLa?od#V-_mVMe zxU_7h$A@2ztB=RJ+?jz%`^5X7e1)Hjc?zLacOHoQpR#h_xoZ9S7+X$m-%bmd-g|C5 z*^d1ZoOzP9<|Zs(62uqd6$2BKL{yH1H&g;U^kb#Z^72_X`Bos>;90@j(X)u^_tLWq z?(Q)1oopz7@4}0@bB0hM7?yZ+cWHxE&_z)73zezaQEIBTx0%^&W@>6(!~^opLHXzo z4)g9x<*sX@K)bi@w{hlMw+^Aohf*j@y|NZ8`bsZUh99^g{L$Xgkef~kDtYvsTQ@w0 zSef(7;8})cY3ZSmlFtT$RHJCO$cN;=T3o5`swK2-U8NWMPfk9%7CjVJ$KA3Bro7?b z^7$#>7fMX@&;;j~HJX)Nt=c%iY#Sc+fr*Q&aejW?cnfbRjikG`4+a|_UzhCHI+`?0 z@@KY`WECt_+@1VHN{Ij=R-lnKpo-zE)kfAq!yQ2o+LS!kNOw<0#3&t9LH(Xo`z)Df)?->; z99^$E+Q3U9Zm4=}4BqZ%WQegd@^nEQ%GJ>#@(p}!6M0@G@w1QZ;2v1R|9$e|{08RE z8n|icq__U-`~miG(QtzTRDps4?ochBX~It* zzZ`wm{*&_h8PK_Fy1PVt2$otQ_7y2?VVWBpd+H!Yo*Qy(x0ESAVvCIjgAxxFOt%Ln z<2vJ3<3{74(LjbIOk*n4UmFEv8Q*W7FEqgzN(Y86m06CIGa4UgIebTsP zI~VU8VzRbwUG=9ku;$yN#Fj3`evA?qKrik#C9kBlV%0(lD=e(2(0j?4Td~2@OG?{W z*wx?p^RR?Ej{HQ5kTaUQn%kM1FGU|SOJpms=yj=~L9ioKP zNn7Jaq2}BFS3W!QY{8H1rc5!xEc}_De;9USjQJ!K8E3(8DpRT># zach6N&EHKKgy}jGP%j~#+tte6X?mnlt0X$BDqY|5AhJ(iean_k+Ic7$exM_;&~5fv z)%f9(>Ag0|{AkS z$Pal{`QE>(pI_BM=vUS86U$gL_Zz6Jik1&aJQ4dD@NhzU=0=~!jrl6y2VhO5lA$D< zo3nn)d*|rshBbA^3Nh!N_ofNLhJIN4xv2j{TYGLiTWrCvY->4Na&AaJL&PbwAJJ2HfH5n(K-6lopOEl*puOCu$s(n@QO)mDgv*u`n>S5*E9=q zvvK+3pv|mMv?adF(w(+VRaRlTRQwLY>g10(%yFTl~ zJDi2LXUV_CowLnPY*L+bw$@B8JaG;lD~E(U;xmj|^e=m=Eq|!KS#%R%T_Vmes(n&6 zt{0XWzLo+lJkvmuD9s*ndu;p?Q;uTL$hTuXN!e0y`6LIG8P_phB^>6fWcf>KVb-5s zy^Q3lgxA1nI;r4jcg1UiOibZr&3K~Y@_AAjc{OA-w5mTD^{NENISmv|v#NZ=-GF39 znhP{#D{zZU6zkiKRu8R6^gSG1tGGetrs%bfoPU*;Hw7sz_2+A8 z2}b>&c}tQzAeAOfaDnKiT_;+pG*&nRomx_Z(~e}%m4hyJWWYi0I9I{trzXE+zhhxH zZUxOmCR#$8*UK}#>D{(|qPz3hxSsjDr)I)~Gi%EHIfGun-D%j|V5n59hLFH!U5(la zC;R)iw#tgy^yNafOj}Qu;toQ`{V#q5H^K_LPZvH90>XWylGQq#FJ6!F-Ig=i&b&8z z_n65w3L57J@!P7KPS?0P#ca*rlyTpW6-6eRtMyH>)8!uHf~)T$>xtB79P9*3t$2R0 z9cQL6oRX6j`_OK4GlxN>=3mmCS&3KnSon9ox_b*iX!LPfC zXDHh?E6`99Pi;>-WfD57I7cZBNpyF6NTV$%J*m+S#E4i9zyj1U)LLm<%+!pR0JDKZ zqC-i+NsNe$I#_^uhFTkKi-nr;n!g<(Nx}b$RX~HU^~!a*=Tg$f8X&~a8uz&zc-xS) z(ZJYkuM@Hc$-q|IVyR}l9++)AB)XIoT+fJTtAn*z%TQ~nZ823dUI5IN9}-PZ3g&`1 z0Dn7HYQ~#^*{(yP*6eL>Cgh+$v8%ov9~OA%8NAT?}TEeCrI55Oz9Uw2NcURk$j^kevan)c3H+oGGQcD<7alQv{$&ZQMPO>dSt z`?Z~YwdRZ6r*|;;yIO2xbNx*swWt#}lHzOlsJ`~%mu-I=)>4ek5LdMuPBoBpE)4Hg zcKxfI&9OF$ny-odOG4`T59J>cWnr4%^^5b7IMwr{Pri>TtNATsBlrFCUqp_Lw5`fWKCa%( zwRjrMg)QSdMTg4z4ob$S=zC15&B?pYs3pt0T9o*z9;N-s$sdF($+kTpd3qHhcT@lz+qcwv1kQxyyKq*_;yFn zgq26neAthge;m?CJ`A~(v~m4q_u0PZUkrl?p1ev14sUd*)_TD>rj9?70nzMD@T?L?SDMW5o0 z>B?B?{ABID<^V&!T-BzFSo|K z!)gq$>T?=|{UEf_+pg&0+=ISKa2CWZC9pAq`v2KH8$o?H=%o$=l%Nl+*#V1aV-9^U zO9v`x%(BtY18@^LE0KQ2UxWJ;J@U`c^(`NRH1UKt{?qi#uWk!@T+sA_I9DOt-k|I6 zKL!2&FsC)WlIIZfxEFpY+{SNV_FG4D6Trs!oKs`pBU55Cp&pf86P7-a?nBqZKhI(%vY(UNL1t{=Xbzb)u;v(wxXv5;q6zPm{8hfi|m3v}qn zctY3MC(_PqlJvHw#?t)qZ&Aem03Xt%%Rqp`^Z(uuO} zv{+mBmcygxB5^`(WIk!qz1AP&Ukt8A>JtLDUz5nxbAA=z$Y!^7d@R7SL`hT|G4l!kD=AGVy~G5^Y2j(3a1Qm9TH_jaeYJ3~WH874&)gf8i!1VbeIzfwHIjzFQm$N;2ywWTVVu8-_AD25`<}= z4vEh7L_p$l3(S0i8xabMw>eYj?R%Hg%zUX3*EBvPlJ~=)+_Ov5I`ecUjoPPf2mZgC z_cM4F^e~W{Rr#c_t}6ZFF?iI8yy+DjaRDDC1A1 zf_GNf3SjK$Y!O|%-a@(LozoOaPJbjQTDE}NIZ|-iRQi+#^p)5wYV zYG;^;q)y3*k*9z~I^|mR9E(!%2@OzAIhuqH7&$~ohmlN&v9X*@QU@4W4`9*x zrtVAe&8dv}Zs`zeGBHKuJZ=Z6+AJ=H!#s>L!Y7YctW5hi`H1QcD_~wKjXHdyOK4I+ zITGtSZpZs7&MbX{l086_<6mGNBt8KL%0WewAg2hI{EQJ*g;X-kR>CH$4`l13OJJ4! z9j~6MUOE^yP%_+8O;(mys|Cb(EjSp$#$e^Ji5!$%2~x=j(MYUd97fs;97a%*ws+2? z3cIyDfh`M*HEka41OVZ`f%d|pT)f(jGTfD3xl9LSydr~fFpDx>PFkY#zETI*WMy!k zH*lsLSZW6v$N_HRykCqrtj*gA78(BjS{fywWQ{h-qSz3Wgz!&lN3{^17wlBYK}bSV zuSzU}#ICQ=e?#Ks@gJ&NHP1+i%QYHF%E-&8ZAc(fEa6q0Mht#BU6E~t0{GsF_OzRl>CGxTl(VhL-ud*^+3O)z*l_IK zr=&hX)3=8ByYMw{s-iBSwBOA4c?K}#*=}WI+h)Ah_|=8B07)zpuXoHOfjnpN|C%ff zdA^<0kBAvoyFROL+1t~1L_(j|vDt?^6pA?OB*q{nQGe%+e+?3tRPA1AUlgcJTv`Th z_&hJ!MTIsSR}~&qGykCr1#;)NOPrFK_m9~6Vt7XO#as!V>qCl97`4gs#k@M#`mOC7A z-WJXzE?;Yko5Ha@9r9io;+S2_m)*)s{WRJVp-6D%)xn`lixt*n7I>ArG$27akb%-iY}xf^uz%vA~r?f5-nVozYtyXw%o^ zM6M0}D)^fK`3JX$TWe}!@+>NuaL6+VLsv@;rL7iiJNveV{e_RQZIS4Z=5^aGbb*De zC(7nl64cvWE7gGfbe3CIGZ-U%v=VL_LS9!@lFApODz@;u;B zR3W33Uzqio;Wf$7uUII#DByg-j2rQzj{dP26*)0W8`csQK@-fq#gX zH=L|&EkCqZQvjp@OtD#&TjFlaV%*ShbrSVbE#}Uj3Wi6r0@&O}2PWd_myVVdiQftA zmY~Z3IX3c~*yf}ya%ycucH|NZC7h|kcXXk5bR~9l9i7c0cZP9BnvB8rDU{u_Na*)L^GvO62cg!XJ(&Wp zZN=4&-IF%}r7uG|LX338=&|;wx;qA1I`SpI-0y}$0AHxB)t_|5irppC+aqxzE-TJO zeh!^61LDi-o+};~=d8CiyY%Thn63eL@~v}UGA-{e%s-6n-X%!pdORJ(YhX@#b#2}A zegtoFwaD?m7) z+JD&fEo3gvBvXMZ=51nd;_d6*_8>Y@r%HrLMy>Az2H85`8sY0o&i`$))WQa~=`Id| z+jN(PqS}@hhZ;O7C7Da#*X%b39uQT)HtKg>r8F%2M9%y}a$$@=Q1F4GHi_`n%2Yj)ssCaC zbe%02E8ql!$FPmFn0tf_!hG9NE*rhu$u1isoFw)fvA4s=x0nVov-|ZD>AN(La&^1A zP;Gjv;;@VbnV=Y>2?J1S8{HVx3qm=ifTS*xn=t(vLFMpEC@_!}?;Aom$t(h)MDQu# z!bx5c@f|7rpBTmzc?uf96cG#_!0hxCLE*Y9UBhDtBf$=U;QKZfR1*pW6f*;?EO~4! zc{Pkih68mm&>~7uB8Hzum@@Pj;JUPwcDfe&1K6-fg|H}k^iVUfp*r8!_ec(|zqRkE zGx-EHhVhC_Y$!8y2!b*-Va!xv@>L+%k=fqVj-?0x$Rp9D!yXIJE%p(hcg{aQD?^gW zubbwid$tERN%lT?9y~F?F_8Tm7;_-o3`7Fw&NDOK=m4b`t@?p<&xzmJ9&^T4@r#(Ip%Afmwp96=UI4#kBixBfD46gO;ogJ)JWd zUShtiNsgw=Yy>EZ+DvGoYtoJC!r#}3@~UIp$}u0B#O&tOPl7nt zE)F#*({Ci?1_w}ti2TOiNP754k#GIAsDEz=p&SGWJi{7)V~Ki3)uyJYQBX4d_I-d) zB+fN}%-Ru>L-_b66MDD}6~=-bO--s&kez#~k~o{u3R9fnN=5Ys923wefxf3%FU++C z<-m<}_DHmBDOjt)`eXSLrgj6pXhh4b0xCeD&P|=j6!bHRyVCK^nO`tD4$?*I@3&A= zEanWAWCWd!aH>J%IM_y0i}3$qu@zOL7IYIxJ?o1jKnUJsoZmEu+PZyPsz#Ai%pEzpNcS2xO{4e(LT7!4=sBUz|EQ_VJa+!8>wv-tGer2l)W($4+66A|FJ98I8^C|z2F_pZ7~g$nN&TmY9y zY^hiU5Xt{lZ$hg1uGyk4{lz_UcxWwl{b3RfEZqnxY^s~Cp7%gXV3W-~H@ zy_nYGY|$BAB*J@a!$k`YKv38%I=)$fJoGjiuDOo3hcK|f8NsRl`+i2(GAnn?=TQfw zW=`OEIc=a_6wH3O*oHA@(8wU)Mt88@7*B;jkyED|$39 zb6#{8>u&J0I*(ZdD=$hMcY4>6ji)% zkk5X7tQ1pP_4D2l>6<6AK(dVW!4ci6rs6XfvjtXc8*`+yOSK}+spxcBbMN>bEqz^v zyf58P6N){p{99FC{wOc2uyCAHzHRxp^kD$ zPHRalpeXI@vo)bQ!D3J+&{$|tn4h0fN-3aLkbLz~+K372yMjRG-JqG*z395x1mJFK?Wf2TGIB&(p@F zo5-q-0~QiR+LT~SRDt7zMYMY6uDf<$&;Hb=@8D>WoD?>ded;Kl!50wZ6!75JXvrp= z5)O7##;q;BZ-`ttv(XQ(JmDH~Iq1mX^ShO;I^}o>R$FIo!!}tLW0M_46gy@<8dznb zk%xN6zSbIjF5up$ri6Wd*d*F~EN6#&6)sl{Vs>3rw1ZLSeRz+`VLM$y%fy0Pn_%o? zvEXDw9fpofdvD=|}~B5%)Dg;J!5qQXT$_;b)kjApP{;}P_K zG4>WLPSD_Y?VOppGxMGA z|L^nEu70alckS+8)vK4Z6u6JS9nEC_nubJ}Wb+AGO{|JLzMLYxuIM||!Ft;-Y;REQ z4dOmYZ@a8NbXQFdx~`VRw&mhUSSy89rAR`XX-ChSO>gV!ks?(Gs0Fhk<=lkA_Tl!) zQv!kbG_*U3xj_2RU4~E}%C3l}cecd#%yPN57)|szD@LYfHD)s8_zA5uj4(rVrYQI; z2rjM+cah(Rkk56#6ufa1?%LgS^Zph%eBZMA^!r}$e4Fg?8vV=sY5C*nAuw#}e&vSs z@+7?5`mi{A`R+QrJGn)2s6AkpDdS4r{8Kd@iO8Yf_C~4$eALngI zdnf<>Yb%hVu2pJC7-Huq$Bq{e$uZe^7>M+>HBJbKl)~P#l~MOvJHiQwLcM4H`j$U= z+_hhlL(~52w)&<93mHTCd92kTu|S4<0?8=B5rLx=MsT9Jjoi_GIQgXYk{MYIqLfv}8Y ze4XY|q0ga#)>`>R4ov{zp^krVUivrEU2pz(T3TpJXls=3`fz>k|H#n0qZx8s1=F50 zC!h3oD~H|>k|N|s{t?#0I6C4TmitM9sqc`^oC0smSL=Fou4bf%0?ylofm&b z%DKi2R>7=R!8}&MgmzMsU_G?g(64$i^l%h^PQ{~uPT$la_Ix5;_rP=6P42EvAF#!E zQHO<5wFood%F{clD1EPf^I4<`?O8iQ3{}(jL}gZ0spQ*UP0;}ztp@M8&#COJR=ter zJk~wrlc0rye$#-vf_;YTGS>2(gKycsX0{TS1_^wGE$5s$%cP3?5n)AzJ0)gq{-LI4 zt|8TTk~V3m)k(X(_Qa*{ysU1kssN;%|L!hRS9F+QEHO_q7*Q*bf@(n27r|1z?E&S0 zpoeI9t1<*0gW<4sr2S5z#u$v&po{31H78&1lP;Qj@is&&BaPjSQ;g8Q8LRVK35v%u zq9K7i-10FDYyQ>LY8{j`VWUTgR#^s3P5wqYb*YIRt(S$|J`)g4N3Hz;IFykVEH2Q? zsPIj(8;DV4&aw>=TIAf{AIUm~4bC~8<;NQp@3eHQ0bp~5^0z+Ob1LtSbDfAs?v1dh}m%QF5o9Sf6skyMs=9}K8NZs z3ryYq$I^?sqpBR1v4d~5ziKn%>J>3vjA+}#MuN$JwVRZ(d527^utxmYarJO*d9XQT z;s!Iq%^mS$nUTM`G2+|gq|iHV1~ng*eKub`h~B>5KfSr$cUqLPIc%Ss z`tDv+R&=PVEHU5oA)?mq4XVL>C1HE5JGYwwqun0s(ald@^ai7;Iim&E;}gBErI94= z=Zux6?QZ7hmKDlgsM$HHIgWA1(E;5iMM2F`)`sRg$~ruK;fY-l+`ui^@!hNI;cExJ zKpMBsr$^_z)@T3u#8;7hwolC!@pJ3vxkW1fScnk!V)rCIKXCL^lhOhqU09=CCEBIX z10jtL-ZoqmfIQ?olSVVnS|0}tl8tsSVF*;;6~%@LV@1eDA<=CzvPe>Aej>*EkTTkujKs0DC9dH@u;@a;&=&Wh z4I^D&`ba-YzB}#@#mIO6MGVsO<4V3Vyy1`S8ceaxl(lVA`6Y!++8^Z|O^J!yc!4$keoS^`WTRpdIfkub zrI!aeDug@JSm&L?0iJw@9;a5fFWd>p=`s@&jh^r;$^8oMS2wSJ{q|c)$n1X#5X>w1 zGnR8G-mG#tZx5LDk(c>}dY_tCBSl*1%-P3Xi;mk7AK!)O#tam`h#>K%gpH_3WLkz= zoPI>wCsmmac2SlU4T-8rnp7==uq{cs@jaihs?z)SH8nJIpT$BNZpo;;G&{^+uPB{WdT7F@g}~%DEj%_05T6jijV^0) z;1Ka?td(#SpVMR$q~D;9ee8T;A;W@rV7zyEe~KE>8TPj4cWzc0Z>#k~fpk;)-&LIm*m8a)AF#+ON=`8c)Ux8O zSIYjyzZ0G}6p|BxAm>UMsg#^(zsBzBd$A&wg-|v!un6Saq&DMWt#pjBp(+Cu%po4{ zz%D-lplqiFou@aY**sh+&MOt0#y|2sD+Eu(4QLr^I)(0(ISbkwN3 zDw)*0&?JBG$({zq15G&@bxJRQlKPvNIkc)5+Ei>6W%#ZrPQf2x*`f_=k(|{KMm{Zc z1Xyr3lFl2JPQ^>4Xq|AwKPBKg?#6sS*80;x2V;7Ok|V;ieCd$UFYwK|v>mW;f!B^E zQX}T|_x(4!;4^P)96CWd$sb}ANa#qaABZJQvW1JV=mp`=PZXZ=E~idqqfdui$&n`9 z?GKL%MSt*(6k*V*qJfCEWKsWQ5|ZJ%pYb>ap`F46be&kGr!%mDIN7vy)o@64`ges9 zI2N6y@1VIo;9bqx>CuKeF$w5aw&R&6^kYHvV8fZYQmxz}HRB6Gq-dZCsuC5fml4V* z!Mav;Li+}R+;)T#!{R$NKBoN=cP0l@8zKd#BC7lRWQ_++g~a=(fZu}-S@SWV zXkVQhWJ0W-BKeh3f58Nm=;0#Bh5xk%aA{kSs*qgTF8JIcWaH#JwRT<7bu8z*oOAbHkY(UlaMAm{o8gyfNwjEyCumOA(1TLjy^)?Xgv&Hj1nC@kBC*jXav89pyK} z#-B-ks9q|zHWv)1iTY3e!Uj)yXouW0Q?yD4!%xmV{=|uRH=a7#36BfRVI_vo{A=ad zVQ*FgG^qTi;F7r<;7V~vC^F@96e7trbuaKMRrj*w*himyyw~ci_^vab7au=W^K_6e z?q1w=cMw1ElN5+-g$-;c_BzsQMza?+`K7;Og*TQ~U+#}e+PVAX+-3bunmr*<#A5#B z?6>ZZyXYbCHKTl)RD8K4FtyPGjQ^k2r@6cGayEgV-x~ z$D{JH0=qhHLCkE^K+L>^M_kkKMSm^$?jc*RH6TzxM44>Z+3UfT_nOQ|aUqb~#M?Po z*^6I)__IrUmm{gV7w_{yz(b_l-HG{^w5^BE`;K-rzTpxn7R>}?NtX1(71wFK;*}VX zOT|i;OR6^Idd6)4^|1zdFAstl9di?9FE0F{@)DwG!@%oBl2c$}MuOEz-Oc^)o_ps& z!AC*TC;Vywg+8avq$mCIFm^Nr?~b+i<)b(3NPPpDhlA^URz3NxE5y=h9N!7xm4M+R ziK}K0jhBb0#23J-Hx#pivY#??QVcE($X6O4oF6unD=Pa>0)VY8pPM>Houo57@YQL3 z4MmiI?dk4+{rbKR+oLEj*FRy#utye3Vmf&(3U6;@$6L=nKqKTJ4cdQHZRshaCS*xk z&!i5105KzO@!HF2O9LNaO*}eewP8ZQoNXAd>SMoA^!(TrPcz_K9fuWQWC_V9!##P6 zc>>Qz8L5~sqS^GrL%1{W*?UEgc6|riUrWG?EPP+GCtK|sx=2A_;L*vtGM>O8I&@VHOI1r zFH7Yz3_v;Jg)@-Budpb-a>UC=vMK`g2qs9rzUC5QJ+%a8`r(W;GqWDvg21b-B$BzU_n~|+fmsP1 z1Y26Y7uOC#amt}y-ZI(c9Mq<1W$B^JVN2`ziZf3q<{z#-X|bE$yG+1Ol9-k*xwDCb zk?Eq0t+O*!4t~gx>Og&h&K0bMF@@*Zw=SV>^-Dt&2lWbU8n3k*y?NI|Qd?@y$*}!i zm4ISmB%!#R?8hQ%#ryl+r`;zrPsT_G6#{YU?%e#t*>6z5@S>a5?N7_2=(`0yj*d-b zDHbq?0S>6`_f6b;qj+~`;-^M?tH`S!1#gGR%+`fZ?%&^Rig?_Me3d@__(o)=BkMh- zZquge({|Bg%}h`wc@x%qkXOW4~`Ui3yO5W3Fa@__I1I|{{q^Db8 z;C*4ff(3%+_|pR8a$qgep~|N*3*oK<46e8UWnh=g)q!(7jo~X{PW`J_-%4===vkZz`~nVEpHbq_2f?A8A(2N*S}08DlBO%O=HD>>@=9j&rcWoU~~ z1P&FtGFBF9E%DZ#5@fO=&EP^;$ceN_g2$CoAp8eGCw>T)nfy|0y`jo=Mn~v{gDMyU zM=JG~T2$z@3Od?PG0#odmg$gXo8IFzI3pBJfzls%{a_`V7ej1~>VzR}4Ttz~Dweb) z;h5h*2E!&{4YKpe_(e=p?o85j^m;DjMJ4uM{bh&eg14*7`3`NyeRSakNcBh(YZ8Xv z4x3eNewzKYZ~*L(B-qUVmwM=}j9FFjr`gGc18aMCu4ev&-UOfeV$!3P*VYvzFG0kY zOijq5VW9=3JWo;YzBkTTl3}9xWGrpep~}~End$ZM0H3Xa?{HN1nv$f8P+$P zvi)er0-Eyq$lb^i1#-bG@HXRJ8q%!a{jf^2k(b`fm_jYJ1Exs+YqrR5s6(!?er8~)j(HTU;k}?qiJ#0Q zTt2d$rOcg?Tl-7nzOn_iHC>UH`&4hIL}OI27;Rol(M6|oeFUWq$P?;f%9~Q7)+11V z`q0mWE?2#0JPI-3;@x@~`_{iw7p0ER(3yC}ccXQ;bu&v+64-IVx4mK2j+!zJ5IkFb z+WTjxNoosD-pR4k86<(qy$SpE60+f^!{SY_h;q;~1#}P9SP(3D%9>a#f>kWdbPuPt zfRSuK=!UdUQQ^)Xf0;DHpD*ttyCj>h1n`l9rers615ljQnCN5r_y&f&V5-tj2p`}4 z!=a6TT*?MT4siOgeUbP{AVj)B@hgrL>nzDjIuXfB_CPf!6-)jhMS3(0%c&HTvuHqX zUjwa(3Vq-H4-Z2t5=5smU9%qOoQ(1=#w?E96{Nx}mf3@F_M zp58%CjSsR@)rCHK^c%O@LyAw)K zR3X!XSt?k)jD!$s$JwO#mBBKy@n$+LOC_a-iOBK&qjCq?_?CQf{@DFY8E&HTbg|SVDOlf3 z<={)h8nmZO=!=*#=D0H4%nxGnBFa!2wdF(ABXpQbf6(`XLv4a$T6f{+V6e5WF2Cq1 zqgVfJ02H6P_Tbj}ZSR0)NOTnt8J?aUB(d?@CvTQoiP0XVF|LH0 zCqhShiXnFtL1=JoTK!1qzk;r%>FFvp6nP!GQgscKMiqIh3Vh3=G$jpouwd4>Cc7ey zg6_H?l`C_N1E~iJ7W7xqG<;b$_9SCd^4`0pTdC{E4Noj*}oGf_pYS>qQ1wS~fL z0tD^@@Nlm()}x2ikzj=ln1clyiKsRmXMH$1RNN%9b*%u*V)sepPsDwvM8Vsg-~fz> z0)}`9f_D)SO4`YABlvhJ2(ywg4`F zQ$r1Aoz$h_h7$P$zha7u@@DI2hZ!2Wfy1AwB71s#ZS)6N!$ z;JSX8!uIDtZ9b3_*7cSu0pwCyESr;K9@yqwqtzCZ? zq-Z7P;mJCYgS~?<;rjk3?7e~OIz{zaC%WwB-Sc<)e!o_D(P=d*^J{}ksPaDfwM6FLYn(DV~x zK*Jegp!_w&fZD$dyax>I0tVV{MEp)3(f=vxoF60uX{iIuo85V3fu4Y++pFpEy7wLdkDo6N%m19NDf2O)(zpy< zfug@zfD}NT2a@%Yu}`0fB~0%x-cw?cY1d2Di`7fli`PrCk?&!;A>dvtC5Oyh|NEWI zUyldI1`bwCFAi;q%wB41E#o17Xw{d2BDaWghXm<$(|zvZ|8sGog2gF`DCM>0Zi++pZs?JP3VNQdDw<_h%Wn2CNbExW3t?XjTSw^gPFz z9is@l{_N2GyzKYfa{J_T@wmQo-!u7ErVL60FQKt~ND=lU3$ct6kY2?A0r*pQimZx_ ztMQ$`BkL;Q?+)^Nfi#|QK#A4}fPeF`V*8_gmi}ubTR)lLP^b57#l$OuT@an1pax~aCc;XX@`CaLuEMwoCDfShkg!Rb z*OKMD;qdJ5Xk@k>bo^xi?jg;B)d?paMHM zKeko25H#*+UX5pE-@j-P{Nj&(`nsRY6?K$2yP-Rm+i$||_xQruW8;qw`~C?9OHwMy z4pB=n@z2QSXaIa+F8Yp?CX0_?ydQ>hazjv&4H@b+IyN{238HX+6a=4Lu=P*$qlln$ zKKz@2?ci?CAUenZxY(;I!0$Awn-6G)E;&Q?tzf1n5TxH1$-}FchE`1VYU~?VUhbqm z{0CkA*6&34Wmp~mVK8N)r0G)4Jb2sHv*re;A~-#SLCs1Gs@*#P%k=fsoKq5}_pzVX zv7hL%VAhZoTl|DE;rm`L;ZPetxar?0Wxog%H#xJ~ZGaemM}kWSBYG_co7?mM?W#ZhXrl#kDVgOVJS`Dj>&y^kl43D`|eK9hj*E~i zJts&jNvOkFhT(43tQy5Xr>m{rh2d@=5d|=~TnIWA!ex5x0qX?I3abp88&Xur_mK~k5zbWg1dHfBhaJve6>{pJbgWDNY_8 z#thF#frYrNPoM-!t{<}#m6qis+Ksb`Xa?}vDik6Cl3uijOWX7vFv!BD1La#UvJ}# z2FkWOPId5W1sCfBY2AmD(?jLrmt;vPbk@;rty}EwJ!vL>|;QuSiXhR`PYtl(h=&ulu~{9Zn=c0SbCJJqZaUGk|*f}NW4ZDLMpUSsqO z{(ME2n91}CY0~S{l5Wd?64xYfmGn$JJ^8gN)ZH=cnd^K|pNT>8(!m1LD$)(+GF^(C zqp!28mbMXV2R!2Zk>O9QvB z3RA7IU6mE0cs_Z2#<5YE3CIAU#w?Agm58bO>cnu-+0rT`!wo(leV5~++tCVwoN}X= z{D2>kwhKnrffCFrV`i|7q-F>-DQu%_KnFpU7)=9jG2LSnqJ|Ox8DV}5#YhTfYT=ir zBzZi^zu=Wu4G5=VcvtTQ?XSCKXL-$Jp0z`#;LpDd1CdMOZ|?NS9Xe;%BmRy)L`!q& z+ai|93K_<-0nVcvLBEusBRq5Ew8|78JNQ(#h;)boOauIYb2S zp=sk3b{+3Q!Z9mx4B0VGV6zurbF3njt&%X6eL;P?`PoP5ENrqskpQ7s=wg%^wpb{8 z4r7RJOfs5H+GfWP%r4JaY?h#!;|+3+n*s}G7yB%O=2}veeFA$ZgkF$RuoA0d*Y9$SV#Ltad|faz*hL{Xy)ZWFBN_ zuye_n3$!b-O=A?KPNooCHQe9nx6qA!sk6e2>+v}8}?t6ajXye7`9SuBwB!8*MtO!kGjjY2exXvSz701=gg_BEt71f0>j%ERghKM1F@v z_QlrRAW4*Giy%eFpfkr-Qj{`?C2{bJsTW@@v1etI^};KE2LrTL z-AF7xkn5fz5#`l5?u?Ke%%beP5{%;J9kGdKUO0+P>`4x7P_W(#O|W-eRVM=)NUBLl`YQ*P=n+d&)X$R1w__To*=7D$@gxems% zId-gBt!eU3I!7yMYO`~JpITZ8Z-b5wUzvq)12YQG)LMtSrcjLNa9uuxWbKdcp%}j$ zEttgzI?@{vFi$OqOhczkN6UtM`nYW0zQ8EMY!eSYgGPE!fFtTz;#9&~qFh2W75tgf zLDq(*iVdWAAp?tJqE;{cw(nBL1uUazlEjH*iWHhxhoO$|_Znp3P}Bm_c8D| z;BDi*&e)07AY5efa95b~Q7z*VNf${Mu@H$vLPYV&N4}ISTMv<{#m8#Po5q~gi9f30>LGHrMGq*|VyQ$du z6FP3f>65o8GP~GhLgSG^$QQ2>T~Xo(ozpk)31c_edfB;LlymtahD;{}Uq?u<@q^-u zdY2#b(c#^M<-gx+~&rnsU0ESjX#P51Mp-dudime$+!>4F@J*mM(~q8 z>9`1LTD#4YN#TPhy%NU_yu5Qtwunj$wlH3Sjfm+bk>@n{7E_&fERKxbeHwg&IW4NU z*u7ZrRrtHXfx z`jyy84zeoQLiD9&`CM+ky_3gX*COIAPY?MP`Rcu8OZQ@plgDB1>fQf<(#Y;Hm)!)i z<+7)_!BmP+HlxSUEshgk+}3-S)HnG9OW5APmGujIhcO-x!Pq#sMWL06*b z=s0pRh*TuN_RD#mW1@G_YuwQLv_A7FFz-LAIvQ{m^l^P4)SEw=AlT0cb{iFKw;*5TNOB~QZ9$>Z{mA}wjvwe9r*-fV zAwXfXp+QE9Ftb1ePR$_aa7rJ~mx<3~GwvhHwX~5#9-^wjks%Mf%E%N=!#)~G&CR?-)kVn?uIBtnLE}y zi5d5C*a6Lv2c9<+X602o>@g3@aKxQsVp1y#!~j_vK<98 z<48MB(cI)Ed}smwg~{FoG!M7>Q0Xi*50rYdAd>#0j|>^?cCNEHaXPtGmB!$u2bgt{ z-W%n!M{K|6zlW0R_x8WS7Jni(4q{uz(t>f7vzQCm^uW9hEF+e&x36~b%qi98d``&P zg3XC!oByBKMTa)a2-PT7Cn%LE_AJI8>nlemC|9xpqi#gQtB>kDOl}J?bp)Fx8J_O( zMJZX~C3IRq&=k7L-Aj29(fxlaJLf&b%G_`}aI&X#PSnihccGM%>GKr?rOL#a&*QR@ zn!ucv3^U>rK&2`OnE}e{xC$hpLA8<|?(?lE&@{tD0v$)qPRmDwBpdFY+Vc>_DnYRi zBcCfdsfHA*_@zw2pDP>c*{3U!`T>~%l?Yluu**x-=U33>&zG*V&^wjzU`_O_DpEEr zA>-Og_f~d0qnd$uo%_l@EAWyQx3Jla@P#Y9i09dVGZ~S4#YvO0JvMc>EP8%04vA$9 z8mClb_J@8&)zCzCBLAJ6P5mUDNzo>_N^X^!U|UoTyFy*)S;CKV|6i&Lg?g5eoj4|H zh6X;$*ytGh@e!8~pj^&|!jB^wA5%;<2bHdC;*_RPC9L;hu>#}yoNqe)eIv-p|I$)w?QU;0rt@kt6;?{7 zyI63*Kk+mFpab~MH-D4I^07x zH&5Y**3sj7$ngJv4{ZJRH6t<)?)~il-&E+ovuk{?Yit~O%ZI;U5`YHhk@Y?_n1pA- zp*tb|)Y7xWY-ZiYS3?H?na(O zoQmuw_Y&E$>Uc^iniN)JUZXG4S^U83)9#>Ezn2}iP#zbsM?1(1V|ewG8rX=q^}U5v z3pki4?ed|uQ~!X#?rtJkZ!mjj66kskcHyQcP|MyEDbA8z^d2CqUC9)9X_Oo!3SNAa zoh9==P))8B*-73)R;!azpS9}++qvVfWmS?X&Z3tcz;nJjknChvFB%_UY2Y~4ui`0NUI{pqZ1U70WllwHV@dpjH!A56lsh)m@h zY|ff=1e?+&+K{n;fzIgSKiErFcxXy8iHZV=11yt^7Z{X2bVloqV2X%O_Od zDd`Z^rAw@qcjOALZSCKc+wP1~G+KtOYUVE6>3^W%TL4~J0PWYnm)8pvcPl z!ISla%m6<}S7+2(*MAV{8}3)e5^TWxe4-HGJ^2C#Y=Ri$<#{vmGepea1u4( zmgR@x@^OsYS@oEQ)SAz7ZdLw2LKLy&mbL301|gNLnidz2Z8+ds@OHd*Kon5ZvanhW zTd2t_-4$#f=>-iQiW4sM?%icZF(9%n2xn?!}{ zRD}!O(nEAoFl+H8pf`?890oMNiS;^?x;XheDv)xODuW{KN3vx2!d?x0EcydQ#{}^o z3o2l_FO17@XTTlv5TZ0B$<{UGJpE5fEX&bV;Y7Ez6&n)-92AlQY8LE?ANyZ)Lmca5 zv)ZX95?vN>A^%8~ai_Vhlsp*8s#IlPKJv34uYC2N)O1`pqURJlz2piw!HwSlUU}2{ zKQ#6bw-~fJ=At{FTmJdEnE*#eqd$4l_|g~m@tyf4{Kek0=w&|^cb1e0lWM3AYXr3| zP)kM?KJdFU`^zExP<#kKW%R$^6jzi%K@u?la|eOC?YsuQH3!X;hGZk1E%l@C$PF!%9KlN;rYlPA7>ls!qFXRD zMDzwv8t)jtW+Ma>qrgjtW{3XxV$J5tMvM=%2``@)fGU_oSndlD%Q#XQ>m8T@Xv3)k zL=pMCC<0FS9&2nzUn(G#YRQ^Mh-!J=2M7>&{LYpuYZ18|lDw7(I0Wa>>Imq7)a|`M z9Ao(XooU!V`rmH9LiT^cPKT2PCZ6nkBwP1(x&4-!5&iOeMA~zoXgh^J$e+TWay2<1 zfi)15ku;8IbKHw<4PS(y2fv5lG)~0Ww-P-KXA6Hs4wa7nb&vC-l3efYn-foYq;aRH zo+-gsW(gld*~8@K`AH?R+-f8^Admq9p*h38UMoTcr_^R6K~Wp*&UdfU@ALO4ohZr8 z-*USH7T(6lX5myzCbQ9N?}LCBUMbRjCB~%*VRF6I3DDFv4bo_7pTEiTsZ22(Zi~uQ zRp;b7Yrdvc)YZJrW3$nea_cM6OfN@BeXCgldg%Yw@^jA~ji^`Y9mWcRFk&}CH{uBl z{hb<5VTo=H9Rh1WE>~?StjSa>E`FHYrmiIhO}yPFljhwF1eJr*Hg>2q9~;NS7o~_>1sF82cF5sCUWw>|9Ik|D=-%&&_LetAqNNElE1SG5 z_4?YVElO{AZVjyxlPS3I0>|FY8~l=PP=UmQT=AEh3@L(o=S(kr9}X)unMq70RuUV1 zRzJUr8XLSvVadkB&ocpP*hdvr2X=%8=AtEIl^GILncU)XN~g?xt?GPODXYWhC)U8< z+eXh%k%5o*@1OjdpF+mxjJ_}4A%{eB-sekX#Wl|J4fG(UI(zU%vJqHCx*`CO7d7O- zL6iccs_tdDXmtTx8o#bX4ORqhOQ#$Rj_%62Fs6#NbFPtXYu209<1(r~~6Y@&ceT>XMLL|ti*y4t&d)yH&8 zLX@#$kB%_Pelzh4gwYEtjH8*vOoTK_s4$L>p^4Qn@Ib>hRnDN`%v6eVs;E?b z1B;5)5ig;_V?`8MXrG=N9MYg^4~O*a zZ3O`xonE+QVd2~K?BEbx9di!^TZ`yLijV2AmXU>TGcsRU`b+2;ZKD@vM`JMZq{IZgfUZ@!VcsLX$Z&_10E!+ z+K{ZOv8&YA@azVKG_-N=0$Gda12W_|$_HcNsy+_nQqKjg_l^Mv8rGsp5d|l$1_T&s zmLCumTV%ihj5G)WmcRY12P#3KAE*Q-6ako~dZv|6Dzkr4qY5m}%98Wrtn=fmmT%;~ z=qQW6#v^Oc64#{o4L4tsy5J*@gwAr=t9zg%hWA>ZVlo+$#3A6|e-IL*te9aSEYMOO zOW$j|KyREy#8H4h4Ll^E*{zX?vrg(BgF<#$AKTfsqK zl-;dV$D+xvQj^Q_VVGxtR#*0a_lN33TIn%X3F+f57jKFCe&-uZP=K2ke;sW)H`h1< zGmudQ)Mf>P)ap%SDnsB^ka+SO8oQ4$ESIZU1i}`1>_&lNS2F>{Zm5OSawP{)%eLQu zTCSvnE=^FM!ZLi|ac@-1WOHwv(psK(hAt}a6YVAX#f7AHCOwQAmOz9+!i&N0Nba^G zioj@H$W((kEvjRSO#_SPgZ}nieMsml;spuLVrK*)t_y<5?-Gyk4P&gY>;o!{t4u$B zf~$rm`od$ZY|LuxWGn!5SK~@R7j|?6>AC)2;pN+H4l_tkAZUsUJ_?rshh%r1Md`3L z;*qi=L$3cq$!w5i>iy zB|JS8O}D5O)|C}1QVhUN`>T(@^S5Rmhb4oC$v5EnTZ-O7_~6Uc_*k8%V_SCF$jrBR zE~&B%qtlfKpWU5#0p6aqw%e<5>q;FRBC8?Ank6nyOWWCqYuNMWi|Jzk+M{bA&yNmn5J<378p%ku2}pIguf- zYtuP9(7(dW^y2_`zllLUxqW~kOqB_B;B|?h!bdy?;H`^r>@4N0A#g(FFM{HAPT#6_ zEAUaxw*q#cKKYP=u9ngY@<>oa%Et+*TvRu^LOmg0;4b>z>cKYT{fiaZ)$dZ^Ub_+9 z>)GYP>Qjrp7em7>6JGvJ4?6lcxW?w*(1u>{@L8cf+XcHI9TCdXu5bjr1<+%kZ2G9o zW!)~24X>SNMSw1xNhejzIU__8x^6cYa{m>O01q1nvJt=&VuBey@UTb+fut+!(mhtT z?kZKGx=;1b8Kpq=zQvuy$%aTf==V-KuhoIOm*R#0L)u$_RoQL(qZWdogwie2-7O1{ z7U_^~kXDc`Dd`63?iLV`7HL>?cdw;%cl_t_+xwjJ?Y+-^{`cPJ8Sj|CG3T4JV~qI@ zt0)%-n}8^$k0IcwE)q6{suYYQfI9>m_PVFxv&uhCpm_*=b{`hB93ekmDH>&=7=kKJ zC|#YSkQ1dM&rCZaRfLR(?XtQ3yTsKRF&MBLb+tgaK;1(C4U7gH(DHlBda&GP@ zr?F!G*OY@;vd(K{@jWdI?NTD_LQd6lg`5$U`Bzag%BmP(pb?WNLkey|{boYIuYgly z8#8Ofxb#(^OiUd5bHqU4*jbeitnL?gW`ad}9CWZq?@F%{b-|AD?UAA$Op*KfMxS6i zwU|d@*Q8$o%i&TI=CKKhhH_4GNt;FRSiFPmo2ZLQ^a8q(U!~dR;6dC4lLBskxi9{> zc4KI6!DGiRInsf*;e>DD5S&79hXXM2{nktf0cQ{pE{Nl@`6kWvfeQHSwL(1MKjQrQ zw1@tphkp5K2#~Gy%e(&J#)sgTZ!%P?=cK<4YNEJqm)XQ=r73E$D3^*X)DaK|kI!?o zenxjNkvvl^nFD&(7LyO7C~TVwbsAp%75D@M&W;qPc1voc`OdtID%CJ_A$-9S$QL`WK%H4Ek9>TaPtp;#b`=S zg=8t24tj6!2O08fo?P}@A$1r7#FvIzM;$f4LJpO_=yTGSyJ6*h-ExTvW%V~1WU%m~ zW84&dXh3XmScYs5IQ1h0PeZj=;j(RIl~g4|Ll7K^w6(xO_X!11JHVg{H8dkbFc!ch zAxQbgk|yDX<@FZS;!wpc*^q?nsYHA>$qEK8J07T(i;v)!BDrVQ>;Se2$hY#*!x)^u`3%K+kVxi$WH4;vpgEvU9Ja4BEYE3^=_c z-0BK*$KFC+K-EP}L*+*Lqfr$pTFoV%fL*lB{4+{=7%06rLgG>TDzgqe&nZVi z7xS218?Yr|^zs0E^%%Ysse=;ney#7Fzok%3OId!|nvh7q+{*v#R$t79zRbz)y~o(~hFj_}BGmsThhCo)}%LQ2hema99QBn0&4!>hSlh*)S zpm-T*;e@G%`S89?$N2#CdM4Tvvx_uv%)*OIbyE0>>I<55bjR>QH$H5a0$Mi=V=anD z^oG4WFw1Qxne$F}z295?&}0}0u=(D*nxnAPBkJAYjDt4!mO zVfZ||r3jRb9X?r)+Sv_t#WVlE`*lq{ z#75*iyopAS*Kldj#s%;uWk8jr7o8-w>;8+{}6qa-V+t(K(PmD15Jm;_QJr41h zwZbieb;Hxbxh2~aoyLe0Q5|wYKQIz&3mV(g?O@ug&~ECu3~+7 z3!2B6_D|AT3$&n9ct&~GHXBUjxkq=CAere0V2C^9k0ng2@u-9)S`)qd<}LAu?P*%F zR+ZYE5Q4sRcDE&Au0XWry4b_hBlSx3|H)|Ce*;kAri) zQ+bZ|j48w;m=SN!%s~_P414-pY_X&`H`992{=$`OcUyvVj5xU&^&4ETltfOAqYtJd z#vSvC(lK$4X4GLV{Ueq2W!*VFMfDUh)WyGpWs^#>7%D@bM<`f)b?Hv3ku=mGy1&5BcU#ot zMesT7m(7FpO_>E%v7k`@d={U?I@`$YCzY+E#GrQ_|0M0fBhb6H+QXIx!xR%YUn>po zkKlesQL2(4apcJ~%>Rgr;~iQBFRz4d+ib5PSJt2AXnAt7^=%@EMig0jI-dNdP0(8Y z+<0tIn-w6q5D90I@sV z%YRRLqdnH9SLN(nf3O$NMtbAf+4fon!z*NUnZ;4?N+%+qVJsq`zyX!485MR_`TH1%M zik9^E&&-wdvjw7nkUMr%{omf@iE}V*v^qWK)-S?6Zg0dSVpR=s9n%f0)~E(yB+OWb z)@n=}oI&6QRoun64t8ST8J`c_x~^%ba2jzMu?2CgP_6D%6e2foJWp6x*c-=c{k1!- zGVvS_+JHB}hzAw;!y9l1z!F0Ap{GA0udg*KXG-P!!xlA1t{UDXdEJ*e+?6(WaT1M^ zvp|@N_fKKTEi#K&+ZQmFIUXR(BH_R^`mLnd(1;(|kb%%ChC;PN8zDt(vjT zptoF9-OJJZOG&kRCeS1;=~y;L0`bwEk8)67BLjW9}gY`^X$`Fh4E}vwIeJhWm>uVozMlDHV|5-MP&poW%&3_ zRt#IDtJ<48hE!bNGjzN2N2-vzO0(%>=;_yj$HVyF=i4eorgYgS@2d($J(`J6aaqRu z-wuRsXzexBj)sq8S$e?AC7L5UZ4Fuv#6#(UEsto9w)8b^Bw}Nz-4NvnjBG81cA!Oh zHaK`dxQYDyyHHEC{!@qHD;Xc@G4Vr&N7RaV{pFMiVmh24Ae!Sv{kJ`6GV`ykWxO2S zA`(7v_?Wv0eCYk3V`D$U?aGLhj4uZTD_Ihqb`rvAA*e{njr=tEeo()6J51Qnp1guD za*9~W3O$EgOOkk6-Crs;Y-?YXvR5LU=noeX9b4u5Jm1|W)!YKG+c zbo_GJRlQCuYD32#PrS&<1Upz|w^ts!a3OAcZYzgZq4#bJw^MLMW)DRbdI z=JJ$86=psrJ+|uoQKj(N*I>X8zajW&9pElWUH5>E)%1jW-b+*ORN(hKtiFIc!G8Q2=NKAdk9}y#u_K zM>cB%Hf;L5Xvdw>?u6X>G2IPB!5Hd7~&(GBsdjq{J+uL_9r3V z4X}6^S3Zl+eGMeS{m}M;IkIRI3r}kNIP)byuiVI0Cj(A|i^`sCW8ITb(IJ`%c(UF0 z`J|{HZTPlN?Vy^7T+E>|?uzv_k5-RuP4uE26^qzR<8-A_l}VRrUwHo)l4K?UZY@VK zG^(0=(pE^(Q>c^`I8ufTk*>2}PKRf?nmt^|q*iC+PrW(+C$x0&V&YrR>qe-hwJLn2 zbEbEub7q*rc_%N+gEpM@ORh{VS1MI|UM7maj{ggVL#0zGTMLopQ|pT>n-b}dykBv; z|4vfh6xwFg$$`a0^F4(A5RTN?+oNa2cZ#GS6*3vY0HPF0ah0(bP>kcFW=_ZW6?9I= zBUmzmr6X82VB`6SIS2Lmn^>mBfd7TM8Yx}PGYK~>!-NTSnCV4J^Z?)I#5l zdMJ`fX)T3Z2+!Qp8pHTIMV$AW#T3BQD2dc4LvV{=x|C=+;fE9&>^^t?P`O)qKtPZX23v%k5JZc(OvB<4}YX}v9gU7%>}vZ5&3Tl^hyF+cV=H|Jud-m(1fn@a1+C<6yNV;A(CS&J0NxIpA%4iQFaK zd@JwyZsLOt#0#=|rr~v4rZ!<~16dsJo$23(H)v|q0^MEpVhe7k_v*zPTnKJ~;G3Bi zFI7qdijKb*#F5wf+d>v!nrr?1P(KjpXkQ$`^>^wh6xfEXTZ_;1_pJth)MqMZ81b$a zESEx_IJoI2o&6F)+!p9MSF=7l_a)r}b|nzw?prDBm@RN7@%vG;qN|T>Y}yi0F3rD) zn2@+`(RO*N3e0`wM~q?=RckmDQ5q|#iq@qw#CP9g1YO8Uyn6b(w(VSq_80)?ofec_G$BxIc5d&YOw(`m#6@{qJW z5-@KWNl=-Vl3rWhRWIK}RW!FgD+}=OFZjmo?nKnw@MLlR^X|J$nalU)WX#)b?mCN5 zI*}XEv-w zsQSIg%Kqx|L-8c63mbRxeiRQLV6o-bOhhJC^>8+4%zawALwjJ<)SJQ{Zq~hTb{~~f@vw_%KMQ?E(CFkOTSrX*aUBnp$ zG@t_MZ@%v(sFW*ZeL`|3Y*DrQ16e8A16e3?W=mi%(QZLRc?jn*QsqFx(`9BV)z? zJRMxiBp~%0@ zcRXXe{=+*6o`2R{U}|b)>e_$U$=J(a$!e;o%NNK-WU0$K(WqaN_u&??_ey(EO8dFiRRd}j;!+`AE$NMjkM z56#L{BL+QCo`SuHI55LsVya&ha_EoF)jE(0Q9YVfKZq2GrrWA&M;3u4!DR8Si_wIl z_a&xbg{WOKQwaDCz*ZH1eQ=uiCp2~(*uphZ;*)9g zaNKoR;(d!}tqFV?MFpMEyQ(oS}_Iwz@J}jl(KUvY1fn~Jn zhsN6p_t(FDO0W)@a?vU!KH9beF{B8mlM$Q#8MkSsLfuz3H2>buVH&h5LRPY=({Szq zBn?_9T#&h2)IjKo9Z>mm{{i<=@$bVuKr(Mg3V3&jX-%5d|LU7dAUDQvA8ZM!P~9>` zA*ZxlQ8cQixf4Zv=7R7!w)v)|yTVZzX|dU)CBMKLuDVB9)|Q6PC}t*dsKvgOW{L&+e|;xl z*`r53GvIoJ7g$r3ca6mT5n3+UKu*LDo6Po5Bw64xeI-eP#BKVj>mdLkoCvWJaAZa+ zW@G`D?uYO7ra1pjVp=2`oACH@ok)+BtAlE^N_qElngJHQ%dD9-4%7NcZ)2l8HxgTJ z01eEI@-Cl!^zzv`BtAt-+&S!f#M1pST~7`uV2Y+GweUQqN9n?OYIJm)w67q7V%q73 zl<9)BYS&%=^w3I&&}1fAwPvTL?-4BWF3FEv|nfaq#wH9~ClvQAt#O*{|DWl%m3d2VlBG z=3_{yd3u;v=c8eGANenR1~_42HMK44rhbte*9mK~iqw3g3DN^9X3-oZNo%r+KrE6T zUj1C9h+EK-oKdFbL7-dtF8NLJBqD(3!_4lGb=r4&;sRCr3U&@WtnfBSxQ zNRHI2x|1AibJ~{DyNRU>-rdO>yCi{)i7yus@C*U_7rh<%V`_0RM8AzDHdbq6Wu*?R z&C4$I&uh^QZpUwZ-S{#cgK7PZL~R~m(*RW-fs*=ZgYka!VjIV|T$%+h#B)o4)pyy0 zw^8B!^Y;l&Pi5|bJ|si#_i3X5)!M|=lpGnc;G@H$sT?WDU>Vp<17Fd9o4&(TosPRf zreaz(xW7!v$}!Hcsu+7%RQ3A?S+L5oOc_q$&$uE6r3m$vaw#HPJQ1D-l=^A$p?+o` zoA{!iNQFIIpJR=vhe)~TG@itaFtXXI`$u9&6@`E^v}R9XoJXw|05Ij)na3Q-#`wRe|Y zF(zl3HN+I~6(eRf-%N&a6q6}pn!f2gUE-(AGCVN!83%19j7n=gc}5~bwPaDur0oAOS^dzO_51=BHG zun<}w$!7UlH8E5}tH{&@I&-j6^Hxmz%MG3>*0Q8T0~x`#MudVf*77CM{3%cUt}UX- zi;c<5fEujW4oskx?v-y263^@cL(fuub@D^keo0Yd-SWCOfzVAZdDJM`BAE8`Xz3=V zMNch%a%FFUcc}F~nfl7)V50H_*cTEoIFYAEvpFFj&=E8^>8Dc=&K#bNC;A0`9%u6h ztOqbIeH5%GdU?xzoKUe9bg?#BsU6`)%k^LN#4p4`OG3Cs+q>&ukpp;%B5L*EEh`bu z5_Q|YDto7u6>~`F^py4jo2k(ua;Iqv|Je10!fElV`EvB*M*fexZ=WtF;_2vU^~7KX z?a8$J1)jx?J;K^&5W%Kc*`>a*!Af)4B$4tnyKzGXXG$)5pD|y%A+vkt$T^WOFtz$$ zz1yUby1ZoZI)_89mv_C+YZpCB58wGYb*3*KBQKGWb^W`^w7Y?=-qbAK$%%iH$G^jH z|0W}Y-M3Q$xF_h}ctWzF6{+VQp$Psm0`Lfb=6|8{mq}2(lgdBdxy=8(7Tjh(HIMU3 z5TE69%|DdbvNJuf$N`;uR6vIf&R>3mvI!qtS|=KPT04cYcis6N@~_n6LGTlwTtkjJ z^lr4j2?82YhmKm~CLT0bs6uc7&g~q9B#3eMtvwwwz@`B%L~?B>ZW^uO|rH8nuh_4e5D6l&&fGbGhEbg zY}v`E-kHF&%Ji&1X_?3J^J2;01s7@^&?uk8fE8vIw^6*D(m`@oz=qg!N_XuyH+%*h z+Qs0SM$z``oIQ2*No(5PZ|urOsD~XfAP0QxG){S&yZZGv=>NmPEvpOvVETNH-0!-6 zXm3-rtsL!13p3}{U&7!OMhi1GV*ipc0wj>-Q=P#yyr8f@a>3pm9MVFcu*pQuXW_f7 zG_qAnrqDohwO)4uwtksJrWbh{boM6g#wL9R0jwGXXpcJqT#f=3-FDqnvT8NNosU+v zW9{$Tr-ZPpB&^OQKL=)b3y-Z~kdpbhd@14oVJB|q=9Gi&P4~nw_=gEu_>Nox1*@uv zEY{m3%sdqLwNJ?3tKw2ix2#NzMg69G2h<#EDy!leBi)(4JSgvoUaEfkv=O&W$>u30 zwbOXAfM1Ue6AuPTOoOIPH5Ro~^_=62P!QV6)@49fyPB~2HCD?W`r&P_H9uG*vzcc5 zlU)y@mzuzB>Ryaxi~1?XPQCGkq`6r@E28RJI*G-5nz&)KGCK`yl8Sa1;pabfao4Lc z{}AoxX58ws$*hTE&9Qpj5Y0(TKCus_o7DvlP5u{=Xu@N3ZaoJ7VU)8IqN|?1XwUOECiQ3Y_qiv zuGkGezFxo~54Gvhy6-p4H2V`lE^?8458cur#^J4LaKc2RuW%P>7@FJVPu(=vnvSt5 zro1$3wgl^tRx7Q?rn82uv$(z*X=i5P+t8|8P}E(}KJnFX?A~XU)aewBuNX36{78WI zH>iY{X548QO%Nh&%1E79k?@}cY8%fVSPeG884X|2z1=GFZo zAGUduM#16tBK3W5BVN*u=ydkm>RWl1HNuOOBF6+-Y#?OIMd1nhWpIXhGTetn= zJ{-!d;4j0nt=jXv97xEtzam~+-+Ui~{Rc+{Y(_=*mc|K;F&!McKs%cM2YLc{hsJqB zw~68~cd>Tvs(BrD%EZ%ROYkD&#i7Z>gOo&;s);42dm`6kGgx0q7%zvO4dyVB*tZtK zy7S_wiD?WK4EvQ6U;X+y z|8Em6V12D@pGJX`XDTR8FWc`Ftdfwlja|sfHA$xwF{qUq17-#otPtGN25^t?VgZ}r zF(-#MpjlM5Q%k3`IKIs-zR^(Mo+IVKWBck&R&$?#s_2;0VFQ>nUhLn+P8Q4NvJHAP z{I0am6zI*=t(!@`Od|^VJhs~4)>yO!yyA!%Ms6#VjKt~3-Sw=W##snG<_MDEB1=dV z%T2-Eed)aC8Ij}g(9wqnn|2q^^FF&mdgO~o-~8hU;?}=0&uvB!;8bZ z9_g{x6&09$ELaM!DGqQ-D)`tB~&#)X8MX#$OG@#1}7a-fz6GQ55+sBIX&Th z;ouYPHJHS}jwlw09Z{4(=X26W6KrMV%x3WK6g%I^x%@cqks0e7USIQ{1hUTt^poB{ z9QET!piXI3XFUR8kvty= zIhpl1Og+gII;Rzs#Aa`sYtad9iYp<=K1p|l|KI0l#L)&1%z)gk=HunB^0tJ9E zdO3Q1-=>T$#Q3|hi{OMMRPa$eV+5X0m2s!)y52aTYRu5~(=*qS_LNmRRf777)A{epPfYksob3tT7Bx z8m%NgN7>{Muz9Je&Lo^yZH#m>78SEwL$m5FMiG!7z!^PVqML)6z4*z`Esflj64pHI zRit;0?&n(qj@LL=2uKjf+R+!{HfK4#`s>AED6`>3w_s*S0_17BWA|!ZU{8e$FCH5o zcLa>Wl%e7UtWLXq46EwflURO=^qx|xr~1S%4u>FyH?zDFupqDYwPwNHh=jTL{A;YL zIDAT%F)}u-AdzVJ71rokv^0hSp#VqFJ(+9`Y7=2|&OS;E+Az~ZPM;6FNvfnW3D-f{ z8ca2h?8lbgaXWJo2_~t&iD30GRz-a@DyeoI#mdLcBKe%U3pP&MzBbi}@1IcGzLQX! zg;^ChMN(_xloJ6(V-5WMV)G)T(>_TR#crzxO$(I7)|()X*-l~ z12eD+Y~qwrs^sxDR(`1GlKtFLCO&f{MbLrBJ#ap{`#IrV!*fh?UBd+!& zJ8EqE3XpS*wy zSzA##_Fy<6$ejU|ttWwo^wUUpk{K<6=d*uO8d~GS$iU`wv3o#USB0JpI*Jomct5c@ z9o~1oZ+_^PAjH0SPgIsHk>&35Jl=k%PngOnFa}|e(B}^^!OKg2cfW%I679uYh~#&? zi1+9Gk3g1iPkzAWVcab1lR&Js8~v6Te)15+Y@GqHFEO%dy_36;kjxL_7sidHfSSY; zkzbAUDq((lDm4u9)Xk_l{&T|0$9+xB<|SW{W(x^}r{o|r@if={gB(3Cs^G0iu#ZH% zyz>vy&`i_$9F8%3nxm!Iy`>FdE4^6%b#%RZmIY%Nx6b3`~^jt|< zwmRq7=EJt{%Z{Lr4iESs012HvH<%m1SatNouLS|AEj3w(lWIqT6TNWvioy>+EN`$Fdi(7DB3E0P#RfKA;g%8eC{v76L z1WVCt_zdD4%GYB51f*)B{VKGsv|*Ei@qNJ)xsXO;bMFxfmM)EqZ{2;wNVH4`?62<1 zgfv3sKUGS)h{2;@Yjp~AJvqs1cD5;l<8s>L1@!%< zWl|uU?Dz&XPy5;&7#{OK3+r^Tyh{r>hL4cVGRBE+Xy-}(dEX7L-jjqy%D@DVV4T1! z%~$PP$|e3E%Thj;1s`{4SJ2z;Iqe)1TR$>VJ`*_Q_k!!fcjq>*Qr%Kp?8^_Gb{uX7 znplyWqVKj7OEx`rgYj;d8j z^8o{RyS(T)5s)4DlzRp`iD%Pw68UN%$f&TX8{*Fi}>HY z?~NMgBct*jS87lkep>wzxEIof?_nFncDuM_VGbuf=Ew zkyEFy@dvP880+90G2jWcMo~`w2B#8B+eL*ZNJMLA-Or7p#QP=eLYb)zhj`!(LC%wsC*Z-|B zoXH{)P>~?sX^2Y@qG8Nv8dVV(@DCblVwzdR-L?%msTIGR&APT8zNvZVrPXe)HPZq1 zu>8Luy#1lS2=3?9KYz2YatEX?UjFTN`p8$vKA0b0hA@L4x*=_NjpxqZx}~w7cM%an zQqCsv`)+?@v|MdQ+}7V42-HilZsDEv{7`ePd1XCNgI8RMXEw{CtK8`PW`BjNBe~RF znJlzVU91oTR?VC-hJ3s47-SH>-l=979;sdp+U|U$z^lJy%-iLCw40 ztph3&=NuMLF$%Iz;YvRC&29?m8*JU*t@VfCA!qt#{~Kr7GVx+Y7exlu5ONTrF7<8y zbHAk}&WGI1xR~?)Y+>G5f}!S*t=zGS*FW>xoxHaR;*i8(;qK7d*~IJ|=5$nDihz?M z+drnfyRG|@kYPHT37Vq3aVS=w z2?y_nVWI$!TPxaevjZfh7aa>jFgysLKsPrtqHg8b5>E%M5w zeS`V?aRz5c4#TGr?3nO7GRm?-fe&Ky5|HKC&ihSZCl}!yKXX0`VSi4D9WeWeX)n0S z&$Ec~3c5e{UUo3No4BieD;WAg7CHdn(N;KAI=2(!`kO4U;FO8F!$BQK2#Fa#ODyRe zH0JW8F5c(RFhCz@Oxy#e1BXngVA4tpI%dtL%oEDYkXCjENueI)_MtzJu0Y&U2sy(kq-Tv8av(g2zMd% z2~yj)5zInLm$%s=abq{PODnLVYhDUunkGcEip7U$R-+JE0qeY_$6-aBiHg_N-;yrX zk`C*2=rDu!>)*G|hR@F^CO$BthZix^w?mvk?lJm+XvA|w)%C9eVDjEEqJp5u1<JEypA8Pb(u{?ZA)hK#t>t%{)?KPh>GE8ZqN=vvZZl=U&%W5T8Os9=2r z?jkU2Xz(;8r=N>yrNdU4e9Z@!4M}vBt$rUrbv7-K4*dP@8Jkw!-lGXG`!;}rju+>) zDMJC@6oaZ6Ui0wqgU@+;Yps2&Lvt`kghv&-H1!Y8u?CvFpeDBLaK4kyPys}8Z1fEo zfrsWdhYI~A-ZB15{L^SU46;6GrY(Qa)lvnxWD8R-gNLH-qi|0x>`>qAMO>s+5FyjH zAwG!sPH}tRdWM{5K%W)H_Cz@qt@B^V0KI4ib^!O8U0|db^i2)dgX&Ich^n1VY2xA+6U=6Dy%NM2S;D@4;I#cGqtWY^^I!z^tc_e z)d}PVrbYD3(}^Fs%kIe0Wx8#tysur5bMAiaEOPrTtorPN+7Phq!&IhP&Ujg|TE`jjsEo=tokrNTx(64OBO3ny6_?W&m8wBs(#Yvge533 zlCaM|Qbo;54x5DwXZxRc3*_4H@I2Uwt)HL6{I0CDSNWVg0lU!(1qGPTzWPDv^UA3$ zvtfB?{{1f--j6)Q^+f3NOqMZ$Jp>w{p&#h3r!+)`m&j1$y@%CNvHEiiFkxLv(WSW9 zBuZxN#$u=Zlb3%KV*Z#vws8J^C{@V~zAEY@vz;1&HcwX@PqB0GJyJjTd*7<458VoL z1lps#zy?8P%7lLgoWGqw7|c|Z0Mm51kp@TtSWNfCQXed5slzsggE=|Ir-$Hhyk3CH zY>f{yV=eUDVm>vR16B;o`wt2uQzk|t>KVVO5HNJ1>3`4vY?bR>T*oKTD^ z0L)U2Q#+JlO;wf*%u?0Ed#J;jIDg>oJyZ+TYBbt{xN7DAeGMibZ&6FjM~|^Jwb1l4 zhBUQrU@;Wv&ub1gnqLK$Hh$m5G-N2>7Vw+ueSK+RHb0GwiaHkNk%6h@phreqpjvwj zY{DJX0gQ`t3|R6ns=ANF(m0H!8jXyqTi_$8uqPU~>g|J9gkS#9jA`L{yH)e~3Z@~q zr3w0T(<&txfSbm^T)8OvE>g9lie=YU(SRStXZJ!mz`32E&H|>5A3meeiM{O#tu^YL zWn0}&*mwNnZGdFl=>2^4$zuXr{SiO=sUJCy;9tEn&5Wh{o*#uWzT=~O`Sp&%Wuve( z2IHMEY8HhN-`5e|J#E@tk7Y?=MF#r8t|f#Lcp@^2gSwqX23>!rw<3yzs%<~8k5#$G znSAJN|G?9Ki-FW@It*wb^E;<~{TAIo zsQ2EN8I5BGU^cH>{>evEwc#GBqe;N~z?Mg(-3v>Yf{`8~vno1wX`M6p?gJqv8@m1{t<(^v(-yB$1i8TGzVg|d)3dG3Ed z4CzYM6C9BIfCV8)SB_q?mwDq$Tf8zKz78X~`s)3)v_*f42Aq|>ujDKs-&n07n_m!-?2yd&uD2j26V1vPCup?&w zuVZw3u;Z^o9yhx}F)Yj?=@~ZHc`D+PC}f zJzpT^aU|o$C$ZMP{vd+I2wC4t6!W7ZOY#lx(_AW=* z<8I>XbbE69BQrUD=y3Y%PXrD6d!<>~#^UyrEg7r7zqoAexowqRTv`jD?KcpxAMXXj z#7#BOY0$1??>d1~;G9w1Waor$4<^Qfu_IgwgrvLyPY5~xc1S$x68^iG@1b(uRUS@z z2;}E0-aOfJCWb&4l&)C17DFU9d6f_iLglhz z_#|vRrE%>;=RUI79qkUp9RD}H{*(%a-OdYqX-?tre}n2bo&O;y{tlU(4kV?%StFIF z_|Vj0p=2O=+@*@&Rt?_2(fFS{w@L;EHntkSPPrIV9R4(f`*#eWg{0!)3a~Ib>b`X! zIU_y0s)+?EuZ_LwJUzrKwvIl&OA>q1d*XX<-qW1zmnPKv-TC%>TMBvP$?s>s(S8&C z4hP$kIPbGC)05|BT)cPo;thuocaep!Vjyps;e6$-^K`bm-dnp^?m2FY*$kkW#E>r| zOVfr3x_ddF-R$jMFE3xVwVeh8>|$Unl94t;1j)Ueg>UvgT`fnQ_G}1hq-yg#m2jxM z_c>wArIKG>4gSZor0%&XgJP<9>vm7fW(>_Fjpey9Q+mldfN&YZ6m7jmO}BlE?GBt) zJoN`vaw7OIzgHmhoGf*c&Q19exxF{Su-R%2dwFJzAvEnPf3g(w@@#Dk-cvXj1OH5u zFC?GFo+fA_=+f(Kw-JLw0|rV1l=5lPy2O|)=z)01?IHJ9I_~bTd~*IE+^EsVV|i}M zv{4>fzU? zdR`ZR;#+E3uJ`j7J^9sioSaJrclO@)%r932ChTDVy$Z;!Bcq%jDcA+QD@*cMh452X z#ylXhWOj*4{93M%_Ts;Nu-vvCOtuoVcsrQxc|J;Zd^i#{QIi7*)^~JK;rz^%@yIV} zG3I|#mNk6kZ9zTb?*MX%-^oiMOEDR5kI$TfBYkE31aF!+A+EJ6*-i{XuC<1bs-C@0 zNPX*mayE-)>U36{?sZ-KvEK)Bb2|Ct=3unE<$5PzNBtxpBJ|hR%u)e?i?3Eug?4W3 zFPpe1BRT&;3idfooB3Y1vxAW9{ae)wo;)z7I<)n|Wf>*o^^xLV5y^c)ssbE7V+4?7 z2~U^UAEos~mAT>!Oo#11lQKCxdz4eDdPS==T?AkKgk4OW!AsuZnK?>>UNd;TP_i>T z#+Jk{q1^S(;s}{gVpPQ8ZPz>g0`yJ%H^{0iEBY=9sd6q~1uGtZq50B!&>m7S@i|8f zK8cLdp~0Ruja0P5_&=#vO<$qYzEgO(#+jCYb_Q3T^}hJ*$_KN2`Kxa{i;tDxjkg_Iw`# z^5BoC;#{5Sair||<#X?u%fSm($bV~Nq+F5mp1;&TxP$wu8H)A(!dXTt{T=Jj1 zu4v%iDm!)9ieISTjL))0puf0W2w8%HhI!TQL+dAZYmD}(4k^M~;GXr`sS#Dpj@5qLSDuS&l1i! zraLubTQ>{}=z;gWKFTnhl`2~873rwS;x8jsu@Wela#X0;2HwESH`p4y&qr-O+L=6X z&M-HLI(l$T$2ZqEKat}Z*YL#cKlAB-4Z%3}G0np_TYN5)X*Y6iX!|3_kM$eVz0Uqh6QAw`vcfDa(@0L-#R1Y3^=9U)>Q z3b{jmRPZ3<%sfdFcN~CU()}+mg44zT{1Xf!EJ6^xU<_PjxaLeIC+Y}Mg15_Ct_}iP zuFlhMjt1+*HaER2HoaW0b|l60ZhyynUH7$IPu$*2dUbidEB10;gQ&p6z0Sy5ZV|=( zWK_@Va#hds=1{)nb{!5TC%hVOD%!oy`fkrQLHN;Zbr0lrzZP=UC+~H0IM{M^v6`(5 zZeMn5u5~;5_I9+t@YJiG@AyVOqPW8AYV=kx<$|RA{_VwN`pt>G=KZ~7CEaD7+{T}_ zGA{m$u?MIv_CIhI)^3mEAy=iMt6eQuJ6+CpH*I=e*Qz-enV0EaH>YHdA~|CaVQ?7d z5OWOfgK4*QjN2KY0FM#0?sWn5)NXF_Ay=CiJeC;WuVPL<^+7xYOs+=NOKg#whsnrD zyQV^Jj(R>_v&G%avS7J{cujw~W&d(J5`R1LXV2)CQ^De6$Ue`9hE>}Y$F9Zp?eLbMSrV^jwF0on%Ypkm7pcfLN5xBF zo;Z!eG-piaO+r)P6h2%7elfs(2io@6|&LS*?< z0g!@qiy({jUxO_6+c3X?*%3WBJuf8HcO~Ea$vz_4K}g!z+%6*7J^%G0{x8bt7GB<+ zoxVv<@2muWIavwPl@Z7@T6R9`V;uH)ML}LdfF`tq_MNwfe6vlsQs^<|UykwTfJ28m zdj)%YrN8Kg^H@QtkO()n-arI6SJW=*XKxP5_LA3hmU(&_e%eMmS-uq6R3msap&IQ; z)05@vyVd^Gm}Wx7&&Nt35+|0Nh}77dviqKNBvLdv+8A+Az{4$9q}(SxcZs4QjnZBj zURCAE{n+|QR6kHxZkg|@Dcmc`craDLPDKkaQuZApe0}6`#%Gcvz7}7CUi=o}>T8cW z%HR(Mzu1jL*U%k7r5wiYzR;rgdlB>H8+!1In2GlhiVyN7OP5KhxR+K|GiDxYyA!L-ehP{3-FVO!H~-8F55?(9hkUMLU_^WRWktUT>nF#e zEnN3Gh6WP)2CV$S`q=9mumju4?N#-yGG*mt`V0T2>&Cu8+w*fONZ(=v!R5(eWO@U_ z7Kv=T*j4oUs_yptqL(|Mc`x?`uelva_+>;b{>5($uYTU}*vpI8w`BIW(bQdGABVG) z3!gujdr3N-BPHXtkR^p1L$8_|4ugawKeTT=nNi$G>HNKyUB34?;H5q*{!(D2uH&<- zi^J3O-AM>2j}tnprx+DkUgvwOZTa$$&RDySc_X#Cm!=&rxf#EAefB?@`Z`2PggbM& zF6!2z{cblNZTs3TQzyR||4Xo5=YtP*xR6lkWxG;OW>0+OAM2V|?^914E}fXtaBq&z z;~}0zeBeT_G;^{8v#Ee9f|KW7pFO(M4gMzTY3}Mr#NG9pZw~PCIy)QO>0{VwZd?J5 zZf9NIuEX4~v6FrW!HtguJp4MH33BoK1)~kW$CC{@zA}#+B5kr{YggjupThh#A;jy^ z{*HyXGhAPX%1Zqm`j^Kik9>xmR2m|n!?hG<7@Vn>*nsl@lS_jjYVtEqpds~32s z!R)?vU5U#g#}^v;_EA=~n()sov45~0qIc2J*%{*>ijgxTdB=p|U_tV(^qZpv$uAP~ zo8$equG>qfRjHw=CC=$^)vDgj**>@FeFoS(SNY03&SgXPCBN(k`erxx!|#KUYI9~% z3@7I{VnP8;u~^Dam~~~0z6CeM8fCug(3RPJXZe2!dkd&IwryQ_ClDYw1ZjdL5ZoGf zx8T7Y8iKpKgb>_~D)8-~_KbOlYz=LakyHhgpdRpU;} zSJsXB+H>1E#9VhCSD~cja4)~yKRz7P)X0>q(56+TXphJfw>9+8KB}J}Q5`qwbTzRS z*0MHkgtd5nWbTXiFttIs-AXY}Yp&3Kc6zv}sYgEIB_H8QjXEK8d%50Oj5>K~Ng?!j zP+egCW7BSV5mK_ybAC;`YP0d)d83DJyE{z#vODOZ`$yxL+xJ#Wb4#JWj4=YbT|0cjtGJ97xzifuTIblLtzWyl|+ z(s5yFM(`@#|AI9q{MNsnI!+VZK9Y>+lhWuHzuMyN>CS4V7X#r&lrHpZ3%CNal@Y*@ zO?Rwzx_}bnOtn$GKOC&Nm3}2`4aN&+`+>twr2iMAjZpbt2Alp&Zw7m10W&^ePXCEw zFpoav3Z96`UG$Ex4$klB43^G_cw=DqaS9DgEUKUZq#*nL9q?s=H^7(iUKJVnDoZ#HmR8P^55?Z!I zd5WUmUGyyM(53KR7X=-4oUC{}x;@?RZH&;l1Cvhmy~UUtGz2zfjMj^Gvgskl1|O@ z5AASgtjzLo0mkSe1^GE$xjFQ_L!v&P2#v%qnE9_1D~~=?;qFH`^&9hsqb<-i3DVUh zUAnGCrea;0y z(%t%WEp>7TUEGIOr=)zObY{aamq01aMWuR~KRVZ3Pkoh6B5*3YK2d6RZ{gsDQDo9* zzk=uSuEf%E{u#dN3De|(N+H3ZMKOU?zaU+5A)`~kHA;m%LufRag1XWW9^ojyUBETF z^qaWsK!1z?3XS>)lOlPF!ix@7vQLE{P^aJbDybeQ^efH5X(*36m4vkJ$QTq3GlK&-g-^3-mN{(EHGQY+7O-cM$j?xf!yk&+H;PdZt;po~Lo$_{GTD#y*^h}R z6lj0^S%J2PXdYyu?|Q;Q=Js^W@caOJX$pH;?)EI%Xz95V}{V$vpS-CiwS-;zd{{HUxGm;@r zbBk9s<pNP;nqa7$EZ)*1@fJ{s|I>Q;Kl}B&zj-hk1=)P z9Sq9GD%(I7)5y|^FJKzP<9#+6e~xwSo%M~O;_q7(&SHy0#&|+>vw?w zr}z4sR2IbF+k>X_>%z+zCTIQ=#Wu^wd}X%`h`;aQ;?QJ=Yr4#UHRrHb4@0*j45qDC ztO!NWwHaI$GrhiCFygmG%)8nvxyua+N0`t?DAd z5(+m90bm+{a4jSUdK-;kJZ$2gu3qc3nQkow;ls(Y4~pS;wAVjoee?afKe41y>Z14` ztq0ED&r%lyms#{GmX*>2*KHg{RLz43 zPRR+I2|c3c6Xk&@R3Eaq!p38FE{vAT!ch&+`pP! z+H2B^=^gKPEbw(Z_XPQUV8l0WbYi2Q2=9JXmYKA;665y1Zgh17-YjM$vqGxB0X72>=25&!aWmrq(k5qmr7b4b&TI|L4b2O}- z!7nMC`TH*;_=;CAJE8gaFSeqJPcL;D`OhzleTpxh$D8>typA?gFWSRJ#tYghJWsb5 z7jzd}EuL=LbS=qr$WsG^gv&7vE$;VsVRG701+ghM84J+QSJ&>!r4+w^D7XwcG#wFr za!4=aYhlNtRkDiDy1Ojdr5m`~TFj2EZ=_SM+1jtyz#o`u)-WGXR4tC#5w3|ZCK#9+ zkMYl+c*Wtr@%$A*G~J59zX-fXqIr8bZ4t5KZguG7DzrtzTP7pfis#&~sLVQU(BXw9|KGZfca5Zt7cUMla)o3QpPM07zJdhoA@qepTifiH% z`cC6+b=8!QM$H=Pm|9HQ#YOvVtBo4!SI?d_`9cHG!Uq`K{>MVB=~S{KkJ*C}M#Q=Y z83>yPh4@WBxcH7gvHqs&eN*mj_UT=w&uXdIQ2f236yRPK-%dqR$)}D#bRibud*kCn z^U{wp%;jM(HAz=zFVz)1&nphoJueHx_qQ*P+~%U5k1a>LVJ~4{=T>BMk-`q{BLom0 z?*0fg+&4Ang0*RgoiTE<3+U~hw6s;?N@5C$0>4d6MJNib8P}_o2a>bAKFah~CQTai zfVxFHk|t*sN2KuyMNWY>4MTPDU5Uw9{`&K<+=C6zB$raBEZgqImL-8GAO zWb%76b39dSllM$KAVW^~iXothsl~&OWZ4CG>O>rf*zR{dF%@}EYqu9OBfJAQDJw0W z_m5j0o}O;cGb1mL-v{EoA8wBx!dmXQo|Cm-u2)J3J)h3jZbr^_UN$z^>I9pgjuuLI zsqt@;C)#;>a89mza46|nKa2~?l5uO;j|-}iaUTNgI~li|!?>Us8A|2DV(jOet1-jM zhA>&Et1?I`k1pb5Pqf$lF5@@C448f5IX?GB+}yB0pekHoJ6*qF+Ss}Qx`iGum$F0Z zK-Z+lB<;jyzMOCLtO1Jo1nI`*nZlisNAvmC(`_)B-t*9<`@YWe{$&?upyv<=dx7z1 zcO=6+lbXlF)790EC(o7k*aS+9eD5|&jP1ZS%C#XO-Uv@E&%^5Vq4z^13XiAzbsLI( z*?DIdrC*SuICc=|YO!lu#(0yY9@(|3xy8aZfVaQ5-GVy?0amw$Kq3sPOg&70&}+7+ zCt{cHaQeHm{ikXV>M7)3(=&`t7;6pL7j7^NEAONpAL0Mwb3aZQEiX^!i`l`_;n0EH zQS8g_ujVfYT{R^aLzG*D)qxRDCSYE*ob8Xyl)ne~<9kI9pV`wa8w093FH0IC&|)Cb zyqawXU(H60ujZq_&3vzB#xcN*N!-3Q_ic=)_5b>?sp;_Y+}^GoY(2a@vBK1wZRV`- zM#&Jy$Ty=uJ)YdmF1bsed%Tps8AYmBKhrRBq)ff+6M16x7;a-z{b|{4 z2Zk%E7VTU#1fQ(4c!m!sMOa?iDq2=|=XhG37I;9+fsR?%*EFP*Ch78#71H7_@|yht zNO=&)4gz2MlcFMZ`^A`gt)6+k<*aV@v8;e-SJ#31UgnOaw)Iqxo%-YH)p7w=!OrlR zGQ;epo}=;TD_;CUD$`T~RG598@2LbDF#8tt-%u2wuB=$Tp}0s%IS~?#W^D;oFkHF& zN6x#x;1pUYt z>#H5Wi#SU2_R*bY?xaR-gMZR-*&jO*20bM>RLe>}$0=2OEYu zOm3{0XnuRa+IXO{jZ-$@C>iwAA~x+mC7epVPwsY8ogOf65*j}5Z#;~iTcEOSR5tio zGKj4muh`6R`kVo)v6XT=M$n!%d*J!Bq2O7Rut3Thi^>{|OyCgB5N7)2) zo(srGb$xR2m^raLYS8k0JeWCpaPrHXXGbo7x!p58hzx(2npud6-NTxPE%mv6W0vOr zm%7o6c7|drh3L>m2^}ybBvXj}7wL4vVW-O*h;Gwg;4FVvNzUf8|RYKn#mYX-O zzFlp}%p;|SLyU(LO*mRRcQJOM2o3A{M}d3lj}6-w><&p)J1G{Ab8&of@4R zA9|ml8R06JuKVB4n?}t|>-7w=P1kf9^p^5C9M?SDjvEZEH^|5odM-gY5boMkdr^i3 zJgl%HN`@)MRlgpC6)VNSdvzCZq}tDS2Xq7E3VSES*-4%*u?Go%TAo{B+{x+PUg?Z> zWFLR4k!MrwM7buu&`f)@;97ZjUivg^ww$tMF@mDVyh znnq*t8&$$Eg^Y<~fuMCU<1DWl1Q`)ZfhKU(^QpDuYH zw#g}<@NzxRV{~Tj(%W!o$z@4~cQ;z#Y4z;T+c4r}O6gaU@MunVW9w?~=eXP3UiRuk^uh!aBT@G7zg zn5Y&P3a#9V2QtE0>Y z9z$|FHtBSWFq`yV;#-b22>-R4*+FA!9A>r)Krvl83kgSJrMe=B8T+4Pd`Mtmfg(>W}j{%_zpuZ+hqc zwZGhgg+c9!!gueFHuPmMY2^7P5=Gaxdp_4zRMo+Vvt5HPuPs^UuwSq4ueYwASuq4#tbq|nYhkHZlA=19>{1U>q_LLLubEAEoblbzWSnKnI zm5^ic*<#~Q1V#N$F-4oE{7*s|rxd;bz&fC;v1DZbKWL5@e29(m6Xr!w0F3K7pQ>#dh z5HwfLv?aR@jy^l5{245bRWdNTVi2c7sB*!{iuO@!3LftlDAE!!)=!(>?wWUtQZlGl zonxU|aG4haW)7|@Bc+SX4vfiO=MpBQIjYLnDnGEN+owt3a%j$9s?APr~W=fiZ(a4YeYKp z=Y=%99Ov?m(xuX&Qr+3CXhIHCKiP>q-IL#G(==xfgVF0Z@sabiek+F3^JM-ZN;nu- z@7MW)MBc`)7+Q!vpwo(&TG3m22N5&*lM|rIa(zm7;uEs2;byCOA4jnBkXFBT|3ErW z6hy+I>6SSmJbqJ>b^WoivRvGoS8HYY`S$UwXogsiF zy-Oe6_}ealQ7#Pb#$3|;iKeS$j>O5ng17BB$#2Jc=qKq|{(O0=g+HgS(<&eGL}oGz zqRdjM(;18Qc*RZnp3{0hG^X&Vq7zE87)oo{`UvWd) z-PPO?cLCbqem!+t6SE!WjgI$^QvRa?WI$y8(EmF4RYbQB?MC<)&1MR#{}^XNWhgH% z56uS3ORJh`Qn-Uj6C`QRVZUX@rHy0ZcoJsG9&07<>a>bu6zCooalGDF0nY1_r+m!| z_S3JkNJ&!mfZ5Ra)jX&DYF3)d>y6=c%_FdvGoBO6(kF|KNm5XAcvZ{?CNrcd)p+xa zo-&nKu5u&Q<^Y3!&l9cGr~)4V}fBf1aC z1{-8oG8OJJ6=#w2%{g><5ox z*-7`or3+*wWG3&SwHdb{$n43F3hC;`#3fE)q9gMU0qN8wI4|2zjG3Fu({n;j%6;p` zjI)Zw={eb4`Oh%Q%t}R48k@L)b<{7j0-Cmx7Q=>b9^N!<%9QvtxfE@5Ei&wa;=f65 z*C21UZmN_Ue;s+tx(L{?%f&Z;A{G~`w-P8;JS3!K2BBO1nPuYS3;|JYCmZ`yCpoS3>(zS?8 zMX$m0G*Ue>b@ZA@n)l0ni;T&cu$RYIWERSjD3@r{U%D|L;7lWqEsRBGJD)88@aGP2 zCp7pab@%`#Hq$jJeI+^)VzZA!5~}yl4Jj!r9v;t^7aK3`xA#{Uu{ATZZr7WUM|)&j zdt~lkd3o=)T2^=)o2vGtTV+aKJf1G^dI(omK1_`80t-g23#3w#{6dZgU{98A7YKP< zUTzK6cWh>h8aN%yX?v-1=SsCEH!(aGU`6-_FpqY(_Ao*y`*~min*f%l*3$WWtBH|L8~x-BE}koqd>Q`B^U;JF zJV<*9HmV{_U)jXE$-ZyVxXvtw&w5pFAkK=xW&3gCgfa8`%&#ZaU$xv%oA;L=aFiWc zXGx>lfL>np`&a{3a^`7Glfr_F8NAc7(3}Q}vSaEpnAc&}kX%$6e4cMb>|Yt|<;1e3 z>!JOmk8Vr?t}-LYlNHdrBE{34xhdXiSK+gnIk5<~aAeF}SNbblTfRx!fXNz?r0Lp!uz z+0&5PW~1?_k8DP$Wy0nB&@!X#Ijn7SPUz{>(p~zE!q-Qfo?4+^rDCG%Jn4EyVLL_` z3+(qRvZl;tecP#r;?y=b4Y?xI_=YZ_)ow5ey?QM6B|!MGG&`Q?s=%M7~yS%a2~eu@|$j76`Jv7K0PZLd@AP-x(!hA&{BGA zEWCA|Q*#H!MWzMD_II~R;Ojx?wsOl7`|qz}Z)K>F*cR2x*OOOMZZ~R5TAH6@mZ~jD z7*nn=)i35gXg->Ex;-u-(E)B>sKs4==vrBleZCGovOJl6xend1JgI#?!mnv*+IX&U z!ZcAKg{-0+Sej3 z*29zOe%lT?XA2d|5hRJNI-NW&R_f>--#q^A9j~S+HD?~{Gr8i8{#LDi`@xU15_zV2 zNVYL111Zrq$)ZAMe1fUN+rw-9#z@+Cwc>T#Dc?-Bj;k7escn>% zEG0!13A8ni!$~!=l9R0d@e~kG?dpbcjoU{(Fo>=@o#>eCJk^V0$L)-)(^bYv9>i?u zclneAdYf51tGEr7srkngw6s7wbX4rm13n3*?fol$c4g?Vcu*QKy@2RI4YkNFSZup> z64C{bmN-l=p8IEg5h^!FU4X8uTGhg(@-gQ!|D8z;9!6Pb2I^4g*>Yw%RGdyxqzBD? zi2m)q*dVvtE)7h<-u8C&NK<$Ph3zEgZk^c5q^f<+Yf)DJt)Q-M%vO@NuOl|q z5s~jlqKG1qPY^TNpRLXb^fxDplEk#}qKcB<%Yu;o+pSs)fYEi61^6k>iYsK=>3sG+ z92Ak$UAv_*`qHUr3w~bVQjb*iQ5lmbKJ8%kyelYo`t8hVl*_I-AOrz$0$qva)%3q$ zHR!w8cYkK!m+86_|3M5AJVtq3(3}H4o%VKP&NB5u;?uA`(sg46sQNO$fAJ5?_#g~6 z@ueRfecIAK$@=^Z&KZ)XnL33?g!EHWbDabv|7QBL1toE3xI&Bjv+MxIvBAEG-vmtB zI|A}?3Vk-#^4cH5y!lRI%P`rDO2PTYx(ZSsGEDw}6el`)p36=C)H)qz=}j?}#WLLQ zFc_V_i?=SpPQrCYcO9G2Xx?DHAdE{-sR*YL0k9x*nFwnHXIBK61)XPQIPw^drdwsBT&D9f){F<^~JqIhO? z90yn9yay&$Hmi+<%-qV@*!zH~O#Wcm^zG6fdLt($RiZ+nm0Vf7SQk7Qpa63*(%*ni zMbU>8pqqil4|H6L`n~}{C3D|C;QDtFK|#s*rc0SRc?nF^_L06>7UQLpQXgaL#X1I3 znNAsgAvHp80Yz)=9WoH2c)396van)sff>{RY$R8Ts-F|5>&^I#tI*MpkC_oEDGKKo zk>Va6a`CPjE(R5*vH%J?bYP?+XOj2uU;{HUyx7jI1$2MSh& z7Yv=L>a}j*a5TQ}k*_&0ggPsxu~(y0pH20|GI(Nu%nUw3b__5x9>bE4fFT)ZcnquP zP=jHzbmRU&A}qlXZ6sEYPLrn!%)v`5c~>46aOZJY%Gvj3Uc1_BABi!;e3=6MR`|{* z7xLy+sVd)Vo(HmNrA3s&fd~-6?#=1YAosD2mIgy1@!p~1zVLR8mmcJ41eA@OiN9n?I-QdN$8A8?sIPGwGusgp6$6dYJ2yIL{*n^&c&09utr ziT?5H-3__}VfpJx`V$N{ui?Tr56~xgJ)_(>{sAbNnm;)KL+mQhUkEz0q=m;cLuQ+c z5KA`eW0R@Y%O+F5chXlQvix>0DzHcR9^3uPWVvHb5D%qr@k(AxtW@tF%x98O3Q2DX zE>}O9euZ2M$Nuc}M2t$((Ocg{7PWdd#jIynsrY_8?1@!K&kuK*D4XpUK(ptG!4YV8dUsH^5W8CKueJL zjP$r^VNxn3gr<1f#V&st7eVHmj4oIZpyS zAs`VwSZN@fo=5{$0GPDhbq-i9=3xlQ)Fbu)rOrABQ1=+{lud|jnv?}Og4B?7h4^F( z_<7z77fIuc9P#y}E9HPDzD=6^Mz1}?6)u7=!&1bNgQYP^Ld^5Ws*>*JX-}VSy_6)( zk(4daC4`caEm+^D8CW{OiC?jrI~{NJN^$Eu?+u)5^>V(6gi$&?*>3m334{?5TSByj zlAy@ap~zyAL{b9iFl)1&zpG24&Uf~;Sp%B@P1(B)-@iqty%R6>P6oRG+N66+m2?Sr zyz(yb@G^(Ma+A?#cHAJyJOu!16hDn~B*0Q8N^syVsRpyl3Q?XfHPlQ6uc%Us4 z%l|hPII2qVJpd^wT1(ITE5dx*M~q6S^xMT$Qhg0l(^E0eX*UEay*51`>M{Px59UHX zz1KZedNX-V%C_N*8{?g+I%iG3B=klq=-wYhYpnqGFYUirQ`8?26Y#)89d-Ad$f83X z<^Cu8TxrEKo{E_E?jk(04^=H5F)jLEY|K)leg#~#HU8v#w?w;S8Rw|nbbcK8v@}v5 zcjG8^gM0m4yywwT3ilzdKlMoxvdw0_r#N)Q?*MO5AP*&I3Gf3ws0$0rNTByL|ApQx z0>mPQnDE6{3Y{2+BpZebAfO(N=-Pu}h)c$}sdxTbcJVuMT=3gk@r}*L2voFQNkR1T zB7=`Ma@A9kf`LiCiI+b~tv*Ho`4420{kcn0kkXc0$!6Y`fTHePjHHAoZ*!F_dfhOg zidIv9wQCDT3(sz~D+yLB(Q`Gc0Fl~f$~Oyfp%lX$sJ~74Yk|cuSP8G<=Z06Y4>u;D zGpi15ZQTk<+#mrpc@yi6O#Nku_*fXn)lK<(4QR=)@0qxmzP>m6qC1(7fktbj$lCll zsb`$z*e)5LWLy8$Gk&uKsexUiaQ{v})&99jwm#z}SJuB5MTAnW( zyq-@sF9+6d>RV9%nJcdN1I~E;BpDGi6tVhy-mcE@&icb9+^6!P1U{wO5Gbq#QQn^^ zAMR_8hP+)O|6d{LZ4)u(E`A>0$=7!-qLibYa$d{f@Ry+qsg9X{A6jauyh1U#;xFRK zor0~pPgNqE-1k;sht5G(dv!sNne+!V`$>co@&`eu&L;WyMKybV;H zHy59l(q{`#O$>~832$Ymj!FdE6x*b{jBZAG*)L^~p94Uf-@Ng_y>I?k(3tw9%-pMp zWTWW)Do!0MRy~aN@LX~d+~cEo_^IrsVY%e`5R{HW)qC)Th2{6$1!-T7(VgwyS!$hT zVsq_f@fg2HWEIK5&6o%o+w0!7D;P7j*pkAulYh4~dWgGt0mE-$HS)@k4>5y_P2^%>ICT=jnKt2-KZy_0p%IBw=Xa+6Zy>F1 zBDfDX1Lt0#K!{4@;pTOtz50ah^zv>wg*3j;%X%YMFbMT-I|KQf!0iyxo~)?A=Ra!8 zf&~@*p?JEORc5*Z=?8o?jPawn(i6Hh$+0E!@eV%>G7w6OqfzR&gx81~yVLRq;Nj-O2y3lYfWHVl0D!#}c`Vg^pus$PA|>~0!& zFBx`chMU?eLY>k~`hqa#R0^zhEBjboW>8&5+E6xIUG}A>%&MlWv8D{JwoIY6EVZ`m zuy*Q?S>YXG%Y5w4oIU)j8L)=NjWE`Tep@zvX+8oMm~ zlFI979_y?fjXiBx*avU#r?$4|Rfs2;8V?Zx_URd-!Un=Z_Vw}BS^F;$mwQKKq;AaC z;xh67SEPd4jMlmAhqz`PmsE~MJ2Z~ZE8llUC);LuYVP?c-|t5gxM!_s&v6zX3eL<= z#L$0&xBkQ0*$BGXn(^9W`ygo>32_p+b^%A*8(Pg$%u1g*QW`sIqxhUK4M=YsF`Y?^ z&hF82CYj_M9NCQ~Su{?}IXVO+WlRstni?L?;kY_!q-=wY$jz5o%QN~%|pf$Kl9+)6ec0ZI1M^+&6@cT2<3~9T0QtuQ-!G>{80;p zL>KIfEz*IIvF0-d9EL&pHgWch)uKL{0A!IR5ll@L!9pHq*a!%Y8Po zy=_FTWWR5fWblO#Z*d_T-@erTd}}bJ1FwG1)H6p zrly@nTQ)=)qKnr-kDhs0_4D_qtjj=9X!xfsxI%v^VanefB}CQB#*NIn0;haeS#rc} z;zY=B{9*o}Pte>{_bsTG->#qhO9e(NCKoDdDWT1$wIUJZ1x5|@LP&NdA^tzu1z`n+ zhVGgfM0N`aetcdx3_uy|Z3`zYaD#{-hZd@4y%l^YmwxAat)B&tcr>7f3A#*_=g^17iAN&Sdg9Yi?HgMTkB7-)2 zlXxITw#N!@89fK$BDZ$`NX0~kJmq*-;@P1-D-I;U8uxh+FLb3*{S&O4qcfz%*o7G^ zZDpS;KOzS#PHrnqNYQi=vNBH$(-;{f&iM?POw_*LBmO>-ka|;>%Jm%%_`9u782jc^j9L0}<3p__UNxyk4=TSI6Pwh336;v;yrGQ{@DdfzXh^MAG zUzxf4XO|X7$nLO$DQ{2;5gqc_wL&nGVzn(#H%+ znKFqE)G}Yyd5=7jf1BtQlx&iZm#plYvIkb?)sbld?@2-~WpNuUL}Fw#?HC)JFJ-P^ zQ2^98jHZHJgBl47U`F~lrWJSc0xEM=!iD3V_;2fp&3$v2sd0r z$&k7!)^?0MlpY5Yq9ZSLcSgzZGF3Y`@CFo`K zpi8k`4%O0LzlB5AfyN$(vO_o3QYHc67oj0uEj~OU&Sj@?Yt)de4WC|qrkLrPFrVCY z_#=<3ZUp>olaSU1RK)YfH^Od2ZVjcd7}t^Q%L<_D3%0ky*?A(LSUc-$&3=Z2JW@_x zc0c@5Fl7C+DWbkS>t}h`!Ft_^`*0d^HxTl4C2~?Xrf4yxh_#|;z}uwDYathFke@<# zFd${djtAj@hX^Q0rs=N6)sz+U%%{57O0)bjqRy?*`Wz)ar68k;mhP!IqN$o_JphKy zmd2ILa0cV&d+UV$4*w|0ITa%dH(2?OSOR>ZA)Qoi@Gko~0rr@Dyf{Ym*gw$Te|D{f z^U-lbrP`W$fi1>7m;|?{JmmXacUfWb6S2@!P4Y7_boJ0ZImm2nJI8`HN}d#a%O~C1 zx{A%71m(@Uy@@*5%b(JZLlGCAk&Aam&|H2h+cUadIPSGqTUNH%JcS7(Y&B4?l-8RI zz40BKf$saK(9;`df?-l`3?EU-U~$Ad9iyzp2M2)9shjVWe}WS&@rGHEcU%>ViQLzZ z*Z(^I5#rq1%>`j>8j>{eu6uygCu-1H>m^RG$qAY>Gm*by@877ACxquZP74vil?)rV zY-1vTo~T6|REge`_4Nc3!%k!jWr`+T||T&x9{{kMzMoIm1suy*OF zP#~k)(*&&wp~Mn#kog$M{5IrI^Njn2KyY$g>=q9K*jyBBZVAr#u&rO0iR8~i&%0Ml)PJT8)e*giX~Hu&LN4W??JRg9g*)H(cUSt;3D zn_kU}+$o;~EB^%Jy=-%3>@HMewJqO%qKrso>hM;-t;~wmk_l4@Ofm#16|mrj_Qtfi_zWtv z(*J=3LlsBjqZ>o;vklqaGni=C;t@}%?*^q#yJ3=>@c_zp0udAyzhKasI=EQ%7mWUP z^E)s(qa*E)rc2{NiUL#ose}cb*2R^NeQZ&iF)*bG)fV%Ajr)gF`X*cz!@UzYT|$HT z#l;uAH1|_u8ru6;UFmz6g{9yli)`Y}Kg=NN=z?iTsY01RjCpz1F@oy)Y@Yj#F+z7e+ie^n1xXG7yuQxM*9dj(i|I3{t|l z5_p2gC6FQy*eE(GFG)cMq?fEK?hhnziNRO`xIHyz)6IlgKgogOXO0!TGTRtcA~Kfr z#|9xpBLI)5 z3B3*C(-F+(hhsgc75W;eu^FI<0fkW%ufmZ8xWTi1@EK(R9prb1g6&U!WO;me7Hwufh6zKoaxcYpz#sb@~s+62`D9c7e*VyR0Yb-h1s~ za2(hf0~N~HfMZCYkv{B*fw7i{k}>8t_Sm7at5bOco_DqC>ppB93aJld`dDw?(&c1C zpKKOG?9J~{fro>5zGIWggyKt1hex2r7S#f|?ZfuHqzzW5175sLl_>6_Qj_M&9gNFU zyVKsZb_q^?26M0LxD0!%(`(gctao|hVnDR+5Pft0DD!y7pL`FtR)o2j*9DVLHdccW z=NU_AfC5tr8#jX$Ty_aGlOs*kRFxB5&2@j)@_8z+VEAo z`@RodUQE5E2AYdCKV4`wI?h1pfI-pwK^0$3mI8GMrT6vY{+IofK;&7l#*=lVYs^qPYrzW4M(C6FD2X(818Tm*JmprG#K zH|@3!MWR@AdRh@fo{g%B_KUwcb|cSr->w?;z}5)ysH}KeHOE+@Q8GB@1#d6axvgO( z`yAiuzSV!eXN|isg6_he?`uIpMA#|lL~v=5ihc;4gwA?yuk(nllc0GFo%A*xCd&L_ z_Gw<-#DwJ6$oR6{JGAsBAIh?NCM7;gu>{I=G9N#3In6)0SMAH^?aL7*eZ|Y+OCUt) zczhhh8;;7{<1=iR z#u)z{Z_pT}OzAK8ZO(Pbs9`e+vO#j&;&KzYMYRHqw{{#&ben=qR`a{!uU8ma{FzC` zlVytG4)t=P%EI$|;`rK_ZSW}a*e-Ey*K3F&ZKQ@sft6M4u(Fv&LpULyGIiK_`-=gPKC_*+>5S{v^Sm_+NdE8^1KMy;bd_l z$&ioEKaDrvXNRoRnUva2L3Z-nhBebTmEjzUQ4cjf&(;Z)+WJ6t;Q5&ppD5z)<-taa zg{VMXIS9`Wl*oUHZ5B#!KXKT+2uIo9~NRL4|rYizeOzH1SK@ROgs zLIV}Cn||P38wBsa#b7+9P*qxBj~SmaRa`g}VSXjWcGnx4b?Fa{sp*13F8f`2R~~Te7VMyE?ztm>O|S zjcs5JE4q{6rbf=2^W(*V23xult()`WB5+Vr+oJpLNoofe?@WU-Th<3V?>1e76brD* z;Nb+Y=Pk8skY@?FBDLTLJICV*q!f~$U9~cfJ3LECe;bzS{#J}A3nABgFo0Kpvr7er z;ehd(#1B8#aM-E-^3Jl=`U^&4XYen?nC-hv&VqAJ(;H5zN6wiy4#il98uGJs=cTsp zx+Mjm0-?IuH$dgq6$vT*sT%zLwHX=#(xWF<0*JPccKwg0CFhRyKcwSK1PZ#SP5Qsc zh%#7z+qw-xEx+&{=KEG7JYsi$04^vvTAo#3l5UXl`)jlkL~RKCxd5ArzAzL}MYQ!F z3L^Mk$GaP~jq+5W(17M^aJVf+Mhr&G!Mk&Zb+1lH9!h?VL-zksSHJ08F9I&N$_2Yx zyn0w6j=KhEOM-b8ZE+b1iQsft(x7c`_*E*l$U(oD)z!WEXLW;>g2x6~UQ_uvWR~}u z=-6P){J+$tx~yybHlsy3TmrsdpS{&h94+zyo5N1be3H6JMqX*Vl3%bsT3UVDZzMff zcD`a@0~cp66tu%?x`+}pMjJ9U#6V0C?XZIdRL}Wa`F>r|z55V<_tZK-@ z0%6_T9Rgm!d&H%4h}eH0eV}{2=hnJ+^15E))^T6Jan-c{MkaUQi&;qi)+>o18?ghq zTkSQviSafj&GvXWQS<~g9)4mg zL1L?K3OdmLm4^HW0S=3ZZ-w!W9)xCz+oS5bjMlw}7x9N=mq30w8G=3og^NufZidr) z&(=Hd%CUL5?&4}Vsl@Tvt;u%hAc@lx39^HG$hiVpIlw&(R4^S=m{J1+WHi)RN+4N8 z_zxi}f$0hX&$QkHeyD7A=H>=&kXRY;ku67ZN7)5lXwr_=A(tO<49=$lc0Xc}9`lYB zw0GgQ@D=3uRAVjyA`2rhJpQTzP46IJ03z6gcumY+@ zGi+p_>>jnZO~Q{zQ!36SC%OgJULSr@jHZd}$CA`X$S!{;KL=dvsQmgYCo!yxIPeqy z3H{x2E{SrYncVst1VtgPl`O|&^R=Q>GBxtQwiAf%D>@`~Xyt`G9sDT=^#ga?Ab^4b zV;fK80;Dz6MReayEef#?gkItA>APj zhekRN-3QDoQK*Kanf$YKuX&TVo#&}GsmRhII zrO3$ewsw;7ApH|cl>G3VF+po0v0IKHY$j}0I{`W)=VtT#o4`4BZ&N@8UBlA2%o}hH z0&>UaF5qTjo^X31ZPp+Y$H|jHujD323*qhA8>u-lWT&wvIb#=oN>nmFP2f+{&zjoI zU5)z}>^yk&(d74PvKTmQ4|Jy{59PqNK))9lPZk4Bg6yOvL#;AZ&0f{zcg+WW*|Hws z9zyr|T49H&KSb~%fmV}NGShF`HhnwX1rz@TtuU~?Kf~fv?xE9`kNbj2f9Z{&Yuv^$ zWED9dmJ^xggZ%UNg);x3W{>UOmBFZ%Oj@Es+S(kH)aESM5CI;e5o|(|$Y(S^KWJLk)}U#wXm5sxdX<^c1joyIE z1q{p220eltoF%h^a6u5996{l>L+!S+`4ajs4ha9D83U6saP1!03r9N8=#aaIS7c#E zkkheI-^O&er^1sR8cx1n$&8zSB6E`yYz7oNiMunpsEEVCk!#c z3l+z*w)X|_nxRACx727he%V#{pyJ21d@AID9W1%j3UP#+ zyULMp&7BA;^{nP3m*m4J>+X;^{1C9hw;5ip~dbm5Oz9 z(8`)>M&9eAmtJmNa@}^UiqEML^=y8E?D-oEK^M}=LOZ^O>6VpI0yMM3`Vn+W0cxPp z4rG*wb+gd^Qb%(;W&Ie>r{)!`7Pzf3OKp|B{w?`|F!_QYpBhl!xW+6PAyuiZ)w20^ zWY-Q!u9FKg#ql>S2gk5~c!04w!f`W1aZc zoeCf0zbsM(;~*dO^b=sq{~^Bfzb~{sFcEi4Ovv)y)s>{+eVpw9>A|NNa*skTzpNY# zz3TpXdiLpuLrct7wju<^y~e%9BaQr{XT!PY$G+KH%-)U=f?MFP9(i{fGVTOleA_u) z+m0EU44>D=^QEX>w=Hk5roD*|*zJPC$#7p`;kgl`uXB+7>O4s|8o!0fpCSa3Zo9nH zF?mfsFXQ_rm)o`nZOW3em8kZsEqv4z^P1e0UCJB$Cb;l@7iyPE!AY|0?i_MwX1(YG ztQV3sEkm+F3d1mEu{p|8iZ}Sa$`!1>ig~)OHfuT?mQJbF2+6~^WM99SJl*=`BZQFp z!YZww@`!DE-?830xynl>ZURq&BLX@COWIBe|A%1V9$d0{_vkxSALjZt*&j+$@6zz+yBcdNAp3zXwbkOF za0grjlDIpZPeBpe7Pb%#*0rr5+vF{0+Rj!-q4Jxy8(!rz4|sdICi;@_eJu?hdQsDhh5dHg|6QhXJ;!@$5!3JKR!=A?BQk1`;DCD z?L!~(^T+O0*%#b1=Bubn-B8Bp{$(}n34hBDjzY>QH%wOH?SN1Dk&aR?^HQe$J^bz} z#=mtO5}xz_l;WAi)k@Hjkj~ZieZI82*}mMx`^T4p(ibD8-M#kZxM>$kY>F1;)h~r8 z_Z1wviQXY_(=K6;`*;m@#%>aH=w!XbLTZW+2dS8iCV@Fq5ahN4mJ@P32yW^7mv~U? zA}OMC8wb@EDK6#g4K7G?`3kCixeas9#_7{4_CBJ)?+DX((X@k?g&LU7tyHAF;EqM# zaeIsIsF;U-5DEe4V-<(22-!iPwN>_QP#s4ZGX+*v?2(TC0g2I%Ax{3fP1r0(i7ZvwJ!z%CO^`TwSuKO}KF1L{qsr zm@ezs)o`m*yH=;Mx+umsdBX+fHr%*#wx4pA5%5J0*8ARL6i%;E#4W6Ir!~QfcW|Qw z2a7(Pj;0!O#0Cd1am!J=a_4+-0teTBb1$zm;*ln$a+~iJDg>+~k}YcJh2hOCIPri& zMpQ?FLMHkWDaPa16*^fhFl|&fK5QMRl|U7j_zFgKJR#7Y*u4M z={ncG6uaQa4$H}N9vE|FyG!Ukd;a>biP*CVC>J8j8C{gtu7R{h{IFN=jew}+%<>`B z4agH(jsF!Adrhac8&NsfDJ;fKB^ghpbkAWCf%r;QP|D-#s`<>97#fIJk4zZn|u|(z28mUISayDUKI6 zAJ8j~pQt5s_bat-;6l%_xV?C&F0Zb@TFv5sG{f^Lei!f{%^H^b(B=Ox^tyO&e{2-$Cgoc4z~O(X^0=j@1Sq`T81g@q4B5*=A@tC6DES+*haLF{PRaOYe0 zysld+SdA-h7+SzJ6ThbgJn0saaQUvCnn-J%mjPUikU6(?b*!Cb%!|)8k;N1hWb$22 za-~@NA0&-1H|p+uOPKF@SWVDvM}9Xd#Yr9q4AE@KfcqT9!EeI&R0oXJDGE{r_TEbx zRpES#-qXD`N!A1NozWz&9uR{Pb~6T^;Lk*O!Rdk(g|l&P`y}DvEsUZI@|$qo8hUMA zV-7)$XsU0midzu$G6E0QdvN~0rLFh3mwWo03F(xBPWYE2VrJ;1;=a(%po?y!8_sk?N{Qm`D5bBpa^I;PVfI+i3IAKpA1JZGMo0{$fb z&v!G+DtQi^y^oEYGM_MnOC*azvKb6woygoUAWB(Xy}Mk-oO&zc-|dGSr+m7F49<7m0Kxecbf9Q6UZZsO zFOR{Cq?!qnr?U9>=IXPUOsXixfu4W3JdOZ4CuKJiV2t``ZVnzu^;SY4)oB;}K*wA* z;9Lu@kvGidC!~4kn7KH?UzcNJE7sRP`KHPnR;U`El!7BK9yF$T`|})z(!P3tV+50L zsZ<@(Z)|A!+=?MTeD@520bESW%Hb3$5M1!D*qY4XJPHO0bMC$$3M0Bb>XJMGSYQSp zYL+L2skFnJ##4qLZk@#)%%L}CzoeK1V|z-69Eh-S(LI?HY+7f8^5|F5Dgbr?$#T(x z$rFS`^ZrH>WEF!AgN3Rh`Q!vjm8aES+#FL>7BHCDOKe?>1rIj`gACukY@&IGwMsUq zuc=rC$lm!PtW-I+n)yQ2H_L36tb*4^D4XeGeKq<8nEIhP74aQ8+vj6|`g10*4iodU zJ^lU#mG>sqx?+6Ty4XGqWlU-OEdiW**gg^0tRIrqF9SP&8S#OF6{!%~bWC6stC$eF z#;J%e0sQs5#KYibpkJhZn*b#Z=f}`<*&Yxt2{jp)Xpi?>CdmlA2~^sNt$bQo*uR5;^iqwE)1{@Rz;a5v|+xEcblR1rE|3cq#n_vm>-52#Uq9XSe^>0N*GKfr} zLB`i&$Ygx15ji%+q7Y_`$fw0j?PhTvUtlt3bAefwL|=&FluQG4N~BrdHjq~w@4AA|7XLIKvxLEn!y?Oh52zA>E-h}7 z6t!0$$Rd?{p#t9*d>e|?;s=!^`x+PRohV-B^%+J7kN9Nhx@MlOx$`}WcRjeQA zH4&{BH&-0YDa?ubPECCNw(4E1S~`X=_Qarl)w5XDBPGX!tir>)DjpovEP(lVN0b_< z!#yR)9q0iPS4b`MPo4^$E(+iNwpk~% zD~@Lk*1FqH3`@Ji{Psbwj???>e8_&}BuRar)*iQ}$r{SG~+p1LTLe#D$9|J!f0eiYS`n3~Vaxfb(Rx=pNnPglPTd@kWj zXAimGnZmk=W4Q6fd$H|<(FU{6b)o|jhwf*ahA>ouqWDQ@kzbCVM$lU=SxB2fR zak~qZ8SF+~Qg!?Ok5mv%t|3ChHg5CFinPsxwIOphZimYnfK3Q>P1TdE++A3lYInW+ zM5<-5)+Gr@A_AXRsU-E8D{VSc*%zrg990O+suq4APm#?i;a&stVTq|RJTRi20!YCy zl~-a~;k)P}Q1yyH_R_O#pjuk;urc$(JtmKWJ~WsvhP`?&erh{%G_CzKAUC*8PfX?+ zsfh9Z;BE}<^Ml#j(8&vr7~s`StO9?|{I~Za(8(N)AH}%uofsAD-R+D=zb-T|t^!#s z+4*qjaE@?1acXf0&^yBK%Im)4POUNo&(IGznSGNAZIwHS2A$eDi9_!5EuQnOt3~n8 zwxzYt;v2G!_rEWSqXu3JrAXoFCp+Iw3MQwv0UM`E?oIJS%`^wbn7oSmx%UX6`S~2z z+^Qx$r^@>am+E;HgW#hqzAq{d@T2eOThvtS-@$}V4saj~9X5t6w6M>j>B$Mjcu^~G zOii6*4!5B%((d3UkZKt1ANwxQy$V3+Iej`c!{Gw{Y=;^i67>u6xm6_(tMimRfg{{- z?+7@*6x+0Z3*7;38=Xxw&GDQnLb~+Knap4(@t2`oS_DwAR6Grz)Vc zeD}!hMS}*qqzXq9);7W?a%05dzlJbz-5J+|5gNYM9Q^kqarqq* zmibqEZ8_T?Dw6Zfs${Z|dvb*)82_Mo`Ax#|)Ti@5r%1b#AmJWQ%bcaFPCJS;kBBT_ z9=_sdt5h8X&iQ6`Zy6_i+zwCe#KLRp+*ntEVZm@80*f)~qir^l?ldfB@GN;rejnJ0 z+uc=QnlSROtFWep0qGX7{$fzhr(0|^mzO(2gTDvN_jURLtHg;AE>t%U!=7M+tFY)>1B8s zkW%e)LlonOnpd=y_QIFdFAMAkOg%MzKiXAK510mCJ|%m_ ztM_MtcFceth&OE69pb-IbcBWe z$X`}platkwvZ$cm8&a#}@BX#rd9l^=l@Tthe)bvFiJ>D?)S1K0TB z8qu|oIjq;H-qp8&=~}aHbAZ|eI|dz8&09&J39c_lO=Nc=we5<$X3a`a=R}!J7hAe$9=exLG_7jE!Goi zTomEVz6{49u1@5$tf>wZrR3I^&c;ix$k)UeTa9z@9269@%gU+4+t9r&HCTOuXy|30 z!6DS*lCrEhA!^oZz*0hJS{UeA6+3+X#0VVK4JDKa^3NeCNLCBDR<*%kaUoL2cQyj1 zCq`&_Xa3pT-dy36ZuiZIm$Sgo^= zR>JTMBI_zAg~@HID}~0JY69B9RL0Q;h140*COs&|${Y?crMv#vnLXkz&sYj@e5vyf z^js+|i9zP^6YCt9pE26XoOcJ)dt%?0rGeCEaH(w+FcKgY0&`KM9--nH`DdPTI2~0% zq|!K?4xbdo&USl(lAAAiQ&C-BSB-7UxpyTA^j>%mDz2?Cy}#*=*%oFF zJX~6P)Y}Zj6BjkfQnV(+&OXe=6Y+7PVuH)7Na0?&fh_nY82*hHzw|4y$tr%Qx}quV z%a&%#Cw1S=$1FcznX3f^&H zc0PMhZpG~EvuB94EJf@825Xt-4tcn!3imAlEJ&aMaI3McV1gJL2|&(K&-y8f8QCJ! zv#49)k1LvoBle;gtSfmw?*%bBH!K&urfA!QVl7uU57V+KOz(t?R`Ga?#e!MQ3YW|G zt;nRvR>@b%%*knRh~)UsGwCC-qJu|%yoQ1~Nsv*|l&|w&1%?v=@?Rq#Fm#&a1Ib&U zQV2#aq;Zw*aFhLNR@>w&Ap51IzInVt{!3kc(~A;n7Hb`)nFy>)GZ{d8Q$A??X8R-7 zS?y_nU5a5GB*gp z)SFCtBk*q7-7&vCD`4Mor(y@w7TIpK*D~*X$ahlG3BrHyq~J@oOwF@#G#tE%2^JhY z*C{9x?2!_2+%;5*PAaHJ-DkQ`IkpBI| z94_8x$M2e)1 zXyUP>D{+{QXdDkpOwS{n75tWRSez;ZSN*946*`7Cwmh4iWtiOwNiBy3?I%AaYU=$q z5+-jTyUmV?vDxrP>QXU2*PDK#S?)W5-E{w+f=6Sn4z)h}-1qZH*zHdPEa!`ok_N?Qx$`gj~t-8xJXwSw3W0*wlQD~A?gb9)AlweGDDkM>fH>4`%>t<6! z@y#0y7+v3GALHnvzMV0GyLKitDF~Op|D~@)CGRa(#T3ubCT5hRoVe;zrOG@^zP~nj z|3ifHc=S+|`vPPzDb3|1Y`*FITVW)H-nL z*$jCF;TQETct|Q3ES|1dMwBDATe>iGZH8X%;}!L4UFEQq9Hn)Xl2rtKSm#pOw`@z% zNNRr@LG?&y+ZT7jTmOjAxWr?4ZRbfNzF70-plI9%J~gz)vZV}5x9Zt7FB2-}PSo`M z+ZsZCZvTx;K6b^t535BIO#1By@`^#9G^?Zpual{~UzDn6nBSckCg}H`hY5oZz+lY& z2t#JZoJu-JIRARAHj?lUq}He-lAn_N;rLywq}2Tw_M?;jynKl2Ck<`9k}sv~7C7xF>M{gzezIvN`Sv&MzW^Ow~99ULg6DJp5@O+!bX1n11$}Q zAxX7Sr;{hq-;Ck3S#HZCtbYY1wgsKU3%6u_@OCJ}g_qVTYQ-a;C-lQox<7ODf3z3X zg%?@tBe=vtN3#k#94Rtq?doXqBIzU_SjqdglBRN2$vCEYCqGK1<LumFxXe_XD;%w4Hx&5Bp$7p&N-Y6uhB9qvv4DgBTZje%i;evl$oJr)SE@5As-B6qz{nat`W^U-v|BYl| zhwJSn$(HN%-iq$0kHXxG1*r za@<((8HKdxHw6+$ryfI8r4s-bNP}w2a3PM-AO6_HRnGjE`|g>aj299J1AAa7@GLczQ|SH-}w}_PW{U8!I4wfF!&nA z-P(t3GRQTX#oD^Znwob@Cq&JHcyXSD-|eY=r*qHnp8h@K6P;JB2Vb2FI#f{Cq^XDu zOY@@Om0%G)sG*A;V^L)zf=JhJ*%+REi*@VdCXooAdOterRyfIhO1_~y76K{qTX-xU z)v~k|(B({7<=aT~0@&JvF4p=EP%zH|} zH7Vu|y1%uI7TnLszaW4@ivL*;dC@`?<_>nJ!d$ya$s*CLSmZfAqcp61VWJy+J36Ne zbW37a9ZXHULBYS~%81e+q(?sjoESL;*jK|0Y_(cZEBbTqVd}+7NMM!x8Xu8oxDe1J zuw}-Be}}MPQvZoAQF9c|KV}B+dIjOkl-YgGljY)seu^J?*r@YqV&ox1lb8l9Y;K*~ z1zj(wp@dxZ(?qh-&!48OmG@H{MFFKZA~uVyv%3CG(gbqT!bxL?yEE8Ngzvr=yb7?4 zV7g1%HcqGd2Fly8i_M@Q{Oq-05M4G4R0J&86MjwNt!U8aQ6J*M|4JO8<-TpX#Hux8 zAL8U(EqI;K^bWiwm=j?cW0dG)l$$6FmG`-wQt#{OFq<36PUYy?|I%UIsSjjG%N*Me zv&YfZpCku=Jr1|%DSTJ??!~)WIY(NNH|7tXn5yh#fpA);uYGbGk-OpT#UJEd=0CG@ zUZGT^wOw*0!m|Fteh%hitdw;BHM-p&S$>4Ltg=2c?%8=6K4FEP^QtJS@-(CJ^gD$C z7b?0W-U|K-p3lZxI?1|)R&0?b?Q%*dg^5T~*XVp5pBQYBvMe2+nzkC~tu&6GHxF$V z*FfyixX(K3JeXfqAU9B9dS#D+G<;pzDJL<2>0GpEOs?h@{%ogT;?7cp@G*VKMWQ^6 zkE-ONQ6A=%n~jBH<`jt;QRCBjBcjGCZh0svkTe_{iD1QO(gfxUHZ;D}Fl7v_Bq$X@=Tywnx--lfU9@zTZ zTNoQgsw6P)vZM7q`#0`3lk}XJ!cihN8>-PU`kcVqj_9dmY6#vd>b~PaoMI|*Mw75& zPXms^$K00@@;6OS&>~RkgK;p>?FA_Ca9SbgaVRH|1&{C3yo-Dny)FJ4y9eJbz>IkH zL_v&Z=%#2Y>q8~ypW-)M6rB72jTOc2=n!+p221`{g`FTk2+o z7&&}$djuF;d&Q^h6cD}QzD^1Vg^6%u<~MG*UCq;OWxnm$F8V$L+DW1!Zwbh=UQ`wd zJ>ZyKaC)axQZt1pR5g1pub9*`OTFcX5k5%J1kc*y~prImjM6K$1iyd&$PqU#w0|4h%Sb1j2;ti0sfj_v`nip zGNEeM^DwcnOgTEAiZamEey<`42>s0xJJ`f4JO1oY_Z+)CqB@TRABFyAO7+OsQ3K7z zC~IMheg<`tL@NpE{+L*_+fI{gk<$X9JJrRjpKzn6ldfobi0*j5Wq~ND9QB|n?ul#e z@%!ZUwrOpem))z?7k_&@_ke8D7iafQluw>B7copW6zZYizx9o{341eEOy>R%I83E& z7lP+EMLTYd2s(sbco#9d?LEoXAr*YING4qPVi3Ctt(39)oZjT4EMoSNb?NWdPoHA? zP0}n%_*n0b|9;iw<5vG({7CX813$xXp{hFbNP@}Q%XBTni#E;0&_(KDY~F_y9k@^M zFzx!1i70xBN{J|wFVbi>jbDB9`8L1NyJ`G<{O|~ThT^xcA4LZPZ$B!^$}5>S7HL_& zW8;A!`zG$LObc_t{wDVD_Ldmm+)m_vDmMB-_o zbjnXS=@Q2{>87VR=>car=~)*za{2-mH&C*1m{9?cA^{Z%XDId6w;ZML#54cY#mm>4(=%(}R?nmj@qAJ;O4mXM1eM&}5;5T}J zn5&vX>f*f>+RH94hlorqy`!7H4>heMb*Rw#k6@&5NN&3mi^apcQwK-TPp%R^mA^CS z4R!7k5Zc>UG_6e07JOXLfAGM8aZ^Ac(yQ2lOeGwNq@Rj1oo@|5J2=>ul>}yZpTzp< zYBoOYRfm7aXUAZg^BPYiE)2Enj>o#7Zg>ck(N9taj`G0CbJpLR`-VXk>6+$txa{i}ZaFzaV;(G2BR*x`ut(S$anKAXXHn-8d6i6u&^~(b!C4TX_34 zsHldV)T1WT*=+!7#>KV_vx%o8r+dl@Ek7{{WK@V6QXnAa>_qh9ashC0i!wzgHW2^w z{sMK9+&;5UY3=-PFUljjtR;Jz!|#TZ_taafAqF_9nisVqC}>6C_PuIOSt*l(?0F7a zcgAubI662$Veol20Z!Rnf5*ww#y69cGm=UPyTP8f1!g;kPjd-1Se3JHN#vhl*YvBN zF?|GAG2JO?82{^e(j`8Qk3JcA_5o9%GLYK=t~GAnzSNGS>gu>*CfgCsIBur&jeb?!x>R=23obqSn}G?j^x|uQ^o@^!+N-FE zwK)ca?|3}3r60Gn#(sUgj&djN3mV!3hzBXw9{;ig(X`DurJP)h%VUkK6mA!b;Q{A+OZPbTimVmWdW(fJ!;-K7^*+z}jXOkd*)n-m#qdy7yVJy!XB#lzZgjL? z9e!Uc)8_Wf!U#UU5`6dOu@`2r*t@HnvUuN0cT~zR3%UbzHl)hE=;p)-_j52WCL+G? z$cLPPGU#jwmU~gowczcWVO;P;d{37T87t^kT6im4P~OJ9>sXL6A;*^!W+{r=<&F`! zgm=D0Up{BPKOh@i0nQ5h^QH@1dfYCSBVLcFltI(&qSKO7qwT!Gpm;(mjT*Lt`{-X^ zcDV9B-?oaMH;2VMiZ}9cq5YL+Hc{&PKJ35b@2_OzLQChYYXXb8iXl&5*vz+$D)nuh zGO?*J{7UD>+q55QKT&G5R$SdSS9z6=u8qnQkO;M@U?r5}ph`V~RTkpndr(g-td`9>5OqKHHJ^ZaB6S~n+rN_w%YVs@@LtIX zoMSxBPNDLX$%20gx$9Q`PKjx0#O)dy_JTyrJpF8xwk1)E9Ex#`L^%%dBnsO;JOPpN z%SM>3h~{O zH(pG3G4_cM@7_CBw$a3iMfCU!L9UbSjm%qX>ntCQ^wutpSfy1fx$iHASJ&OH=AOA= zuB(T>P3Rvbu@EES(s(ezk?YHImk;Lv=4dfi?Uv=s(@ZI;-i(_ge5Mo86O%9xTFWEoxv{>W8n1@Fb3Zl7+NsZ_6MJKx{>IKZd(1mf>aI^k#+n>o zN0_A_YS$q!Ui|ZV>OBXs=`qiOj5Qs;W$b2Z@g6@^_C?QkXe;=+)*WG_?qc`CKVfJg z)?WXyF@iF0Kx<%1nys{rY{kZ!Eha3B2E)-Ck~#AKLx>%sCr^*49b&phRwNE^DD`|r zRr-YaWSNkxiJrq%R%b4>p!}zt?p0XPmw#{gaLJ9Mp%mcxv{z3zf42x>khck=RF2P~AVY9k4-3*|JW2^DQVwc+FUJ+`O08HRHmAM|4NK){guG! zFMKX8W<1qNOjn}5$M1usFa_{bshD|Mn*;!m3o-M!M^X4&88(>uDY0oVM5(eR!xL%j zUSbF&7Y7w&CDE)kOvJt4qw{fO8R|;hwj53pbI|I%?Xz_^i_~j0*I$39KSz*#JyqiL zg(kSrZ1`1FRuaYP#yGN%h>o4v2+W2E%@&kB7huK@gi#C4S`$;fXD(xt@pj$%hh%hg z6fO**lm(C5q%&kcV|vYgMY35)wll(Ki#C_^_+73)=5D{FIQx2!OBWM!^2;mm_uAmK zjh?vo2ccw+ELLBq_?a)Y6uMIq`JVGhoL(l|Dux`kt3YAz&RE%Hth~3*SZk`|RB?}@ z_8#qM^@e6v1ch<-FxG@})h5#>@etEs9kd*9_JmEZ)ywG!2oNwS4D@rrvB*j77?=z+ z;~v97<}XHt*td6!(txv9;fX}QPIa9vsiT2>2`ER$qCgCm!>y|iM1_a8Ei_E27VfbM zk@ZzURh;rm^InmL;q_G;R8?pW#;;6`uwhjyL5~ZX1{Xwt-*@tqSs}KgC67pbHRM~Q z&K736zh)-!CjH<8O5(~&x(Op}fHL|>w7te?fTJSrP7z+I$L$a%8?{| zhj%#sOuEdr;%UOs`f7Inn3QMs)<*QI)RW~;-})<=nNlWE6j`w-!{GUKPQ3xvaV)oU zF$YzX52ZN%MpChyhf;`oK_)ev-7taV60p)db5KHP5@gBeJPsJlj2Ha^^NGVH)i;j47B@%@pBYm5??SpcvJEHwHbw$-#}!B z5i@@rq=|#5i8 zCIbKn5;Co<436%w{}WBfkHg)~9^{?PboLK74-iaTN?F_5uh_=y1Y-#Z)O*w^f~J>E zdOV%$AG6ahDa^iJC~-- z_S*I;_8Rs~dT@KDSS&SR^XY!oqRmJ)WfFWbVS)OCdr(s54gzDqy2&)xoYZ+lJ@eoS zb~oF!TX_;xLQJ0Yjx>}Y>MIq!aCfS>m#`L9bKH<=1eg8<8j<;jyxk9Q^`}fnjb=7TIO*YtA>qx4-lJ!hP z)i#(|fp*-J^I!4(k#-$ z1JPkMvHy_jh7wO*px`h{ z1e0ja>S|^hMHE5PtzNHmD?A5$DAiEDOraAlpd}spzCjMpU4P(S&hr zh;?v~u+%d?V}a_~sO;9~!;e4Nwdtk(?|tndOlT3Es-+A6J!n4nxkc@# z-|VZ2{TB2`S0}%$Xdm&8C0=+H;}wP1f5nqc>V>_i|Jpg%wOi_$oYAgkxz83*k@Nol zB8aK&^MR+e&irDQ8oLODe$F_5&N^7fDnKvdzJtRrTH@HW zQB3wVr;yg#k5D^@MI(jyG#em!F2o!-{wJw5bYfT*<}dw&SniITaSCS~Uj*Y%dz zg!;Jj=35oD32zEJ*Sk#HV{Xt0%9OwKtdH;_pafSGTj~*u8Mpor^poEm9Pm@zeM03p z&HD>!ok&KF(IdOTxuQDvrtj23rjP8{4!p+gf5vB3zY<8f5Oe(ej#{XDa@Jh!ZEJGv zeO8O@h_9mwi>>vx+oRcWTyBE3)g#{ux@WWF2=}>aMp~)9tYxAZHm9z7lp*xo1&8vu z;fECQglOCyO53P6$2@1g$-S;O6P6)DAhVG};r0awl+%;-b6Dj#Q?9yV|eWoe3rMcH^pl5!tCoe1+W*&IVRSs~2t2{IZq(fZg z6y@gT%IoF5umbPN-9%bE~E5x<9`cUu8Kj6oGDdggGTb zVPFb(;=`>3pP+~sBrQWXq}ZxhWSLz&E<)53Z74CLXJDYv-q!q5G-$}@8hm28^pwiD zIQqVbD})}Bm>Z3(RV~GF1ja?$8=~!q@1rhoRNMQ%v6KO^Vj%d)P~_41XsLS_)F1aG<8UNUIG2sozgG-Yf2w z8xs!CKZss?&tGReF|rBwtFD||&?dkLJfx92VyC`aCSu-PW@s0Ye9*n_RF|#Mb}41# zb6f2Bh%4`hbc~c@;Y^y+FjU1y)^Enu?Us!92;CijhD}tP;xNs5`W>iFIa^&?W-1liBz8`)eTZF-I*hN;XO<+ww!oT>B<*-f?7Aso^rEW$Iozk9mQI`rQ zVzuh`jWga)MlyQUwFG|ZnT*_Kv&P|)7$A$=Kl0(1Ps`oMd=^y6-4&|ZRyH~t!p2r! z|KRykY|ecO95RDBp1By|Hbqbp!G|JPmCFS&i7ZCV^O0pYxK>;htRAO%h}ej{9&Ju; zt|{>tD-H|Cxh$h{IIO6zq3XIu@=q1B*2z@o?$Ms!rynJCbN?Pd1N4M9_0BnH%S4q(9ZpQl@)Z8eg-Yg@mRql@sN~TmO)(fuSL{ zEjyq_1SxD0o9ECR7xLlsD9gr(|90ypxdZnInzU+r#sl_`MzdFbc;pi^Wa{*}>TZI*U)Vn;|$Jm#I%T`?ac6_Y?igK&Y{&;VB3^mee8O+|nzi zfz1tKg7Q&H_LQ5Wg1u=Dkz8X~8HS3p@#WstZ^&evtA!!BqES&EbJc5KTI%POZlf6r zrq}R3VJJ_obN8+DCo>o^X})8nAV^j-nURF2RCQL$qBx`S-8;E(kGWx=xm0Y8ThsJz z()TA|7HX6u6RC<$Vb<^vv4Jz&=bH%ce3I8X&V!_=5S$7Bz#EE%l-eZL9KzcbD0oVe zPedvT6!-+pC=8sr9LK|PJd}(Q3X1TOa+u3G6z%u0D#sYOcl2Q6rHz>yE&};dLpmmL zWzzy=6x*>6@S+C%@6amR2xN@f?yGs@OR0L$mNXO8@&s4E^r6Jb`UcA=OpJZ`5Xoj= zvq6CEUpCfZOfmV5!^tha@H!j^H^CQC&>P+|i=;L3J{{*Oy8COdO-OJ#pOBB)$}80c zyNv6Qjb#ksMG;?;WEJu=S+NO`DZkfni0*~kwsDv97num+a>j9_PlV$zFROWcDrxr9 zGn0Hvk#K%nnJjAH$2207o{B;!xX(7)%Vhin|0!j+jX<9mw?W$KcQo=!^$Wb_d>q5l zz{iH#QI&eqkg75TLnC~(w8~xraw94{4Fi+;sTfLmLkZggU6VBCXsW0*)&YAx8wWLk zJOdL`JxvaBqxARs>hfu<^NRg}l`Iy7><1O~C4qaU+G(tpb9$i1=~}RkQ^VnE8z_f* zo!hACu!>3WmwwNS&gX2KKbAc=7^w+0MyX`1NH%LtB{NE=*J6!I`w(LW9J0$z6Fn0b zesc{FFCARF#B;#9g7&zBDtR6IdFlLBA<`H6=ymXS)b8^2$*`8=kSqCT`M&dQFoC{Dfp2LsJnJ z^2&PBfyhccTtl#ZLcPABQ$=^i9ZFg57bd!Xq={ zahMtw8^&#?1fNQeaev|dmk=Z}c4$}?T{4==^ixO%~l27>vK5U8#W-bly~X1x21} zffm8v9Q<|ey?m$D!^1)feH*v;+Ih-(NL4D-)&TOy)0J#zGUM-EGXmczUf)Eh4B@C{ zGm+by9hEE*CE|nQwnJuwjCUzS=7K;t)37E8P;EMZ@HiGX7`;MO!RR&Y&Aw3CypvYd z6rG|nh&wf|kGphby$QUI7nnLT;_}!RMsYVLMp4)vse;2Bl)>Sde14Q+>tv5<;D@n? zaQ9eEC{B_l3$gstO34ieFTDRnW^!A6y==dj-2a+)yQ1r%|G|C0nC zeU&+Tcr5f!2`Dh)T!4kScXsG;9F_)sp8yCX;0?k-CKiAM?R>OTEr)|f{!HL}X90_VMAJJOy z;=x5e4r5Ri*H)Nc8Aenb2Err|{J12FerM~r#@EI2J2MPt&WQoS!%|fCboheLFtSvT zag|vGv?gSosARK@sdoOvVH*eve3Cw%5qnW()=|#v>}E z*djuOmPd(q-yn8nB;b{cgu$6{LH6M^-dwoA|Dsuxmn00j%Wdja{RwDJvHNW z<7QC8FBB}8T1hIC0#~fP9@LpNN%;v@4GW1XabX&CpMzlOFiT_8hD zIixvrjJjCHZ2Uuf5`{nS^M4|wg99U4N4G0M-?TuQ=-=5d583{SV94lZ|@>73H;$xmpL0*|;=AzNh)deT3g(rB$CsHLR z?xiQWFHXkGzWsog6gJAe;JzboHz#ZxuN4RF_k7#?PqbC#3pM$^_ms%yV==ozWa+a| zEaG&TKt^O{1d+$b<+lfX-Yq*ztPMSV?x7(Qg~uP5GGxz~3)IJ0U# zj@Tu=*fh${GK$$QYTGHQ*gfiuHG~cgE$8u6_+x9*6A2KkaCZAlt_^Q!6d0a=HRwnq zhg_dHD&TF{{6U&ss3IPC|0U^D2H8JTo$UH8KDkzMyTUo!Se02YZG@?Bt4?wu2#yFB zKv#BszGX&9PwP)@BjaL8FWd$|gu2AY0;C}k=Vkv2lh*Ru3#naWmK1q)V zVDZ1x+X;$=^!n+Q6YUc&S^_TGH(azPT(luvv=v;mQ(SaNHC@enS^1s`r`Sf8Ex3Up zHK}(!1iCNl`jH6;$mQ5y<+QMEC%=`$F$-8vehd9CyPbEFfG>m?8eb~fQIb=bl2+tW zhaG4}gY<_vTC2=6R(WWsL#E?&aVab9#>$pu`Wqn-a5x6|$yJ63*n{&4I$}jtYBhQY z4ukWJ*Cd0$Z1IiR`{|Q!^N}x7EmAB}reom15JK|g?qg#V3?0GaF^VNFu%>E-P}TsL zs$isyx^(mqqgVH2L?=_tJi`zi_;;lvYtbV!Fe#6fO^w139*fg)-{z^_Fct|OD3nar zu}6`$`RwIXJs|_>>$nvGCyiKKaSu&1a+6$#3rdV)Go^qJRWORZpIynViN;0O$#I-e z^ud!n>~d8=&2A-9UrT{u&CDc1lH%3$OVC_cMfvF* zr+0HD={t;{ay{ea@U>r^3lGJ_zF<P-4^%}g>WDF3C*FZMSsMmD@F z$QofUcvXm~$A{c2A;Ot-XswWf%}0XoYWK8G1*B8z$22RHnrQ+6S197dio*$28b&jN z7mg~Vd~7A;180epWbuEYFx*50f`pS?_-%HW0W*ZyK@5Tf3N}&+Iu|2J0`aIb-7UY% z!li0ACLzBj6qAD`2}=a|iFFpQw>8K@aH{Z9KOhSd?#R>?I#PZVFPQ{u_mZ ziz+8Lf47z(SPu&mv+u%8uG9B`I4M^fNjd`+A{85nN68f(X+L*CTv%Px*auJ#{{*~O zYiYARXXpWc^RR{zrQqcM#6C0JU<4YY2`C+e$BkVkO-UW^+b+VMo`%vpv}S}6)|tv3YmKy@edV>hD=w6_I|kt6SeI=jwQJ8hFc z_uzy2Q>{YyMoVXYPTM6@p73s2#f=^s;9|>SfpMh667bB0Yz&S|FfuW(Xzw7&kKTH8 z_Dt8Wx#ib3+IvY`voNn1zRQ%aYTK4{E1T0fcDWFGsHm+>flua6Yr14>+O5|jeVR9z zACWlBl-FNFwfEqyoqG^t_&Kd5lqYIpQ;}N$)}%S%qoT81Zly}c)O6_h4(t)p%iova z^*OJne*aWlLkDjy`|CHl)6Q7~ytSNfnew6T+7-LgGg>nY7#lS(<#U|Wxz=4Pd~ zPT5G6nrRV3vuspK`6?xiR#U9RC=S?*sao>Quc=J65f$*shGpeD>j77q!!&+$rab2C z*;nXP%JZAb9yORwaV;Hs0VN$3Fu!LoGFh5wGZS?KbD-E8$Cd#5c6h~sy*~6B4QbuR zBlCdRGH$wtUZ^%K6-Q{a;}#xkaYe0_jzk15V0yO4Hn!3dFeGQi9yO^+La&g41Z%^-Ee$WB>M=;m;QT% z9t3iXE~275Unhh*%b@`8LO6hFCGaYeAfg+H>~EV9P*8BctC^{dAx>E&z#D2LE5$t`Ej9x1U!GS4+$?xMf{Vbz7wx5pbCyZB z&aK*R_sx4j@}fFw%P=)0%JQ972*NnuG0arzR9`9ENGulBEM!0M{r~2lGn2;KBBdAC zIoGkE>U{Tr~ z>`c-icYlGmdnaW}uvh5KIV2X{NwAz>{(wiV44+gSf5k4+hG0oDWit|h@Fjo4Gvq6MwCcG=o zKbPhcUZeYuXR77W^=wq(b9b0WpS|-~>o}mvRH?Nre{ZMsji9hJ5zZzE zfHX41o=e@7Q~o_-AjdfgULEdw&`KVQ5p@9U0fiNSLW;z=`N&3Diq(lu7s9alIQb^l zq`_+TY{+gN9p~tXFjj_<9a)EKUPawB_LSfEwPiZTVuFqLSr^oDgc9)LfQ?f{=8vF! zntxx)0iD-{SU&dC$~A<6b5Wjia`QHQjlOb>eAfqwku#DouZL|`W*-RwtjwlQln5niIeitue%hLe>EXz7hK7-&ob?&K;kR zNDQ1deM63*76_}c4JtaBQ`h`I1mVWNnyAl2{=UiA4jzPoyk@VsXHrt%EuTey7nQM=#lfQf=NwUYC~N zB8NZGBUfr(mr!@l**xbWky%7Ca&ktNE3KIQqc%H>qS+TTGlR^C!A5RyN@NCcTJa_@ z9w)W9p!Zpq7T!EZ?u*Bk*r)iMT3*d4O~{S{xk{w{aSc;VQK#=%j15=s8S3=tyrFS5 zm~q;Dkjn9p*7;yHkzt)OL(0R&zaoc=DOX>SR5eWN{E*MvvSwK+QugLbJDDyK$sF}O zNTL|G0A80R?y6Y}__H4gu2wd9HvGC67og&MGyp%Myw#;e)$@mmZ^!2#P!7P<$ml5P zMkpU!$2Nu0v^*M`cWAI9M+trj_<*+OO+)W->U8rS1r({#Ai^UmZe1(wrK)Yts$S@$8I~}g=UI>G8L}QBpLj4G<>ShdenN*dSd_QKGvbcAWr`;DRR1SUkzUx>hMTtDsg&A zdqPY(imkaw6(mdni7>TD=Xcf~64aQ(qC0wa8Uj2=D2#ekd$-zOM zW{0RSBiTo^{FzuGo~Xh9qLg*)gNkZWYVb{u5cq8toPkpXL2)EKW z7P2@|vi4(`29neP;qS=`6eV@|N2HsdDMY-l;??{zAWDFFC>AdQ+k@qO^ zh)+b;{=P9gNtr~Z`W}k_ATY6&T&$4UC&tY5{?kt`j(eutpFD42D1xcMPSTyWpWdk< za#r_cs8=+MBk~Iytz%p|&H z#21aayBk(-sMds0V~o0j=Wlfmsl*Y(9w9Kfa3m&GPzuIfX1Kzf{uJ9FYz!&ReDS8z zl1B`RN2kGggqoLXPFpxG%fyB}sysQ-id zWr|C!qMb^Sii%ZMb4SR59TB<#TP(l zGxapY6e<=&p!uDMCBCIg7mOikx(X!Thg1SLit0DNlL-QW&hF|JH59DSQ4+e$c@7C zhNe7zE=&c!wM-QfKq1k_6MU$+s6a)xh*p5rtVYJtSJW>_KDj?G$M!YC)e5 z3Qri;9{VB&IPtfnSuHmVC7laVD0W_o*-Q#B+~6nrc#*l(-#}(0((dc~e78hJ ziS9%)>hOCRiYn{$Q0L)~c7o$ZOxB4-o5z8+w%58p@VS{d$8Z(s`*^a$FFFqr+^KM{ z3i80aezVY1apsjju+5zGtU%n1UUzcb}mg#@2wd ze@4h4$(w!?1)BUc%R)Xc`DFo2*;{}Ny!~?k^l~KOw&PXo83YKn`tNl=$At`=n!yD+ zzrGYcCJ-OcwGZ9-HawZBS|oS8ti(|;9W5nQeBNmA3dNEg&QT4b_t086{PSMUiEB00 zvuZTITHGLO@8feAht+dKoFp>S&H!_*lNK#?%J)@CWWlL66-9*yPYU-d%%-tIdophl z()oe;kZK22v%=kvNRLd7ooYt@M^vrIDS5Nkw59yM+ih*!B(55lhf7UGs}rbJotZnB z+9HivCp)|I>tv?OIxkIi)KHJw;}i(ZE%~x4=u`;h0Y|~+VphQ(vjHP2g4`1_23VS1 zH-kvv^acg7IVb-)n$pq?k<`tH*$O`p+3L&iT1f(#px@J!GArO#of)c*+2$nWIA)_9)ZW(X+}Nv&XG{I%AyjCm2MJdfu)0^7gEo7wbe+ck8`9YI%Lf{rbe zYsh@WLT~)r^Mxb$`i+dPw$H9s6Iuv(CUQId_vjLV&164wW>!|@5fK~B9aUZ;89$7J*Son7$C=-gA`f^}H5E$i0{HdT(X z&ML7N9Zh#zMyF>q^u8|WBhzaiZWQR`y%MB`&4HfA@}GU4Z%MKoZjVVGmed~!1;=uz zpKI0&$WHur%%Xq`-|0{VH){_sh_2r)Xifw;R2Q83Lia0Zjr9-Ug1kYd$MvQhM@k!gQ(m)w(NALc{mtw|W(n{$zyvw(zg`O2fkk&-a7V zGMf1GfTf4ue(2S?>(9c^=@pzeft~#Kd#9<#2$>G9exJ!s7QBLjYDni7W|%>|Ka_t! zTAci1_<$zr1QHR-{I&KtNZ9*MN!r3drR1@g(wt=Z7t{%=pSo9mKh9(tpwVv303A-aZKq;QYg=V8P(1U}3>JCY1iG_x#QF z<3__~0DZRt*{YxW&3yFk+;xiLCD zD#28bTQeQ~4qxjWbHCODmZ}(gR{v?-G^4Bi+b=#F?PJ$go@Y9e74GPp%6n7L1R{=w zq|J4M<6m_JW*Czc=37SUdNt!2js7e?e<~ysHv4oJd$E~yv)P;b%`@1YG0v&Vt>w+z!}&91xmi37gjT7rndc-P7AbSVIVMa!6fw zwvqO#&K34?zOkNK4Dt5~ACj;ksn2B-7=x=awCioRJK1=5t;$Ev*a=Gde3e5BBCBk` zXg>h;L@jPK5UWsU!7=$dEiKovP7b!dg!2&m=vUG+o{ttaju16wKWBAH_i(dkZn)R1 zZ>-Rb<;>IHNK$iot3(gA+-^T;uOq2ydLdT#hm7(=-*+AWv?Y110LZ~ z-*QW+Hc{EX4oPX8P!$qGW!#cnic9VFHRng4FsJ8GXIju?TIgn&q^5YMrda?a zJPb?qn?=lEsKZ8r%bB!?dqz(90c8jiufhlzyiCbQazXrJo$=)p{S>3Uq1!dwVMUVY zskqv>GDzhbG{oO>muvIsD&*PBu~?nIMCBf3-3 z_rlVd7Tl1A^^GP8I*mCs#e%mT-5I9wI0GuPQRs(ySVZQcj->YmfKuCuyiFh`%RcdGp95lHr3 zXmca9k@m|i%yh1(5q9Iua9lwvoP$C>YM{U0(h*jpswgq+U+gmVu5PAXWWdLZZ(2Gpa1>cc3IM(~FBd>BMNG_qvzvas9Lth;Ay33h~|KKt=Psi}Z zNX4>&LuQ5L{&~f%5vTi|rr$(dNvrMIO^)YG0a=Z+1|(J7YUnOeESoE7`RcQ$-x4Ec zER!owrX5@T(5rQI_Yh^;_f|Nnn2)}0anf*#e5Rnax5{8IL5*{BZ4L=qSG(A|Duy}P z$cpOMECYC!Zz?oH2PyhT zW`SBA*V=RPY3hE>K(3sK(XLg7&ueNM7Ay+}Rp?EO@;ZksNkgr7-z|xTS5vf;Xv5BT z%TN`g$^Xo3;~5wQ+6q;VL-drI&-h3fUe=rrN z>^5D()S1exor))S$lMmUPd*xK>|eG~D1>B}RwSULXPYo<_3%7dY_TjZ#lgFqIf+6c zxT7aV8>gt1UsJgY-0qzl{f_QYxW%yA6r-z`ZmZ%@4KH|SrYN3?JQLowz2{I$IAjY) zD?a~}9R(N&+%3Vo7SYq3l3KRf%(S@CE&`6MrJxrE+(?jY#s5%*lmoA*{9QjTs})f} zr5%_MZuV*V$>|W5v|cNGYqDOZLG$MV(m|?vAfv?Y3AZ#8F>m|u%Bi%C$8i7-Y$v|z zF@qaQk|1krP#qhJgmHS$n%2qDx##O1!Hr76kJG)i8BLfEeXduN3yM7#CyWnSb}o`b z?NO;Z5~Zvc71yhaPajL%%mJ$o^-y8D;-o$D15Zj3RKWO_IFny(NB52^pgnGBxijnD zayu6z^8Jqm)F|90bcgDMQ8f$6pM#%&(=y>D_|RZppHu@k%yuQswX%`iJ-be7*zKSj z1la6gEI!u{X5)_8bZ6s_B?WOI;YwDevEuCFxQw6H1CeuB^jf;Sb(4iJl33AJieP_9 z+&}Gk?sTi5@WxVFMIR1j#QPl}qzNC24T$|2g}YEE>`b0gzL#fZ6em1StRrC+mJ(bR zHNX;U%7U2~plI0fF9|hv_!+ZB%`vvMJ-X;3JYk%+bn~I)2Tip^L5mjyf-{4+GsFJR zIzqy2{+$tC0QOV36>LB2u6DH;883+U1uc`mkHBiK2a`WkK^_gfquKcEJPj^A-#BxxCO zT3T1Ja#-U34*GMXYYqZBvY#75(Trnq;?YMxb>$W!nPw$EI^`B_Wj+a7rtIZ%t`E+B zg?&|WaU$NkJk5uz(wQ|%oWJcN5%0?V_p0P z=hm?-PgYRQxtQo|N*^kZZ&3c513T5F@wnH5l7*370w?XF1!cg3lcm9BDrD5#;1;x^ z=$j^zjbY^gSP|uG6M^3KY@Fxp`% zoi`hurb{H(j?yNatus6#YDbOFE#p_(4cu~EJPg{6vPFew8g&i}jw?%kV+7x;w5q|J zjWtZjNmm-3bbU&#xJ7BVw)Gr8w8!f-)g7z6&(Txky)W6epSy7Fu4JAPBRl_}BLI^z85N0tT?ogh0QNcA1iPrIL2d z-z=e_wR$Bnd%C|SGP*xRA$W(F%h8tjyV~xzB?A!sdWCN*t?4#{J2Xl@CFX21ULFeg z*$6pLOptnX!4-Gp%EdTj<_Z_FJUP~k#Nf*|b2!yrO^zW({Cx5;lZlzzkzV@jmez@L zaGL!({Tz?|4xbm-r=iwK@7d@#%(Rc28^zcP_O^^V6TrNQG@c(5e3gwR3t3|#ATcb& zQ6W$63axJb_%*gVU~Y+0Htf0t=(5htSG51->yrPx@MMnHc{IA$sw^LJLG88uqauL1 zW}9}DIOb18^^B3I7VA4x?W<#&h^2{U&|764uBia7dW~7ocf9h(&l@_^* zU<6~Z5V`r>Ps+`O<8@mopr&(YviN00%D1BWpAeUBEWh2Hf*l_~MoPCp(LU)AZkSvBT!_)r z@$t_7UF#2DZLy&Hh4Yo0Dy@wNt**md#tB!o%gsLB43vsLG6gv9HhzS9=zIpAUGp-o zlFyF0ta3^(`0`nm+N@ui2&y0T*uev|+Z$WQ8lTdWorhwYb9JZ7LcLz>vbu3iJEn2@ zr*g*NXuoy?4*SOlYAKa0Dv1cBWKR1J5I_)DcPsw{%J zaIh@Dd$>A?yy~v^AYeQ?^*6t0FOF3lb0Kw49k}tyK0Bpl6Qpn_O0bsN_=lucsaw|EIqQP_JV=3YMLp@qjmdF6fmXiWTr57+nuE-mp|x#vb> zr8jdpz_)v#I6J=GAl7B-0eJx44K!+*Z4?iDz>Qn-zx%jK{yw7WUJ^UQ7dftIXw(ru zM1}>xV|$|m&p~HT0!!Rj{v)PA%4a#B=u15t| z>vqBLp=M?DrhlILAY=yU1=aBUgX^aQDb$U z;}$2JV>`{c*LP+f1f#t=WCKuQTpXwTfvML~Iao;v;{m7msq7p`R@!*G6`M$x0e*9A zNSp|h!wBjyFXwfaC81vu(1==vu;;qzNPd0QBn}%kr=dDlFOYV-= z_U9SrTWo5Vf}1wllFWSn9c6I#r*G5M9Qs+#-N@{lbcIlK zs5k4$2Jv<+HXpXRqq$!Zt?lljj&#kB+=jZih11OGdoJ5A7V4}xbrIVJm#Gxz=g#Xt z&gZG5@!1@|$M+7{jLCK=q{Q1+iCmIn72TYop+KIf{lKS>HKXkZ^pu}~mPt6Mo6bN9 z>*O}B_SoS*Yuc9wwqi8g0s7&$Hknyn7$#~RDoMpUn*^lp=MOJ7_3fIQJ3Bz587bF} zZR=+(wtn3EJ0zFTmz>qR8=JeE$VSU}-j%6pH4xdEid19*z@NNJ@)q7lVW8tMeP2a# zK-LG}(25#|YF#t3JS5)2+r79PFI1|q09^@3PG+KGHZ>DC$Ke##geED`JtmD7>toAb z-Y0}4&FgaGLSfW*`~1Q!=XUTiG!A$f0!Ft)y-n&(79 zSt#;;GfkAJm%AV?`_(^GtV7Q~j`-H-Z3hB51Lf*FLsJ*`ZNw&!__%($K~-a&$Nk*c zif+HCUgCcE@q2FzyYQJi`N`+A#h|ZO3ict=OXg+#=E?QA8DAfK2V$vjdqP>C(cON| zYURRD+bd$mde;qEt32ShTU4O@D z+9gSq$fD4yF8;v1CX=8d@ImDD(pLB3$%8LpLvS#M!EL%Ip^1J>v3_AiYX0-6_btly zuTi}u>uUOKAP_WVUSW11+($2;yZUL_g5X)Afur*!jHa`f<2ffmh?#_B)y(OR@v<}2 zF!n6i%4nsa1>R!HlXb*L0BaJMei)CjULBO{<>?0!xrnbP_Fi0KQCd3eXdX|W6ImB@ z>5)xHkg*ax9>&Oh?T*io@}5|UtABd7Ru|n!&m!SFxyo!21%b3|RwXBCGth*x)L-Qn z0FR^Zqa4JzqpH3NM>%ZH4bKiEt)^70**d*Sn~}i~*4+2wvfdYxZ*r4Ee(OHeDmjpw zANr^r!hPjK`e#1R&)Bjwc&>Y-xi;SJS5se&FjLQp(4Xorr^-(4o8~%4*a6;oEwi61 zvBR5u*a|+5#f0^x4%8aQYL4C4J1|+uR^;f5Tb!<10~#h1EVdCb5QzGXkjddIKZH9v zUUw@r*}*jRMcpU1Z^S6umTge5HKW`2mf7?=n0YLjnN?9SaL?c3x!0dC*Y4lq^%XAb z+i{t^^d^PjsG|cNPw9 z8+2KSiXpOoN<0^o(7SFGbcU_*wdy{{gW3GPbWv4Sv(WZxiZ>_l$Ji4nkfs<@PGp9^ z-k2y6#+yK(2A}F;5|xv?syk)09OS~Fa5TPQkAG)7)7D4Ond4&UFt+o|HDKn4tzFEv zykGldoyZjNUgTL{+H%RAAO@%ZIPWy4x_(EX?x=PmXa&A~aUmUu#r!C%Z&OXI>=!rn zxn=re`Y0;R*e)run~gL17%V6iQ$4DaqkPp!gbRF0S~-ajqYh|_ZbYV&X*CKUM*ZRu zX5TyIhHfIju%>xht>)F_iH-A>lhpuKsdb~F!`evw+EIeE9#ew-UmH-zfBTe`RE+$?jIeOp#zsjN)3N`w7viZUY4$=yp%)1I$%uOK z8pOX@+=YHqplCv#fS~EM@a(^8x-IE$oT`@ufiiWObNtS94!%k?ucSYxGo^vmkqT~&X5Ia<=!MW@UDktUD& zX{%wrIuC9sCGX>+(R`dj-d=a3%F`w6m2o+qh_a7O>BGt3mrJaWFmuQepCyFbZ$504 zz0>QPAtn!Oxenupsp)7~)?+5N9hNqJ|Dj zbP#Fvoy7-kCE4F!UX1*~eahPGNO=F*Dr#(u`_R>CS)_tRoyF4Y%spN)1Sj;xcLqS) zl4tAGGFv1|VeQ={=v>_a-OA5F5tWq6SBIz|_TDa7R%%+?E+bWMdP$kKa!!W)G-t$) zzlrl!LkV3Ugo>TP#<;C9FI_lIBX1s$R#`Zg`P_ZLG)gcVYk$otSFYO1n^=Ff`Yv-Q z8k1hYu;Sp#w(XE>m}y%J5)~)T_{$-?pM4t0`_7nU?lN6Umys`V2XpOygkRhsX6Mlr zUiI)k9JzXPP0Cww)%PAVT!LMVG+2=w2gut?hI=RJiqU1q`*IPLlLGchAcSBj9qnQV z1?J7q8alSg+bR0@44YQU!*k|oF0%`#xy!n48W5zgL&uUet0t^0Zo|H%$T!jkIywnIbr628-x1TsOuXApTXq;H!f&%e8F*GzfZ)ZH*yog zkK6I?YzyrmfomKpmmaOB5hX^961$OEt~`=T{Jx1YF7yR2iKk877(Y~uKQK~I{1^W5 zoEW^vVUp$2>L1w#Q9g<2hSGBLRLb0;4hAVPX?w$qBEh?PT1F{L!W7})0|q2sRzv4JKHz@p7*x}uLRo|~f?o0! zPNzptIr95=Pja+55WWS_Fut7D^(`JFNLdW2i@&#!F}{Vd)Hc3_wX`z6 zg|qZBzLg=1It$&h-k2EXvJpXV&81Lfr5CAqHB$(KsN)GFNxNHk<}S7|CW0^aF(yJ_ zAgqX1Bq1i|G8%#Si2|wMa8#p*J3iP@t$iY-fY&Vun_Q>BW0M=<^>{>Flxqw%ZjeuQi$}oPCB^~pn_KteruX@RF#V_I`rdb9RHqvSJ4O8R z>p{XvuMxvwUFzlR{ed0Y-c;DU^J7)q`0U|L!)4NeYqsIs(BrUf1Mk??`pmC0*LsX+y(fJHM72Wck;dLG_$;vGA~`+))?CFtqksc zy4pf7#2O^-^1paIQBIh9c#sl)xz@n|KJWJDP2dj>cDh_xUG-m30DyNh_gii`m<@-0 zwOA*ECnJfpy@2N}uhWj3DyQd!*DJfvWL>Y_k0Osw&8HopiL=*nzvk1Iy{>y8=;djC zE%0tI@VNx^I=b<^)$&t_r*Vbslk+HRV8Bt{`s2Od>-EIh^9kT-h1Gu(8Q^_&YzGqY zK0DiZT)L3jvjQ|HgI945xI0aH1pV5$yItZvDRK)K1$Uu#uNR`)ZH~*QBk*B(J}f9Z zeCfSn4FDbM5AOQfH=X49$CW;B>Vq5bW(08aZ~<6&so|aYWdsZW9bDM#0Unl@(#Y02 zyZgoRu1b@}2ztFeaE$uOtucD#W%%QvU%1*|?^@G@x3bPhp`6k z9k-{n(3oU*@%Qp@9y~D`4b@0cbUkEQZNS0`J-(a_f_T6ciED5Q&3xK)BJpT19qA_4 z=w1kTJm&ovd}Y>U%__N6X~(bJNc& zR?^pt>u2=!53fBJWPvv?BK;x?_+o!wd7&FrBe{vDXXdp?*626RJ0Kw$g@WN|e&ag$||jrAI3 zjYfBMaUUIKWtC^UjcOJtVba|wyaE^>?v2LulM z_r?g9C|K}V)W#x|$-(?=7RG1_8JP7PZ1BY>W5M*C(pqr4Kfymp8-VKp355gwe@!r= zhUAP4uEhm7;DKxMwt+>6#^5*U-x|ct-NTf{)7sqrZyhx_4g+;yP%;bz1pFHey#1p? z1iUjhb7t{&_zzH}Y~~IcA_RmTE(8SDKbU{<#$a6Ne>cqHW^LkZ@wd_SdL2qNFd`Zp zF#iYtk5P4$zwkDWX0Ct30j?-o$Y>A{^TGcd!8Z;6z-Rx4TbNt^jqdHOuj>UPp1>7& zJN|FmKWJ3qzl?kRC;H2Dk|_!ph6WDk|A7C+8-ri|MteK{ZF(9fv*#9^-3x3F`+vay znC4~rchi7>4%pucV{m3s(>5C0oY=|4wr$(C?POxxp4hf++qUi8$v5wJ&iU~?@7Y!TqkHYWYIS$@ zUVUBF3euopXh1+fP(T8K+|m()-Ob0$$Za-5xJ{5y!PBDTr;oStVPDPNqI3Yl!bvYT90@e&=R8Rh0s zT~Y9;*@hCO`*F!Eao_}V%ySw?@lh-fCZw&YQ`+k5w->^WbM(U*&_ne^ zh6=Z>{JrxFxWa#gZ_yM7y5V2wlEHw0ApeEW#Mpt(!`3DyStK#r`fYF-RimgY+-P?lA4OC{1TCH z#iPRpHKnBA$_$y#b^fd5C~I@sDTpY^7(w>V)9kcpHh>tjHUk`d37owCbr+swyV;M4 z)~Y=tl#3f^3)X1{B(^@My<*lk)l&g1P}2$o^9qoD2+utPswCw|F|6@%>VXu>YVnvRhH6n`ee4GgT#9cqAkr|{d>)DQGv%(m5Xi7l!FGz)Ii*COVe6w{yiLYgEL zu$}`GgR37E-N<)xqS}n{?TK6nY0z>TnhaO-(u<>aemvN*B?l>aP~L;Aw4dg6k3Q8= zXewQ?N5=AN*qT2i#T=KA-aBrJN94Cy;$H6fqX3}J=LJSCWL0d8B7!3cP;Y4?k%t-U@x^xdL`=dfM0TVT=j zW*-ktRp4JG_p`CJZ^(+@v0<}oaLFR?lwK4FIM!{J%=eFf118;HhoS;26DoiX3H|;{ z_$=?Q8Uvz~KwPxIuS!b#+Zq4$LobP7`?uz-kNHU!v$NTZE|kXi@BSl<^537 zx!9{qhp*4K3A=8#ehxoV@4|K_9gp9`)WbpjVdeRih8b~=ww4sED_QrJ?l~R*Ja31s zaNp^p?SOyJ=hqv_Bg$dJZ0lz|Fy+w;oMgU`ki+BlBzs}&Amj_d0Lriqg*m$RvTt!{ z3cc)b-zagl&bAf@U#~|Xh~Y@I!l^pONt~PU-+GC9UfML&J@a`hW_#7FVI^92%rKzE z5V|EWuw|#tC92d7XsCJ%<%zRIdBJotTwT8sAPu2$UYdnQt7<8I-xg$ z5JD}Q)tsudq(mb|Ehz#@NVkrZeh|hii~%OJUpRIS%r^qpDvG-x zJHzhCg%M~ zW0v*aes0(nSIm<`dQY@Al0Mp|Bjy3t(%A3kT+ak&OtU;W)o?F3R#$|H`J`F&ilm`| z4RM%m4Z4W7t$5aCCCt#TfxOz~0OA%-wM^Td`X@)oo;qiMj>p8in{zRrffC5_j{ulk zBOwU$vEdtsv}3;Uvh%fEc`--mp5F-RPR;R43R-qBWL=@kW99h|MGVsz_uv_+GSaxlyH%-fC59AQ}?U5F3{h$>I$fu@5%R1GRs6i_DC3EBPIMkG+ zr8*dbgr)m01SbDZ)u@3|%{GOs{cEB9c*0YAnVyv?*MU2R$3s}-Kf^%tQ#0!_n|}sl zl~@dR)Ee5HhSbOvH0x3%RbpXGd8nZ}VgteA)?g?&%&4>X+Nxz*Ts2IH@|WP>q6ZQ- z|A>b)I13@sbHbqTn^9}J@Dpvh%Pke&allGDGC@!bgJzA(6?ntRJ+pK-pdnYFlEL>r5I!(C_36-R>O})G$N%?xc8fixnfV`Bwj(7i1T}>Lj|C zMxetHjYbM`+WS0VC?!K1jAc=xk3)9GQMKCv$|?m>_8WV5q>N3B+!xUbed}-oaqG$c z>mFXzACN73t54B=lFxrz^7BG~`*CXHWt;OfB<$a>oUM-cVQ%};$8`?C|A?~tc>T6r z{r+HP^L5C10!9QN@;<3wZ|D7-(xdr8or4kt>cJ5&K#BwCJ_tOmZs+uS%@)H}B?%tp ze%2N_{hi!y=LWgX2k}4hJYrv1&0pnq#aAQ||EXS9Kj#0VUS9HSgr8dYpHR+hA^-bp4S5h!3ya{kY5=gj+{3wl8gj)V_n1XQFkJ@-nC6z93jfOaiW(1G9BLltSMK+=hl z0g8-lX6c)xL*?~zO0Q@ASNSX#UsuJsg1mGO2K_0EkfR|JQA8@1)1T5>art)Pbf(`S z#p2-TY+SH-n!Ncwp6?;GMtr3zHyhfF(4 zL~S1|_}Wl(-R}#ZjE=Fros>l)QgMd5L-deU!>H7iECwaW+M0TGY8}#>@|saW*yf(2N`_X~@U8C^k=(voxZi9y~E7P)2#>m4zzIcgcp0zkF z!q_Kj>^9D$Q?zz#C$U*skk3OCmbWdaC%SY?C4W!w=$3ZG7P=NgHoB&^EY9ZibgtcI zvac2d1YQ;4k>4vbl~O-QxPFSq-#EyiCQrfl1%%@0Xz?js(o%~^&H|k3RSW#kJiw$B z`hL2im2t~~W!6LYJN?fuuRas2DYk^j4Cv$QjIGK~?88W4aBx&4mZ8a8Cg zX*pX~dNLB-c81mw=lE3pw?l8)iNUi(x zxc6rQ8Xsb`W;%`M*IZy|eLtLW&5{4%CLu<`I;xM z5E9lnas_b9;UsQus~#d{&qT8oatzOMNJ4+m&K=)xL9O$0Jq4In3EJ5&$wTYH{5|Sz zT^j_eygsZoRFjUE(BK2r?&|Mb>sFlYuA0SIUz00;=_zr8gzF}v2)RzBoH@jF#7 zd#EEtG_T7+8dzFUgC}L+Lm>?h^wh0n!5 z-FMjNjf$g~A5DpQFk08&p=VQgXT+sX>yK{Ih%N13Z{Y71&ON*#G{^Mh9;+s44Zuy? zd8EtU4F*tB`4aFyg*~EBIpdNo93`n&D75$caKW2Nt88=<$frX2_jvMki1+T!#&^ct zzP5LKuDi}DK4$Y-H5OU9J_ob;>+KH`y?61zrvmcM{%%`xLJcC0HsYvkU{9C!W7v7D zB0?5cBdjc8D6W=@a%kAlp1kf8YP{X7czCfRroj^RJ-?p^2&CHG@I9UY_TzWaRoQ;m z&b(1wg~?m6;C{OAdvNK+5`a{BCttHJ!1f!kWXYef%a`$V=IMbOGPmK+I*?R}Rp?cf z8!!o8cSnJ`wfS_w&KXv2a@pQCcJ9SuLB?5N&`=x>C*~-Y+g)}o@^Qx%)oppSzztk} z6AFBx+?dHg;uStP;6i|YY#l~DCPg{FxJZ`JPBwKyC!GEHPE;_S3%f;pzVBRmHf(*5 z{EPS~02EH&NG~7?IlZ_-T~TKK zw*Y{cTiuh&M*$IU)i0|DH?iTt z&e^;7_7Z;nLK05~9g`5YTsq>GBX-31m*)4EyWr^RS^51(>Q}tHyKg%|sPESsL;7;B;Awd zD?1t6yKKpOH&Pn;V-hh4r}yF$folGcU|D+iUC|WH8ai?+WGQ|n+%Wt!5cVoMbSx@M ztY{$h_N{E`Ly*<%ZH`q*eS$EvY{_SJyLb29EOMjg@-XPZAU4EYT8-BrZKQFyfqyVR zUG7z43L(gvF))Eao^DQ_!Um1#v`~b#KuHo#>7I8n02e1mp6_Y2hZ@}Vl&ME589vb9 zv6lzUDy6ye+IfEYdE=yP*&$o)aPN;VH^N$Zd`snyT(_W=p(746xQ7(D#|casuRgGy zuk#T{r>plujO}P8Z&*_)>2-DZlVG9Y?xJ0_R(0n~QRPH#&G!Z`t;FO%vG)E5`TG3h zv%f>Wdo-y9oZ3CZgu+zR;1djANvNpC>xv)V%=k` z*j)RHW>-amQF5esOdEwHz{0c2_AKLtuofQe4<*^MRl0#RrMO~iFqG6`R|ip{@fdIJ z*GYUWh{V%Te(J^!xVF_Y1~O9-y;(g+SAwRE@|v~iZe$7G^zY+8tZOQq1ZbcWi+|97 z91APTfnj+_wJpRl0?F`yPeJjFAdua~3-(~a-=%u|l_0+(rR{@4#LCK=Z?lPjgh>UF zH{xOKUnEvLHK?>+*U)Y718XFll-I$FUjXic5^p^Hz|qeo5x~ zW21T2$MyHg;?JceD6S@=GSmDE`I-_p5|t~^d-hy_E7DSxoxhFI(wdT&M-D%6>q8M6Y zlTnP@6U8ywqnK7U$k!G)=A@#Z^IIB-pb3Ml42^t~z6#?@mBzH8G?;MJP*HA`7IDiy12A~d6p zjN4z0+c8HT>$hMta@P6-8R4F|$ z)jf%gB+GyY`r^ec^-2{9m%$)QCuW)EAU4`|+eId;?imz1oYEbD+;K|xuVf!v;tRY4A7 zHEzUO;}M_l8R?AQWBiTkpmxHMqxtIYfm< z^@J>7P1LH6{lOw!pCsJ>Tqs^NFI;zP*~b=+HbX{O(@7{ypvqV{Z=7H17OTz|C2_h| z(L>c`h?KR*)#QBPU~#-6Pq37&8x4psW{;4z4&+6U#_g0;(V}&0rz7l67?SQv2)3&W zp$7HYL|X-I#{h2!(~t$ljzWQVVp!B;ic_<0_w{l6F5did+CXD& znt25j9yVIBGX5w5l^{j_-8tke!S<>UfJ|cjBc7KMmaxJc340in7A>Vlo@3)BaRv%@=5PLA~lMrLK{msGJEi@_x~_9GFAo zhz@V5i|hwF=X@LeRzmLPhslu!hBb@}W|3!~REY9;smf#+Z=g?IgUd&*NkDG;Bj9V(#bUX4pn`<0}mBY!&yjLhxINp02IGLUhcNn~U)v zZ2xL^4?+dnT{z2po+DqkZ^U&)DbBV366s$W{uS~Rt`;x!WJG=-1g%Q`KI}@nHcx~H8R(~$TaHMQ^MtT2ieNw z{C(^iM(}CzHN2bBe#t$ib=zyah7Hi?aWdEB*?5~cNa&b2P`sqPCY@QzRN~W*wi8u` zhl}z+JSlX>|08c_MRKn>&jLC~j1cmE_65CXoP2A*N1bV8pfNN$Hq&e56P-vfWiGlH z1(oW+=Vf&skU%ni+3?=6&oizccR3iQ*qB|Gl6i6L+0#Bk%x>!A+C%jx3ravQ(mNJ6A?C@DCl|Dik|GymJq5HVaO&*B2Q%j<@O}Ge z{p9My41*yp^3yqw0{sSbZJEGej8RNx!F_@|yKq|q*%L7_J{X$TZ%3?O1xDuYB z)I4l4RUoF=87?byjaOu)Zl&zKm|tO*@=LU8B^+5Q21eDWcBJg!@pI<3_m=aO?=}0h z{gwBW_Y`pGdl0~smb$0{sh`TCqP72n7A-JVXtq(kGWGts-ZYW^R7)g6U@PaXiI~Dc z$RVZ0YI%8<{i}9@P=Hq&K|uj7OokoAczq?R!TM~KGM!}&t$PhJRHRP@en6fk@=XmK zq#JY^W5L?6$B}fV@08Z0@m=b&FmE|!cee^K7iA|wcm_Xd*Wdvj*;2u=V2zeN>Rc>i ze4wk+Ry}k=V~dg8R_L$=AoA;h6r60U9(bcI=krGVoS*es=tW7txtp8TZrza4UZ>ot z@$f&8pF*O#b0HX-dTFR1@)1Fd&k;W?4{jo0nj+VHbzq(uO)+tCG6x?X?o)VLl@<|RVrfvSJAVp&1c0;$`bJdv3Md*;QFSVo6cp6; z9Sa)Da}+gY9jo6|E{sWhoZ)&{SGs0R4*6&s>tYJ*_vmOP0RJ8bJoOY)8#o^ieA}kH zMeZOT-u2I~MMN^_S$~%D^PRYG#COy`h8*gfyep;Pn)ZEFW5qT>qxxvB;2uYkmUIIqkm)4nO!ERW1Yvv<=%R zOsMD6z7nXj{~fnRxy_NV-6E&%TJb@gsD)C5*+GDjT<04wrU;JkZP3YuB@|S=66?$D~>VNnpu3mFpoj?OjCP?MJ6X2I#T#!XnZ(SZ>B!3Xu!W=OND_}OU~$ixoJUsXv+q= z&pC_tWnW7Cq#!m?VCimna_wx}1UX4gf@f$#?iMeM9q(JI_{5+$`w?O^8Blc(Yg>xx zC&%cOI~K$>Twa!ns&?FmRX`#aPrg~%uKBVXa1I;>#*#>!MM}@;Oi52?Cq-IQB%-4V zoWqP10AN*bRgYcgib=c%I(yQ;LK~JIUF9Nr*k9s{_sgxEc;kHyN9KP@ISJNRMjMVA zeag8-8_@Jo`EakHin|ICHF0p;vWRU_)g z@wCN5>8~M7Rr4BYpWLd|%xu+=G3<1@;_O*=0VlSX2EN>aEveJyLx^%XFo|1bjHr`* zF`I!pEx+E8ZeOUqQw~SUz6%$SRFU(m`AFR&=%@QhS{2FsNN?ZW@C0xorF>Mr!R(aE z-d^`8p8}uCWo~9LII8mLTqhjqQM~omX+^4h5^QZK%L=7f^y5D|^Ls+!fIG`u_mLjF z0$t~}7Anj~8b|ZC9_xqnvw{^xMhl3z)?#ubGKPomlwee;w6BCESGagU(|cg56=OD- zH0}GG?JDDc$Ip^MHjmK#{bdKW`CzW*e7nv8nWk#Hu1GVWu_2+8BbV)l#_*LZUFyI@ zZy+`W=>}M1YOl0Bss7^RpHIa4{`06+Xx^|$_tFUG8YS!`%asicgtL?M0b$s6lF{!e z*e$&}>#?snLmAu8;mDEdJ))3^?&W^&{Y`L`tE(rjLKH?zY6sKk!R_aGsL43?g|&AP zuEc4TNa$qC!!@;*--nxg!EBCnX?{iDh;mGs^~J$81qVg;?1RrYdhH9!YEr>kT6!K3)^0c49A;j4SGN&zl4LCQZ&#} z1z9A1kW~smZoTONl2~o=fxvkPUSx`vX-jenEBwqVro__#L`pXOaCq_&`{M8h?>#3% z+ixb@7E4%bhJee}*LMyVNu@l{+nv>$Bu_m(OzlF-Dg5{RQtb81`-QRLtGh^X+V7ugzq^uVI}fBuqd3Re6E%2+@|+yOm>?mSOFhx+Y}5(s z=D)`ZQ1#;D(M?Qm6j;@jbT+zBOWkuH^*)s@OfG5E?JyJwRCrX0F?hL~us-ZxClsAn zuXOSQ2#`6a)_47(_nV_TlpvD)tzjk$Z@73?MAF@q;7!}@205^6S@vB!jBOJj#O?F*kZ&<7SjJj%LI z->NiC^D!NrmNV7IBNV<x4Qls&(!dPBcK;7>=3sTG0uqiPvFon0OtkAVsBl7k!araR_uV&iSsw? zz;`pXtAj*dU=_8w#3V2V@I<*J2K%jt(lM{@N(JyFxu7UeSfh{823H^r!`%&Nx@hEd zDSP{YJU7y?T>i8%reHz)bWfJPyas&caR9jzZ0sckSD=TD8MNrn=x)1{niHA@)@*Zkrw}(pV z_gvgSvH%>K!w)`ZtRogSaGw|kj%Y=b`*zt~$U);(5O9GJ*jNq?r&mJ*q?PNzrlIl?T=h*DepeKAfFUV=)>biCA=_X~0#_;GfFft&Ff{UH#`c)_V&DN*&r-4Th#9vDM2M94 zwm9gwGQlQP%#Oc%{%n;Dr&#*OjeIYx_xqfl)En8e*|AbZ_Zu+w$7LSUZ;DBa7MmYwJfwoQ{CtM^sKlb zbZOx5-lJw9f04oYVcf9jZG`sejcKI^t7u@u`a9Ot6=Nx`M=+M+Kibz))dEIbC1ob#VFFg4yc~h_Y-tPXSS5K*arb zm{{*EIZt99%$V1<(|vV5+(QRcVZfp-xBuW=j4>7_Kjtk)0-Olm;?wHEY9Xin!Rhb$ z#X=D+d<1bQ;KzdI76ywG#J;<2Do%U+%`gsf3uH`^?pAgog}xSNCb*G+Rt>V%PCEu+ zmBjK_gLSS@sPotKY1su5-9;#L{^*O2v6!9<-_PkEfdZ$Lt%FlxbEokl?#YAu=>-YZN^-sAJ;(=H&SwH?f$a!-g8 zpfbsIcNWaQ=u#iU8YsnW|+WUrzrybL2mt7`5xyj9TjFBB{V z@;<$%WJL1GFni=k_iMz!2zgM?lx0p1F41^gSHt(y-Ti??(4_+stN^bIerJ~@2cNz?D?Q#q)lP>@=!7%Tl=!;nWzS%P_Xx`T%j znv}>R$bv9)FCVJwC0NpX&(RFq#`U^T!)4l%3;i)HxYYgZ=3yzN!%n_!&iz{3=32qE zhE)D(Q{bdpPd^s7a zS+!JWKCEs3JU~??evIOn)nt@Xf1xsuq(#`LK}*WMj&D7U4+WmLVmn_51TilzZT!O6saNo%XmqvrCBZJw1?tU?--b)5B0EN; z&C$CMEr)ip^nL}&;swS*hid0bjC+N}Ojo9QnTEvDxmIDx>`by4ZikXU#VB+NA0n>3 zg&$XBa;XgzMGIv9%J@RH(H()V#jSp$U3#rQR@RjxG>#ooObXmGS&ty)Bv2oIcg{C^ z;S_-hI25JsMBz%dNTepRp~D1s(mq3dxKZ!g<(c7gc{4Y$a`MUZtIDqby_TV@eGmVi z9E;T2cTPJD5YU+E{|^u5VPf2!t!;lGg%PqlTl+i0Gu>0-Ut=mDb_&cuL@L0elbB?Q zr)kg$S_&=JvWO`P*p*MQl}X`VtWhnDT)JpVWNGGq*|ENM!WtDkz%RH%5*c@A%6s0f zocGv^Em3zrTK;U>22VT9y5r<{9XK7NdSE*}T;AZ=o$uuvO2Ayay*(t2)P3~%^nof2 z_NDll{^5JM*&3&k3p^WS-z8D}a3bK#UgVdeuY0DKMe*ep`CN8(ct;EiwT?6Eqy8c! z=uZ0$^Ad3hdH-Vhb862xD-Y__`YBK3DceI3ILUv-Cuz(!Zr5q9A82nAFgd@ZNhi_u zfpYW{9hlYIrW7m;b@sb9JyES(tN$h*f{r;0nQ^12nERusyOp~+8`kbCh5MsdPR|1B zXP%5qc?Pv37H46D)lt-3moRHAlEnQ!}Ubel>0+ zkH3$mGQwE3E7qio9Je;pLT&g{;E^1)7JRg_q)+vpj&vz0K8jwrixmzhY)Q$({N{>`k5E&b~S>=z@=uN$7*GS zhiaejy8Ex2j}Cm2I@9Ps;BfA~y3Ne+QPDS*3Aj56x*2oIEj8|koYZSnIA#7?2{Ut#(kNGPlnoawqOQ3X?Z0FgNm*CR#WLsS z^%2hNUew2t%dhJSE;mZ{9aK-Bu5LgMm6%JdY8d;Pqq+*Rrkb)&jMzE5@2lb*%RMz% zSF2gn(L8hpWT>Y#B+1Q!_%iE5GuKsll zk8tmK-crHl{hCRF0+>6q;^)icSLoNuw&sqLmCuzTx^?G)T{nQ$c7Q|BWYOPsp?smx?r`1=f$mbcX!D*8LFR5gr)f;Vn=y3fI7f_B5wd> z7sL{5EL}so1k#0~)Po!_2L_JUu_dsrkc_OZk^q646)a^mXPK%E_|@;(>~UYlf8*op zxS`&V&GtP(8|HB`8n z;!g-noW`t1y?O9Era1M7fHT8IHxw z(v>JkRhKh>bxjcL@c0!9!c1m6~ebPs|5OtD5*kiNn3`9=77hno$Y^eT&!YOa`H z0D2GU&AFF8wd@+e#(^w;n--JKW4*T68XpV9;R`?Wnu;a>W?P`uWtvF%FWliG#)io{ z6;VLeS?UH@V0AtloPqUkEvIQu1Yajvf3ol~*|e|6xSH)B%%-Ohy58(e*^CMgGXH8R z)a+rifDgyk_1`KNCDt9xuYdOcd0W2@boVAXYUHL_YJrKHAv(^`*fnRG+Ioz)NIxFT z`Dc13ddkMh!WCCG&2UFZvhvYFEOzB!44Wf^ZZ;c45|up=V^cl5qxzR?gWaIcqfnZ4 zEHERoyDSDy_oCh5m_}=WNypS9gjDvLEoa91(cC|Sg%vqf5c#g{6#`Yyrc)D!_(%sl zsW;?hk3yrJMNCV9c+?@VTS`myBnRfP@6%6Jq^l*JL5&ckSc%Ge`=snF*l9ou-Kq|V zMgdUc3jhnY@~MSxvV-wB%lkp$7FiaLG;v~jF;^y;uHPGwspQ?D&7=Y$>l{rvRz4g`A{jDevj+n7 z)B^XLo%pnSJu8~8+LPN=pow@I^p14gx!(Hb`u7CQM1Gv!%k3FcA0$xu(WOl|dVC$s z|EM9BQY78363R&VsXOz>{;x!dMr$N`)Srhc%CiR4u8?Q7y?Iqpkc|9-5{NGVr3S0qc*vWIw8D=bT07&;>J zI-IZ z5|oRxlKe>^bD%_v^Dm;i2w2$^IvgDko#JY)te)7sc#gpQ7dz~ZEeGi46sS~eA1t`( zbUNj(H17IYX7codbHc_wq3#_OAG&b3w5wyNREC5~4yJ>)zJEBpQ38!X41})0qNm^x zu}{=>{jmE9?pK%VuBjd(DC*F>X$<1RsdYdbqe*A%qUulp_`})5Fpgygyhnm*-sKeH z0)#7)Xd1d6=Zz`|`9{AX>ZIL|Rwt})2SR~1`SK8uk`nR>C zc&_q7SP5G=$$KpNWeHfI^5HB!H%N*8MPSdRtD4MHUEIrJnWOVI+_7wb*cCYbv>z*UY?pAg`C&TWQy0CxoCA7?dY6d>VW>rHGrXX>fRJ2<_MEF^0 z)x#+y|K3~v#!spwjc4~(Sb@Ho*LhU%ZN>iFR8!vTZ|-kCmr`uo!2ElqjBCkr6G}8w z#3htraezwFHnCO%hI#GPH+czJpxlc9t)lOc0@)_K(Vn#;1#?g##Lbt<9eNww4{m3q?O|eScGWGJTs`iHzp|XJ=U#||hzcACq(KOcLb!ee z2;&aG)>!n`J;TPgQ*|wrR1`%Dh6*Pn1SS-nUolxf9oz9NPkH4M-+3F;^SCTdeHnmt zJSTJK+j+y~Bzc&nJcxRu@4z7i!i=bKfBbZc{`ZXee_XWo0IF}l-T~+AJwMg_A2WdU zJbyrz{^tyV@8S2jd+#fS{-@wBf!`0#?&r$tzn6R8HV}KBw{LyUrS(5|R(s#8`9DVt z+41QR!UpX)bP&VreJ*EvUcz>HzZ(7KtNjc4zXq&)p8tIlMBn4_c(Q+==Xbg3@3Cf! zxjF5`@pa>lVBh;*8m9lfc#G>cIcWD-Z1`Dh=jh?-5Lt$Ht5j{_rnZ_<4<5wqmwhogCi(xI23|`96Ch?c3h3+;(Gq%Bs;PJ9!FE|90Sf zit_7*BTEe%Wv38V=Lycg9I4T_LFiN!x$X7wetPV;ca+fGv02%+Vy0ow_a(lek3}q}pLFcpiXLo3@C5nZ z7{`LAT57rwpWt&Ey~)kihxE}lJ+-29&*q0J)~4+Qvj4Qbnu|5Sej{({__bQen9RZH z<>uXbhyvKJ-1NIz&3djYz~YA1=i=n-Y|n=qG~|aHY|)O(B8*>5at0Yya99H) zQYHXx;qK(@Bnuqbag92*s>iapDpePMovm@7svhWyHb$E8+EmPwbDzDb9M=phxK!PZ z$zll2j8k3$Hw1I4-?B;7#vVGKZgg~BL2fQqQ}^w~9jt2&Gnc=yo4L8Qv$*m_x?zK} z`xO{!gOHca-+p6M-ovdEw`tdW(YmB;6sl?xs1SPWwFc2%CFCpbCZ1;gYEDr_Da;xo z$RRIJr`Oxd+u7cYI6%%9ZpIva*n(&TYnrF0LqMRrm7QetWT@fW`m0qC*wd4z+xNPv zm*4aH?BXJNsZc+J(XRD!xntAD(yjz_!n$FbYls&!^jX=^X)+hx)w z2^}73t7ettG#knMsUTG;`>VXYXE#_x7j~+0dhZ>-(%j?Uh~Pyb7^=pzk-w&bQM2;1 zbg?^`7}H3}RA?9MhHmVJ;IcMVj-u9HjU_~{RiqJJ{!V_bBfXT`8U~lHNPsbHZ$C%C z(-*0lfK@Y;@-{r6j&@66EA`2s`D!q{Rq$}8_C`_~1XayA{;@%O&LuIQqqoGA$E~Pv zbJ%DT(p3*-BS9BC$n|Vgb#4oM9VnC@8u4MxWzed9C%6Dru+k295C*ZusuK=y=ml{x z_bYAHit(ihqOFg?LAH{CHA|I2WKd`n)NI|p|GD^@h1O%ogsCNi`K z!s;q0V;xrylU5@UqYA??-jHNH5red0KG1Y-%}-K_rAjicCJKAb2m%e-SJGD}G*Z3k zJUT2%LCr*+{V+51-{ETh4K)Xj*s>Xd!pMeB+}I@@1jFZRS9Lrab(-0FyE*x}?d~Qm zYbHo)y!PYI|01_)D+SnyGM$fJTQ%z@1$e(~C|X>9XRDdirPSJeIJRruN8-V7LbE*0 zOx#_uqD)eSn?k|hw1f={JTjU>ZIh>B9F=HS1&yDNJz%o23V<*<4PmU9>;(0Mw8O$^ zYOUoYjO&C6WgS~VZDL&u>KX*q=;&|X)L6dPei~F@ZkjS!2nG%A(bF|Ffc+5L%n45A zzj4tFnx{oWETTr6tdWe*#0D=^Ri&|Z8NPj=z+)d}qLmfu1@n?#!4Ba;R?4er2pV$3 zliQ;vn~2KjTwM~T8@R9;GCr)lU75-LUXM1Y4s1$mst%TlErmB1?mXv`DA$HF2?@`1 z%Z9HmQZT?HHTDZZb~X@geDKBIdxkD!(l~UvTx{(a+EwV z64o1-zMj8%iLJUOA0tW+Hi)r6)WF-x&C})2kANCswfJBFGlfnimr-65ilV3^Qf|N- zjeR*&MY>c!9O-@=gRT8}ndK4xdENgxA^-OHo_9W_=YQSm{h5sWT2WsQ~iw}{#_U^kouqB?0$f|qt2hRJil9Q|EFn!cz1SueBaN_u zc`l+V9^dN#EWxouZMeGz}|M~xqp36AD>{9SQ(utR4FhC=iFUGBcce>QvH z5r1AMXZg9{B7nMo=6~-04`Xiu6vy*+jp7mr3GN;sxVyVUaCdiicZc8}Ah^3b1b26L zS=?FRZhn7x-}}{9w{BHWpXWT?(>6UUd!~E#Qt0I^?!EeB*naqyCv+=l=h5)V2g{c~ zl;3|x9LpEHpWg6^4AJjv{~cyewf>VZmT&aV7o#UqtoQGs{GmH>Mo(r8KzKyI1oYq? z@wm;`r|m?+0j3W=)wCeYh^P76-csLKm4j_)7$mvxZ@&`w3h%t6J;g1`jHLWRX8EID zuPG5f@Iv`xcBb^7>apHE5D5*RI5FlwZq$RfgZsGhN>C%W)MN~o=S#Ji`>)!c4uu6YP zM^=VAC+oGN;|=q@9oLt-9dn#4<}yF16X^vw|6~+iEfWD0~`ql4({d1^6SR(``%s` z&&I)P@VvL)840zq?9&my?a3Dt=1B7MW%>fWU*ehlh~7A&`9qbxB?iJk5ig$NH8DD& z;`R0Q(QI7lQ(9zP>EZP?eF32ouThzq%stKAa%$->lQOgPQ;j0el>eB#?*n(B^yE{G zLQmB=KgzeXf2`79E(t)cg?hRB3MJk%<*EOenL|19{6(H@rN3m$%n}D1CGV{jc{7!# zQjXsIeP!;gm3Y^br`Q(iW&bhNr(Ox)GC$t^$nzgxXLQ2Jb3Vl11=ip9Yzf&PTT+KA zxi97&j1GOC{BP$baoJZ}st0XYE;1YvqrD8*A@*W1*;`t21^`iR?;Czp#&Y zo%h!@;A8j)!R;36yVt1SR6+3R|i8ND4s z15-EnR!M%_xw!Vby_mbbiMzdWZGaZ=(e3H-@@A`h$j>kC!(-Lu1Mu-D zBUO7%?>Woa#>>%W1o==X#>(oc1{TZL-i8%8cfQ&+u2^P78E?7i|-P}l^& zZzRw8rD_-JGv!EgtnULK!Nbzi<(C7q5AcsSgHFKo-4f?>)$6+7uwVYs+voS!FHe_* z*IRZsdRwH`KG$l`c6WXG;sl+yK0G&e*Lr|de#f_)<0!L_*YLy{)(@L^TwV|r@8)Sc zpP~N<1G0M_`HlOT`P|t#-N-*(x;<52t?Id(>At!>+W|_&w|HO9W-{Y+z3whSqvuE+ zeEH4~Y`fbCYYsKxO!0l+QTGhoqTTA`XutFWdheb45~U-977M)M*7=@3-$J)m8;hb$ zBVYA?I);b4hYCye53-W_P!@q9tRV^dGCb_>&&9OujE-&J7KzC5mPmlj^I?DdW`u(c zJl+KZ?45Dw6ISyNqQX-Ca<}Ct)DwrXn>yQ?lJpDfdJd9)H`+sTb4_m)lUYIH%1l{Srd~Y24BsqKCUDChedX0Mj z{u#;e1!}(39>qU_f6;r|u7v@FTEPN6mcT)S<@Lv}GUz&WYq8h;JkN#geV6;TZcA!p zOOoH0{q4!?WazSx(2xJEMV{Z6|NV#Wqu(ppwwqn#)>}XhN_RVleH=lz+2GpeN$ugJ z_0{7iG5?LfvHtg1JE&#W*jRe-nd?S;;A|!4!^t8-ofdLQ8+t(5Ul0{IPr;W=vh93& z>NIT@0tCo44iSjuo*F>42-k)oZ_|O8J`L|Tq6hn&FW$ICWTuEo^JxM|5j(yhZ=F_ax`;=Q-pT;uU#s3D=)ObwOwe_ys?s zNoxshBnJ5jE`&YBiC22#G$dTFj4%>9t<)rab1FZKZ=U`3!&M)N@oV%1`5?Sd8e(qW z2_fr;C>dVuKaKMxRHb=0L{1p4s43d)$J@UTl@5nJ4-Gv%f?v*)XmW4+WHecB@>?Jr z;Fw$Obx-<8!}5IRcjw;5;Ttk>0=o8ezLC9O6UXiv=68?t^WD%5IKr+U#rgrYBaite zkT<~HykwH+9dyPMh1=C7V=oMQ2~Q`Bmpx;zk<^KbfqQ$Dv#-Z-M6<6ok#~G~B%NR0 z8pS<7cC^Jjc||>+FG?OiK5i~bc13+9p!5la!I#6NSkOsB;p~4RgJR_!StyR-@FS+n z{r7J7Z4^w+X#SFyxBk|lSHzoIJY%RbL9tvMV<=zapn}uKI5>V9l0J^%>9H@V+q7cA z$lCz}dE)-C7-0kfRNgUQVc`zP$lG79#TlK;-iNrlfZ^yqJ|bdzQ1dgG#pTCQb1HWO z7WjI;^Ojfnb%GG5|6Hn*GC{esryC>HAi~7YC_mVT|2ot@JHAlxc6opFPx$i}T_3bN zGH?GvE{;WW`BR!d>ti?_mI?kmv=(Li(PjtZ4d*l$N9d6DxjvMxiyR#kXsSlD)BT)DqcW=Y)YRj+3?<4%fH}T_w5Vk+_(-(D|8PsTlYYL^W zKt^u3cL`i5KgA6Ttf-F~c3j_vwv{XY!n?Js=p8#mZ=FDu-*Jy#=zJ5^+n?%|0YedQEi?k4n0Qh&xYs__0epj7oG z>-0N*FOO<&TR7TE{CyCFM@v{k6xIB6T_e1-xqIs{sY|r01bei9J~Jt;rc3F{}FOeLd{dVkG z*N03E8?4IKR=*|c3r=|HrC*eEJbKy>f?oKltg2GTC&d1O2r%+OD0|WdOafj{S^)6q zWGHnmZYi;2K(eI3@#4cy#Q%BYY;e!osu4hC@l2$olmyn-)*i{|?d@llDs!(2&%w;Fks z-dqjmQx4~ME%UwaCR;sNUNiDa@_%wERg!p5ss_t<`iLHDiasrIm%{CV%F1a(+cC={ zVR*@f12%(_n5%KNS=3TbcrW7NApt9{ISQ=ACML z?h+dgps83agy$jU>Ir_*e>yxJ65~a(zSplLGSTO?nBUV^qK)Z}O=-e1uR{<$W7=D_-}{$8+e9~I7`KMw+mIHwK=xaiech^GF zV`pYY0$#kNlJ1*M9;KYIBFh1@owyPoDND%Qmy8=%mIH;^Bt2W!gPHbH%04BJ+edQQ zkzZ2Xab=)yASI(_A!YV2f-0M_Wk74iWIYR&12fQ$a*yYMN1u-z3GoBH4Fp;2$5mh7 zcTkm62(qAt7IomuKD*B*(Klq=T)QbK1?SvccClGVt@=DLQHI%xDF>8T-3~ zopKaYOVs1Cz2y6D$G+c38v|u|r_AWlCa5cN|Lux+ViC}?oRZK7lU1~Z^5c>{xTu01 z)MNU;H9_Z5X8BGhHRn_2$Y$JFRTgUvKtb-xWM$Zvie^S@PpAmq{eoez8& zT-q6eT<#B|$D{f6dK^VRKu>1Bj8{v(=PIzx7F2lm30*H`m+Z(B66aEIuvS^4#{jy= z7DqnQsjS|@?DXZ99%cr(;Ac4VV>dbVW6uxx==Xg67}6-bB%={SK7zj=y|d)^K6SlB zP5-f=r}F&F&58RW1K(-){ti4%dFNi^<>caQ@6N?Mn-k}S8rNy-YVU0AK3-%7YCl&_ zJpvVw!!AvqHjhqTndtb{e2d;Xuze41-?rVV zA5SKWpQV{;C(iaR9&FuQ{Cqtg7aX#tgb3|3MrNnXP7dqOXEqMJFb6ZTaapd_{9YE$ipcuHW5xQw^Fz541xa==vE_^K!Z$Dcxrd1l_Ezs-@YEWA31B~$_=myUBT(0GG_)``1$}AuCx!fo5W_qHssCke4?&w>p1!(EYz)CNO=BiJwHX%}L|Xje$u71aFW` zq97=g4t1d$>u{#D4Pe}&8}z1D=t#qhe8O9#Z@*WMyvJ*DTG=$B9CcFfu2`cRaFb5l z@Z29HT_R|`87>r$B{)H_a9$}KtKvx^;cQpx6n7;m? zq0}s2)XCMgPBCYhBSR$jiag|8@AJFFmT%59N9N3j2|!8_d2z@L$d~Wudl6yW^e*zb zvsf12MLU@=TLl4N!t5rl==?F z;ioRCkUjNk=8HB1B8TELSyQiJ6KEsPz-XW;QYK~Stp|1Bt@K;Xb>{p}surOal{x0Z z0NbTI0m5aB2JMw7-WzAH{gcPxdU?M=-WwW_u}V7S8OM{!dvk6SMVXN~A#%MAYTpA* z^T-WGYZy!fj4Tm{aNPK9Ug7(UoAiS2k?CovS*_DZ6$N^HvjC;oSa-d zP2j&d2@>`$e#d1Asq0ldhErI_@k;$%Zsgv>fVYj$ z-Tf(Kwc1dT%W5EN zT6G-W=D#2g%F$n$#=QP7K)etCQ_4J-C4i+$d&J#evsNLkNmAer7BY+aDfFoNy(D5Xh^l)*3s3s2n;K+X`Q$`=SnhV)2R|DI9NMMxHwtGiX3gC!8roS_@nc-Y^EYP9-TpCQ z^EZ0UQybxSTXYtc{Ob*c@tVh^VL{Bv;id;{rdEV8z@^zJog^?M5yl<{ zT0^X;eq7OPq^w>>&kWmtk_jx^1dMA8%pUdY(5E^Ev|!xO7DjVNUBo`DwMCq@MN1>2 z#@gC%T}@IImAaLHvgnJd%8ROsK{eGq>hhT61sGgi87!NclY!tF4qQEvn)?B$MYcug za3dQdSuhT2h(8W*6@*`h0JhPt8rej#YbGXv9JZ+{@Y@xVAa51O+fAgKW%wyG%pmeN z^t!zLbuw7jK-zSJjUj6&oN6#rz?d4ECw(ZIRt1INqO&7~LNm|WE2M); zlOa=Mj)L2U7)4&2H};PS{{O+Gputz!mJI|=T5K5lZ62Ad=Qof#`%kC$ShJj>e(8T6 zuUY1=As%iWAP)uzhl(b;3!13k;o7}mW1##&5)IpkLyQ7^#jVNGx`TQZ=VdzuHE;Jb zseJ8E_DvV2^;3sJaxfeAZc9{fb65r9Q_Bcq^=Mo>Q*4aigHgQwLYOtgCp9Z0H8X;F z2+OmelA*L_kmQX*2MW~MRap^|Gr$|NH>5_uRwr#wM7pDo6K^S&49vJV+c-J7co7)a z9KIVSh&xKfm;_>~gbrA5yR7f2Gb;m!C}4St0;FY%D@iHHnD^ zc`eHUqcuN7&$C>XlR+aiy9{$x6c0LU^SrJUgO^dY(m)lF)EIHI#%p=gOkIXC#Vu46 zHf94{-XS!`iIFcy=+6TI;pjc+Wpi*{pgFVdZl_bf-9!OgIlu*8POJ>9jM#9}SUOPq zaYkESPhVhNf6qR>*%|2D;R-v$x3%Y}rk4)W;#^x`#FXI`LI< zR`PJP6Px@X3CG&9p|nu8(%RBS2{&`ql#)6U%ED2!pGA#D#t8&rsd{FW`;%?D>l$il z+*Sa$#nsCS>Vs?-kM=!MW09*B4)SSFSvQ?r)&!0QF_f@HiQR3Sk#Cx$>F2zTSHm-; z@z9cy0W>~nqKxC3;_J)Pi48tQ}wSYOQL&Rn_T~xOqavTON zdAvXiWyFPK2S|Z5;kP^eUzj|j$oTk^_biY14@?<}-{wfNe58wj094SsirE~M7-}*H zi$a9(zu@*Iketfl;DUZNxJ&>s*Ctpa!~o2ObcqVCe3}Xd(tJ%5JpjDHrZTdds(~x( zFLybIoo;G86{r;|Cx!HHs#FO>2D8yBm*WRzpaTD!0SLe&JhusV`MO3~JvZye_Ppe{=c|d))U!uOX zQRr!}ifJAJzieaMAcyTZTeTmvRdrqaeclpQ(ImR!@MXn1s&0?q6AA5Ttk*Da({~1D zk70dNCRkH)r+F#(A z!L!?6@>ZHcCPh!(pbl7vka+NjlSb2x+6!8Vg0J1=wlZmg`6Ef=vqLi#w5$5Mec>Qd z6s$I7eZQfg8Wo_%JrFf2nY0#oBbG>3d1v0C&lH0xQxxVs&ZG0<>4eFovw^{G(`RRA zE4;Hi&uV`?1b4yqSiCe;ytEL+``LQm_H6R1?u591Dz?uL0rC>e*Lt6Vha)i2pB#98 zvjWfx+h8$3ecHd+6;iF?&K3!AScr$e{M?Zp85jrCWz99IwaPkggMni4Qa8Bod4kUnOh8|TjS)5jotSv3jZ zs%9L&Y3CmVY~#>U$g^rr##lCFF)o;M#+A*8rtAb0=xFP1t&7<#@Gipz^76vpQdL!$*5#6p>TFh%m^I>P~4 zi))+BGR-U?fy{SE9!_nWdrm`8kBUCUX=-C@q5C$rFf~ziSUH$jt2{05N?BWIOIb(! zFwBJ5v*^wuuU(<17AI4h!A-Pugi6j!S1X;FwrNzgS3}iMY4GRO?-(l8 z4107f*_Oxway)wMwy&Z39dOf>kiG5!d8mkiF~9sdvh}|%fR-_W!Kor&vEZRI%C|&v zP~b`96EHKz7Rn8&mt_u3r!gQF$T9rn>J>PJGAhkC`Wjg4K7)+VtKQ+w`E^&P3)iym zgvO_hK`52L#t{%t9jEQNe;gkq{y=aN>l9yYq5Q4oJ!si68K$;#%$2VFs!h9U8$2PB zi^iI*zGf^vqi0@PF{AV63G$6i&ljD}BpdeUHZytoNl;;* zI^B6?9_fIIYEHtZOzh1&OA0{oWCOGmPOnju$zQ*j%;e(^B!@H2#1AB@_3?W={YxcY zChGUtr<7$zOCk%fRTS9sTXfMUn9E4~#1<&Q^A8e=b;Cn9i%dSYtf5(R8tg%>NXh@Kyn{N?pzJ?4ZAyJN)GlZc zV?~y_-bD|Xd7e3GMXH2b$V1QLY9LY0A^lo5g$%cF1Q&ej1SNFO36b}L7x2R;wkLo3 z2oCXV4kz^9;&cB?L#Tm|WzP6ls82O z-KUi!w)#W|uH5FJxMdCuP2w3&>VHCb0gasMiWC2CcdLLbx>>tWqte#THbm!BT$Gd< zIUiw+V&6dA;x7GxQhIfVQ-mTmsh#8h#d z`TiVfMBMEPdxxe-{3Wjc5Y;q^V^lNZp1HE|K6Bdos|Koi2Bh)x@0Z+yi@v|zy};IJ z0cKxm&%A}C8%iQb@%4}fnAA0W;zjuuMEa%P4Hdo$U@SlwD@X}o5UL~|pb0ol-+a$e z_!|?dfMOZE1|C#cT6vs~e)Qt*L**7Q33WwS9RELL`L27<^53#PM0VLIP$oY_$}$B# za2GKduojmZNh+#pMAUT*B5KL}K5!cqci+3ga5m6su?2|f0R&`>Df$g{aI0)%K8V2wZdPH?Irz31Y z(F#rfL1qo0z|fh9<@lxU+{m-*Za5>15xo}yo%(`3h)O+fqlRY!U7s36-D{cq*)O;j z=UCPRhc`4ibM+5^>Q5Sk8@i#)6Mh-3_#Gx4!rCWrerxJ&H&20r9)!}uUR@=oqy%UP zr@)*7?z~rLqKX1s16E9ARc1^B)+x|?_K5qW_DCx3nEPIIBU-8!7)X(la`aU^f>qQ= zD!2#?SjXY0^F-7m82Y5P=iKYY*m_mcoObM`tgv`hF=+ZUbIuYODyLY>?>PyQEPP_! zhw0mXRR2Mk)l*=QdAhjE;Cn!FFfpaj1~j8qY4^jcHHXV`+!Vrvb)lgSryBlFZARTp zNJMXVei=cX=6cq%bV|230a9q&V4zm9rNWCVlHo}v+kaE(i&y?j>VpPFIdCv{0LdHS zpcOoxp1uoNgkrl{eC&wR55~-SfDu!nwZw_wCW=gC=tOppBdKuIs$jky%LH<5+0$S* zfgIQ3BEEPelGb`#oEA&ZJ}u_Oncfixr-j@At+Nz^d=z`+^ljA)METTQhD{{O-61jG zzIbV@FK$Ub6-ZyA+lQH=jxsv?AI1T|(=juO=I1W6d}_?dh-Stdz0&qH=DAH2ownRV z*S>{k<8w{V7ZglIv-jvAT-4aJF;Ujli#Ctd1<_BT3nq|a{i@oXmqxiu_KRSCu~p#5 zXOgT8vu0HpT*vr1vdAwyso!T88K+?vM5uowy*w!?!TKP{gW1H#cWF?7CFfTLb?;G7 z*_>@x`>^U1e|d%%_{;Myyw&ABC#h`Ccs4g z88s5(D@OEDBXlsiM8xO2)tw>@_~^R`Y4C8Vll&A}d__OcM%@K%=|nQG&9RwBMG9`p zy;y!T4jZ!uC_kgsKKoFBHuRfz=zw;pK@mbGwl8mgwdvCVBLC!-)0-N# zBcqbOtsG5+oSMS&#w|0V6Ly8h1a=^oeBSi6)|C2&bb{XXM)dZRX6NnbK~y7O>xNDZ zw)O15!(hXBxB2XS3hJDVhi;dwXM7lHhmLKR9Fk34cwnNehHaZ1n@Q^q7bQkRLw%5Q z@>Aya=iF0$Gb+(aakbI&*`?4-h*<}$r&pvOxTjCQM{*C9P7_B#0&SX7IslQrGJL-f zJsv29RgjxiBONsO@1v zOjgatMy%CJ_ITc|nx7JQ_FO*m!%Q|L0lPp*QkN=ezfeeWb2Wt~Pg?hr&W+-6LMof) z%_3crdn-brWH#%k`@u`InhLQ=eT|saXa9^;ChL$X)>J*Yw*7+wY2E)fv=%x+rf3p* zNF&r?XlVXqhC9-vI}pTI8Wu=#Ya!pbaTLZa+`v~sLT9a&q-rmT?%x;VEh9z5w)w)e zjxC4};AmM>(bODJ(0ov^70gG(79-Qf9*JRs!8c~#Ct$tN5Z4ic{=t-lO{pzI;dz28 zzf^o=cXiTR;KxeW_Q!YL8JJ!_;uF_ZxC!QcIOi%2?wDzTdvWr51MxLR!ob<_Q`;&o znLjhjiRw~Is%#rTnIi5Q#)X{X3$+;Kr+1N`V5_Pgxw4vQKVnAn^I|EQXUt|USF0%m zP9KT--|}M7;7WL3(`-#BP3RlD6Jf<2I}uMyR3-I7-W6Z6EAkUXxmJbaYBynFXXWJ*v-O#M&a@NHFURv-lSk)p{zO6bu5;5t>=I~errs15F|>A8cImvsqYfe!0*Hr-f5 zfP~a&kjWVeG8Kcvg*o&L0y(O3){&z3T%YrE!HRQX_gZv5{bq<=D^Ps{_YwwB1Bva zBzaJe(H=dCFkW2D5%{jV_2E$F^C%4Y)iMVZJ6!(frqeWrh6n&7d9-e==wR@SPSZ%Z zettBluWa3E46FK((Gk0cj_V!%Ti$qFPZL9kn3v4~QPt}`zek{=$i4m#HPt^8q+^0K z*}rKZQL}>2CPIJa+q;wnNWFQYE`9XPp$@242T&!YE9H7#?4Xetp64iLksFkN3Dyy(O(JqKR$Y&nQv^= zycd$8Yrl+J0Ds}Gh2pQ&3JFrAzp>f#vf2OtVFd=;SCg3rdA06G6E!8cmmPnr%x3>v z<*jN8SZ-xy`8k+?mR4A$K%9+E=zzC@R;mG`-tyx8^5Wl-2l+%32fs&EO534@pPU9_ zcXkc#ry#L&t#8|R_PZc856kaexZBGtrq#qe);D#|MG&2m6HtNHl2sV^WF1;7k$;JR4Ytc-71%cmL(2s@yy_IhIsooa+E5d zNE?3OB6<9@oXVVG;xwCTWbS9FN+BeK7!T7Ov7alwCcKO)W2iqjI}F-0C6@E8HGME* zS?hnufxf6qRy3dNM96+qjt~(tk@}|GFVdGT`AzvvL}0RkF&ys}D~%U`Z}j_gDVDp|18Y^Ei@dHkCgh0*s^%+YuM>am}$z*d`7 zL)_3c@%8?4t`3O5(yOyd-og%@z}jLN7;3JKm5RGYo{BR(NUx=8f-=X2IoJcTrHtC+ zN}GV5pTrc3d6)bI%@{uAVbJs6s}K@7V3|8Zhnm;Q5`&$ED>6`l*vUj?*GO2|=i zD^HUxL^kodr@molq{So3;QKCryYW4Hnq^oavQXmpE(>*DU~e{!J(Zs zWl^N;6Q&x!TWm>cwV5LJ6C}T-%8;}gql}Qn$C_$g^N1&y5{n;K*QQJaHy;wd?~U-*DYyRB21BKGuN z<46w~`mhdPSG@Q9JR^|4$hXFVT=FM=0R~kSei;U}OyuRloAGzx50xGI1x%sNp4At{ zx8QQjbGa5z;>+Mv*ep$NmaE<#FUU(o?-_3&nr-G8ohOR4<>7VJHP?E<7} zoCAYbbkGVZ-Z(+8{sSCLy*NV}Z(#2^GNNgmK7$uj(8?a@UNt2wkghA>wJPj-{VHR3aYGOoQN>sh5FW}39f>;Jh#3&UwZBBNqPrCI zMZFfFHHISjwRQXT0TKB(=Qz+ z=*KEI@(c9xJnoyEmC#uIBx{*og{-*)m1a6xdb4}HM^rO4*8*JsY`Jk0~Bjw(N?(%G%jjs1N>2|TzlY$9?*~R zY3aiun1#4jJu$Iin!@$<#o%DX!u0ij!@(GFl2Awf(07Q-b>SZ6=a}k71oQ**0TGQJrt^0ba_cKc0jUJ z&a@Y5Y^6}H#t=$X{*l__vqYW!!v-C00VDW_3yNUQotM)GeLg#x z2qZ9l-BHC=s9f;m$^A4z<9Azqh5Z~l`Ya4*-(kO^OYC&uQAJ}T-NuTS^-tza2bkkSS+df=gN5U;Ne0Fi|fz8K<$PQ=86 z5*qE>2?Mjpok&Hkpu^D=MTbNrO!UR9X48%?{Wb7!Zct>PfcdBl*YA5#Ydoqrht~k_ zVbO1U+|Rco%TmK9VT?5#yFuyz#_(~ij$AMbgy!D`l3@AVp2HdN_9!2x@duX!NoIYw zXOS!N2|@#?#cCXBR~D4QzC=@nB`R!>D-4WA7Lie(N}S>55Wi(N zr+z`8$Bw~8pMid+QXSfyY*5Aj`r$ZqvRjF$th+QTt=)9o`COn6HjEMRtRE}%-T<-x zg#n~zWA)eYMH{FS4l-1HF~VB>CpnEHOEm#{DU13C#Z)oMr!zwVs7hJ31P zIrDcexA7qqtm3I_qzI$|#~^Bc-joqYNW%ZSlF0-%6+d}#=uD7V4@HfdjLx+olmv2d zno*YqDri86LH+*@Ja{$gSg=tc4*v!h4Y$5)^^=KLIGE0zLO4s#zQ(VJhq3w$Zcst3 zq*&wly<+k`>&X9g?d|xt8sSMJY?NU-Ft|krfk<@np|Z{MZcU|_%6O8TLL+AeUgW96 zcY&rO>cC(&&iH9^3Y67-5uwa13G+nMlUUS$kq|H&N7FpnNt%X{bo~8$N;KUiW%z1p z<*=pCrDH7DRW!`4vAHr1O9JVuw3+&@V=Sr=nSseYMf#^-g`u!n%aFtse}ezerk@|N z@cMH`6mO6J4W%kbq|K(!<)=go5(@m1AjuAw#5ZkN4LEyzV~nbxaZrlJ6#LG^qyaCd zihLnB$^%EW?6Re)K{;xamh89rLJ=VyseePknpTN)L~vV@#J?Z}%!RUka~#Xp)-;dK z1S692Bw_X0F35npvrB>dBe*=%{OvEud)9duB60WL*b3h(vA;g=X;3@enNbaQFRN;;NV9--VotP`3Uq~=%3B=J!ec2?^h2yBc z#6F$mGM!EujrK*um`&*R%c@BE!lkYypS^m8%d4J#grc0DRsHGF!A}`v27_#}oWZZ2 zLiB!^Jh|7oO*edg-bV)WLAWZ;EvMQq&J%y0v3pKFQ}F%ck+g+f%-+9X@sg{WY3uQR z=+y6K19_u$a#z^Sws8Zjy%dv4z%(jSlVSA-p5A@ux8|Frm=Hly5P1;t)%RBR2a-R3 z@5bRDjW0V%-r6QOII4R-)B7wy_=w{FokVLV4)v43el8~4l7KuR7n(m63D}E}KIAZc z&F$kGj(|^}E|r!176+>Tu%MnMDDJfjECXLrdOs`!(@eh!Q@|BKitTn=1#`3qs-DB> z-7#2XP|SV~HT@7(xKN7)!P zQQmi=>F!v<>%;UznHVRpTIX+*>})~tPim9Dfs02X8NVPHwzMuNt1tjoEg}e@k!V}& z&+6%j8n@*+=qz~yB{x!2Si89}QY9N}oddeq^(Tjwk z>cQ7k62+91^&FVMe%qS5>PO^X>OMg1&e&OE2AYO$;o!s{keUgY)HpMl9Up-nGo>@D z3sulTwREtKL^P9gUN3&5@U8L##hV%Xf3y;_+^rH&yU{zeO&oyT+E$qD6%iX$p8rTbJt%8tpsD8CE$6H=w%f%#cwNYC5bsSdkEs}M6Xbrx?wKJZ+G zelJBgWO?7@mHlBw7#Mwu-rb{51li8a3y8yT`6zeLo37$9G; z%9TV{Lh@UY&$$fT1BzkTDJA|a4GCtB!lbJ7F)?6b`Q#b5lI`}K^~CW`H#LFr;yW$w znR}vbKHr65qAfScy=4-`*SnBnu}_YLFu68{)X*aWiGsrlWj(n#$>PzS^AU2VA{52d zsi^B?&*z1<4|PVJ%K5rw3e}!ekdL}ZRjCkXh5lR~sw368wt&(!wAcVGf%(#kd^|0R zp~aC}7sv0}cv2L}!kVSJt__Jym-8JX`M-5zD^rIIfhVO!ilzLW+7_KWb*Bg5QRmM@ z6d|uG1!VLzO%=6?khld;PpqdTVc#K~i_!Hc!X~RVFq2)w_G>#qKXerkCg^m$OG9>P zH|0vav|s}^at?jIzuO|$p-VOoegc>A$ zpQwQoaI8^^)2LOn%H3eldkcs&1r8~Ql3j?IX9|X>vLjvK5%|!sfBih!ADMeitS|T9 z3=>F9;sI7e9H=ooCk^d3GXqDDEKslAS5J6__D|j0RlPM% z%}sXk;(0QbKDx2Dzvd?<)@NqE&gHM=Gn@&tCz_>A%@QJMrH3ROEm1Dv%IEyj*5gCS z&aAeHErcgM93b#$E`-H95|c#>)`G0f_z@3=i|8``T9MJ zxomNzZwIpoVTV2ag`EM=tBIPq&)&TAxl0> zCRnE9%QE-b^c@22nv74Hf=70A083~;%b9#L7&Zz_JI!!6Zt<{HwuvPr?bS2xts0ZZ zn00+MlOgP6d^2U&I}_m!tD!+`?!zhWEeX@PJXbX%alOCcgepMVi}wiki_U81eJq{8 zvhyYVz}MID>0k2@09#swEjU{DJ~a$^*iwQu?(>wxG2;`GxCvXyZ?@IEtmK6kq5Z? zL{xTTqh4CzUH=+H{tv*1UDDWF*lj+IhDdvH&E3W%69DeB>~38ws*k)YmoacFS>!AJ zcxCUk(`v7(MBb0vc0YKDca*c7VHavmeFu1&HWyPfvEfI0am(j!K({`m-mWg;wCSGD z{u*?3W?d}`Qgye1T|M*2?R0?sd#yI!+HL`8*PBVGmu2IoVkYd&RPE^sD-+(Yx*ria zxZT{hxN0u}4~KNqo8z#nbD6QW_>LA+OTRmv%m;lue5pX|Eh6K-v3nh9bJHrit<9;I znH{oV7%LRlevjjc5%t)&pc!R8k2muZta_MiUFSY}ul(Y5bXS4BRevyh0dv+4<4xf& z-A={7hw~JmFcc7P@yT5Ob*#+^1HvQ4YjN(9*X-@Msb#tSS0`)r<>TZEAlvB@efE;R zzjH#ZdxQO`rkN*bz>2==Bx_-yQI(tW>||l)t^RC-c1xehitjNeVZhtu{b6QGttPB9 zRSiNA!-MRraXiRKiDAatEW~%UD=e^TGv#HDuOlqH?UbSe;D~KO0=&O8-17eDVjnBy z{SqbBPN0d{dVc!$Gt%zG(L(LiJ7)A87k|@pllr>q5w{wztwW9fTK6N|t#i-)UrLDcI;LBnQt8+ao zO^7H5FXvYFM%D(5MixjK<5KEosSEVmJKps!iWH=y8yOp*Y$xg$9~m=Z7@3m25%@Bq zPU3y*>DHDt#xP6GP=3H|H9l%FQ(NN^hKj<_(ekNKKx8 z^7ykH!&+kgMjt6RNR<9(v2N<$tssr!wmgcSq5zJ<5Npu}dkt*UM(fME*-je`Y`MmY zt)t{IDZP4=!kpE*u5UUT_f-|1YO2Ns_^zfos%~6TzNQGo5v{;+x=L@Fk*RyV7xjcx zzLfo=&S21n8HUXKoC(IQFDHmBoPi3-2?-rZN&83N$aFk<$J#TXXyXi_X@jr?rOi~P z6(jbi3rJ}rrNuR;nOaaA5ETp7y)`E-MPZYWFkZyy6G$7Fu2_58yX@4F2ZLa%mLRcO}ECh(SiouG+ zc#`q3Io@O6TzJAr`Pgy}X*a^HaY_)pd7!VIZz!pBfR8^>9?K_3UPvd)< z*va|0MG~w^4;)Yc@Wm|}M{kl~0Yn)xqV(@DdbcGE!xPL`+gf>7H#T|O`P;jYb$tvW zoJVv8=Mp0a=pb#hhf7dmqZt6^S`JNu{0p^QIyUK3je0u0*eeuEp4HmrosP7RqpTOn zR?F0C-D*{~)!M@vn)*{F0dHB*50M!A!i^L(HeXJ|CUFid>H%_F>-g=Qzc#XeJ#o@i z%c}qjoQ9tykAA8xh{Wb+g0*Q(R!`c+O+BWk3AXu6(QR>b^L2G&s8aOarF_oBflkJF zt>0KtLkbHG>|D7>w5XRay5~z*eKpnu5zro_8u=pM|A()4fU>k_{zcn%PusR_+qP{R z(>-n5w!5co+qR}{n{)U3e&7Ayd(S%OuJx-_D#_kEJL^d$>q(_5Pj;I><8Z!Y%v9Up zG!i9tKLR|~7X1h0nf6$Ji!G;fIyA@gubuL;%}M{83>yZT-7B-Bv)UX!=YKdt;(vIP zf1Iw5mY0it%pXvEcqDr;4p*=_P9o&EQk+-1!)HA}%sU&gD$sY9l?G0Z>_nGADz2~- zl;FuRmZ!1uU8IqAlpR%t&tS;0URLqXM{^6NR*wBC3MRlJzj#r_vY$ml(r>UiJpS!D z9MKxt>;JqmKD>@B$Gu}8I|i&Sthu#F5y2E2tibuKv%fmU7A;5QN7a#VBjq|+RBLp6 zid75KDR{DG#65bw%*B3qa%vcE8n73l>4ePR&Lt39cv#Qgm{Mn?KwzaPrfEhL>rOdv zw->2T4b9O6OwTm{Pj{98O*l@3D%i#f49&3-62={@bI)`hMs8)4&RDq{&%!%(5&aol zE9T}@#LqiG={AP2{&-PS_g*7n`j_}xtdiJ~vvBv$Yu zLfAp0an6A`a0XH~=5z-4O<0h^-VQ#f32RBQE|iL5ekYm+r))vz?sSzKZAthC0#`#k zhwMV<+w7Hz2)cLRArJhHyE~H(AKW;jcZ4e1II9URcOOu?;^R|;GSc+%^#|Yo?e2XD zI$){nQw_p54H0lAM+{VYlFx?QggnDq8*EU!nJc#-*N~#7Oq}1EMLdrbE6RfTb=cZY zjH!-Ka2&>Io2+jGWVA*pFjGqt*J>q<%P#$*CX88uNyn_p<3|IPfi;lg6~~!*i(IGX z56@7(rCAj`ICGn{n(yrmgE#P-#nWmLR*MeTEzxmFYCwqs(i;MEK<1s>5d6UgY87gW z&@n_%vuxu=5<4^&H8xa&6MILpP9~5Mh1w4ewgqr$GnCUdMc)q8i1WcyEjQy#rk6~FzkWP?z13sIoiPCWjs6p5 zAAf}esnHWVce1nO*DXD4)1y)x#vsR7p}PvWjs09Ps*w*Lr6O`<-LEJC<>`(k-nk!%L4~ z4E7?YO2rIl1}ur7hH>2C&q#X0uHf@* zq8vye4KR%nifg<0&uRA3OO#sUOa-~7p@Via?xMT0;}Lap5^ANY)rAw@TZ#QQ#5xI+ z&K*l`?FrekEe2bvXRB68X(Ni>P79B}AXUrEM3TGD)p_<0TGo?F z51kfiOQczJf7iAGjJhEzH&gkrHxC=+YBx+bV-8v>4_XQiT5v|~ME(om zV>=Ow{{%Hi?lGt33*76GIh8d@HER|7)KY9|?vf%^sw^lASJcbV z!LF^+Y$Qa}bX3Y))XPnR);cAb=#o}ds%+#iOjXD#%D*qz+pUr|3*D11Urp52I#AE_ ziy;&6#k{E&XcF|J9>t5r{#yRDUMT$8L_sEm*DGf4mQopvshkoZQi2Pv&S8K{j0f*sN)!9-M) z{#!}*l#<-{2Mp81^H4Cnu`ndlUeM_-;k(4OSQ7fzh1g#oE&Zk4sAr#bf%TtEJwnkx zk-l(5|Av}9M|&XV)=x-0L*(;`67U|RzfJ^M=z3iAv(S&X6hO|l6=VNB?`QG9jRsUE zSAu>1!Ag4!ve;^2_+S`$Y2iDN;bz&fP1O03I6&7CEUy;yjC4hqiPwouCvO;JPnelA z2{9dO8Dw78EF=*=Q19kwYZ{x_MUUr|fHi46bBZ3wXc5+j9|#H}0d`DT3c&$2C8P*% z?juA(A;D~oRz@Gy>BDuVlvBHHApg_M8I8(GmxUq(dRe_)zG|1kEn;8VMY>7VS5BVo z((DMRY~6Mtx%2V3>9{RtK#i~C5I;qAJ7{u4&Rj^<4VMGu#{nfiM%lgiKt)%ABeer* z_5==eTyKgTThSB3Y(?qJK9*x;P2-u?9Xsc8lVaBoc{aKqH=!zWu){RQOlr-#>?TkZ z59G@;ZYnZ#X_MmSX)(MEI9dkk{4`}VnM+<9ug26qzq4uaX(_UL&=6Bqqb?5j5VVvhCLwY}CYZ6(>5tKB{t=07IQ<#gFEjv6BW(jOpfk%MRX zou%tGk6)G!AC}6QuM&}WUUJKx$rm?XFqZre*|3x}{+Bq2>E1Pbewnx5mh)c#GE zQzz}|%PP;{?d>PklJMFpXW}b2U@Iyah?ZBhmX$vax{j-!ttoh7Ie6BSJU2`Y5|eQB zD5@fhiVR>IUe{E#WmWI$%bLqvH5VLWqA5_+VF-_x{u9vF@KV z`row4!1uC3py98v?>~(TfBq*W3YGj`y6!(Q{}EdgWJ1#T^v6ZubqkT-L!OP#=eAwu zy#J(Dc{-Z^bxTe8_atOq)&ijJ?;n?wrqf-8{Ml*!gNv7cT!!8!L3R#0)&Dt3MbYN1 zF=RTG@g!g60-S6Hjnm~kr^@@tly#RZ?o3!Y95uB%ZyZqM!P{RowYqN{)CFd39eOKl zjbFSxvR{qoIP@a~@_`uTkbqEl^nhzXWpBNq1GWy4Bcdl|V{-X;qCVjWNO(lpc_0dw zbWZ+?n}?TL#k07JD&7&B+X7tv(%HcOF>>@AxUZ=FiT>63I>8z<>XBi| z+jA&(aEC{M9d{!=-^Q2pnTed#XyN5(?&Y|`*-O}Wc=`OAR77skI(p;C$koaB{ax9A zrvnEUD=;N}-9I!>;EtX8h;nD`j8adCi-t+}OC}(by9!{QBj|v}7|~xoKF|u$!{mt1 z(zgm>5A7z#x&6So*U21g7G8{wzkU}rK;-rPc65;hsLfG z8#J;t*3HaSHxs+t9MQ&$*@1&}t6C#QXKvl>y~I;QI&*Gk;C*qgp5}AiAg0xgm%W2x z@#LO9@xEUOS)fd)%M{OzkArNDr%+Q_*NLqN%C>T9*SS+-zu~~|y7TJn= zM6;T)Ny?fgS&MoEU0Q(8d}+0QngJ$_C+cd!I9+s%t(}jN%_gkQ>?8Y~y2GlxLqwx@ zXM@i_$ozL4YX2P+cJB*xv!|9wLfxJ7s~^4T*~^=!$2!Ss)(6V!0xk6f=v9uh+g#)gB zwialmC&eNV?f)Xf;3qtwv&>_7%wwPL?tpG42wleu9eW4^dLIGj9QJ>J1cX+gYYB9K zw$Ld`!iXY&jiW1-B>OVjV=y@ysyd!H5q`WZcmV+%MZ$`j!RVHDtFbHKwV^qNpG@?2J0%W5>#LG)oMLeO2U=Bhz#=b&fou zYL3>l&2=YRi;HvzGWVs)`W=!{T^fL&mLpr5NkBX$t^$dK4y$B$;0#!O+)%H(~nTxa5@&sb%C+Srt>ZN|kR=4YvXt?1Y zi!}Vs9lJROckqc}Ml$5FTWDb=NiQFr77#K77mbq(VIb2DKN8lj77|dcIbta|Ed#R7 z;r(-`7l0>6OsO*6MjG1@hvnS;2%g#WTrQn%;ej}su_cE_5)Zx>Y?Bb8k#S_`ZzLL5 zmcOu*v#jCeY;JAZEr3II%5fKpW%fo_ulT-?$ddL4YZT+l5D68jNzwHKLg8p7Xlqa_Gn7Rx zvFImwBcpPODqCx*$;0-<3xd)p<5Wd1y=x?FK&cnEcH!r=ZnLSPkk=RD2aCgKi=vv~aAMr0rPW@4xpFT;yvcw44I|{#lbe=+J$-A-=*j)5)Df7Fu!fS`5uszo5 z$jh{tuKZ9)jC4cNBWwzrb$`MMmv`7eI)y2e2_LZN^yZ9wL`M!BsDE;_J)iDK#3 z=r>`vIWBerB31%!Rst1P0$Wys5LSX*R)SVmf;m=#Ggbl+HUbupmCK!;jNT+Oj4;CUZM zTt5jCmOte1<=oynra)kv`@a&552^tz`F%2ZZZ)uT`tkr{<;Z+3DNZ&cS~cNnIcC|~ z^%8FCiNs&|lZ?5Sp_q1#LO1v^ipF1&ko~eH5JxViDA-9-ypfXR)u)hD5bpjT(Us&s zVt>*c&?C-z9>PB$=#W7O1gt+lxlI8c@VLONKOW=>1{2qK{Mg6zpYd1U>5XggW>|!3 z;+CCj99Eob6jq&W*p3OxPCERzcCBwoJOKtiIj@gxlwa4q3cyAkwEm;Nu3_7Q?@k}a z*VoVXh_}mMHm=|0I-KI{mvo-bAbNi#8atCwNN@mLn51P*G8ss4WSJz)T-M-j{yPsZ z+Tj}g(g{X5vrM?MOxUta__9a@xU7!XW{wE+`Y=YV=?9(Z2RYM>(kB_Kdw=c(W zu>w5ztIKP~ZDq~>KOP8@W>?S}8HZ7u)`~Bc59hU)MoL%Mn;QU5NMhL8)>Iu-T3E-d zv3`gNqMOMC5z|LCln5c`imfl?hc6V`S}B5UQmblK)G2wF{or7cc48?4Fn1nU??f55 zYhHd4;Q_a`=E+)J_p^qgNd)@MPTP|PE>%%m1ZL!nUed&|hpJ5<1aRaoLB>&2D0iQf zAal`UN1OyMMF~@cJLC*RNTiH7G^7kfQlttv!FdBSc9@cuJlTpX3Kr{+@#qbI=AxFo zsY9O{5|@!hboSkpz&d!|-ATGzyAFODM{I6#ZdH|VJq!r@qZ>du9sRAZM|)pEFbD$K z4@b&^01;?#TtysF2JA*j znG+(i4a2!q6YyUK88MVD@RSU&3f;D2Kf~XCbG-qa+s5(pEk2|u)cJWIEb63P`&A@h zoXqzuc^g9nD#C&?isb}qa#n#mxkUUk|Zm_rF%QPPSuALNd zIr?o~CNR6VFPmnCjkogj*AfMg@>s#(p~EuECCu(;qDJ|oXz~^g8C#K}%P?1^Ozxk_ z(W=J`by$mST>kc?LK0iXac5gx6E?-x370j2O|Y^hVveB`Ev*k3V{Ji-V8663t=F3d z^k}g5xb`h&Q5^c1W!}?_6umBbXg?)Evx^xeN<-ZEZbFh)A4^M@KB}3go?6*xl@jR^ zswK=ag!+X9Sl%lqV{^Sm$f~C=x1=V^NW=>S23srW>u2-44#)yN8(aQl)-LEG7|8cc$}FIyaQOzbSpxss7Yc z>gZ7U{+lW`P@?JS?f9i&`O(K?xq)P0El5P2XWk}>l(WF-Nj9Z3$~>YoX%1N;%S35n zfvuy+YHpKdfkQ6<%i7Ww!q?bn(aDywP$+|MXVfnX15za+4|CPI94s_f3_m6;mC?Qt zrE+zCwvt#HW)pf~Kivc@73cRhVwTdwM<+3J+3~Z3$f@$}Umn5Za?KoX4>Skmob|CE zNSdQhP}K)5U@J~uAQx>ifo{4recblihIkk^pA+Fss23BJ9%jp(4a@^$Lmdt0dRp_f z7r$$(qZyrzttQ9Zl(yu#SLQGT+g_QC#$JNyX9kS3bh0cenmfMIuq(*x!viD z`JOw5xGM>)gY&ifGvaCWAV1ma|(dsL$e!f_}*gE3U&-?F;N(lhMn zLnko!2Cqw(y}Pi!q|JO)+3VE)=HqV4m~F_JUa$##DsYOKhGo(WUZ?%?MW>577r%tbN>l8WNPW|~ zI|S)&a!Om zdcAAoJ(Re7UZSc3DP^s9VgVm2#Y=j7MrS>pv|bQ(a-=Rx3Bo!{QEfuXxhiEYmD_hN z*8feNcxc5I;^12)o8(2cy2}7}9#8w8JLLolh)AAq1HevnR2q}%4f zMWd32WrojEL~ms-UXwd@TJ;{n{L!uABV>NbJW*~gjZ^u~st5M)R-0h7xoB^)FKrGH=q-iUPSrpB}rpL8S7Otc7 zAM#w1A zLYJ2XNj7#$E$JT5hnJUBUl$#~Jw2m(2~XR1hAtC}2MwMq^0Yf+s8KlqL;E${^`2D# zu@W_^_58o$%)t}eHk3MZ%=WdcNh{Cd+SLqd2;YJ12Pg^0LQ(xqIxBK-!zQtC>1%32u(8ot$_MiK%!F zAAmFf#%dHIXaLtyTxsnolmw>FXAf^GuqL3bCKe-kuHAtJOPDC zu>ysOTGd6j(_89@;<#M%sY&sO7oDM0>uR3-k%M*AU?JZAmcjI-mS=80qKom)%EB^n zL`$NEuUa5W6%U9(;tqK54qcX8WfYfC2v)SThbD+u(Ch2NS6J1887||>w3uZz7l?f#pk=gg-s%26H?Y&&k*=`Svam4}E9f--&uaxMx%e;M+!C;7mY=DG z3H6OW$BERAma-iZ2>2NS204-qC%67@VHB{eZ9#<8JtFW|ifQiU4?L_>cqn5C5eNdw z@dD&KuJ{5%f#&Ppzl5*)N0iGBuQHfbs9j+vs(JfQA@3{6FP zi-DquL*Bo-)9v3pX#dqZ>H_W%cOv~W`h9#?5ta7o)Nvaa2qZ(>Mx#dkn;yH$Gz9{CnlR4`B)bNS-a-O74~ zraJe*&38Z;7$1ycu*RpiwrcJApVW36*Y`# zFoJOC3mjR+L3nu3mr-a3h4N`7M(Mgzzvg6It1!EZNj9f|J$g1szhN#N|3muR(pFg{ z={#$xLCQ@|I;Q*mT>4k2wG}C?zn?;QB3^V$$Ap)ZVzb{#;-T}9B)uRX9}yUtO)ZPQ zyyD^KSF$IqtQtiIY%#i~g7IvO=v7rB=@$2TvlN-)w?Dx`yVrI!cXrq^zz)mlgD^{* zz1`$wb(EBf5{SJb{Lf7aapC)tS~X9ExRD>5K(yLq#=@|x9ME_%c(Us2ff(HoULp;R zAK2-$t%no=Fw8((SNBin@5A@+eaB|a+jbps>%d}<5gb$A3zjj@6^r=0>RCbwfRigz zUPZPs&mT7NcSx&*2O*R}#gaQlcvyF3zI2Hx^W-_!XPX)bXW_XZL}9L?yFP_CUryYG zH+=IiXMnLV}hR!Xfo=!MH zaW7zACf0%-wk>^WpZn9f^{RIm&}`SGT(3%d7^B5C(wv^| z-@hL}k8cly?{ZY8H%?l#I^4}~knI-Zw`V04RoVEff}!5MA|U06(Y{jaEc@D~$DUEg ze^5a-&w*%L0@F1GpnLD5Yn#LJIsFGY*BWIXVjlcbk}p+@U+1p9+}m~XFIB5w=We~< zfPmI?oe8?D=vUJ0LU-{5c@4$Y3tIAf>cq+6e*oqg678rgig@N^k%j|Ht`68 zx|PnKS?2q*^5elT#X-~*1-@_p2SM-Kh?|;qtOXF31i8U0ftunLC8^adrr43O5N$$1 z^YcI)mQY;SlyMLVMS=H{k9hFO5(77u`MeFvu@Dm^JV*az8v$8R^^bYPJqdw1f-Y{) z+n|Sj#68IW3Qyj*|DfaLe}lq$V@cLx+VRzkTJFW>sNyHrZHZ+kRzid!N(s>YTg8@} z2x>M^_%o$%qI{nbUhi0b?;e5o9j`~f*Hf-{C{?VK8_R$sRuo)#r-kL0L%oP34>I|k z6izRV{ln?M<5_=`IQ~*Nd@Jmj<^^nUC)iwB?s#U8DS5EY-k~Z=P~^wT7sujGzpO-* zlEy-uOCWRth6ecL4_I~(sw0AY9~bBUDv0(J6$M%Zo|(KkvCgp@zRMp9absI<0-a09 z7ncC5z?h+=FQ77(P~4OLGt|CP5};3@rEg%e576nysEpGT4cVy>O%u?Td8l*B6#3Kg z#q@aaw*fy&i?(@YufAN1w^c`6d<5p@A+l0ht!pNFm8CxN3~~u`5V3^3*@#2+V`2+d zxvWYSBMKer${rS5_6y=)9`;;2e0l9;cwj#7{NH$4tg~K&VhuU%KRqezHO)Z~zrlE4 zQE&e!^aTk3|G$NVhkd|9(O1ElFnS2c9RB3OE+=qQmhY3|N^m&+r^JdIyFBkjr=5q^ z>W~HcR}*x_B(x;Yr=y~|9>V&VaBP$hWgz*;dyRPHQ{@=-PzXkhB(v@LwMJt8ItUB9 zyBF^C2i4B3IaU+tton^bBa&9)&pDq1-blFd&eCdIHWH2Pax5*?BEP{MKAq=gZ)8F} z=&@pIy^0wYa~or+Tu6UCh)fmjF=y6Yqdv%InmSt!x^WFqc*kDPk&)&2&wJ+1jMPJe zGmNHniY8KnRD&3^li5VUy90Ag&aWw)SIaQS!qfxLOl^LSTIE9LB#*E$9?fUa;?zdl z@0jw_v)Ia*v6pn+nOQDo?-SwDI+}Qd3t=2>Lae(;0aIb-$~W2F4??ejL#(8i^k{45 zMGGoPao+5=ho*yNU4B^+Ze#R$CahFtetco>{Wnq zasi5+(O3Y(xW|tsL1|xum7#1ybR_#QY4Kq&SQ{^~W+H-g0m=e?IZ`bk{6?f>PdW z6BbsY5{(6ac<7j_i({q7U!sE7yIjTJ11{1?N!tC2rUNpc~gdEqG=ds9B2KlTnj zXQEKU%1$1h$JYy4;lmIYOnLo(PwfWEf}H+{R+vdYFIsE5}R)bvVUs)WGPa_QEc=vw80|%7E9MU-POqNLY8~{E|4bXG$ zsgsL+?pK>y^m3qEZU6qHaxp;qef931D$|UT?GGqSqyzj6@jtT>0r0VKhz<6D_w5;H z0JPq(XffsmDFCzDJv9n2NB`3i*?W~=fG;8T!hdzcvXpnQXa^flJJK)#WU$}xljg~1 z0JW+~fTRoK8PEkqWEnt}YQN>0+-ot&&%BpIK$T2S&XKd}&=TO^ON&LGyQjh?&i4UE z1?IH;C!iZZSS`pnXMlFHVbeqSXMeY}Y75Bs4x!{`Tgcjnsn7hom)ST#PPM zmN7gq_v_;5Mikfl?9SQky*4iQUwBbeHr$>XO)38vPt8^+u0>_^Yq(YwG2A`~?d#w{ z2vi$DlI+Iko1mZ~R?Kb`GE8v?F&MZ1e!EDZ;H&uv_wD!;0tLjj62(P!5+1@r25eg+ zmTzT@**+7YX!0Ceaou2*cEED-RU&7oIuzzRx={;XsNUlXVL66wn=Hv~_caMYk^)KG zSxFeNIQJb1KpJc1HU}VaiQ{L9hYasY*L%Qx*VMP6NbgKJ`V%1(Dq(|TR5fm~D{3Fu z7IM|Ed;NI)b*TF%?k+ywdj0wMlwg_qaIld3bO@09e3+mVm(mbh)B|SlQS%=xSOx3C zIGZxdvPD9dgNT5tKGuQJF-ZpSO-_kIuT9ElR9omxhPC||#cEs-#cHlw3s*t_oP|+r z`(e3U*_IkoJ?~I)EUzwYb&`Gmhv-qYWrAP`y}B8=Tt!-&>Mzj>F|&HduCMQh*SGJ_ zi-VKN1hB)8tg63%D2s|@W0`p(Po{E;wsHHMRwSpcUs6ooFpN~j zkyWw|qLQ{_9PXt-73IIn&NqoP4+@**qp)Q6e7$t}WsWj)l84HQ<7oy??yDIr1Y~*a zcQ;>JzJ+2(D*6_#@rxX9Y?2u((-oNk$jovE= zM=t@9MtN!(qP@W`bY;aWi#nDF+!{To`Hc{y8Ww{Xef`j*7py=N;8o{cviBz|t7T8G z2>hIhqWR*&jvIU!^+l+EDAU+7wm>X2k_bJ<1TmNW7ajcNo(p6i_v>1J7{U(N$R7uS zveh(~VE$hGzi#}?ZzVzsH)VN5&(ipw;md=QKsFDCaZj&~tNk}TuOg5JBd%JOaE{o^ zUZDkPMwe#k-dM-_p?(a5dJKbp3?gG=a%7_5wy%q66}FtASgC1U-E@gqC+%IfUg~sk z_sN0CD@lLKKJOg2Y8+4Q6tgwj9z4t0)Y~3jvj;zLd#W}T`pQ;IoA8#BTY@qd+!a+e z5Uiy)g+4H)sdv@eaF03Hrg{IJHw0pg<+0Jf7m!FbHi$?$DowRs zf=zM<$Q!9ZNSR#SQM0$)&@kKcD#PDNdwX@f)zu(&*^a0*gvzdiSAevwUfR2eQ3aSlFP zN9gOLJAPfZ-ofvmKfG{k%yewVzNw)&XuCD>FIgynE75pzhmzGu1^ zHs*1ETI|?eS@!U5JfB$g@Yd!Qz1>q@*Ok3_DAF1zJly=D>kNf3wSZt|2i3|BoSN}% z6Kc#A#=$|kF`FaZ@u`vW^&LPE)8KV5S#ADP1trVQPhloSm_}o}kIEE#tjT((g(=}e zo7qMlD!wUB8}oK-DNjlc18iqCWhn75%e)F7vu8+Telk{@OdF_I(YXN9seC)V1ATjY@%VQ4nB&eL zs+l8Zec8KmBJK7S*0=i>gNdi&s;P9lVxnC13!H9m;CjJ&b_xr`; z`+=Dp3aAfOZjbB{5ZF{7-rDq?C_{m@$^aI&w#%v6pXD4{PzE1UtjbTOs7r~za93A> z_7faZMZZNQOJF%_R>bPP2LXFx^mmgr$7rJ3+qK*1v9K6r&>SlE3SEm!& zOPf-u&E>5XBsNM8EK)1P+ydzZT2c4#jNLVCT0Y~Wvvbwmhc6bEEBYJKVC;$mHK~p& z!VWgJ3TQ<+KAu-{XMF8SFT%o zs^p$z?|3Y~=ym?m_v)PBrJwd+3r&1>N0i{d z;b(!#xmuq5v2?I;Um@;cAq5O_M3yu!b?u&@;XuW;|KH-~qimr^?Hw(=ASoM9X4F95 zvhaSU4!60QL(7WRHSr9Azh-NzT%b~mUbz-VLB10sZj7dUHVLur$Wz~ z+XDzY6^29xDI)r4zZxrX+o*XA(CVvAs~T!3 zD=K;E>I|bA&(#l_s5!lxdXNRQcPgp1c`pr4o6OxdHC)|%VK!EN6Sj9q;dtp7{5srL z-z!^H(C>MF{!(D>N=s8_9{bVDT6&JhS#*MKf;%(&%ve2RPcSUp)K*fjUt1|D4<{1|ON z9@jSlmnc$qWYy;53fE_w@bGT;Nt&ulOH=pT9U=>}D@+q=#rXR{?J7Kh^!PA)6hHWD;d{Js4=8jUA7i7 zt5KY9mWn09X=56?bDjpWZf+{W3IY@3+fu9wV%6qwtFf$y%+`!+w>na0O~)IYK_d@U zE_z2q)HuYd8)MN+u;?M4-PshR;$HE@Ur+z37s;8CpxS@bzFKz^mbN>yqp38%pxF*5 z!Gm7IrzHbLn<7|~Y`G*IAs)DzAIt(#6QW3XtD0;I^4pubmO^SzYjI&3w0QOic;Vz8 z=*-0{;IW<8O}X}d2t~awHrNUnM5I|3B5-Y0syK%V^?6>kknTaVbU?qA7KoySq^V^K zJnd+M8o5gix~s8?B*ElQDa?2MzOR!dtLkY1)pU8_z~qwkh$5hnco&TQjxmijWsd;C5f+NQYH}!aCHR=PhB%{ zI4hQ=XLx$;Fkewm13IXrv}iU`MP=N=Xnw2{i{A17%jN25E1{0NnSj>RU?8||r}&J) z=FFATUADf_v=aa-KC<|7)ZY3qd3Jw~^8+Ts$O`v*=i!WF;iFH4ESjOy}c`N(>rQw@5P1`yh5nt`Z?mA8Z> z9EH60WMY9U52}V=@xguX&@h302Ph3${O{@f-eE@)Z9L2f*m?V*=NGH$)}I&H2zeTQ-6Uu_sSa67C%|NyET%`*c67^_ zTd0`~Mi0~@Z_2)8zEbvAAy;mh5qCn@_B_$T(blHBZHWw|HrA8SjCK~>1|qR*L12VR zF|v|)sHg3@wM1ab2d6f#(Mb67#PvIWvF}mpc0%Ql7o)n}X2v*oDH9eR`$DP-S1!EM zYhgKud|;dR%vuHYk3D|p@0)uty4Hacasl$Vn^tnF{81bz@2Ei&Cy!zZH@_csMCmBV`W6>goobzLT-%~VdV{5?B1`D~!%XBb28huU zKWTb`S2^&%H4o zAbvIQ{SMO29bRcTUG2f{##hidu4Qb|IFMy6wSz2Ah}$_QA3PEd*W~U4UcjNvW zj9_F>G|Ck2%vJ>nt2K>Z>DKInJVpTaj+@no5qQucezRg8*Bx?E^hpg;rA`;4v#Qtc zSO&T`5Lqxm<&tOt1(B-j2NyTj5LTRv9_E|&zTUuQjb|G3&CT2W?bMPNrj#qsrEmh! z-Z{>k3UxImkT-k|8U~gw=l9MVS==LYK=~_N$jM!kqv(Q8sDkNH&|r*vfGXDUG1{U+E-(J3CgM>5siV3(`Cbb^660x z;4dw6)qJUb`_#dT1~uIA9mGO#k69e#qh8nKLJ+qkBjG%w#onPBh+W`6MGpY$=%!c@ zVz7j-F+FS##UAh8Y5Z!t1y2YafsDtSUIho^%@i^$&R_s#V@1F}u>8jJ<@jCn=6*}I z)& z(4S^&1JBBkWiNyM;o##eRu$zf!=)#Vc>D-qk&g%yrWXzuduNjTGVW1qLx<=g}v-;rSD8)lz@8h#h*zCl@0SrHej-$!B@|!=AB#whMH( z2)ob=vsoXirDFtfz5@(uzJnQYzPh{H(ap^US(HV8nySv*W83`d3kQ^cnL!k4$t3R( zK~J(;6(i|0W^5HaGE!&0Q;YGUxtHZtZ-oKZP>PZ-X6NVyZ>MA+*#Pe?FWMok0E>s) z!}T6K4?45{;07)$gNX*QQVPKo@SY_zLhC7Och+EZHEs4~)xu`-Lfdb$-@i|)`c{Y1 z{~S}mEjlmJ0G0NKfLnMTcV8-vft+m$f^+mXt}&`lJm|`|ZEwD2IrYKv&)4r~oBBVy zJ8>rlqYv?cE3>5SnuFvxCsA8DPtVn48WEt?{4YwOBnDa4B4Dwc?PqV5Y%bbjb* z1e6b*>6jrPX=XWK_AQxG0G$SjP(lzv>IaVR%$cwj7~>c$U!j%7+&D7NX2QrMk1NUn zfqL+WagZsli>X2%jfF814VzP5290r=r3gZ<`zmv%j4DMnuZqfwmGoIbFOtMGzWbL2 zAaunMiCm=X`n{U45mGW+2Ab)~}dP&R0#v50w-vyQ*Y@!n&CPK=D0^4vj%ytj&G-lJ; z8qe4pua-tZ>L+;}%(9&e>DP0)oK|&WHV`}yamQid9tF(2YpUtP*cu5Wc}EgK&utJO z4cF;isJfAZTrsDE46p0fGrkmR9Cv7i`lH`jaZL<7;N_Wp(Bc~N?)GESA%&(d$Fu6% z7=r!)knuL#$7oTrg zRt2){pQ#oknCwqip2q|eDF`$~Vnc%KErKe*pw19wgJ&|eiw-Eo%rpVGLW+w*$PeO} zvao@H{z$I>QL|Nz^~;G^kp>I9Ik7;AQ63CtBto#C6PXf|0tEa(G#}CKsn_cNV(%S; zGYj5D-*}QtJh3yeZQHhOYhv5BZQD*JwkNi2-FN=j=j>a1pL6Tf{dlVS;aatN@kOs+ zcPA!I7VbS=GX(C?hm>GvJGLs`bzpZ-?}T7`pW8Vv16lxieqEOZOxNM$ zO*<1LuaLa5p&LB5D#h{J_ty>*A0M_AXEMq3^HNiodI=k@`eXVOWr)@iPY|vk9s1#M zY(@`JRO*s>udz$2)ne1kl@=|L-8dEp+D7nieSWwy8|7O}olT@a~j$?|TziL?HO z{gBlK=bI(7B6{JZOTUEBZlFRJO)^Jas)`76@UhSj7<|WcET)~cXmvUjz}%r{F0Xy zIddm03*?(>+K&fgXiF+*@SW0T@C&7Od`tC|`s@%?zNs;@0#ueg2jtR@)*~X!R zOT?jfoHydELOb z>uN=BR>_Xi`^eit!$j`RPb>Y=C9MjG=r30Lqk-WVD3WdqHmOPq_KD#E3N_Q-OeHdJ z&cv9nve>-}PpBqrmbclSjwlQU4E|ba?(*vIjHe6A2`0J6n2^ZYpmkIWdms?z#8Mw&FCMsb-fYF3hdEp`V>6vPr8R|-0v0iWKV;#*deEa9nkho% zxBOjJQI~-|QuLm>MamiZqt+yWGc5a7W)V5?xYWBO-o}!khGHP#mumC3`&z3PVm||N zr!m#o3J1O3Qb#@EV?Dn5=f9*T&d~>9R;<(`xrtW1{DI3V&_BmC>9#GDq-u-XR0U{* z;6XH(*%I_P*_K$p<~!WSeN^hazd;e$d8AF=2Jp0$*=Uh|BstT&rueBGBcoU9D&5E# zs{2`QQ3{11Hz}$_t%*m7R3*)s)k0co8~4x|Go-n}M(q$k!NidZ9U>skOVCCX9E19X^8lIY)Tf?Pa|Ln| z-XOt@+j~jte$9=OE!Ld;d|ywQVygmIs*67!dHFHPISvhr^6H-$*JV>gP_#Er5(9=} zh?Z+`4uU);Ej*MsY@ZfQK9Wo4iyQc;4?6Dx-E_*Ir@~FPQ2s4Q<3W$2SfL68`Ioe? z{<6|vGfyC$5sbuyGbhMV7~&)bPhK;esA@P?Vf@F}am2FF#1u)8RN2>iQpuMIcky0O z?~K^=S|05Q^Dvx-&XB6L_nZuM(qN`N8M;xX45W&)g0G`7k8l4}389SxMW|W^Jg!2K zfB%$;1Yflz@Asm4DXe-^zIztTE7dQY$8f0^)0<|w+37?d)SB-Qsz%UU3|Zt~RNW>5 zy8BwiA&FadQTmfVNy^0Ju5pC0Tqh6EL7x-XW>`J_O2+g^i!349s>8~4RQa|C46MQH zh&9ACcj5&s854IBH?ijA2GfHfD&;W{EM;D86i3ogMk)#D3@6FE<=ui%EHmY@$5`pr zDppo1@mW|XA)_Y4g@=Q;yXycy%Ioy0=vYYU>!SIMtSCYwrNQIs%J9?aa4Wn;5+;KW zr^8`KktS(QOX;+nwa%(kOR}aI**CX6AB8NZcZIu)nZGR~kD5-4olyD3Ii$t@FU2BF zfY4b%cRF^ElW>u1>t};8p{KuqZg>8SW(`>;vC=)_q%oz0j0yxiJJ(_D^RJ+7_7JyB z(H(SkW@EZ#uHcK|fGel{#LroUNq4WXrDlc@?V&`q9-}t`<%5-Ku9N*^CRy-tHibe( z6uAI$mP#scdZt->(&#}gA#cFMD3T8LO8SX_9+M~#c_KGn-}oHq0|TDB$>8Y1(IPi1 z2&Jl=?XA+;3kupm0@i`GzysDHv;qRwzhgI;gq!P|VSnqp&W;}7j6WlQleHDEw%nP7 zm60M7^Q%H1v15%tQ48EP{AS;Xv(DC7R+8t^dbzt@)I9v$rSpre5Fh_FSPdw0EmcNOx2;l>KTGd2G+ez=q0&NZ6?*+>A3JnibFjM#ed zv-R~iJaX=50A-4ni(DgxC`emo>D?q@@_u`AjmZpPrm0_AXo$1+Y1NMbLL;wXAd?>H zdki7gcP|K&_PCpH(97?9kOGKzC74yr-O?3q-B6qp(qkPWwK4B;>SwdY}H)c-Vg1(Y?gJ zXwSZ9=^`vdCRQjNrVRCdfR{P=-C@5OfzhwGA&5p&9SY*p(Qc?IgX>)qSLH=St75L`$nmy}!jpj$3PrmUtad>-jlH01 zE#UXltg!uogz9|jZofzV5AbgHzIiQc5`2Hqj{NAuJHIy2t(YD2Y)!rgFjl(ub}1`b zX`aV0?8)VruAh5Z$g1-{Hs*f}*&<`KNJjDWOmtv!1_PrU$D%8@9PqW;0NRzc?Ly47 z$NnXiA!Z-f;Ipr@F!|2ZxVbJ9(J8md~VNXqH` z-$*Bj*z`?UGaVFadN}nQRtj-iTGLDhXm*`oOg_F+>*E*1NpQb72`RqUMC?^;vWGj| zq~>dJ6-!0$N~&BEDgL^?j6ziSCbnqdRb-K)PyE^AC@cC$*Jo=|xQlbHUY_}&pgi)h z_WYfteN+0^>hI@u8`JTJgs%5wVO?3~)WVV*DFsJ-5^fIYPUotqNX#7FsC)_Kf>MP= zvb9nRWa~kneQ7Y?)`)7eG@%yjDIDu--k5jow_669o@wvqJR?hOzj`mPT`h%Ji|U8( z?Wh6~76grC>t1d{5MFV#tXA*tFWd2giIQ-$o%=Xh8NeC9#lAcc_XN2WtDMf+7`#D+ z!?FZrHv>e>-l@0K9rQ#CMCTJC5~a`evjrdPdif3`jemShNzQGv z&BI9TtIqDu8@9@K8C;d`hq%?6AM{%A(0XcZGq}|u$aI}Qz-Y&>li)Uj_QH?6XkK}S`GCd2$bkA=HV%d0V#jy}b^g&E5l@NzJb8kY38}QGmfASyn?FQ z&P>~`Ov_4g_NY{yT(`z|R;WI>RBL0fTWzu_+Y`>+Sf^1y#AIv$2Gsx0rA~GnZ)*1m zt@nIQ3OwJY{;lsQVZ!<@t8K#`?2_~sz4c*@Cx;=sWv=-#8?_G%Blxa$!gi_^yZx~l zyl-%JS4BvJn|ek{8hIj74U??~u0Cn|FGKA`n`_Ffo1?`GcQP!$ckT`dCVuu(SH*RQ z?4j!{*0a?}-;wt$z~mg?wy`r%(+x?vj7ByJC?R7mq~DFFd8=Gr<0o?rm)L*wS`8A?Vk{bcl&B`ZO@4m3Ope63?@@LFWQP4?_E4{Bgo4{yN|oC4o_gBtf;J% zSk~!J+B0Ne0ef1zJ147kG8Sp>a7wgae6c2Q>y3;oWME?E8>2$dx89f08n_T zOCTkEz96Z-2`Qm)SEG!(uE{HJvX0j)!xbeVMcl>4+=*@px&J6N z&ywy}UmD9!h~5$C3+QG%xJzOmtohC+Gk}p470beR4pwUQlNKKn3B+2tjl!`QJC;-6 zA02q9j(s0`u}L&C6?8$9>JA`@W8w%!fkL93xYxf zAiivyL;Lne-y++FR)%6!4lS&kE;7L~ZvEelzZZ?`$}%3m|LP$UI%B~KJtStmljAyZ zXxgT2j40gXXw55vg@%1BPLz}gPz=`Z9fJ}SvZl-+Rh_rL4*BXVM{;j8?;aB#h#_on84ELbi#>jqN2m0E`47Q-(GT%&VTQY7w z$^^oAcEu${FA@)g4mAG`Le3ZhYgSP)rtwh+uVKDWEcs_-7;nh#_|1{}g7=o5tXKY| zd2qaM*bvt9?C-&O{xBhG7kDZnl5P*VpY%6bpqS@Pfo6c&ko7vy^R3VYP1*wLNAfZ8 z<=q03O1VZPhTM{l)XK&jE0qq|RVcXl3Qa>O5pnVTMWgi6uAh;(j=^GtUVe~%DnX<7 zT1Az;l`iuw&lCCi@VF`34IISX_W^IoL^Iz@jvAp9l8ZWSu zr*%3TNu%U*rO3!V0525042YvSPiK;7FL0lwJINm*TXU$M;EqdbD9J#On$7*xshXN8 zd~*}#5+T3m3s&L9%3lS#z`2|{ok&O*J3kKeRyo-;f2vGyFF9|JInBD9#>#X?qFp39 z<_oEDwc+P*;dCDw8OHa5IhJSV(c%wdWio59ETI zb30NpwYNAqY`4)Jv||KwWl&np4P=(0x9aFz1*+#91*YfdzZiravk1?QTmBs&-I&^q9ABc9UNhKApQ2rJ(jb@lE5Y)zmJW@!* zn0Z54^b#H7l1<-KcTj`VrM%_vvXPK7(z-5@32yr|k2yZmE|3pdO+P}i$6#|GC$OR7 zv_~URIjcOg9yhR|;glA$5Vdo{na3B%@SJ?=x4!Y{i#djnDpPQz#;FSejb(6rjb&tf z4Kx9O$m3&Dr0l-VEqT5(?d@b>;QYL^`!huX7I`RrLy#;kab6r2^^RJQH`L+d$mLY8 z%5|Tw+WGWpSKhGeg7e-TP;!{)@&vJ=d@XZl&XKl@^EhY3FvNLYRAvs-cmrsm<fHhILt_Dr{U zXlO^~U(m^`AGFkGes+sf?+KeC#5RrL*1VHBm%Vl7JO?bPuz<-nLUlHZ??#N*8s#30 zOxE?1aoe;w<$KuoT2sHj^v+!#pA`Ujspn*9(T)Zzzk=t7YLd??&$0+_WSv`ZhZ_UZ zyE8J>h?jB(o(_b3&p-m97b3yX5A3ehzO30*Jei*0b#YCQk-7A;<|7PpsMKIFUY zIcQznay&>GTrIP`Entwi0Y@-CiZNKh=)-Z)dTpEj*~)J&W7k9c>4SOA%waq%?0t`% ziR^8$Mi>-#VZxV|UcryQNEgJ}g#b`fzOw?{EXNDDnQf1T3MGRjM*3p7lxgsx zbG0Nm!lXOFR`tsIZ6biRTBNWTwR8Zu1VUs z2b)|JmGS-6+1cpD6pYqU_*_{!l|bDfd$>rsV*JbN1%U(oMF~rPbAK`Zsqa zO)+5NtcnUCuhxdD|0nW_bQ`dfP^u-1P+=Y!Q$!|z$*Zi%Tu#9D8s!Y}KExZZ)^QiLMgp2Zs7sTfU9`ORTj*qqN zvyj+~wbgz2ba8?Axk^W&%5%s|o%E@8p$|4N3_n)jN$BxIq&ht5Q+Ye`2&c zY-JXe?(`!2go?p_D}Ejj1E5#Jup!b;J9fS$k=t%W#sMq_2J{^v1V6Y}xC7IASnC#u ziCq0jI2tp>VP`k9Pb!5yLFN2SnszUaW+8W|cLDX4cOdebTeAZpbS1Y4jp)r`W={y= zPm>$a$rDnQ(Wf#69WX)sK$(n34S@P_Y|;xRWwFjald+Jzw2wrGh3a|QW){5|vAg(* zch&Es__+a{fV*yY5J)d`UF)?S+iUS|j>Y2dsSM|)3^`Tquw8!csXSsEHM{=_?at)s&;+M0%Dbwh>Fb~?HiJc%Jx67#*CU$|3wZ@uiG)|t(NO-0NRUR6cuXn|XwGFG~O3&)V&OnUoqMXxYUqstm8N-B@JWd+}O0Z9BB zCl_~4RI^%dQ9a(sz&PcFm(u@72219@XRs`pB;(9a>i&O)ux7)S?KNKivS@R82*fh&tuf5=BiIX=JYTW7M3(TV8Fgm zYkV}KCa6^cX;R3SrzFM%*9kzHLT^MB@at8SkB90#Eo;pt3Nn?JWQC9AN%0|uCZE@|AaVyP8T#jxfH!!&4*je4NWmFVd;2Q;l@%L<`u z72@luxoDwizYGewf5BK)6|o3azzxdI%hf&r6pO^`{{+SIQ2MV>EJxUHS&6-G0$ec? zr)w4y+k!g^V#ge@=K7za+b;)Do=sZ(98N$&lILelP6{L`Deg|%jFuIWzV^zA4Qq7e zQ0SKt8n4SgMQnS%usPV82`Qs5enV@l(&nDCkiA7#V<5y#{Us`Ra^D>J{}SE;s+5g}EhNrwN}hY=O| zk&WKSUDNxMgPey?+s>PO3B;@j1V`rU=xxN5+y4r^O&kZa-dZl7z69mQyCd)qvc0!S zh*?G=c>BZAcpc7hy&C(3pNJD8{rE3x9}F{X18|wxivEDeKcTm+Nyy+D(~5o%f=D1| zq-0AO~HdUOs2 z)+Ril9SjCrchk1BAOsgM_FdKaS=w%ek?wv*gbM{t<;z#~FjLyZg$b^qliS-2xnKbs zMx)Sn>8#6-NnvyS79>=Haz^a0PSQwp!jLy4odQLj0D!al0K}tY3jodn`;|81P(Q9j zTLHt^)G=fAf03;Ji)8&LBuf;ZZx)4bKLSdKa%Tk|fMjh0kgRcrzerYS3%#E!){hWX z`6eN&2Z=4%=a?v=SvX5)BA$;n)8s^AD?c~Gi zF}8n+AKdLK8&i-+MTs9i4KYH9SCAoBI}6hASueeoR?-em4Sw~A)W`+*WY1pBpfmq+ zd9o`50N3I#!Q`H73AhBI(}r?S@tBN%%yv2wuZH@8v&gin zmx=r|Miv=I+@?CkS8IyEapc1Vg4Fu#1_5e&ILwh=Gp-w!9rz6L^K?QlPzOssEPzZ6 zGnNA`Y}@=(osPo)w_da=F}82=tmAV;KrAX2eaYV6jSg*<8eQE zG@qJ%m_AJ}#WmTJvYX`kZz5}J$>hHzvc&47xh9(|z{U)~B#ey|P0V};2#>>t@a~-< zkmx|fG2(=PgGO(DaZPpw7@n=T00r^rr5~Y?+44!ilZ(prAd;gLdivII0_C`Zjah+> z*#iwb0g1Q(4ZHEB`%W8In6pf_&^WyO7S&(p9Lp*wWdj$58#Nn&wM3GvC$2kWOzcv( z*d@O?>ETJn#yZ)SY;J-`PmyjRj{0cfZp+8>{NLeNSpOZ4_4I#)V_`WXWumzub*g%6 z(-A(NCOmD(nK@VCeLz5&v3mIZZM|kF)7yhL z+XrV_5JICQhQk~d$%>2MmU{`SFj1S8th?N9KTtpr%+DdK^yex=};paoN; zv#SMZQp6v2s&HpDIk}oWl!tsS^R8&|E@;%nirlH#(orx)6VWtmVQJ%VW5-0C&OuLK zCG?1EM8ut_y{AyukWdey%)i|w5fPIB)5TQy)7*ByUK4d91DBKwg!c1Sn?TCRmtub~ zXel#t7UZvMo*okbm{2W=^VEy$WQ+N(9|-CPA%+`pC-3@+pxR5mNk6 zu*8emN8)ebHkcvE`c7rXfQD}FMl1M3dJT3eZ329lDfDm)V}%i;#oRI^Br?W_``px@ zMC))`4&yI}B@N)P4*WomMSqHKd52)j5dG34#LiQ+SSp^Vw3nJhmx}xmG8NS~$o4U0 zR*Z-?ocP^vIEa2vfON^cAN&<5(H9EsQz3CdNI?Q-5lniR$R~Gv#z^W`^$P|NTV~=jzDTHiwt> z(iV?&)66`8wN>D?(6A+2gO4+nJ0HFkT{IZc8~|QL^^e;aH83rx)z$Mq_^?w6c5LhF zi&a9XB>4lFHMTHkVnW5p#E?tFW18ScdZrP%#pKe*j^;~3k{R0dTZ7QBgjOWRGl(v# zT}u1JqIL*|alh5KVuNd7CZFbV-q7L^0ZqCFod;p?7K7tV0d_5!oh_y1&bf3Q_MVa5 z1TG2-_?hx&0*xpLp^82~GiDV8@S`tq3&U@flh*mEx%srf00e7xbS1vI{(FeX_s+BI zHG#s_lIR0AX>(ziwNDlN83tIefM+t0tDpcMG{L3b?`DDDei|dNS=P&N@D#Od$XZ^v zNf$uohIceM26~P0MXEF}!4PSxxHDN40eXzMGeHl>^Yy7Ak)cb1bXj^@y&7JCDCoYy z@wfmGs~8;5<85by;2SutBWrY2hR|*DkR$BPqib!rt)pu}INo8CBp%NoHG}Ard4o{m z>DgDe&{anj;a^SQog}iDTswGZ<%}5BOP=QU-O4p}4&>?{pdVcRMf$jXb9C_UR(HRs zAPhgo*2aO)ZijInc3#%cMvj)Rrl-Z$B(oRKHd9S@-t|vsb7n}cf#-XWIToSjKa7BFR^G(Ia!qx@_+uUm&An{Zk| zI$88Ke)RJgJnfeX{&{t;BRN_6-a$9waP3M?!tMG8KtM{pV7!nNd`KOObtmvCk)}W` zjv5O%JET>YE8_Z;!(~x2D8=rYz@w&l!R@`TwNjkq$O(ICnN(I z-;vdCAs@jIznDN>bi~T?ztZ&LlgUM~p5~^Hk5_{Zjto0Vl(y}pOMC4$-}XLk)r%`M z#fZmc6$MX|T7%5Fj!H)|f1AE%{jkc>Yg+{Z70!LKBYR)(4-Q~i^c7Qi!X8JUS_1a1 zh=mqskhLiW?POBgq#O<)@h(A-U5$T2%_8?^(b+ueH80gOXRzABRURat;XmPWRor-N zD|Y)`PAKluezJuXf^t)i<~b62%IVesT-+tBuP5TysG*H2-W} zWpJ&<20;$^E7~{pvCA2o>s4lZBrq9dPjBA7ml>7JE(*%pM(@>xlVHIZ^qXj|Tb2E2 z3I0N{XYzf)!R;E+eh03wldPNx0-6#%NMtSqNP?)|SCZ>-Q73O%gu#N|Dvx1(7YqUy zsd+magdcrJgmMQA;ohnhDb_H?@r$Aan1 zXni+rI(D%_$p0l-CZl!qkOWN6W}2(Luez-bp`ov=S1TkxBms*J-W*kj&?F&Qpt57W zP_7ZB!CW=lWpA?7g}G2-0~qEp=4n1A#X6)Lh@qI4lC1<4u^iX*%)H2wWC(I7(Z_4Z15`y}npkvm4OQ~rXi^Wscu z{}x(pXN~Wr0;IDscckeh$)n&X&?vE8T15oHRAbR$U?_q}xpFoX6v!!igcl&8{Q3)A zWD|Mc00@@x34b0HH(6rQx1jp_T)AXisUjr`N#FkLGP3iu@M1y@Ku}ERE+%>(8+}ZG zGb=b&L4UBwklX9FxgFL1MpxVW*~GNmxq9O+F0&k zw?@hsR>)7&`DyI)%a<3CpKH}vgsj4BfOU4_!?StIpz15u$=l1!K@FQ(kn5WAfHjpN z2A2}L50RC*O(^{^G-YoN#waUSPQhLqy-Nj~!eJMo4FsF~XXL8Xj7lLdu_(q+FervW z1f4+`o#1~8D)Tc*bBzgKfeFtK2Cp4VqfFXtmR>gphdHHx$bd!6ZUJdWCwxd8>lis~ zqh*=7sV^+EwjORn5b9s3b7m3O{-XI&|GyOKd6SDyEUm$oa{^hp<91c#_79XG#o%y( zk?#B$Gab!Y*8v~D5NupWwm-AF01```K*$eW{l`B@EEf@H?-IwL`>QNkZYcV<6D5O( z{CF9_gn<`xi89eLcUqMS=mL2A4#1-NxgVlfd?~U^SZh+V$XwPyOlWG)TS#6x`I^61 zBrQKYi};!LztmE_d*AW>8!E6Wfd&=8i~fLa1!jvbJ~AIvG( zV6caWerQ*HyEGKNvxQ)|+k(cmwv4g?1*(s^9L6AX55RC(4z_V24umw34Q zsZ%)2CA}lh4SR4`1-hKm8c|NsMyDu`xtg?DMoP0HF+0oFy)qh#N28|(mFM& zY8PWM$?tkGkLl1wM*um`${czD{!9{^LOMS5fwO?l12Y-b7;0(1AG zW!rmpIRkEp%(Ow5eR@umb6Ib%EhMzOPfs>?L(mF6(nQ?qKXP-nM(MiUW!>ACy<$GQ z0q0o+_00L>I%4L)^{aGT*Eg%=^#u;X?v!t&Nk* z7+N49mzD==IXpQYzqUtXYDowl9oEw#Itl&d-pNMaK>Ln_g{|<=v?5O1Bo9fiVzHTa*##9i+ ziFklcfy!!&)?PHjoTp(BrE|3HY2{b8-n8C5&`St7)R*%p zeoijaHmcAGpXCZDaFoU-!ch>3$`d9$@vGxBB7SkY&{NG3*!Jee92|f|0!BfgN+K8| zBo|ukfttm^@dn;HDfuT`b=55;mYJM+6vwEeGkoy0I?a-3RYfb;TqBz)8QGX@Srw1D z1E;Jpnm4BEWVX>%JWB|Va}cOjYvU}Uw?P!u>qNC2wF)VfsO&y3eY%XAfXJP`Np&|3 zbB@RCBn7+jwY2>acT=$afidw1L_?Nc9ZUY-(x!+d hNo>?goRIUZc+CSNLjzr*G zT+e<@aO;fniC^(hGkzV*XRlRs#T@we>AqqH9z8Hkd$7-o1RuO<3LgY4C?B5&`9rAE zCSu`Fa1t*_XtP=>8ZOc`Qp@+yS)v!FfJAlKoU3$A`ShyC37yV=`OuioA?pC z4TG2+drtYF9b@f^}-(xPY>l7J^TrN$$!9-!yDKHpLl-4Y!gvP9vrypRZFliOJfd?Fx zopcS%zl`e5NxBY3^+^(@9rdW9EC94xcV-ULa4XS-WayfG2zxGd=ant@S>pPjDuXL* zsDNT!a^(<@o(Bv}G95J$gNXyzuhiR}u}5Sp9aD&=f#RiFcL67m0L7Hb|)+E2R59 z=_rHR538GfZcNT+UDCLnZt#g`cX;F0S8s5sHb$I#vC2lBrSG>DPpLL$dFBJ4Dxw$Y z$NQ`<;%O8lkxNrnSyE$fpKw%Z-BFyIKyy>WJyh59Y6y}VbS#bn|D+=x~u?zo@S`5aob&9WYJdav2 z?Us9rxhQBD1W!w*+OdYabi#wq8fEMRDEzxoC zTjWPTr{#>b{x9V;XicZA2qHjlO(-vU=Sqs7#it?7`+Esy)yw|#A1)8;>Wh>YG*;^_ z+hP)SPD2lye4<2s6{pH|D?B!O zyrh6F6`%v?0s1Hk8IZ{<1^VktQ~veuXhWwsn+b64{9MchIXth>7kpq&d2hY3#6qr! zsnKR`JOUn*yG~-Gx3HR07RdAOsulijI;#+n84o_1oqY-Y{!=!U%K$*n)s}fyLeJ|f zzPO?k4;0e?c5HJA4zOdLNjP&Uhj3E7*t#zfEfPG(`&}}dC;K|2rdgRz4h!OnQCSjj z?J5D)i@BD}#~QHza)ogNq)s*BYLr}mkBTO_%awT~wJVl&Nla2Omo1bk1W4$&h3+$< z#~Vkm78<%i1@9xki1X0I{I(Oj&2tk97IcX67u?_5`S>`xx#ss=bw3YNR}n5CsxV75 zLWzp6%$JW>W|F867ZF#PB^#x{%&g4sD+8GF|H2$RP$++!#^N=NZ`vhR&rptUnk80O zSBOv2Cstook55`9Ru?rFU!tEFRbRGPYD#ymGSRO1w|nT3?j?7-{&X}QGj8h{LLN)X zLlE&>j!c{$lmU-a*of@fQw$|ef7P=S^{@Vg8&^?D=TZL0LBddZSmIl`Qj)Zca@&DY zlDUks+mRB^_sls4db2e2MyW<%wRjDZwQXu~>L1qIDTT=kCbAL=%jK*2@e55Bzbc8G ztI}bq#I-9-cqRX)H{(5pHb&_^5HP}_N0|AHO7++o{7LW3?Sz7Zgu2PU74WU{ocszVGfa59DRkW8i4uMeC> zf{x)kUrPj+lXLWx zQZoN?=UJ&zH^*9U&|~&Xzeju>7j5yd(G0c3a_fBQJ?RcB&%v8;0ZtdH5Q}-I59yLR zZ|t{7?F0Ts6_m0Fi+>gQDui?`Gme$?=%bl`m3hKpl%C~Up&Q{!I-EfIcYZO)Siwlh zyW}W*k)*N{ky>j4Q>h!PeOvAej4pfwCv0>vi~L%1hU7@J&^ctfa>?Rzfuw z?@QU{ceh_V`%=#>eqIgv&?|_WE#4U%Tg?OJ%f>X$uqbVu5nE?6KC5AfLP&2!fRNAl zcC*DzK3IYf_>An2kRyPws|YFJ3Ej8k^K_tJ(Wm|9vGvK**b`IL9554DFD$up(Q}-^ ze?N);YJ%X^uu%1ZmWeUwA$qeZ@1W3`k{E7LAXk!!>PC8#E#7IGoUYSpiaI@&@6}+Y zi)EXkjHs;%>1<{d$ic+o-_#wxZar92+_l3-F#*eN2n9qoJXRVp9D$m!Ru9Q#PhrBOM?vpPSpVywKD;(IZ zR~M{>4weNMY2b5><;#DUInK4MwI%wULSK8P7Xg7csc+|d7e5KvgH1_?Bcv4Br``YbFaJ?ei)T{#fNMRt{ z)V#p>K)b>j@~D6-{OZ@iGOk4ooMeSICWN%KN}LlxKZoTLTYK~VxNgvysQ9Axn? zO{EXS;%Bm9tPhscK2MS}MYU{SyJ6P8*iWza?gdCcKnByRS+G*6r%=>p`q8iAb68;$# zs0HCt15Q?ugH-V?B0}*;(Ibxv(gRnUqDjR+!VA*-@HW4Un5USqB3Kb!1G6SvDHFI0 zWcAOYV6t^5ge>WI-N))%YIJ+}t0!Rqx4U_UwiTG>Jd5BehFGT#vo8xibfA_(L#_2&#A?KNQP6bKTUD^sdf305}a zFE#&a8oj^T#^|rEHX~4Li-)kXk>T1vTKi-@3bO&S{>r!$YVm0Ps?R(uoafGZ=t+f{ z!O6VnakXWRz1MAg=x2?Efj>Fv%a@wcad# z$dLHZa{t8jR46n2K_MZ3B=NjZxRb?V-Z9r*wvbU)uTQXwl*B?s)Q~$DY;2^av<4f- zN$u&8#dO>y!*g7y-=kH2*l514x5kp%9M_OPS4_QMUfQ?=uKq2F<$-WLcdppm2t#RY zA;zglBI7kqSlqm*cU;soDB=9|7NoWY?J0%J))Dm%{L zKXdes97=)$Uqc>4l^^&f7vJbDc|+CT_$Suj4VJu1gJS_t3fyiNz&C4n6wGMCOZ1^` z>nf(Mes^5Wu+(^YQovklOvlp-WU4aX(XxMnItrgJ_Lfdx2J8VAtmWE5O;j;g70DfjE{VAW+4`u z2_fXjaw{Dczf@-A_jy$F-wsx3k<;~vgAxCehM6?8x(yFf?upiDTZ0?Ke}M6v!X0e|9<_ID4IqytoMor7FTaol2BzHP#YoDwulT>(VJwE#eL-T*&`d zrtTuHpopo6kZe`L6=~yxsQpdc=_%p(l5}=MHoqfh5Wxef__ZwUw1h<_XYJU_!tk{$ z>b`{KGbdfe)uMg3%sjS4TT@T>K;I#8RvtnW@u1Nyz5dwYcOwg~CRR*U)X37Xq2*p( z)6L47tCclZ^FPx4DchgO-5@1FHNECLly(}=(3zw%pWEWy8#~*eX zWDAM!962%%as|#C;P#u-!|ybvhS+IL2(nQh5@e#@A;`3df7VgsD&1hF&%hFeKd2|W z$)m|=YApoK=hI}OIs?}KVXrX}wnVR@DtX>onaJ^rvMBmW!aM?BwrWz@8b&$|MtTTF z`UXZC2Uc1fR@xa>IuEw+Fde2DJfRo`a6=f^?Gy4;v4r@2j&n&rX6*5QWt=BW*?z5` z>NOw+)Z*OhcB*>z+p>iI{f@~H20U5j!Uk^&)KS|O6siV{Nl9`r<)kX}lwpg}@D(^{ z5SrkAL8MR!$i3A%4$NVEP2TFUUPj;HfpQyB|#TE zjkVI5sLc`c{mu3oUV*U@-e-x!3pG`xRpyF4&H2s6(jRNkYw3Uw6}K%diMHQr3N~@} z#8|w_Gz<>qGz`g!YDPx>cBvab2@|@RJH(TaMUvx9Ajn~uh=X2=3wLZAR4mu7UFhDE zy1`3eeTr=R%?ET(*6r5rd$dIJ8nsM!8q0FDO8%jb{-H^C|7J`9S~u$))&Md3v!xC& zD*myLb9J~dG7k)K5d6TS0%;I@0jhz7!ZTgsKXZcOI|FinPz!cv0C1DhWwLk&-0QPh zzeAi1*sVRoN>eN~-eB!(&;n++r76kyVYgBicemtwh_iqalUEf0W9@8YW=SHbDUM+V zTmNajv^Ud8PHouyB^}X1tp2*iQ zuMuIAssSJWJopuJO;^i}G%?ftEPxD8K7rJvCia$M>bW5DwOiFJMJ=0hOqhkJ?HPTGOF!N~8&YP4bah51+q?iV4w4JFHIlp+? z$p6&}0PW^!BwzSang6$Dr+;X=B(aPe_VoX@s9y%yoQ5`x7b-ZBFvftVDTPOi`b(9g zO$X05=-*qROZRz60qR2M#uE8=>OZ+lbvh~HLmW$|3}tE~3|sM3w+vH?yPw`8NG@j{9*hE21`RdiF8R)#WYLubl_a-AhL z^gS+&JudY8ACDQ9|bvRvhV?&ZrFom*p_8D=4Wvl;0&Snto}#2 zAF+zu`rnp`;TkFIZd@I76!Iuo3T5HU!v`(vw9214@ydge^~v}5cTti^d>m4&EZATg+#1sb3zgh0Y#O?YQsW+g}1~C^~lLp=?0@nfVCu( z#72*V-q?s8p}XxQct+l>vi9T4McWLG>M5$?E5RLqbBx-l6oDk*42=mE?mJep2}$sh za^_6>y5>mLNh6SdWO{9VQO%JLVU#5pU6Oq$<`U6QF0t7eV@IC~&cR>jc-jt}E23EPM) zbyJLRMv2RGjsL($FBZ+WpALU)r)n`+1HwK)$hGHfhc1-Ha``QUdjYU*dtSEAJSnV{ z>;a5Zz%^>U$8MIZRXiSzXEMX-jNoC3u9NE}E~6Y4E^Dj~P1|?4rg)*rntLcM_fu51 zrXtU?Uy;o*_AIW-MaqWTG7In#-RTH zZiZk}7Y zrD1A9V}uA`Pt4$>0L><|aF}TeP5B>;3~oKv#%ts)|_f960 z$xMb(D8*98QF_A&HFz`c+mjuFB1K+-g)I_4&ogHi3zDdB~ znpJA|qE(75kxU@5eB+6l0=QP3-%|^~=PXctfSdW_9(U(2pFehYBMLv_bLR{tP11jO z1Y`z$htwhwIiaRlBhU34B7e51Fa1VlG&`qKbNCyv>hL!`aLHC3+64b{`@GqVlIfBjbw##6UeL{xV;~p$-w!V}z8+uh zA%H8)8pTIiNKMVfPapn5RPDczLTKor*h8l**gB9#HCo4bIAe_4JX;*Xl5^XFgH=;bRV zgB{sQ^gngLkLb^abj8wl7x8r38_E&WujY4`_c|G_H&o)Iu4C-;PJ+8~jK z%>q6!0w~7pwch5J1MUYLt=`v{#;#M$doi-kyZ`0Y;wvg69>L+?RG+0%Kan}oR#dtJ zEF1x<@8>SSx!;!nd7nK2@_4I9frl*eT0dFUN^9l;V7dzcHXzG}5{tw(_>qrF7X-PV6d2gRy3OEI{}ag_HmNs32&;qW zTOMW5IJ~K_ckpsQH8C(i6zc23A-?>yazDRmjKN`05mR8}3Q$-FNGWaP12J#zNN?{* zxg3Cl8^ez?&2F90=Aj}NdM}J&X-qK(v(XbGgr5@r(|Ma>N(@j|LW1CUwG;9YP;q|d z_bH<%1kBU&*#^LMuw59z(%2k=n%tC@aFIxV(AzK#PN5`3Ad{Z+ixna$|IGK4=F_-) z*bidUV5ki4UxP;=!Dr4)Psq0XQv7zq|MuONX-f93-cO^7*J;_iTNSI3+ z&1?`G7J~b(hZF1im)S~!*oQP^jh}r6#a=jnvJv4cp(bHDuy`)nw&o3Jj4z%;Bq_FK zslewHVG2CIgrC%U7V05l-GsS6C<0!X;Lhz3ppT18D1Ljp2cJ`m|LB2+Y-7W^c5g25 zGTuSM$rUpQ_E`o=-oH4 z(`v|NAXrsStoLD@Ug)Ahf%!KpDJQh{LQXF2AG=n!J{dlnx%B;W)m~77Iy#c)e1IAwF;>*XwHh3fZz=R#2~`rBs%0#uL`qG3$#SMJH7zts%&-JR$)RA% z9SGK?*zZrVNI6x3h{f23p0s;Mn};th#gbwK{^C@Z7gxL5nh_sy$@9V|&&U;{?R3uk z!7pH|k#)mM`p_s_5}W@o8*AA|NL;~0fX4zfRb-I;Sb z68Js6Y(HFnU|t*LbaMsvU)10qJZ7D+oZRXliQZ1Fgz|0f>J;^5X#)Oy{rkf{O1EzGmki@+O(8HOoBW|-^#C@9~0 zDlwxfq`$X_lcPubySrEC*FLA+k{FU;9?;TB`i!Fc0gKAWnH?)^py!J=3TwVEtuPZ$ zjKBFIb^h*JM`M-aR-%`PZ>Enm^Xu!QCXMsud#3Mp@CAhOWHDdI?w2oMk?9V%XoLM7 zW>228t6vUZ>^c85OjC^_*}CYf z06u3dgc5cTEt|_}qT3f?&3wZbLFCg$(SDvW%tnn^AT2IK-6t6MG^KpksMAg-@XKoh z$YJVNKT98(q4-qBq-Y-4zq&>u=+q}vWPAF1M+4&sND4<=ynAKV=ADra94;Qm$NVR} zPQ~dbJYTtK+1gL=3P~A|ZYwzu2pw5fFq@m@4241fW>vg;h?`1|9Oe-;1x&*ux>c2^ z)_#G05y=;YbK@{!IDyfKfkDIOkbydfLgDb6aIW&AB;&x8o|HK z9t|t+5cVYk)#t%DBSzRSmu?~Y?CkR}eKo$1!Af5IdgrZfu5hO)aAHTO_OEA?KO<$p zq(kutTr^Yg_pYv~N?dL8a$u`T$slOG+cixAP(KWO+r7pFeUhvOS$vEaeBxU*@f|r$ ztUAZ!CVz8~v3)<3EpyYAM&M*yxpCejo}zyf^1IO|FxoG2c9TS7neSBH$IgLy(Xu{% zo+x=)odXPV&rDVq=^tNSAAO<}VB;u7uO0`V4xe#^@jsk$GSny~6_s@>SV8x3wIOud z*g|(F&5e+8z#AmKrNJCj>tSlTdTcP}`JUeT3$GKqdbxJUw`{EC4#Kq-72;U5EAb6; zd09cOs6$AY0B!o)U|rEbU6D-PhdQcFWAbg{zE#+o~Rnc_}a`JOVQ&+fd z=ytZA-Vp<^pYL0U5DO9+0;JayzTh}T>*ctfvYfX1{in&3sVT;0xQ;)Ol`cZShLkTD ziINZR@F~uf2W@oEHLfA7J}3%M51?-P=NQ73wYAB?vorGAv-EV)1hR60^F4SJdpYUA zbPBbNBUC(>xHK}sSFITFA1$V8^jNnyH!mK0H%hf`YlfmC@ZYL%>AA-Q-|e1f^h#ow z`E`o0OI#tRX^Q@Ne!cMXp>w{J(585v>Y>#wyb->;xH9uBzZMJX@tSE2DiR1B!Ez7$ z9h=a5#T{sPDe9+h=ofrexC`zcG#Xl;WFO>q;vqpI9Y=tXZ3t9DblAuUoGMxq8+!j9 zIkY5~KP1%aQ$EO$z@sSs_?5PCM016HeY>`!R9nV2NW!{;LDS~wu`zwZG5l_w0Ywu@ zJXk%SjM#7;BR!p~T#FAO|;%Gq-#=c$`YF!MN}S0j490XQ0pv&6Y9+pE#?WxiG?vwT%gzx2IkwU z*iTt}DPK+Tzn;&c7zcFuzg^5h+KS~1Yi|dZ-HZ0G$fvBA&ruUHqo6Y@K!8VkMlEcX zN>bbP{Z#iWEV+TnI(dw=ZU*GL4E%zt*Plnf*V-TYeKOQ)}QjiXE*IH#Ph5x z?4e3WcmC^Icxa8Cfc~KG78Y(8_GB2={_ixMl~Ilxu9!|VNp@JL^0hxc9>ngdYGHB+ za@`%%1~)fvS)0Qchz9A|jpnWcl(Vm2_9Rd+VO`;ru%Y%);6YTz;aye+Z(zO7;g4~A zINRZj7T6$!t)rxW8>PSdE^Xg_m;WxZBq5rua%Oz!D&6gJ7!{B@j1SG6%*+*zr0uVZ zUB^&IB^hzt;jju`;UcM;pgTX>J+kHC)y}1yg!=z|?fC^8&O)064y73VUEsFLTYngYKQc{8YoHWbd)gZ`z?YmKCA9o6}*SXmz0SX;7h3K0ya0u|TOM*`iWIc?hD4t@by_AGuVK zbc(FAcfGj#-65Fu!^&DpU+CKs^@?&3jf;)GQSE5Ce%&9u3Wa)l{Z8&+P}5MTCMNOR zzOiTpb~arj(u@M zM@@*@BIbJP?bkD;n_0uikI3E=_)0p=k(}+7A0dLTnN`gK+g7e%Z!PN&tt^+M(4y4IAgT;<*(TE9>^XJ?((kz+q>E6%)v?MLa|^~ zc~c6H#KTA6vlj=;BhEr-LJy7K_quT)n+j#{aFPkAlo(`4nirtJZgLZDq=6(Rp_59qRCphyL>GFMgIns&`Hfh=%eSS>tU@`K6h~{GYi}8oAPejX1 z55wt7EmZDTkGLBqsu^`3x7Je(9oO?jH)JH8SD+zB7bHR&JNToIJd0xMs%5qN zqW&(5#|aLWALcpFbW)F1O%kF)RqavpC)ws)T_MS|J>pzTL?POhk4{$_K^zrr8RlHUL`pdq3V^eu69qyah9T}F{ zYXby~^VqFuryvQ=?I89tGVLKpiaJ4brRZ1^jRmY2dKLr{+^sgY4LQPR{DdGE6}(w7 z4FS?`1q)>Y`QI4B*-14$Eo2wl%T5h)b4Fcd)7=?IjJehGLvhkwOVl(8CF{^j7EIGF ztql7EBb5Z3Mo5_#)L6U)*6P>>E`tjBbVfRThf=w8f~rwvS$I>#MWr@H?AaG&-ji}% zB~WK9y-%T#th3+a7!ZoaCyooTV*rCjg`(t57@L|IXF@$&Q++U&tANpqi$0|^5u->< zNybtP9-RXIn0h2_;FjK@CBr~e$%4xRqe0CfToj`X5_mxz6SggW01Xy{qZ&EPbN4-H zm1Z_|_YH|8r=(?pwoCxuqR56!1>L(AIyEa|jTj;jDT=PBsb~Lm*$uaa+@s1?#IH&h z($HV;%$J5PlC(Hg`kk@Nllyi zQzqRW+gl`wOHa>t8}an4QA({Dn`Rv3(v~&Q`acZ46==Aswb*YRpUC>U_=O8kPa5N> zhGAkT)wUR||8ni{>*9Yo7x>hI`!9=+bsA<2Y1O1Y8kU9SSxqLlH^*T_QPn(0P|1TI zmRbpS=_n;HJngunR4cjaw`~}jB#x;_qU@QB^e7p3ztaKlVhCJ5m%dG}ns9G&b4hb? zQBi9>9#)H4`!r!2RNw4IUR)i=jgZWZfJ*`^$@!XgwaDo6&3E9oZMJHs=*&%UD88At zeUrC!Q>&(p2XDE+&qI(}-@%y+kGb7PGK6W7H=6b%ZWZw++q_PUiCcqcnw>HS*XBEK zaH2HsF@ss267OK^BQCsFBi^VJ7}BR!HQ(Eu0gA#;GF{f9v;0H9zczV%_w`*9(XpGN zgMe*sGiwG*>55Baugu~vsfRzGB=LjUGh`puno5l92NM-9Mgst=gkz3?)~sP#zP6pV zPDsc0i>$_~R2r!3>33It1^qG|oq`AFoYgeKYpGms=Gz4Pj1;rnl({Z1HJ@3ybK*4n zxx-~$C7orq$bInsy*-2O2cC?=h-m&2=@R<3j>p{VOC^-6B#0J0erH`;b!)9BW$J3V z#sahZ-WlRmv*%lUw9Iybxw~b}^n=UKI^|R!?S;4KU?BLePPl;^QGzX|ak{+&rky!E zdr@CFrX$19en8gdZbX@KO;~Gg7mnMsNBRYly}nFTr-@}!xvTCfH@4TJmoC}JYOgku zcI-I%tK#lhbF-l9R*$O7+Uog65=r|a7N1n8n0jYbst?foqW*m#Ripo|CI!qdi*G8e2`@#M)lZ_PcoAu)b9~jq&~Dwr{gd9iuMq zLQ3670|0W+R}tGOWO#o-Z_)}@#>N+VFq$(yk{d9SHD%A@YvbV>`b}^){_kyU%2*{t zM!|E=xYu~jm^z?irxFqdse}w59Wk`l{j7u_Kx?t-@h%`yrn&%e;&pfzES3sdfkaJ4 zKu*=fb}@Lusg%;9_DJK)z(bq5MN42|jBzmV7RX>r!s~Ir67p+civ1gcUgpl9Bm|NJ zWmNR0iGAK2I((q<9%q(By=4_>+-QRT!g@ay6wi9U^YT#uI_48RJeZvUG>n2G^F0Vd zx$P#^bve%VdDivtm+vJhL?9joG=x~3VDX|pUy^3BUu-C9 z&M0kxom-UM6{l*fN6dvad}j>mYM8CWtK+Uo$|4Ftcb_wc{nTYsr;bt> z5)`D}l|SVQVCY{k`i78&2!!6!e3>(LwE`|AA?G<`fQuWSotGjY!s7UWuDJFkmMNNH`GO`Z9Wk;R;G*6i`v;?--!lvXe+ ziJf7Bd$2mm`6cdY<4>(%dj->uL-<&r)IKE1eTQX0afiR#)Y0}=lv{ztnx;|A+v@0JXp3Zfa0@!J6^{#8KVG{7W&-i023dZ3at zdJI4Rh1qq0E}5JHf)3;?t$DDsK5v|b&|)n%x?jmPU$Fx>CU7QKIPul@XCr*+1;bV+ zpkiY6w)F`8HT}eRqKp;d^^jJCegt4gpFdYZV({w!^bFk+P_Nz)H{sa(Yz0)H7SXV} z0Ae}Jcz6;Y;AyK8KBDs>mAfng%7|2v0@R=%pkjQp7~|SN@)v+YpcrPw2Nh_HkJge_ zYyWVLi*S=o!;n1xQ#*XZnORYwzWKuZ0MbWj{-pCPi23VS)xqb@yM5KHDkXJiUFfi1 z50XT6&~us(!eqT)2vS}155?`4`=G{=gpZe^Bo2}LFjXMm40fp@wkv5QbaD_6bVY5m zpD{=l;W;!*7I{!c549@)C!165id}rtcHf2pg3WnsUVcbd>CzJxe&hF zZrAQ;M6~TM?z*Wk?gLsb+^}^JfS!P3fJF-8DQaq1M}&A@4gdzw6QCVe0AtO46ZyzYaDw2a3Ue;jFVYfH8oG0mrL}Y4Y%44Kz1wvTy{l(rstC>2?6TGXoHwc{3BRCVF^+!e?s9Dbrvd&M_9>`KMwk< zW)M0UEE{Pkg3?d^ugy|Fg+%MMc^AZT0m`?2cR*qVOy`Ve=Ygu_vRg~*`YRk|i>NwV3_e5pM&H)!%*~A9h=YkTh~{N*XZ@9bFE5WC zg+9T{z$Y*t@Q^nT%@hGNAwU!XSfJkNijS=O_BC|@jW!Fo2MQfbR8$Z-#?D|8+F?^C zE|xk9P%a@?0#x$38Bo?tcVJ6@o4OOA=FEZQ)Y7Wva?`3L5?4T12H?ESJd7Y%*Z_*~ zx4lWoeQmX=`@wk)G&~>Z9=eJl2wr^>!PR%3%4PEXHX|nUK?U$xlcc&%%R%h~K30%H z0-wuQ=4>dS3kyoD$~UHAW?*Rk|9i9lZ}nz?T;PA)M|bGM21FD*0cf(xRnxOMARL0@ z6WPLN@kqd+>N{i)-kKlu1DaiQQ$-PYP)ak9WjFwKy7A~Mw-?d-y zwO{nEUFzyHf+i~4CpmI zaKG~P?+DALPA6K`jC>EqQTT9Kw`vlQ+^hj`cr+0pju}0M^+4P5uaQ+I1-f{3biS9V z2U)O6uIQiN&Elp3$#%T0FOl-?qU4U3=4UL3!9-1riz$%b8+L3W=V#V+_}=Esmw&)k zOa9HKMBZ^qRv;6>`#Vj~kyR>P(c;FE*VMP~nbc0@D#Ti;OJJ^vcHK8oQnKcm)Eo@I ziM4vN1~HcQ-@3eNtVRfeOIim=Csi9Ahq=z%>kIcQ9htHPI<4dzRgAk&`$HKuB@Tz( zNs7455rLIS1HFEQ2v<+bvlvwxSgpAm3*|?7GU{dCp_Hr3m$;F73$M(Q5 z>9bq+&V2x4kII*)1MHGtw{o{3ys&ujK%<9OeL_U@PI1!M zK74j^W5#`>l&sAf(P2rM{{~+?E+*6>sjYYKgBgSWsiTf(X@U9o!jS^@1(-)Bbupt% zl!I?3&?el9_6U5dea6YEXkKibqzx-OgAx4)j#JcKwl6&k0e(%|N8(=}y2%!+OVF8*jmQ;*nb&-X-=nckt+fYYP1N z$;2f`{HlcXB`4Ih-AL7IX91+(W}Uj7aHzFhaWLQ$zcb^wD?&df;hvpZ<$Kc=i08odBeri{)<4mV<#xhK z^m}K+@&uB*_t$xZw=(0lPv~UOYbPU}BM9oi{I0TzCap7gLd+&@dAq&P75vaX z(PUBSh5n>_bK|ZU1}?!k@K?$E@^I$iyV@1Qxh+HeFrw`fkw}|{aPrk{w(vXN&-_XO znTi^H?lx;k4gBZ&L~#SVPillDL_g>naMi`ZE3&H&KF3-qi2Yt?MH4>L=G!~dwCyJ zmy)lipyp!-L2lau=_Hx9N<}9x$VZ)+My;Agh4FQ8y$_L&5AA;>A@FTmQRpdD z?fu`~lXTu~w8#me?-KiCqtUf>*TJmGv{`TAeE4n|%buII&+>oWCh4)g^b`LCqLKRw z!K+jBZ{CCdyj1gGuFGBB$MUF-TK9d4wr+DQMqZbj^`{;`kL6i*EPe>fD@kQ3NqqI; z9OuuaH5)bk>e$g9;~H%ZRwuw&XDMAAL-l7FpLIzZ+@--Bm3JSNZyJ>k+ogGNNvg{( z*8X5F51zg(E;CZPiq)kqngEjjBqo9;ob}o6{KR7jJbj}=72MCWZk2;m!cq!kM{BC9 zbcHUec-@q-N8*jEr;lRWrKo3 z^jSs#pD(^;PYR!})@j6L-=?nT1eS&n z5=UO!(#gz!3Dw#R`@O=yA@FGK=C^0-bWav#I}e8k>uoHHmCKQ0EEL-*Iy$r?pGjusrc$#%HI{f`^$6gJK@P0v+m z5dbf{NX1kFRfFK8}%Ef={70h16x%0D{DfY zsw_{%21u0F^!ODbepH_y)?ts~DBCmQf$7mt_n$^Wa?K$%>ir8fAxPhJykIcFa!s2Y zJ%+r02#B$6D_tHDX}l&7XmYYCdjQPY%0W5#h?(8dLD`)HNY0bb?RsJn+t&%$N|)>| z(3EWGE}!72`<%rw3XMYqRD8NusJ*#43R2bmkk5t9wPkNzUH$1M!CIiIk9kohi#BYi|&bfLs6EbUdU zhw_2}febbT|p+%^=357skM5=^gO^IN`x-i>cg0Svv4g#Q^9Z4;xX%7@y8{FaKT>f3IpL!KM<1%s+ew2 zBr>_k2qOzg;F^sjLn!9>tQ!u^I2JZ}U?=cK4L&kVAz{kX<(+3V)}FEu$?1VzOOfkZ z+@l7)E@+BbF7pr#3wCDcb8aNGHILAfq$7yl{{Y8;pf`X=Cx^>S%%Nls9`=$-mzINK zVg@BJK*fRcU>j2meryzzyg%@*!{@CU)AsNy%cMdcB|k7JWy|@I#`On?a%~qJY3=2x z^GF*4D*7+}g(>4@gk{RE7lBjE!EaFmd~CiE%fHdHKD{YAD+T-BuCAxgp4@wi-{rtD zJecRbQEo*i#pmT)KX(`1H*=WcmHwjBvGNLDCs@s(l%BVgn>HYR?*RbrJL=LZUXHDC_^5__`YIE_)?H4A$eYFF*j>ZU2?&)vUC5px6Hc`e3rDe zT*4P=V=^wk?N)HFAykBG(Fc+Vlr0mf4+Ba z6#$PTLc+-|W}XQLl|kAG(7vTcagzj~09!MBjBZndaJJvn zIDeQQD2OEt5>O+iU2EcuaTA%mo89;Q^UM}C&x&SbH*nr>@xOSe>@wc5vGMDte12Cq z8<#Ez+H;euD3Tl-Q4IIQg7(-g9V^do_5Dlg)h4>dKX#tx)s_+dbP#z7;LeMbLjuw5 za}(GPlwsz5bCRQE(<Eh`hFT1hyj1oEc*eB`qU>~?_iS!623VQT>xOfXLy@P0nxzMGo;Du1blYPaD ziGYVMvKAK~#=}chDph4gqS`&Gy)c@eRi=SvfK7CW;xOWf4 zMC~xzFbVvEN{YdGSzGU{Ahr3l9ihtKKj;>frF!o3`p(ME=IAB@bADmwId!t@Y;YhM zo=~-kx9)&M;$2f!v5UhZkyPFs(GcrWqPGan6Kwrigs_Kn~yI?7|( zquUXJ`5BnfeQ!B;LH%RaTWk)koXr~eX4Z(X6tpJ-yFCJQG@>Bl2Y2>6MlW3l=kLl^ zLYr*(DS39J{^aIzNfoC8CLj%pyQ9EE_m22}B#Q*>03=En4#ijsjww#470z3}vp-&f zMn)q2lL5J+Zok>~C6*E>ChlPkP?6j?nF8QJzP|>+H#BPD*Okk!rRk94KMjB8>pyF7 z0RJ&96`0G@M_M5r4ui%@po3Bn6%K{QDlG9gFX5;31>tBs z_e_UQ9EnE1u_e~D^Q7LD#DtWLc!&sWg7fWF{SIZXpkM+D2a82g))8?eY)znOeLe>L za=?ZD5)C)EA{9W71HBdvSItZ>aTfNg78iP#KWY17`?5DZG~wyOoV3aJO!WKgk?r#j z4cH(g(=dwL49bZ^q+JgSr^Tl;k88dTB^07{r}(Z(nx5JMHkoxxoC4`c@MN+6Y)1j5 z2sXz8jRbR>4%8)eG{7LckP~3w5fR0gMRCF=}A!-vMMNZm;EhSS+_%yuEJprec3Bd@ncs(GR>=h{vj9t4e!GgHOJmG9L!@=<-k z{lRC9uz?B|B*&5a%SRw&(wpVP9K|@FY!SSg@3S#nkf{M*l3+ol$acPgSD~(W=lvJ{ zN_C^=qfs}JcG@E5sluC=`IEa7^CUVeH4a>&L(#HH{uITRp>LyBVE6dF+U@;lAKOi= zrf6ggRwTM2?hx^QI1>Rb@%z_HN_Jy^MR_=P<-u-*RLBC^v+K&Aqsz}1M{k_@Ctti? zecDMU{sc_s-WicU9c4wKJdE7di~0_XG}&(3lk{3}nJp+;pk)omm~dxaAaq_GUJWjU zuVEK@XVd+3hRfRNm>>C=$9egz0Kbju9#TkARLFQfbN`UgUEUmYDbz#0e+OIni8{&l zSuq0q-Y4Vi;{dUHS~s!&joAo%ivoXo{`dCh1pcmJQ*xK+trVg^E(*>WdG5HzWi%0A zr*=8;3nBufu~D-mR9(+4v?)Ik@mQ>P>FaODP#XsKObBQ!5S2`(y^fnbh2x7*Oh=O$6kQ@SNf`;Jqyr*#SVGudWj!4e;5 z9ZLq0_Q2?I0i?TmYwTWj?_yPb(;@PPC3e2;4`1aNO^6t?K zf}@v*@aekY7R8w>=^+o7w`1J%ZV|3U^oPYK+m3pFt8uB5v4JPHw;>@qy5!6-yy23l%q6YBonYLOy^1ui1#q6Yq0A2#PL{T( zW?n>M0e^6jMWQcD^c%(P2`g_eff|4o9NuX?tBB3lhO?EIQap5xizf^6&_E+~0W(&p$9LH>AwyJtni*I)ZA88)Xsmlb2!-blcTUuNhxG7&Jd{hcU-DdBDe^>TQu(Df!!lw`-q$ zNp^%_ux8f>k*8jnx^!Mz{SoJywtx>ey$$0)rpxm}o_-txO79&5qFSLB_mBM-iyqXl>oL6@gnXFUYB8X zjLfjc44vY}k%h?p;RE!sWCvA9C z44;H_p)AD`vmlWgaQ)HKp;z99WP0k=zDnN4%jL!EW9^sQL~Zg5jb_kdrgB?aHFW&B ztRZaJ{Hxsj(y5fmD4FCkS#Q$Y<_oX4D$K7pPgCHhw656<mYCWl@Gy zqv4{MRHG7cvufM`FOkmsl(!oh0~l~6c8Ebe*1niMhXr7QVqv5;vftm_a`f6de#?5O zC6-}6QIK4PL3zBz+8>JlUiL*MUcno)gML&QbwlT~E4*qB-}{zzZKLT$xLL=NbCIB+ zAg2@E;~Oh|EYZfR1Xq5q{DJ*;w;$5!@hiRbph1*i7MLQ>+D@3-az zZo60rK`JSMUD7?`!|_A<66oxJ=-X#1!T34dF>ef)ppxPE&RDRPH8lL@QSk>nv--1= z&t*O>A9j|EztitO5(P8D2@ck}C{GnBexpYe-215;V;e-8Yr|Sv6+}90!#aQ~j^Xu# zU>kY3upt8n!;8s2M=q}E2QaZ9$T6>LSGo%_1m?@r8YHXxEgq+}-Uz;%*0nQFOGZ=9 zGgUy;bPz;a(H;AC=kl}c$AS!tY=mxfb*l?c#gB#b1ZveIf(lFDUy(cdYPR*Ylx1dL z!PDT-Y_L_*!tqdI99^WZt04W1t{wdB$8x=NR^oZ6-3Yy`J`cAk8+F6t&)@kn(rS6z z`4;wDF5fhEj7B%=%puGowhjok^t{nG!35VKeq0DF+cWkyX%fTLmPRt7e^8UvpdN zI|SY$m|V%Xi?%FRSCJ$4JSR(FP{%Gd+P*;{gt%*0z(x%8P&M z+9ChYz~FFIjQierEY_rHYxQuvEueb5pWz%UW6Ma>rXsUDm&xwUD;CHL%tn+Zj#b z&Ceqrm(!n`P*FYOp|8i8Do)#9)&EXkdaSDd=dbd>5A-g$>i8cU2xi;M1+ss*?U{fT zHBH{y)?>OF6pozg0Jg#j>848$%lcO}>90bG(VuQ2ylm@$?>POz;_!LHaw z^I4l+SljtEekF>o=wiaMX8mPe(;Ll{`k5**yN)1Bn%`cFQN^}i$ITY@FS3Vea~!k! z2WRLOrZU~=@pwHnwb)-v;vxZR!XmcypT!A_VoDB{ug%^sevy@&UX%`QFFt55Dmcj8 zMg6hI$6gb;IsE)$_3Fpre>S$RcXem{dOE%ic{b<^zqDVqP$T(p^Cd_8v%)_p{}s$#ibbTI#6LDhg;sfl29KVRer9 zG_7-Ndp{)ggSxsO92Tvl*)^kkY}=wO&CB!efniXzVUP{>T;xhIQ;WQo6SlO|g{0HA z-#M^iStDhmloQgA@IRfnSum5NUmD@c4oAzPtrJB2|8(o{#fghb?Hd#=|D2VRnvQHQVKl<*_Qy_bo{Tp}i1$mzVNhgS-$28vCz=Ygx^^);VCeE}K-Hmtj_+!Q z{nR~9_`Ts5m(A1KZZ`Q$DCe#pUEtXiv%NMGCEGV^?aL*amvQ&oKv5Jfb zSB&_@@*YT3wT^wzX!iN`IQ+|WIqiupKWU0Dw)wtnIc%qgIkl#VAWM?asRF9u$2+2Q zd{!<*3Y?SEsJx#kOv*y-#>Mxs5tOjB{g?-6jDBvGa?Trxl<($MufQlzA|x(}BRM!d z+4(_bAslz*JB*ZL!c1NYNUrfCtT;U@xbTFV(v>Z8z`YF{a9>mz{Wsbv73CN*Qyo$c z7Cr&+z8DEPRrIWdm@}1YewS7z1GhY-mCcH?$7Q_#Sd)}cC@wnt3zM5Hk}D&=%vm{y z*I?*$YZSlM>o0RznT%~sWKbKu0(5V*=4Coye5^cC1dM=McR2$Q)=)$H=2!1H_{(qE zDaX*&UkD9~v1zDjT!nbJB=pO0rIgC|N-*wX5*~{0@sx}5JkM#6Y!d%~R?hYEmvEO^U3H2j62eBb@0xa8F2|2eNa&_|> zMslrSa+6GViFBAV8_3r_s}jF(EhGkpqG))c^p*c^{%0ToLzZ6b97l(V5->cb=^+6| zyiDxBc0cx>zm`a8z!KRfh}|YtCiT}Q8S>92iSgGGnM?`SsM;5>qLB3tp|6uz$XINJ zWbnOPB#R@i*a~y>9vonkOo;&Olmih!N-I1dC?5koO)CW0F)x{;b>I@7f8U2d4?B|P zOwkGnn23$2h0~$Q5&fnJ1`=U#{>=^w2qKdkx+%lJUSb&}ieW~g;sB<;_K!p;X>0}cxILM?z8mTD}dgB^lk@C7WmA*y{bG}^<* z6R#mh#12o%&m~;TsX^s!%i&FW!1m3OsX=fk!V!s`Rh@nCNy7Tp@TR;;y5VDhAKzF1 zfM~6t?ai}7EA-R1c?uJ3!J?>TWkssx<@FC?m4Pc4wo#|wJp={23J(U*7~4c7qfTcM z3a@xW_MjMjY2Ld<0S3laN@+q{xoK7s3Eu#`Fq{GrF%-#2HFAp30;xB?b0e$-jU8iR zqoZj5?YX1hZnPKQyH_Z0eU!D)j#S&Ho~xEBzeXL=+Jk|p@fm-r7iFlF$VEERTUbQGppOOc`(AN%owYfW|1pfR033acq=`Pe1u z(^Jy;E1S7x4Jdwrf{^8WS4(l&f5H+b`u*8Xq?!Cp=`64F7%rxhS*$}`LmM!E(t*_% zIKyz!6l+p^-e(`1Ekb8x=0@Q6`zn<hlOKJ24i`MhSL{3ojFKOIQ%*db4xZXn9Ac%brp5&?O`CkE zff^*Iqta*@PHurfwL2yjlCwCFe%E(hSMZ5l0LPwdfmIh@Fc9;j*F9O?`Zmbkj{pC;u#@9NP zCQ`L9pXF-XP8_|6#=zN?%WaGq2y#+akJ+%qE|gBLG{!kpF#m@Ek=lCy8t<$5S5CD= zYA8iY>;QRXI5%ho71zP^sW}!J<{Ttnv?M~+`G8?*s_J~?`O~59OH+%#7R21a?udw@ zq9^$SN?3HTf)J@v!aFajo!A@sK8+DM?aG+_VBAyGrCTYNoIPkbDCu~4Uy#)cS|b61 zTF>FVy;HMP_v%dj28ClJlqwBONBYD==@&`c>B#f;z)OAOrREA_%NZpL{YN2>@` zI5fVkkdfjUVfo$kZf#oHWgDMonApvY|Gh+p4a7>x@ zb@aK8wPrLx6Bt^ut=e~a_ z6Ne~$D*lr#vaZHO^sprSwJR=<{jxe#a)FIbpphaYW&#TVA2(!k2yXL8+MxgoO4GYe`0se`{6GMh+LM zNcYj!>G1J7i6JhA584?Y#Ohrn#Z4@;8INOTEEWIk)xY$jkYgX* z&nTRF5M@Bd>pW=>>@1Pm4v8?X9#o<^?xM2vFp*yV8DB=V_qu$W>i?$wc1(Ndji_|U@?JWR`*l+jpF zEfCT!xV)`6&Z`BuUlRo@LtOqZw!lJWWg>=(F)?{_zI!Ww)3d=mCqA_chd{)M!BQu} zR>QYnm7j0He~_EyRg6@p>`ot#fDx;j|KjHT^Nst%|Df(IfZ});^-bK}o!}B2g1fsD z+ylYgJvhNaa39>=-6goYyA#}Dhy2dD_ndpq-FtVdw(7rC-}KjC4}k(QHO!lDE|K~U={ZyKw`LsUF4gZYNbe%;9X{3`k+SuyzgSv6 zygGfsc`BUr0yDl$Iy!b(SnryWU$V=EhqB34_`%6J`E+xAOG%RFeYE^y&0W5v_vlig zFlghT!Tu0umAW6A5B&sx#fl5YoB)`F+(zk3K|C-%YiNOToIrY{tqW+EI|VSR@*MEY zB0XvWmzt*r9e|}S0KkRGzwZu=OyeJz-@gHZ3KL|_{!pilxs258iaZR5;Sq54@x8=Y zZC?FLhb7iKvXJq<@k0n0k*|+1s0#JZ^GQaW0woFA|r?U2KHtGYG@~Z)|z?}}*6dOrP^)p3l0v@69+jmS)%L&IcmfNL}`QmHFcr?u68P|=KUREk<9S9w-GLo z8Z)E}uwh`TbK&!?=d-Ha!gsho43K^}{L|~ye#N}eSDjdqc?@1aIwE7yH!7)n7k?n_ z+ai0+gXmHAKUMZ$RrZJ8DWK_Em=qGgCU|VxsD#5Jxc|WD%$_Jc0O76UZ9IQBeZ$`s z!til_Je|MmX?OeOM*sYTtcriDz=pY+HPwk;8=Pn}x)lnc;w7fMZZ)hsfhV}0Cz!(3 zX8Xn^?ep{TU6%J9y>9_?7w0C$tKvfI?S7DMs*fUV$4?2|j~&th{aREYH(nkWG_IY@ zk%TbPi%1Yb(~m@f(<0vVlAb87JTvNt+zn3dv(di+qzc5hLhO5ppub%{jKvU}D4a(S z#orRSDI}y~b=Dm1!DVn;=h}5t0gK^b^Vg*}y&)hWH<#)bjwc(@xc9xbt(jifKk6-Y z6M9G;#A|qm4IGGb{xY?)e=WXx;A;1v5Y6f4uIn0HRZa1nG!PZ@Q0ps>#es~`%gf2P zD8^z;o8{RoT?^B^kuOu54L=IOuOg({{#3Xj<;3U>h&o zw^_ygWo@0)RZ9tCXkB~v=91xZt&@ymzAH}aN6t{$>&(^g06 zQ!3{(0FMHkSst|_y}H6;tV75Wj{?V89(v$6Z}3$>;{;_o(Qw$lXb>syx$;5_Yu_<(%R%MiKz z58qSyLX_sexDhu1RY1bdiCEQT9vUXwCbLcdk9e=3p3CgF64!_XbwKP6LE2@@e~FWF4l_o(y$)`$C(Q9jV4|Y(Z(b9F#b?x~g4+<2o>UREsO8=X za5;0X^|o1trpdih1xWIG&UPs|Rg-fHEN#{G*GmRQ+-mz}h?KTVs54O0Lv^~ddDaLF zm9w3t>{gMM-iLQu;YTGa-lNBy&dYxzde|Jd3^pgL6XaYjSn9(0_0rq+)_tl_n3y$K zS9bBtHCQUzIdEF(gL0y3dZSvUp+%{s#c?_>OzRZImFaa0Ax#WQCU>QM7z+EO+U~72 z8or`ZW3>M**qgTe+$ClS1a=mtbosBvg1L1XiA_k1#j0~*X+t&Ara*d^of=tU1%yF# z&6q)BaxrRkGg?+YIw0jkMRP`1+gRYLIdv{SkUywKk84@!+L4YIV<$ZY6~5|SuTCmD zxlC>_&^(@*QG1v^2$waDhFcoBvG@w4bP$iM^IYA{J@X}XcwV_O`C?yWd1*J`&IGHy z=zdj9SAO5>{@L=WzVG*3AK6weXRqnZ1M1mZ4ug&aHNwk+Y>ppd zPD8Q&q=6AQ$Fy29__3dduXcd{__4d~^0f;^l1u$!_+`6*TbwmBf5VuhuGyqf^Un>VfOoK`{R+?EgJSRTl{wuvF25AL>0StuzpU@t zn)dE1{p}~V5jLzRJO-o~;497>kvO@~CASU;`(nb5)U|*IuuBSq^WP6l$^eHVeKvPY zswyN$5gbD{41*7h%?h&53bM_2v=uhWcb53~VP>UhGB|$epGpA5;fct=3G-jZS&JVV zOR70G0mNSe5s5bZ_~KZPPD?gg|Hf$2;O~g<7(^d`1O*`Cg-P~MlrC+q6&@M0!T}P0 zRg1;r*W8pg^g}D0P&zKyKFTV^Y=tOmts zU4bmmA1>pa-TnN7_zwOcz6K!DQ^3#(#4g$bvOK=xrgy|w{0H$h0}&rpVJE4Ru&M^| z`JbfZs;{lv7QL!NI*Exu2@#;Y*9_=`8UBP_qhhafz{%jSRy09KGLol?)0WeHK!`2A zPrIi2pq)sFYZ#?MVQ`Q^4T-?0{7YYWp`^H;k>o^8Z511p!MFTaI0E>Et{I(#XI{my z&7TKh?4yw)B2WenuTjv8-HHPEfDjI^imBUCkbsKc;7qA##(am7J*&@gEIGhTEHc*0 z3w2g+_ICj4Tzp{?1qt19?evU016k>{SwWGbwfI7+MFFhtP>Z;(kU?M@?fIVSR3eH4 z2jUGbNz}9yBv~&X_or`XG4}C5$j2A)V<{(=M%-gvwb}rrNjfq3!(zg4ChYZ(#t+{Z{%5oBt0K%nGb9jE@@nO(U_8LIl3<(n3c-YLvF&{b zG?C9i64u}q-$qJbG8BYUp>o0b(QS1fF&1)T$wP@pDI*fn)={z!#v|3)gbWj??c*x)H?OwBAB&F-HLiS+-aa8?Ot zGiMIX(e{dF*Q%#oYFRm9V9=br_&1fXFSaMPo1yI*^u>zp4FtOcVxVVTY!Yw9l|MdB z7s?k)UO^&LQOWoUQ4PFldmdxep=5%BRo7RPJTnYkx_OGw21&*>EJq{~(6;#4`cw<2n$5-n>? zqFDPK@L^T~p`IwIP}l?M`rYb9vx>SY~Sw$+cIxq!NelY!3VWn zq2DHbIO+j^$9pi`7K(v1pQyo7@pvB+C($*liyQKSLYgUqY=(f=P#19owdz>hAkZ4j z)|N%ZQn?PNfzt1*rUNJ1DE9!Q6yGn9HpvT1zNYjautz4If%x-qn`BY1j@^-Xu+02r z3d$B(ZY5C>ZS>=<5`1;GCRlPK2?ftn+5-AKlKWR)0^VzPk0#HL3B{u%=Sc!e(P7tq{{&t`2I`L&QOQ+T-B4Fv*~Yq^UTFBr%&O+1BADC zyBdb;EQCnJj=dyqF^3;)GS?q|{H9JCv4vmZ7n!&lMVT2Nj$WV63Y~+N;uf}n>y80N z0O7=Y{rx9v0e~-X-=!(13$P$!s?*HIkpxB%M2C{U!SK{XGMXL^yrggx-DuVt31E1xM1p*GP zn4$+hJkps-oIOaMAosSD-O^VDeAd~4tDW}uXV;s!O&3~Mp3sf0c3nr|xw2L`DJ&UH zhe;|P14f3Iu|!3rgT3L|9mAo55riV+VmHU#MM4U8$EcSB^Hus)gdTp0bF1m*H*W_T z%6?m^7{FP+;Kw3M0(u^#fiRtPpZq%o2SDuRoab1fMdqBjhj0DH7Nc2T1?R!QbR*yl zK*clj@Wiia|5FVdE^01I^#_(zvQ><80Z6dHI3&R4Xh=ao&A|ZI(<(S1BwEebH{3s6 zW&zKXyQcTcDlfoXvXczQ_r`U(S0397}8K& z{{`b<&H}RDsmea{x(ybZFJ3)-ul%tv9l^~w-kDN?SQL-^u?})q!NK>Uod&TmWe1mp zp(s9xzDRBO78!>&*WS6|WPu6DM^R{5yFmS(vd~yQa1Rj@JO&WWbBK4ObiGK0`bv<& zkph0L#;z+ejNx4Y6a%LcDnn4T_`mT(dt=K7{;3HG~DF@JoGHhFg1E zR7|H*2jODV&fvhI$R;h%C^b5Q^-2SCQcql?rSS=)$M2i;qYD@*QvP-~>6=U_NYbghP5EQC zqGSyo{nC$rJytMIY)tGS5qf5yqBwwR_47*aqrP|l;MGUW2*!nkSAXUF4#1BvPwHMg zg6|XG9`A%PJMTUkBl3`aTX>?=@hhJir6@d6r6++iBx#5YJv6*AwmYF+viuZN@vo)qz>F7fAkUsY|DIX+BPwO z;^^6n2h^mK9OQrHbgPhlQH9~nzzxM<0Y?=#^$z&fW!g^lm*Dp|+i&bgsZYm&l=3yfP)J(k1r!Vm|43a==kdu7y57>#}DF93*A zQwlWdLu$}%gOzWP!=`I#m?B-2(5s*OIQZEKS)C!8zvet-=De{pxQc+j);7t0hu;-k zSg7j~3)$Wrn_JP(Ug7!eOWO5SsXZ>U(^aA_v16-PXh87&1nfgH>9U#pMvF!3WP(m5 znM*>3NgXP%t$x?zYJGuHmrJ!t-#sji03Dm*L`$O0{(cSqjk! z5v2>49v0$%(rpacAu zx?r%@;Uf&*O}>9a_5P;$@d`yBz~R+y|7-^=2);8u7TSf+XucZPm$;S%74$31~IDT8vApG06KEf}Pb&pZg0KjnL7;&`K9W9KneG1Irmy}&Y04py< ztwbk?8@0j-69^)?!r@6O+n%HGDlQ@G%R<-MMfvgahj~=Lq;@o{|C7zW4D!uo*ycQl z@aTg?Gz6LV(4dP9EYS~OK-E|R8ff($?ECl7YS?WAw9YK*&=R6w+uua$t}DzxK@c~W zrU|${LYyZ?-_85B)kOC`hGsVR4USn&)mb6B1qxi^F}2=GG|#zE!D*l2ES=#btTaW= zFWYNfRIG>Gt~NZU_d{$4Cfe)TOl;HZk`^%!@MpY{dyXCD;aVkk2fvcn^DA=IipY+(qtffb|sZ-LpMK4f2@U*nT66;mG55qrE()bFSWL!8Nsf29-rY+a6QA5SI`B!OPZL1 z4gK!%#rhqmL!|9A`TXVa6?lBD>%#CaPLD5#)%)+r9+3G-RUgph2L`Lt23s$X z@lmqeFhn*iG)znuy0jE&mS5qdE$soiBzBpIvk>HeI@%4L+uS=DS03j>aSS#3SlTH? z9uGocCn5SU-Ml2Ipb)Yh6!UKKaM(xHEa2^ni9yeBS`(!g9&Ct;K~vE#wbakOV?A)W zbEuTH+4wa?wgBXQo#gMKZ8eR-)=ydmq6;nMLm_Yh(Zp&et+encs9Y~sLVxr2&_&PF znTkalZ#8KnwAL5fgMyPy(5TY6Io)Tw%%l3nwFQ1%4=qgrdDEe4dIS6aZ0&8&SbP43 z^>kMjS{kcdMPhdrG<9H;muMpg>M&JsRaHl{MtaoxN3>+EBWcZ?NjXr}+&@`Xk>=Y} z7b`p5^mru}cDu|O5$`pN#;*bqAa8oO)$YZZ(F-aCSBWUY&snk~po=xwm+PV2QMbU; z;wFM@S3xgdp$Umy*0(27l3bPaSOOL^XQaEw-CC%uN##I0PMd5_&mL~WUS6F(XH;|W z8H1Zc?DMQL5C;AmZ%^#q+v^JBEzvVL!_I#6oc#ZXxYsAm!-prUU257fsaW54zrs!C zoFx{1DD5AP_)hk09vB<%g?bOu`-+@Mv<6Vn;}iwH z;%+~AeaSuo{si@V#3+jm%z^Arr!<>3<<9}eE-^--x=>(QoigUTycbH^cu&%3FK}-! z&`2X~H=Xnj6aPK{RYLJ30>kh&4mc|(pZ>D*{%Ly-HZ^FKuWN^*^JlPe7SfduRCrdI zG4uJ%hKmIpUXmaIQ(^@AWKw*zo)Pmkc z(%G?T%o{v(sK}XAsp`E-q=79*x#GRHC&5(JW)3L-u1+OeRH$RAiPmFf?sch}boApn zk<96smK15Xt3jGrR#^{wV=F3|rS@w-Pg{#PY{q|A*A5@ef^SsyUj+KL!{4!z!BNaV zwro*-%9D;AW5A*DAu}2jl)3=t7Wq!RimOcE_627AX1cYayL~)ZC)WW8^r#9q{s2Aq zcW3YWAI|6S?&yhjiMhql{sDR^f1JIeKb)@x$oc%?=!B_6gWjK~5f+A=;3*fE?Hh4+ z4@2F9pfxRr*NUjrab)Jf90-cqX4y}NTSe{Gkigjvr-mr!h#YgR-2VO8}y4Xg*G;*GA7JBwAW3%M8gm|c@lff5=XRHsz zuX1U{0nB8*MR-O`!aCT6c%}fbk}b%+4?uIz(NKILz)ftnGZgjq!xHEbK`iR6@ZYfB z$^Uz-7mDumFmQvdDeb3|r@|wibgY_@in6DQc|aM+6))pdLc|Ky=kfI~miPZD*xRQ0 zKNRet|0CEt$j#5G`SG7*Z{eNn6*&JZ*-IabgEd^stub5`?1c$8ED~@o{+qQ2`flxg zP5Cd@p47jw_OAcK+Ix6dptAs;w@@cN?;w?In5MhfO&>FY4jk9@{YOODfF)%S>483j zAOpkYFc0|v_}2q;qXq>h(JRCExsCR#qMZ|#`x-kB?#ICIc&WC9c!Pu;`3DT)iLqB7 zzuS!b$UbJxJzzkj;no*1L;5i#K`K^YCOH|8uk2I>B!1N-GtiQT)Tl?`xlC~|H=xQm z(mRvtWh+KP|0L`I^*yV31LSs@@3x6c1!SOK8{K~7+f!xGJp%lU&KcK-%2Ywce z0jpj<%olk~#_@i9@%k^BVA(#Qu?kvbf5wmfjd&QwI*8wy%b~<3lmbX)i-b@YW;T9x zL}U#9RBNNB=biaP50HcM|{&+%!~kXsjhfcFA~WA0#&v&3?Hq90y} zfI1S*b{R*UJ9Gc(@T%R{C$UFT>z{?a_Z7BY#4R2^aR(+ELn)Dt9ui^6W0STa43v(h z_{!YiVfe4z-M#hA$B&Ugw=l_v#q%#$H`o44qXoovJ0q7 zaRM&dObJwA;&I31c}B!8nMfy1QA($_>-renXO$g{18`3Xhj#Z#WJq1%ve5QV&toBh z5?x<>v^Q>X{o8GW0X}*@&lyN!wfvG(s17P9Q5a5U%1oqK7!mo9kQ6G4sw~O;bss|G zc11m1?hQ>pD32kCE+kufxhu#b<9ldqv_Q7&ZuEeiW4mc=tb&DzL_AwsrCsn+FB406 zHTM}OZG6+YWK_HQu`n}tTw;dbo3K~m_3ACaoR$cZ@Y#rs9tKI?c2^jqiap#4a z{3^ubFfL)_`{9d*NSyj_{b^f0h#0_7uF3Z0l(xp=*66+NtUs z+=8`I{{c^#8iMcGGCMCl$G1gFwse*ZxFH4hsiGs6SwboVW4Ik3 zzW#-%yDFS61Qsw96441(pyz@EGS)oiv1c&YEdOzMuF&OArvQ1WMO=P@~!t zst0-WL(h46{hB4on@g?XufXr#4Hq=qj_}5wWGA;6#D@=ZAaOn(aNr+AW$v=|B&Nm= zY1oF@i~*z)APK^xAh9Vh2Vik&dr^#8C7P0-xltc+$Qd)rL1V*3wS>^iS7W~I_Km!2 zd%V%#P*Ei$?qVlIpzyrnoj8*|xL=D7;vdI`7R1F=s^X4AQ>|dvo`&skkAlO1d?$*1 zWSV%yHKRuW1WsQpZ`g$Swd5Ih39X@lW=KM~F{WS10pq^e=$o$K|1R+RkYtV z;yHq{?-ZzkSI=37r9&AMVcR$WO!#ijD`MvW)xC*q(eqS>D48%gR%lt6y6xbo z-4&bTS*{|ZtgDgj(N6Y(6PH4(N~E=3xjfwv&Ld$Htyu;i(5~&NbVc~S^FNVyXZBo) zJNmT`uchOQ6ZVm2 z1!De_qd}PR0qQWH$LGV40snxm8i0Y#=ZXKw`KGfuQva`0zS+?F>qSJ-KghSX!wXd3 z%>N(8dZof(A5ss9`z*Pk*b5Q*&o(l*U-c6!!u9V$Tinm)ulARB?Ovh1ZqvT3aTHd2 zpD1KjRi7@oE%#R@kKnM!;{Gkz+bVSK_4v$uo8=mKKvV$hOwdKibzXNl`!#~ML%HkT z-x?_FnLNV7B{zl$mv&{r5El9}s)BlTu*_AmqJ_4NcS9b~uIl&?$R_ZM4OvT3su8|pV&!8hmaZr&F5rHJ(I4pT z-L_x0W{xNBzrasHq@x^2QUyvI)B31(ql-|amBh^{)Y5Rje6(7fxWVR=0i4_IG~B{Pyc91=1z|K#V+D&E4LhK0mi%y;;2d4P%fF zxa99$GDL5ba5}BlChyCYdB7e{7L;`0rj4=D-`w-(RSN`17kxW zbvUzA^0tA!?6x2_e>c~Ba z_L6>l>tB#OMqdv#gFTGC>YavqgP&?G)UO~_A^O!#iu$o;L+}D^JwZ@0V1(EI8|ZI2 zUa+BbP6!;SW!XfI2bdwFuy2bk<$N|=kwM=Zywt4qE)8gk4aCb2 z7WiB$Lc98TEZ|}}9sJ#nowdKCL8)J1Hdt-Wsb+|EOU^kF;hH0kpDzYoD*S(A7lirA z=4%o`arcM_dO{%hgKX zRT*c{5@*m5w*mTKF3sfPG%*et;={mM>JJIcKPZzvnm5HPM6ABPaP#8Srtmv!c<8~g zK;gH~gPZTmJkOJ4Y4m-OcErgDrS9;YpTs>`1!#|@Z?2`aYj)*sRMu&f;ji>%w>H88 z%(q8xvvu#`b#qxJdABeD4EBl_cfXjp`ENTw7+sa zxX@;8JM`wYqCWf-5yUytjau^bcpwlNOuvCR>Dxn9f`$ho*aq1Ht4n3 z-F(R3rOi$L1@ibbE4HN-2Uh-v4a#oBLPA1~F7&q^Y~_JtT1i|<7%gM9C`UfRp`M^7FNnzGdXa?@9DAOD8=ZhRUMIw3+1XG78a!x_rZU? zk>@ONHTvA9VySkM{kSP^HSo&~k@jABy;oqdHFjF=CkNB{4rG!?K_B&FWB*p>nJSUz zn;X^C3{On{T9HCYrK)wM*e-c#I8ijo#s3D9r;eO_9N&$lYEMrCpvI zwsB3WQ!3*)#8Rvrk-huFIoD+qBkEI+4mbTk0Ri_^EJ>M*K!ztyrDDxLC}i zt9b2D=SCjB#FaFu{i6eIQhR`1b5i@0LyB@+tW$V*kn?f1*ft(O1%x0CWD_u(-70zf z#hQs;-_pgpa@>BGkMo9;>PH!U1$)w;I4)4v(|?cSz9T-2Wat6|cFx^*TMtoQ#L5oO zh*af#$}QX*o-op)AvI-}JG!gL8VS!!73m6fXoH*!y5RXNSb+no#m2%eC*rsP3VXIO z%F6EB;s&(Jx-A#vq)lVIfZ-elN!BzaXQyX@@$CX!ney1)}| zxlmu>EFIW(T;7;6uMr6&5;hY%*^X9y!l%k*M6!f zAXc3)ZO_cA_9j-gqLjXkJt;|k*4;ATSE>sH&|Y+~R(CeX4}iDiT)y{)DH*fy8_ zNb9P9#Vx;D?`m&+{@;gj#r}kGP5uhw`u_>z#skB+AB~PEOK2(71x8%MXsv)6nPIt% z>LQ;dbKi8+;Af(9x;bK{pEc4uq?&x$Yg$&pI-Qn$`>_ypv6Uu?N{PZT(|Jt*atFeRz|o34x_eHgQbm~ zHdu26x#vSt+=1=w%7&4y%ho9Ky`2Z|dxmDaqNUB%RtiqW$a?hqO%LwIp4&e8BJZ8A zJrj@zHbx%Y?0rO%rLb*;-AzPa?F1!EE~1)$l^wsGqTJ| z<#w_;LV3|ZVm%rJ8j|1~4`oN{Y)K29qfTmjo!o%6^*O-CmB-pLdz&t7e!BH-_A?y< z-1(vU;*k2J@;&W4(5s!!`JV#0qG@ZIvirn+G{>S0K8mw4mdxI%v>ESK9wKYxdjMDD z{S~L*BSBeA^atmC$%adK$9a9U#o2!jT%+k+qp5}$%I{FBb;%X`hf}|YeDUuBi0=YY zvmrPlVHwzA{$t?btKwePv~Ey_bdBl-z$5wpm+{2#A#%iY2@<;N&kza-7~1l9ZpIGB z`g;_&-wI%a~_Hn;s8azPtwPtKpBV_jmhs21$TMN`j7xN3pioe2QIL6ks_pXqjM>c`ev3`!rk} zzRE{M8x!DxhdQubmqoZZRzEna?`=G&gAf~~Jlfy6#Dek%<#6%;?q?c zrk699FCujcJgbjBTU4a30q9zTeatr7@i5Lxgn-kJl$=!}%35gigK-sHf#QiJlsmjG&F8?;=!Iv$QELTTV4cFfDR~b0jo)Y`)(~^c1TPc{&$M+~2896= zyDj%HV&tLSW08={s&D!UE4O=ps{>k1Y!n^md~tL_d@2I{#|8xnEMGIXjFuG|lxiK4 zHv5MFwBcYQ@GTwCtt+FC>^9M6t(RnkOy|$Lyvr2hOFp9P>izmC+b4a7->MzLE&H6J z8N?sAse=y?kIAwSj<~wLCzEcLO?=C0Gtu~RWv0gqapd=n7QS7Zyy;~=DPa`8hBiM3 z{V)*;cz|Q8nJ{RtA0J8V>Fu|qz8o7H#8wh-H(=db{E=zMk~@tUF|^)hOry9ZSvRtSOVXPs?ERZ`nu>pQq66q}#OoOM#BQb!*;X zb}v@0m{<48eqQ64vBh8t?r?jd0h*NKC)b15UD=^EePJ}GH-^>q1Pwe7Z$9bH`5XwZ zf0~{<&5)-_Y=O;t{V{N`tX3ju zh*LL~><~~Po(zat3DEf4GRw>mH>B8xSI5$Mh?k>U{d#E2aI=@m3Z+M9*(bUV{-^ai zyyJPj8^`#ng|AuTdbgSfSIN3`5~;!`Q=CgWMu`inA%%@^eHza-UU;6w9SG40N7fmW zKRZTi-kbpIG!cFL@ZRT@;|}X`{>y3*?F7vYegb-xclNfZzV?Q6^{K>ObAAOXMtz^}aM+qLo6tU*6rn@7a z)ig`T6`ENrbat;apm_+`9S#eEgK`0j2;0+p%MdLMl)3u3uUy`1yB*s%&Tpjq&&4hXsG@^Lm+l z&0;i3_QLXMm8DY4>Vzpk^VaCW0@CH8yEES5Gx@Lk&oVUZS1B~|7qxL4_B36^Qy)&_5>7(|yr#yG@1`YvpT|E{=K4$)ykskch(#lY>k%hpRwqpxUReKqDPz-gjcut2Y}WO;KE$Z@ z#|YVedcA9y;`JgM-R79$r7u8Tr_VoZkD>G;lY5I~>*1KP>WF#ouKipcC;oT^EVp$_ zh@b~H=3bn!jM3*mh-*rE)g+mg;!h9J%Uso_?bvhu>T&qF>hSZ_;in;&R7V;)9o1n% ztXIyiltKrv1-DLIJRSI13O})cG2}n=d;T(O1s>%~6NLhP(n)R#Y0W>4xxKZ28gmb< zfmOZFbTK{^xI7AJ$)B+31HWbhSA_Kn^q^4UIobMhjBUwuzORG&LS>d ztC>C0vUy{swEtD2+dpY~vSaadtml%VdE8n|(c<|R4qdNpx?YldZS1@`Sc00L4#D?o z>BN4f;&Y2-yjSqJpj;nB0w2pjotW)WSHaNL)8OQpLm#!x3p5lNjl(W~@%BN%I>cqSK4)%xW=qG*Mf>h)Dj<*QH zT({%#1-&eHpQ54CwHs4_FbSW61hVC*wa;JbD6I959o42HPx^D4kC|L?3Py;&uAuP95Qnx=xvW-0pEOfpEaaS_ zfzcH-L*@4Oh4hS*qRsS_Z3h?yQd?Zk=I&339OZxTbtL zbhTb`pPox~N|nEnZ?-P1obhW57@BVK1fD^9Ct#!sLZ0+eT4-yzJ%yiX9^Y9f2bA%2ygg| zDoXTEEEO(Q^2p<&lyqNcRn{`D3vPCvc^PNLstSuuWj1F`nPu@#-ZD_#m=212Sg0V>9q!mSND#?fL`Vg#4PIlH zSdWVFE`5B#X|lm$>wK1%l(yY?CC&_!vvM;2R$%nSY#o&cc*AOP9cbk~&)FbNkm~x2w*p8s;tR$xI0FbdBk~tQCh`l3 z5uDj?(m{BWp9tnv2%4XTYJO~ft?26^pRVl7HRHh?+jPMtJCM7%Q+t)4oIbvAYkX_;i9|}0abk~( z8GS;fKsJ&^_T_8KxFMlAx4qLBp4OlJ>c=&Cs8gDpIabio%vA9h?K_}xCimK$$>@k^ zZ&pr%H2SGGt0|;(@=CKFz}oA;Nt)1uT15~Qx@#v&7h=4pi6p`1dxYY|EmJ^MXLPmC zG=~hNAyltPD&yiKp{(D@xMI(+Ns>%CFMl7Dg1SYZx?%8w+ z(_DI{a#t%{shvTuXOAj$$AO3}!mgvhd#+FVsVwh<7T4E29blb55IQL&d!-S4O-rn} zTUL@NzNFvBAp5ClodXC<_ zdalf-dd`HU`K#5uvUXb)!_=2j8Ae>N7F`&>|pct_@PwHU0`s+J&vG9k42 zJgTr=Nn{sG_0K<3Rz38j(ON!7>~9}Gcsx6DzO2*&Z%UB`dHkw4wC6LuZR__i&;r-t zaRr%NapBbQz!!dt9o=S7=Ga=*-4S{=(QUdeRkG-MXpwR3mm)s?90N&W zkfyC=7??Po-fiX8G4`pwc5Jq|b_{rr)%353mvB|A@d8Q(biUd0ny;ei)z81q1S-DH z+`9AS)Q=zI)_LoV7oJ{uh+AjQvyOOZ?EJiNVO3UO=7%$WyAs5KUf{$T_{M{D z`8Ev74kK6wn+w)e8Xf1|zz?}Nc;fl=83Y-8gL^?*97mu>5x0Mhf6ny^bbY_>q>wRs zyxT?R(Rn5wJ`S?)ZM(}Y8UL3vLXniscND_j);IS-gv(2P_#JyE^+X+9QX@}3xWq{d z)-=mb(+>*>B;J9~>*&$Txp=P2xy^odm0UIvUnwiyR^f7whbI83Tl0$5cnk( z#-=sZDs}cs32Adz=kOJ<$S@pEbA@VP()1<;!L`6v$Zcl-iw4ebc6TJTy)T!JfyVb#$Kf z$kpL=t9`Yao?QJ<$*<4upB9TbmF@pRy@t$sDPnrk--3*L+7}) z6wF7(XTj81RSA{q#MF@0CWn$EkIX*yy^S7e?B*%mC}N%#L_HQ0Iv>3rw>uCG9Un0x zGuC+{(H}jHWQkd4ii9sAof8l6m}QE@a0~oya@B3~bGdB??CA?dw)>~}LelSiKZDi^ zfM6Me0&baW=w~}_)=3Q^BR_6sh#+YJ~REe`V(PG% zSew%v@zeTtcGhXMlKZ!X|7mG+)e&q-6MGBk@tYK;xhj|HCnZdAH9|G6FHh@*!G)>f zv+!yZs=E_)g>Y|QXPHK^&jyY|PD&-Gr5;$V>m^}*elBOrH)M>V(+=V9x#26 zSASoVy!KxluOV#|wr{~tZ7=vXi;DBzr|Whybj#iE?HL41K)wES$C9pu*1-p=)^h*+ zRJkQfm&egyevEj*xe~)Phn6NS-U6H;W>AU0|8dTFpp<>Ob73Z?3Dt|{~$7cZ^x)j(1@yEpAOPk zA`d88IO_s@02T@-x5$53gUCQ({hgZRoV=VW)xn@dR2%!Mk zzg|ZR65_E>@wvSZNlzJq_h>b>1&(x@p-mes9{hiia6eZU+GZ+@NhD~N6V z*Eq+JG_tHU)g-tI@LzS#xcs!;5qm$dIQZ2tQ*>!-Vc>7KtyD!0HD)8~l`x;rediK{ zDNf6|a{9lj2JPu{@=cU_e?Q@%idh4i$8ELe7=}0L_@SFDv;}lPH>s6EWi$ z2r;bBz6(#yH~sd6%j!iEl|Ij|hYRI#1%%7=AB)NzWAA*!$^3F9LEu$AZZnekJda5A zbL*(XAOuk$%wZ8?k|9LCPqW!hZYQ}?wDwXwU5~Aw4^6aDBU;~CC%^4Bp zQCyc~z)5=3Z(kX;s*1{5UVR!KJieV{-$OpomxjOjG&D&xI5!tf>z&(s>~}oEMc5R3 z?YhT9`TwlYmWYNZj;*lckfWdhgGE8u5&L}}kKIq0^%7m$8d$jdShif=A@`ZtuoaDk z`0R3%o!I8m&|WhWxy5T?PsD@Kn^(tsxxeSdt2Q;d{%X>cUu#_A#cO6%;?!$qMB*BY zc<2*BIoT{9k@D&`$-hif-o|p(vX6AJ{KL89EQd9J-@QAnhH5VT#vo8OtZ;le-TYkp z54-FFWupp~SJO++wg0@ESD?gB@tu)K`J0<$VxLH}CU34puZV{oZ|+gANOjz@`;~(a zMOz42b&#Ft?FDbc#likKKT$d^89su9lQn0yWsg#OLZzMPZa1o~XAAoU^-A(k5E(6Y zlml1)B_^C@$LaRueq;tgISCtq*!BKOaRKiZ_p9tDcnKYC(<{`P@g{Gt-uX) zw_AeyUkdHP(GPh1TbB2s6`R~F_{ZsNo%6+H?W7HX(ZVkjsTDAINfk+XI7_%^KeZfE z>#(C(u;{)dOqPCIwl;kTt=Pyh_$U>K3tda z2`g&B#zqQmlX`|}(AY!T96RDt#q=SBto)R&Vp%8Eu2*5KZZpSVya;JC^wg=`l5^-5 z=P1+S-mzVubL-=l?<3gSOI3$e#9!yu*4*87@13qm)HsK z7MyA3Rr&+9aaYmMzW4x6^cX8X@aLaq;I{O|pzkESxm3I{2PV*$M3K}JF$s+akqyu6 z6R=xB9NZG|8t;SoYuYYxR5tn-uEK;maUyyd4!r`d@FX62=0A&b?KjyU%llDj-ML zXQ-glHJ(&~DDhmIjCi;0QuuM5)qhCv5;$h|$3bLjHJy3@~y|#YZWGhUe z?YEA1Mx2Gkwwsol)%HXcsm6%T%&PI`U)G>Mvv;f$W);c1bHort)#A>_ag0LxzFqC5 zPjJ2TT?z5B6nZKT$x28O z*Ka&JtpbN|_{RRa-uo7|PxlLdx2PMqDo~7jRnh47is4FP{w{Ivw}r|Na0^7HCfW?p z##V2ZC?}% z0RjXM7A&|s3>GxFyE_DTcXxLf+}+)RTNqq|```qJU~jU|KJV^#_u21{d%v%0{nlDl z)oW_HySjQ+b=7Y^k;%pfk8@R!JNRj+Jq@T^3{cfoTiFRhK z#H8fDT3H0Sp#47@K{p-r685=;&on@LP-!G3&rAmgq~zY2?%%+89VL(h&~ z=MuA3%Rnp2O>fz*rjKtOzvK4Mw1-i!TUFV77m!uobHp%`H^fGSd*@K7V4bMe_fRjI zv?&jD6li2^t6^J38R>>9Cz9ue@kw#`{Af^`CGn6mCtp9>>}Y!L8<-^~_zOB&6ABQ@ z?j|cvvQOwH;1=Mm)eGF#=0p_fx%p^W1$u>YDU-`=~Y z%sJ~lZk8_pOX8Y_jv?1kBSZeasJQ^&8aM>dHgo_Tr~yw-+>X8JrdGMj>P$=|Tc&rq z)WO#JL)r7U$=zt+juQ5dv<)!VYr5d~SLi2@ff(?q5a`{Wj1Y)u@0TwKYtO?E1X)%P zTAyWV*@=;4>dpzC1dQzHO9FiP2>S7hc;tVp-Nd~=4t z8T{j4_Pj+vU-s67LDE>i5I9CYzI>!JHo7T z6Djsk63*~3#d@;4MTNDKdyP20<|3p{EwMo#v9b-fRP_mJXSTOY^do%aeD5N= zETsjEB%}lL?f1p{&uCuZC*og|(PYot_ZJA*Pfu>a5zjBY{TObZ4;SJ5J91ucF<Hql?N$?VtXAqt?wNbPHE*XFD5UiYJG_uA1Ji|%qDU$%G8 zx?S3(fcFOSRo*-wblkuZIr+4U%?lH5oNfNV;OM((SLzwa)ZZ5`55BZS zHwk%-Fj`=lO)gkl!!kjEP2{3w9{DndxdQnDqY!o_3%kOty%>MSVc-eW?#tzC)dQ^t zHw|ch?oXrhXOe`H^%X^g349SH=>mS8PY#mx_Y)Yo|MVvnS=}UYO=ZW!y*)>HeL(lx z!SaxwgWp?CSD&ck8t&CW;44UkR2Z&N6|VA+x1MdSvv|3g4VKd7N=Z>mbGr1q$z#|G zF;2x9g?KqtkYKceXHELzeDcKnEoAet@d+wFb%qu|&X61qXrupFLjc8i(m6A%-|YPi zzb?`=<-BH|%6#Bf4FgjM3|5K_>9}+R)VtfAefbZ8iQbTfK@(4&-Crn%IP;Qnp9y2> zTsF#_HoO#pZg-0GbRS^y*%hi#7$;U>sA)fhtk)SJuM9Ns(`!p8=9Qhor>_5K=##69bmpw_@;F&oK!Ba}Upf0fM;eBd&L+4h$sdCp=D_-!{1H;Y%qA%XdAJbLYs zBO0#mgN;C3>*cP!Pw7X(d+eG@dz%m|+BGT1N-15q(ql4i)lYrp9SDp+B`-p#+#fkY z?G)ZaQv$!1{qgLM9wEL;OmP~M(#HVmRP`S*6Fp!AaIwj)!r}QT!Kxj1C4j4U2Bt_J z*%$S)jE#TRvm7krI$1{pIEM)djALV1M$A}8RJJ=U1-b30@sYujOn&2JAu|1dCuWba z`%f-e<;2hBk+Nvm%IV!r^=X{jO1E$o)bEOiU=ImqG4Mgek`joXs2Ca6hH5L(Kc%vA zIV(NCnO#neRu$kKC4I_4Z`huYU2-a_kTzA~7s(Iy9|m&6l9+JZhKvRIrOAH}v=0=? zjxnYkr=5XJe#YQ^>5x6V_Ri*QBPkhSp|aw2`bbuYi-DKHo+qiHPNTwx%9>_DCT6A+ z$)QUvJyaD$Bi2$hU!BZLTHU2e^q^F{?1(*VmMPrmTsn9AX7pY(Dbrf) zsU~=$v*xg7XS?#j{9L}BOd5s)U-}f}J z-#(UogweEXbW@sT7z@O{UF)~(kjcybs}7v6MiOMz zQeiCGu8+%b6G*HT&J*csTpn56p(SCZ`G16u!Okx8o-a6J2mz;TNIftKEO~i51FhX_|?)FH5nZWx=ZI!yUvG4AmHx**3nl#2 zShtgT*j)u8rcyRNjC(X6O!MCpg%M^J;9Z^b>vH`LH2#` zYtHO%CnLq%(U$zhnrrEE9;MBWJZ{D69Q!7!l75)t5a~Y;dPEy2JHLQya(=qCuaF7a zT;{bL8Z4P@;mb3m$hT9vytDH}qS*NY7K2u0wGN_%1gE zcI1F23;3WOo?U+Z4Pa>e7QI*P87_-gCUZ7!;-n75fd+inr9EY)KYnXflA;Z%QF>5v zduw0FdP_~gi zTfmyqp#VsVK-95FUP|KJ1o&V(L{^KgAnZEL%4}a_B=wu0vFVkBpz*C11P{m=!?xnO z64CU_K(=I=Z&Mj(xA@*tfy{x^KbEs~6BVA-C@jQOk~ev{mE{OuPCrU&fX2TN%n0jr z&(|0y422g$)+bbattq7v{N&h}6el>TnBkucQ!ZnAGcP8udc&?PyZf_AULNzdNlCW( zrfE?o=(b5yzVWt5On&#aDfa|igoWgj$rtgntSlngQZ#)N3(Rw0;$*|4Sz>EfI)0@i zUv0cX7wu$Np;Kq(Nh3E2rv$99YTqCYJq*+p2-|J7T|Ln>a^QRl^Z;q(aJs$@R5u&I zQd+wIfxowSm9}h zLd4u1)GTomJi@6GL}-#uui>`b=r`o2>9L8u7Nmy7kpV* zh9loFjx4^0Kz`2@5;$&)QH80yfuj(T{=&<1i^C}ium1IfUQ#LOU^b@rLXD!ht((M0 zZ6iL(?HC=9orUI9+NP|rK6aYla9_gOAhkB^S8ZMOttXu)1*X9Dh}4zSjs|99>1+>g z-}s7bBs%?gR)G?8`&v#lIxD!N6?1VF(3zgDUO;nKKb2zZycCSFrJIb;@>#Cb72@LS zH0uj3BLMe&bChjm(8C4~n)DjC*l8*@`C+9aMrm5)U3ZlBDTiiUT3?4}~POGFq zsuA@2T;bpO^Ha@7&i7$k}+twr5yBrZ*6zxY!n`7 ze)~+IPViw8UV$+ZcXZKWBl{|4y>jT++M~=)4hE|M4N0&Z03GSBclfFFa|NW8AIq*z z7Pv`8lQSGJPZ%x!#j6DuUab1-v3!2xSw#Tzet*68ig04Y78sIcnDG2lDN@eD>GL>p z8xMA|2uL0{RsHhES21bt&1;qN`q$=iGp^EoyP-^4X@l-N{tFQXc`#LRNUqF^c1q~` zmSG(8;(Dx)+iZ%kP3Mt4GrV6GkS5+v zeFYpCA45JjnvIm%wr+5gr@h`>-_GW4TvnvP+{S8lvzNK89Ty9C8>>%GM|i52 z3%tpnu`;9{?PVlq-_5)oqN-GDQxG(sR=RyUF*QgP^A3_r*H(mo(r(Ruzs;SQYA)NL z#`DyTRVJvQbi2G+>H98n{8jJv$-L&zK6XzR**>FI@wZeu9h@u%`RY8)I+vw=dL7&% zy(>2Ad)=n^@66NETPGb`_>?+l!6yYn(tfXQRoCSfm7QvoZEp>?T?Mg2nZ|_9O5i`; zAh+jFI=Mk7v8Hn#`t7t0ZD@EnuhW)<8cnd$M&I%3R8(yi&={IRZn?V~)U_Y!cy_xS zA3{uf%dh&+CvM06K#4>;49{s=;H1#Jq#%cVnrKAUfQqyaFn=x@67O^6-%_Upqr4`p zzVv>ALNQWX@9BUl%lz|^@#bho`L>|FNHg!Lw;4)uTW#H@h-pm*>3yXZ%oRd-C9xGpt3s2L#5@Zz&vCmbN>^~^6x^zfM;W?Xvq^lC!acO ziYN!3?(^ff!_0L*UkZ>W=3ICCteB@oz9H>Z+^denI%@}X{s>=u`S^iioxj;aq)UrJ zuA`zXX=79s{Y?Nx*Dv{~=C3ZWvIuYMaWF{uDzRGxa z+y3D&cL*$WY_-X~l?04}t!;QPw4&aa{q)>IE}s6i+$ zcRc5(0##28KH892-2n6%v&Q-9ZmliPPH1fopTU>2jZ=?jl`aKs+CR4~T`pHQ)Bx&? zva)`A%RV3*eQhs2Su<=+E{@L&D|$(Iyof(#?{ChEOA0e>-BLPEXa)rOmO!tmh!g6G%=cTarG|uzv;04Imky{Lu1ufx;w;4ff;yOvP*Q z;FJpmp7Me5KHJ)O0my%}*JFTbvk!a6xMn!~=#-0dFy*7=9<_}U`WPdPbI!76*fwMz zw2fV?i22Od>l?c1jIj5uu_bs-e6>9I2+g^mmP5PheL8b?I3i|LI`dF?wX>=mlh=nc z`oXAPj2#zc#A*hUXHbMdeOBkyBZw(+qEy~1;8IFinx6cdU0?zJ<4Fe;xSI~~>nrz~ zEx0n?Z7{g&3+2rx7SfAw#8#)aSvh_O#ecgcuQWn1NJ5P4L^z9|L~>2^WlSEaVU{ zK?#O*J-HoSAt1r+SQ6}YD+J24-&;cjbFsm!IjifbO#nzkeRX=YOGWGeGx0~?rlO9l zr=prOqmCA*8X2%t88O+z_LYL-x`o^?A_U$2A_d*uG6mf^WJ!j#h=vP@hL4GcS&x#k z8t_`bxpzPzCcsIAlM8+$?~1J={ZgEjFgq!6WLD(7n$BXt{hI%D`uHuOXi;zNy`u2c zZUByx>{4Xgkrq0aF5OOvl~`Bl5MzuyG;_BH9%hVq6H(tuO1S?=V$jsJM(h#$!6);s zKYY3M=yIvO*48T4`Ih zu_5UQIYcd>4oBu?MO#ZIx^(aLk=a#U9-$Ii2~UhK+ZERM>M@np%^UfNY3&y}GN0*3 zDaYQxIy_I?Xs1A4V^mN2DJP zdNL(TW$}-r)+d%$R5o9!h}KZy!^I#`mh-ekQu1}ZAoNR|U`96ytP{w_QR2#$lw@(I zMp7y(TdV;WEl^V>Pv!?biV#vGfjPJ=NL>tJ?OkZ;cG(k=izXUPlmi7TcsM(ty6>`~ z*_k&TvxfWF*w|W3D`(*Y(kYR6W_lOw&CD9&G>%ab8H$6}mDF}-_2sd@#NOIIroD}% z0CoO=?LA&tJe`vcy+;DiEkAudzW1HKc#*hgT=Q7IHWV9}_zBOpucd|8n2t?XjjQo9 zyaTqtmS)$M#fw3~OIp)c8#Lcuh%FYCZytW6m>_j;Z#~YwY_v9C{r>i>y(dq6jQPvJ zGOq$ukiqHa?<%5o2})CmB4YIfd4`0264^%4`_*EL+|+5+EaS~qrdJ1WK^#ybOIkts zs;E)FI_yC@5z(oy^k(Nva}D*r-@HGx^;`3P&+a!m^gU?*d=^ocPP25ifv*e_NaM=H z{W(fvEvSh9DdE$XMOx9lq4096-i3VUaab! zrN$mV0jVRv{~ZW6ufiSa{F*uiJ)%@`A>k9DM*plDfVwt$90NSa-|9vJL&k*A5nmA zF7=Ys+H~+gDSgb!!;jfAhZ^XR`od;Heh`PcpNb)fg@Nb=c#>YJ3r;6@ij`#jCxoRi zJJd*G?5C3}#{MVJfZ_U8&wD`FX$*4E`Pr_f)L4^mL?JRH6&jg>j7^89Lq}SApn>?r zo9kE=Og$nM?kGB|iroHmgA8~VN)&RE(612ZxFWMqrtlCdvu(*7LN}E>XA$nmgQ6%` z3aJCTC?GpD>(m+l0P>gv&RL{8@t`=>ggj)20!rtY!T;17CvAyLQysGtR)RFm&S?Eskg5I8A4>Lk^f}}6Oq00$NcZFHUvH_aRzsUq z@_(ab^e-47sprrv0pLU&0ZRZKI#6F+XS!2%5V0EznCJc1b0d%gs?U%EbJ%2&X6Nt_ z)_J`QAU244!~t!e8?}J&1KD^z$^U{KN?x2nps~#0Hv2%KnF!|2CAgJ#)hT}GxIPO=6S)>$Toz(x+JSUZ+axns3d&WrFP ztuia8SXL3Ddj_zxaK}>)E2n&tzzl-5FsdV5Nm=bpcbpf(QX^B=xRu#{9ZH_-g$a97 z-?D#0B0Sd*7SBqaQP=8Q)&ZrcG25g$6=U-xP8ix@ai7M( zgpE}F*k+-#Up}ilsB1)$x}{m$%y7Iti_si&^TO&e#HZmyQWuDko>psb1w?~g+w^%M zbUBC{Ij0vh2z)VUKvOi9CvI3@QeFz7YLp#)iG;A$0^MUo$`6Jz+qA49@32dcP=gkv zk=2-3X~^Zi z|8t?@sLk;}NBtZ=F2HI1_QyFsLK6JXMbobMxf9V8IGgbkPb|*(WU)Kys;T70JL)OS zcGR5m%mO-;0Z=aW6t6od7q>Ro3qq%0|G}TsU%TO2GYq;fI^I?nlg)3P^Nly+wBB<) zk*LT0y(FKIO9*q(xvixF1_Zv?FPp=mK1Ki7{>@~+oC{%-{)=rWFWuVs;WKJlH-sKk zos4V!YjA5r5cynaa7#}d`MlhLPsmJ!VQ8H+hLR{mR~cWL52;hA1b8lpbuKCc;Ox`p zBIGp&)w3N~-aDU01H^})8#uHc+W1}M+O=Ob47#9%YVeXHnQ!f#CBq^5Y*kQ?l z_8~O-AM__^pODTFRF84+Ptc*egr_Wl(1WiskSkF3TZUuxAi?79PV9hz8+KX(A$+Hp zQ5_uxe5bxq-NeB=oV31HX`8H1vfBbGlUdQTC1Ljxy2I zYepr6m|wNVWr`WeiSCL(C)bxZ2lx!Hn?(G$N3gcSE-rNS#~;LCW-BIFDfcGZQx zgbx^aQNiD%8`<}g!QYD+d9U95>EfCUuM9!m-9(v4eqyp!&;dK+zTwliwIQD{FQ3!W z^;qOD7ururOw8ut6h)4U^}N!i{J;TWoqv+q-WbF>50Wv&q(b@-zu~pTo=&qyUjh^i z?M%WPWd_5s{saUdJIRp@0RIOOq2uM|nO0e&RK`%+0BQB3^73(dzkolDc{1sEJw^c` z-CwY6FsAqkfV2?*3W@l_@ztMz6*JH+;!>o1TgN||osIMnNP}~}7y9=ZF@^$Z9PF6` z@E8A}HtFvD-c9d^F+}nvhpBz3e~s;tD^g`ASnxKDYq<23gIw@^+SLY zAA(ctdqY(1_in=0%K3hOuyB}_H5g*R4rhe`?krmUthT4sPU@hIB^OIDJ?x2qkr5%pnYcG}h=chEWDcop;Q@1#a(&CU3Cf^6RWozW8=(aj|4vzmf^k zL=H_AOG#av?OcEFgy9>cDa(Zk1H%LieDT=&EkVRBUi{PWqaX;{3(A#%F^C_EWf>Oj ze*o94Lqh+<^sCUjJt7^{EZMEPUvU2pItlI2dA*uRG;8lN`ah@9tgUDC%LUS$YNhw1 zM$nuNr#n`glxakq=)i!r{*_AFGv*zQp$XO&RMx$1J+&j>5f2)?3H>{4fqpOyWe3o# z;idQg8_1spah0%z6wkyr8(1*^uiMS=|0Brb`ECWGr0Jmt(`_mxe)t+iqa#vc->x-k;YW1QtycEYPD_1ftvN+X}K)Dzho4l=JSylF{Hzg zrboAXlTeelTGJB|iW?VSo{n zh=I@PG=!M(P9q62-dRNhTN0t?2W$_vg9cpRkYgRfFIR&?e%N&k6c{79;g;BQ?JjX_ z`A%S+w?b}%@X9`w;;RQu8AG3V)JuE$XghRw4bl>&&Pd_9I8&r24ahZOI(}AmE)y?~ zzz0i$G=(4Dha-X9qGePUhxn*>ngtW)qqX&nze6M*ZH~Qh8iG4T3%^8LVTeM6LU)lS zU0ZxjZOwc{;ZCGl4u8HTvyD1}^%p>s$>#nWwWr~i$kWmc=s~&+Hsr&RRPb~;T*RHo zYTl;WUMnaUiW;337v189XC%k3gK3{8`Y(e zz4I ziA(=JCue7vHAHL>tA~^qyNad<$tse@8+#K?`Ib1KjEvzB%RWL#$Pr&O_jBEi zo^@QmS=vVbnd0)FjDDX`nzgy~e%lZl>uOQM4^;4-*hY2Qr2h>c*$1}*LUETsYi%*c z9#tOaM;d$H;@+yvIue&C^`048b7`s>o;pO}%i23<+>gpAXe%Plvbm#lGuOA?UBDW! zrV+Z|nqN-X+zXXY@~|PkOYQl?F0)R~w(>O8l!!Hz+n=pwV zu4E8Dj7!Q=`*?w+&o7CvnaNXgMXA1f#oq`EQb=WL-vzb9Ing-I9Kf{lhx+|=|4+op9^0gu>&sUMBHx+T zZKPB0j33v`ST2^eX{*E+AOFn$iLMI0&V97Cet&s#Qb2$_5(n8+R4Y#zMYXSW;5UA+ zwynZCFYLJfkO}+fsi|=S{jXq?BdVgTRcthj9yolICVb}mLyo#d$V5LCIh(6i;o& zWDOA#4U>Uh$V4h55`nGo@)LBq_*k~cQK+?qY^lpu<}#VL$Px74f)7vbCehbq=hm7v zw!-}$m%W}4$B$*HUV_bQuoe463JmxDmhEg6`=Gp3-XN`WWQb7q{|d(fIF@mO>ufEy z#H&0$^xJ>r2-KG{W;!;wJ5U9LPk8dQmY^3pP|Frw1hYJ-{W`m59=v%_JaB<^+qJpi zRr+qIy5k1n56pB;P>ufvS>NH8eu;iy&iW2rfK#m)wOA%*HDADCIfLK)Jw~hP8^f_; zl;?Z_QFEF2zq6>#Wvh~NCibv(96vlEPD?B3k`6fIf%pGM@5Bl*+mu?#?LRWF zoAkFp%^5*vumvzvz@M*|jnJ_$mkbW!cI0|88dvy6m%B2TtW2cWg{YWQyg|__zGoIc zgeC`@?gifDFQD%Ar^ib-So-HX?)ai(PQ|33lb4&~%=^$wO0Tg-E*Jt->o%YfYV$i#$c%!1!2`zzXYuGAkMw`Gq39-%)LZ1 z&s$etL6Ip6rzq!{3(Z?25i^8~{vyfelHf=AN09@Zkm<(l6ZA6JqhXXW0xEKO$fY!n zGR9UYa+QLaSeqyVT_JMie;m)3#~?}&Zq|oWmgCD(R;rJDeDo>E^UqM;zlgsSCRV;o ze;-}A9iMUOFW!L9RM4j~`WXTChupAdTiro!Y>XV(spm~sC<+&w z3VZ^y>3qE4JRu$jIa-9oHxxushD1dX7{w@Rl|}7(;%=D3c9(4$NkWgB^@;E%Ixxzv+MhINO8$YKJzIQX>y~AlGr1Dma_xV&PFM z`vh#W@FKUsncJ{z+iM0$2F%sSdSQ5I>s#Rp;nI)HCVmUJuT%FAonh z7I{lQv|tHPtfjZ`aefw3m6rAph7zYaysLvZVEDn~zpqGP>;cNG=QE#NcGW91Ew9?M z_#&_Eu`)KNms7KU^ev|Xe7;vcO93EpsBxCBsp6yZqXMOzk2ys;nP%+;4YfDOULEY{ zEW{1JgV=9Xy8K2_6096e1zNbK(ZT{B zKeD%kJ5dnhD-J>`5%cBmp}u|mTNTJ|G)s47$KpZ3-0HW5`9gmy=1&6R+Uz*|7Q3l0 z5B|6Ux_*5YK*3zP7WA?Jja+*FSsaQQ7an;MFV{kIfE=Wa}gJD&g`>`6g4ua`>kMWb-Q-kn;QlE6*B*HQYj!s zd&}X$rJGpp^@|bo8+!c@BrYx*adxf_ZN=ZuOWZ`>cdqUkwoY%0q@1+sd|%|C#o7m+ z^!dWRtRsj-dh_ZL$8A5qTrEx9`aTaIGw$4pWSUfwl$IL2435VTZ)_fS=mcaHF*w`^_ z)G=Wl)(N)dMZSo0;C|_tTpg0$IrDftdD5m)5PCO3U0qUSb*fFWO1rA^3#dk?xpEnD z*;T8w0|1a-cG)TKc<@kNb}^~!00PJ_7l5=GzY&4fbcduLyo5i3w@3EGK?Aq1fB527 z+Jb_7n7k_w3j^eAFLWNZnl2rRNG|WTGz9M25MDI!22(9iAafkKMpsA(g2L-uB8_QK zlLZT*KMN?cQ~#x+|DY<=0om|(4d)+osAxo&QiAEAl?z@XKU(%EeJ}oIs(t9}VRW%U zR5Ekt2z)+%dIZ2<=+e0Peg;jM+i!(1(N3VGUEFVj4I2ivkD_AlTU*E0`Kbsf$avo* zy0VR1nO;=(81E&p<7DR7HW{ZB$nS?V_V!;MT@h?P+)q*Hg-#sZ5}wSPdmkqcyvVsR zcBlbha0J$ACZ00n+eo^MQxRy>ZPQ$mqyx}9nDG@DH>%JERSvxgW9N#CfYvhTkbx)S zw{+Zvu<{FP6Z80P7qLnV{G5RV&ZAG$4_B`*ze%OumDMX)<%5K~Ll$8&SH=k@3fD?3 zZZ5T29(abB{6d=Ba4XQSUfkPdoerpUEw)0p%ib!N<%lO{gXEV^c5jN%JAgEC&=`$( z;Sri%gM(H4Mn;Qr$6rzI#Wg3#^lHNNcYO-z`83*=^K-XH?1?W%c=0TLRRv^WH|jx^ z{6Y>SKyJl7XI?XQkAaNKwc>6V;CjI`JiLO&dFUlRFratodjHhD8O=P3gDj zcQHzUB!T~wtR-PUspMM_fEXbs3v{5PDMm0DrzeA0zKZ^9`6tp}c?Fcx;Dc}t^3Myp5?_1u&x3)*&(#ocK6JCu)Rx+^yI7VK(|Th(t@EB~CSzT8## zda3sQRa-d~H@DBO=vdg)G;ykPH_!}!f!-Z0!Ht89{*qkOyk7&`_l2(X$AHWIaqSvG3MJ7o8(4Z01pfjk#a(sZC1p0ohzg7&Z z|5_0uefCVdp$$0oAdB}=hHJQw6uh&;{LQBq_LcoNF@D?ktuS~!j^Dbl<|um}GH|i@ z?hs!{&OzyBpB-|d@@K@c^4Q?h>#4~(rc-qs%bsSu4rE|Waqd%Zj{N zN-%bbEPl5Lp$hy08`l~~YiQclu(J=&^mCH?!YGW<151801|ub_czd&mI%_uGm6 zE5X;TtnM7Uv&-MssY9pBw+3;q2B#miH? zNg>gQ1Cjz!Cp5(ZO|H0;-4UjZk>pQkL`(DKzH1}pB9l&!5!8D8Mtvnvx!@D$)!(S` zn#j=6mcjNOQRw{E@P?9Sxq8&`s^ND|Oj!7IgB#*c?VlpYDTKE>g`4brS$4;w@Z|M= zx?dR$Cw*ee=}N!c8;Yi4GUN03wD^< zCLBFTJywIJY@SK`_Y6@IL(WXeQ8eT{Sp*jSRXfuBRXZTfxEwS?ha8q(TLy_n54wYj z&|aK#LTE&#qromnGZ?!W1&}f^gYJnHkWyb*a){{$=YKsA=VbmDf{-Kz{}5x=cBi|a z4Gmf`@#uNYT&_gLF6lXU-8C%w=iGK3YEDH#fE}Nip~NQ}X@=q89Z5*~6YkeRD|sZA z6;9vqv~Db;CjQ5KBh#0&$4vOuxyRq$ITnf@e92z(kz`H*b4mfbN&#ubFkv44sqYR9 zxqeuT+x8I!e1_46JGktIa@xx5Biu5xeqTrU-HiTy5#B_f;;^HCRy`qtIsN;eV#Uyu zuCd+SJuw4EU_myedWwh^48j4mxRKQiSN*kdAGkO!&&!p^se#Ln^>O}}Yz!j$t8%9w zXwru|4 zC?GBZ{=9v`xtl`3Ccp9^%3XRIwQ1AaVD!+Unc&|jK}yR+U-Rf9-XoHhP1C-Bb93K7 z^-Es;j&uj)YUhp<7?Guuo15zTVEStnm>NZx7S;7rS)P_PgT0qgPQ(MBSHz^sxKf+u{? z8l~_!kxnXi5BJjScGtGdB1h`OWs+ro^H#T8!({#RW9^tvPKm(ViK}ytsMqp2*McqaHeX|fFwkWjW8%cg>W(zIaNEgo<1PjRMHf=DX2gxD8N-A z*ot`?H8Pds8%uMaATPB5mqz_jWPR(v?URptp>9$=-Fxqcs14Jn7tc3Mz2E7Ltxc;; z#a}I+b}t=@V?g*Y3$)Qb!;x|cEcP}tW32Eh%FZj%&S|tqB`A=-*4ui z$!HF6_5|9Eq|wBgxaAnyVRTq|s_IsWwKb<6`N5X_Wgl<+@&y0V<0;<$i`Gje%DQ!?x4(f zkrh743|{0wy#4deA zU`|NF;EiyC5p5nf3&e3M0f+A9y>YNVh{(flH^gN~Hf@XcctEH9jcdML|YRT}8HgFOLV)H)&2M6N+7_e89pflVk zv>f%fug)bW_T|g9Y7WB0GQ}OpCJWnYbO!$T632oaKOZorc4jax8k}$TPR*6ugYUOX z;5aAizvoQFu?aPiG@rjKcVUvK_7bvO|DbfD7q4|6INx1JE{nz>vCa#pnH29(5LhKS z>aHQQNN&PcMPh})O4LFg6NYUfD?B`a)IgcvLP@%7_zsfuvJR3HGLKOgzq8F1I?C!( z-G2c@8q*xaYj}cUbS3^VbPn6L>;shs{0z(oiu`nGo~~Hq5t*K-y@Y%Mc(s!RaavAk zl0`(FFhZ|HG9>L3><~rl7Fs%_B3f%zH7u#e(+b*3RaLdhiYhf#&FYEin-*Q z&#};S4bKJOWNA?yoTa~iFImJuX=I-h-rlA_7H8KA+xs=>fCTWQ5HEvmIG3^U%0cLI zSw3^odaWOKonQnt6Tkb63Ru610CcT+m`vIU;4Vb@h~FA*Ds}^mRP17L7qY&5yFCd1 zKNepO5MUg8Xkh?_*a5)nNI_7f7zuciES}8@eH5=6VGpPdmrTA-7bAWvwX4`Y>#kDE zPPFjvqGxeEpZaZ5?F}Tc8G)kLhb12bpP3n;`6)*L|Xspi0)N{^=@FPO3oex%|YHe7d zMY1RVTABVwasMWPThBI@ZX!8ho$RX$hxDnVb?p%=-zZT&JMat(48$vO_3A?egp*>x z6p-$Djet6nA^1la`$3VHO@r4A*ThC4lIrjJWYPs`7bt>K{A+0N%KyG4F;moK?@tIe zl@Ow&K;Fx$@-faxAY&CMGbo}CK5l43pCx(}DF<3$S)$kBG$q;Bj5VN?z`yXqAfG<> zx2Nv{bDE69IgvItHubo}Zza(;tQ=KCJxjqy&kleb*o7w*uyVCLhX93=ZYg-;iEWFl zS>->+HxZQGxYh3xTl_6+>h_Jv_Zyn;@V8#qRXNvwhnIh0p;8K9Mg zYb$UzTOtHq)zL>$8KBs6Bn4|L64?^{_1+i=wIG%IP>Kt!JJ(n8n9)v#4!9eH@ zQAY`p!E}_w)L5`!i9wpmDpB_YLbA|nLSabk54k4Xfkc>4Cu}mMFN5t!=#t1gIw5uB zqgOz329PR(bO#2QHkiUD%1QNW!zYMui>mjKy@H7+<~T~O@K3?qsJ=4>#E&;`2XTSr zK3h(f^=PqG)GkIJS8^2o`5(nAb*tpsL*!ZfB9_q#9aVmyNMK;YwcXUx*ub5O7X2@+ zj_NttY*4Ig5z4Qr>jkoQA;d8`?;`ceAujh%)Azerfw4}06o+?txv={Lvi|O!b3ZOf z{kmiJ)5^a+22?5fl2|1ZkK*sYZ}<=59<&sYZq6$CD8UUA3|TE#{+VwlPXF>#7R`@H zQ9-9<$}PfT#I|g82eM~}Ha$6~BCOtdi3{CMKB|LTwJx-;Nr%@xj|25rh&Itl3<%Q4 zNUY1Gb>dt20sqwj*z!fqoEQgB_d?v#2N3FXs9ylMVVWV_5@Yw1Qqzz=(Ugv=rs!YM zB7;mNs47lcB(v%bY(OeYRTXTmf~hdYR$!>~x76JK7%Ht<&8JyiS4CE3ifzbHiJge; z^M3tIb4YxawB||rnUfdFP*PXucftX}an3t|Swf-p#vkIDU&_329weM9x>|5<5)Ohb zt%QgzR@uh||H#9E3DQD9wj^aP3;B_UE-cuL22&A&roa&FZ%GQL@*&@? zL*~LIgUBrd;e-*>&?bTZnDs;}jTFNrBlsk?u4M6;ae#!roNhGloD~_u=e6L#H#akv zRfh=KFd$o6A*L)XVodW{eWdoVB(Dy(!gH3;Lheu0(n7YhU@0pe%1gxw`WHd1tSePb z&}9>;>|7gq!G|ud!|t8ReKqJvJA0{>XRVd2>Pam)hE-wn*vcnL!h`{FiStK}sJ65{ z6T&>UiGucrpkG>%n>1!tG(W5v-lbO;Lp3&IZ4voj1+63gWT5@ARsaJ5!FjSr#4ET0 zoS8rkol5t@I5oC57!BAu9D~o0v6yP;7HHgxO;iKnjdGCi1|rs#Twc5zOh^|d^;5;2bF?`0!+d?MWW$AiPhwUdXibreKUH5+}P7JB@P_XJ84OR4+S`ie})6g#F9tr zAzi^@XL^W-{Ht5;U)$|Z5Zhx@>r`mX629jG5WoJF)BRuHd2XjX#N+Z1XFAP0ZmAC?l4X2MX@t6;a7S^4$#nE%R3`Hj4>4R@ri{U=VKg!nmTF zDuGLcXrXQYt;V>r9{+Ku2Q$oV*+d?Z3*I~neh|+$V}+6L$j7)bLZ1s+!kuLi9bj8V zp{O}*g-oDk0aR#s{>~soQFxMUCzu3DX)vMC5fBsd^C6;QR1stzk7dH&bcRF_;IC~+ zfo2IFFr-eBU?8M^|B`tW02UjKyFL@Oc*1fbKDy=L@+=CMUKn}jAT zamxUiYNc7|n5o)U(r0vwpYj=X-84Q8O z_ykWqWsoy6VAG?i^OY=G06yW~R<;^t6F*}2=+MWCT>9t?pcm`+jC4^fsdh_Q#GYE) zxVvAGfsnSpJ~Sh6eM)2Ok(yG^M=+sb>ok0I%J~0~_LgCFG)ud1aCb;>cXxsYXJNtJ z-61$ZgF7tTeL-+{mteu&AwUQOLa^YHGvwL(eYZU4d_TVHs;RoGX1Z5Twal8fG3(Vj ze(uUy1p0KUSmyOg8X^d(b1q%+{dtE6TyGezOk@syUvD@q8SPxl1qy7Tf{a!s zop7VRe5dPrZy&!+^4u?K40Mo^4v>WDq4MDyrbzdm!gW6Vf;J5BhN^kf^# z&b_iy!1wwT5-9Tz0lY4Xi^i%{0?VD^;2Vpej=>~6rqiL{5KCUTR;mHtKG+Lj7fi%o z!8dmRZ<6PT=>~JdV?m+%!O%Gf2G_D*qoTM@{f9Vpif_q7xWj*+NzcLEjnM+^M&ao_ z;~g2^5||@%N8uBPL+bPfeJLRf#y`tDE(h8468GugZ0L zbQd5bG~OIPNVziy+Y0K?m+W*Wd^MViU1Cp^?H*T~Yv`!F_+BsTH+JMVV0gY^m1XdN zzmri^TU84zCj8q%c`ATl{=x|U@*(Y?>UxDnu<|W&Q>t|wt30<%Ax&n{BK8E9W8@)E zR8@m?-Q^;EvsWzTUu`f}6^%#paFZe#bmvg@t}1^fyZUB^9X(57t$oQLfwI14G*`ZE zww+A2ol>?P^CY63v$S@!YplRl$>ME$<3KzTO1~Q6=8DiD^qWB%(-Px6Z#QMb8ey9_Ycg+`d{&EOI=T)6!gi5Y!nx z-zRkG!O_3tEL7_6m_>kc+Rv+{NvJSL3ploGdtfpd+cSEl$NHOg(}hfH`RPVy;K=<6 zG9$Seuqm!d@f9cc`kF!g+|MKToyfslomdaNEpzhj&V`L{fP%q^(2}!wZra+><-_@r zvzG|nrJ;*CU&8SH@%`SV-|JKP@+2v2F=4vq(6@9QtvNMwUFRXHz5M+z-^JC!WmDNh z?IY^_Q>}auqf_#E-=6xB=zV+2N5S{)d0ZU6y7pkS{a68~h4&vPecVMBSlXw)XP=AQ z{;+y-Je|MFUt3{kbvg-f&l{iGAH|tq3%&nqVB0rq3(rDqwY1%pvq$X%3D%mpcN+!{+gSPxgsR)vh#tgxkc${ zd=4=|iAqu=7L!H6KU2I>wOZO)t7yG~;{y{xB<5-gi>$=zX7wUtjb@Kl#a6|_$-?2a z?WIrCU6*bohV)MG44Y@nj&w*VFBkRNIM|*`qJ9E(^uLtOr+H232zxGy+0Tdx0BYnE zhooRpR>ff2ScHI>s3hgG?dWMH!HdcMA{6JhAtU=ZlzB=Ki>>cPBy@L&J`>Cm@@WF6 zTkBlH8i!(2xFB8W%`Uts!PaagIouSgyCK$*M^J&7EY76bQF5L{sC$wJs0oCq&G5_c z#(EzZ0-7Jq8y3OmVz3Nkl3-hCv2rBX@3ibCnZ4<@&}QXGF&VVAx!mTNy_vIMo1htJ zvDLkrH!IrQ5L+>DIh@#MSDb&K`B>Bv*wJ)sYBRwP3}TLzESQi?Q7|^O*id#XfE@xk z%qACK;&XkocL-Ei=kBu(B)|MX0>&~sgt}KE#pj0P%7VRV#pEtv8S1bgpQOQyLS}OI zF=d(@CAx#@HYs&hK?)Ty)JU9FoL359o0KN2A%%)cVJ_fo*ZgZd9~QHrGHv0L%3LH> zYzmNU2ssT_P_iWAvmzhDvA{SKbEzxLWvOfN>pAocmsp90^b~A{rp~hw&rM@Jj)--;-S?T#Z)ABcv|EbSxAz$Hm5jU=oB%UeZfSQ>Yjr zuMkMCA}lG(`_^KUY3~eH?R+W6)CqjO$|$M%BBlv-6q%h`V-l>+FQK|ODr*fY7ivR9 zlTD%fNC8{^kUuQEt4Yp_IH(Am47=urU zjfII7H#gg5FTz||e*KePl9xA5ppamw6F>3WAefsi4Dz0XdLo*_#t>EZ!W1OGp8iTA z-_y3mlCcl$VON5UYV!wbb~HOTqXkMWYh0ZW z?FVai5P9DXhP2Z_1wjsLd2%51Z zRU<{7!5Y_SNuwa?!$&a#$*>RgtNxUf5D4OUIRuU~jtNy!recX1AP!zWP?Fcz!>7pi zvF#}#n_^%Jwgx0w!wcjYe?_9#6st8hFm#glJZ4`|ZF($Gvnh_3Vgk7!aD3LGT#(1r ze7s4pW-F=eG9&72)QaxhCuyQeDE#(j7;Ow-E)VaEHE4ST_Ogx=w239~Jc)#=C#viQ z2Q}UxSRGeFRR#6f!k`UXt~-X|mb2vRSb8Fx*|VaMG0B?H=_oR$JH8uVr* z1Nvg&b#j*DZt3~v!2~S$gRVXG;F%y5Au(wb?_}cbdr4pV%%`u2$kG8akpo34BX2gxLh#)9giG1W2#cl>t z;<>|$7DJJV0s)#?iIL8$Nqj~t?+Ewp7={gYOK;V8OHz_ASw33Za}uM;M$$FRfRnvA z3DIO}sFP;E>f)UEXnXX(UZXF)E~kf_3SuQ_oX~S7m^?8q=ZK%jVgoOqT)_A4vqdo} z=`ce;Qdob)f-_q2A%0@H8K^mrqD&wRa)|$#hfbwlo*R@ZD-KZrt*SN?6A(&%PQ~;g zW6%?a*dry>(@1(kv*?MtwXoM)fILyC^uqoDqo;#;ARU7X9?=!K*(ypi*lav$Kr39q zFHAx!DX*^-jx>YKXQs{l4z0|DC8M=3`A9+9k$q1fDT8QyoGOSDwIqyZXuftRop4d~ z^{e~Du=(1TK1pHfzvRJ$-w{=*NW^R*R3p}Xu&?wrir@sNIV>A7!;pZi4+-oymPRot zWly)4R?QDHzfZd3ruM1|VsB~glgtORWq5&Kxf2O^;Uxr<1_-3#ou{}CEwII5`3N^YzQ zf>OgPSu6M~%Z9_6(#>xy=Vs&FYYd|QtfR`PyTV}gv8lT;lDkoksd#>dT5E-&W^Yw> z){Q`wvJge1%S&fOd??fX_x2hSOrtD(*e}>LN6VNAh1j`Vi(mq?4qF@yC5=bMs@4n_ z7iuK@^60uycE@zPSQ0p&Xn+2}emUC4UM31&ISW#AJL&Xx93C5r{7M2_FTmWU}<4l@&4ZiflE!{wV5FJEyMmX|2!THWj~ zjw!90gE|`ZEyJc1`z$%rN2F>a;Qr!;6#Y`R-PC2JbzKw2|d*u0n0 zwW|A`M|t~@pK6J0X~y%T&c81`N|8X#Figq@z5=R3Y_P(HXTn$x+F5u)T`D$fy(^_8 zX?LbYqD$|Vqg(w}YA9K0&kl=rcO^ZP?IhK*eJ{o4R7;By>ElWbWAU%SYw`41igcRc zPvACH=_}5HWK4_nvv0*I!)*<$#dqS_!mlv*bNY@odZ5*8^W}4|g0sr1i7yK%_Ez)N zgAEQ$KPx4krdUNcS5e@_VM6S%D&I=9V;9ibg5K)~HDW3*+4q@$5)cTR#|fN+Eg%>5iJ}Y+GrVDuXD~%D_filBRk5fA@{xtSE)j^Ih+w=WGUkYNl0b?9?=G^@Sbu$ zme4`R_j3nls?*CobW9wVuqQM2IeS-!i2alX=q%|KxcuRA4i*P7o_L;_6AcW)f^0&J znVZ4%=P8g|)1?$N#M!|=XiQWTbsX5?T#G#xi3J%Yvx?qcVi%g?Vuv9+B67MidKH|X z!LBeKB*Z%AZe9S>k$!oSb_3n^Q%51!TdNyJO7gp20nQsce%^eAJmCd0XZ!UbNDPyy75IdR(T2LS@ zoMhA9n@2f(D4A@zWwETsyi6|}P$k=uC_6yud}N#cRy+Ejp==4a^%J zI_<~xZfh3b{rfJi$6|k`NiDH4<>$@ge>A8a`R*(HP>9U-%C<+f^j5Aw7VC^g#=oE@ z*``~$P(v;SHKi#JlYmGkyv^McKdQl9 z^(~&hVhZ&zV)zAY^|fWh`YR<$?KdFsOjJ7?^beSjOVX{{rnO??c7OmzDky^@W1-!Y z&QbiSD1K5bxSq_f?&Jm;uC!Ty(U|zlvKXnbhdKdQn7ZMoq=*2r#=a;#^EHdG0pSP)HBNsD&@Q~mJS}I;-1y%XGWsM}H8Z$tXdyQ8E1%=5B(ZlQQ_ zp}6_WyY}|^f2Gy-`G2L7KKJq*^UpD>`(u`6y&h$~j%6>b=4|1_rK@#=xRe*Lvj@vl zzbI%o!CK9g)4ZnAV(HWAvPGIi)s%ndQKxIFQo>E8PhUr`mYK(zd{B9ARDHcm<{G)6 z8ff`v2g#xqNCk8cDN%$Yi(C(9u(br6xF)^BRR24(le5QnFrK;7gN8_u5>(@|7vN0h z7u<9x%$l=$d4&CU^Fv+f{rSjaR4rpJ$-8y9T^ibPF8UtwHP=_#{%{>Kygc<)z^LL6 zyRYrij<)7aIUR&LX^sY58dbAyh5atX2lX0yMQxIyd&>)E!JaTLCxef2w6b0zpGN5y zzo#*n)vvx_mT7(nuGED>2fVZ>54W7Ngv>0PXnJ59h-trw%&d_ZwBa2{Bc@Voz*bvY zDdo;afXd$hSX7eaD_&_QQu8+hitMbh4qGj?EMfT(Tq?C}pmz5n!XwoLE9p zPrDQ^uWk3mi&B5pC!TPqs!OlBvDPb6cHS|%(%0LAE;YU>L)u3OqSVvmBoYpT?C4buEYPJ= zi}j3F(45_$H!U=g{5reJAN7b!I#R_`&TvrynTvs085C#q@;7|k(4d5^U4huYg zF@Kbv_xYEJ0zKqCwe4)TrWC<0PdvcJ?hZLmMs3oCMCx)lAbI+Zw=gy-+|%l^Z0Zh= zEZCM2;q^0w!o>Jph+YxIP#JZ@iILV{9&vK; zj(&bzbXsU;UFN=SV2~U(MY=6x{C= zj?8GVQsfz7p5MYz&=_H=p8r!M6zv9$ue6+-#xy4^38Voxcg4TU}!h~;tZo;sBGShl{@?QucMt(>dvq5s$b_xNW!%|+tl~M z;>bOpUP~wIp)Jiv0B$+t@>TCI&bAHVyKPNYi#^>T4>z^>1m?UR!Sl;}JkM_5J397- z7Kujo60zI6Wu^sBnL_`K&|UCdWV~ah5;*@>d=Ldad{VP-f?pHAyL-3m`#LAw^lXy> zoQ9tReY=OKZu}(I)ps6^$C;`{mXpQy5?RMl`e$bIf;bfNw?nwpMo}p9u3pPc z%v_Z9pGi|a0omb3E&K;l%oBN2ABkGewuM4E-WoJ5!+yopp2*UF7ubn}c<~+w^BX^h z>NbBJaB!$?-^1l5p>PF=#Ip5}hVLi2yx7wqo2zx>S8RART^H`^oPF0aJG-B8dHRI! z)ZdUY{=ME@V{oTOjhyXC5H8jGRp`Jaw0u{^a{gCbYsjat;cYjoLk&I;uc}&C?=MO+`K(iw7|B&)U;-|$9x2K zp;$_I!Z~kPKav*Ce!+=4>E&k&-s$V!^JKhDcGXbm_ri@+Pw!8VyCDr!YFjwn;VoIW z^>QZqtL%;iW!qxzsZ(_djXq~tOLaz_%dd9S|tT__jlH>Zix4AUgz~YsR9!^cA)}1$2Bm)jOpE!V ziILZrRmrh#);jPm+T`swz4VI<_)Q5n1QkOScKR9-d^fa)n(Z!k(z#ZJBhH+$Utpvf z#tVFX7}IxX{es5ALluE^!KbN4@n^PQMnB-|2cPcP#`p1%!!P|&JaoprI3q?;!kSTg1EKkOAWqtyCd1wsZOx@7x-c8s19=nQt7(XY?(Exft)W1cIsmXUSD3xWVPn*vbVZ8^B{0mXFiy)RM!Z^FwHaW zSqsO7MMPnB%kXOrwuQ*>A4W91jCU!=+LuYEY)iZCcW#a4)>{B8P;f$2BcPHPK7H6r zbFxyXzJPr`n0ttX%v?6;jcB7d}6?j`-g?7wO;F!U-r2&TpDpdE~UFHkkXx#rc`ib zZCuJB$-MRQR_`t-aN$8s;gct{-Br`eTfLp%EVk~NE2{67JLD%0pJQVlNM|~yue2~^ z`?JG_2}_GVF|eLl;<>hXj3ld}1w@!4-|W4-S^Ez{zga_{uYuW>Mi`2HnQSN4hThl$ zbF6WX4Gq7#7I-yVpEKk=ZMga#aXiNx`gqurURU6(RQgAefPa9Wj~|iJb(zqR9>Vp{ zq*n?9Cl*0%1%>l3BF5qcKgA)+e?EB|f)p&>8Fhu;?Qt3#>_pyTKlr%Ctq`bx%%r;&qI1F=g zwW#&tK=m^4#X%IkTRC;)o>x!oz@yyS(~ikS72C@W^}6e`CzsY7f5W%C7^@V{_lu)6 z#f7!3^fX4eLbLGcvhyxng^aENJ{?=F=EVg2J<_^Og2DkCaVeRPH?nBk?K!MdpVhv< zV-&lmYx2V_4%?OABOQ28jGjhBv0O-JMSD*fD@&M=XR#CC_MKG$CSRH`_@Kh8zYz1b zxaj7r1sbX1C1HYA=mKW!$Xy7#GG)66#lc)eH~Ds`JUS-8ASK zcl3m0>0deSIlD4Z$kdR1Zpss4>;S?9&p0DnjR;>&P@s*Z2$Q)OPvJ?W9@crw^O>zZ zjfhUyhx%$!w;TymeX6_3_DPB{HA;$(BCHKIz*a8(m+hnw%g@SS|KKYgWggzFB4NT5 zGVl@J1Hp@f0$z;lH-+JL@$pAq?*)~%&xTD1-*ju&KHBy@>_F?Cy0j}<*m(MUCk=kD zRYN!#Fq`k>0S}>Ea<28{fpKY+Maoc}VdXZL%jgqs-^7kGRF^18Zqss$q%rr)AkpfV z3zcrfnB~P)JtmwXmATHV#m-MKTpQgMLcJDrm=f9yH@V}>j^7^}zWr5|bT|&zqeSu8 z#9U@dtbXoHHZ*qZUJu=JdhFle8MjdLYLZVY0R~P$?aQz8CtpFKk7NBcd~V}7@_1S5 zW?)L1l&FnegXA`uyW@wjJXN>wfp@q5Wd5Y^cM~ACf%N)iuYeBXshWY?+pk-2Y^gbS z+xPt3zx3!Nm=7>s8{cPcO;0L!{Hnz-OIUPtzt2$RPJhwEh5~ydrAyxHv| z=njn3^21JWacuL{o~?@YY!8QM8LV!^A@MU!hGdgjuA5_p;T$K-;X5QSc@OM*d%u5t zO;(&pb`jUzfx1aYd3^9nP3~@c_-1L%#H(xlt9gUD3$!K|Q=JqWcT^YyJ>jn+3EJtC zR~e#jh|of;ybeB=1)g$8`acmOPMy-1X}I;@;JpnUNud0i$}=0i&0=|pMm;>(YHFN6 zDgN!sdpS1J1tw$d=$2UG@XBN$HE{lT0GGaUpP5Z zoHN`$zvjfd+k?8raD~DuEe!G5BU+nzy@~U(m@3s;7%SxAw$x>bc5#d(^ z;qs=UF~h~XKg&}F>05lf-0e*gsc3TYcHiACM3NkQM=}{KDSOwg&F5dt*Nyy4PYsLR z$V>mEXwMY<&sr3ZJDWhX)8t1g-Q3;{jvU#|Jv!+Hewm7?|+ z89zTLc#4(?PREg052H7lA*|NH94`lY{16rN$S2Xe?K-=upo1B$rF|kE7d`B+-B1+e zMIlXd8ejUTyc~@M@H%T zK`GCz5|fA9?A*?exDT01H=K8)Xc>WF;y$prA&mK$rm4y!SAna$s-aM>>Iac1#5CYjfT&PYT&vkv4N-tPx!PjPdrA?h-88%uzX+EcLWo z=x93J(7kl5@@~=W(yQ34(l}Wx|6|4mW|KI{?yPDko{_#w!$Dr(3xW3JFX%OROaBkG z`bLTg+d=0Eant0_c9l!`|GQbGwU4|vH2tiGyfAD&D41p57=>qfK;Ty`x^Sfg#?dqY z-;#jwE~lfst)+w;3(@sd!mCOLH0Mp24r}q;m!kyirAV6pVGbtzkq<98o8NYZJZ2NR z*VylZ-1jOdAzS<=)R_7s<_8M=QHBuXl4IgvDBRJ=BK8lI^82q*^G9a+v0>=z-Qosc zAg{i}MO2<{&KHS&y9u>W8Omd4GNHSSKxD6n)?5X@rWx!|(bv3Syk=YLz_Z*;_?LNA z6ULz;uz5jr%{JeGXS$iNv=834HjvO>fq4ExG@pXx*DoPhYY>94uwVe%_xz7mEj7=! zAE$iKz#L3t!4D3=oULU-V_{%5aMKIB7nYKfPx=zlC_hGd%vWpSr}TrZs*kyrt}^WI z$D0-&R&D(qczT*Mjr1mJs=h60UI)T)BmJ7GdjyrztxFP_}yjJ8~Gec!D|(xWGE z9|Ep69kfzu+NvM${KfBRxG0UX!1K^3i7)DA;xB&(%&d)TGRLR8dO^W{N_|24fz9gO zk{n;wH$GR_cw*;}Y-w~VGAbvkxrjTykKPoA&glnC{1-P`WcN++kE_G}$7VfO9DZBX zCu^5}9o?U1ZS= ztK60nGBkX7Cb=t$Qsv9z4<(cu_TFKxmX!>ugO<`+fM(e#%u@b=%M!*bG@FB{m+2rT z0~ya_NFnrdFkwTpI_-RVQ?X0IP$f$W8jmHG%I);WEG~-j5lYG_d|VyLuMEMI(Gfyc z%H~+kUXou`2IdMeBtIdj-+VwOQ{GNuJYY~lpF$VxlRn)O{Jfe7C7eJCdIQ=5(ai=n zQaON(9N#(45$D2U1d#=iE3o2|1nIU}yCK@{A)a9R3lF~!KRx1K75roru3Z+2s~nM{ z`xYuxyG#o28R|YmxR(Ibx8x7e9vw`TP-aTgNB>AyQ99At^aL z?g7x$TX`OVee6forlI zM8%yiFDK5Q4lAF4LUt%cCdprik4R^e9)CskEgCCn&=sA+v_52#LQ>)7EPqJEsEa^2 zCWlc}dS!*0ppyELWYeU&{BQf8t|UQ9E;UD(zKQn+;a`&0F9cxozIHEB+(53nMf>QQ ze#0SS>H8SxWA-&+z$0Tl3?cfP!CEm6QIw`25j++((ZC~5lsj-JTWdPTl886B`24^O zqHh^Nr-*RL`U5`$(6$2=H>k9EToiz706Yk`^;53GD8H0#aw~D-DZ&i9G%?mDgAc>= zH`d-p_!j{#xA+AGSEf5erVKz>=x0c-3}ze`l~dIq#-@d1!bVE+@hDXk-n;; zhGr54(N(}M0hRAjKSN~zex{*Oj)Lf`L(?ej*JIO5sj@N$s#5RGV$(Bt)dumU$;7_dr4Y9QDqQ4exV&+rqriE6E? ze8}kM%rrzbd0R_L1(ve5NcKThR#2cS^Ijq@JpkpNVLbq65zH90N-SMi6p_@J7j}|? z>sXYKl7gBuB`Bq)mlFDu=dwU4xGUs{sGl?kLZz{8h8 z2df8)mG_cs7=szvWe4{v17*cnt&VjjZGX3N+J}@;q?l1Dpp`La@xWFr3u@MRR+^q@ z4{p{)b(!J$3?DAUEmWAmt0EVd7g8z|s5hyHFeH~3MzJ$!yK97)G$cPxQ2c1BZ{fFx z?GvlRghPOX|1S!|#6YDW#TW0ixI&^2@fv%;QARvVC7{p8++lH57G?NUSK4K!;xk-$ z6(@B}61^(;vdNPf==(uscu#VDws83&$YJ5^nH@i~?*Kz@G7gBY%kN4{u~fR|~U@uuQZJ%lpCI7;RZz@dA7CCr#ysymm)g ztq11HUy{uvwpoA^?{H)^y-YcL9B7&Z40$aX=lkk8)C%H~V>6HqHMhDdyM1*0rnt99RFa zLY`jsqCt#Z4azduxCD9}zE1%z53PL)e#0vGXM@=FO8&k5v>)$*2oZ3D8OZLX7JvtL znaxVXNz4hj@D35jo-;*jNCB?LYvpmr;yOBQgU!(6UzIB%OBHj*d8p{;?9v1tFYcwL z&ynChM*;zo`S2WUYJ*M0v*%|05%{j?KNaHV44fBhcn+8jH%^Y-dRpcl|3$(16A&ulIbhiHFVa5;+$A?F=_gY6>Oj6s4g|bU%ApKloWFnr zGR3=9G@)Es=_S1?-p&l_e`ehO=4aWLj*xGEN7fZoYEboffcHi;VY%?g^{@cv+Did` z{bQWUx*jSOO3{}abl)pn%&fP)NC}}6=&jQtvB7J{5#PSDNUVg z_kc-xrf(LG8M#l$7XlJmN~e(AX&XYJAf(YyEe91rqJS@pC#w^bQN|`Rl50RzREvwE z?8n2JeA$T_OjyXqhwQb-5E?j$7SH?sGOP71olpE7&1lM$K%2h`%0LDiAX+ktdQbpG zbR`rhoqvqEC-#3wG0$xT`4$1-c3&&N?dLhqdEp5U5Ka{ToT5o}>-j@16SdcN(GpFMLh_9mO1$0u^Ptv?OrH zoFvb8?Dkyx=77?7sxbU-&@&+|0gFDs`a$9mTCzG0iS6 zX+uDIMpOxu4t}u`QH_^8$P9oHA96tRJ)Ai3fByrIu+PSFQyrdd6RiJ5_`xJPBU1Z!fLOCp9lq^hRR(jP|@XZz%7^%$0*OU46kNmFo8MiFQGqqL(%`AVSte-<)cXjTf z28{DCyPAK#vspals@bM98hjH2O z;}!|F@r9qeK`l8WP}t>4AF^VPoiZlO>zh{uuJ~K}t3s7OSEw>%psoklaXjz%7hxdbz01CP|V-K?$%vT7a3{$H1Y)sr40nDf&`n%!rTN4t*@dLrbrk zR)fLJTF7c-#B3mG(A}-w9?|aWSLcT1{=Pas#F}~O5R@?FQWcB)9bcJ=N=hJiz8#bh zr`W`(3L*UyX3g*(Uutzaa|zEz!cJ2Qf>y=vh_5BGv1~#$u4LVNyQjrI%t^)TiCEK_VM1^8Y65G)Zyt$dHWSY|O}z zHjl7q5cPPD zowNv~r}L2`iktP2Svp}zDu;o7wzU)oS&H!0QI=q|wSJ}3Pv*iWgRONPN#XA9wuT6A zq4>}cV8gqz`x}`f#%oI3q4v*R5t((>E^LLCl@;sJr34u{c!ieh*j;>(K($*YDZB%`>2RHlX95WZP7+C>7Us>6Z zBgL(`xH3se$aG|Hg%z73;G+VmQ>9~zn%{N*SqQl5BkME#=Hm2FoAc?PB#HJUE?Gi& z7aE~}X9S=qR*KngQ7jZS`^X|xM@Vns>XSxly`fiR&6S1wl&A5y;o3PHx9j3OLkfi!Pp!kvE(Qo2a%#{^?hd-x zZTvd{@gAPd3H!GXD_!4wCw=2ERA$OXAppHPNogDI2Ky^Vikt+>&k749Bf6#Ypw7fF zi#6@}@(qnDhL61!$KMf_B6lHajquYh+1_X>rh{p&9nuVKwbBRHLd9_|7K+tR0yC-* zF_nFtC~o>gZutawsT>Q2+5FNZW+_74Bb@wbYvs!4t4WRWUKjucDT;y*$D=ebCXH5p>#FRlNn?cl_{D9?lrbuqc5L4O zSZEffl6fTg4DZb24kr|oCu7TxE(n8E7WBPwr1e~14HNr;eI&je1g|@D%8<>AEN1nH zOi{BxhMr7O-wGx&8= zhUdp;%7q>*<23gY1YBblrf4)fjv|rql%rG_+9=Rphj^He;8M1az!0c_yRy*2k7%Aq>;-r z#6Em*Mi*@iRL1Q)AQsDIq@wbp;Mbm=B3h>qG#eQK@LPxzgbae9+8hKa|0H0NSe|$p zB3m9K_la6#h}txfkqVnbM<+fNi1hvUSAz0cb@Hzzlid zMrb86ipu?pY^R)WbAvzU=1|_j8tI1uRdZj}&u?yu{%zhg`7XrRa&>*cuE;}P9ft2uKWIh!e>4QQV0|0Te z0oVf9y9P~;!JaD$P0kgsL=FYc7^efj7%7Sd{V80LiKcVZ5IAk<>h%|a$dV(NJU)k?qXJcJ3{h+@~ z^M{B8x)l+N=83kepyyqeEFLgOwyVVEh(m}LMi?aF%Lw^GN60mbN-zl^E@IEGMEa9L zfnI#CxRl7NCU#+HW>@EQeRS;_z~@MiruVkzu(PW|q3@w1LxmQextbY;QqoU{nyz5X z$k0`O#02e9zhKNW$12^B2v55SO3BVFrk6y?yucLBMVu0q2Ivp$u_JiMAx7Yv@%$Iw zl)_+taCgF62#k%)DtJ~w(?l};TRbv-Kg2k;GUR*w*Yx=GesU|^Pq^^>MieFNH!k>< z@d9rcLi_GYFOpRMUGe2W^%85)dHQOL#KEAg25;ixuSgm)ddnaCf@Xg|1Ufl8PYp%c z#s6noVB*D_1K;Y+vPUl9qT?bYwd=A+Zj(cirW#&-S6GufDjq$|686&f!R(=8ljNcd zs4ffe$^GO@PS21AA-VrcFZ-9>E8Pt&;3{`g6XamsGpy9I?zrlZkxz!Hf~oB6A6DB+WO!@bVLPb;2|yoDeBn$OCnrVU?4_0-sd^e~)%(Jpk)jC0`D zL2Iv*oBkI*0aoKT_Z?WRy(iLNpaUM}Prfky_WK+_cD_Y+d(!f7o&1~he9PdeV>DoH zS##~D)f+I$+b0aC)J3FC93h$!E-@%2r1NBzUbP^h7TnY1{4!WYnvwGB;ea_^3uUQ&GN zXQnfWE2v}8LVD)m08g-59p~WYwffe_!F|4nWrB((^7BNWc)Be)-*sEeFK*+VhsLM* z-XEnAWq~V-SZXj0Nt7kEW2{l>yvQqJfwR&S9dyd8^yd4pazvp&GDU5Qrr#CS5{$NO za=l0p5 z4Pp2p_zEpd_(R3dL1@}&qYtXfRs^WZ$oI?*r^bDt!|ESmlVTHs2DX()lB4;5SFeNoIlLWq!$3$H^C0~Ehl zlirpHUeCC4_E?~Zrj$OHLsOo9TzHLU>GYU!xEIPU0dIecjy|@nBFO!mY7$Y-=Bp>- z9DZ-6R!T^`ANwO}+<9|ka^xAtjWeu!8=}xEY%jLKw^h{M;@8{lr}|e^(ml?66FhHB4@=s1WE3{Im+m8M@~alQS8}8M@;`n}`+=2g ziBZh$qfopO%rrr2VRQ9PzQHrU2z6(#l>aa;tmyl}@xkuFJ2!#5DB&vwl?{E|LPKq( zVm4F5QdeWC!%zV|O^9LXh3zvGPy^uZYn4k22*G!8=6%C8A&PUojr02^L_EqKjWJyc z?A<2imz0N#vw9JYZ8b_R>$>!Z@YwD!k?ny)TsRpW)pXXt8_hkD8qhT)1H9y@=c{b% zxC-8u9yel=#QsPwUXfL}Fs>euk~*#7{(5AsmOyB=aNr!U5J70AF)>jdnyDefSCQ|i zX|Yj>-BHEnw60qktU%)SMwvwuuk2Bi&G6MhXzAmXwPS;)XJ*<xD*X&V*}2`$4nF zoR?j}s*&C+DS?s2nFdOSn>+1$%Bx^^NF^wsHm(fsd#Rz!RuuQA3RdX^Ip5Y#nu~Z6 zJywtCedVP!o(slz}yGWx_gY>^zP#H`*;*s#lJK_g|g zU>M*<2^}2>!T%cUy}tiN^x2p-(Kmw?Q#$N`?#PS4m-VbqY#uxC67v$F7lj@=lc7Hc zdyNV~Yl)R__!ok0;9ciq4Q$-*c1D5}>=31i5;C?qkA^h|cn93yUMPOPy7)~NFjNC& z5A6eU|3dJ?%!%RCoyAdIEK&P|yF^-#3;xjjp;2 zkzKCT(IVt>ux;kHT%Gr|LH+U00p6NRmB`Fk20>6@d8RK8-D4VYt}hPC1GUyH zog`(D)6$_jJBY&$nAabpleP8bp6})ftvew2eDYW}+4VY2n!N_>&%#!A&>9Ab zETb<=CeT*w>qMOWC3q<#^ z=pV)-!!@*w*^D2m=5ki;@(Bz%L_$&}ZjNWTK==3PbDucx)N`M>@N=K|<#V6-3Rel^ zFI@SAr;3CWswiOjIf;t7ZdFa`2H2)aMC-joiH0Vap0lbv>{Ggph6XjTKwS=nh^vZ` z!+yN6e7=^Wb|CE)o3>%6@%yu&wnI4A1(a{)uf{dl(kl$vwH8Fy)0=8Mc7`hbQntA) zk1L4tIBfH7+k*21Z~l`N<@uEyu&cSHGYE|1l2}Heb4i6~6b>lNn3R zGuPx+t_rk8Q@6|4g;^jhT@-DCFX#Dxm{#u)7tvO-ft`A#c&*GiRT3r$GTy42yGqmI z;$q9DjQ*3X^KSXm@A&bTP5jZ}J<{`n14+K^8ykmDxXxYIojG{O2uLe#4Zr;_l2%iM zTOQu*5e=yOJj56jP;9xloj}Rc5EqAAmf`*mG9r17_Tv{CFm6ia@c8Q){wvvYFGvQV z*|Q%o@=C!5SI_2GaQ_3Es(m7}RFh*)LC8T|bOUhQ(&yY?K{_5XT;%!_h>aI1OI?Y z^b@Ve09`?iigYg`!h0<1QUTtwiv^ri#RJ6IyohM&F;60Dw%CpH;2V^ubb5j0FVZ(f zcXJE-ChyDs-xv?9^4Q;||AWpoODMD0&kg@@=~_x6zw@_$xaMiYEy9TV2mSvCOIfPI z&Cq|pEtfEwqE-D2-|GNyDGey5?tv>nrPcaBoV^8HRZG`6tRf+Zgp@Q$qtYo|0@5AQ z-6h=}64Hl8q*F?zr4Drf>5x+3ASK=PpX0sH^WJ-(=l#C__x*nBtXXSj&puOo&zhOF z*8Y857l|OJATcHA!mh1QwX_^r12gUq$g1ChO6=OlKN?!=verL^%o5z+`jCzLzalwr z*<^j@(QK9Osi?Z5x&A5#X>ZvR;)?7Nn1wD?Bzg38*33Pb!_PQIUZS{ykac94L&HKs znh`LlDiJ}NezwF;wnSWntErbKZj1NdKrr2!=}Vv?I6irBHyE7vP!fUDpK=ks9wpO- zBkR#$6Z!LHGEJI^ZdG*K`tq|a>2=;*R-6;f7!&#JuqGvWV%T3uW71NY=W2;4ptoZK zaLYko4dW;U;osldWh;^eRrJiVI^iE9vLBq~Phc~joO~C}R{Js5EIO^;mDL1RUh)2s zS)~ry5E0VzSM~fkY+xu@yGodV>}hv4>r^9T2%9q8@J{FlH`KdON*&lk@!yw zqcioaN-Gs7kpp8hPHE$avu6Ga9K*fFwS&Y@BJ5<`|8+|9A|XNA~m@t#IZ!X@rYMaSm-*{`?*g!QpDj`fsp% zbrSsPbJSKZzbLVQv~0iVfZd zvuZkWbRb#lgW||9;A!ZTWBt%c7yPTpabEFzV@`*waOkEV`qUNPGbycFJcaK;X$6kx zgs>-}s-F!Di84EoIl7ndDmxipfo%A}cY;9w$lpgiq(q2^lsOv6*#Oad({Hi%fTs2 zk`Xcoe(rr{aO%Q$V0gGA_p~<+m7|{0VnGlA3Lw@k?${HQoE3F(&(svF3Dl(CXx0K=zzr zfASl=Zip1mbJJJwN5&Yx1L*%xgH~kRf21f7gA_X#ou2zqPfFjJauQuVf8)pS=&DoT zybb&6gCwT;2$t@+;p7{mH^iV79+7@5Ci_?n8X~9tj~Kq&f3Ob?-!x`lYk_5rR2U9L z@PbtNs-r4D#PhzrEGkD_yZpN0VH2F~Gb0%+DLi8o+(45Yq=13%3F3eVwEDg9bxTB1 zuM}w;qh8z(#u}WSfr<@10ZDFsuLgC&n3FoR{t7?x9&h%~G-TinP=cJAADpJ+D8mo= zH`#bWyZ$RR|FJ$#w_CEhu>PF{kG$tCARiSZubY7B3WxVQYecR_Q4sl|(=`}eXc)eU zqK>%b=wJ~B$bsT^`g$*L{Rh%mXlmOFcb9ShR!`@P6`6t1+h4NwMF2wKx3RN1c(%YD%>BN-h{S_M}Y}Jq)2=vw`q~52&ZzA@Lm}>`>Uup`chph z)G|Ryl|$J=WTu$nsN@>B3{6O_dT0B4M#ev=!_x9wgQE%ke3VG99dn z$dtj-Gn|rn>E(3P&of!A)MZ|GNIOh3TZX8v%D)9;oGu$#B>Bh%WVTCtXymLl)#;2>nyfkQCC;k9lYxv6b?W8jyOHnTp{<{? z=+8+S*Uu3KLkb)L2P6ckmy3bHkcSU8J@KnR2b4vGvcj;^=$+6KrOaiFsmZV2dD zz(Zq}Uh7xIrORSgm4SFMtuY~~D*8J8hUy>>2JCra#^}SDGt!RJEWKeW*vFZ@tu?2Q z751n}L72}~Rs{l7J{Y|y4M9~x=gzd_XxqXz{|0;ZJYjz}EOGqOJ3=FtBEvP7dAvki2}!5E+%3_d_^53QBeO_0=$M2>FIXl6;#Q>y=7- zxoL4VN;wkA7rGBTAFzP#;yoa<&aQ*^^n?PKy2{=7flyLE242`@SzuYCwf!2r$*qfk z;HL2$%xV9+bXYd^(R+W3Pn(P+BCjB;25lit8$D{E$Rt%t2cI-^_@b>bnFrAXdpL0c|=aK7?*xR}xsh zB&hWJj0bLJja9i13CO9MTCa+xQ>zYCxhu3S@LhSW9sW*|QXTB)=jAZBo_LqWrdsv@ z7M-T!s$~uvFAb?;*TncGiJ1Ok1H6?Hv*P0=eME(qxJiF{Fj_u&pwhs{Y$ZsF9hJtnIYKyrU}O(+c24D{)*WiO>k$k{YSPqud9yI!A6TH+{M=x$GSk#MZwmDARGoQa}8 z>2t8YP?HG*ZQ9QUwlLJAj6fTsx+4l(&tE(t`qY2g-<(N4z9dr*v5X1o%D`Vyu5 zcr{(1-63n86(kTLjXlJLR_!J@P2}XKZAQFDbkoqb9*!9K@Urgk^ zyj@t%iaiQ62|If^_qp>1D=UJ*R;Bf;Z4$f^&g3(t{86<9@pxHPZ7i^gNGwz#2a5_i zdHC~?V$QT}?7UIaO7RHU#Iy%g;qhY;Ud=V6;1E7}SqU7%-wy}cE`s=_(!OcPc4FhH z+WmAAzG;%S2(lGHhS~s9y{1swSG(If3Xp{e64^S6)2obA9+VX2$jd_UkI)nmO2v8^ zDaJPny-W`|ZBs@ny9Qg^TFE(4<6lb8)bdd@>WiqkK5Php<&S)}*IhM`W2J;p}yzc@&@pBhE+X(hN(a;a?{4DO4`4g;5h1#&_=ro?EYSnTT|{I>nXwN|8$68vMVhNJ1@!%S1jTg0Xs64 z1R+LR0Crxjav?>C2SxQvu`?Ey+KbsJ&ht$X-FPW~G7DxE1324T>?1SsJNJ|g;5fg@ zTL^M54#bjOGpHC$j>J)uIczMwi1%ua^~Edc+5Gwflofi;zo!h=0M)Ir-sHdfJFTg% z9n{hVN(ex2PQ+kyRa)EQl{l$8y_b$D^CFRCl2`x6pkh@zDFyQ8mxz)49tfqjh_OXn ziHl$}!WM-v+kA=rE;uiT-U+^J)*o)Hz*^>6?(;bK^n4k5dZcQ3(q)iOKZ>-Dx=yk# z!T%OG8(-hdxem!1h0(GL^IT5v4u`ez0HuuS-PZ4Rodz^}rRjJ+>~wrnk8dl=Qdjk$ zW+zDu6(A|$@}*LX^!@B7d`S168ABe@Xa#ol8?8za$Qq#aQXfB+jl%NHQXmScI8K}k z0olenqWgY+zG2qShu~OB;nukX_?k{nD`ag6R?YHJ-oQ4a_46~cds6TB8wriT7qtod z&{VaKoAaa~9W~EPv7tEJJ6^0kINS~i2)t7QR1gjb?z^ZR)hh#V)my@eE`?7}0@Z?J zr49zSV`T(V0q9WGQPxcj&Tw2=2G5J&i^>|HhBLT5i-x?PuIF^-DcAK3Qr>z+h9jl! z;wwRI+YM?02FP2GM?NZ*^}P1@$OZ!6vXoDb<(G>+3*J@;15 zJX^AN^Erj9H0g7a;A=23n$8aut=Fiu!{HRvC3q+76nD zi~=1j8$m{y`fM(Ll>}?S)a!g2b-KNP1T}{wCPgmT3cnXMkjjO5{@d7P#qD|?ZkzTj?e=CQ|-4~@(0 z^2BlyOj&(lnd`qneP$2Z`)RhsKvicZlUU<5Qz&Wo(0Vi&3J-~0@SYcOg28cK8Dog| zoa1|l@)j)gp;R>oi2{*&R=G7zSNl0Eg5!lc2mKJK`d~S)iyGI#51<4i|7-g=uhmd3 z&cz|d#o;NBO^9;K+-DrABj<-NAm!yfE@+9#X$q2Z8s_ZyY}#ohYF(ygbSgMwUM4M* z*ZFk|T06{wj1@eSP4bGen=wb^iM%)It~7>~IC>V+*LmR6x;$&t zM?bh-wkk4Q;+KAs2@zWPJR3cImA}^@z3dVXU89Z}mL$%36CxO`Jh24@rQ7O7pne2` zA<)nlj_pp;8$UWf$j-Hp)Zn>PieYp*>>3+d+;@p(1jt)BfF#96kjWf9#eKGXE`Ur$ z)Z7G7^GrS$HCJC%8P?KtPZxwH(h#P5_Rr^vhgtOJLl1pPiP@!=J@bZ*DAwNz8)J|cOAJ04&hbXLC*Zf%opA`JOyv#5f21CVx_QIk;0b#Iv2(ld8NX;RYuwPBU zMU5qK52oBA*Mlf6)i9}gMN)He;6zmM2v)ESkbE%OZ7-wFuPzzUoYI64CFFovGDg<-MWk7#`)oK3OV#ktk!F= zxoF&Av_-o*H8}7yqs?)~SxxoO>Clan4D-djvJ0@cNM-^DE>a&jROud1r7?=*WRzi8 z6v|aHWu~$Fo#dZgm<}4S*<4R*9}teDw`ELTy4I&KqevFYkyBcgH?X@h_kvAd_h=yO zIJDg%0Md>PDiB*5yK-TbHOL%fm(`GdTW0p6iv!N&K@C%d;hJ9-og4F{Ch*rD;q(wb zvW262<_Z)`hqwPg;qK93cTPy=K7?i?1{X+dinzPnvOtogj}%aL1oNemn!9XFnw_io z1Z0L=^!+_z`LC8iQoA}fthE*P$HZVu>3ySuEs*$P=qx!!-wO=5pt!x2!rZb1w<7d3 zUjy4%>v==#uX#VLcjOdWdvc##nz$P1r@hhrv=h_5=;E~5-^S)5D@bVw%XALN(TFd|Rp-+^pPXGLS>WmcUsZ(1&!4)G| zejm+Sjzqdd;UT$nxpQ6)EB57IAX2W0BN4i2Zk48|X*z1Ep%*WcIP+SPPTqV3e%%wT}d5zGTpB(D)S{}ry49TY#U=ZXzJ8^FvScX2RWzpi0QK0Nr5 z`oKdFZVD67R}_E55jQ2S3WY6G?4F_uTnC=rAgM|SmY9K>Gv+Pqv4cj$Q|1`~vWUeYSmWcCej1FU?Uio5>@+F>gRsHb|&# z*V=1+AgPhTQZws=gHhw$#)i?1`vn43e0dMg>aq?`G#-$Tev@K=tec4cc)hAP)Oy*M zmMScFu)k)bf89GckkjFL=Az8vKlo#HAUU-ydT;-PLqA)^>r9uF#lL!ab;qmu@+eL- zw)F%<+0*diF7EEC2^By_2xNdjdQ@eJ`lhaO^?ZWgR#SHnW_E87HdZMk&pFI$d=}Ce6U`2W(pNnZN^@r@{$CLXn(>66;vYMDXJnaNa z$V%O(P!Od2v~0juzDqWRGW+U~;m(1HEf31C!-l*`8|W7wtT#GT#7LjKdAZTPed|9$ zo$#V2v}{|^A-oQ<(L2Scbp6iqc`~$Z>8}w#(bMlZT-5giEzI8t?1y)>v&XT*#F%4&XccS`jAg@YTQSB>Z{}L-^N~*_oWRv z1z$cIPR5G|3@5^z)&j~j+^D!2NRfkqU2qX&7s_hBx6`E3wh!{TbzhLqXogBB#cb_~ z(ez8j0<}zlg~Y~}`N9Ny2mE}^dqA_*l+-76UI zN*3ycl=Ho&tk;Z&W%r)ud97J%0z-kRLBu_57G(_L_*wGa_m@fm-EVT~7s;go>iO?w zC&rjYl?zH;fGW^FcO2@dlTV9dYeej_wlSkb&)!kT88v?_@TNnk9EZRo+*PUTgd>YO zEMqKrZT0$v1`-o3`0VpCIIlk}&7dP*?awqU<-m&@j1nig(N3_|J zoE`QPYCQQk8udV_y`yyWWxUtr>$t`KCI@K}^J8Mw*e$Bk#O;-EZGJm?VE``lEE2NbWZ=cORFvbv7|H&rP^nNLo%L#7HlDwMKZV-0#=WAtHKsm-+t-)G28Zl{ zEh_up0r%eipf)Qw%-VfBZHqb%*PYmB-m%Nt0>) z#{|q$b?dDqSou$P<$Wpb0_`@!{|-Ip`!m#~4YYk>w0(J@r496bcS@E8WC*0WPH412 zB@ld%pv>fx?Qa@XFluWf%4*iPT13)M?$Z#U;9?*}h6Z+Zh!`7D)_+AAWSYaKW>cr7 z6r(9Dg-AqnsU;VnDaU=w1-fifx+$NA%tL?1&G5;R!G!!^!^(cKX80w|ezE&5bY;@3 zM=nV@NZOK2RXOiDpTCGCV$Iv1<&&*-Nitt!7#vcbEk$jLVBz<(FJ%h4d6&NV*tDgS zQev0jn zh?O6+I{}&^r0N%v=wmfEgLxj^`4_fC$}@JZi?)A)0by!r@z%%2ID*nGL>BUs3Ex~{ z%$-OLnXTovqnn$Qo9kYLVs6pp$;s>!>-8NLpu8CL&XCE2`ILbdFB830bKvHHVt?&M ze}7T_x@o}QKy?3n@0ggB@a$@FCC;3DZEvxyZSP?5d?&}+>>3XAaqHRL4^DTAbkaU~ zUY@8{^v?*i13dK`Patq=>5)pke(B_9p+=AZf#2oHSfU#Z>4d9PU52h-x%S`SN$Ib; zjMPu~Ry-_m%%g$z&6U!qll#roWLg6kSl(M&LX$*NDTeTuBBr57-Tz%1W zB6Ms>HZ9-jY&HdmSXb=axno6n@r?5A1F}{Ow0!aKojZ-&ck)=F5neum&TjGO4wAFC z&>X+nPFXw;wM4S5)ibz{pO^5>yh%udNrLv%mU^8M?a7upW%69DW}uq$us*U%pP)BB zPz0PQ`!&Nn&&Xm3zqIU@_o^E}4Ejo9Dc`g>T{vM?48!zli{94PAF zuzGM7bV~dsn1wd`3g38KbG^Mz&vNd{V30bT+Ddvq!}y|BHP*a{bWnj0#B)AhjUXs( z_3OuxJ~~p36)COCalWhKdzJJUs23_Ct{6{L78^Gg4!rTqmyMs@qTdZfa9H`=7x#Q9 z>t_^~T>8%L%8P2U;`Iud=;>Y~*9>cE=J>alh)h)bO4XL8n5#b>EbU5HkK`)Dwb7s@ z5Y$sYW~vq`tCb%f72&#tj)R2 z3#SAeV0q0Nn9wKvHkigRXgXFj7X^{cu4rGlz zyu=AGHN9r}dWTHOIApzVFvgaEUCnbn+$G`Hh6Q~BW6 zP^PEvq}6|JRz#7g;GcVy{RmSFZCxn+Or`$LEd}e1-*FC9Ei`AooK5|^g@dh}`ss`n z$#16%z;?ei;TA$OuJ(e2on)hT6mkXUziFnr7!;6EH|?+L*>vblGVshIVPEAC&+kpD zGEHPtZB@~P!fcY!IL!Msbp|1f!Xlxd7}1L?{gGPne7U$sP}uF{V;=Jd#o~8O-~s)4 z#2lz<3X>2%Ls}1q-VVo>Cm!MiVVh>{BsHwC!+L6rPPq_Z2CWI*JFA-1mE|#w(Q;s% zf6JBU{TQ%q#X3eXv3D9B&?k7-Pi+xoCxR5TmCKr$VjIHLW+-11uy6&~2w z_E9=ac-qT9r3X}Vl&@4TEacv^Le9%P@wnqU;L6hi=ov4JvYMDx{3q_UQXgp^SbZ-t z{sM_bZim?vd}brV2wK;|mg@-uLVch8)={Fl_k5Ieb}pmVJ7;D!oEouJjbGF&CM$NP z#E+yJtuhd1_med>>P8mFyA?RUu_I|x=X&MS;iJx5GrXElv)b?xa-5--o$$3_D z`5I^BBONbQo}IkCn8dgA>5zzzTqXB#>}(itjH#Z?R=(P2!M4*BIwy?y$Xs-VVf#}n z=S3403IF8Uh@Ue44sq?f_p*U8Ghf+^eiqkAn{dBYi!Wd!;WspKVcu${BKhKLGUoF) zKv-{A7*H5AQC)N&?bVai7+jvRRK`_ZAR{ZfBo2Yc-w{LA61 z?}XFh+x1o<1)yGeanAc4s9I_lT-y?vV*6FTC3=5T@d)cth@pA21$Mdxw*ABnk16!x zLE$qJh8pZcGC8mk4K>8vg+94!^Onb)N(-q491Edb&gB=n{EP}Ki!&~&o*4oYfxQ`JyY$$eE?hTvXV>KxW(Ckj6fE{9-6SL2_!HgJo5$vLUuO3R z*BDQvmC;kpS~6>7X_WI+uttiPp^Z{l(i5H{07 za2sJjn(r}f(p~COq3}$Fky`THJK0txzs*9Ek@|jgafe&7@vM53l_q1MUIFCa43GyM zSB3FPI_f`bWL<|fGD+{?_O9_-k%rT`ly3CBE04*brhSd1qCnE9Xy!4`W|ep@hSb& z0T2FN9=FhbFuTlsrJVz_%fef}G3Loe&2rZ&tv3k&8rE%Rmlpcyvn_aa-i(&bLBD$a zaAie<({`26&kyiQ*&dS1iux}YaG7_AJL#}KTzE6qNLb{`qOhr-IoZNn;q9bz@}n-h z+iLjZq+cgwM&Fyz#N2y3I9qtrbNttD_*MpHtdHL0_2hgSrZ|vV2=$vU>DV#acP9_6 zVbmY!Y{0&R{1w@pBA>5N`0QY1R_HQJ+>^A*P2ypb-XIo6`EQG}3B|~x? zUJEb&^lQZdPdkqCb1RU2yB|3AsPAms5q7ck4~heRRZ2wQN9l1Pz0LYA8Q5u4HraU8 z%q8hAp}n#jAC8WV9tFKZ7Z6qbihmzj6<81UOd||6%LM{2WubEqd#g;hgaWUkEG>tZ zH7#(di8Xrg0HP`!|C2WH0a7HI zHizFh1K@mzJO2QhVKX$708{=nRupNvlzV`TN@G>{lx9m8U1~m?##cJaZCwYxe)C@H zTk#0D^-2Sck=kQLhI{XcJvC&ACVEwYWw2DX0j?C+K20KDX}kp}sc$r)uxD2v*m3Vv zfEQbndsFX_czY&nXUKf4!aCI_iB#_1fxbRqzce4*&EV>En7rSn&G9#;hE5Mm$U}+X zklDdvj3>Whn35Hsuk861JDWc@!YX~c#HRF3;%(OIdh45XR%QdAI&Y5>jJRR3oRK!r zxffpS7&hKK_)9=g*%0SsPBljva@F{CcT=ph3Int48Rz96jLmhp0bvy4!7Y_)2XY}k z7I<(7i+Atf>*sbbtAE(zCmak}CV_`oY4qcB7^f#Yw?sqC3tl~aT&T@B)ejC%QaT?P z)v$9DMI*?^Mm067Z(jn-ZNBJ-&<2Jz6gV%TSutx*1CRJyzM+;!v#=X2Zzjd~0PcLU z^*^-{oxK(F@d6n!jibKUQN;dIfKaoli^}0>rDGSO`K$(@ey&Z&J4xtUb4+h{6j5f=psN zRmgkTdW;z3;E?4HNj*{NWOmuljzecC< z-x5gZ1y-{&7*FQp8rIDF2f+}u+^$yMoGx~?9p~q^CL^hjjnV0msxWy!`QM{8G&-=M z$SNA$*ib7@W>d7~JB(#!Xv?oM>94_wkqZZ5PDaD&%C@Lp41M$2)X$W11G;GYzNR}N zBTqeT0$3Z(?C02d?ECmd;Y3^7{=KBZf}6x?CLb(XXbxgpd`(ys1zk9fV1wfvJi#+_ z_sv~6AcKR3+&sbB8kZbBZsyypZ1`TxPt*yP+xsWBp&q<{=TH(0*pz5ar@#H&H;Ak%ly)X4# z18x}7-L-)eg`L4CnXVV$xc4&_b`|B%GYwY3MfAco-S)$zy)|`Y6R&;`@4W9}he_4s z-b(pJ(PIvVa&3WZS18a!5+WeMdG((jFom%Rnq7LDbV9P(fwL+VR||0XmdF9jsF+R% zi@&W-8XW3gwEp223EtD_Xau{2oEcicdte;TIfTuxXVcF1ZV8hwy=c?myV6-bv`HST zQdjsZ^V?HZTCuA+lj)u5v=XIHJ~1;l-0m1da_w`Wyd20b?#nPd;IUHp8P}Cl$$H#N zdH+||=gTT%6shUgpT`e-3i2vq<=RW<*noA*b}W!tOWhHwOl>B>R02J_QM0PoXZ_@9 z(c-5}zeVSB-vOfAWN9oM^h+?Gv<4Il_yEj^m&3r^zANp_SxiDP!qT71`MfNC%pfN7 z+L~rABj?(Bt#dkW0RfPn73rr7vM24mI zotPRV{Q$3om1~(%#mKrvYIZ={RBE&%^>c{T5(n%`PR zVjnf`?%F0Fe_m<-iBV;7f|gElqsf)T8s|uFp#(RtRd?}@u7vNWR>qGJQZ@^?5A#NJ zQU`&i%t7z)TQ31DT;O9z@x;Sy1|PU5aVnR-39skW$XBU2nIKRune1eJQ>?Zbm?o)K zdZaW+&7`iNC#F`K{u!@CjmwTvrNnwvC0}JC3E}$ZVG8xpsn@X1i1xBYkyC>(*c`K1AUU~ z{n=j9`uSd%&#kX4YTwkN0{?KZlem|$7rxhiY6{bE`-r<^W&V!Z_;*M{^e|jbP70|`6@>S3`z$~X_U_E&L?_%qhQoY~*aKA1fvoJ6NV1(O2!sh7Jle&*mUWWzJmve8|o{ zKL>`v8nI5ldH$x`3CTBr&g@Z#{qj2{&^x2227#VNKgP(`a% zqqh1)-D1-|Tg|!LSM)ohZ@Wxf+*V95 zX`7?{e}blGGD!Atg@Dn3j2WWbE!C}rWDIJUQ1>(KJ_hh#fW>?a6SA|Vb?aksM}QAA zI$IwEA4|l_bdVT5m$SxP9YqN}z5L*otY~8LW0Afr^=L83oHp&V5Jt;VN2p^fN(jV{ zeesT%oNyY;ZK^`vLH7V`)l4|E2x!nV6l_rT7oUA@p@D{dJQx1rGgh4A8UH=w^FE)9 zyI@$f$^}74b$1f9NZ0mIK*M?(0^1Q2^7dN=3y^Sr(7bRq9Pt0aJ!AYqRIp#RTSHLc z$QHK6m*R3xjh;`R8tjU~G>jc!Yu?zk$RX}sNBQtMpSuiVDE>SK#%8f?7e9KQQXg}-y@}Jk`$Bk) zWD+(qd=|w8WWdwUlDjc2b4F+HS*S2fwmvAQEVn(1TZ$hJ8jIszK>9exid$$u;mj-x zX|!FIQvxSPMx*@KT-|cJF~A*dJC9!%sKGDO|sc=zFvCkP{)>F_%8yTIv+GOOw-TW{#dX zOyfsCUt2>Mq@pX?WjWd9oBNc!){+uQCbuWw=$!(2{n%O&tHe|ryxh9J0ep0&*_GAv zyA0k*FJ3D&)Y&z=%o#dtWURrx$VEBmJ%h(AA7*;l?8K-!H0fg%Ulu?r$VgOy{EAu?L4jB@!Y4-PrM1Ji>Dv$j7uDh?^)y_N?wQo zDq%7Dn|z?KZ0z^-!5-XT43c3mB`&w@xi-=!q$&xKinv50FedihctB+I5qE94@xBzO z%Aw;tdhn3Md#44RTe1KL=jMDn4Q?TPUwvhDJ-rAY3pk?{?b=d>iL6*);?yd#5svb? zjPfy0^0;(AYiVDc1J2WdZ?9dR6Mlb}s)K(99i)e{DA+EfN9-W8HNpo-$Ga@|eL1#@)mq*S0U^XP@SN zoo~>Fs^+IK|T0JkS(H0uTCo2i32;4o$a@H2d%YJB4wRO{+=R;xYS{Y(J(l@326FNytk99M#n3cJqRKv&vHu#l zFD+)1<$s_j!m%uT$W_<&3!Dy4U!c05R#PI^oLZLaUd6vwo+Ilu`a?sTC%bmxKOfyL7 z)RPu3*&tG8Rnu7I47%WAveQs~GB@pQza_|XoK5201|Z|upJ#jR)Cxx!&%S3u{;t8i z#4ZRNu8;qRapB>sY8Tn%B0@7~R{Xec`gb&-;WyLIqI+h>+-AF)T5(yEY!H3j`iSe? zeEesI@_PrVnEz{r?A-h{QHHjut$BQPnk3Ym;8BJL(H}rE|E=)KZtVJ_mH)T8HoMtH z?rn#R<4^JepDOf7hwUEzzk}~XtUp2C;F4;9B;!w3Rsfr38o(hvw;UAIvm1 zAuLrm##h~l9;dI4Jku__2gPk%QtKzkl${AuH8OQ%V#H9OwQMgjeaf5s8M!FoGk9fO zK=q%@BVHgF?!gXQ-3d(~t~#IP6huF=&A{zkvfP31yEtB6*ewssQ@wUPTr0%p=RJ2( zMI$455z54d3j?3W2%rP+>PW+4DVu@5F?QobXj{+#%2m; zzW4zf>C6z{OIhO&apH_o#Xnv4gtn7*J{cBFbGUvmS$*Zob`Yj~RE}a8d&gApmJ43t zgM${nSB{IHmxPRS*eVF9b9#v$r@Z`0lKS-p5>HFa+Ce#%aqM+JIu(3cxkd_&ZD zq|;~2FI%zZFr1H1nBu#roY&ezfe5RRepC-^)z>S`k0TiQ_hWFn@FvbW&_F|;d_HUb zGyM2Rm=P{X+;(^Tlr|U2a{H>?)NDC5K&SOC9@6sOiT{=2&4Iy9&*Js5h4hR< z#?_;R(ChmqIH{v&9%2ybYRzcDhw+a~P^lm2=LSElhN;lpYrOD;&Zg!07 zV@U;Hup+Stky-a)xX;FzH5@_(_kjA^x8j6BmK(l9vdQB4Vk2kp)0QESEI_W$kfkNc z#!#GFHNdFkn7Z#k*hWqTajF*$wcE{^a)WGtYwyO;;OI({6Ko9E_m zU540RT5AvJ%M$r^I-J*U6~jh&X4KQ(JJi&&zgRSNH*1YN{TO+w7Y8<8Jtq<|(BZ#B zo8KW}*1+#D?&OEyf^Z{5di&-DAw|j6%}-<6`WuQ@b940+FIJZFHNou7OAVxqH;z~5T(R1t&m3DLEZyL!^v3PUROdw9=OKjXf_?tEL1 z$GoXekjc#)zz#n$F4pLlACg|IO&<=+hcTQ&7xZpc>Y;SvcwqajiVJF9!NzS9BQGQX z2D-kDsZiz_R3Fy0MJKM1ciBG8#TSXI*v1a6Jli?TWOtD3AjLDOWxE$^Y|Bm8t{goG zrQtPx>7UpnjPyY7 zc{PvuI9tyG#0jy3sObC13o$>Ar;hx|2K>RY^@3EEd5-&m4x`NNdpouOk2qu;$}Z+> z2EAY8zPG&e^OJlBFR1tNH##FyL~{u88eO7}vEq+FAz>v$_m=+wtf=aCHWx0P+sBTH zO9PbcsiHwF!FLT%?zP^>_1RY2@enN7%b!v>lBvqtNYsBmLEqw5s_3N{#vbe<2sW{j^XjZP!~lVA%i zq}kou>m{q_&hcT2;r@b`+%Fl%yeSiBMOmB{@ZVKltG02Wh@-gzabAD=nl@AqFkN4^SWZv?X z2l!zVor@Yee`QkjR&iDuf{L_@+RYn#syOQEe$vXuo12_`nnI8KsowR?s!X-}+_PM? z!guR#q6)0ixI{Gf?kChWtGP0mO{2L51uxN@(w$OyU<=$!$SMgQQFl7cXP7k?RPV$y zN>8%RrIzcTQj&xuRkcU4dYY1<2{68fmYyKX&f6ns*CtoW-Td+G$-pn5a-WcHx92Zy zi-;gBYVJ&8lQxn(n8ytN6(;W9`L5~v*LlaE_GuXsazni^8e?ZEI4zDUuZ0FKTPVly z(?G^dC;Q0h7qZ`BE(1(~e!xg6Sp;E#Et|XZTJYInKG0zN{54U`*U1cJ4~_k@b9H!XGi;n-?a0RM6K1WF+OjXRZ}TFlHX$ z;j=M9EQ%v!+66=#Wu0I+2W7eIU@D1_b zy>Ll#MLS+2+Qi-(#+js&uz|Obiq&aMVlYV9tTqP#68f8L3IN8{+joFnbmah*mPUqQ z?$_DbJ4m6ElPE+Cbp)T<&hjI~BPyC3cd(6_S$;haneMl_ctG`Wf3$U0+x<8&=#Hxi z1w)s{{1hs>^@N=-FxZ}-0Hj&rJe9}0{VkDp3{>C|Z6AcmZ&A_pi(d;U?TXPZPb|T@ zpNBvOc??L!VCI!DsxDjeegv5f1BTz)?76v!?|9q78)mhrh@J{ZKXJ7(3 zL;$AU`33I?!WI22C@C+yC0e^P_V4f^C@;GO%;>p|%qEWRspjBKasik!O?xPd0pjzlK-l}+Df z9|e6+N0mn@Vk+}9nOHNtZX5dt7rh-YU00X^Cfu1H$n+miUA0vEjHIx(dd!`5FAuSh zyZi0&QglmRn)}Gh1XVH0>~hi0?=8`ZknPYg04N z+lCg-T#tvUYTf;^S`Ie1vJ5PCF8`6F7+cz>%*9tq_hMqDIGt2I@x8UPn*9H_$Yh6{ z$A!Y|)9PoG{6?=Q3^g&`{)S?*${ed%J^pv_tY!sZQmw=_?moAWLBG8C`&aaBG2_qQ z6DgDT#3U0Q$m1Tj>;-N)2)QOKqKU{6bCCy-V10=}U4kMxF5l)AmJI+ao7=o?(uh#m z?1V3fR0CpSyZWW$vN7h}zkZ}9JmENSlBUyIBt8p{p2b1NB)-|?F=}waGZX+Dx#2@) zf8h_m3njhc?JT>kOL7>_z<_?hN$5z@(CQ^cm!V8 zU-`M1NWb|%@aYzP&q3dwkF!7y>F|G$zb<3`OOnIw*5=n&`=dvOt`9WU{x6WJtAfVb zN=$bj$(uwT0(yrh3JY49&DFe~CQkd%9~sb-FH=)0K*e>i_R=#EMs+=);E0`hcM*Ip z2`h-cy^5lHoc3<+UtMaCK4hGp-2GwjN=-yo^k{YW+L_d!FSd=e$Kb*A&ND+N=d1md z^VPnifyDnq*jqr=6=MsaZPDWH?iVTU?(Po7p+IpjUff-ayA*ea;$GaXxV!s5bmqM` zGw=QX*V=bma_+fFPIh*ZeRB?zDCg+q%k?rockncMx!%3JCc7tH_t}wY-KriSL&xUi zWluS)KB^QP*Xty#xGSB$Vu&OAXqVPab1wMt4$|L;VIX6Ie|V47d~oJDv;O$A`&KpR zv;<*I9lyPT%R|pep#9*x$ISctpQNXf+PisWo0nn%`A)4LD5|P||5Z3l&T^U`ejAlF zq|2}Uo$k9NiC9j_+n7;HC%>3TX*C>2@LB#=h8Aj0cx)DdSDV{W^lThmutJ%NmbK9W z{zS{KW%f_`zp~#Zad^z(Gio8_wfrd4AJQBT943vrB@6i|d5zPqqU|Py`v5`LE>=St zBpw?_Ac=5{6yzK%%-xfXzcG$rXBNa!E1XtGH@28)YBgL_zpc4+QEKHnThC*mo$(*) z{`49Gm)~y7oQHP1rGEtkt8`)Q-SJt@TBrYaHmW>`KnD?j7Lj+4z|E55O2U3`{dIh> zD_c2iGecP7mb117e~}f@#W?jg?e*T{Zfv+?b+~`3drmmr$wXt<6npl^=RhYE#y^`& zdKG$3_8)5HjK9xHB93zje$b*+7C7vIHA^3OSB8UomF*eOrFtBUIo{LILD=5GvwrzG zW}2-KfIR6rxAfNcWvIMac`k+j3QF~CoRFc^4sNOnZu^>@!kuxxL^Bu4t`hlwh=<22 zU5{6SEl$NgyR#eG)&zEr;y|X;5zdSA`{6a5JDaM@} zF);)!+`~T6_+9#C^CAMr5c8wzlrNqYVtEm7=#XlLjBFl7+ zmFFHTOy8Q4doWjuqbwebo8J1w+9WM|qExRlR4={Y3|jhRvXpPx5^p7M_Z;PdJO{7z z*@mIl$q#ETLhv(U{DxZ=+8kJZBLP+KwZNc2piJ#D9aqBbj`f5&)0e`*-}Q8+K} z@J@R7k{_hx_wWT|9i2LzvYo1e2Q-jXB~|8dvF1231&jkLFtVu7E$Ar}NX|4Yql8Su z$66BS#ZzdRT(alI$_qM|JoOViQO7FIb0jSm8U4;F%@&19^>3P?#NDd&9AS<&>g1g& z_>I6(s=5VRvHo5->C-?k*{pVUOp&_+AOV{OnpkV#!a`~1T{AFmyOKFCm zi_wf>L+$dFqRajhK)8JgQu&rx>Tkd6b1_h&?t?Ucn`YyQv-0x-#;s>~%n0HxE1oE3 zi9r)T>GClvmMCU1hxft^m<-_mAn_=_1t!07M5|G!e-IYWpM+p^RH4oc%+6KX_r>D! zHQXywX(kGce7g(R+g$*8C=ks2tu{z$66Cvis+I0pMo9e(2{enR$#!BAq-5XNc=*c! z;F@Idw`%XKQ39ntlTGei9o}CaK0n^}1IvYaFK3`dx7S?z*WB%fRPixF&)3W2ii+#Z z+sq3;`%}MO{@~wMgim)j7x&lKXD`=RH%|{|$Bz!M250L}CXs||Uaj6VwQH|tEw747Utg#|tlqUFU3_g%Ith!Lb?aldK~bhjV!tw! zepeR8GarGr;oPM-yZInT7=FB7K5mLP_8rHqS)b>5p1s_EENEVMzP{g;{j?4`_c@Ed z`^B3d$#d;@Y7^yM?u*`uakjmdnVH$n%k$!@%zX2GpY-Vclz5x+qWFqN8yKaVd!v;R z;)AVIP#b){w89_(26_*?w_s*K~|F@G}64!h(G@otK8z@N}#u! zdCcC8H&R+--}caLW;B{Cqc()QZzNVZH}N5mE%y6}v_PO9W60EMGfY@_z6lVp<@Q@8 zXh&M0a1TK{fD>z%>NKT+$Sgzis8>2$coTqDJW&T)$f4t{g&tLb78;aONIQCK_qcSa z?WxK@jkmV+dTY!7%(+|vbfF!u652u)skJOaqx?L4bX=O_swzcJQ%kI!aQJSjstaF# zK}J)!#;uQO$Hw39vx$=laeV(lNIGTjIaZ3}r;q*tD*W)QTWAM?@3XD3kO1Ny%if)_ zHCjpO%6ufb3g;f1q*X!WL~{#%KrQ!3gzY#bH_O1G*_|9pMY$9o2LVpGG(QOE6NmkQ zk{Mj?OA*5dcq_x1;UcZ*w9dwv}AWsz?Bj zBf~jQfnTaL!el~LhE^kkElJZ$t1LDt#TaTQIgAuY`^g;pgAJ3&^C%q|DQaRZbwbQX zi$>Pafpuhy#ssBI7wRDK(secJ+)uN%Z`BIl;0$Bwm*$}oY84$9B~=A)f1wCMsBErY zvdttiUZ#Y`-lQs{X@Q@@BKxrSle*=7(&q&oQIol+D>QnO%vaa@yW7Wy7V_%Ly8O!h zhYxe6jeLJ_+SkO69NRjtVdfypZH~j-gg)zCZjd*Dq<+}lCWp858!sCPck!~3EgA{u zypczfoHjj*{~}H)z0qh-lN7=GI8Wmg0sA=5cu(U6-vNn1{;~PF1*0S&Go$1Ax_InNm8LLv{H(MT=rBu)8BJ(ybM6T>Kw@sb~qA`y`SgukAX@5F$qBa?Z=myMf6WmmBJYA9tS3>o6wPK+X8;!W= zpTpdV+ub><-?`QeeR#|-z~SDo=*nNdu+NT!8w{<(Db>%V_qp&7%0Ns_ zS9P)sS~AFr8toK#ED!!*lw3=;e&fVnD%)FsVd@o=A%x-z-q)ruJ_9i7smK; z>ywk|A9W#Gpl6kThT!|AgD*iWfggevm(4nx3Ajq~c`I9P*WDI3?=yD^>1{IQ>21Qy zj}PlIa3ovZEjMi1*o~Lnh5OzJ?&|qwRH0&A+P-$2`>#{)`hbVu?SQ4cO|1|y{E z)EHWVIYVUOu--1wVeEctEoTr_~WU4 zBnR^P`vS5(9`J3$sc+wgNvbyH(O%V&sn@~RL7UY!Yx7U$2=2Fn94WS-=g?yCW+9MmwivpPkAK+b zNIx#7#O_>AyB(5ruu*wgjiWtx@DUJX(m5WwiRua;s>m5#E`Bb&aKB8^E7Z^k_CQlK znAT~});N|tuoxDCa~E3Er{n8UK5AT*@O8h0)WW{rfv>Io>c;!v;&+H%4*Pj+znGph zV({trGaGs)Ue1qp`DfJQno^O+a9ZxDyaf>MjFklA%zoMB@0HU;ltg(c%HiGJrDR|b zSaJ+pc>rry+R>y+s6$Ip)+|gO!iX8dkT!`UgO#qPlElj)Lr{#(m&$RgKRL_AiM6Xh zHC{dh$G+tf>}!*YwyOvP&X9aWXqPIHC$;ShU^l1&n2pO0Dlhrn>xZ1YTE__Ye zf1ToGCyjd5D|pQ;d?lQEm7jXO-G5!Wdfjh2yjpg0v;L8xU9g+D-(>P1EO-4(o4400 zSAWBr)JWzk|JoW~`wZ?H-8!MSV@mrSi|4ud!^RZZIN!%w`47i&0+0X2PC4A}H$7f0 zd$L_zEnB&ru<^R2X0*tTtrYBP0dGHLoXI44FI3A!9nP@W3mrA_si@WEs8<3_ye5ky z1)_I?mMZd#pdAZrXs)J0_yaK4!mv-)>UkSk&c%S)mMAWuYOq#bA6{~Lx@CHCCwS%U z^OoQ2c)UBkGC91n!G7&UF3bOn?d9d^(e1NHj4+L0m*w0jK>fl@E&8K(*>Aqf%frOc z!10SC0~h0mDO!6iHBiwwmF(~b-}*PWaJi_ZCEA-ImKjd#tR2Ay$i#ySRWR0n%Q+Li zf(Vs+=9=9M7i@5(Hr-Dvbi&d*;V*?CoF34~@Q1EeTB3g^&B?-!Rc39zR52+@3&asD z^x9jkmNA#rQs#Bhoq>Nvrx6F}_X#&jjKq8M)#Ea@f#JyDE z#tGGE8G=$$N=2>}ioPxfge)F{PyNH!dP%2LJJ&@%Z;u05(zHw$h;Di&_RLeX;1JcCB# zMozv6EPLtyM(W|_UJ5doeuko|RyJ_Z$n-?v9->ypaETpV|ROYdt!ZT6}bt829w+} zeB!PQ=xwO5nJEGvbk&Le*74C4H5+@ZB7C*lc zo~2}%W}zOspsoPIEt~VF`7^>t_4~+gW2BucF7LrSYf!3%qGe_B@l_UvF-UJ3kB_Lp z<|_grlF&5C&e^IU5iJKQ{>PoOKz#%o=>H+kVz{{kvrjA34X=~J8$E6kX~_>upB{Uf zkJ5Eoj=-E2pbn}Lr%ecphWQkdJhMJM3ee;dEJJS0E>#Q=1Nd}40P#mdO1(vN=c5MM zBQKW-ZR|4wJ_*L4mp1}(m~jVpP*Ir55}_Y?4qpKqi%aN>N$-ZkB&1E)*UH;ANDJ?R zileBE!3D+HXQQ&l;)sH-BfTx>;2O>(ZY3}%T-O>*+S{v$f_?YpHH>2^lB4AEkdQOZRpR7i=*&%g-+vcAa`th917!?%fqpCGDUyuLK(-_QTkdG1R$&H4 z;{Ido2#bO`R%aQVJf6Aj*W>>}drt~@4_8rd zzKFn^FY^Aa3ccQ{5S$W7!IG01Fi=bbk~cW2V0YpJD=r5MlARoMF9~$H_J;+b zqT-vke&b-Ai0OM1R#|j;(vtTiHha56vOkA8YdUc{qdhIybx_G5`vRHf=o0{q$+qJpeUL!oczo={H*h(Tq%-la| zD6Ciu?V#FClCPSu`tbVVv4&I%O+=KSTQk}~_s)`13i58W-ZrL_N&522=8%5ht$685 zC~4QxDX&Did2wncv3%B1byC$W!zp$DAhujdoA#%idNCbFdSS^lM%cxa>esLcjLjjj z_Hj&d_ zQz26BnRxF~AxiD`CA&O+7@s*Bwae&jhe)eN>L5(b&oD&j!2chFpHD{+lc`X44zrQ3 z`}+MHW#j6)+nZOF4V#KPHn%gdkF#j{JFPt1b7%aG4V0N1=VLZ$hMa5P8J2G6*&Qa; z%eXMPsMKZ{PHIYeYGI`*=bMZK*Hg{MI&-nIb=$Bn!H( z2IcFrS)20{W5;k*?|Sp467mmdqBBJxMj{LU5@FObmwa zC`AVIHI4j_f)cjCc~vr*XW_9Vv4&trkW)=P>gyosDj_u=uYm1)~^$8l-H z>)6_q^VQPpQl!`8YjFHCK7>u9&Z9I8-BG^<%<(}`M-hWwe#~;H^3)7gv)3Ig?4{}u zbtyEfPJU?@jQrG_FwdfsU$5a~-YjKR)wJvg(dNq50JXpWla;$%t7h{*Neds}TlNcP zo&1iPY18JE*Waj_b|$W4j@K#W8I-CblT-XxX@H(^4_m(DBA8KUbo7P;z-xvR290~y z4Xj_3Z@SJefoMP1S2u5Ox0jc*wWwWh@8?}03E^OK>^4fz!`gdH@AZCdu78c6z>8-o z^EE2!cnjg~W~^b2pA7$Y*4!X-GyL}97!Ex(_5FT!Q5-=z*FJGs9Kj9Oes)zH0U!51 zaeW+YUCYVv_s54l=Ear%BA*8h1_eS!$b-!x0naP!q9{eM>ln){zE${X@m`qCyS z4e?~V`}3=do6UXX(Zi>*<0`4EfT6YR_WpQc-5 z*Hf?JV-kc8V;aU|3}59#&8E!mH~WW&=e#o>D)!c)_R*^E{T!_qo;saR{hn8$3f&3u zZuvOY?*H6huR;;-8E!|0aZ+MB41M~-c+eg;npqvA?1+E!)sx@Gp^86rplijA789Wd zz`TF4(O(?$7grzvrdYZTO!4p;jzHu}XUdfi40v&`tVdfcMZ2yfs$NB2NQL@j&)i|1 zDs^GOCt#6It&^?Oxl_(J21z$qNaX9K5(bGuO*yzM0At)W&SoC;^z= zVSir?P%%cRLjubWRoNy)!1#d2jMU&|S&JR4&ChlW2izX_J1}lWjfw!-LvjJUUQJmW?NHHK^Iria`!M-*K00ID>{^}`~>xg z{KX$_%pDkoX_l*RYqJ z(to?MBpm;?#D~QinyTdf7#jkUQuNh+R&w7vRGPD%IALD1GE9HPz0FCp->Ue~q*S&~ z$1E*0kwWe0n9eoEA~4lgRPgC{>&dLXR(2|JZ%k5^X~9bWQhxe1KYl5VMHT@P|_0?NZ@{K^=k~CIg)ZjRz#reIU&j%Zh;Q zv7ha=EY^Jki+Dnx_Mz1_=ge@w2Rc8qL2_mIFp1ZpwmZuEvYF_GF5;9vt-IB>;Iiv} zJj_HE`K1hJ>(qQCi5#%IvG$3WzlU`>+}+plP6L=bfm6ElI7&R$moH6>i)M~41H*k9 zk$HMu2T_Unzdi19b2w$zN5n?ppWbZ-bVT4&t!p)u)gZ41TY(JIb2EBu-L9kTO{yrW+4B>BMG>mq-1n8?Z)rdieM) zsOQ)cYJs1xt)9=kDYIiWQMW+47EwRs<~{8M-*@uG>$xIWj_o45r)v_8xyv56_xgry z!*ZpV?I#s5rL%k6`xG}2}up78AUtWt0gRvCT`9gPQp3zIXJv>;KUUHY- zGrE_Sol8b%Rr;Z%;m=Qp{O``~p)e!VBiQkqPW2JGKI6Ere^?AlSg^0o{epK-SA}h_ zLCAf(iq&V zu#lX0c(U5-72F(D%9^*npC=W=y@LKl_AgwX5|?3W&u2IV_JIN&hO#&cOMxf#6Ma$q=w}tcHUA9)7Ic3c!Yl?A{pd<#A{qgK z{gd1TlxWX;U2rZATg>FX{8Hn(hHCp3N_e#a@){2-yc5(j?M6Idbvbt8f67vaIrkfG zLp+geUx{0O(48&CD)|$!gMCxCx$my*ylB1ieppZb`}<*RIE_C2UrgX&iNsiyaGl>( z*RI9D!PGp-KPVFJ7uK_-YwXyDMP>*(HDnl^E-|wxLL5I+Ppm=#%de~xs|~2fc0>~d z%qYj_pZVl(*Y zt5jt@Enhp>rD<-zm^Z8zW$3Ds;Ch(4L`}Lsey5$`BU-9Q9KcpG<^8(3zP;aKHI$8Xc4qQ1 zb?2G=gU@ZI804z=g3CsfbE6&XBOROk%7Y-_Y&Y741kx;!r-2jXz+A>iD8H*+Q@_b*YUV(o;e3_S~VUBmh&QB1@*A+7- zS1e9a)RI&=Fo{<~NnoR&+{vwF0{p*vsf7!3%JlyW@71DmTew zAWX@tG!S!Uu5l9<@OSfVj8;ZPFw4l>Cj$xbOF;4|%kz+Aj^_x0<3mCmb~X6r`aJXJ z#is^g4!N3Yol4nC)k>22$sxq_y6&QdV$0L$tVz24+aKwZr_4|ZI+0$PoMxFsYzIt4 z2dF(hv0`u`Yo^xXk4!RR77RZcI=7(VmC)EF6qkua?flSsXKwz?QxLhOodoR#-rvej zmJOn$QxNX6NV+|0&FcC|)0D8>onPGF&s}bIyStvu{JdFcrOT-TUg@P!0fLMFOff!0 z)g(g%2eSeO(W_6&)1rLe*3|Eq;-+4RmF8Cx};Vbor3zSvRHfl1KBWth{lu)1Y? zxlwsVcVVTE&F6n<@;-!X!BX*yEY zDvVYITCFayhsygFQQ)QmY=>VJ0lTStia>0^crLI}4rHP>5srErT?kY`B4amxxu8k( zfm-odCJHEW%0I{KQo9p;&$@d8(ccR*_wb=n&aP0~z$B#&KIj%tqfq=w7&`3*Z&liV zpZr~&n)e;*nN&WMK9Cj<|HfLrX-$Sd-r0tf^z7Kd6k2}!6VHv9#&qnXtjlLRv;if@ z`T9!>?{J~Gur^+3r9`wrAcr9=!`q6+sGa*YR=p3RnTd?bvJq)k&YL8*e3m5BLDQM`H214#b4DB@;mQUNKM=1PL5dfc06^-w$X>qPtvYsZn@F5I&&yPf9xMMwj&4 z&H__z5w#^qUc`R`?qUO&2073&SHA6Gd{>$B{|I2wVZ{3%Xx@DurTv+L?LdpuBFf54 z6tR+%f*sv=qBUxq9Ls_!K}%>Tq^2pgOfCIU*W>`(WfJV>``Os-CV z+|H~4j|X=iDtkD*}_k5G*`YzegijBvVP`Tk<{$5hh1`>zj683PKI{rsyL z_8@%^CqjjiCG&0Cgw6l;k*tn=eO9^M($l+^g?(p{G2P#;C(Z) z@b&KvD&e91tbR=&L1yobi>1nnLnHm3WX7siLpcKTu#R?@+KpkNG za}o`Y3kdrmpOqq3EJZ`<{TBlCT|f;wYucug5R+RgLgeo7_T+hLj4-G;PJqu{<0H(} zb6<13=zm-q+l?x0$Xt>9!2fi2$e%g3)&d>kjEr(jLY9&;V;yXN6#is2)X` z`RaE6Z1EC1#Q!qsCEM+*jDwLjS^0-Wvu4WpUhdI?+F_U$Hd$C`+v+IuBJg2Q85+db z=<3rJoXFjp3?YK{T~k0H83MdDpmbiv2Jz9uBN+Z9F?+rQbj!DR>V3uEe%AOpasMKo z^hl_4>gCAXw-Pn<95wq$c&&~%DSnE$Nr(89)v@hEc-6pj=GQVd@4Xbbwgj~VN=oP_4;ySZNndlz>+pEFHv2?5WlHg2HU88Kzpc(*+R<$AI0OG! zVa@S;5V)z%ex>ex6nJ~O#-DMFo}`_1L~oLZsJr@-!i=#yyH*H&{c^vL;qj1+nt|*2 z67@{UThTd2c)GTJk~G)o{+w;do2MU?8+a8Ig8SNDd_!F&`qWRpxDs|T>O|b5 zsOH|C)ZCuR6_&lOdw%capa_9EewN;wq`|#77Fg-bydxUV*e~w;anC1|AbLRl{K<22 z?Q@R&qzsFvJwL(1QqV15GhtLI6&ugd<(C>$X{I0flFtm*p#nAHYtKZZR1Z)Mr?F4x z&-8aW8KSm3r*u-+{yxgr27kB>_gyt7E~|5PO`0AU7~})-3WxfB6(cZJCfZMH{H*qR z{qkCs|31K7n(5@DS8tR}MMuXI@=Co8IcnxLy7u`3zRnYqp{|!x_jQ9+V?^`%EWMYL z`29BiA^qvRmuvsaFt=Ps>*n1y{;uAt9iZ=+-hYrzFaHNgIgKLDS&8|A2x&oX8ELyl z$lrC(^Ly0C#KX9$+*Z<^+Kh%Hz0P$r>GfBwfpF!#$!Jymf~#n*5icksq&&lf>bd-zsBmf-9da#*gPtF^kZXal%f1I#jS|yP+9DG3??DB$ww8BQumz6wf+o&S|J5b z`g$U&`q^U6imW{Y!HI6)oFE8MMA%RQ48Uk;a|3h7_1WK9>JSDNrUKM(xOrWbKs0NM zubFnxUiyR^L|h#YW%0Q_c$C~_WTa1ixhsi!oe*Z$%dRGw?iO5EI!bG2$Y5Y!f;8`-NQI&z{ zuW4ai8Q$8?cn>Tz@z`lX!jx+zq1|Fi7Z|Qm7SUWe;`^%Z*!{a$Hw&Ck+_K&Bg`jcg zl)yT44Xdm}${6?2B|Joth{w&cQ)bgA3l9~}!p z-&|nSiqJ|GfMY|IDuwd(1AvNl^_>LVM0pRuP1FQO2fWF+Y`}Ts96SKp93oa!bS$#U zdXiyU7ALS-vRi@O9P5ArUU(&MorMZMEwIu@S%CluLeR|ux)b6w(4DF(mn#!d^xA;~ zW<$`^0^=T?N8a~SZS5uqdFg`wNk(`RGk!!sMt#fZ!|M1EM*SWLlG2BXg0DuQGC5|s zK9ak~rLsDSC;yWpC6M^e6b$}T6KzXyPEE}}CmvWXD5?)u$vVmjUbsNt$NQ?^zO>w1 zou5Z1CyC=vYjaO%8dL{owtA<9N-hFQhl!6Z7XY!ss$gZuxkmSS)+OXzLW%~#QFmb8 z&Zvbl5>fSi&%y%pHH3o(grazOKnpyQ&58=8xPZUgUDK_F=WCZ`fCYuqeo4-Nzyh}^ z(EUcz%MA7`;e~I1bRE#P$nmJgE`sg^^8bJ(_#&#n@G1O5kcE>>T244XBN2b6#m!1p zzXmCzY469-1fR@w3duO%077=pypPMO?l6#TQCe85EYK-FveB3-SGi%w$w=2lm$?jr zlwA4;tZJf@S>g6%vuai7$L>Wj(#W{%WI~yrt?ugqL*#%0UzGN2jWb04$Ep#XVn=vd z2lbyF>dlaeO*9GFSi{Q+QVsn~Ez2Y$o_ z*b4i@?NS4|Bodzk<7qd7#`*GTPJDa-6)m}u1t23;Qj8h224S^y%8vxD_?)n9Hv097v{aJ9gguw zhGZ@@8gLH85?Y9gH*$jYv7eZc^#rZo@V_z3y=`H$$)G|yMK0S{UL9aX$6;heJqElti0;$jd!7lNXLG=Qs$D?aKy|VXtltInd z*HDy#>fcF#Tp^yc@t$MzuSFz`T3J?=aW;wGT}VdIrb#vA>6Ph7gIT}`R%tZEBDPhy znpi;0QB;%hTyZO=LrjXDf#EpAoo;tD77JX6U@yiew7{M$0|_{se<5__6p-+Mq1sf1 z!>>@<`<5WoUxLP^n?HU|9T2Gwa%`0{fTrUiG)D>?7rKlY(ua0}6fR=M5=!qxItYIj z%YTHgsZ(iQWAJW}y2agT(W&rgF!H=@`&96ZKlL0~*I@*tY5JpLndUu9=g@@rGXNf0K8TuDzAD?ivwyf$|PJ4&b?oQ=}>aN`E3D(utA*xRW}=)=+fIv5y=`b z+r2w)?7$lUl@bDOu zZ4_qvH6-9IfH*x1X?<{zzQ*VQ?@>&#jVR-cMUZW5 zZY)}M)NfC9DD^pA9^I(5w8^;o#HvZD2CfaEyp{ynHI!voUAyp6_{I$#WuLtBJ2hGL z*p<8VNI(Cax31UIb*6WF`fC%d|8I3MOfge2s9Y`CWW_Ryk+*3SN*QMG>sgnc_qy2U z#$rs2y)HvN4Ngq8b#!#k`+nzZ3dc$TDA@lKeetn|hG-^6+Y404!WH`8!aZjFOP5w# zr5b(d46McVf3Y+c*F#!u)oK-`GylO-x7t^<*{`+RgE!izHCE1JVm!Y6nj_dSPlGCY z+M9sh_Wx0ap``lm1qdGpfL#Q1-vszQ1_XVF4ax=}EQpxf?4%}kir*9*6MT*XY`d71Xb{zEN#|Tt1(1e|o~# z&xY07^TlY64)~OJ2)lp2;g;5M7aG;Rn7iB2G#OWZl~=+Kf(Z1>o$8#}|Fh?4>&V5! zHCo1_AP7ahm-U5kD+B7IeY86PK#Q-5Zg~cH$Mz^q9ow0?eq-bBhDSPx9i}VNn@$h^ zxU#39OOxzkmTZh=Q-^`3fryyN$a?R%;{U-2VI3V*aLUL zH>C-9Sw9e3{3%+{#vH6IAO4Eosj~6sF~coFBlmZdP3iC7QDCX7vi>hLg<%sMWgAgx zgMRy-=ubba>#NXeNAxZI*0VwEk0TcABfi>qJ~peK-#>J%!Ns2Np?v6^zOd9vSFP_y z3M(=}Mg5?I`}N15zg+lRU=kmgK6%}<)K z`#04^+UZb!Aq}Qv`Dd~w`%-S)`g)63L0%v1} z1-{VFEIT~G}TwuQ;fkJHG|&THw-r*bPUlyyf1i9amSn%Q7(geqN1m9PQiPNoX2Irp57|uYB!f80K9gz-!YE?jB z8NP@ot=_YTU4HUw-dqNNC+YmN=K9aKj zCd6~2C&R(;m8%LfV_seUXoB+Q5%o3_^)@^1ww47_gBalwG8J>m^W3S&HjOc`2{?d7 z?8?oM>aefVz^IcA!`q15>flgv9XHj)Xw%AaBLd&R$Yo)^n9aE@0^iYyeRY0;{R9O! zO6v{!Ga`(}`l?Jf=WFba7MiZP8@zUBPnRFkwL2URU96vfm#sBj1Bbwi{!S;|{T<`5 zJJ95?waja;)RE`q82sY=ZQ?uD^^EXU!+exvc!vAUiD>wuJ%$x$SbbWP@+sbm3jvrj z0mzv^-kH#8l5}{Zo;XmJIgkWPSTUm5)Y5n2%F3=YIQt3K!hC(a!FIxI-K^1;t}$Us=}ZmJIAE&^-_PLbtLp>J})=3v)3+0eJQhL_JN4+_>EyIf9|L?WdOcKyhB z6h%(K*E3*e^hGp0jb+EYN91u{5?0+qx)GVF95a9vnUoVF+fQ`wD>9er4Rj4MsZPj2 zIpYi;G1Q!9mmm<)*VvBQ2K+<7?@($2-P6_3iW{l4SKznEU4@*8GcfQZNDx;ORaK!u z*DZJu0O;YD3`yVzpN?i^Glx5RB;ZslgKuO=(Y%4gL|43?R7GNS`EwZk6po{hw9d;0 zyJ3;orO<5PBz<-q1>hhzIwGlPAvY1cNRVJCG8Qs>f|3uG7ZB>0(5FpGAwm$`uEK+2 zY-slm`Wiayy+Dzv<|~vCZ~SAss0Pt=&G=GoBaHuIcpC*q!FPpJkOeJcA%z$+!L#LTvH9A_An`U_x>}pobBd=M0I<40MuOs1ye)KIX^)?Sz>?Z8+Utq>4<++aHgB zeaK1S1cX7B?k3M6quN(y7<~;2XU_SC7>VVn*QzQWer1p07IOPBBt~~5LdY;L>04nm zP*K+?74^uuyM1ByJ$p@x??}bGamg7BbLf^ab9N-dw<2Ju8NW(f_EJ(Tb~%Tw-1Q6~ zBCOK~os|gR>CqyPZq^64q4!DDYcx^-w!p;JaC%4es!Y}Rq)IU$`P--4;rV1C86Ndf z6^+ONGvLl7+!SJNkyQlPOLTqihGgR5_rNb+UNhVRLNNclU~GDSF|>*5mHX-tZ^ab$ z;qBJ(3L!C;iX!B|*E3NwPI{s##Z=Md#!CTp!G|_8J!0qr#rW0X=#)r|D;S@kr--hq z-w$iBz}dBD6%V+<(G{_nRb;wUPtThDNQix{XuQ~YaX?FkSCgSNo@YxwQ&}DYQW;M7d$6d3hQYDsfmXEbTPC|FB=KM$g3We(_jTSQ$Ide3!uBJI+AJ={kx#8 z2zCVuoIQoF!J?i>KSeCrIU5!u%g%v~Kd}&D{qb~oB~*{C=3NCAT*($W=T8V?6@z+)5`;q-9Z8M$#QMe~tizwWfrrTn z%4mO=EA?+EV9rOxwHuA;73gcYRgo}%6`wvQBS#p;T)%(DNj}E-MOH^_|qmtk6`6y z1SU3Arv{g9Fdn#3afc@yIJ)}$3FYiJgCI^x(lZ@2xQ*8Lz_597+{K$^%MV&kg$a7* zhrE5S#t>~e1O|Kv24XcCgWhN(0wW#I+V_u@@@(JrY0ot2 zkrEMRwhGJNb#`1hsK+vwBku%fPvLZ%S;GZ=HDVDDmXL+xp21NJPBw#$pzZ5%a6Ld{ zr7_&=u=|5Ra`e@13!w-rC^+KDh4fX&l~(&7z?oRelUVi_?ict4#=W1f2#JiBilR_R zwTteHQ($}JL$K}zWPSz5==C^8V%;0bAOJ>vzR*w%=|PzqHuYA%Jta+F^VlASj*GttJ2*n4uh z#t$Oh_d|bSXGqjvepv-Ci${O^FQB){%6yF|ejIRQY?8AxPMcyY4u_7k^CH4S3Rmzd z1+XKH$s|pH75@f8#1KZFqT#mn*PybjobYU+2!l+j;iV2;_zgx6OhF+U*>g-M=8Ot(H-5$O+_Y{WuU+)nD2>>?~eHFtoIgN*a_di*;ye4Sggpnsqi z@8@h0o*RFi;Ez_eZfIW8aGO4i;kbSNs+_!`XYzo>+@GMH%vH@3XS@PN2q23^*_+WX z6X+X@s0L#^Ulw`@8vj9>6XSFRqOWZHRi zZvzoA+r%5Rq67wW`OkGtCMC?{i^1WV!UZq{cHs!;V=0e`lH&>+#cNy=1xhheY-O2Q z$_xl1n7<)w0P!~6EuxbgdG@MTAQ{MiYWE6&&J{XGrxn3MIu`vPW*ndaA`(*z{>jf+ z?MtPAlY9FAF!ml`O*LD?s7MtM5^5+Sgd!3U=_o}?2u*qmU3v!rMY>WA5JV7=CQ_B& zi*$)06hVq~1f{Ap=_0l}@qNE@&inu8e)m4l$||$>%$`}ZW@gRonVkf82C>61M-zh{ zag-@@+9?OXo)NUcsv=ESg>qY{cGKS{h#c9M?7RpJe+)dG3!8i{^e!!YZ2tHPhT+Tkz3{E25a&Hohqtt1hR&YZJg5Pz zH4R=Pv(_g9c2OeW5~S_O?RuI?t4biV%nQo;3^g zy^%YXuv30K@Xa?O7$+TjK09Gg2Hv@IxPbegsA`>cu)@scllVTvT5la-XHnt8*5G8t zPTu))qF&|Yk&W5F*SJra+{pogceuyCF3I&k;%bnuqI_6X`3fMK+*%3*jaLP?9I=wZ zS_VY6!RdNKl7d?5I2QB(M#zi9AO#>o5{V+doj?@%*NkRT6}ME7xj3U2IK~d#+iu(= zRLI+S=-F8LI6=jUK8844l30orQ%by`EE_LP{ORND=d4w&tW`s-RUcTZ_E~MuDqUN}qJ%HOcwb|Bs2v<*OtA6gFlM!u zS*xd#+l@-dBH!wF+yzIdE1W*Oh?_r4Zl;yq6e+veQx<5AXoe5i;`^uza-6Y63{LV6 zj^wpwIGDK|EAN|2D9+l)uRtl(Icx9Z|Dtz#09~HAv}9szi%I4z$a280Kqhz``0kfT zv+-V$woB5PRyBS_)CgArwF^-75yZ*OL4~fVS757q`MzMarO&e_j2fkbiZoXUvh+PH z>?!){Wr15Smj?w1nKYsXy>ir;Po=E+qX{EF)X<;c4R@fkZ96H6(-9mTx1n~D#&h8b zJ0oy;b76IM-fumAMPez}(s}cP95H^Yi;DxQ-_l~A2HH`5De&FU>iL$9GuLGOEWK&N zx){s4;Ge&6vz1D(3&Z6LRrrXr6su}4Tq^5IWa75@CW+Ext`22qeBUdEGGW7eBoH+6 zmm1X^iWGKd3y2-Zsohz7XtfAC=$PmsUjzZ&ndWEtoS5uspS%F;Hw|no+%Y}EiI%b~ zwAchb4PJa~obZK19og|hU$m)7oFRSj5=WzeJx=_cQ(>zbtrH~w3S1UGu8yU|=r@bC za;wOx(W9a*5=tU4R-c5deh68Go)oWs-az}sheElbabN8F-Fo|Cr1egcY)G#_uV8ZG ztS~hTrL5M0`ztXrOSXZ$H-5NE!zZon=FiBDNE2v$0@`=d`)H=Viptw2G*dz2+VoMo z+g9y2wR6?xcZE(n{Wy^kTODO$s`k9_Q}MF7tPQ{JrvMJ2udyR!J$Dy5yR_fMuypbq z+!!{$2mXEvx+#)tL9*y#=i{qAuW>-~U zzDvUiUGHy?ga))OIUwMhw|dmgkkg;zld3U&)T{=qt(efG0$QCYok4bporeQmB$XwH z1iMmdY|jrWFddSfOE8uYHw5Rvw2I+pQPGv6Toe$y4KGtX@Okm;ice=uj~$zF&mS`@ zE>{G~_i;f;B49!B770lir>F~}1=cVa{P_ZQ2XPFQnr+}<+zbzVJa(8>!OFYqNflF~ zw81rr*yLUqipv2Ogtc1{i%onVIB{dyBr4JDtn>nN>n?RS0YS!54e@MOsrgHoG(NcC z@JWxLv1}jn5@xPk0fB*@lle5UVXD-`0Y-(cEFQ+I?di#B!#*GAc5AtKH1RP?<}ZQq zH90{;u-57Z7R#GXgH86u`$dR}KR{o)EUMLzeVgj?c4S4EQhtO)nohqW;wpRoezAvP56FGX5qPq4nG1z zj7msnR|zcq)StF@{G7oG;nnUPyazMS8on_9La57kD}0&yH(}!xM>UIQ z`?H$efmehL#_Vc)$2j}iD4=8ET%wM9U_>3SxM3^w@fZ_4hFQB#)2epEYdPp0VgE(q zM_=b4l%sHNFZjaFTp(BTuDthhP>xg@mVeEc(1$K)a=>Dl_l4{oD>7a{c^!Jj&$2yd zwND!bT7H`#=mJ)sc5W~;pCwL}EAWbv;IBVw>4#vAdYh^es4dHwT(f+a+K-d4&*qyg zQlPFB5)_(2-Bb_*K@#_=|2r+D|I*T!Nzcom;;AC`Y@64vC!N=UuVQ-E9UCozb5(=s zc-9k>D~uCq1j%_y))o_!OB84t_iisfitTf4FP@aY2R+NyUL3G2(#YXcIv=RLMX+?Q zEOm^TxQ}hXeDJ1nW!Pb4K(y%L4m*@Ur%I%Ad=g z-O=cKhMVv+lChN%{{V}H9{EX_`wReZX9Lj(vXB;7;TGX|rP#SIAMi0~^UePBlaIA> z!wz?Hd$;A2=7YG}{#>XaIxuUsz`VqgdUj&{P$DeQ0k#BnjQ$(+Rh4MJ_?W^c7i#46 zZVc(M*=^j(eYq_kF&}igZJ#XQy3Pv(3H`` zwItxgYLq&5nl!hq!6#M?$s6l)A7F|ukA`m#&`74RK>sfU%X>2KnX~yB)U9ERUuzrj zu}B9;ky@Tnn7Ov6h9qi|frEkAF<7+o_%5G~TdT(Q{mpXtXuJzlT_SKW$Sgc`C3XyF z)N-P}1Z;NQ3D@A@lP&o`SUm_({jB z>-|;*nD8$U6YB0H8_Ph+SI#GuadXjr{`;Jm3)n=x-oAO*cSSe{&FOSu;5u znKnfDetjc953lhKox+1!A{5YyG03DlVUl0eURDLQ=A6*T2KpyyOW|SAUDeFk$HW3P z3<(@%5}h4=p1&z{RfpJ%2_a)7q#-=GQ%rOBA$F(Yhg31gaShU}8(Wymp#*num|CH7 zsK@Xxsuq6=6YRl91y)s!haYU70=>feOfoz7(&VE?vnrRlFEkRk$!e=%n~vNkoE4Z= zS%-DSTb>S|rU@gpiTMs3ujnlBemP>Bd4!rMkQseSP|iF$cTiR5#;2Lnmyer2hh|ds zX*u%NG5(Kll$YJJ4uRjQM{}q|2g?krhOz$zubL{`cbfKa*+XM5~0724B%vS%@N_kdmsu)sXj*c=>b zZDK5gn7vm`UZj~Vn%czFpVBUfH<5MVD?`Ufp#HFq;819sup0Bqar%V zj`oxhAepnYprJ`#1`TcG~EO zHwL2s7p{kM0%+p?O)+(%%_}Q|i@+P1cYSd3`(^denshvCabj{OK4FZYVY{T}=CIyU zB)VJB@RLDu#=2e53sgb?tEd*xV$FOHxG9=5hju4n4ACXnv6nD*^r#0b_--eOtFnE@ zeRaqb;nQRhRG&s18>B7SfVc3th`0=6vBd8Nx~koj2C=&IK+Rd0d4g?<%{P9xeKVA! zNVsP3>f<7_e-JRN{&jogpW7Gt44WTm%NB!9O!sUKsu4w0|feFc9$T_Jv;=Ogxq*-pvjQ{Yq34o*H0LO!5XT`brwt2J~vFpSY-KhOSDs?)VX_)|E% zq%JQcA#Wg3@icXr@TcWGBFj!)=D4pQ6)EJ=`-VLxtwRukEJZRIp_-d-2UucsWQHXqEZReC)(uAnMGDzKtNi!(ODBjT-kg(q{ z0Y@jxBeTKo&I{!9rzJtn4rqT~GF}MMO*m(o=jI1+1 zUt9GPsbyU=@DG0W2bTiuR#72XAq?9N97n#U)Q?4fdu>NXdJ-3fAD)E z#)VQNM5#%IKw{lC`cBI9Un7NRf?u*8kTEu(1C)$mi-VUYIU!qEJR?2W_rZ#qdT;u> zaeM1&^~d3Au*3U&*Y6-kPqEJj>Z`xh%TGRmZzOIReT&&m{((Cd?0guD{8B{Q6S!@i znhzW9h!SU7Zn>iuYcK!xO}~Di*DW38m?=~*lX2kI6K^)e3+nO*EQprli5^=^24l&Y z@DP2&(Uqm@%V)||io5#wN~qI*U44`%WK5tTp)S{Ft0ot$P;qMK%&VbJ+l{{mbhBGP z#J{Q%fsOnk{J{%<@DUN`YSNT_F_}Da%o=B$X&~CmcO#;`kd_hch0FwPE_W0qR8g@- z(+>>mdnC}JY#H#oO1IFU;6iciSTZ*VoGd)=RVz=Sh3V%^O38ttP*5_>0 z9zkwd`8B3Y&&Zi4tI9M-POzq|*#7O<*;CKrc!g;}mw&PEdG5 zl}6Rh{5%>){W_QpMD}FLCudGiWB`%t4DNx*(gVXFvf8e>zMY7uf&|m#9!^3PuyRDU zKte?{_Qpmb3%sO8*;s{)SsHT{lZT@)AP4#7cIS?y<_IK@&aa7>%47px}NzM^Ccu zfSjJ}om1rrGfpGsG^>u7Qv+g7*ZU)>U!$amIj!a=W=~5T8f;8)K>2^-t8Lo?ruiizjhs0dMO-52%M;DVbx4v+2X)&z{K4+-U zlikrTQ2Nj-&4aw$3V~j==oXryHf=GzVJIl4u4ZWG^sz)!s3Lg1Aml$lL~x3_!ctoZ z-f>4U79Jil$_?1~y;`Dm$p5j9-pH~CjL%Gt-^`a~$J}N%ofqCr*s@&J>RxAIF?M}LXO?X2 z>X3#2*}aGcp+aULyM{LmOO)7}Owr^6HBG>F?`(Fs(Rj~BW;cBh2q|%qq*bFo42{kI zlplI9^F~x))8HE)af{d-_&}iCnEK90=L}7nw9p5ohVgBsbbe zU}5G6mR=%jM`YvM`lc|COu|ahoSGh3W8nuy zL|1@00bEqH4f!g;K#!pD^1V|ciC@ztt0B1br6!=c>J6s;FsIIC&W-{5NbM30Lm>8LSZYI4=>w0 zR+dB)tL`io?TFZ0bp{4)C~18?^Gf80uKW?w_16WU?yyY^V<%@4z#%%q!pTVzVq$!UEk z#|wUNKS;M}?;uI?3mT^cH&X7p>r9G}e5ZoV2r3aqHF~g^QFq-~9)z?nkdTQiPtb9@ zvQ^(crTjXun6xjmFNVrpzKj#2zihCq6wRJQO$i?l9G78;uSXeahwZaN#*2L{Nh4bi z&Uz^6KkhU+OSL{GQ%a(2O*oQ7mViA7u~fI&EXN@EmmDP6;Rg%Tv9Z8I7E_>GR|URt z2G{cg@Xafu6%6kQSX~kE;qVa7a4dLMvVvg<@))nN30-95tyJgB z#hkMCtCeoU$O?+7#>4*bnX%&lc1YgLCy3mwN57u`ccC=HI zkZr9>QE_J=0?AKPtx?hlRZS4uAW3Gn8Y9mtRx#QgIgX3wxD5~OwvoaX{)@ko3Dlf)tu#FEad7K5s zpFwe+VxRLQYCyWSmjb8{wK#nv3TUEmCr*8lF$s5pD@KoNHthaXO0(BF_NS8ITtqgZ zoS?Q`yj}nLSm?9bSbfp`q6?aLG-Wi+G!u$m`^e}a*H_hsMDHAbqr0}f^Y&cl`^C9) zoePVrbhfE+z0WjXvL>co>9sqRDa4zkN+E87I=ZEz@8qbbM`og;e#YF6{}$6TFffd7 zA!^s3d0WSs$lRXOs%ZT@eVkLe{%p3&LEHP;K%_&t4-wOdVR%Y`XSs_2&Y&D6^63t< z=a7@_ftj`B(b2AxEBBnsA zNo13WY~|G~?eY^A?xD0IgHjW;n^;*F_4{w$#XThoh>8Z-p=V4Qi)e5t*Zm8QWrUBu zDo)SbL%A;4IunHgXCQR;?an;b)m%ZpHwANn$w6jQ7VM!Y(aF=%0p0=nxlv&1O|Gur z--es1j>7qDE|`Ih!7gN!k&Kb&x?^vs=|co*dfd}+11hzrpVidqSCn0>5N1N$2F{{& zV@y?RQX;RYxJj62&IC}s!!qrs>n7(pT(n)vPNq$c#B5*iCl|ges1Y|wy~$+yEWYj` zZot^U-4LC*UZf-SW@5lN(>>>QZmIZ$tg3>MlaQ?s#5i-EPiJ+5lh02W1s(;Xu_F4V z1({$}Z)>{2)I%(Qid?^#+pOLCSL}LcQPyVB7z^79dK5Ex?=P1B$6DcwH@X( z1X7n&Gl7LDX1HBS+apoz*^9NYG8@(@D~m(jEw8IC=W7~rt2&siLlBDQMre!S3*OY)8{hbJ z?f9K?o)p%kjJ+;pKwRv|5UNcX)BeLg6sjGNA=GYWmW4WSR;QK{1)Vx}97wr5696 z!ga31Vv`OIk4u&7=gdJn`Z544JlJK@%3`9e{)5fYs8x6uYq(_B*Pmk^gfDI+={Cy~ z=VOYe0)ML8eU`EzF+>L^PTgYy!Hs@HAh^-W9|VhnGEX4Zh)E4ufp)q3UHcw*jCWN{ zLz&r|Gu6R)wueex>k;7-q#Yvc?!qxsq#gIe;)-{I^S)+?g5vXb;B8i{LHX5D=-Y_CkWYGJ>0ep9{i1>ZyF(_C|(MA%#=SU(JH0C;qDKJH*=4Gt*C6RvqMeSKi`RpBI0-u6cSUd~5z?YKU zE%#i@a->ic7NB0hXnYKn*I{abCco);k%*aPf4%|XeUk7t7@Pd2=kqm!#>ecDZvwYE z&ph!_`MA`;OLS6sm!cVnt3kZ@enFzMit@C_?x;~XgM}gFZu&*B+voc^s+$;igYMWh zTTgqj2F%6GR02EzB*DY3BuHS||_MF@2A@H8C6u$)SW z1?hNNi!zA=@Ar10Y=%z1ixHD3HN2p9ARz+dTCtZ2T-EZ>*vvWh=OGZ@%%uv5qbEl- zlNj$@U<`(BhVXiSuus}1-3556b2}}UdS35ffE=!lY}&1pgV!ZKo`V{2Ery7U&dDZW zBrXw^i>C$3mAwmI{4K%>g&8d_V#me>8MVCd#C_jkF>Q4u``4K(@Ua)e1JGo`GPj_8 z(XOm%Jj_j=t`v@9zZOlP&)-$WLhr+P@fSP)D3jA3i(wrG`@jORnC5vvh3T9agJNi* znjF0v5MH;QS|);qh3{aDSc)lUwjH)efu{UP%mluci>P2J-y96^_APZd9l)!HZd190 z(N~Bxpcwh!z}qRA;Oz;0wFXeo^rz%^?u%2uSQR;M*s&h=_^ZHnLi=+d6!Nx$7otgq zANQW|-H5@mRJ~=R?`$UTivfPA@(R-NLLJmRjtO2k^vhH;hmO{OsBfu893s&cFRu5A*>O^Sw!Z{gJ63Wwes z!u7rr4u!qJ^{R=4=Jeq1+X#nB5^$8R!d6BW$Cn?(ByFOPZzRPe{YD=@eAvUg^&=%! zbjkS?-TA8&r|HhK6VVAdK(plHSKW9EA4#it<fN|!2tj7iD$6Z9ID9d?g@mz0q({&iHp zDZYL9eeVra(9^E_-Pk?FyT2nrMcjA1`|)o&d>Tqe6LWX2Xh$@U>DQA~T$hj8u^Bb> z@AQbqG^jZb1F;2bqyT#h^-z$g!}^rEpwf3M4+i_hvum(jQ_&dVSG{AbitU{{(62G{ zIMGn6@ZCF)A<0fZe!vc-ny|88-owmMKf>>Uw?oHv%*0(igGOdzde%MiCO?#juO5bt z%yggF4@hw__>yrFigase*Peflg60KX>3e4q5JopqbOxgaq2pr3O!SKt~2Z-}z4ozR8$Rfo;IL#EP2%ElE-?(A* zy}qkadBM-m7BYf4&5;pudfRvW2RGQe9Wold6I5${25KSOq{yQ}(~A;9x-LEuX|Ipz zO%kJxWI0mkQ_?qD9@$qO!TlTSFTZu(inSMEgi_0e&v`(YCY!fTDckk>gq)4UJ$Qe_ zP8M^nt4xB5T5iiH3({(~nXVGO%^Xq<$q(t#y@dQ^!#a5!BeiYmI;K;5jT73$6Ohe| zljeLE8#Q-1T*ed9(CVW{5@~wAi$j{qBJ79vG`H*FZkt_H-o*1Tc}A7;UahO}hTw|V zyr4Kj*WjG*`>x3x>8WO1tGUaL`w{W;Eo6;;^J(nmyElGIMt^&Ce6B(H^4&bme$=Cs zL;-#d9WCjsR8Pr^Gp>epqeXZN411EM=84=MK2hG6SMOaPqs$Rf)z$W&=)J_;*TJJ= zjE}Ao*_Jl+ZXu@20RLWa$%koICjQudf^%EbOSozY9@jg+oFiY_AylvAT&PcO%&bu`k;55>(|{@SsreO6dMeCSXMX%V z*O~cVta)E_EpzUSL;}^F-<_hmCbiUXjm6U?SeYf{i|kAv=QXISS36!N`e;ZyRMqu8 zV8M)?C?vTaaP#z0QAd(=({*!ovqh4g_Kd4Sb5An_>1Z46QMui=kE4j9T4mFrfTq`l zZFZ$Bj#&G3;N z!;=~)YXg=EUMGv*p-phN?v3N@PT*zCJ$g4*LFd63@qJ*x? zvP0mhFT7RIeinGv{~K)Z9JrG`_yT0|u^DIV2rjS(oHWM%VO@Ldj2Pjrb`48{0Hl70 z3$#BIZTR1ynV|qTqvuS;{3cvnwdCf}BQ}+o`lo{sp`4$NF+UugnUQ&)(&bO9$&me@ zkW@s)t$(0Ved;uUA&y&us1; zIQs57gz+{e?kXO6&c1+dR10(Xk!}<+`BV;=uAX{+WA>Olc&i-pXZW!^S zorvVKnzPv;%4grUD~$dJNHdZupWSZ{o9)C>Ne%7?sFv8}Hgl-N?YGa5ppQ3Q2VCZH zzLCqcz2pxlWf!`4xgHFEfW7uttd{<`=LzK_V^dbX%stIB%`2;VQ>i9ZGI~QXlS`QY zNw;V5TpZf=Nyo|R$>J6zy={yC$L*~ziS&I_W_Lc%+L-)m-+p2CqMctb3S zO?XW#sz=`WQ~|XDDTzT$dc?gFm0l$!<9s`A?y?b4h_Pr3W{3il7u>Iw)At9~*IvX; z)97`RomFQ0`8HW254$U-#jQ^`Zs&&xItVZhI!0z*zw95cj@7{AjdDbye5lwvcw7%+ zfuOQWI0mOWxg3nm&f8piNKRP3cf*07hG`g1gPrrAkJmng0R15jxz*w=6_mr7D^5j`ha~kAu zD8Way#3#UwO(m>e`!!@e+u9;l|NZ9J-j`vxa@-?r1b}+&1O`Qhm>I_Zfh}?>S)G;3 z-w)Qwkbg0q6n~gDo*V(c426YLr^!A-nVgdz3y>(s8D%IK5MkcNN`>sH+9DSD51{oA z&kMMcV@K8adXZMO#G1|p1_~|v3gZ6w6ezx+eeaZ|}%S1Ev zhf^gd_&bI!+Whn@-(Ex9GylOZ{j^ci4@mvNT+K)tSy#AHjqJCLOX*_Zzss-ntB#=n zKWx3!N#h8pauGzK z$fV$A#I$maME6nT78$Qd^eSVag>j)bq`y-7Aq8;Z9XuWe!uuPmZZ$MBQizW zQf5vNnU)&-{)jS1Z@09;%G!%?=-BOp9lXYrm<@#2`YBEAl-arz#u#l|I>qfPYG@Z$ zY!jssqj-rI?u&=$VewE#3VXf>8Q~D#w@Ll9V(a&g3P@)Y=t|zyvm-4^s!4nx6N}ur zL6G4JhZ|9!G7l#T&ccVL%o3zAlD4)Yv)p4pBBX3>UpMDvv@-Gz^{U*1X^NyX<2Ckc zHF!{2*BC8i87)dSp0ll<#KuwN_xXptLPqJY)G{HX;Yn)zaHek!iu|$gr>1Rq{gt<~ znXvd!i#SHrWR5@Zah=ZeDU#-52{F^ z>55nBkm!?7(h$4Pg>RLji<2&qj;c0*30aVKG{GJnNfQ|g1I zX4>29WjW4oX8>yAiKLCDBv2 zEIX!YPR*O@9iJ}QD0zCt19JP^p@a)fyr-@G_-$k^uh{xkxJL@?_POLJO>FA}%`%VSh`$T=8ao>_&&12La=CodDH2Fo4M@thOw}Y%H>r9c`PhVO_t+X zm<+xT9$n8<`xjJ;*8T&A2L>?0a2gTE{21YehZ_S6%rqAaE$F7YKdLqPKC!qNhCorq|O zXpp9_-6jLWVMedi+HiI3;-we!LBj zb1r6N$(}2L>igp0&l*^fgRpw^B!@&)l_I$Nn2(f7t6kU3nSqNJc`P}rCdLrTzEuEo zW$jUF6Qlt0$ffv*00Y{F1p7Q|CQx`ESusd{xI-+K>X2jK3t93$1B|S37t&>kv5Y<` zWvkpL$c%7T_}^l|)VvwB=EhxBzaK%h!D&qauaNL+XgWg$Z4jSzRTx2SL`YlEH;e}7 zB~FrhHE_8WHl{&nbmHEVdR4+)J$jTZ5frl)Vk48(9tR~mXki|enBFlOsE|C1A4Y#M zhS>%Ukgg?SPzY?laQlz@<0IznG9TG&O2vMRJVz$S=qWm_Tccb9N3#f@k+xKOY9(T2cL=rc36dHx;0Jq^X_dL#=+~93H%qd(`BQI=G&^e5Io~73OBM z5~wSx&5z1ry^{Nu!y+zuP3=G8ieGr*qZWvxjlOv$=p6%U*g%aa0QyFvQ`-*?L4SO7%7n`L@X?R3lLmWRzr=Cwm`~kr7T{3-?~4&#Ur7U>gq8Rtrn}a_sq{N}vYisaiQ8M2y$;wjQkN>(%q_vrAAXP(iF zoqcsG#b>25`BvD+h34u@RbM)eb|QL2-csAPbyn=y#e6Y64v%?Sx$}zp+Ei$CR`s~d z{|hu%jQ@A&J2|0bl6t)QuIiIhTfyV4c$+3f@XQ~9^|w;-nxW{gMeQN8_430y>(SQ% zYNB(@9iC=~_UCC7E^J@&ig`pZUoP0q+tpp}Ah@ufmrS?NnOvscw!Jf9wb0weUf$9` z_nW1|h7i0y zN5kI(5Ln!IXX-E67u$WF?Q~}TLs(+_h;jY4s!apNXaUE0Zs86NdLH49Y(5_0uqtRJ z#SkJcer*E9zdu`=5)@|AkKT()Hf_Gdq5P=G+|Ua4nCb_^EAkdGtA`wa6Qkjtzhu<} z!FGv~oi}+nxhU!{v_gLipMS>Op)faR%WrEy3V_qBDxP3Bwgo0p91q&Hc`VG0M|u!q z6qTh{&TslERj=$1E(LgeHhOjri}SmDWLj8L=Cl{CXJ_(yC9D)zmfru}C1&-*%KE4k zoo-dY5MbAeS~zpwU6*xmI$>>HLbX6cLL_6Y=gJTq{Gg zw(oiMY2@8A1Cbv*os@4j)p5&OnnQ9bg{j_{TKT#l-moO4xm|kw*;iu7>54+^vlij! zy>BHS2hUW0a_TC0{4?I>2w3qyg>^N#?jwY5&Chs7&#oo1xoX+}Dh^=Z*gs$LOVV_^ z)DwUfd-N(klhbr?rN&<1KC{G?ij01{p6hf7XTSfym$>d4qv=|?68_-FM9fmiM1IZE zV0j(_@)M&LS+>O&eCS8JN;7_7dqpC-QCW4CpyRRbz36+MWHXphJ0o zr2zCIUo=LEU59zH%X^PYjqBz=v$QKuyh-}^wZaZRwfTSaWqnp>#(P6>5EhGjW+n(R z`ua*A{e1!aL8g+p4M_wQX$mP=qYwN|c==t1VxdcH=hs;Tf|pi9S9F{^mZbmlAt(Ma zw;Q(M!z2M9(?M)Q4Wq?}Fq0wY8S+fw2qt)@23*At+rf_4c(5JejPkoREG>~z7&4fd z%sCuQgdn4}m)YW!3#nIjo()FGIMKCV^#7ofN$NAu0GwBm7q%H}ZrwkJ20^#smI3=Y z`4*p%Orh`-%jP!nb{4P+Bivnn?jOu_&;A%Fjj+8UwS#z05xzS9vO0(TIL*k^6jjvS zcTDVia?XR%yzhj_w*O&&0QSSV9MUfzI0Gp^zLb-tTkNIyU~Cc=r^8=Tb|RjmrEj8mi$vL^=Vc6)3G*u! zxUmVX1xDXQ%#lYWuJ>LH6~B#bzUdjQ_HcAFLuz1H!r=Aw4Fp2j?c{n3!v1 zQv-$Tq4{`+D&7M2?Z$pO(8eh=P88$O7z8ItSMt``watCZ2t*9z%8@DgeQZ6 zCzz0X=3|6dO3_U&7_VmCeqPN#VTZ4*X8$Fa?eJa9p(JRe;DT;oH?N$i**gx8N-*$> zAm3|X8(z}&i=%g{2oASTeh_F<(W5J-` z;|Bt0d%;r<{~hi{+yQM6;s3!pll02~XQ0{I%QnzF)2{4PT?b8HxMRmA1`5ZG-9JM_ zBt-P>P;Ir!|HFTQx14xvUz6I@m5!{(u$iXm{3{*w8Gkd;e=|FDLlJje>DpEOKb*@V z{W8KCcz^AsoFwH!zMB)d@v%-$&pZmFZ^qB5j@LIM{J%iVd%QWg^}iBg7Z7t(nh`8h zwPk|(=X`@Nq1!CNC|CKD9K$GAlvc2Ppn>)&6+!xu4A2zU7kP2X$X91{uzc*^uJ(>o zix>e^z_}_S`(w5VSM7!PH(BCxL#pu|M?Y0vlt`p!f2y6+%zh!Up3wTvuWMEJOY^(W zKhxzp-upfOYPo$7uC1qpuZaxr}h#T$^u=!d?mBlxd!lFs3c_(F?U*XXKcPYzr zDVCT<*~&9Q6^R6Pu)En6_awh2*ilxK$ux;}3Y?wLeU)EWBc9dao!EJ(Z*Q$V!yfiN zAK#i@Cw1~nHO@ptLBY)aP($xc8d_n@$e-UdG7)c8=kQgYrp%)7dmXt+_Hm|JnGdU4bP$`o(XGTE6(3VZ%bJFfQ~i zL-TiCG85GD0<1vMoYDC8Ew#kD`w3~~IE!b1-md>c5v}+CE9?&$GL);Im|l#V;0-HG z#cS1c>S)>Xqu=ut*LbFMs%a5R!>4f9_AeUrlp`ucn%v}P*>^1>4zVRSIXm{VrQl_q za_l3toru8<_bctnn)DLShf&`DhRy^F``cfpRdxJsKfRwaww9HU?>%eLuoT88*}h9t_$_bJvP%+?}|G2ij3z z`@;4R)Lek$uN0EF+agZtYd)|Wp;hOD{so;U8vN7#^X$WwQV#~s`?iD!e(!!|w*9W< z#r{~Jdq~)A)*mu!1?f`)x3}`Dht_8!rxrSXcI^6@$5vD)iesn;79@TksXa0W7WS>a z=6-C|%-s+Os>)tz@lN?)gay9Rt^;{mWs{_h%yW@thR8Y$PHQqbvY;mAwyZ0&X&qg2 zH3(R|ZVGa5k=n(iWpAQ=m;51qJ^9yZZcqz953eyGx=&u;uH2bYlLAD|KD;ceW zk~de^_rkf0F9oSYmi1#?xS)ra=)83|u4Ra^!cd_=WLfMdUzoCq>Omjf8CiQJYD7p} zUkYx(25wVaQ}-AXd9h-1wPq6H^mU_J?-4o;Z<{MnD-_ptRmYy+=Wc!yUTfjk)$>GM zem}VLO{2o&;@tDDK6U#EMG?u~wp;ZV%v`Gi7&*+^;u6c}@q`KQrS`p8{bhHVTHnTy z*%z}4URGPV(w&C3-=0w{BM#Gi+%Nwv3=I5`gEJt~s@p#+Zam(|(v>ug_2M5#vjt3w zP7R%`wwiZY$$$&aKmDxB-++I6jK5gSY@~&FmRfW%$R=iOuO+uSza_NlV}$D^o#}mT z$;SdYrdggAZgq(FoZ{di^NwbnR~$o&J6rp!LjC(_O%p&PUsP$|-;gB%D>mabH* zH=UHzVm|qd1THn?4XDU7XCv9agC#7Mr8d{14`cC4Q%nLO2FqovQk{ENGYRZwg|WU; z?l4<1?ki`-fW85KWl>t46AQEbAG|sLBO#Qb{OHU3&)1Z$9QT-MZF^ zS5MzEua7J{GI#tgTo)E>TAf!G7Mxy_*BVf#npo*c?GZ6RC$;ehJephXTI+xU_%$s) zHGKqH$s6e(ZSJ7A8<;^&)+biDaG2k<7Y)%XZSj2N5mxMB>pv4~{X6Tm;%gBl{~4E- zvl_X?nVzc*>7N;&YcttjREA>6DJ+blyrn&9*p7cHtYg?->apK`P{o>|x8kD-s{xV9 z>kq~TRs<_$FCS1!4^>E}hsFGQ={$LUdhmoa+x+egi4A&B=WPBs_mh#rmWs|_)SgG9 z>UQQ~=kGG5PfXcH44oiqf}Ci_{^Cr}xsB(7r2|QKz0z?j_QvO$?w1m0A6+K@+G*o& z9{%*P>!qg~^;|qnodPcS{X@KE8&g>3M927a{)6Hc(0K-7U%cT}Vc^)~ani?V`fra@`}@=;;< zQz~dyOxvk5`Q|Sik|FE|5@D;H5KmaH2?-fL#PS9tpUmF!EId`ob3!@#EN|0qZd`2b z$11@9^sU37XFf^mTmc{McYLQ)H}v823 zg3+k;EUOG3^So2p+m>|c4ab-JeAGMgtEc7lMotaCz4CH@g?>lggiKyfm}K~^{>%E_ zqTc#D#m}|OCibU|io4fGH%rIzzHmW|)AF~qK9vfo&TowvSyMQv-8M4ROJkn!$!=(v z=^;g%C+mgro0_gL+Qy|Zf0MMbcT!XAe++_s`WTGeYN~WB*3FR&h$~)=Ire+SH7Q2d zyO>P<;?HE@v~ zcBw0d9E1jD3|26)9uv0>REOdm@?psUE{ZA@QgM%HF*KHGPx|Pm;@4O`lZCRBwtG-v zNK<(sC%%xv%oCarA81s}cs|IfLt;`#L;0@dd!@UER}tk^)ebo(hG=zo65-c=V1jh} zjPx)nRMGOy1}&$YOeeLs@EB&_%zp3XZr^YHjrU?X1KQ{Lx|49+Z=FWqf@c@nr=S&Z z8)>@Y;IkZc_UwcxLKBKhMAV{~eFQ!VMk_eMiC@{5`aFv6`4X{!uro9x!JFl4H&bY(DzA80WT((KCJ zNK}unB1gX=K1|N_1cqx!z_6_*S8AF;eKE-r0U0ixrcqsix}PcnA+X+SdQib)#M3Qk z>u_y?4MYBZEj`PtxiaCmBrL5BMZU5>oOY;GLT0y`krhQDkZk~D_Z4U&1eQIRglA1A z$~WO4oz#ZqB8A@Lp0n#UxlVCer?F#U#pIduNKd%jiBlF z*^H?g<($$D9hm@S+?8Kh8JSYItVgG<8!xOtVZ3yd4dYMyX+#Y>TtX-z!nEX?f?QEX6hJd$q+F7N1_`sJ({?J<=4iyh(cYld04pf#jr!>eC)G@LVQ7a@ znz;SLSVe=PGMf>)jmGo((xk(=ld!gj91#Nh+~5dA3|Z18f#sDE1v4xdSdQiN`R!8W ze$Yi_KWFE=>H-^Xof$<)(Djd5F<38e|0Q03h|WwRByk$gaI_|9$NEBnkOR0H3Wi-( zNWiMX3pp(Sl`Td%aJF;mI-Jajz5ZcFebpKYiuC%pY#4o4yDTDxqV1w))DeS8LkNMW zk4ni|%-I@@@U#)siKG5-WMQT?ncX3GO4^=9Wo@(bYjuHS-eIU>hAaZ?^n1ZUeF*E7 zzc=x)*t~j-g6uU$FA(8XDH`~N0*jTrp|#~dB0trCMJ#GSREir*s~Si^Tgr};SXfz{ zfz0M#{JmE&r;=6zfdh_CI&3JndpOqUOHpNY?r#NP8S_vQLK|Ux2Ajo z#avSQt%_!>JOiXEF7FI(1dEg*MFUR4K`1{xZ1D~ybiPW*%%n0!!_#YUI&IV3`By;> za@FtfNx-1&s;4Novijv49k9Ye0ACu84l&4D3NWnVBw?sggvJ13EKVwhTKx{Xl28J} z924}7%97G6sgV3ff&fTN>-~+LkSQ8w;ZW6BQj(FwRIA6BQ4R!D%|DFmIDn%^SoQ5M zu~=YnwT#9Ht0BWkKpj2$yv@&?Twzr;M5(~ylSm_B)u6y)1bEd?SjDXLDws?xaT-Nm z3uKL@G`b&rTc#%P{snpK%6_obU+c_#jMqAkVru2@w> z$@FWCSY9P--e4ZEx#e0Un)`US_3|`EqBCN+>Y2;OYBYIvl$EZQeT{CT02xG({n+L-K68sgh@+ z)Tt(Ao%#PH=98t0a~T&dr*0rHox_8u3JbN_d4$APv!`Hbj}mhi`hxX?pdlwPR_Gdk zn)n~2pc3HxVfo93)0Q<|)- z!B$XdCTBP%Je1a*!X3!r2v-6;<19&pz2#>4Ft7r$Z!$#$b`=$^e!K%iH9c zBesG$^Jo$>Qig9@_ItH!qn2&|n)U*o+CZ%8NntFlL1B0nS90KVO)}v0QS6ooL3=ns zB+>DZlhBh81mNrSGUTX2OUt<+ruGQ`FK7#HF?CbEk=p!wbj1x%Y6A+~un;npI};DN>-+TUR*K@XiiU8|bNJ7K{`J*y2(!z%N7*J_H1X#R_0}f7tnKRz{Z^lWQE<%(u0V?~^8ZdO_0R(* zLsWwgE94~HM?mtG*7r`*fh5XlPj(d?4$(;P=YY%De+y9-=2FyEHq?164qmY<= z+>QFxo6s_XVYqt7m^=gUL?JMlpRU=aNg0vsqT@}Wf-q`=L;p|DJM z%UKu>XoaYMA{J)ol}13x!rHop3{il;+yWCV40JOEC7tzeV+XG@K=^+EsGpL6-hTkZ zM+Ny`sNs+?z}N=@HTs`omLdH+le+GULy;#&+|DD zEx>d-L<%CBz*g=bF#X6(5dBI+S{o}#DHfoHW|n zQZa*J@2bCW0?X0ec-B0;sg>oZrcY-L(3<_L(0{^5Plnvo{m{-eNaO!oH1IVy`Ue#J zOSK<(K1_ifGashF{}2~je*F^+9&-URHt8Ern@}8ZRuv$M5s(Y?u#Da-@idibkjw%Q5VZDDR9~J{}=OsGD|7BeTm2t!x0JpsIhdUj~YAt zAMwr!_(At5u&|z3*G;OtrF)CzEt=J zUy4c?;7j?y03<38l8?-vD7Ia%8+<6gz+>1*{};bWVJncY@xL$+%|;rBj0|w#K)T^Y zBEF6RUR-h3G zOGr{+S-gV&8@C9vwJiTXZaROy{2SiffceR$T122yI0d1U@nK*HLioU$#yLqhTt5Z| zDx9>8Aar`hZ&n<`LueKKSNu%FUS9a z`O0ag8d6!#Qeb6|IRg+q266U(2$C50Liiiqu!0G7DZv^SLi_tWqk{s0F*p#^oZ9+D zZ2#Cm09f=$T|Y932Vp8NMKgZDi0KJbYQToI`c&1TVYt5yUF7U5g+T@ULBKimo~6bphC;?V5&@8riUg=w?EN?8 z8n@O337M9&6@S$H^A$T>v|v-jU;kQCSm5%92$bYtG)Lpn!U~- zqHt(1d{c|(tFR=6##iJakS?Wp`?FE}w^iGo34i z2IBoVSeF%h)EZRQX23ffDz2Oxu$E*q;QU`=IpE;4h6AeeGDTPmHnBDIlpRKTt=9!N zfWqF02eCVLVNs4k;|h1V2*Nh6--T94yQu2V*GV-in*y}jgpf93VeQaCc)&&0tNX!w zJM#Z9)Fjnk1gfF^52hZ$K{>=0kRfIx`{ng?LcLAufAxx98GN*5MDLTI;&E+*RTrm1`32*pD|d=frF}I`WGhxrkK?h{%kZ23pM$l zpe9Ocz+3N07%_l?6HUTQC}O}H)aizh)$5LG2t(o1k0wASkaGHEV7f+q1SK(@&m^ew z=ERmQAkTc_4+;G%_i}g(oL^?!FMEc#f5TXqBm{+zK`4<1;NpDnqwvW@rHXC)VSp@2 z2R}m4P54V4E2t8BCn1pJ1k~M>#gq>CEDDgP90ritwKOsQ2^y%OnZ3r5>$*EJ48$6u z(bk|{r1SDW)cnP6eq*7~8;RDUWGRaB!lUAfiPn6G^#2m80de-@FmXizP^}L)DOfGw zCY5bYgRLOVXIB-~K-Uu!jiN;4^Tsm>4Su@4F=-wIn|%)s6mr1ZkUEJ zw!!}+QV^EF0Pfr;#vjR*@3zBAVgZ5X@2Mp8ywB?hhMP<8W-##bZwfC~z)V+n)7Ojh&GjySCmsFqwlWm3uhY>01L(-p zL#?=>Wgfqxl7?2%L>qgO+7a8AvAl#QUPVVB`*~K!%3h;t+EA?I_8Rv`o|^YjS!HQS z#TI)K>qTAGo)T0$u)=wmc)m*K<;fDO6G#WCX=v3~3}YrHo*sJz=9#wa3mRH3okdLQ zXw|oHu>;hqflz=%Qnk3f<$0rSs7Z%RZOv%CYiKoQTE_cO)>N7hJj;<(->hFX_Zn6< zkE{fmSby{g0Vl0}ivSszSLQMPvwK>NFI?ELNY!=Qg0T*FoTR;t=LI8C%oRJkyZT@+ z9z)FGK5wu3;0$9<2RFAxiz5l@5J_h&PsmOk;d_hGuiI_ZA9+H}_gaRQzs``=HLT|N zPT-`0du`P=`jlrDNKMmQ#yI_QqB_)@W|MMeh%fH0Dz8*hEI0u|

?QWG$a^N3)-`J;(+|EaWr9S2iGnX z;m~uqh{OgZF-@Qlxg+j)5-&f$w;xQ*>5O9^Q%!@8JZ6WB8*=MADbmsJhnIf| z-1VB075d0no(2wC)#FGi0kH>98BzVwKnJi6C)8^_d8P;j*{&FC zp(i6+!B0Wi$Jh=_g2q*+z+Skn1J)F_W+r` z9g;!LtR>_Z1k2-7utZkTlFvN>ji1k<=0wO^rs!c5(UD$P{Y- zFT#<;AJ5{^OrP1Ng5iHpjOTqifE^pY88DYdqeJZfl~sx6gFpyJxB1LWT8P$;Ozls* z`8@d{RwO~HcCSls9-sWt5TGj!AUd`PRJ{(pt?e#;>}^i@7J&*^lG9+xR&IK z%UN*0$>8Y#Frl+e@&lESdkpPd!LNbco54&YTDk4@|ceG{Fl009wgHunCXz zC{Ikca!J>fl1?^xU@aWv8iCV58(b^hK~w@h_5OsMk;eGE5*GpVo477`<1m`(z^NxWwpf zmD_}oBBww41)1}>bhXsct7aT#oIeS$QF_ly{Zu3JBRda7=#SHfP>ZWcH`6xeve* zN?7u=ipU&3;gb?q$m>dA5T%2)PbZ?Pn$9#|mnvmHXz~WM7i-l4)3zXHAhWVrYKQF? z2w#Daf+rcS4@((w=)ubYaxV4? zn^+r8mi;l+_7a_uzTZWd##XLtDa^^d_WK__)AC$4bz~09dF?S3R-^yHKAZ6g&0BF| zyXEfaElz%l&^MZs@os0TIm>N+*Yx_n4P%zQj8Y!kc zGZ_$#MyOeaBj9rUrlO|Or#|I?=QtK3x8+m2FoFru%&O<93oC_iF_Xp%_a>rdVUX%Y z!sMZ3v58U#!VLJSHHf0cAOv`wRgHp!O$_g>M@vzoxT(ol`~8R<5d8#W!I{4%m^s?y z6PrUrVzGeoFDM-H#k3zC2?_r~o#gvJEA5bACTSO~n;Gsk4lPSGnz3ARcQHbhHW zDzFZoHo~Kd019i&s4biF^62bm zwz@Hy|642z;1d15%pM>@-XJStKp9lIa}(AeQ{gvqQ`{(15jS!(+$2+xH*#~-tkaRB z2P;LKxErDXRy8XTfCh69N@_tJl$nJioVuNF36n7>JA=X>lbTO*DO&s8D&>w=hP2Sw zDkV9^EI&WF$kGfDKR24-qKqO`z44U4M;&);Kg}TY28co&%d`EG`A?wGk^Fr~xAmI% zOsFg&xw_NCXc)v5-RJ;?wzEm{fFp*|weF)^7#LuYt$Q-*&C2SR6}1or zxJ@{b0411vTtHD9Pf)TjSfxp7Fb>cSC}~M8c5dwYPSPjt4C|{13Tkz}iE!oZ`r~!e zFkr6ejR-;%)T&&FGDXSE8lZ9f7Dc2~{3$hSP#R!B8`P;K2yHG%8mWc8Q8T~dmu{#uPpJfr{YubPTa0cN|L|}@A z@EKU*&cZc?V}s}i^EIu1L)7?N_D4GHpsg*mxkq$0D*=UKd=*#%&gB{$RJl?6P3nJv z#kk-9y1NerD-D}5Xi~*((+Ebk51a8B@4n(}6&EqrZR}*@Y1h-Wf^edXAS}$D&Z@N*EuDA#Dp{`SFFG@4p}J_RYZ%uC>2c3u-&wk8?C#X@f{I zGzP2VTrBP(yp$_1+3g%UbXE}YdY#TkD%QA6lk@6bZtRuuG&I0bhDUz#I=nkow_CT* z&AFi8_FR69)=W^mduqTF(U`yeS<`-NQ=mKDYZ6Y<^!#arW@e1QX7g)qpI7sog20BwBeiU z#Udk9$Y@R$vThTuPPB=JbPHb!^VfyOrtlW#A~%2K#Hugc)9?CNam`GTM{{{B$<+rh z`sOTR1nFvw&InOehQlF(1sOzAqE#DjH!iq5n)dde7G2r5!=8(EK-s@W1 zyK}A;OlK<-a9Gc!&756!XyP^}^KHJZz}h{#ZguIJ_OBy|T;*lk0AUb@C1UJ_N1)FX-~bNt*_^T+G`F`tUhKJ%nz_r?G~YgnJa@%-CXnr~L>ugv z=Rda{eJUG^YZ!_1{>rM~)YfipEbByqT-pm8)$r<|Rg6c5k74|}qU7bkc)uTu(Z&UB zdN@wdLKUCKGmvdkt^K94si*aRiy_ta?nJfuBuf{H(~(Nbcdo=~O0&X?-r@c?MxGxJ zJ{I2^INyN7Tn$mGbs^81FiRY!V||roL3pH)U+LO0=7mEMdgnB5^D}3e`K?}2!?_}s z1f!mfXj+M5d67a6!~(;EMoA}DEyZeMbPbtwQZw(LA&sZPBl=xBZ6dGd@wuMx^T|G) zKUwdOcLx)z3`?l&%R6^S*nb!%KtT0Z%c2XE&+Ki^ZNP`8|EPXO5@fndipqC%@FdLr zV%@9-l?lmo>Om6z3#;0~j3k`U>}rEQar5o;oV3}z@5tBnP;NP@(YS%^Ec0+MJ2X&% zkFkg_NhNw@Xi8y-d{UdbeWPK|T{wE*U0kG%45I$UZTj|gdA)wqhp|iaT&Ml}?Xa!( zvW%(j!}pO;oz=EVqaYy}hO@QsBv-xrPjK5`u5~M&Dax1PO!a(>PCsxe!WNW`4UOk`QW1M+)}n`7dyI=te0$3-LD!& zQ2t%#f_~uas;vDn;BpVWKh-`dwc-w=4n9}e@^zM#N=I(heJFied%JIL_5AM>LD`fR z>q--+{V~X5YXjY$Z7b(_S*ol0)0cFGfu(fX;{rjYQ<`5Yo^a&5wx1~KbYo`c$>;9> zN>hQC&1#96GZOTF<} z;!a&AGLJ4Eyp)+|ZTs7`>^1IZI~vkp7?XYn&mZ@1&*QA{U2#5)X(6xNJfLFLmYt>d zi3|kK2;OPOL>4~{wNG*(&B|aP%wQm}z~5g2q&SVOlSeCJn*b7A+QTf|{^N!TIrb}J z8=otujh2r&mN#;>2V8{Iotl@GWs{fH!7*l>LCuwv3i>TcRmG>UVgkcw?eT0Y`%kMV zgSF?s%xS++@k6TG$akHPPtns&(T@xx)Rgwtl#2cnOlnHq!@k+4kk$q>Lpyq6J60yI zANj0~ioY=5veiCHydsPhO^`-#j3IoZwcosUf-VI zZhJh*f~k|lxL39T)iPJkA{xK%xP78Ko3M>a{2nQB)@KuyIKa>x8mIQhqln0M`9WIr zSBh~*GtWH0yQ`ju4{xDv+0JXF6ywqgyV)9RIg_)CN)!qrlr+`nMJJcAUgOsK^Tpg1 zE}RYe^kIFst);>Ila{en7#G|k{@#(48uhtkBHe2E8h)LtCGC-fRN}Yhf+5{B=eU=* z+ef$0&FtRwxB;qANV=a+m-HM7SuLVgky^IjT9=JnS2A2VU4d|5pEJ*yWBKr|k`pW% z)oI|>-QIK6!FO#Nm|*y>sV)-S@AD;21EG-CT_h&g*~HIU=odaoPY_FF;#1Ha4nZD* z6`T~~$$pT(7XuB!ZHz*AkhO|}{}D8!ZrDa;xuDXQ&t1-(Zn`8RJfIwroG<9P@7D1k zuLLg35|a`7JU+1^7CN=wovp1elgN=@MvS!Fev)2TyrJBVQLp3v`&nXaa#P~8$?V`F z=PnIT-f=elnCCQKgedx(3Mg6R%FjKV2D15_i}B8LPQ+J*&xyOvyhUWj zvhigk^84b%?VtVcV^iOid8z~Q&X_~f!djvCLBnvKx3c$Nr%qY`E%JM@@Cfw*$#N3 zrTh*p9L?+aTOBvohYyb_6mg({z1@f+=jjS;H9Hgiv=PmHu8#jU^JCtaUI_!8l`=|# zHD5Grjtiy`FCLF2$@i8V;iM&zH?VF8X1wng4IXVn4kywK_ty>VC_REmnYv(ns}w7( zVwmP%ycc!HNjHnh#woDhn&oAU6otx}m)7z^dOrD`Y~&)d+a?|r znAg=`m3l9@YK8JNSd^1>Jf}=Neuoj_*g`ta5b9{X#fxiY^JFAU+GVtcsxcK?ITb~0 zo3brq6MsBVyY$ymCI^&7To#9vhIzuc$=T&}vC$;I5CiMs@Jtd4L1#Ef#1ZC1sR83{ z{ps5+`=Q8cVIz#|q0t^zKCVjYF(~I-9wmuv;GyuUgFBuTRQ2z!m|q<0<{QD66E9_u zuVUe}&63aLIyI$lg-#MpJXeOZ(R%YFXBNvCnYGFe6o0H%Mr95Bw^qIB@wemle3g+e ze$~-p1Sj&Pl!3LYNj9W;lp!QH4B%ARtXxpq^Wf#pRXx{HUzmzkm5j2;Top2d^>;HP z_!+{vYS%^g%)Cyp9AydHuI{d`szUJeUOi-048RUFL?6gA_MwtoQ)(F@X7Tq%Pm>v6 zTYvdO`n+WmheA8y64J{zvSF&i{Ln|J8|FAE>OXuzZ7xwsIwF`o?w{JQH{9( z(ov6D9&DOg1*MLVU-7qcFGowy8NE}p#pc_FyW&&lx|hS^7{fQ*;ew3i7-$iel}apb zWfh5Wrxj_5#+wpC6MRvA8wwxVo+Q>Mnu?oh(s)p!Ps^8E%Dp*=y%eY_o@pCP9)mVM zYnQ|tco*4Rp$Ih(57lQ}8D^E&Cr@+6%VQ}&6|g>= znnDhJH-i+^AnHhsCR(JZZo9_)5%K0PQ%FuOiNV;d&X3v-)v6htRLW#R3i0 zycu80&!FOMy=_KN%W?njs)eWAv+ z-C$Y}+&HP+92?HCQ28xdhqvKvA2SB?AQ+v#ZQeIO_hoaw)5avLy3RJ2EFTqk=}Er zl`~AZw#mSgsVUArS>(;Xuv6MnC+0?{dg^y^C;<{#4&N5%ZY^9?dcE8JIud0S*Hn{j z4k|$I&W237Y&vXpTnOMc02a;w)1)llbgckn`!7V5i53V2G4LlD@ZkhaP2xYx}5IVruJ#-b&R64+m1v|bR1{RC_TxTk4 z)yuKpy}aZw*3&3)n7P{2K*QmTo3xh?WnbK*3X)XC<2vf;GV~Rz>~zUH za>$SF#(@ed|4Zg@r&eALQ8)1l-q3!LiH{EVtD6DS9E-lm{zF)6^SGWC@=8vP#A=0~ zWyQd>QEGm{x)QcegZXY>c&>Ib=c2nsK7@#YPlvNa_}p$)HF5!?H{*0){QI=-0BlrE zYFMl0A}50n(>Jig)}|tqz0=Uk9GXO?YAxh}c$nFJroKP}PBw9g1uZygl;L%u1#NOE zarKS^n?!Qqr$ZmUbF4I_o7mVP@AeC;=GbcR)cT?db>lPj&0YoeV-e_EvQ-sMfgrsl{0Fjpkaf(kCz zO&A?uf#(zz{OkDSHa^vG>?~GN5Y?!XlsxOgV%GetigFuhmRrwlumbz--#O6K(R2Dh{$|3E zFwVsOx#C(X0krL5(7_(*6DnLtk+O3@*vrD+& z?U2U}gl70=a|P!0%&DP%#okS9@Lxhk`4n)0Tk`c{4&E5tW&FDSY2M!GX0*b_ff`~`_Hw5zGBCodmHUl$qQ4OD_M=xNM^@jSXCY~kiw!c&g;dS$x z?cF(65K28<7-S&(#2=)sK@55B+i4tK*Q*=`r7estqYs)Rtwi_BA(`8 z^dlw9pvv~X)}u0-h3^VML>lTvjg)u8?iPSW6}alq>qo*IGXR>A~jE>%w8c_Ej+J`=g^(kt+VyJPqdBq2aAI(pn{|r&YmkE#45Km;NDyw!gN~Yxge_@Wgr`I zURY)K_sTdquxA7lk}%qT@(Nzw21E?4{aW;6Bn|NDxv&g)u&A_oR8QM2H7_;bI&SFZ>b`X_s)jR($e)^Z|&L5?!zUv)QpT4Q=-{pvKl5e!gx|W$ z>~_tQKgAh9W5DV7Jni^(?Ui?^Rdsm06c(b0k5Lx~DK=0&?STG92mmM1fXNcY3@Y8t z3_)2*{psztvW|2QnvIjr{1DtVAOQ)^@(|J`1SigKTFLD19gRIYdW1K#GDvh#UBCbZ(*|H_(XpQ4tzm{F!gnoY1G&RV?2s}RJ{jt=k>1G5W|c@kcvzO z^`U2ZSXy0}|BJ=Wos0@}juV%(nk|J4B^^l?0-FaY$}n?IgGa#kfh+_y-ecF9Qs|bw zf^Fs`c@%eVK zyOv&uQ7>C#MqW_6B%?81w0=iA~~FS-Tw7>F)o=NV%7MRPBwAwqE&J}Lm`RF z@`MuG&0{03by-}DeqMycjIIqNP$DUSp10?0!$wL*|Mc)L10NzjU;gq}b5@k6`5#U5 z2@9|DSfED8{SZC1^`<1FW_5-D7QEB>@Ek2&DL_M^@q`j!M zjU($+tNF!&ihxwb=j-`Du83p-KAIwSYz$gUhPmAcE3tGL_SWG)#x)DSO)ZY4T~4!o zv1?06@r04OsC$0c=#JKPSDkiAEZTOj0L>P=!lV%YYu?W&b-M9e*Z+uc(^dP0?Evix zcHGO?Lz;t8$Wva;ch(v~VDTJOiDf29XE|)$>g}N2>#a?(pCm~%M)$9(j!Y@lFB#7e%zMpzzK;Kc^S6!Ekup`) z4IcgdEflRK&yivaUbHS+iqeLYJCOlH{p)!|Yk||!kAgE`Z(w)M2%dWyRlg`hn z!*jF3AfwU(u}Z;^rawCEk?wRGKX-Fv`ubRL!#(F*vFxGs<$2qxFZ%f)&O*G<`jJSo7LZM%Nn|OiyFrvUnA@IAph8S!qGSLL0%T#&7vVD z%PZ=yw8NF4&0#Y=!*ym4c@dOB5jp3g^;6rn6M~5eN1~6pO7A_hvrM#~nY3HS3>5iX z|L{jkf27~E3}QcaY~KV+za96VQ$`7hGaD!fYS$Zi3zt$E`So+@^r+27OJxqH}Mj&}%M z8LT6JR=`r4vEeu(QULe%8mkfQl)8Ar@^Nr~1B6Y>Dl_174VP^B@jd?duwe>BQ+)6< zKHn`$e$F((?isbSgfyoR3HNpP+(!H}-?E6S`3TWx!xE}xL^cA=PsiMJIZb7KJ%o=O z`+G>IucMevSRt)Cs}jtAY>nwijnX$71)^aOQ0l{1xx-~rzH#(^IyL%oVn<+@x~n$! zIg*=tNjLQg+Bvw^(d4<-^Gh~ybQPP#>OPCBC;PqS`IF7v4SV+=HWFM0qld?;wPZDp zrGTXE%4ZI-5~3>0EyYywZ_2$hcK(?Wk**ilKe-)cY&n^D@Uog1;b+CpeMr`KBlz{1%k#c5jWKxJ~~S z<-1A#J(?Wn8FZXag@HapA-m61YIU|k(Qh$GS+JUNE-84XbJ|07`L*FE|87H9{)J8| ze(BRE%U=YKc(BVo%`u0oyuY!+=rWj*^Q|sdm`Efgu`<(=$_(UFpvkJW zTUA7TBOUd0PGQz%13;f_&<%a0q!`gnp`T= z2gEUOkr=z?;($N8nO?!Yncc1|$MvvDnC0U-H*ua$6pzG*Fa6<3H;yCj%M@6<8-N`z z_=`90Y^el;tH*$xW?dm{7bPRPl}jOK1E&0INXtMe=4MVSKQGbgZU)x+5;eqe;7Tj% zG=eX2rf2^3cc{$o1P6NS9L2*l3RW@b#3`$xw+*L6cLXc(&&?6BRw`$*xhK4=!IafT zqG56iR!^-d>K5 z&z_wDH`nQDskumLy!Ap26k$;!1hy;2XyNS9r@M&RA@-T~dEFx8p`!r@Wdl)BNUC7D z_sy7}B>=n?*0SE+LpG(3&}?lbwZ<=c)7(RWj)p4z2+ZxPYO8kE4cbzNid>cvh}lC9 zRt&bozb2QI(YCJ>M@!|loo~pEE#zZZ46p>V$EF>52Xl+qEb^s{qxYrEMUo7rbjqnl ziw<_({CINL=h@*K3*1oiO*Kl%S%sFfbHm)ks0Gw=9VYErEOR{JPi&Z}_w|XRe_ca* zI!}gwxlJ`&TG>f8^ZOQrVXmk;G%Cg$>k84K%ZoRXz&l<$b|AU!nI=PyFQ37>C@@!z zmT>PEmgY(GmAtDpMBGOAGLQFRmWNKvj4)x%?~oRb6Zwxr1{cq9;wV_%qD+xmBuXvy zK8@E=h$^-W*8}GtC$NK1J>tn!p}4*>WwlJuV?sj;^wNA6n$}Tx^MvfP{FuzS)z~f4 z?}k20+>G+6Q%X~$$)CL;23em7q+~Xq>B+QHuh62;0p0|KxO7vCOxlo^42gXF4q9_g zKtPj7Ci-4_i33^8tCEP`tN1H_S)RPR<+Nd`%57Q#&Ul@~nHs|FJ(DHoA*$NL$lOiH zVQJ}IJV%abV1@l$D4ndvnI*dM+I&2WOsAVl7zE`vURqOsG(qCoufe*z)FI1%0wbUX zU4PiX$jo)#>lzh9<{KFlL*;)rD2C4W-?(P^38M5{r}U%4dx=n*N*LThn5i4wL7JHx z+(DVS8r(?`g`WT1vRIEEWVaMRZfX_DCRFlKdpDK|0IB-i5he2C>>FW;`@7%IlJGZ_ z|JVLvBjtg9sK_K%5M~h&<>jXwHL;mv&7_<=e9CZxbg*F!%2amOo|@N7K!2;r}`ku4to}X#lf5A-eRNOpzL=S6pA)qG+ zU&S9rpN^%|4pb#xEk4gA;g3%RBz}92EE}6Wy1Z>5^6@nZ#zSJ%yMwRiBsCFuHE6tC z)xOuiDmjThUS8*H?zmjVK415Gba~mhTOBz*Uuk!De|~do^*(!izkYvXdOw#~dwyB? zOhqN|Y~HZevGQhcJv4K38HfG$v@ZY`>s#r*S=;*U$}i)!=JmC1hN*vj7dmyF=T+F# z^r>qPyr73lj{jvlW2N;inDcE@yW%f0zsJ*l2G8S8#G1#pefha;!)w`$fSP$f>C4?= zMlstqxX=ELJiqhR)Z-}f`%!_wYf|fD?_maqD$e=K;f%fa(~hyg+Ym#?QGxx7jdjQC z>|rVqpZi;|{cD?k!{h3Vz{Adv#9q(wWrw|eI?rnkat1Hw{NUHixtR)C=pToM-FZyQIGm!dYy*F=CXSyDG@Cw#{ zBlWL)z1hw#m`!>Ih#mSFN03)x*R8AjUR+-e9nU+6${UriUPuR+k1D@W8H*`c_ z+}Ekg#yI8eMO6o++kKw$&eV;UJ{NEj1fIN#6Y;(x6X1?KF3ohDOg{8PXS`*KY>NoE zJe{v1fz@GMr503hs^T%dt{f8a-@m-yzBdW^zJ*hD$o9wietX@E_PKMM>DQ1F#?e*IzDg0f}1V>E}oU94jz1@Rm`p;X;J1e7R*wx#N00r;SK3RdU@M76T^zvt8PNK-m{=qMfM9WiSQIP^f zWgGKuBl(bh6TbU5)(q)kye^;}3tLiW#u=A$Sn_CiW1Dnj{T|WcuVYvf(Jw29=M8S{ z_vZ2)Q(KQ~8QibU9Lsq>5uO5Pm^hu<`}XBKB#(yX^NZ}+0#wj)P4-wwx!+8wsH zDtsPX?O)y^!-?K^^nIT8*56hq>~~JNE>^sb%kX?2YVeGyZu{lm4jVXno&1>%-=ph@O1I8K@gu;CH(6OKst);9Iddsc3t>WofzC zx;>>_3QSTTVtlzqPH#Qmd)S_di`%#Bx1Tt@X-bycaXU+T`^CQZ;@j1J8}r)L*52!L1#)V%@5xT!!}U|X?iq^jdi8b3GXC=8dg%bR%iHx>)t~n=4=>Aod-egBw}!G&wOf15DnM5g!>gh)s=kO~y51G^(YCidg13y2XKnUSO)Rf7rmi;(KkMsPFSq$P z-ydx@oa@=G)!;9UtqUaXO_M+PUGm=rukIgj%QNZvQ{5#=Ux>J5VLl@dZ0SS^Jltpm zjWo8~$no32hHQHsmJ3`C+kM8QU1mu0ad_zqH)p(&f6QQ9myg=l=Uvp#0J{A^DTxes z$b#BmY(Kt6Z*xcU(!DudYyF0EJ3GLTfxz!g&5p^7E7s1cqCv$<2LbD{mtlMlUBzlsO%vZ1^!+KE=Z0qz zS;>&PfX@|b#>wjQ+3E9r1*5$^V?T8N>DwxG>iH_~b;tYSJO9Hvu)FbP+w$G9z^4oW zjurK_m#MvZXt}kTRWGL(-@jfjO>y^Y_|MkQRQBl&zQcPWcN3M|RlY8%*rioxdaGW2 zNcXA8%L3{^Rr-pfYNO-H`wab^w(v|9zd7{xgBwNKvraVh7LL)z;k}-`&LA-u(%bx+^ChkC)}O9#8A_^j?iwf~#J0dmVRO z$4kt5tu$~M+2{S+G5cuDHm95p{EYWUR6RZ0cC%n(hlV%%wcIL=nm*gN75dTh8|Rj% z=hTVF_g1(1$Lv?fMKAZ4$f5)J0X%)%H=6+U)<}CmH<7Jc+%9D_k143M)^F{~ z-_!C1>GRS)ak}y-CZl~9Pf;}IL}*K^E*7r--I|#={V^uqXs!%oWXQ*bT^4foe_Ca7 zAt<|5`@q?Gb$V3r_&K3#^wmpg&T`-8<)1)uHPs6P^f67}rR+`F(QeJW4@#6jVa5mL$2t(l8 z`Z8-(m9qDH6VMd!gRp9r#ZmDzPVs00IE!M{_1`_Hi5@z=`5u$(p8{*Co4Kly4wRFR zXqbf3o_j|LgCiSrv-lu}jv`GhS8F*@B#+7Tx6DvB$GxAuqNvMkXs91k zr)J(5>6J`IjjO4dXHhIR_KAB6Ly&UuQcA5hcW@>Y#VZWc45Av=+t{n>q~uxK^2%nF z7d-?eMn3B)XLJ!q$qRG-CH2lMZk3S0V<`!T-kb=CW==~r-Moyp(7PX}1zDMOstJ=y zXSM%o+Uy2qcg=#)D0{`a!um$2`k@B@yy@XpD80iNiLJm6dF{RS_9rRy_d6>lOL5b= z)4sqY`U#VxxKv7#?_Z4@Vhn4C6Iu~xe%Se`1vol#&MtN_a^@d#PAesmtzt&X;To*5 zAYc0qRwjJGpZqR4ZB}qW6uvC47K(W-OMRFW4&{JF)&vNDmTJ*1ZQ~xy^PVE{h1C^v zkUk@c;pO)ib(Je7BA&iu>EjzSOw-IoEvWoep~7UNK^8h~fpUZ9$I%SOVbNw>%eFBP z8IUY3%gbT@CYxk2XFhO|_GQ#nT;`r$=<{%p(u}RIjFH=4OjLE&qR?1bwRXDpk%Cb( znFnet4)k@LAj#XBrz<7*tT>w}VS=l`d7kKveD2KpL*wFLkGQ{dz!SKp4QSceek>?~w9kj3L; z;L&MG_{2m~QZyxyoI%GXCc}~m@e*?h$F%#fuU}V~gA)Rd9CQjk{V3$YX-8p?chHMI zoN1Rs=)9v42S>+vM~Yxu`vK_HV6flM8pemz5&nS8^`G8(LXw-7E!)+z1wg5qeaO2tq+iQ9u&Jz zE)MnP-o3oHTmUCDV*z&wk@MKZ5m0+lg+<*uhe)Qk$4N zd);mux5s9uU#IM`8Zf2B`0n*0?mt-=A#QOy@l?`%n3oqB8g766&J~~Iws?~W&iS2V z`JRuP-1qXXoGxl0*A?A5mtswi=*(jT-U`lbydacq_m0mIY;x=c+xw6H8nK%$EAhX8 z#gAS&$ZbwaX;y44O)x6@jqPzVu6+Idf8r#{ibg>x^in}O_jGM}_4YuZuhF_K#{aA7 z%V6_F3n-B?$hJX=)HUc3;AQKM)>N3DoDu^|B@^QfeY_#d%|H_SBpvKauNA=R(-%T@~1HcQCVeBigwGLqEM1moW%l@UubGtF{6K>ldY1c);%bqy?1x@-QafVCC=No|V zkRanVRbR897)D|E`0L#0lOQ&5qgxCDeb;Z$jo1ini|YX3uQ+1>2An!Z_3{i(qNU>x ookF;TC8QoheRIm=5YZRm6B|S$#-{nfJ?SKJsJoxfq3_-P3ur!3R{#J2 literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt b/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt new file mode 100644 index 0000000..59610cb --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt @@ -0,0 +1,152 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:19:06 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +| Design : lab0_wrapper +| Device : xc7z010clg400-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+-------+ +| Total On-Chip Power (W) | 0.113 | +| Dynamic (W) | 0.009 | +| Device Static (W) | 0.103 | +| Effective TJA (C/W) | 11.5 | +| Max Ambient (C) | 83.7 | +| Junction Temperature (C) | 26.3 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+-------+ + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | <0.001 | 3 | --- | --- | +| Slice Logic | <0.001 | 21 | --- | --- | +| LUT as Logic | <0.001 | 8 | 17600 | 0.05 | +| Register | <0.001 | 9 | 35200 | 0.03 | +| Others | 0.000 | 4 | --- | --- | +| Signals | <0.001 | 24 | --- | --- | +| I/O | 0.008 | 13 | 100 | 13.00 | +| Static Power | 0.103 | | | | +| Total | 0.113 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 1.000 | 0.005 | 0.001 | 0.004 | +| Vccaux | 1.800 | 0.011 | 0.000 | 0.011 | +| Vcco33 | 3.300 | 0.003 | 0.002 | 0.001 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccpint | 1.000 | 0.016 | 0.000 | 0.016 | +| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | +| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | +| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 11.5 | +| Airflow (LFM) | 250 | +| Heat Sink | none | +| ThetaSA (C/W) | 0.0 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 8to11 (8 to 11 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------------+--------+-----------------+ +| sys_clk_pin | clk | 8.0 | ++-------------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++--------------+-----------+ +| Name | Power (W) | ++--------------+-----------+ +| lab0_wrapper | 0.009 | +| opA_mem | <0.001 | +| opB_mem | <0.001 | +| src_sel | <0.001 | ++--------------+-----------+ + + diff --git a/lab0.runs/impl_1/lab0_wrapper_power_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..782e11a05a6bcd1a615938a05dc78e630bfbc20a GIT binary patch literal 14388 zcmeHOYiuLc6`rvZXMB@v%~3 zy=y&wCqBA-uO^Gd4YDo~bl?U$vE^?3rOD#e23bMUbikWi$|CM_2R6sOmNbDM zQi5CD`SMFoZXv8WEhV^ie(T)1EoA$M9e}}Up;)Q{oi#n?lyE}pkiXp?@*51qV!;_8 zeB|KFAmD4ln|ebR&Sopq8jv-`E7m%l52I&ZxMQC|Yb+LN4Z!Jq9PK&KYZS&}L0EHj zIv&@wc;vy6y#`<`7Gyt57ywR%qEV%S9@jc16-vZXd<7;N#DxmPHNC^ph$c&Z_dTPH zSj_7^N8S8sVpCE}SvjipqyL__uj>|ChWGCwlS(SO6v@U?No9>D+lXyAo{F5#sH2)9 z+e!0uJQk6;@zg>rqESy^$c-EV=qCelW1 z!R(@}ARx`Xi4{%Lv4vzft~EiEW@0_uA9O?^i(J+9fQ8JEwv~wQR$kyE@dXdh_X#YC zq!I~PiNwP3j;8EtIu;4XyRIYzvXrZj_w@-NQm~_=;TFNk_r3G-%|qe{d3Zo#1{n61 zR*YS+etod>z4^z6y4}&&2K)Yfr!*+O{L+p==>vMGA(=|bM^J2%xWqzQU~0%$I29KAP#cme9vp zdbY!o9_yk9_gI6A2@&(1+Bu_-oL`)V7S|3O!(UyyNpog36Nf9`7~ zru_GbyM2x(fc`VuTgH_8-aqSeSU`x;*CBTvI<{bp81CC2t{?F$C|u^(M)&6L20MWS_bkl>FhEx%R-$Cvh!VR+hO#0j7qW|l98RW}vfN}WnIX-=rEI=HhGNl}qTOga9OTA>2M-T( z)1y-(qtk#MV*HR-l70$|B&ylRspXnv`k#IJwR*}ncP@~E4TpT32dx-6>i1dq=nxxF5V&>lkb_-&a; z5o>UMUcF$ZW@bVY!HH4Qp#E+#q(hDn!6iC*{PGY+a(di8k>`nZdIVbGwHth8SHf0u zTJw2S_8!Zo#t79JV;4lH*9FF0oHe|-h1Dh2wA;&m81p;aRXw!$wCl_*0v>wESpP z@4(oj#770u7qG(EWAAA$$wYR+rRP4(sA^s0)JuI71EfNIYmhH`5dZU`Fx^>*vBSAvykaUT@W%Kd#-c}_zsbQP+e53h2HQV;Be;5eZ;&K$T?WORI%juJN9~hxds2AVqg3DXl z>4HxZYv~)?>IXUBK;pd=zVSOnn4hKUeFI~vWI(utG6TXqHq_e9;zsV?y;qAHNWz!G zH(sYCihe<;I&M50eZ%vt zoijJ8`!A-sF`pGT-jyyeuWmA#Tg~t3OKvHE+raH`$X4b<=j#hscqE4(3rDLTt)$mk z)H@cqTlj!1`};Pgkv-o=8r1EwqKzrzj+N;qm93jQ6kAwiZz5JW8z|oEX&lU~CL_cy zdYvwx-^II$-R*PYb_dVrj0Z-;HTGD=Gp}Cb3tW*(tDC|7FujI?u&s7dI2D3@xojvF z5;kqYBRNI4D2Tws^{t}P7UDsx+Jd@;UTDExX$xLh8wsLUDBr@!4$78b|IC)b@&4pC1@h&WZD)rS2TQ@eG{ z+2P?FAHXwtWB~YMVdvmn^+xmarQFm_Y-8bMYAH)vv=jeaDaVh9`T~G@kk$^!I_FRs z1ab`E7VO^};K=W<4Ww;Mk&^3tu3Q{1-Oz9R(~efnU-Mc@tUY*KWnrW#E92@MBA zf>YW;>whl3<_{E4PHgO=ny?*=r{==(Twvz+v(;g_|Hb#D0IS=ePJt?yGj$}M7HfPL zBg`0OS|nqnK}54J;(`A!9kq#P_Ih9`CbSMaAHI#RWN0B^*nZ<^=>B(?+oZsDJ@Xhy ztBPzwNh?Nv=$gO|6Q$^lDPT3$a ztY-_fjnFRq-rCPMMt1uGB(toNDOeM*Gv;IpNYx`xLNKdO3i1IZ<{8-cfupqTQ2H_)P^9!1C#u#ht${uL{F$I6}Fzz%)z zhoezh>5qgJWmTwJ+h?9Ws%oo^BvspLLy2w=8bb+szFL1K#s=M5g*J7ttI!tXn+nkB^_ToL$!9Iju6_W!;%ZH{r z2N6OAeIH>sB@xN2ooU9Fr%gGXeS;Fjj`ypKm|S z^s@-PE=D=X!4%{~D(laJ(K#@f1(OokIS=|5z*y;DR#_Qdh1^$0^N@qscnEBj5zQ493%oix@9;RC$+aj_UZ!lmJ-`D7VyZ!azR zkMXaE*+TdPLt%Fn1fSsQU&FUEz(5_jvb3R$X}6#rsQCu&PbuTpjMoY2NP* z?$&#F{F;z+7d5K03X{{cxI>A%pxGpMdW`T2(M_)37OQLO(XBOnW^Siqa!|qSnkUDu z$qy|i1hcEe`{z+z?LhZ4PR&t+dDcpd)BiP93!$23{yynaNA4oAxk1Iomp{Mq6(1?l XI@4t%d2SARmK|<*aw)mp{(;eNp&aOg literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_route_status.pb b/lab0.runs/impl_1/lab0_wrapper_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..ce33660a738be8487ad2ece8ded11f5d136c6901 GIT binary patch literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgQU~~c?JLq;0)3L literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_route_status.rpt b/lab0.runs/impl_1/lab0_wrapper_route_status.rpt new file mode 100644 index 0000000..938193c --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 42 : + # of nets not needing routing.......... : 16 : + # of internally routed nets........ : 16 : + # of routable nets..................... : 26 : + # of fully routed nets............. : 26 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/lab0.runs/impl_1/lab0_wrapper_routed.dcp b/lab0.runs/impl_1/lab0_wrapper_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..874c4fc8396c79bfb59c48f9d02296c10c7fa1e6 GIT binary patch literal 223651 zcmZsCQ;=xUvSiz~`?PJ_wr$(CZTqxs+qP}n)|@vn^KoyykNU`55j$e%jGj+k4eaer9QB->T?}bm&5f;7;uNF? z=}|&oq$}bnwMZkh2a1XS^H`+o%iilfXzh6yJM=IbCHjlS%cbsONBa5v( zYu&sAU*71mc8b<{cADp3++$Lzk*K2uJb-{CQImg_T?V34b>HwR3&z`hEX?h0tO+3k&q|C6AN}$qc zq(}$>OktBrwb(|``3W zs4OTl*8k0yA*mxEsm3QErxDdV@zh@@-vYzle(Gc8Eb{RNGlRcS@8G$t>}wf}im2=~ z``cR7=f4R+6Oh-sC#D4?0y+`Inri_Cf_)UkRVH1FvFaqR|CU`(HVUC+&wcrT`{v2l z9s&6|QmruERx4FF=$QdDPPLyZqU zurl_8Pcb@7zc#5VoY3j0kAr!@EgK5xdRc4*g(!oka!x-6%{UBvVb5tVxUzB=9PUeY zX#fFF6B3Li1`JqYMdufZLE!MHbf_$CI^NgMOXMK6^HbmWxXJfBZOHrq`=6gRSPeoi z1QGy%_urL3`mb9->tt?VZ<3;J6|JR)_|onhQ(Jp|c<8f51Iuo+XuH6y;l(x{nkvt? zO6qHEW7m)szhlj6+u)o<+9|cjA8@SGERpXQ{{~3BzYakOQYKgc84~*Q_s_GOpGpjn zVgg~&0-p*oF}V}o>xXU<{x-SBthd=o7L$|djKhoa)amCcYKxhxgT?(&(z)oXbBB-j zk1?B0wq6b&WADOtCM~z`!_>n;{bA+#mAWZmj+Uk*vmc|G{s7XjHklc!*0N7=XbPRoaNj6l zwf43qJ8!RhAdtaGwEU?W+DV+N(cgOUdLEiIQ3bES#1L^*+U5*!`h5&(6p=4%XL4eihy0WiT9jcN`R z8e)PG!8{$XwNMCwHn{)coXkOuyy7P!+Xzgtmj!SpTeS_;@4NgRh9;OvtU8|OFsb9tmt7L z3f#&dG(JEGiQlhSwoPQzj2X145saTPmskh5x{L-QxL-JS4#+zK(<*|qAnmp=OQuhB z3z#CpgdfIbzh1mk&ME3n-xh_hw!oRz{=2;rWS}T+v*0}rz-08No|_--hOV- z7FW!jLwrxLHj+NtrY-6Y($d)P>r~GOV?@0?In{74FjiNDj{c-k^opRajsDb_n zUCJTfXxZsnw!D}M0$YCQ(TnLOC5%f=7#nv@lJv+ zQKCQSYlu=cKhcU=k_CE}0?h=Z>}gEIV_CuEl9&8~Kt&4VqKSM||}|kF3C?*LND$Fhr9^9qS@R8qpl0 zVxg=-D5hY;MviLpoJ1cl8qJX=P5q!H5zwcGeakxKl!$&R=p|F*@i@ekgoPRioVbM> z1{|Ybr%Kd7sYaW8*8a8Ne*B+PJL#U4DVKpe`o}|PBmZH5`Kg(8=}rH^SVd<29o2?5 z$01cxd5yXh3FTNQ6K*Pqj@UquxHTv;c2lbCy|!xU78iA6g8U`ex9EX{O@FbF1}8xT zIu0l#K2s_UXFh^0H`%4aJ9cO(2SzZmVc@KB*#a*Z*=Oc1r__+t?f#_Leo48(W|jQw zu`zGnsD#XgS%0!%LKtNGOc9gQ6{ir@MSrq;A9G0(P&0dBVK$9n%&v3!rF|!AVl8sW zyxV5`kl6zW?hv=si&nH)f$fhrpKZtK&2Efzju_`wDLvW%4tdr`;4S>7E)ZB|}o8~um=A`p~@B&Zi zdc2t`0{Eb7yPgkTA-2VLNuB^bgXTa#fbvB=`MzQE#m*~(bJ6{F37<>^^@vL7K;-!R zMA(7f%4VO6BWTP4G7-E5aC>tR?~^8j#We`9-dg%MHo5OEgHzAlAY|slEJ9@GDuaJg z=NLZJ1htrlULPQAb|}kcQIoyL{uAw{a`-vA z-p&njo)6-ErvoA*zNEl`*ur&?|Lp=W?vWo@h1Iu-u&-;Q@qy9h7QyM;f!g& zH6-|rgs?lez8j@Tpl&u;4+!bNEvO1?2MkXg-iYVuiy{K=m=EdgcUT_dtwr>wo)%gOyV&nr zl=m>p+5oycx>Z3h(7}<=fi%Ce6uQS=iJ`(grzya$1rjRY8(XLX4JA-ILGrf(1FLEJ zCh<^t{hZ?KS^rf&^TpRyajpOl?Sp=Q$|Cq^$V3!@vc>eLlxAGMZ8)vTPe`#CC@L!_ zG_D3uzPHDFNUb4nsq#%G!XR<=vS@Cji)}7K5RHoooiko$qu8)=)*s~4MoZD6DYChx z;wi7~=mMPZNDBs7ibq>kBgGRQ%aIgV*W3*G>@`eM6~>p;4COEP7t%w<9Yun+4`w_q z2-@!Vg--^D*xpWxB4Nom1Dzo{@Ty^Cs!C@45=1Qx-8$6{sZBYJDAiD~>1=SV8@xgS zCcYviY3(<|mjxc{*pB_^!2YgLSTj@{hFjOcL#UN$83-f9;a?xz#4?Xs>=q%c6IC{A zr_m`I+qIL}tSs>7p$UuI7UUBh+NF}eC%CjrJE99+iy<3bQ(NX|bGq8st~1$J3;g`A z3b2Upm6?jEA4HtL#p7@6BoLFQp!@uSakMme6wYa>MMP%-j`b=9z9{Y>lJb4OUC~N7 zQF|owQll8abN83&;i2BZS=kM7CpR%2FiXsBDdi zu!fs0)ah7L4?9mhJ7(#x)+SAMvSNhs*gC=sOhlp-Ra?^!f_>V+fHnpY9ZxCkOLvV*Y<^%*OyYEDn0 zP5pHwZBp`nI$RBN^gipUdYQfo#AKQC z+nmvJICf*WPB|5RNSPVR==}uzPn`pPAaZ zqrpV)wqaL6aV{<{CGo%Qu#f?B?OeDk$VfttS?D#$f3IeKUYDax##>@ZG7>{+odGsE z8uCuhvI`4|_EUrIpTMR=S7U!M;BIdM?ZNXI+usm^E6*JRxR3sn4%E5^hUuf__(A95 zrR}@(c16XR&5x$!JXrE*@7B7?zcXalto8>ssmq=A4|}rr3gsT+{W;}%fQ#1>vjx8H ze9Cp(e_+L#Q8=G`GGNzDmCraNPawVRQVf}hNqzLFQ!iZD|8{N>eS6&QKf?R=?d8AW z?b!{x_=K+slFb7CDBDh~Sbj#{`1Xx~A3b_};8ut4Ej)BBJ^lm3mrZM{U}4vg4er&p zzfF%L^TMgF9zR2&8S@)46u6I2@a&u(f&D=1wU%!tERl3 z-^ICjX^+91Kkk098?yNB%;N)5ccajzPRmbLZF||}GjtQkWs1dJAX1krqh{qQTHH}BQ0l`FUR1Z`Tn{&p zwNK9fg>+*o4gM_e!3`UP=wo~9Xz$9QFPCP#(IDNKg%AOEMhD3P=JW6&|EgPDBiAvF z4&svtj>W&!j{^i^YxdftX%5{ESL@UJc5VA zVz~0!11^4wre66741R~mg(Rjha!O`x$7dX8o{Yk#n~%3V9!>q7;dBM~)q-=qCOkSj z%Hla*#JYh!ktjXV$vEi8d2|fnk#}O5s4``Q0A-IEXS`A2<9OK5l3VfL8|`7Whz0=! z>z}kR!RiLrRvrW$o!V|)>O(TZpopQg_nqBIqdLORr~8A8>jyml`P8ukVr0R{f>Xpi8)A8G(Uu92E|p7e z!a=MB!PO?12YcUAkzGuI*)KT7p{RpPSdjuIK^aPjIAwq$QLycCJ)XN2sl)wbQGylE z@q8VRYmyCBQ999q;vscN{Ey~K6D-dn-cfS^5PflxCM>cw#WDFsDuRGTwi=tUGfewv z(k{+}3P40I_mbjfr@mD62BBc+(=at!7#m}h4U|{)nbv$$A!ad79at9SX^7X5%QW9VserMe;D5X^wlwR{jf#xb@+z#SxblyQ*UB2bKd0wX6TtTdW?{(i+h ziRe;Ncg>*-9BUUE>`JMXIDItZcM2(BMy_UNV-!n^vdN`|ctJ=$DUp{5LWzuKyTUPE z6=S_har#gpqnMsz*6^fbN)suYx$8=2*k?|*ot|!|SBtuHs~}j7Qw7F(D|pNDFxwk%hh~WQ;eeOgfIw9;VT}IOG%B8|1#s#xbt`ZG(6pt;Yl23yTL`>OG-Q-g z>RW5-pEKPJT0mgu`n_Z9-GwZdK$>&OrQ@<1=Nfof^QVqLO-q+zeQVq^;*kPg8Xm6*css?;M`<;Gl<5?1yyIcv*M zmB>TO)@REW^r45=O~{li4J>AeCe{UGGjq{g!DRQ4kDh6}8a`UULt#S${4)SsQBU_F zHeI*ib_-iE2<{CyqX}2)k)3*}Ugwk!OCsPnwr03~1@^6>R zl^b7f%aFI5x04ay_Oy=H$K&R7Or?faq%l+#4Ta@(`y~wm_^bu<4i#0+e2}8b#pUxr zDIFl?J(0Bp^ME_*;>9iWN)-{O{vdNFzI3;12`lhUQvj|lY6ozD6v z?t^XRidDnS(pnCVu-%j5SfG#mCSO@l2w4PBY!(NKui-g$UI0!on>Obnm?jH1qoloi z4Op(@Lb0fOYVuI3b!08SfDCMZSF{Mvh+h0Q@LiA!llya!QXye?Cxus%psJ;Ae77HU zMJ7ZUNz`)Nfk5cLDhHLXbzH?AI}>))D1{YW_Slp|a&KH6W~GgZ5h0>6d|w%yfn~Hc zpllW`zX>v0D`GzGr+`l#j=1+(J_(9M1lm}*aJ}^u?l_}Y4^8X}v)tJHIb5=Gv|6(% zj@%!XCAzLyUg;}^eg7Po2C$6d*mQc6UozcS7cC=#Vt%As_^MD1LY*Gi@bB)cLK~~ic~8P{lLWGn8e?DoX?-u&0lhS+C~rxHA0A3)sD=IBukt>teIVE6|BtX z!?n3mR)x`{4HxBNt8lzz z1=-dHQUH5wA}<59qXM;os!9N3L?XaA($A}~LziTCxDy}&(@l31<5aEMw>vSsuUPqV z*@j`NACJ$P+!U%wPxPSrPy`W|!njA3h2&7r^c%qL&Ke)+7d%TG*h#v-f%{$w{%{Q{JlTQ56Frlsq}Bd<#fvs<(x z17;U6sLfL7B>4)+GT%VE6`i*5VsfMoX98`5THw_w?kjtms^+70U87+tr#lIf25THw zv8EG^8m7DKfCkV%)0*Ph9*cqc-hf94YBE5T%nXsU^MwMHXNk=O!rOcWPyH17xM|+{ zzkHNU@d#z`Z_TZV_>0&{&wIiTNgTsT%3@=6Le!lVQ?~4+(5jzv*qC1t_Jz+P%BJ3+ zIWao24Oj>np@WmQ6Y;b@kB`+;vksMrx}B4nVj0$ruh74F^P_3me#jyN0=;XKWLK4kEH6o9~}tPBJ&!G+QIy~b1i(`+T_7Orh6K|IuO8+bvWzp%Y z_X@%wP`#6p=-QbyQ2V6%>9PzSJ(Z)ny~(ZY`{nlz09!^JESAR8%1CO;9(igD+~==C zx$44M^t4jKO!v>*%fgQ~KwE1Tt;dqyvA79YkEZO|pkb_(nIP;bfJOcw5-e#TXO#Hg z&o3~a%pKXlWvuyM+a_Vaw9$S`&rb0x)Y8^i^y{R~F(xhLbbFZ3rp3qJrWv}8?wZ|h zZXW9#schWWy_{2g`x%M`v(xU~Z&NMHIyQ}&AfBm9TZ~vzublihsz39o*lX*he!5RH zU|;8DC_3)wI)6$^#1eZpJ#HQ69cp>snLgeYh&Qwo!_6+Sv|jPTEAcfpSHy~ z`0W_@%`-vl9&h|C^)RWnMGpubT)z-0>oZOKo1Q4tV)u#W&MBIuXUZ~4L|GdE5kdl1FLcI`QLN@>}a3y+#-4mDCs21u^+$(9&1}C0m!X)xeu3V~*5j+bYgN)3tRlxd`YtZo_ zOYXs#2#&S^o8+NAv$w*If$P=XW9YB9@gDIIZ3O!WDRZ4*W|^G6OW)hTN$6uW%|!J6 zTvLU+WX24PkLF;mn;xBJNNg0-(Us<`@`{qw&vn{aUfD<*?mpSYVA%pbX1k}Q=k=7) zM_jgFIus9y0>7iUe}E9g#^LdQ+q`Q&9H7-M#V|zl%;$|lBVST)8X~AG%g29aH3Sq@7amOp zo?#*c(1S15xGL&P(ipxecOz6X*wnTDfZ2+0hNq%CKIre1XW9U#i<%~NGisca)`jv@ zx7EJhEo^~dwMzBE48y5Xr;hZ7I(@A-NANbiAA6)YDuntS2t$jO=q(chvGQonVSI)g zR(G!o?NA`CD3!hXAZjD?Hd`Bs53@Ovi_*Fy|8&4^Wsi)By3>v;&FKZ!v|90L)HEDx zsEx~9|CqIhlFNK{!eo!)vxLGV zS}&Gvo)C0K#xuBL?HIy5;K$mvu~r(>=>Auzj3@~6Rx-ERC{PQ(X8X77nHc`T&w?x8 zc105|{2Zwztq_8>a+gDERyPY|c$oJJM&adrdLU+$>(9Fc=!l0a?)3NPq3U7XMtqAjFvwfEKE`oYow%ZR%&fwY# z5wK<;WBwWSiSsxkIA@&o1h&%}NURQZ3r*D40g;AET0$Yq{nG^#RHRqJ@D(?P2>U)c z@Y2v2o5UW8X$6=K`5}`*zsPMul^ML&jl(nBJR~0Dpl{W#Fh`_`C=}kpMmUd>xAX#0Ks8Eu+jZ?C0ZC7M(bba}w6Lbcst*s%ld-*X-EB(eP5vn7)o>0^&I5J-(3RYXNw60ZV?coBVRiHzH~eL|+Jr_sSMEN;Kqm!ZfL*m| zwEd1J^#tkSfi)|ZItJ0U`1H>aKkE{jdS{Hh?6zkCzZLVYC}n8{ubO>{D7V(SB-8kJ zYdGw~507bQwqMjX>+Ya4>yeINue5+_*YtP7jAV)j-JW(S#2C$;9)E{yLLKxszl(`) zjAstv(x7)MkKK54*VZ-Qz$7elxp>~$dJ8NI_JDM}Jb{0{?NVCajec6Nd z;0yB32JW8qp-tOiwBi!wATk!$)9J|q90-t`b}IXl7xis=V7HaW3#hHWQ3D@`VqJ(L z+oFNk0?i%a==Lxb%Jbcud`*K5fGtEu0%RWjY+s*&HyVE(^zZh$54m^ zkBCKsO^8^Im6fn+dW)cGx*5iLhDLVvkK+ilk;~iW7IT9l$ zve7vhrOS@Ze#1aFtas?UScJ1?hW<886zA%RIY_8UxE7wUNtznst$Z85crZKgRU(3g zlk^ZVz06>m#G0r9*t;tU3@oj%PAZRmnbT#&5L97_%@Eb}ADUz3FoYvuYWp;z5WZdDY%ID}uXtLb6m3p{ryxsgA6 zDxN0`%WzCpj+wY*E?*lYG}Ct^E)v^IT0k=15?&J3i&#|W!&>f}bC#BLjUM_>uZM4tJs{>B!W@|JDgURuPi@l+PJ=cCDGzQ>kj zn+6x0q1+CxwRj<2L=(Vl0?Y$%uSB_lN@iJ>q+hOym+J=+jt)K%3M%Kwia=;OYF1a~|^#~~*nH|Gj z;^#)PYv0I9z{;~OrsVUGFl-o@WUV%N+_?BTBcLN>{u>qum#*NF66jn8zZPY`djWR; zWmS#e7f?ozljvVfBl1f@bm=U$c7CZ_6!;93A*l1psHVRrmy%HHI0Np@|Dlg7L0?#X zPHYt{!tqG}K05-8o5+!pw4;&h#_q(qsY5FM6i*fYPhYWpJlkI@4#?zovxw#k zI{K{rQEgqVur$Z0_yzvYEY)A(FBR24Q9DHVwrtiTtwSt$#+1=pE*!0`+ckGq**tnNB zqAknMvW;ZeN@g{Q|LFN6u9jY#T`8n)a+HbHyF_1%`PIw0TLE;h(|!N>l}DOf&#Dv8(~?+2nw{`^Qi|Tws()DhdJlsK5 z{1oXC3Mf$7B`-YzqMiO&dG~k5_Z(2PIdBf$afBVituBrkUZTMgP;GZs(d=Z%WbBC$ z3)v6S9w(dE_N=Ez9nr+Y0yd-OfOmKuhwf&$45BO&1!T? zmqs0nB2flGeITuqwlv=b^k}Jn_q=&+-)NEU_}5J3Ui}iF_tzc|G}fdP+f?c- zfftcm6RCox^!Kb18k*_yIpVC7u+ft&1ywU(2Qn_G8-vy?8 z$at)Afokk~f({ONfTcG}Bf~<)->7-EE9>AXz_B z$u9G9UQY?OGek@BnYQycN31xL(%3aHDB|sT*ri#R z-v#J^#Hmtbaq~?O5bINJ4v%|`T;lY&M^k>vaUgrHwozb&ffRRAWCvDhMY5Lwiglfs zz3-S5{cwntq??an9o1fJb>@y)F#GGGr)ll#GAj&iQAG2~C}z^{f{fG8V&Z290V-F7 z*(m!vFYK0|q^IZ#&g^RT?BAk96l;ao63O4yxT?ZNzq9%$xS2hCMI4j9kWZ66Mf$C* z-DQJ)2$Lbms^dGl4^h9vSr`|KzkyD4?N@?l)jG@Wd{j3&%W}S|Q=JtEQ?-Fw^Pmh=wgX{V`FmJWXf=R}oDja!r`o^G)UL=biE${7UM zq6L!!mCS0nmSw5c-cT)vuC*b%>D;@aC{O#yH**Gw$b{+p;0>_5{e+{&-ed{aOHLdb zU+*&n@$oxk>p-ZHKu^E%-dVgP zSGgyhG?_5eIQ9aBmT1%8>B)<|jP!s8mb#yE$NLJe1r{6(_`IKqGVOE3xocIemN-mF zg4!D=^0msA`s@QuyBDQxuMpemc9>VmaPO|MfgW+XNFl%K;#CptLB8WDge44kB2# znmk9x8K5gm%`VMzc-kV$D}3}YsMaPIp>GA-it2Q*xnot9JS$1CRif}o;7?vO+sW{j zR)c61$}>RxrbrY4@jEtA@gz<^hmiw#Ylp3 z{OlbinvORl|Dalj$M1LF(YHp-Eia2YP>}#h$MgLdxI&gS$L8x8)S(;R7zbtjtzFF3MWh0CoQIcRh*CV@SAz9P;AEzD_QuG@!e`w+ z^A*M`?k+2wz?F!!x%>%k(nz;e;0U8M7i?oOR8tbC9d)ITCh#lXUxl6Ic14jnrf{XvR2 z`Dw->2K2Np6h`LUgMNR~RKdh>gwKnVA>W#?TqZ8|#V0pmuA( zeGXfUdVEi`WKV=L`=_;5K|+KU^Q`mb)wzlZkAT ze5Wk?U?M>B?UgnoFKf%IQq&tg`t5-o2YbKjZBvFbn_mPx8JlJ! zEM7z&C{0+M^}-@LXQ7^2L(;|*3XP!!?fT@MTFu9D?#gDky83-v>Vo%EM%o5RQ*qwC zBmoNnr5zJ763v%C`jp>juJheX5%mPs7AP9OF`_X<7Es7}L(_n#`nr(E;fu|XLdQaH zT7ag4Lky%x8!((mMZ}=`kox4WgTIjC-E4*y0v~4(iqBEo^V-I{63~;ld6|!PXTDTb zE>RXsMYSYyxE&AS?xeh56{6bRnZAF9*9~;!!sAx|cA$a6xBP&Snz!gW^g&bg9&DE( zf0dIk$VNJNMJucodxRGK;Mx8p!z08*Fa6;4+@M^5W9cb<4%YHmnv@~@`JU zOr_8Q&anhq@`JxObIdH@0?r1Mcr>I2?C_Ou##~?%9{(P}NyZFR@&Zbi1Wi$i_CX8( zZJx<^NFNm>^dwFlt6kb=xI=Xq*SR`D>o1tfJBKQU@T`HI3whmNVk38prYChD=iPxn zM^_Nab(v1uE1Hq54CT8@J2HEfDW!JdJ^6qwtXz9-s3LSJ&^5ZuqxBN56d3TIFW06jn@f8V(8UD>tM=)q9jl@13qTn3`sY7%O9%I&j&T;xJEn zJE}oREiTx}Mw@8kL<7hhdc=Y%$dv-$Y%Cha-R8_q&KfDli)r)nGrcN=@Ttq6IJ`Tn z-C0!Im;LWFzr4TOfmrlTLRE>2?A;Iv4Vz}G6am;e<9T=IHPBvoNs}qJT&o=bH;+Q| zdgnNA<>$VA3kkD`H%3k-XT%o>QjRy@&5y(SSShl9?W~>Tdx=?c7M^Kva;wJOo7-0+ zr1bbr+BbADJ93prwZXvfv_vSj|$QGdD%(G{VwpORPXvO^FZa+KucjG0i&OG zxu$x**=xyYLdk~6gmGWkf|lPWp15-tzZf13u% zHZG%BVQ===yc=;B^$=7^-TVx4M}c<+rk`xuFq-MovcUaW0Xb{jR-B)7H$VAM+oe9u z$c9kMiCIJu0&54XAV@+a5}>G2AA9!&(_ZMb$WlFj9BM@$jrUXS(Hkw+KxRfqqV*u$ znbE2mr0Z{dts$zzZp9`oQ4F^Z$4vc$jI%$Iqk`S1KE>Q&T53RL36=O7_EjOGrb2^kC&}}sf-P*OHwKe8$*k_IDb>y zlSxV2X;)D@&wVC%qN%d9t_LZJj~nf#1;bSG!YpqmA08HCw+RyRM|I3mI2KqX$9X=l=Yslm6w8?)ibWkjU-PqqU58K+bcdCb?#L;ixXlS zh2(l5M#i}SKqoDC$C!v8tA@n_DCqpnHZW2Ly2<+{(=4wD!vUb8HuA{^9gZs_CNTlB-IOn)Wg+fq2fl!O>L-qry0z) zuw+erv-y-e$vsJ@a+RV~y6x(??(3ag>t*Dm{fxMoM#!u~nCe&w44^ z;hJ>7qn9QLNI&o9zUQ_6yRWc-k~#45ub<%dZ~hedzxoRQZ)B6@fJ^I;f-88S=x8 zTGuZ346pN>nX#p#cb;!mc0Ku8hLYC(f9+ODuKnb+|1$~4O#T;>(B0UmJ6p@{KoTuv zcea*1!Xw>7e3UhfU-T4^o`9I2TRSny0#`%76Sx#ov}F-p%rFSbO@?P&S4X&W@{H0zFo{dM4Ukn(}` z^l*8DeRsZ>cPIgU@%HwRI8x`)`_mhuFxZFeclww2k;zW9z3Jfrm^F ze&8hE6|aO5>$q*FnO>lsb-?8Ok_N4K*9X$kZ**Xa9|yMcHJfVL@|a;`%%U3M(`6(# z=;t5JYjLP&ifHi$NC@bZsX)3Sv6X$whx{?><1xVpq{TXavFuoXSe)U4nLuM&`n8bD5~stEjof)*;cu{vJ)}0BPteSn;N~3J zHGHT+d}9;N;Vr}WxmlVk5XYyaUNYq{9L7b#9{rn&0yC;uZUaxLg+ zWl5jPJuUH4SUPa$Pem+XuCs+MJk z7HS=lQVO+x+cgafVlC90E~|dqEe#Lv9XU4r)>~>OqP|sG1Y1jJ=H80sVF0Xacc!vx zvAZBmJL7O?pW2xx%XT%K`oN_|pZjWMgu6S)=c6HQV^s=GV@3XZOz=TA?EHxn%`t&iGMTuAH*!VzHgm3}b)>%JkpF;e?sF zM=7MMIEsb~W)YWM^L7kr24a@ga?#AWc|ExEx)-%^#PaL9g3FDPeS4MDr>h&FLq(=i z%NmBh=BTcMtf{7~6GJwR?)$1ZhjI^fmep!zH57O40comfbqP|_Al}US(9Cs}B$=YD zsDC4xR;8Fm#q6l#bJ;9A{ixWtdy%jzYDYNt+;6EM^S;eQK>sLJ)0FirOI^@fLi1vl zI=j21oAlLB#zIoO;<2MV>Hr-ezmYfJBo~C@tjt|QI`~qBB2c7xfj8Ur1Z}XXEdOleMvrOdFJ*NYe|Ko3 zMMdzqO{&!2=e3@5s59tgS@-Z#dZ{AA%oKlup<_2@bu4{%Yz_B{a&=i~k}!h{DJgwe zGH)^x&*?I;@0zSukH-<|8BLTcOPXSv&n#Vu09AE4eY30yfE*sbLV%e{&o5H;dzz0( z!$sS~!&LN^T5uII%{QGhPVtJmA)GHXDRd0z#5$|rXm(UJM$OkVMI+;ODi57)6mMzh z-)LIyXvnMzY03VbbXui7o)L5^J=s`x4r1KiG?F_35~%ODInlTb*SLhQyS9;XkdN<6 z+=wYw&kEAhKR&+*Kksn0hLKujc2vm~^$kGnA-*~H)T5GF`?j_xiQlF{r*&ViEw;kL z1hW6a%e20TNi9&kCb&MXu>M?E&ZGDC0*G zJ|>g)^%(ch1V(Rq3Zd=I&Xmcha3}GrmPF1THVyc2Xk8~)z9_NkV0!i6|M#}_>i~Ce z5~GH$8l~pwI2j`2^o?C}CaJB*xQle-!5se6JJD0tj^-{nGHC`of)bUF=AyAH2V+?5 z8ML$6KoZDofoPj**&WpwE)BMW+K+;1Rqm3{2y;tP${^BRn=3fVo=wLlG_jEmSYj{m%O3egTl1Kf0PdF=W1pwr zsz?_LTKyV9aM2Rw_x4GdS&-9!7TQ&9AoT)(#+PqQ=*p)S+R-lXI!Scr2i!?S$Zm|e z6_XTr0L61C?H(fzf&h&LlejoXt~ze1u84GW!_*7&ROk1D!Yz_4ZYjdV^kU9T5*^<+ z027J3LF-BRKP+?9<(T;}NQoqf6wU5%kW&j>Z?6%_kNu#PRu(i8eR-7+ z!~1Z~8+yUdyOAdm?flkZ3qTPq&v12aULg=7LUXsx#t;KI7EFAh7ZXV--`m&3%5vP6 zy8iuGArVrpw6BPkrezLst5%qoy3n+R=e0$IMq>}8h0?xhiaJ}o@kL!H+^ZnoaCVuW z*7K?g({3}yXM#A0o5oz;^MFkvUVFbxhl!9b&Pwtp0n7jr&CkCG?joRNQfRTYfwYUO zIkS3V^Wxb9^IvSSI=1W~n^PcCvAi*1rqgMay3)AnXPHRT56=HI?g@79D0|a}!=zmu zL!{CtRI)Q3wDoy+Pd199;EMv$7MS-G9K!dBxU3&`Kfz#hx$K(g!UH1@&6~u)FPvHh zv@sZW#xAN11%N)BJq%-8WWc&7nB-kf!7qThAc&-)>H?E@eWmAIS)L`70zRBM_IXi) zG;seP#@+&|j%Mo?#a)8C1a}B7!QF$qySr;(10lEtg1fuBJHg%Eb>q(4Ip2TIJ@>vl z-gslwuDRyw?p4**)zv*_SNBGa4qF$?@@vj5ZbbYfo&3O9(82t;v=_@;l7}c|ha?Te zW1g2n1S=Ux(|3oJ>X`-inmemZJw)4T+45YX9XT!??PeFsd~j4BC~6Iipy9Q$iU4YUZtI6WrsJ>|{)$Kzh{d^rGmPbgHfBY7E_5n>chf%t4|Z5hy-GqJFbcju zC+$n92*xrmElgjhJZdW{^_cDXWsbX_6oJCb^R8UD>jV~WdM~aX=09O3f`3jXmq-v8 zTlPw&$e-$^`H{t0Kw9**rf#d&=^335#)PfeDJE9Uxyr{XPM^{}RhltVv2;=c(-YT+ zhMgt5u46t7eMKGVN=|F1X_eCycNF5!BN?}^)qjSb6r{$e=S9WM_@ZNm*!3fHU?RBu z06D*F%&r@{1{IsaKGq-039E({8`4c6C)qA)Dk0>?uTzM32#JZ_DKC0DhvWc#6fgW` z3X{KXUW$HWZs2zr5`J88I5yK#^v=$t5pXTSw+qSvD*ry0J~pMrG((+3ACP=gO;{(> zuEn;fI(w%oqzqEH7h+WM>;Fc%ifp`PqeRUXoCkC9YP!Y$?OQ#6i{+1fg((?#S$R&~ zpDm&TVvCMD!!=)O<#oRSCoi~1hVKXgGaSGE?B#S4=KQ>Ym2i%UFODMZdiy_abDc20 zNjH%~fZQKH~k{BCpI^g6fTbZdmvO`qL@y+omY;drwL#CRKS)alm^NwqR(~+IpOx!f~-|Z z6nV3YC#{CL-`(h|nLjKWBtl?X|1JOB%RC!BJ$+s0;^OA}{p#iu^+VC-^ZRPRZN^Jo z!1cJ``=H1Bk=40O_@w-;n(L&cWiN6&`=QFIs$JQA0M!T2iYmr013o2^c zxpA8oO4#Px#P&JK$MLqt$1Pvj`gQfHui27+fexX5<_59yG7f56-#C@3Z}V5(k0&yg zvOWj@mzcqUo!IxRlG~3rJoU}fBtm&7k4PyR|uJ%seQ_)c1)qLlsJy)Oia#%lb zJ)tN}wWn;|oXxhe&v>519#^1cU5`*XnJdk$X$5Ia-ZJaQOT@0|$(ZvR6W1Fak%#b6joAT1^}E;Uf5^`f^L^7||iB$g%nuQ}?Pr0bf0N3QQ+_1=HZ2H4>#l zbmscTd9zr+<4GgQ%bhuYx#ggONb*G0WsHKtguOjYpW_m0U-kLIE*0F~?OuBK7feD< z;o-TV!1D?F3H$Ku8jp437HwM?{FmeT>9@L5B9}52=3QJs?S}hY(d>HNi?#DY&XM=L z$1YW5&cQCGn=tNoT?VeS{a-Ln-Z!>u>26|nCG%>V%tI_^=i8t$BdzYGjR4<=1ki>Y zOc0v=IjxWSP_eR2v-A>Wako*Y;+gR-F~tb$H)*0#3vr_`Q`xu8g!SqD<+o*co6&Fb zMxQ2T6CxHb9VYGVFN3SYQFE7(cAL+GmqeC}a2AIoakviias6=BHyH=*z6t3QD>@kP zon9*H^R>3}lHVO^7)7q0dpBHg>ZGR8_T8CHaqlb&|D@=gcb*+W$ZWuh9klHYY$_u? z(u6!5<^Wi?-8pMIBnw;X_ARF2i%tjiTnul-aisJ>R8L9Uq@xLcKrl z-T#n<9kIi@o}Vu??WZC^q(zH<6fN?O>*X zt{}f)I99ASW3Q@*sGuz(*QX{*eoe*~mL0pP=9@B~nfPwNJnmlm88&-{Da}Nc6OXSiC! zle@a63q_`hXiIq*6G3}*;jp0nqUFx^uPsOz?yk=;al$yiPWQdBuo;%y$5wZ#bxET9 z+Th~xUkuGT!xqI6f8|4$iQ}3sNj2s}huhX0;;}ax#G@nI%;cTs!qlgLi;Ea2lO*Tt zVA=8RZc`*;EzgfP7v{`@Rh89LwPrh|$u9+pB;?e#t>$wZi5_8>*>@~eYOQ8wq-U)^ zJeazvo1!oHRZFsmhT~sY3F^ejJv+5&+bx=IWp=n@YPSg%Vd2^Cm!tfE7c?*88yM)g-oTY5~MUAF58}0W~fs~U0cRVERsV$brh=_8Ao-Q~7 z*H11qohV~h%anONw@~DG_foZWp0r)J0wxSd^I!7wdU&?m(>MyT*F5KcoqCm2}j z-RIwa_qdUU=cCa5LmJBilIy+O#laILS53NY5$l=yT$Ct@@Uy>|0TkPp~1i zKIaw^S%}ipVrqtkFU8ZbYS~GfUf`_PqMnxI>96TRol_j!2El(GYa!z7k&uVFOFO$X z){SpjicNNO@u_d?Ah&6QcZQ?-tVzBCsUFsJXtAhhNfzXUoGO4MtQAxMKa1HowF7TE zoM<~#lgICk)&K0a(!5x9-iVlrS7-;U!FiwL@;~5t?uzOBxEvyx6!gA$-9OBHi3oV9 z*?i^YO9-3ne2a4MKlKSK+I;Ncdfy`0{8*?W$@G07d7r)Pc#{lxg>=3d?8^gP6=D-M z1z$Isf`XqcHlO=8AJ1-bO8XA=J-2stk6kAH-a!+OKyjx@d|9ak-;9Xw-xi0qD~uj@ zgl!+6BwZ@WIqK7b!yPfwCzpV6{W1)jU!Ut-_4@&X=`k;1-Cnmqd9`U{2%25zGo zKZ@e|1GaHkUdciYdauI1$OVAEB7_PCZ4cqT(}W6!ZpWBBV&J}0ga*L$2}W!y)&#M< z9!-Y--FDCHe z3Pf#Z4P9BVylNo@p!9VGZi^xb4h202e9+}@J{)y%d)^*}43WIfg`s5Sk;Kfz;DFL> zO!7<&>JSNYs50}=e>rzzl9e%-3#jyEpQkLz1rR zl9+yynAw<^LK2b)!Oqz0SL0`(?C}t&b_NF|u(!LrXirH)SyF-mcmck&pABS&lQFLM z0k75~{v4mXem&pV=(F0%R^A zQTc1p&DSZ!8x(mjlw`VR@*UZ;Gb3{(`ND(|b1`!z4de1J=%W4~QSGo85*ocH3y;Bmdy>pL>@wKu>KYCR2>&lc*A;sp?rTadw(f=eOP?Fth(9Mc)E1B z+U)8Hzv|Iukmuu#?!zzRL;q@1_T6V7plTLVP!{8j;~mZ1Jvlb* zc9-+5SypgEN^nC?uybO*b08of?Bkv3`B9u8}0wm1U@&pIL`_k~};(gzj^_J?=k>wl%(R_h0^bd((*tpnQ8& zeg^J8?m7s*&x1afFcU*N>A?Sfce@K>Tstvuug6_+{_V0u-lc~7nJ^qZ-# ziF-Pdf<7duF9fd-Vb^sZfgkVH8}63RY3aU`eiwp!UDG%3*dK2`uMeOWBe^lyB(L%V zYCJjI_U1_vcii}Wy>5D{x*sEH@%OqNsQd8iA2~|>;QWXp2GKkNV!ivL1J#+sl9tFK2qd<@@Ga>+O12 zQ>YowFaP&#P4A#h`ppjRHWJ@g-`z8Rn%{A@LP5I(tbWf=Hv`+?4_uH$;BzV$nxQ^H zqWnGElv`V=gd15uhqpx4O|L%V;eGlh36ow)2C1MC2VN8~Lmqrcg3=KnM;=U~N0{yB zO9XqidpG2{Lpp#ld49NiI=q$P_4dMZd^y7v;5ZV%pzHh&6m8o(8@}{A^6SpN8r{8k z`Vr8s`g8!>?swpK+rm@z8g@ODC2H?^cJiNdnb0|I64YXplS5lITgjj?L|)LJ@oniw z>NPNo+4o8_*uAd-^1b~u5Y%A&J6#Jsk7JidhF|ZecnJc4>t4$a1$&qIBwcC#uNk+y z4>K_!YpsZ+izI-i>!s@*;i`>m;PQP+F?>^xuvs!@6SjSQs~eikvl7y)vR(+IyV6#x z7+xXT0cMFK+J=j_6H0Pu(fvxcNx!=FPGq*iiXHx7V!&QJ&`0TI7wuqU&>rnmo0%kf zfey2Pzu<*BsYplOnL41%UYyj89`bAEQXiZuKLzQ%W7g4r!4OF|Ka$vdACjYJO&yx% zuL+4j9}+%-@1=EUTig8;=vOAV8<!SYh~Kj*jT|2OYPewZnVH{6K-j%aN#W;{`T>=|i@DPj1V zr6}t=(2-=O4PgYu|Bpy*FiBnP8GoD1^^5Hrb;9HaxnzAS>T%#3!Zq4b!Vjh8UCbmu zc3#*swEpfhljENwLwOk8ZBF%-RryGJagNJFC1;WfjdO-oqYqTI@=#pwCE(De0MBHYkG0W^j2Jm$j_CJKumzzh0$tPxGocA6*HSB?I*m{re*B+Sds zPabZSf(9jD9WM#LZcU%#-3f4c%wMBEIYfGNC0RfE91oFvc^{%}2@ui^>#e%p#C`WK zZ|%7X93sx!R$Q9C8d|Y@w3ufMt9eBAS==5fYePZR)a@D{!G#@yxDFxk7}H>6xY?gn($Pa-a5jc$Zd(oi*H2kc%=mr8A#fKS{O%%6bA!7kU& zmM4X`90ewRXY%}t7Yp?Zq9Xyrcd$txlCQhL1w~mA{BJiAB}L5X1|%xfO?^0v87j+w zaf$eBPvW=r&I<=h%BQ?}PpQ zCTk$z-R$*HFh+%E{I!Rk+5c+w@2xJ8|0R%Cejy+3<64HU_g;^tcT)T3Royoh9o7CPeG^;p|=m%3=r>S*s{79wcBE(ghcN0kAJ!s3Rv!f1~U6>wShSlc&^WWLWC z^dgINy#Z|rKUq2v#UFWO(fm>9Ao5Uub_dvqKgyNC8B}9!vw?zPSCG9rG>M>vCRMRq6(5{rf)=ZTYH8LSO7E##?m z9x-g)iBtE-i~sYNGK$HXP(nvS?4&&$ddl!eLD_~km%$Hlx%cYQYgoa@=v%V)OD;gE zYxFox>GjQ}n3}(SF+vfE>V8J?sI0V_e~DUB@`kLMwEv(*Ua9YOg|r$mrP{KjiolHm z`#zh93in$!?WLHCKrH)yL`9+RR>xf8-VeFdYn?87_JMG@pM}2n8J43KA%%|5!YUi# zg?E~^|rC?!pHV5mK%f5TD`EFR@kO3IQgy{4}yeO>d( zrDN`T{C@dia$Nk%^&p}$*wL6};7Of&jrhMx|INNH3o8AZe-3lI=(TDyg`M(Tz~^^j zpGPiDn1~Xnk~EiMN*h_2`(qWk?H~NAm`?iFmz}h7qt?s`*H0d~v{^W*y~nWfUZA+Z zeA=!R>(QZ2QF%1`QThNy%Te#Tm_pxe(2n2${=FlO7|j0Mouq=q-NeMc$A-YQAp>7pf4=X12R}IQnjj&X@E8g-iXUq+ znRyloraVzuqYFkMQ0T$jXFXTaRWjuHR2H)WzWg|-dPj~3MXzdqO%8a%`M6IYfwKv# z{9TJLAI~?8*H;zrak*Qiq5odi1v-4mE}Xa9=-!ZTZ%^}#Zyt?4&aTex0m4-)MU%d8 zDQ&KP9zHGs(-jtvE!R3(mrrcuD4XL~9h0*-7P|hGuW~O$0g?j;O#&Uj;|9elU+?4D zJjF?P`}FN(w%5_am!3=g%h?RYnELhOn}*ntR-YKso)}J_7;a|p z4$Qv(u^DHn;^=E%K)TUp`y< zBr2GklQz~(GJef!d%$XYT&Arb3i^QB{=t#C%WWM`=rZB1ZS=lv^t^5Koo)2EZM4?! z`#RQh3p0FOEHnHpLcMXB@XiaEQDE|kcA^~JRQGPgX~Lq5n-JtGQXNO2+LSq1>E|=> zPesGv{j_$Yw$V@iVA~OdLgL~m*|}t}-<0AYi;IW9IFwkePnsSddQ|)S!=C;FdC+Xh zX8vOJu7|aME)7k89Mt}Kfi?XJ0@-AwQ{7))$I5FECY^ebPwKgzUwANqJmm)Nr!|XCO?p2se^IsF4M#~<@;mRx$;J@!&*01))ygL8c`=APyBB%KoPBi` zHUP65u|_-d#QTQHm1VU=tmFf?t*$Uo*8GqhtUzAg(qHhw9`LyNeGf#Z8T_Z_ z6aNCfXDPlsoUrwH0lALwk-XX2bzmCb^I?!cM1>NkC4yOS!4z6*62oo$nn@B z{(8KZs^yTnO5a(8b@R|wpb>XBpSwR6!hjd-zfzb^@J{=Gr@o!`|0Hq?Bi`?py6G?O zTas-m&xg5KE+RT#sO$d$%%+8(JUJC97%TsQ7|X0Pm*r}sd+f7S#IAd?M4N2h zdcpL?jHQQFJs3Awya>!@Jj^m%D9f{mQ9}l(YClBQ0F_Np4*x}MIuo>o*<`Jje60eu-V zXL}0Eis5Voe4Z0$=MTfv2>5cla>P$VD}$+Ej_Oc9cAvEbNuyWSanEacg$bL+MxmV6 zn99(*wGu#HJ-qW;f8loS0ZdNRK1^}M=B%w9dM-y`5<&ChD6+2aJ`A?Jd-DiX1QiBD zs$8`tYftV7v_0#Hm}D5c5 zrnd{O|05Ek{oi>16WkGg5|@b)q8`eaLp!JupAlR?3PDc_-+=)t2N53oBzdcGz}gE8 z^U%(RYiGl(`CKn_6$DrTq2dWktfrdm;S~yh&D^M^cQX*PvjH*B6-nEDHip#xP^P|I zX%i-7(d0i7EP9}Dt-VPUn#DFjA+1!J?7t1CDL7s6u;qajJn#GRpb6#B*9r4{D+O8ssf`a?n=o%VsK! z-p1wHedV|k!?^8657ix$)hWgdmq-yP_-&AxJ4ghF#=Zg}KhF6D5>KCevC(`%eWn?> z(Q4jfr}$Vm#`UgV|Ugg;3>Y;vQt97}VSDza(X%X2G*oSY5g%IcW# zGkZTnttu^34nTmy+%=&+lHu0TTvy5Bv9NMk-ms~qG0Jy(?LH(q{9~)mNiO>?^`ceC zhQi4*iUOq~uD^#PUb}ek0S+{g!T`h(8tUeNrS${pip652PR0ic_4rP6S)kJ(`uT6yRQmXl_YUgD|Mp4xQl*0y z3OG!Fx=0zZfMuyUdUge?>J8kttxAo9)!aZ2JpzXW$PG8UR(TZ9#fI%)>*Z&S;>%8` zT6Ph&yTrB2*k(igm}1(AL=Uk(wn~QP*Ref+Oi}*G9d!Q#qnw32I#lzbP-02swrYmT z;Oyh!5VPqtEdM|efuYoYbCz+hVZ&55*g_tc{{Y$Nm zCcb9d%i4@Frfixz{2hBWgtO}AZJ8({Y}96D^RR)SO4Su3AQUw=y`=HeeiW6g_VKi5 zk10NLiX7@widXCH-2sznYb&Gu3Se_%Ewp!_#Aa(J25rsmLa{1Fv8oK#2Y+dJeIaAp zY*sWhizws+8)gIib!k}9(-DdqT>f!+zizb&rOm3B>S|@XZJGUJ#rx9*3#Zpae;<6!q9OegD=doSX~XwKFzgeK=o7%JGW zv?$9&atug8z7%pa%QZ}!@McWS_^W`j8u`D_#H-=hkWQ|jNp8D^9J+|BZ{!(nWF}0u zwgNzE!p@I`?bAFtlMyDA&CuigVNz0O1LwqWcXB)qGpJm2D1sJQprDxE=*T`L6OE?s z99ezxFb;fTT*fwXbT8`OqBt!=QXrell?J4ChJS;x=uPVR?P%smrHhwtEA^#v4if() zi2g2+K)45IoC?En!xRv?Yd`nE=;?fYX6fPovan*RPF2VLd)gEx;aI+q4bN0Dh7s`( zKn+6MkV=Mz@I4RFx@fV7H5oR@rvB?E-MoFNW{EM#9u94VOzZk|f_Y0GlhSEtVzs;o zhCX=y*5>xkmZ*(kOe2mz`Ai9&`=tO2RmXTWEe}ThuX*qe{CV)UARI%8cA7_P2-I$8 zXvStntREN)vIiQ2X}9A>!e>FLpBWCuER%;gE-&LZpV=boGB`uxM67iSr9tWvdsiuC z?+$$%iphy45hW4~<@HB$d&NEBMuyB48m%V|OoWF~roxr_ZAfYs^!+apC@qkqqRJ7+ z=g_9m$P*vs&^FJP7(1J{JY}6Dl5lLRfHY!ffg92m=3>D$N*4|M^;9{XOTeLhTP*QC zk>IUdiF9A$(gsuN30LN~1bDt&wb{T=otd50cC`lXC;pUgFrLoMD<>}fpgoeuB5r7A zZKQj(b~3Y7^IY5+T`Ik*8Aw{1X--^1&*|rc9-Q^(kvFb0P>+@=%;ln*--Dy$t3dhz zVJ2v7R>l6a;}`i}yCg0^4vHX&MnkZ7bUvoq`A(5qLr{Kj?z}cwU70X-wK$4wKT#ix zC!Uz>${yd`S!m@3-|uYtC`hbWkqAbmLflL@ zlJDn%!r&%W3vJt^ilDmk{=&%5+u{UjSRBLEZs%H9gO264;n@H9DW%o+a-(IJ_^ zY_XK%8*I%!9kQ`c^0}`2wp)vC4=RbWkuXZ=iR|h2A4|)j{Z-nZ12qRo-I^T^|jD%YEWl zI={HtL?-cALM+#0^k|%Z4{zWdm`dDjn#GVqW=Tq-5|%VuX|BD5QwLqDg{t^_WT^^- z#2BSw$0y_t`7z8BJN-Ul_&d(HZw?rL!QLTfg9=^yR;;&!G;Uu4lPp*jKI2zkBkhuN z?;w0_QYNX+G5k!Oa_I87E%Vzzvy@eoHCf`$06j$BP2Q{vkv3YXD7}cArFgM`B&li^ z`KQuJG`RUgIKYVul*k;r)0XN)Mu<0MBGlVD;AN@T~S=`(o7_f%{J6o@c zgy|pQDnrIahm*adFpE^4$fqhUp?4)beb000Mt;^4)dCzAnqD+Wr#2XX6VQ)tGe&9O zjivN!;>b0rs+T}-?tJleF)p-n<{vuHd8(7J-YqnG?mh*|or=I|Vz1E>|0_vrrI*(p z`u7L8d{3pDa1XIA>iq16cQnUE!H}2n9Lk{Z2F0b|*Yi`wpn7KxN6s#>x1>s`0UWWY z6Lb_u$I%r0qUgA&dG2<+pP%ZgQKkx>!ZmTBn%M=>ilKh9FTr4_!&{4LNm}>yj)g1q zl;qZ`$Z`MHZ-BI^)U+SRil}O-Rk5r*V@ajwOE?&fF643W6{kvYoUDX!ad#^MR#Ru_ zH9QDozoPJXsu0XiZ_Rx#K|^-Y(^u2?{V`dGemoHNh{PE8mz@8Ll(WQ5QBQ~j7ODLX znbtPnwo)@UB1~CU+VBV}e-i`VgEi``Op6#pYcINyTL@+E34$zOz9AE? z43Qa4{XxH$Aj&?(OgBoP_n8;DPlg6fT`#=)#1k;jLS7C)H=^vd`s$q0#MR_*QF$y| zQNfeys0=PglSPfz(T>6HnNS~PT`fw=AOIHYDid6+Fhh+@*CP(CjzMfxUZVX6jq^lZ z1=omU8%@3G?OHwf0wJck^9T>v)9nMP!OOZW!-@tg``xe_6AtDdP>&CZdMWX=a}Zfw2azIr`x^`CYk&DOc;q9DZj+JEMOTnpYgCbz4F) zD(%tI9QS0=Q2c1AVwnfTm>sCwDXHl#Z|)MPv)!({<_=i5M;{fNR~V>t?U*p4^JTc> z>9(ax0pum%k-<&!Je;Funt|0G6MkMBJvCi%|e+y_bFV723*gYr}hHP43 z?319}B4h2TV8_d35;kflQtpq5dIgXtvk+ge$W1NwWR+$=RtLjwH4<_BONC=986oZSEDpjdRS5o! ztUfepp+e~t4TneUGYiegY#7w3{gh7bYW-2j+*_R4CWHuoK8pko(QWQ3?|ABF{s7An zq%}wPW`(URob(j+N-Dat=j#`(I8k;o3xJ^iYIL4mLvrp`vJ2N+uHt4mnTV37gtpM! z=ZGsDO9G+FrSDkn6{5GQoU3D**VLHMqzt%|Hi&TYvFHRx4Sn);=(xui$q=8CW+WNY zc=O+HtvgCveo@KZw_%ucd3EXH@1^w;fvG9oZH$A*_QGn&ZDe;2TbRmGEx_=Ubp+E-IAeFd$z6lW)QBJ zqCr!q)vmc_*p52)xhXSPT3Nsz(*e192!!T)CRBfF$5bXR7gQm0LFezXTs;tFbIt+9 z6NJZ$=BSfE0xe4s>nfhUHrj|RJ=s$d`%$mFl5?U8Tk)GdFEF@N)b6{pw~zZynVqOP z?w&wz)~lWKRZ+%zjVvPVQ_J;?h>X6Stw^J@?8UN2g#;t?;*CaL&O|B#C7V=8e7h>m zh%5*i7_~%_+c8`B3Z^KT?dta`^k{(2I5G*mHkc<-o0EoGQRzLk_zgGU9L#1*2w66u zw;x%F?@Rsce_DGi%)bBe<440UFu;^gMmY_Rl)wXSRUTk-KDn?=G4v7c-Q_~W0V^Is5q zoU@#3vk&{wr1){YM|+sA4O}u{7M3#=l}^lQuB#;q#Jk8Ratg%ik;<5_;iTa871u&( z^)%V|Ns^*OiuQSvxtk3Jih*;N!l5ssM6{p2`P_e5A5|XJw+^62jo$Odn^UTY??iYj zxaHH5q>gYs2^3aXB30b+_PXK>yeVpo)?fHCtfFLwI5!eI6HtQF@wJ0M2nAf~JF>OX zh&gOaq7Ut^uoVHx$U>5gp=xKtNlCqH$4S^%KK%Tz-aO6vmE!MW29U#<_sRymJS+N{ zSa<1psWvMR)CsM8XTMIbx5OIWW|0-a&Oh@CxdLeHD|8)7rmqWk{oNef=3} zK+9m%wu@sK#rK-2jLTrblKueDXsqxTb{4e%Bg_7y&K@e&8&5dWA0RdT1ETz;_NEoA zH)a}8eWm-p7P#(dZql*SKR+Y52{AMPdhswL=yJXp(5yhV>hkq5>f2LU z0-f1}qjWaSC{dwzoAp-wzeKN6GUI_vXC#nW0QY4*Y`;hZ~g!nO{9i8d>@%200u{NEK=FTO~Hkv9lM-NmsCi2zTiGT2dHXK^S$=sSQ)i zh_Fdk7`J!iV+f?pGKUC(=x3B(+M_`bjeh!DSRX8477uE$rtu~N59YgCBD1ql(CQ#y znp;N!R9M})7!-jb$k1s7Fc%B;)>0DE5dfw`%~&6aQKYU)1gRpymA@H%()4PE|6x+F z&x|KZNYB`W$lKpUjtfOh04oRceQ3}?ER>s=U=p$)xb!E6>m~_VZmY%#&i<1Gf$jVk zBTI}`6FJ-tpjO(7xLGe=(}>fx*QX7~gO90tfv^f$LRT3?9>l10;?? z%Xjevcx?Im|3gg$=K|@NAWin~GLWdL<+p;~lPmq2H1k)4)R2MwkLB}i;kgYl+5?S}q_Q0Sa)3wt`>TDe^CqNE;;1j&TvGI1V^kp=DE^l})4H&s)O3 zSgRh1XkUonpfk9B?h$!IUu)E4L=D_&x4V>BYeQ=47;{_&!OkQ|BO+)8m0y!H_Ae9d zznJ374`jW+lI;{oueJJ9V03h~7|q5ohZS(n-6x_4{7X{%4fu$w|7YWW#Uc3rU}dVG zvh9M27P^s|kj@7SCO{e^0wXj|Hb;cw==lI$kb=tSzU2jQ}MUu|N5w+ zl(2P@H)uZ1&O@_#`$df~64|>p_iTHIof6u|Weu(aw^GWPjrbS@YIk5;+KoHL+?jNI z!McCp&_mX?*Q3Vny9ER?Fe5;jdNJX}=1HOuFZ-AR-N26JX#{0Z3nLv!S=|6)VTe2k z(qtSw7EZB3N+zxtrFz3!926D_Hz&6g%5&d9-x$_G^;PnMHWS~x(JV^@wTOpvG@LWqrj^QSk8v@Uqe+qm=>HED-e zpF3Avwd8XBGXtTGc}_YjVpxKv!)zZ$np81*IAAnUsyH+pz?L9Y{2UJGom9S(Qba^% zrmAz?U`0G+{2!=s+(3svWQ+rWmOi(tj@AbMxyZh~P;MzVNN)Y}P23C2&Los>uxyI1 z|6h9S7I?i~1;Qm=J#QxjNS}4{g#IhN9+ire_2~sRR;Ynf39T-aUe$_}9ifBtS~Xkv zDNdx(p(i_rq;1Y$qoC&}1hP?&-#&wSjBj!5U-eZL7nmagI{N>1vh1kL{yoi0tUyl9 zyV9G_fsLS-8YqNx=ILjDwDb69NwczlA;(MMeXW+>ds}!Zk|(2X+Tc&B)3(c`3QJ$J zK4s^@TvH_|8p**eT;Y^Q8DN<&3^xzIykkS;=|R3M;0!mf-jKFKA2;lXDV|>;cBWa&HUMuFbE@s?fiatV_AjR}s%z1<&UY3k#ym7}XnqpiedNg77 zJ01Ha(yq?PpOr`qF#4dqCgJ4hiT?A!D2(+e5TMmL=&i|HkU=1F@yh+hV@p?Psew7p*0_lssjaFpe!pX~!smjr-k*QR}Z`YrU#h`Oa zdkspN0p8ym?h2maHJFz&9PdOn;F(dm8y+pUg1j|{^ zjm_mYjFiinTd`6)|4w=Q?D4i>fBJGUvA88GG}}%2YGqh}@WghHtD(ZNZCy&GD?bk? zD@naVZ|7+C*Wm4Y);mTz^(bH~-%OfdiRJAPI)Q>L!9dEN*zIBY#p})E(qA(-J@(s5 zdHd`O%8AihDeNEOUBi1^*g8q>6#k%|Gc+Xg7(*5xsNiLC+&{!UhWBtVbwi+yQ#wpc z?s12do6J!=wE1>4PmaoHBTY(zs|r~_y6*S;`mnQ|hu@$NlJi4h=$U9jq9NNLU{c50 zFhgLt4OfZRc2vTWR2#1r9XJzRhfGnr(Dwv2p)-5(i9$PA)Nd^W%B1U}b`es|ACYnu zS_z%2XHpb!ey}3L6nxNQ2H|>X{=3GGp_NB74amS`JGWU zn>>i_uLnUJOpFHfy$uph1PhlZ@2FQ~vGIyG+M@zZ!-+4>|Jk zwQ@N6B8J`-(99He_*}oBo@KBe(n3mHv2Lic*-4OmYnbY|A1TMZq&tj{?}!%w89W|h zSaEtwJF-JKSIoH$uy#=_)@KAT-6S=_S4>>qY3HNwi)1r>f2q{q%~_%QRLTgKb3zd$ zaQtxaZXn?x^8@CQt!2OT44@u70|d@d)`_`UXl)nL)8!*O1IEJ&PVAFx@>|hJOCD+I zzQu4^7!pTY{X+Zs5^dA{HtP>H>8*8(T<+bI*JHx)5FuyDA<+TR;tjblmSS{n$%=$! zlxo}DN`*2p(%<8i)x5FI8>S;va4Ue{b$NK;mXNSiw#w`#tb3Zj8Z3EF3@;fk#jSzS zG7ddUjA#RGdaNj4Y7`Xjm}14W&4K|R_FIa`ps}z);%-@y{TgoGLU1~kI_CuK7j$+@ zts#d7gXMsgAJjF|bFmF$Q?AuShJP_vLj4$#NGd(DHHtkC2CR6(Vl#{Ab>1SLz=5J^ zun~{<4DJQ~JT>Ea=(ju}A5Rm67! z^(6pK<4HXKt-F9+Q<5JEQX|rEU%R%f2!#Y!4TU^2;^qK=nanq+g0<;D7TuCZbIu z`R`ertrm*QN16Q4bcD%hxQolPCe;X2GLdCqyXVu$839E?ssSoIUp&TBj+edzP*F^9 z7s9?Eo%Z2|-WehFJ+p)w`Qi3e3q%@ek_@txqyB5L6L$p#VkN2xS!E?iX==S@-!`fH z6?)&de2#!+>a+-ctiJjz5Txs6YNH6H1;HR>f79_hkb;))?@XpK6ed2pikQV<^G=FN zbs60=OJs4F@*I2DX64{Qq$c^?|z#asR?@Rb$>UyugJ85nTH5l9lTLBb}(y z6xxhjYZuU6!Tj#GK-)@}vek~Bmbo*L@b8_<@2m|voIPj1tjeJ{q3OTN_so3`g=4i= zC5p}`h0Jc&FHV@f|FI}cw#_R=p$i-Cx(YZYjdLWTK(7oI>vfBjYDTI3w8cBjpbPsu z<}ut$O}XuR1k$Q>s+4Y&f75bT{`8G&OxoMu&H!jr#`> z!B3RUJAQw8UHc=VH_CviGDF#LvkBAZ?(LW7{tmCf_W>x&2?DKaRA1~Mv-!qjS*Ug`0aIPjSyh#@#Ow_1Y_zst?iV6LajGW6<$)AQr9aQTyT4p z5v^ReAC4a9OYf+7WVJIIdAVLcyrd{uJM50{KhouL1eufn{Dl*|-9s~=(MMNgm7gi+ z96IpFb$bada!o2nK|Tx}`WBFk1b?cd4ap*3D#%md zcKuJ`sCt_>d4s|oS1&7dnUt^440LB^Md_iJ@p|JjJ`?^oY$rvZAz=(puuPAo6$gt- z<;249LG|uHf=N@d|2Uy=n<7<*AyUgQ@Vh(Pjy)SVm?HWaV7M z6vd?t;S>KQ^PBorUzK&B+9u(z3}gC zybMtmU@*4xxqSLrc#mfpv!`tbYy;OfBjjMu*jtLi8fwFeqA}Nbo7ePG4LgCLLu7dTE5Kd$|hN4-7>e$xOkSVWdx5 zet>?G`N|tqmnRE0_P3IUri9gX=u_>4i-QxJ(6X_~dr55EG>9aD_1R znx0*oyG)8?gC8i=o#=)-!uU#R-^a#Kq&l;$7;$Oh{+@_pdmm*v-vU+uQJ!=!`S?dVUo>?|S~2U>})O zZ$RHWlwVRSYKW56k*gGGX5_TMU|m+jck2@w(LIqKt%iz(cQBG03{SN%f<jv@s3m5_Hf;L;o!K3fx=`{Ntu=Y1I@ zp^ag6_{2cUp!g!yKw);8I2`W^jGUzi1qA~}s`j+Ib=j?RvoWsvzHm@J~(Bvih1fS|(I598xzo)=T2ZN|472 zw7m+*IR;G(G#AJdCf*+{-ry)fhjSxZGTS@37b3M)8(@j+2x}7~jyWDZGP=sGv50z7 zIoo_)eW)jT6YuwrXI~inhMeWL4fL+;3^sE3e~D&v0cL01u(m$E9=_Hl_qO{jmUf9P z+Q>J5g-x#zA6aWD(C08&>aiIuKQWK)yfu$b$Ssy<#Q}%do-w}teOSob#dEPYasbx_ zbR7dIVh48lHT~!=nuZwP9GT8MuF?LM1W|r4SQ)}ApoeMCqUbv>UpQ@%ta}Gmf3oXC z%d>S<0WLIbrwLg}BSV1d_>A=_lsyL9kg)$1D{2vfDOqs|yMEc9E*%K8&<5LexASJcuvjNm(o#8EY+=xBkIc0W{a`&cr z(VNd!g-FpZer(b0?4~)d{oN@v?`%i+%{s3v$Jd+d-dOqS%FgXcl8Oqz$@x?!*(PbU z5@ts^%am6lNYKiHKrvgVQp=euz~9m9gT=#XutDUjJp<7C0nnNStWN{hC8wkKZ(TqE z&QIg4;VnL%eqQa`wie!1-x_dU>SyyU>3`h#;2dB7OBUD7sn7MZzh3I+ejS}Yy-Id- z3hW;3?>)plmvHh=z5NrAUwS)V29sw#wphv=S1L7Gf{pwmFih4P0->3zMd2G zpmKjQ&uLdLMfXSfzLf-pMs?!$HD3_wagAsgP%lKcqY$aND&A*!Z+^S_4hf6l!czB| zr#lb|_z)3_TY_VrY@DtXX(T}ntqx!JRy)Nso!*df%4^^e=+fnmED^~x<4xy3fKuB^Rz)xFnf>JqWR{b~Lv4FBa6m(;mHMIEgx&InN070_sn;4I)V&GYa@CpnzN2SiNZ4*THpkxyZ`= zt?p~|Khh=^#EG)D5~Vivy{+WB+YrN_QqgXG35WlFpzh&elBcx-=rX< zJ-U=0;nVU1&$xFE&ldD&U$h(OIkYWvH6xyQ#@uzc6?DY8L&n^`}@1@ zMUHca`^<+9@#D;i7HcnHs$>gk#U1Hc0W8f;&2NoR5>k%E~E!|Uf z*^c+j>%6UFgFC;swf@dkPSXbO(Kc=IjzOq*R-Ip${fv>lCv?=RzV0G-Rg`s&oASzH zRnZ$@wM(aWz;wmuQjj9*W%B)^D6h^C#hbAX@;lwF49PgzUjZM$)Gf<hir z=+@ZPyW-U6cJZw9+wJ*{cI7p8Gg-&^jyvC_ePB>{c2B`$IlaF|!DvS1>3K-?VhDlF z{>Rka#Zw|Ltgp}W?9|2GSDLum(fZijS`$9X!ON+aw~MMlm**{<020OXSc& zG(;GR?Ob#3jN93PC@i1FE7F`O@AXrI{7Fd-%Y-#c^i(Zr?>Sqa z&SZSg)ZM9dL+@%D@mF8Ek>)F|-ArAse)c?mwqYxkWLJo!8)#DcpI}{vze_=^<2F5t z?<0YZG6?ID7QY%%zDFt7R(rNND5||^b#-NS~x)#(NIu$ zQd3XNO3QTKeou2}htnn+f!Bs+{+>EhnO}lFQ6Md;{W&eRIp55I$^y4os^g_OdpQ#M zDLEnL@?J5E9peCSqUfx=+nGE9|jXC_4RZM4?FmKd)c8< z)h+7yvpe1aUy^im4@0+A);xSL8oCguy#`1t5Ov=?*E%M=D%>m9sh+kF$E3gtSUQa9ho+~wW2^E)lS zbrS2Hl2tNumhrBSC=|l~2y|6ah$opi)gs8LAg&Q-(!OH@0q9FqGlA11&IF7yWWydf zrvE;WFpNqu-|YHNHV|(&e>Y$9?$=?+#j|ZU!{p_ zCy*u2y07y)YolWAgMNp)_ks3hiuES#YQ09SU!Crjeg`P6XMgS#_!~FEIVSyhn3bX? zkZ1`dhkbImQrOpmf-W4lz zkjq=HUazB{ua7@{wZiukdVCIccpCagKvz>8Aux^G?VX4Wl zM5@Ew1FFp&?YJn8LRFlQXDMLQ5&)+ON`0i!&J^7hxzBJY@&1<4&nG`-jP2})GZc+4 z?cB;%H2}k$k`mwhTBDLaZXz-4G5tTzYm%ax$TMBKw+Ip-s)Os>%M{T~2;lM@&fA9T zGOTg3L|&C$$aj*CLnZZwrsr98G2H@Z8irhAx2l|N#%JdTP$$5K5E^#Le4L#95XC1< zY|Uv6hH?cK@+0dfMF=jw1@8@E_Nb!USwQGI1>6Vy)Y zka5uAnZ7TXk z7XiJ!Dp3dPAD`dhhm9`o$6h>^a2?NeLp%nO-OCoyD1|`2?m>9M`_~tbh&HEBR&XX%N{j26NdYUseS(DKl%E zmb<;Gqq49D)7}v|vi&00uX@MR|JKo<4i}d5i>gle;r=sEuo2*Tp%lAOo9pz;ukxhe zA_e4oM5f@hd)I#W!&TH;w07a2@PYLTEjtNph=f!GNO2Bq-3j_>;6`6mUvaQ(*tdfa z9oJ}jfY5^uhc}rdgkd_=twj+0BQQowlzq6GZPHhlEe;3fa$M**zj{1i)-GIOX(qz# z*z!BFGC9oCQlDnnn*aD%`yfO}&L$HPc5b}t?ZI5|Yj3ZRTX^ck<)@TchRjh0p1V<*QSg0|I`7r;1w z!6PdQ_6y@drl*}?FZyVaUc+>6>?kIJ;0?8{*@Zh$9I!2TBpAW-6p8R>B4gX%$-FLY z!?T&{I^(JBM<4IZ8D5`uZI6`K^&{&3OI}AlJ28*ro z46W*jgwX>dzE1DZlVf973#R5TqMR>_)TFtY=Lz4%xBO#0>Uf8zrNMBVFdHr1eEm(U z{s4On8~X_xGyz&xzzmvk_Q0d*_eckk7>$tTQEIOqrEsU27=RFsi=1-yq2^x@N2SKx_t29@5lN+7nMSZ37J^9hcB!IcFwKjujVoe zJ~0B7EG5R6YAbuGh<@1Xk-DjiCAEun%jcF;(D~AY>}q4vdQi_=A>UlHy4V7`gCTsih7r-XqGuE3Z!G? zt=5axKIRl#=nykenQ&uL_&sA-6 zY(^9?HKZ#$nnm%nOEMGU?KCRPzZ7?=RpP=~+DRwus#lakDR0m$Hw($=lvFY+g=@v6 zCw|v!n~=}i#A+!r9V2e4T*#4nBI6Ig|GFTXpdWFsUCc>F|0?;Wl7#h9#7_-(`b_Us zRi#QX9A61Zpqz3oT3J()*&M&i=y|ZzSv*Kn!Nnu+weWBHL)HBx@<^1*| zgSmMjA7KelxyYC#nc3Tg4UxZFF>N5=adRgsRdKm$ZEj6T$DO)d{C0Y^PO6fM*vsUm zmMd?abk+V4sF3}vk*QKxvTN;BX~aki!}Y^FsdTf1vy6T0;&bikJjGLa@hOq+iX96= zVdY+XLOzbZ#C%VExOt6Y4`7hONDUbIWn@ZdocMk=2SFG6p726#7*~qqmiqeqX z5{F950uRn?EA6lPXi*iF2aUL*QaPLzZnat3Rvo$=EL}GHp6lbUjkj9dt}Deei=)^o z>p=&mH-_Bn_cb2%*;cRWe3}aEzO+PiWtqJ>9F1Rp)0IT7N>^}JDe1D2cb9iNDJ+{9 zqZCbSO#AYe0%?y_SvMZit?IH>xZ3YQbLgBb8HI&Ijl8s{gcBuqpr6O3v$ z(qgs^IIMRYwHVXX-raHCe}Z0{bJ?-|N_lfmdP+`PmM&!Gb+c6?8F#WAy)f$kMOh&E zU+O`#obTI}RXmX?Zt^<7Q#vlKd2+U%)Wo{?}oJ9^cgar5* zH86Q^14n+>9nO^}>p`=6$|wTvDOTq914p%>_fFt>GZ}R34JMDbO#F`@xUbzKoaY!sAWTk_nlB~+BK!60kL3@B;{U(W8Nxy=Qr!*fBO^Qf-iO4V?~dyWQsMu5iEaYx@S@^gQt?I(1g3L7Pl zptv3Q1qo2pCEyr#{`s?qh;_tW5ReiuOXho+p1UBsdHH+dMr9YVeaIp1*QX)!(6qlR z(_(%hh3?Use%>6>D7ED4of6x-p0YdwTH+vtFYR%Pq7HlQBY1v;Rm zE8UXwCN&wWH5rSJ!bYg{5@-sb|5Z8U2Q90tciuRW;hP&BB%kS9o7#~#aPF*oK?!*D z)IQ~~eC*IZ_k(Vlb?nH>UO%!N*eG5JiCB6RtTbrNzMaQuvG zDIM>{C^!0bF~jV*XE6Qb2TPBZInxxPdB!{)Yo=MMc?Lz=C-4}uenq8~STrrEL*yUR`cpvf0ZUDO-QB{O^4@_~)j~>$H8XYEy#*rDL`)dmh=Z-j?9p zDXdn;PiuT_i1}dRwm#oraZ781Zfx=HTIT&ZarUGbdvy{Nw8c-DxAvv4bBOGprCb;B z$p-Rvgm!xl)?rch&O^H1txHZtLoI->^sBj3;RC$7-uw8BOyNVn;B4Z2sOtF%a)rK8 z_1Izty}oR=*n5>A9&n9ujT>4Pr5Hkg>DXC}N=O#D=YEaU&;{nZ-O*aYFrc;UmS!mrj-X;%|D-meLRHVO#VHc9(9gHE@dBEt`d6?-}|4$T3 z0;;DmzD9<*$Ja_h{=cDC(^QS;f6y)yVp%naNi(fe7gRPDq|x}K?sZk;;x$zx??ubc zIa$$D~Khiw3&QH@_>H^io#?2eEz-dUiEk&l;_ct)6mPd`91? zYsr7A?Bss^C$q}3ZtPF4nf+scvr9wD;b3gJNo}N&I)NTR_2Re>CUcm|NVQNG7kXLb zS9+?U>iW(XM5CULp3Rw96JDJ$AKHc@{n!U0?n7-{b7wk$j@HZy^E4cPn|b;-35y?>?~(TLe26+Mj{CJ-bUEESJN&GgubO#!sRExZQcJ?8L9x== z-?|+6281CtRqe0uY*LXmIVff4t66h@_TL%1?6tRTtf@!RH*)iE+b)TLYt426jegSr0PbP?(%wHP^_Nsw`?YGt*Bngaf#vcd&+3Bg)ucr#({ za`qJ4jM>_>*)ibhk7h^`Io(r+gfVnkq@BQ+jbztXL`KQvvNRL7`VSk$xAok|CjJW( z#j|mKE+f|2g^wjZYxOPvMAhn`WAFlgpVq1!As4U3P$3OeJ(14>8_PpV#ckoRpy6GZ ziL5;u+E)S{n6Z@>n4Sw~&PSigsu_B~?9q7>AE*bTx-zf?^@Ng6K$+{15HH0J*xe0pNR0ts+q4@UCRR~5UXV0>j^8vOH}pRzjA(a zLz#{5M>Nm#cgiDmw99WPA9*M2Vz8G6?L4!#U+si4n>>M-`nl@`FiG;XdfiO&*`)ho zv#?s*-zu*!K#iC4o>%crK@lZAdpYYg0xGQy5{)>u9^}mzlW-|Potqcl@IlS?D2x&v zs0+Mbiki?m7$+1Ljt^Wb-wk%xr{#ki=2tB<$OsHIc8 z?sUh!@v=B^0(sdvIYbN#Ff z?LchmXCK8xAJ!-l_*~F4jG7(M5nhpvSezDPGMo{4sj*_2b5GSe; zx3#gdRiVw&27)q?1j#>en8^lJrwS`Unku!jecf&Rs)P6WmsT=863Ew2rb3I?*NB9q zyyBI(%Q&(Yedc5ZF_X5Ye5wxBqnSO{FJE-S^t#R`&C(cnJI{ZDqQu-7vP8v)4@oc* zUS!E4`1(3~j=rG|AV50Hg&MYLa9c>2BDbMsK)8w>q7o;(IDjR*Xnsm~5du$`1pEA< zW?uBo>TMz!=*Lpjnm1j3LB$GE<$70nSO9#sMt6F+&%pk>o=xzb(z7P;vI3JrQTC8E zSEHZmzqkAEwsyg1-O~^VWWN6VCh{o^9iA)sTYo{Yh3+>Iv2=LXUrMF5dg+~RMyJ4# z3TteT@>PS1^)S}a=n@C}KlUx``+^qNQcZy97W{wABVH={MMZJFSa)a3Jn3>iNAXF3 z|M~uSk(J-zUR6u^klkTAZPl%mtejToUNZ}2et zf>jqiyql6Ra?q&Rg;7O@=@tV%5Nqfiu3qjWi2gHqHKQYE3lHiMt0a~Xz66;Tz9g0l z#3evn1;mX&+z#GMHUUB)X-J38kKnE6Mp^bGANwoW6v)ZVnyN{x zh?Ud)5IYC~%6*aoP88MHk}`<>w*;q%Ql4Kv*jmk)KLXECqKeNT^=&S%g%jis<|&#B z5sQLr60=7GrN_c*Xbw*ij;9|8Ue;^WiYFoLA08zG&UL0P51R5glc~++;Ofw;f=Wym zfCSW5hLW)RJa!VZE20fSh}D6L%4s_p>mmBw7-j}DMOhpzi;0W^v4-hra<)M2cr=jS zLo$6+)jLVBk0mw|)3g$&8^4a7hEICzbt8N&(ZK>JB#H8V1<38_VqRwGjtAL#5p+;`^ zYP8dk)h9Z>1^RXGVxG$KiFxw0zoW?(NjpAe?)LMjOOw>w7)7-Sz21a+=)0|N?CJJj zst3fqQdG9G42MyHqRl~HPp#Ly!9cUyc!9K_FE(6csM4q4|G^4|q9rJ?uqE)@mkPqf zC1h!19J)6#SA4KZ{zU+W}K#+oONWag;VWMI}oDQ z;T%HYpkMm3h=zF~u8=m5QEcr{4e*{PL1|m;qSIO#gBM4k98J1&<{7xDQ8`D|wq+?DuwnO~;^r5vn!p?h&V+3Y)QJ%HaR5(VWJ+*qgU!O|qgTV3l$4H8fPu`mmm zUnsX5uC4ckd+;{R$XoXFQxCex_`xEmGe9<>q}~>-vG!hnTsqf5XY^^gR!dXKaJ!0k zjMipJ+_m+T@&;Z-{A5Bgqoy;hdr(^=G>yYuD=5g$^s-mUY2wJKc?Pv@q-XV~kF~RV zMIs}kcmSnE@Y=g^3H}}^49)q{Q%o~vnjriz)13V~UbA3_HbvDA zb82>9DI&PQ6XyoH#yU0QML0DBA)V%Wd6G<8%Rpl`%ckrJ=AA{ii+qBMS5a;t0PS1s z`Z}>Wz&}0h#D;KR@5g>Rp)4nDoZ(tp&jp(N~OuG+8HY0GjtDQ-EI`ec|^xVBtq)1Vw|qjb9K zTCgNOEK(sG7t)v#f(%PHnJQr~8>GMh5}-#eu*_tK+L;^$whBWTKYhU>epNVMA-`ch z72Abaix`${!-zofr9vmhR{SDhkt^j>K`uQ2xCx0L-bEmdcsPPKfR(1}MNQQ7A^p8b|#0 zj)ybe92OJ1>udDWecyCM)%cC)YJAF{1Y5UzE~sJ7F4lszFh|ELegvp9^EDssq}k+n z>4Bnf^Vx26L;V9$d zXNcn58{UoKYgmJmqT8;-h-y0>-mT$j@TgMwPvz4$Sd1~GSX{f9VRP$ZjoG^7Q(9x4 zX%=L1BIn2By~r44@Gk{;UB+#|sS2;Wg)L34(qJWnxlj}0fk{6u}wx-5Kl1_OhMn6mR?`{kh^nh&uG23AYrVx z$D?v5^4iS)ztV)RK(5d3X~`H0-T|obaCy4`3>DyEqs4!tM_%rhBffYeU#0nbGztar z!WQoGF3lmkT88oTi4JX&2A;opB32$}vwDJ`0NWz+B7N-sL{%(}|m}{6`(nEz~t~D(+Lk zzkgZwfL3mY+?L;!l=*BOB0|gkRCccqKhyb8{R2T$QlcYq)Yu%Smrv(%cdDEE(tCdaLB%9{)*i3FM7&Wxz)vT7 zrsl+bw;t6o`O06+#(3tpjsD<$Z{~OYLDbsfD+X(xk=LLgco2|VC9D{jA7(L!fxfcG z=V;&A&F{Cc__FX>lfTPn@AvNO$qO8z{^pz{9*OYIS^%W)Gz9wnLF5FQ4czZs1oL*! z@wqfIrXhnr;Q#@?*0;KcF>stOOfrVlfhmwH=*M5`xfHr|Al(3*fVMEbbC=+mS|Cf= z5jbq$!sa}a=V|3^$rqdb*@PC&_iM9rp&9J_b}tAK0px6IU?|Bzo_eqdfG~=X>hSgc zJw^%qqu4Q9;Jnbb6jHjNIChkhovor*nm$W)wRmZ*s;>5nMR}^k;O^>E@YnSLi>j*Z zG)T1#cLr$&$>@FXr9}*pZ;gjMdk2u>GdqeAV}q)$i5)^#fe&9EH>H+(X`}~hKw;IY z;0ISvq&ZouKgx$ZH9tOn;J2YPR+uG$@~ryGC4L7tOp1j4txru>si-&7nPl;KMdNNr zvn88aPi_@5UQSy`Iz&)^No13>qj5npz;4zp?H(3+OKauh->C|a@s8W+V8iA6u0MJjTia3ulFe{z*f3)gm` zBDL4|AU^h(8r4Zk^1g@ab(^@1{B;|{H&0+XYVBT0XWA7R5XJwh$YD7P=Wn@4dcl42 z)zDSSTLIj1R+{938?Kn2DzNz|IM|R8#@KeEDS@54E}MVxWN$egpV02a0Y5BCBa%t7 zut8eKJ2iK0WpTBTxs8MS)h*}8`o(FpEK$1I*@EEMol!oQg%97zCfvvo&T?5yfpd0J7#MQsyVq?+XdQzev(P@}$l= zDw*S)%OPZDK&&$UeOn{nNkQlzH0ToM@*XpA$LYZjEZ(z6%yofL>x{o93-h61pk^oYP#$754i$V)4K`c1PBsBd?~d z@;%IzM2ECRX_4sJi{IFf{gCp-x9UFK>$h>8`o(lU$!_f`x3w?PGq_%*c}2@^)s6lDo^t?G1~#M(d!NA-aNXARqN{qJf9wDgSvrL>-z^h-yR8ry7~XaW7-;u6x4*B;4k%Fjcj-+ zu^eDPSc~%R#``KuZ=o&-wr>EuoLJ~n$-TvSp%05W9=!4$!hgVDzVlHZ^B{v^74Y~= z+JZ3L%thU5!b-NZ;MgJnpACl)$ss5-z9{h40+k!@Yn<55ypZRY_gLsja@qrne7;7N zzasA$NHlCA{y_D+hxiz%3W5>jB7R5pyZ%=(sDt?j-UfC5A28z4hKh+!Ci?VTR^sHW&}MHFxin9o^pSmj^AlTfv=ya z4j{9WFRkvf?|l1Dc0WV9Zty;$!Ic+uOs?EsU#otco@3 zBCADoJ?6u|43%E`QNU%=GntsAjm>xG?S9y#3d`QwJos@SBv8D-^l;7;jmLLePfn_{ zIxWX!CWx~GInTG6%nYiqKP{vL$W<<+obs8pQl{>E{#-T7=Pn7Mle{B@_A%_copf7F z^kJU^dx`?s=ps!pGB#ydO8-vr6VCD#k-as0S=My>O$YZ`&@MD&ake`!&dr0wiaWNf z;=p;2hJuFE(>=U9$V^bkihJsCCp07o1)!|(^ z2kd`J9;k*;&BnH5JjBl@YyDAuO5j=8qg#$R%&E>B08bIbkt@tnN$8*Vw4cG&Ky_E< zCnd2vq61IDT@GjUikKxZbSm!?j-C*7THwePZ*^n8PxurN864V*OiEKtaG@JyTKu!L z(Ew>dNnY~|f@XJn`t2MI_u)k@D}fZZ#py7}B0SpEwbU0UKKe6MUMbYlX>jclIblYx z9Dp(YJ&`V|nU_+HImifKbbyoaGd{lH?S+kUY(pj<<+}@L^Ue z%bo;RJ+bwN5Q{08eTuN@_$IwrBRRR&p%HYs#dF-+cFYW|iw*f>u*5lM%-R%Q zgCfVSW&ikM0Lz=sgnb{ez|2)Qm!pT5fPG#zz09J+Gl~4@9?aA`;kYW(4GE78<)f73 zd0*_BYMjj~e+GcDkmjnbNf#=*ZFXzM$<}c2bwjHbgcP_NhxVL<$$m{QJ3xmBowdQH zJjjk2%lXhPmG-g>WP%gL?aVvn$~&djAKV2xhPXZBWQOkYS2u+O$#Cc%MRjRAN)EhJ z%Tm4QAdAR--b=UCDv+N8os$pAX04V4 zK}8|xZ9MwvmKtS|Zwpbrb3wOyL6=stGvkDYF?QVC@fe3p?&cUF!5$J=6m<@kzFr!1af)#15pF9;o z4Qkv6T~ty+t|#Z9Qnk1o)KU^$q<2sg17cr~+)@$Zjqn>1Kz4&JYjWoazh`p}Xsn(t zHi!+}Tv`lX{+wmloztM|c}Qn<_|esPy|OT3Ot%cANC970H(ox@7(!2}d{HQ%R(|;C zMs()cOuUGl@_bsPI#XbWT;aEat?t@G)uccSTsoUC@<}8EAK}J_RJWwMPB}l!>m%}9 zSnP}&FPkD}Gvsp=8b(8-63a$w@PbIxMC$vZKExPNe+%b6rPPLcAU_AkQ*xYL`1ZMG zgO}7RuMma0(?JwbG0J?9G8T%A375-Qk`+8DkR17ml<5rEOz+cA3jRVTf=H>Dcp=*# zX1g3Cgs$j@L1%eg+$pps|7%l`$IK@8WKR(v z*JM$#L(#H|oRT8*ff6#H)WTLtN=D~%;sRDkakDrnHAsyh!wO46OJB=&8gTzksh(_K zIXgETnQB4?m#H}@oLd9qaEgPtFAK03rPJ@XS_X!G`a%O^L;n9qD z3Q$>4{_^?FE!-5Sp>8-BCXNFcV7`q_Y#TXAKQAGY9Opq{u@KlzmsB8)eFOe2lv0n4 zg%zFc1ky@r;b-9NGNr{rap3IAKTMsCh3y1Xxg&u@GzqtPZKTaSIamA#MmuYAu3DPS zBwR^^#ri z^6`P0re7W!7nTG4lU|`gUaERbTH5h^1s|R~P37BVfgyWL{$HXFJ;VV;9pf0!b3OjF zBwt(TClYYKt_X@F^f~@1Z;1H&(b3D7Tk`ns?8e|oCC32o{@(8u*SKy!Fiexb8?l}P z|Be7tOBHiYFZdCRG7Vc1J9@=Kcuo8tRYRL9($0z$KmODIQxs+<7YiCs~0{BGhsxH0M zy3aTor%H*6o_cD5Pdwf`7-_zWKOgxO6!-oQK9a8RgDRaNF45z&dc9 zJXG*x@N@2s@mddg=jd7#Sx{oDp^+ka2NMNgMoXm7rQRF5Tff_L#Ct^*l>W=qO1b;) zeeKr{j>3!vpB{zQ_1c#YE_b4>Rac>)KHvIMdE!f?J%z44@d(VT=B!ll`V@~h5(MRH zf6`?8KT*pDjn!T79E9IlZR%1%59_DZSwH+8l3D?O|DucPu8VX7iknh3$qfh~qD4~C z&7rL1-NB6|p`_@+$?PA57|wSc^zo8bbOKBNo^7;mQr@C?9!dQpC4|~ zicBIEs5{A*ngbu_xD)rTrr!z)f84kqZh?l`Q$@D^xrbAGr`(y0al600GW}sjZXekG zAa6HXTL`(ClbEo^kKm40oqux~x#MutL+Fj{H{Ekq zPK9*p7^DWGDW{l41eH1!3@E$6Uv^SlAT6#4!!n5 z;qnu3`}O6Zw}vYD{pzT$PoYjwf8`5vecG>+DOF^le#FhdEds@R^hyqj?1BRJFnwtK ztqGRvM#A6*B!9M4eg|sG@p0G zA3#|@|4{Rbk{U5X2#QTBI8L*{i!6sCs!eow&bUFE<&%4>-};`)5|SIKHZH1iRkx8z z9Gfhk5eiw-E%S!d_w>%YM1^yZb9m5lS1LR)dle=N99)4u5%q1{)jr?N(SaMU+0wXO znsA*_?n;sP3#iBLW3RRserH`k)!EN|K`U2{4_CKct{<`b2iZ1*h;cC$pBmAA-EgoA zaqJ=!*U7F?)o$Dx9zh!Ek%{~SO)5iECiZ({m|P1$B$d`5#ta0DR=bqU?0w>c309HZ5%w z#%FFw--3vVAUY>1p5DpQJWaT2ke(9@b3=}OY&8ea@A8VGvsIaz9x68l=N~;V%h{MXMHn-cr1;SwrW3s@?S#5A9 zWi6?1vIO_rXkJeo6mqLGMy{Sx&kWZ6;8*#*1)oH;;cyVltZ-fL>z&YV_1+c_k9DiY zy{|^fRW%t{)p)0dHYL+avk{qCIk|WX-^x{G$F5NIy-4y5|EB1mrm}Ek=y!;MwR)Sc zxW-snzAX|$VpUdiLfZp{)V3|ApV!C!L6!Z^pD#n+o-bea%=PO9z$ercS2%2DNO&r> z=a6W2Yw&hr+e05Vd^1!t>Y6#4+0Gx{rl{yu2^IVaa*wQ8L!}|C>OWbGeWId zwhWRgI#VbYDZ!X6-je$lG5o`Q^nCgL98-p_7kLh-;b(qt;~6oW%j`U^>K7+$b_Y^n zrVChFR|!oOfwxL=%IMJjR9=4|gBmKpe0#U`5d8C~zXcJl{sdOI{1Rm0nnJ>p1?Mkn z@Q16<-`DS>!8UZ2(7}hl+dtbn_Z(B*fz1j^vS>Le=vb}Dr!1|DbK>30({lMqUe{@j zl80OOb{Jh(m^KU_mO?X7iqNS??(Q`s{?suep2sBvbxM!2xDZ5|{}C&Qvr?<9NUk2s zebJ9Xq`A>H**qf{d2*<-rFG&FB=%dN#?Yvvhv=ZRqmS!9_;d0;kTtB^6KB>?S5Bkq zvaE)zn;DI{4&UmeSA(Ux0*|SewU5&nh%ZXOxQdvsF6*7iEcI<^2&sifs>oGkH4@9a zKjI-hOPxVHXr1|7*U0!Qd*z>N2XRoe7T+SK=OOLwc@I)@p-Ie9Ld?r*Nq7>jG^TE@ z_s6TB$IHce3??RhOv;o)v&lR#sU^5y8E#1?LZT+w;m4428AHY^mlqPR3ASXJ4s zSK{YfcKR(op;uiZ5?=5tl3PTI$@R zRS5eQNw<*B%Uh@W#3Euw85~>&?K(d}f3wlA=5^0)cWp?miA~+cC*^y;ULJCQj^c#S zYX$e$tp=O$PoU_>+(3l2%2j{fZ${QPveV z;4gUO?C6kEk}v@l5&Vy-3X}V{%5inp=C-bIOtq0t>i81upN4#uWdCRnA74D>iNcJz znT|9NY6;W6K_zcNoB1n|r!B5~XV%D{A#oX+^7$I%dh_;WGcfiE7sTVHj{dsj&+{Yi zLE&QqH%M)8w^X7h;W13mn;%@}3%bB6@Z^ZY>B*~h;dY77?>|BnW$|A@2E?q?VWPGG zHH%IX!KLwpLaGBA8Y(RB_tk4{<0Pmw8B$R`_7No|FmiF4`Rn@0c&G)0^c?)CSHLYw zzzG%p9KDSJ_(QI6I;08l8g0K7GM8cA7`qg#@Ci1FHp@?fZ@u0fNZ}!w9H>I$&5IAy zZM3|b<-0~;atYVMqp&!UPwuHJf41OPgjzw7hON%aM}_5MAniISiaRNC*YpeSO5(G@ zr&YQYqg#9Zf7rY0n8!(*&q25482%!<=4^Iy?P zi4G$AcE_DG?Dcb`&mgz%w`5Z3ZCa9UOeR)ya)4eQ)xp0pD$F?2 zdH=jMxqbGvP5|@l2*?}8v+yB@G@FC9u^;qDtj4bG1%Pw5823PFAgt^}fG$6I$o$ZqeYW!wJ24zi&1L!ipX zYdf|3AvWlZ#~(Qv4Hn;R|3@3`1)dT8HdL4L0I^lpbt|7Xs@Pn4dVn}1M|u)7Xavu> zQJ&7s+!8K$Tokd<^Lyb^^3sXgW+WefDosscHiE?h_A2wmJ=Q!|*y^#MD$=rGkR4g-MHtF77R zHn}(LrxU5=CXWoSi;*XXw(@6UOybbd;1=jJ)|mF62!VU=v0=Q%rj{0lJChrll6NjIbomJ=211otI8ig!{ZtMpkTT%P z!z8GDlY;OPgNwU;Jr)N+VL3-@;{hUklgp46al{MQp3voybg{^mYv#SRdqN~RM>zxm zN<;xSL;NI0jJL34KSV zkyPwPr2!Oq``7S-N!E`;YOB1zizeRm@Ix-5@#fOdA9PBY<0o_ zl%SU z{gilkWs-!m4*Hwn#q=Y5L`XTS{zurAT{kBD=f#Knrwbo4j>U&;LL`UHABV1yO)a}= zZO3Hpo--#RQ|{Yj7eJ~nChS^`3h%OR(SniEkAc8I(pc$u$jAu#(t2#GrJkf!B}z#p zrxfEpd{gKe93o->6oI#xn7iLAKj{|99wDl^SQd(dnAl;e-N5GK?1$ddxvTu=GA^WL zwpXj}Kre$#yjJqz3S5E%uO1<7F@|!e@uZLnIC^Z^edL6LuG0vbh$*aE=oqM)1mEDQ zF(qUGDSC4xl|4(^${)uN$9rmnZu}q@YiO`@Byxx)1`(Lb^#&Bp2Ldp3x4dWh*}}iT z@1%1xepZI#FtX3fzj9L>5=(?wM(~VN(=Dzpt1W;;1|Vt)5~)} z8;uDv^O)CDW_HbR7AqT(E0~&a?ro!Qp`#>6uZE8eEvyZbwGPbL#9}*3nM1;7O~6TL zpN!9lCA#)IQ`QHvAeOwig+9v;*{-ealI-srAcJJ&!KS_hsfJSO9>uESWY~M`D89x^ z&1vkAgrWZ;Sb>o|Ny=!XVY9~9V}nB99}spnVP=u{W2v?r2w^$wOLH)qXqoxtnPDH$ z1xsPr7t)Qv#1Wr_CdVP1$TFIH#>$S9`2Ha%3Y@%Qvo^$xE`|xJg9nm2VK#^#slK7b znqr3arDIAZ(Uwf9)^N2YoV=_LAHTh3&>mE>ahynS9v$iQ|$1Lnf~1)%O}1*Yjq&oxLL z;c14f07T(DUA8wj#2&Rjuxnk(-Zpgp@{h0fQ6BxJbhT}B-{DB-`qV8XJOE^}g>|(> zlDruyRNkfaDj}Uz#;P6u?!kOyD=WcH0IB)_ReE1*lvgt+onZ{3QOueIz&kN{npS55 z(+^N{Qwr(%wr{kWru#^S?g*l0!HmMS5T*MYp*V#|b|5kY>DtipTr@=%auQ}O8Yxk# zQeX;p(z=Cg!~He1eb5{e@>B3|8#iW7eqh+@$U*jC{+)okufva`0YnQkW8lI-DS`K1 z^G2X}<|jz2&ZCGf4Z&DM5va))}<1~CUkNi+x-^C%h5f) z`-bm3vOA2V;r8zrKojU(o@e}Z)K^_B<6pJ~u|Xteu`&Q03@QW}-N2tY)??E9YB3`T^{`TG}|m3^-ve^SAh4x`P*!;bB0h;o5RjDm%;Dz}wv zC2}TO+pc|1~YH(DW}* zY?1BVeSgmIhg;Jcg8L~$3X9F3-sz+>J-227`QYywboH#z?VVRs7=V6o3qmz=SXVDt zJ|Zm}uZuT=|53ukbM52%Ck4;KgTE1ctb-F*?X5gg{{7i;3=UBw6&6us3*XbFX&K^^JZ~br^EU% zKgl%aW5(Pwi|xK!O@W#*T5KFJ$inY=h8w{58V~qhlPNO)gvgMuG_fW5j_qwgB-4VY z`X?*BOQ}bgE?xC@8*6+{ffZK!_0u~lwG(c`16O{~ufsrK908ZH4>QGPve9bi*$>@K zz~(*8aIYe?f1o^}--fR;&;@EjI%Ka|^yN7h?pxPbs^Yj&J<&(|dKyV0D#ZFi;aMAV zp}Zc4B~(OpfY2-l%D4z3IY5va)9x_nN*JXqxrA1g!d*WDk&fZs+h#}|Lp(*Gyhp1^ zTLN8g7T`JvcGxPB4tD3lF5Cn1RT1)CNb&acYmWzgd?Ya<*m8SDe|o?1D^%6IGH4if zRC;*5L5-Y6vkG;C#Ha+ce=A`tt5!9CU1fuPn6*7eG|hzEV4v=gJY6*G!}Apfp6 zaj~MIMmJsY-QwC1kq(Y9>ij$6sUXa2Wk87N_l@S*^ob{s)+Z*ukLiJl7~u*3$&|qr z(ZEeA?6|gw-I@+a@M?)c2h6Q4W5&Wh%DbHKS<912)->MFh9 ziBsm;kjtEb7FLiOjzx%kK<}$K0GT5Nu=3a`;w+`aYr0sP-C(hxO%n|jS-Yh62(Ln! zn7%xNEigC4R(rgEG5mHqJl+&*08)7criM}xOCmOek}mQC36-Vl{ttJA07&Txxc?DF zH7K22pJQYjTkc*9J7ioozmQ^ua<-~!3onWrPl3IA4guh7CQ!4B}?$%1ygQK`Z zdkB%+twX}fm6F9-8S-RV7E)?oNlp-=N#7BQ$i<7?5 z!2^K*+2%KGhW6scJ!JFgx6^ypl=tFOrk+wSh|AbZ^u`Gh5Rlu#4FSu#F)aQHWr#lg zSnlNruF7p@apxJOXD*Ns0`yDjC^`ip4FE=(22N2N`O{7kMDa>Xiyqu$(7L0dD^8b6 zEr$tQFt(+vJ-lQM&AK7j!!mF5pvH*kNjPJZ`oNi$O3fcOFqe3EV*nhyAutmsH1u<9 zv7zF?krh=GoJ_a^*!{XQbM{pmi_glHIQrSY1u<~_YQv3No-S*re2M#rQ|1Z{kM#Nn z*4j??a`E1eQAty1*fgoIIRCp=mO{g>O!e@9Al<>HJbA~!s>9hwMSXur2iN%!(WfOM zPBg3ARB-Ua^g6EJ1S!%^1185QZP)`NCSFYi%4yPMyrldo5yN8Ta;k+bozse% z?5_uzCL(3En2WJQ`55^-)!HaBaUL~Ljx^)`F=Z4{bON_MsR{7|E?o0^ax6)J#4lF| zRU;HDjBPg%b}Uiu@TU?v~CT7VF|P z%+MhR6oji&?hdk6!oBPJl!G?Rt&N?5;6RL(2nPf=vh)~nY5`Xi$@RKu(M(TV;MN$7 zNcvXRQQPOHVWMzg1$M9@l=tjO)19pw<9oL+n1$}Q&tu@*381Q;B21vEQwS$1*k-AP zt=`}$*cgBKU~DhNK{p!x`%3zCBK=45+Ebwj)k6bJpFIk!VLPy(x5f9ZR4$ul$&i@a z!haXGmP0Hbb4x%k-?Ti=4U&PAzOLnIgWtd~BHTuSZnBd}+>LMo4tgy7Pcr*%)NSK; zjDvSB2@MGBS-?8>!@?>HJzSNF@O3Pas=>qM-Bb*%B*9v<2n;23&`?y(J-E5MC<0Jk zyKYX{M^WAz=mTbr(-1-hG**Yx&2ax zI&BCU%g51uehJ~t3Ef0VY5)S(83QX~--STW1X~p!H^U^yHsHaUem8A#0AY1f5aY(jE@c-b2> z*us*ZTt5?T!61&9PF{OaVJ=~G!Q2CoTdFO8h#C*6*LhKr;Ymr}LGERS^P&5LBL5U} zjH2P9E%QL#{$ot-C7DiO>Wo3L$hS{wmL{FnJ{Q7N*if|UfEg=Pg8lL+D|7n+yTC0e zTW`m}Ic0k9<90ZicLMc$$Bf)Sc*m{}FR6z?xz|?Zva^@pxVmFUpLICZF{E_-IEo9> z(>V(1lH7$!LLFghSH{EOnf3DlOyUwx;sj*^d#s*D*_u0FC9LlqrLSJoRleqY+RxN3iKjNtG zeepgy4}3g4ZR#$xlQH0_sR)#hSs;y1*%h8|{z#3E>b`Jo(iL1Cx6`_RPz{py#GNMR zfHs~hEbP%)UK=UBd0C_`SLKMHRf{f&E|-{&3Bus3sMe@taud0m`Jz z)@&#1)4(5y$$b7h0A=4Zg(i2D89#C$xD7^)E1# zKvllUqPDCzonhLO`1)wKu+QZzOU->=U0shI157W7X`cn1`ZZX0=FYp_9jjd>QX;|q zPId5dmdSXo4)O6$->>Wd$;b%|l3d~#>@73}1Ls^9;zy^IrO=l40%39Cm{pK{I8&*YcrRW{KxP0QP@>t$VXf2NdOK2Ngh_1~Q0#>6h$ zdv}EH?{nZ2)$BgIBMt+1vKP?rzq$FZrz$m=dt|rAvH>5Cm(^vDn;eT>?ZsZ73z|gt6A40_y1!32;QRB{mQKR7{up%2#|3e-~ zXfpi8uBQ}ee_00KZ8qtfFR-MA>6v-ivGP8>sGv;rPrM&S+b?hdMAbNV{TwE~EoKwQ z%TX`887zXjY)4_%L+|#{DFoHCe?-^ltc!=~ZuxZMk3S>$+%yi#P;V0W?f@QErA_1t zh>Ix)WxEpzd=K^rWA)-e3il_xo3?Q~HROReC`H;cH$y*DR0)#k%Xp}@lwB166qyAe zRZ+Za_n(k|lMkCe<=40pJp1(>Mg`Q3W<2(yl4)SQl@G>187YggHK3)av*)ZK`$Akx zK6S%a?I?v-iYeA`|GY9UMe0n@n^S}51 zdvH8Hy^V80k#R3i;g(z*%`*9WbV9o(e&w8el2Bq@iTUdsw}L`pS(Cw|ZOCeLoaWk zKWZ)D9nEpO(nwWg#B9xIDyp2|CUE+~fN2d;vKiphQmNV{K*dz2oHFGTgi; znm79#D`88ihsp?znZF%oRw3TvgpCqdsR6#(iR&#zw#!WU9_G|C?){ zsOtuQTj2G|)_}p|`@Cl=vgv`HzCdAO_j#<8Na!wELJq1>_0G*Vfu;is23GLrU`J0- z1#VaQQX(0)b&YH?yV2!Lb?!`)^#P)0U4d=nj;E=mSj&qb?rwFT0VY6Q$ZVMGGJ~mL zO?B{dH~ybH#P4OhI2-8rZzKa*+8Ghrw_?NDzhZ^BGZM=xnw{o{v=y8jL#OnU z85B&F3M}y)Vm%-(h#T}A(1m|iaN!QpL*#{fO17Yp@i?QIxw0xR$eb5FnHGPUoGz9y z-F2}Rpsj8(WPiFuY)HSW5uI_DX(n6cPm>i7)F4Zqy*_N@_#mP)txrj`dlBmXww_gk(eIk<{6@h*x+z0&nAfr@ zS90xoW25{Ik-j&b_UuI6h3}Ume({9(xInd*WkwB~rr8ld6fMg~kR-L>_|zj}yw?s* zfrh^QEw5K!CcKz88oDWxWGs~BM+z^s7kxP>S~8dBuQ8`e)hrEU%esyt@xveXfs_n;i)RROZMhl)CP^a5KP(>VNiq;;wstQor})Z# z4aCTwm?vLmyH4|zxs3^&7j2z>cJyjd-6+ciA~`=t9Ag$aH5Y1l+aSyh`x7SD7m{I$ z`8|{jFf&W^pn#rqEUz7zA4V+A6L5aHl=LpOC>F^6WcK0lVsHq%Loi|LpBb-R7kCiE z;c-ufjO_Nw(l3IDbL|jQ!WNi+_GF>POAyPZwl288$8`A#xhQ`nPj8A{l24VR^2U~vV8t5q3!2Mle zQ}{KOc|g>cr9e2TH1$SqG(Y0@^V|Nl_x=1F^$f3mhfBoa*0+e9y-cqNyGxp`){sTPu!hX4xZcl_j>GqnniVA_B;28c-(6O+&cAJ z&L8&m6woe#sRa-EEWk%dvFZ2>jiE3vj%OhABRBtJu)~>};~8Rj85eQ!PXf=XG*9m| zPqcOGferN3Rw_j2CAyif1^{=Uv0d7uq@!9R zJ;*Ee+~P!&TZK8^5PLoeasam$|^I?+gX1 zRq^*`ELJ(SZTjt(poOie=(Sj8k4z?cR||p+l(z2%K77>NzN-vX8y57&QeQ4I{Y6Zd z01wbh*_GKh@V29JM;KgL?QM^xww*}sQWy|pF}bpK#~9b8)zI$O!;_3jVv8gxum)Rv zqn|BzEMh3RU({Ge(zic+C28>)e-O3LOl3kAV?k!Dx<1T*4cIy84dMJGAV&GdCkawi zu)%y_(Zxr+en^Qw>1khrV1QpFjc=uscw&->ZqqHAF6w=5gKxB!AjGJlXN?wDzwq7Tri&Mrp|PA=vvg^$`Nz5K^gC<<6=&Mg6~g+%=Xb~L6!!0OCkYet z=dr0A(jRN%*F{Vl>^Lp^ZQpWECf#7D=>`(va9_u*6VVKlzi4)&4aEba|=5+ zk?bTOxf&zUraDBaN;L3)e5^2SB&|=$*Qy$EHnY$_Zc_!Bt;D*$i0EWc09q@Zsy~=F zN5McFy0HE;zOl4f21PH=w9g&VGR{Y&~h7e=!|>e7Jp3`;WU?FJiS(cQcLeS1;82+xCBxS71PS z6>smNogLTGd@5@k`cGb^1Lf5>V;rEo61;Ui#lF{>{J?bRj^422cv_$RNOAdM?0)dE zPa;4wbJr9>jEjbet^9|t+@4qT`vlskXdrmakt^_`dsx8FaS_1KrKOO+yToWx$Qe!I z1;K`CUJVhm1$-Eyfjf>gjAI3zCQfw0sIn0!1kgQAYDM58$=-y8FW4JK2u2kF>D7Y$ ziWn9WwEGxLj-)cD1sNq~y%#p?Y|y4?+KU&ujGjm!l|_N*c=`g$#YOs*_5c?Mg@roZ zmM>v6$`&bM5Nt^s-c90C3OLBDwTFx|0%g_is4T>77AX`uM_&SNkhF@Xya}_?EWIU5 z8gsx;DA}H(rfl#|Xai&e@k+DRa+?ana$1FPx|O}kwngqLxER7JyMfr0=JE(k zZ!(6$GfNvdAtt-34K<5+CJ&)5NAGxCTC2;E`MXt%NJw4UHwV6sj=3$#!T)y# z>mvAnX|OsZ>M_z&2;cs{L|C}l?gY)rOwDUeq$PKEyHo@$4i9Uran^fFw=lY&xl=?P zEQ5=0nwW19XTC4C$5^t%Oah6lWWyV@U8?SO#t!Cqb+l8%l73AjJ7M z6f1(*c|5q>%2245$bM?KVj!9g*E)s#%3mn`?B@`(OehJZmb+a*`7ND;TfjbA|HP>n z5yO!q$$4auN-A$gu4eCX`EdTWd)QphrLNk*uFlv$8zXM5u!IICL!n4Vf!nBDptQq5 zy&ENLO@aZ#2cSp?smzHumsWOPYH+>-Id$^zzi<5y$Wq8os^F^&2Go)nY5VsmG9(HU zKf0hZEgAvVW$RmMSmf6fZb9C2KiqYYo-kj zb{3aeN6qcx^&iEW`#+*sl8gTnip95VKO+s>!8CAJT2x&%gdl*y7!q6!o{o9s2a#it z)1xsCC=!JhY8dF!m!UFs^eB^D=BRIKgTU4h|5kxmnBl+MRr=eH!TA31Z8|^5g!j+h zN=#*5@(0-1&!9iurSapZfB7euitTDj*sdrYPk>vNw>IC6pWfc$VZfyuS>j)A-JNpH zz4eHaU7O-~VMTPM<^gxK0Wj!JvaS3?%`m?UpM4wsp8By33+Ii*LVjm{VZUVYp$)23 z5zUdoNE25CsyYIPjhC4rq44u??a{(H@X+{WMq$eS2m9_X;q^N>`hvtg@CC@@RAUBz zb=sNTd%rF}?EaN-g*PGg%^c zi=z3ALYnAp8|X8@Pg@(>#5`ZcNr(S$2VLZK-Q4IY5_jAa;@oIam6m2tT$nv&FozWl z?x8|!GNTS8{Qf~ToUI$UJkt9L3o75~bC`@c zATrmS`P%GfW#LQPd-92NI1_Qf6oSM_BWf~tc;Ii)vi&%ioTFUGLeg-ABX_kvPzzil zKA@h`N5a^%>|zuOf^Zm|;Z@Yr_3)OO7l021K*QazKmCP?dWDJlf}y_~=?ebGt14d} zY9V~VW3go%Me)-_n6sdNy!6fxXuff0T>X*U_7;dfwXA-@?;n_d_oC7NRq`K^Wo!FC z7g-qV1M|8S5_$9zd8!herlC;We1SQd@4^P=#GqtxNvP5oifQ!4W+h^}V-rp!Uh{X91rt&2zM`k`Z;=dtRnGq>Wm zo=ErFoBIF2vAS;lR~+l>|Ab?8DpB=%#8dTp9WFz%8P^DSY$JxKFRzhkW37W^7z6@I z*0?DCgGl#cvPbw4#qxzPN|jC|aYm!Xr9D}N(-rP|W$dSNPswWtOn~R##EtxOndCF$ zgl>k1HX$VkI#0Jx)6PdXsP6Gsif+#v#(xb^{601O^~1XzVREIH3u_qpSi|nI`eNes zt0rV}A}twuksO*;l+A2%qSBV)^SEaJeEj(B+^Oz%7&}4c4KpH_XF!2qK%NX#x}WKh z0s7m}Po%m+z7bGszouL^dR2~ntBLOJ5ABK5=BNFa?iGIzn5?AHck?j5g%`iyF2OfPTrm@7O z^R$HuL#0l*GlX9mvdpHgNux>&s>Pa|lB>Nk6VOs2budJzs9W5=vEgpvEs_H`z;sD` zRHfE)ygJ$w(yIz&g+3Wn`*PY~YWxGMP!K@K*enw`EgV}v=$gOFDlBaT*q{OdprlDk zTG)MLV0F(@2Z0i^a$^^te{7j#*l=?upr`E$Ccwo61?>EY9zuOEqGte>no#AQnpc)3 zjr~3scZwAZ{nMr3h~nblmjc2q=Zfj47FDk2C62L4;Egv=&w>a9A~D+?H91j$$|PUf zEppW8YSdscQv+<7Dt5O@dR+AL6T}0An5hV70V_%44Wi6&9gJjKnay;u*%+OTvnh4+n1o@| z?01>OrRB(f4=Bpb{E)qcZbOh8BKLck{x`V{K>+A;O`ls>`eiyi7m`7Off{ljO`H&M zS0S&sVeoYKr?~9>p}d=@OS8iuX%zZ#rwB6}*o0D0tXeLic*c39t>ot0A6(>e9B9>3 zWWZf~QKtg3TMm*Qz;hQ}E$R9Bc=O`>`S|$av=2-a+>1`(bPu8{Qs8ktFN16V?q~k$ zAL;M|JN_TMqVD)B!6_pe4WPqQ{Yvd;ZGis-gbr94s?oq?O++MWYOt~nN_ha2PcMxv zh(w(b)NFrQbz7ec7=wNMVPFbPP(~cI3Na)VI;x)*^ zlfD6x?Oe@3)t)YNiYBT55aUzMWsDuir8hY9<%NWEme9kmu=9AP1@-FNk!5HR+n8Ra9 zul2c~-B^AgcKA!U6Dvan)Ki`p?W=gMe>6bluCqsgl(*>cO0JY33|N?2PYV4p{ViFj z?#XTi42Hnu|0s%pz&i4o_Mzco!6ioGhsLWNr+>t1r8f*opUMpTs>fw3)QBVqCdbiz zYS#y8`|#QaN$}v>#76zJUn892C{2JNRPz?UDmN^R-(2m7hE9+5ZBZ}!r$atZ;;z+X zs>GGf11?=^LL=AAG?^yu5h^|6UC%V9-LNt9QcYziel4TjUnQcP?oMBC-;dAtsG`mT zt4-J#=O*x2oe9nL0J%s zQ;p|>hHd9&rjv5x?O4~QgJTYOVZ~e=(-pIIDOIUbPs@1@Z%WQ)T1n9(z;;>l-O(6T z>?Z_}o(+W0Uut%m9^?II6IXeg7g4Hs)D^9vxX@z0?yVtS;TCf~B|IvPb%q_7GxKPH zg^mP$i2|+^&5;8bW)i7YIa<7ng~_cTLPfNNi}bQ`MEW;P|HqciYWm*u9k)NM3D%?YUew->5r>&SSvy*V;wfSc6;N&z*zAu(l4xIZVJR)s9UB~& zKB8iB^fY}1hO9*(k(Ptu5RN+qx0rHG+uA}@|Gcwv6zTucNgHyC@tpYOJ+%F;_YpQF z6OmaF!V@{HubaV!+d>5~{D;eQ9YU`$0Z0p6SseM@ff-MajObyfF`vbr8{AD2gNNO0 z`kwjzp$}44C|n9<|Ko*{corBz(o_)FqHV5qMpz|Jf^$jcXQzWEDstv;uyW~d@T2oR zft+y+7Tuo9?qqrCjL3$m<7Dc!;y%IDZC$qFl|JWCpn&Tum~hK&o#EcXD+pg%3mRr5 zP6B9MlK`;gw3#EQV!I}E5j{?Odx(r>+*EHk5!*3yX+dqq8aa`!b0x7S2vaBrVE|bV zj1@7n2gIYnuQ2_wx5PGT3B;5OHp=yJkY1;R;z$z|i##afM|LmB6_rDQ|9+L?jspPS zk{&FEz(YrfdWnDyuolQ>wpH&-g|2Do?P>ot?x!Bo? zp1>2&!?mN}i2Oi6ZF#d!3;nQ?13!d+{3l3zlbGfT+mw1X7A3 z8Y?e?01Ag3Q&qbDPU^@fIA21@zBc-EY?ajgZBDJE(Vx~C?StE|z{4tCS214^bah+} zS9ze|ZyJzck%Ph=78i&(d=r>s^vA}Km<;N}_xwk&QV21|=7L1DJQb;Bkl{>EdsV;x z{sTe%H$9>+r_6$<05}2mVa{U6r{RQisQg78xGMS#;BZ-3`Xv=pNmZAXx!UkE)5nlf z;PP^&5KUWJK!jyf2}D=|tV4lsBQeIXj8Ii{K!g=ci_%IB5M%rf86T-Ed{6)cSpS*M zrTOb7g_2_Z&gTSMjK~P#dqR~m-%akIV?Ep+IzJl(q~F@@+Xm$2!urrVrOynrrvA49 zp|Y=?43Y)CgwrNqNCVDxhr>+|VJFdAbo<&Ti#8~`pc>}@jjG&JPc8sC(o}RH$d_V#v7C;0e zJf1`8pTu%ES3P#)(UAJudYMZ^sn3HfhLFcd@x8$a z>`cx*7Xiiu(asgrC>z>dX2hS7Dql0VIIzFRNSAMR(Q`)tn5Jw-%=T5aGuyOu!U;nB zcJebTpnxll#Lf1^m8+nT`y%Clo6F((p#b`qYmv|>EgXu9jjB})p&fN~2s-ph^Y=lu zd@+*dReWS~6h2?5-u)mi#VqI9PFh<0842g){J72-V8S%xj)RG`{6n9$S{Aoc@OK5L z#k^8F%5^fq@_PO`Jo?cGOa%1{EBJkl_LP3Z^}N?=eWe{|8OMjc(yx?*v%zL2%>)(R zBKl6LK<>9*Q(ArJfC6!>N?jiYG{rnsL8d)%tBH9G!o_(-fo&f957-V1*bNvZMlM!B z2e?&kcvmP!!PGpT9raOGiHCkrq*>s3mN(>6=@NeMvVdH^8~@}ou7SwmK$abBJvo=a z4uMfMAwK)a%$SQ~%ux%NoeH>Hiv0+4?(_px$0d>;vxM+G;(pnb{Ou_t-m^*gS(&Tk|g6sQy8fpq1R!xjhT*OR{}4U||p zbL;!O9ik{&GZ2I-xubxj>k_d?9ic~E)}Ic)b#p#zF2D9529koi-3NCi1at)wsrJ$` zhpk5)Sd>%Yu8~nbkm^-C2(>R&z&&H1&VYW`vWvnn7{M@D5t5;5L)Q{lIqRFkeHHlK zwIeWTu51cQbP}ErpKnyn1k4)y2bgX*>TDf|UJ|E&ub>h+f9|vkbQ=;tFUR!)Q07e) zT;eecqHYK#FWZW|$OnHUj^8~uubUktv+kYUOJRS~r5^Kede>?Wor8cw;{&3seVN8F z@8Cj(u5u!r{EK2zQ9`m#yuK1|Ea-*u>0@#O#}pZ&4S{NP?t-Uqn(3~(+6z4|h~UUb zD9rB+K=p8kBX(}gE4H3P4)VmvGKxE4)Y^}=eG|#na(N1JZP0E_Uh%N)iZ0DX>3F-< z&3opsfQa$X0=|sQ53+SLFK3SJsw6mINXZx5y70*qoq_*nKVXFfgL;@Q8(+K~PAq?z zUfK&;R+*Ec=>=6bGkMp52|S`80ttd%p^JLJRrm zoF43U6V~SZQ`~XVeZ|?@?fs;^Qltxi6HTNv`d*rRFDco2dBiTGB!H}PmLglA8XNMS z-+TK%yo89Rw$RnkV9j!NKZ5 zl(Z;}chl{J)lW)r3x)8isn6I0tb)+1j8njuAd>WviLyDni*=pt`(L)|^>RFTz;e`s z@Z0{OsjhGChmGjy(kqzh+v`Bje`CHZj3b9Hlw zCAm2m*0XmMZUHyIJ1SoO=!L*dOnpzhZp=m>=3tza0KDDa(gEj@*a{B zfxmM6r|~wTHYTc-jg6M8mX7^fb^99f>R6dYBKnx#*iS}Cy1$%fy^AG0EbCl*(`3Yc z|6L>OuCH*{k;-QKL$j+}O;tcjFQi(Z+QR-pq!qNULq()&!KIM0abS&T4X35Sx>`p7 zh^p=^Qp$dc0`FfSR%sQtaRBdMaIFIGU#LdV&jTRkVwZn-wos~U3S^qL6>Ah*dz!YD zyDZ<2Im^||cr5q=7Y;LMqk#+Vay9frhMP4AY(8|$YWLP3?&3LNbho->?+nc z0-mocwJUkj+0$oacWT}$d#L|m13vVe(gR_c;Srm#8C?xqzxepue=EiEv}F(*3F*$C zgR=9jV_fwhz6O5vsea;vUPVxbzXR7C=T&R2&Pg{lvO4bh1{c7l&jvSatvcZz9f4D$ z#InypYbLweVA@uYw+nnyx6nA$8sp1et_A`4s{RuIxz(r1yBYdrpvypLn)?HTqa(Itro-2V!bY>d2@&h8S+LjG<4b~(rR zt*O2f#SVIs#9R8?q~}e72iZubYt$PB*xYf=TZLOGM6-ZJRH-&L9Cq*timGNu@q#KQ<1)i5_Ieb{R6di z7DX<E{?QsY1|&k8x(-sIany-XCi zZy(umyIW|fmd>$0^A=wpr8+xm6-lTuRM=kCxz!~xI`DP9TTq;%MvmQ~J4}PAnJ=YI#@r$MKr@CT#<=PS2w^LWHjv z=pGN($s5h}_={oUJN!FNU4yev0%Kh2+Inl8J94qAF2*ekBzOy=b9NNaIn-gtdauNC zl{L6XhxR@||MqK|1x(KOk}xlmoEWYQ6<=2$NX1Z&y``Q^Sl053CwAWH%~;+zaINvza<5F+JYYe=l^fq00tcMdDMT`TzA|!QEJiYCp2nNXyNH4BvVjm0c+bOXH#gU>m|4(rTif1&&qPCGSjQj zTDDqh%=pi+t7H|LqT9#s^S$ML$Sn=bV-NjLI6_DQ1{?96gR)pC*mRgGDo)Deu=T&* z{1~-$46eRpjG=^G-L%p<@4MRSfoigjyjuE^YOs>!IWGOYL>R z#emnfWMuBFxlocnG^GwQ9hu>h2%5r>CA=ID?C-X0rNY#sAFT`bEVrOXYgySVAP(|u zkif!6u9HEVxeIVe`!yUpfCs5Lct*^b5T-=I=}HjQh>|6Yz}`-GUYu$-*fx+q2aNKJ z2T3!58zopOVqm;pBFscpKtH44hl+#|woPVNZ(Cj_!l%A&KPj4`^ zEsK-B>PkY=T4`7rc>MCk1DDyme(~`2^We!AoF29U(D{(jJw}>gJ!UpsI4If5N18db zJx>0dH2BtyN_)&Da&et3)TV?^NWZ&Xf*s6(yJ*`rAM_v)w9;v1)q8Pl$C)$07D!&X}xka<$Q9=8EVx zTybn=uuXU)IExVLhzAo^fmJl$`ZElzzfav$o>jmbK(CPMU3}@kW055XtMfC3PI2lf zTIMS?m4$wXk8Y4tY5Js{hsl?QH$rLjK>6BC6!C@=4p$(7xuJm|5eOk3C_%CLa5Erm z!rf@>e!934eS2H6;)Ri;)@i`l`i$(t5!*&6u!EZAlG$AI>rEH#aKpGFpr9J`k}fOd zgmW3QjCVu3N+0ktD?1(ZGQ0Upzs42$*m%>V1(1p!)AU+*K9#6_Y&xBb zHoUN%|Bct1?x8bZEW;Iu67BQG+uW`4#@o~_`qo~kAa(e4PL%28*sZ(}<>)2GAkpx- zW*ak=v7gLPMuC{a?wN2hE`9$*ZYq9+`oRzDkrT|PkjZxyym1-KH~%H~dD1;%Fbw&z zJy!Cy?sfX5PW0NnnD}Y;0GvK=&0bgeH4ArIi~1~M^i;cC{O7^YS@=<<*Y2d(uA-+y z`#gN}eD3k_wLbn!z{WPG;sUEYd}oy2U3r-BBlQJR;S9U@tAOb&tpwQr*j^O){k7Mr z26fWyZkLSn%CyD%>nUcha}jRFkA@8xb7uW+wv;-W&xBr?{x&K>;R&yJ7bQ!!@8K&6;}`#%rDAUpPoTi zo}N(wn`GswRnU^N%WgAVy0e|=jdo)o+1Cj&nf2w zYUZ)~n}Tz@`5V)?r998(yw+FbD9C2->SyGWI=J+gxDJyyc)Fn>2@Diw;D3d_4j)$Q zDLPvf`tykak~J{>Tn`_%D+Y+0EfJLe3ictF%~v3i8eYD*s^ty`?gD3H7tW3|(xcRqJJ)E-t{A2+(sh2z$n4t##-U)yV6$9{{gePwbA3bs%VR(BC9nYLML z_y-GSlqH-M{EcPfWs_D4B6$OqDU3b;7kh6RR#yxxisFUB#vO{gOK}Rt-5rX%Q>3^{ zi@UoNcQ3^@THM{;-L-GgbIy0}z2AB7{e3^)x06XSNhXtICdpdaE2$XS4qI~mFlMbi z%*&&D15U%HN6h$V*`4IeI2I`xXN9#2zf}aT2@0Ut{)|-~8*8ZI1P-0Mnug+eP8ZFlhq47#BrL6~S5%o$aASlF(1N7fFrM`VwL-*hJ2o z{8T^b^v4E;{cZ7@tk{9lVCmYC3}mBSXVj2A5;OUCtWLZ?Qm2H5{{zQfB*H;)t>3CK zyoh2n>w{W%8<^5S+*AHSVs`S~3jUzO?TloV9`JKo3)X8r2|dikEm<#a4hkl>{VGiK zW&UX>SM~rFlblM!9>sFacBtgGJ)A3WmjgJM0M4s>S+vleD)h1aSZPUa8_lcr-9t)U zOfgPPF2O{NjFRWbqzhd0#3*)7lH*7(hH91pj69OL(TvPuSmo?w4R=U zW)|Dn5QhGwfqMo5q1HeD4Tr}g`lzZjxv42>r=jSvGBccO8NYm39GuOqu=yYw(pc+a zL9g@u&3T!A#(wO0VC36qI!;>?URil<%qv@~we}gRd|>>&`-c3_!1a4lG2!Ts?=oIx z25cUSdDgEx4yy7sZ+OGh{s-s%iZ^D<6OHqo(*9}w_=-gC?`R`Y!d^+9Ff?aO@*^7Y zWu=IoeB4}#g>T^a{Ph{7^K%+E&xAwqUp)!s0vN_+8nf$LyR1q^7gMHIG12&Yky6x& z$|%fTIvRR&O+Fdf5~4voy$)5C1%qOUGPRU0yApq4*?W~wlo)1+{zqqth(}vmg*PCs zB8YloMIrBZwy3LM=&29-$_quylN3@7wP9&?T8WR<)^$nbY7u8)_M)V()z;q<%iy9y z`m8iK_r(U-TQwpo3A_)c4wy>v=|!~ROy6a#w`v+L<`>;;Z222GiF62}DeaMcHsp-zHw#6vC>?MOgWqrl zse~gR&VyFen_=<6*rlN-YDl`oxJmi&zA~W^{&ITp%S_*R!oaxd@Hpn!`1Hhh!t}W6 z+&JdqXRFEq105Di?buftEo>Qq%_%D<-2IN>DU%K!qU(+UfrIDUjtXQ>0#^7Xp})PJ zz0}WUfp2q6gBGA!$?6o1%rlelm4%L!oGMxfy*VC69Bpx$C->EhUt=dzG@~t%g|W_N zJJhgHe5xE3{7Y)&0@Mft0Qccl~Za_L% zY?xgDXhm8`5sV@t^&hwdOaUayV3h6|Q~{(Sz_r}sk|Yk9>a&jl!jWs^1x9iN9YU2i z%G#ADjx9Wp-!t)f>_HZ*Lb?~Yi@blv)g?k2&DiP_6Z~gMj@HaRAd}!=vq46Cm*?^ z%X@}$X#hHBzd`;t_)S$0%~bk|6eYFXxRB!L2i*Ygs@?wU?@Pviac{a8eQAhTs!}lP%e& z@Bg&PA6*VBZsp&w*@kHUa?<|k;%-))_&Z8XJ9%sm`wdcK0kB^JTP$siuJo;B>Nkj@ z5?YU!o&SGtb_Dhdqqu*|(utX~U1`Qh{oHq>_*39W$4L4bW`@50kAF)=~1f&MU&f@`PRs|BPVcLSJ9FtL+k16Q?_|S~IlF`RVT^U!8IU+<{(ki- zOWmxA3qldOA{TccK@M5!Ek;JBGii_=(*SRrOzo|U)NJgRxJR|Vmvv2D-ik82Wt6A^ z$|)d-`-K+}rOQ-e}(ib`uQNe?8#I$OWW0LT1bm5tc7Fi$N-I7W{kP zcncR1_Dfug(U%12qp%byrgv3wXOpzL(WUs@YBPOt=%qV&g@83etM&c} z9cbDZB3fe9)sAH-Q*~aSGe*T-@&286{#5qX6d@{9czbTGr7zcPmc%*(?m?+PM-HyM#HaW?OmC~hO z6eN$oTT)Nb$&sbf&@#ML{k4A&JR6;kEQF=LA!i&xQ)6{63jnyL6 z0=k-_LAD;O6Q@nJ*vTx#STd58P*AB>Cq`LfJ#(xnb*Rljpc&Vq-t;*&D{9FJF#$mz zsMWxh%N%^KQcGP>8|82ki&mEfLLlX_c3Vo$x1a+LC+!oOsq*#u#n@ZA0Il+afdW`- znPAzLlgSp?!B*J8F9KhO+UYted&**I3<8yUAFECX!6Agb1(*=%W7r$-w6 z&V?ObfNvzQ^Jib8PFD#S0GIlkK!hpH9Gb0k$WtlG8#? z1IMQuDw7~}nQq7LP*fdk9 zz=Ic(Dfu#2pci7oFE+6jyg}jjS3nJG$4I|(fOYrH?-Z&d=g7^ zj||*HUa)E6rvQ$rY!9geyBrp$72tw5>Pyyus^0!v)&o@3fZ1K@>TRaaH~hCUum86) z|1u@MNaRwBd=pn5mP1jNMl(3M<>deK63+)QVPWz=7isk=-yI&YX`G$_Qg4rbVG8#^ zfj5AjQM~}AeLQ&DRUQ=U1KN_q_MYAwMV{bQdM$XW@b3;ULXq6dHZS5o2&^suKMDYW zUgx3p!mSKBH{@pY!j%VB0FNvgoIZiqhTOK#Ayin_i}xVMisVU0+vK;lI_ZcJHjI7C zr6r*%HG82RS84^I3tIto&|L*|oC)YJm1I|-rc*5dayU*^Yz4~n&;tN;>Z^e763DD$ zge^!-HTcGmqM(Qj5n=3P|+MB8|KW!FjaAkkU3cnaK5@V?0z*m+nqN$S3&baK9&bw;z5#{(cpV@5d#LJ$JO2f8r|7<|A56S6>3-6ICM+*lf6$biNEGYAQ27xdwHvuY! zD#u4f{q0{KK0&V1!}5)g6_p=MP6#bz|EUjzU}BoeA||&iR!V&_=B}9Y{+u5IT8R9N z%x6Um3VTd}8-~q?ViOU?s94l^r4EHM;sXH^jMs)1BGi19FvOS{YNr4`Twc357rY?4 zDm@`8KM^a-JHja4ct_&TexhM6kT!Q{o%!g{kl71C zq<-GGFb=@4+CI?#JTyl=cL?K&h9BJb*&s}Y!RZ=)>^CcFsM5Z`IT@DdH$xp^v0vf% z1Czo2k|h0-Et;pSsGvlLFxGQSXi`(${JC>-TzKsJu_F~!RY4Te`jsP1mGbfM1d%w1FAN?N(3fE2YKj zaY~*_*r@wYSAFa&vyG-T!7OI`hRrrY5ia2TMOGK5;nhvATZYl!HQah7@5f!AmY$~i zjgDgA+ld9nc37E@?K@VHsr6IG1bz94SF`n!U5VrcC!WGW1JN}}Xl7xJm^-6qqqqFY zBB8u%$Uf_r!;j<8LtXcVK>$IA^VU`$Jv{*wg|R(k{%w|RnZVo?AKEsP@1vUq&!e+! zs3~*NKgXdKH74_gyYDrU1faA}M`VT`sfbGUrjiGe8#m9Y6vWV}nSYWEsiS(tPJ@dF zB04?@#u&sg>9O7KajuMxEi7iQu3=ww6w!nryXnJySApBhHbbh6 zg8>-cqWx7wpq&N7H{gKFB!rhYuwWt|p05s`<__s7_D41L*@+m3_|o$tged&6V1_?c z^j#&2u?Rsr!L}$&{KjlknD~zAeJ!n?LlKIH6cG~SKM@1mtH9HJSqTw9KK@}kjGsK< znlvXG()<0s)8~He$7>Iq@iXX0kQ%bP;wyZTu%pSA_)g~)}D3( ziP90zL9F}o@b|YR3N_c}>78}0XF~=r7wFgs zW)$>L!$dguzubEEl8erNEm%hc^i&04`?}AZRgD!De_Y4CWUumV%^qc*P%+#g3fvr| z;PH509)$gwA>SIJyb&9ML91dJQe_CusISu2H>KXpd<#$itR`E9;j zU!9y^KxUJ1N@q1K$s3tET?U4E6QbqRsWjuBG=*Znjja2YJ7; zb!T*|AV>26YO*_bbVc?t+d%5(YV3^otlu0^fFRf|6vi)kl-g@f#DaoJ-=$F~Xv(SO z=()o%ijfR)idW%z_ysZcDgSQu@9c2hGije9dOZg}zdSqgLrc{8cTU)lID904cUgyv z!h`>G2nu4LOjOi7bQjSqmVgV}F!kqp{Bx==TqfJ=efjBi&^pYto{A**eZS*D!V(G0HL_q)MFNF{<$}i(^!0o?_+w+Q z6<@N@gz6?;Y+(l{V{P9d}&++iOL8FERDf8V}lX?G_CjR@iine%~aAjRZ! zJ4(*X!GaBn_+*Q*gx-Nd`@vv%&hlx;G~2-7q}0ejT%UDaQqE41EpF^usO zB!e)tznBF{H9V;2Cimyl4=&j5R$AO$IWUX;`;f!SXa3ggj#>)s8+lyl^<3S{@hHgt zxJ$eskwZ$7>P3*Kx2n*z1lF6=lMI5v@C*BQin)J7P}oVEajPwthrnOR%tlZLL~03c zOllZpYKi8hz$Cl+uZu_eqspH==Mz}BFJR4rU_1tERliR~cU5P5f3=5h&b%I98w}*{ z+Wxw8e^|vPzD`YAgfnQ7MgC74m6^S?PiW99X709c+I(i(eDrpk&Tt!&3Ul}T5X&_i z#P`FOvF}BOz+Y;AnE1gA6RfD|cT722|A_nQb$O{Khce$IwPw#$aL&Ra(TNFZP^sug z3ia(ie0GO5=be5h6BwsX<~;ZW-7EI@@Y|sDcJ30d9dkOxQ9k2!_rW!Cl7I#bLvkg& zX6hHTYPMfwPCxu^im)KVq3GFy{)`^Yo(wV8fe&q5@Yv+mz3FSA|IDpH z*?s|iaP;9s!mSO16q#jk4}jFYcz%4mb>@z9#Stf{VfwmS1*@K_Is}OEb9CY&gz(B4N0ue(D4&U^~V=I;WB5wKK%Od z)o0948?!sS^zUIQdOFG~K#On~v+7tFm=Q&HjR^c2O-p>wMXmv_p0A zBd&V!K_>GnOVTi?!zQqSoi01wT$)U&ex-A2_ zehsC#s1U4mWafKLeozMT(*ABM$uK1d`F6yM*cZdO}Cy~Ch+G4+{y6PpO11fMTH zTc0)+`;*k`QV$G%ZIBhwSiYmxDw6#>Atq-%yHTTf@Oa4=AYsI z-pKlG-sebJD^|N3VoX_Os*ibiOh(4*t$UgF#@oE~9Ez z(#j*KC}*%fBrVOJC@bh=aCZ%Un0FX-OPb?-mr$blw}dv&!_}RIU;M7lmdSZYoEWg$ zG+%i%-ix`g!YnCNdcZq8E}Kg(skdQ4E0%X2}fMxV%m?cSG_|$Yyv=d439Jl96XRnQlt5 z&cI&v2ygfXRX>(;LhNR@W?0FHq+y;am@rWjou)m}C&Z{+GmJ4gdvYgDJ_optWl?bHB@jRYj27_I5+2p zJV}GlTU*dLq~MGq%A$g8SwbZg6D*sOi+v}W`!loC6}vThxu(}$_zi2fwRr zR^PIgj$2Doy{ScN)V8Zn!!{$n{1P8jWH`&LO#LrItD%S&=9^rB!p9L}v@i(fa-j-q zu_Q3lAG1rzotLgdW^uRqndzxyht7tX-OrhSUqFY!R~ceN-@{a!J70L&fEB0AWc?Or zL8@Wi;xuq*V=hB&PD{N9lQ@)x)VnXY+e(G&DRU>Zc*t$c!eh)*!^(oWWzUnH+10dY zZd1iKA}#PJpB(t?rc+Cx7f+zKQz|1;^WpG&GJDq!Ho$uA5SM6ioNk?_BL}z??bI=| z7gwC?$#`HEh8$zGZjV6gBRGofc0koBqfAgqQB0-=X^%h_sn_F^S9oZyCMj*BuBqd# zujA><^WVC=ZwrxI(%7e}R`6t!1Go7$1M(HdI&@N_gIXjN`<9n95!nkFVEEzX1Uy1IF(pn{QF^wV1 zhPG~6So9yr5LDLdcZGbMBb6x=dtLUG+ItFEDG3_1B6<^yr_D<)PYHfUZO4-i>R`3Y zU261lD6tLfD$t8$_Lw!Nf%+&4U%#oT{g5iRF6B{>-L!kM08zC^NE0?bdq>ih8n#9! zcs9=Snq{7eFBc!HYCS*wx?rF)n+{#ZEmtO-HG+#74Nmk>t!PNOpwDu$!O#jvtjniM zsG0x%t5Zkeu@U=-Ju@6X+`EM!Q}uUVW3!(c2Wxq6QZAww-1W;kbmt@-%RfBaA^f+_nS`#JE@qvl!0aP zbRLF`I!^jm!gRltimEk^hu2Vc?XSMk;j+0hmYy}0Fiq?n)hdcrE_(FH!35Cnu2VsQ zv78)w2^&Hpdp-CDMzGEd@-RSnR)KA0N4~23-P&JHY8G5-62iYy-JE9VF#5BJ9cK-u zTe#{I$TrMGRkv93xDBkmW>Ni_GSkGb*G|rlnr(bUXAgTsmRwTF?78Js%a4#MmzbV# zS+&t|HOxKSn-;jBg^TY}N4&c*LuY2LTu8g!;QN#~U7aN1M4+Q!qw~#JcUr2d&B!U7 zL8Tz8|H*E~K&9TQn%1zYdN5IE4FvS|BJ8K%vblIU1G#0jSb1jaJoY!gM=88sa*u z>XCX8Cm@q;2T+m@$2=~r2c1Zm&mwAC6M&pCs2h`&sPBXWVu1pBgRig0)B-CabM@6h zjcfydDM6g$>Dc%-nPb+xa&+n{$mtp(*vC2!7GPV%Q|muTBTQgFq&Xka@0Ehpr9C0{uraxta+au8I1WVO1vn4l&rD=!*;y1zybeDtwy-q;OAw#We{wXf^8@ z11Esi2xkjGY!O@_a$*pd_l>1Qi7y4FfS*t6Nr65tj4NQ3JSkapIH{e;33s1jY&EfgO>Hn!)s9B{rS0}Z2PLkUpXEdV54 zCm!$twYR>oJnsIoUP0}x6MnN$3O1XXzjI*SDg|S!%+r4ZxcJurq4_|g+gnu!1Kdvl ztsK;L_y&0l0bS}^1_T`_IjnemprZ=R338b7OBz!%tyCF6Ncp-NE1JpiRBVYTyQS3Q z2^8#SG-m_~(+%gK@ljR|GzxSAg@Hyn3|{wK{NCsN(?WvLPC$JS4m|KLcoMOJUc@Ew z0hl%Dv#=n$LX_4d1-c4Vai`4G`yZ3Lv`wrPKsEX#Csgp1uct!B zTLIR9V!h3H^I$pe|EV24Q$dk$CBBU<(o3yXb)wWOvt_maYwfrJqCnNb#}Z9Bo@4E5 z_oa5&@7T+Y>y@y?+UGT}*{y%yQ~Sl1=NM4X)6k>_1OQ%DJyGi_*1;ou_C1BLQ?4901`uJze|ZwQA{%?PkFsA>krh8$xO76>vw zcsSzae>?(BorLFLYu4G2YWu88B1Pt326T|q2r$-I&jYezZax6}!P7Yh7Ry2iwpu*~ z-uBpk&kqL$x9{w@o(}dnqHNm?&LB{iV;*7wXrhDwn%o?cS!SB+Wq<)Pq$lDivjg8gfY_uj)0pT2X0K$V%8U<<>@&~8|^yN7q ziRp%4fpV^&B+YWHfXa^ov=+phtg40hQk;u{rTsLpw6_A5_6>nj@ry$1m}`ihG)$_7 zpVL|2)GSaAhGaSnfg%*GF$+dgTefbZLN5$T`qJH zABT@gkYTN8<4_5CC0GTmiV6%NKoSQyps!^m=cay)mDB^nZXd)2P-!@JaS@DEeZ{W# z?lT5~essw|*965#|FWvy=m+Y`elp%_GGnj=FgXZh7Z1xCjLFO94B7>zGJxhW`xF{u zH5iC}8*fNIy*SMnSR3yH15btQrLR;V==w)6oX*)*ntXiNOhR`htOz1!Hc$O}Bdjaq z{dhn$pk+q7V=2Gj^k%4Q58hUNM;6ZWdd zz3DX&{>Aa8;lN@FJG)O{7pfBb*RGfCmN0dkrOWaBtmHD`w^+T8q@~OYy=#GL&T_1>zoUdS>^}S8Nf#CkSCv}M za;v((AI`2*qGXyD)(29i8zbQPQR6KM3v&8 z$@mjaaq~gsn5Bc0v6K70=eFEC6C!2*AN+nj>5)z;b*FL$P5F-!O4?wPcBfSHu_M$$ zwFv&0#C$sT>Ls*2E{<$THLFsg*iC!s!WzQyK|<46lH5y7B@@t$)=W!h&VHYjqO&mv z$%!zZHB5a~pCA7h&qK?EtT3MJd#zL92w9&8Rbn-r#dk($p`TEHpV8)ftJu6U9V^T~ z{}@4;Z|&Y?{teM)ix6|#~URxI*;oNojh$c<-5-pgz^VO%JwkO=5r zDrG$k8oD1x1TJCQ2-Gdh>>B(!8v$3s4sD&0N4Qvu(oQ&reMPUX+q#l!q|shtmvl?Y z0xu#LWrKg7bjtBLPa!YYr`sLU2N2>Rj!?LKAF1e5d|h6vy_6LhG7(D@(XWQRR?G8i zXTuuT=!WVtOIk#-X$b{WW#e9dG2%A+H4u#!KCzY~;iH5mJ54jb6ik-F(nRmOqZ9vO zOn56XtavnsS{$m43Ntr~)F-9A{dn!@WP0NDjx?$e;i4<_d0#58ls&yRGaQ#Pwcm|NI&csjB-6JkD2D!wHX3T+Kwuc7~NW}KhkH_vb)dVMA? z`8HNYbI)wZ&sK1nnXu58eCjqi%L6iLESkbzto8+gPnF%y3DRzI zuRD_K>dk zi+sPEAfCc?ZT)p}PBK^Y#+p}JYywXE4NfHp*160IbAQ|N`#{q!n^+Nqc0|9D^=lqy zPWYI3nm1*l9p8Se0)2}}o4X|_)g^#hI$6292Yb&DFKc+cnEIb|)!n9LCz@@)D?7lc zsx=sk$%fn`@JOh-T=?_H?vG`w?AaZAg1jpqcPS%`JF!V-7hYIx)Dp*+u+iiG8sB$U zu9>zYsyFzz4Tnc3Nl4x@AIAS?2%KH6T|Bn<;u-fvieDzy+@q4qFn|_04hqFgX^Vx_ zzD6kP{xWl(3eZJ7G$Iddng5f+#K~4%wu5xY%NICu=Vb$KbX}sHVo(bO6V%&Jn(4-C z2?w5Pf5d#3JZo8SBNcb4sT^m-PORytg4rLp3Da9sz%BHZfmU9TP$mq+CK(+`2I281 zj3KR1Q$o)Dd;o@XFKaDDuVW}Sbxl0xQZMH3UQCp5S|S`3e#W2h;Y5hxH(U&l&c70a z#Ii&FcXJyqaDwB#$oyEZe{I>ZKjkw~)ScHKB36Ymq@Xo?P<`L{UqQ;IFOT6I#XvH_ zN9Xr>pToK@y#GGwSQ53z?p_dBW+hGiIK!TDUX#RVk1hNwp0+_?*|;Wo1rn5@*ODfG zc`!<|=a=bA>ixLp=8Ct6J>`|pK+&!xTbGLSs+m@_LlHeBMU)hOoD^@99FH_4^|(V} z$Rkx#J{aefzayp4k+MtBATFK>WH07mgJLRt=<^(~Xa%sJGDP9RE!!t}DW$b!--_$0 z2e3X#`?jnjT+a-#+eY}Ae03j%%qh3yBp zpuI<0pK@rE#nSDVjIUkrPQtGPj3mRK9;loASnmD|Fhu}RNfCfO7?$f|j>n|rK;&H~ z5V^eu4c)a&-v7wbl|cf0ctpLQ%XCln5==nh$O%DiDbYe+YZ!>O3$xG(hIb0c2mr$m zHDomA5IT1vqEzNp#@FfL8p@A!Sil7RV)~nhhPunk(;k8bz~TTj^}1^qBClAR zZo{B9t5?R4B*$ty*C>+L86Zhs9+4n`GEX`TpAZV0KMpL9XC&HY)`M%4A8P*rDS67a z{x+2G7yRu%@ZvwV0tiLH@Lx0T{eDT@ni&Yw=Re1|ZKb=_yuhw0HU_hFt%iQ{Z{dqZ zyOpkAn%4DCA>GQxRLB#2Q$YvpVh|I@m z5q(FEB#F0sCCN`>nu3CG36%967wLzBvme;+J_v=mg~}h&{YRx_&i7%7ZSA}xG+fmF zBP$rVo{JW2f5@+`m7)efN%WWidp$P@&Y`2(sDG%h+&+7C8H@1>h3-XdhJV~73F>ul zR?at1X6xEy1@Xa?nigX4AxRn@)+0kJr+~627999<-lKyONpi2Y-7c|7htr)omjSHA zUh9XOBKdlj9E}*ZDLfLmg4iQ|4CqM<=iBeAEb_18lr0P)ke0Q)rhR_P(q)Kn+hX<7 z2A?y2VnIP>E*p*a6Z^eSNhTHugLYj$?9uK0hO#$CA!eEK?8PJOurXJ(UlEY5=ZnCt zx0Ae;-~z(NFSoDG1vi2kMSPyVE)z#-U`5(~c|>iRA>bU~7hjX}emef$!CbU-aTRdN zhoE>lB=9NCk${`d%BFqe#AmdBImbHewASs{i+2ZO3#&+2N0zf0$jQhH+jvY42Dat7_U>JFYc~C=+7so5{i5vQmWkz(LcMfRE`f2g-UL zDkgcj7r3ih>YM5k(Tx}8GF{}dd|U|mnOB`5V@@mfs3cA<_Gl;pfUlC|z3XKus-1aZ z4;NdG-tCe3`OI->FTalCOKm~;`AZ-54#y1T#9NcYCr`YH9dUw>=V~67ecaq^d->Bot=u=s zzPsrq{0gtPy#wr_M0YkkV4+9fgnnPYT!LyEL%sH9hq<}B+;a7jI}5Bq+L5LCMGG(S zp7$dyE*j!{@u`4-I9eLIRM~88RMEjX{jHA5qI5|pML4pZ;m<*t3w_CVJ=>TOFWpdU zjOQm%#Mo{fo!wAp*xZCh+xo^^2|v2-`q8rO!lDl_xw6+Ep54dir)Q7Qh%0M;KG0|T zu0=;uBQj;#SQ|`m1-aR1N$o7xvi}e$V~^3PC%^NexP51|hW3;+FGOFd;AN*c-go3w zNPv0Gcylld8eH`jIUa8MRFhxH=}Kl$%G<4rXs3e;qQ$#qoF8Vy$7O@zxIEE2Rk^NT z-ZV)ccka@qqY+%-eiayup-@@*+Qm}%`E(9?>covW9Br6{90E-k#vln_$RNiUqS^S^ zcJ=R#V|%+-ST^J*-~DOb(cpSgp^S@nE!e30Mye2qERW^U-bYe7$OmdoFLKO*3xCc3 zRv8}^8sL+l$$c#q{Ds=g69G;fvUzt zhfJ9k{fv{dT_kjm-jc@g;UhA`%c~EPOK&YEE9)e8b1#sb)x6o47^JMfcBn*UDw3IhZ2MR^dY!`&| zeI-oA3Ki5THbUvIPzVJIs8$>VZ4LRLRZxXKHPoOzML+Oopzq9xq<33G+%`|Ez9vO; zbNALoa4={+NJ7V~A{kR?gBQc=wRdY5`?hYWm~8DEM84TlLHZ)P5$$6X!r|!N*;2m> z-9<#a$PB8bg4CTKkVWA;LIl6Bf;h&UxgG^3d(ni4-nmGGj$g)}!edOcQVU;&1o%si z_h&kTZfG8lz{^nu=Gq*J~ck``1OThPWqh{UUoWWwPAXf^k`ZLGi zGtQ$|_`5X~IH<&7&UuWnra4x0?!zW>zGT7&Zf}||rbT$B0lAS+oN!Jl=&rUAFD^dB zTcc0*s`>49bIAu@-(S0Fs+P`{hA8C5a}221CVl0z?P-!9V>OZ|yiSNl^!vwf z9%j2pZE!FMwNYio&EW&X5V{;p0?uD{MD#jelj7iy)1v%Abb&Q|_j}EM=XQ=Z=RR%P zWdVm>JbdHH_zRi+>8wNGc8&`R>oW1g*!M9a(RQwHi8Exxq^~-47?(31uiK1m3*@mk zwHIB3(nc=-E5f z0db1C`(#w_pZ6?lRS_J=4E`L=hy4kN4vBV5LZ+?{r1n1Xy#m|Vy|nDFI|-XCf+tj+ z@=~ZAxkH9@!f24;WZ0a+S-a~a)xv++EQZ^Ce>L~vNwmTRXMQcLW|z)pd`a)WMWOc+ z&DoNn|Fvam0LRt6o0wCVNxENX&h1u3^}>A8PXiq*Y(~=cr`(=}Wq$ZhbaVifhgxJi z11Z*ttp_j7WtF=JPa4&n2E3|~b$9h}+3K76(FPUj!$FXurEG7sw3|_JkW+&ti)t5! zNQ14BfffsUbwu)lNT9!cZ|X(#@Ye7At`Sr$yO3fBcF_h#5!EF&nAOyzefgXMHfYL3 zJerbWIN}q9C)#`DgHdCb%PGU5>`AJ4VFK}!@xRn!)ot}ia!88G)l$o+d& zO+#}}pw;VhkL8ZG9--Ae?nz6N+f~AcAqnbX?26jEIXC0KrSFTLjJzIbROblW&zMP9 zmj&EUtreX_Vctc@Lq4;yO~Ny4^%Z@9T?WD4PwleNG9^IMzt_0j z+8CW(?!RX9gZN90?uET0^UES|kxBJr2iO4_XGO)`$HSE@{KJ`sabJmVUr&j1{3G+H zIo@Gtrh5bVEvVkwaLJLchZAB}90PLHEuP6p`SAt+VVy%b#&E_n?3Zndg zV8AWHfmzWa%VC#-asC|YCms*KzmLA~ILi~)EV06M-1KCdVe$IMC|(X(*lS@=Bne&l z3hpcu{(NRWx^=&ikLxRr4vJh8CMOWsNr^%8LuP{-7ZQiy{R98`9k81TOeh~rU=+s5TLR!tnhTg| zI2HMr4#FeeHzxKFW4C$rEgqEFZhy^;WK&#WhZf845a@F1tUr&2qTpoOK=(L1dRoPXb@O~euf@U;eDctd|1|W74Mf7 z{8sJSdi2Qo#|4I@up=5H=S9t@?$PNla;a@E@Zi?e35HVJzUYTd@VCmwOOY~%Gn-5W zf#8x-*q{*|Qa|12O=lnX+M_p8(YKt~Z<8&Qy??JPxRH+@^+bRRal;0s$UMaf38&a! z0pYUz{y&{8zvQ3Bi97!0szc5-WPNHRq9xomu(0pgx5Dla2^;eQy46)3=EL42TWjf+ zUnC3owqf&ar4hCiu0x*KxJiM&c$AMQ1N+6`O>*FULbr0jiE_Y-a=^RoB3khLgpeQ( z;p4CM>i5`$_aw|NoXOJtFy3Fhjpy#BZUn&JndtY%G6ld-J6kU|`e(=FH3FMOcC5AR z>CTKg&_o;2t#C+HFR_&kE1_LUd?Af|A(XCmTQ}b_)Sr*;a=q^ueT!JZ+#8gyiu0|v zd%?QtK8kc5aT0j+9nyh)+SCv?ULF^;uAQurAK;}IkYR$S9*KgcM7$X#JyBcvrZokfC{XYtw=ICRvs=hYz; zu1Rlr!@$CBEH=y^O*EtP?s;w5vb=E8>n(PD@Q~V1)btMR-xuc|FthpkT6*=s)9#_V zgquop{YNUb54e7s&wBoKM($3-l#GXSngUN2orkL;y|hI88gr62Hbc{j5v(N}va_sp zbzUGMNYL^~6_{aSVYiuWvnmx;(;&rrCGX*2n<*(@-hdsSxhkkDU(sNBytPB2XI(={ zzW1bVPij7oAIM0@!!S>AfpWQU#oWNUI>D}fBP}AeSj}0e23Dhkr&}RtuIVZbmzT zI)L!nim?wPPRyK@lJHt}kvEpm)*9GYa5SiFn3o-5Z3Xtr8O^_IhmTz6m+?EgFHaDbbob41VSl2{qMxi!f>p1OLC|lPslS*XGGAfTfmSYV3%9%CN z7m@G(=$-G4$m2ahQL_+B{y`4?Y1dJ{pke-fVU=PNlg`IV$U(Fw&d`hfoSD2<$s-e6 z7Dj#RZ?@HAUw1xPxF_RS)|G+^Q5++k9D+YJcM*9RqEuGD)0hv$ZmgMi9iQaHRxy9a zXlGngwLuqZvZ!^evu~mLdu5to&K=2dw55R`@B0J1X^hiSMej5tmsbnxyo*X8f$5hH zj^^S%7n4B5VK~8*M;{saPYp>1{2Rb%7gaZpkF#W!2+tv(F2N%`r)^_-K%%4VF*obM zHGXZEbFlJx>UYcJZ-29Ma0>m@DwbgtrFxU`(wvon6~nHQfGV!nGtFMmoGBz38INge zd#t#+i-hGne4gQDZP{NkLDt!ndnM_7OHJb}b+TmN>y{`QZ!N6LvbOu6dG=e`EM%%c zRD~Edu*NLzQ&BmbDe9FcrA)TQ4*nHzW(|hcUyfut=CoDycTTPKB;>=JxM~=?dn4Pz z2|RJQZtX1M2>HV4NmX=yUNkov(FIC}X@$EthoxwRmsBW0SIBQu<3UZ8}`wK3}@gF?FNv9Z6oDRC2QKpnYyjH z@0#bZZ72JHecNXX4t8u6Up10R?ed{7-*MFFjzr0L3>i7Y?G{UwGiHtI_GjU?0b*DA zLlk{RS6fgHYVr-(>BLRAIrtR~fnE@~9$vFXM*&RYcrp`B2mJ-LXHg+}b>VQXQWLHc zA`|RGI94OJdS5WQ;~$@2m6PqXjqVAR(;o{ZNuoS@_kh9Lmu&vq4)F%&z;AHT1jY!dxLONi+z4J%+ER0gjreqL$tx*w86}fm16voQgeteT_+1$-~7G~ ze74;GvR+67mNO0r2mQC{gHsE6W?*g}Fm$M!TMr2QKTSKLBzaxxH?WYyKQq3bQ`=0` zaoU^Ldws9Qj14O6ukxs|VENRJeBJ;?g5>SdV2#UOEJ-MAn8zLPhF0VOR2{lFeW(Pf zq+ypcXxMpf(IwKy95XjAh-v22yO}PZo%E%a+~b^ z_kF%|zFT#x?yXwARa zQ=R4}YgyW6psj|ypyT}+S~d9@&t^PC%Q>yQ(EeWIIoA>LKKTDn1UrV>YrWQx}?tWQAMF-B8kUwRi5@n<2O3V zMLjCAc6=~zd^onql6l_*a|=T%!Wy3_|4R$X@B#P$U&U_kpoRid;%UYRAROEVxO5Dm+zbM3(Rbm-JfF6idPb zDSWdRdYE60PTg{#qW2PauTMeJS$$J^xU3F-S_lF?xt1ch4egl2wQvaLE&rn3fo(eK zP2r-QNXo^i@*E0|apfQ{59alo;AQzB9{^~&=m0!3PC+62gPGZzlL>2N^8A}Umq^qN z2z@jY;78Wi4Eu8~es}NcBkGQd`d%o*Hrh(*K(ui6s&>%Et{6M;Q51*TS3&Lfu+k*L;&ze*N6f@JXZ2o?q?7T3XsT1fJ8<(let7FM7%Od*xyW&@HdGRg|W&C z2W?K~@I(mz!0yuN-^3YPu!p9-O6lLEi8TTXFiC1ufK$exG6RpKMgiYQ!~xHhb)o3? z-_pdYg(110Ifn)RxW>hkBkSVW?Vpr`B##r%GA#@RJc!Wb_31!gcLl!feTIPAB5ffH zAVR~Y08k&%Z{R3lBt%eY^P5A;034F4MI-?9Tv{(nC}r;n7F55n6X2EukuHH`!~vXJ zWHDSY#>@nn(=IMZlh)J1Fi`_m%Q^oBAFF)r2E;_)NbT`8=UtjTUyQ+AQf4B$yNoU= z`yGhEgL^^Jux5gq2;nu4vNDszgff1fpe%zo#fhgZQ?)?&US8K?BxE2~27EKxI;1G$ zKj@)+Hle*OG2Lt7vCr==s4aMX=BZPkwvfu!Ei&C4rw$oG2M+7|TA<(&XF2D`jh3L6 zNIUuxdlI`D+O9yKZP|W-V3$D*^sI?b;4QlgBxmSD`C%z2N@gi3n_eQSgEw!^VXT;+ zzkly5J`sbf;^GsEu~I-PzH0+B0;=*LmA{7p0LJ&Ckqoo(xw;&|4O z6OI^%qgD}e<6Uu)5=75a?8u6nH=yH+f$cl{Xp%3QZJV2!+CFeK|Z-6n~hW| zqV;~f6#`3FVEx2{G#tD@dBYCBM{4)dThM3qcI@;$jx>A^b~>|nHSRx#^X{k#1JljT zMv?B2nV_Me98+~c_qPaL{%?oEm+-iKsFf9Ovw>F0HG-BUa^l!^cTIuMX1C+k&eDs3wQO_)Vtpn##C-VvYM6 z3h$+z;!$zgNG42b>8Rse;1ZUYs7$C2Jcn}>R49UQOj6>{F;Bn5qU{r^rQkxnIy<35i*d(&oFynNf|q_j5%f6QW`v{4>%NzUn)Qoqr`NC(JNLG z0=D~xUkM^#TmUMnq~vYlxF{5!cd`ptDv9Tn*mwNH#PFh|geo=MF=(n~?7HKKEuIl@ z7?4P!#0RGF2V5(9gzVs{^QCqB2>;ds(=OpvG|(?n5FU(~m-45fv$^O$-NCmxKW?6H zUi74d#6O;!6Eygo3fA0b*dPf*FpHvm7)IH33D&}E;3~(`qYR05Xc}0vMEg0Xgq?TF z8Zn+Lwq~hPVZAzzk_CffiR?nq`vw!;_zzfrIPwV&bbQaNWP(+5P#&@$%yCeSW zImOpP&~vuQ*Ft>UuWz@nycZA8k2r=~0GNJs@ExXNfI7nW;b}i?ATX$_HrppLloC=k zIS@#m?d7M5;=-u0EEy^Ctr-kRtrxGw9_hW`+PunfOwP1Of(_+3S;84MmRYW6zQN|d zdr-Lc2&OPXcWBt)h(F_cgI!)CN<`Rl?>>ZqUC7Zhy6S>p!(fE{`~Od=Xq@2)h(quid4% zY#09Dhl94Ve!?_UhDzUi`7ulok;M0eoUkd87aZE3 zdUkjS$!EPvcIh};Si=Z(o8~v;M(esx7Ek2PYOvdX!2-oJKeH&Qqy>B&v^|@6>0ONz z{AruLu>yvi5hwqX;eDGMTl(Fx&o6ZQ#EkYY*$d0pb3e0dvR`U?&hHP8FRp!qIQhR3 z-8wKj#ouzry5Oa?aZ0{iOu*KPmqnNP(QM%f1u_y_?*(a@O>B%DC+@aGu@AtoE0H0% z7s|KczJX z#BWiLvM=O4iS5zidT!6TqovIWqw)#ionXRxEw$mG;(BY|;*g=!%&QAM&dL-omte<6 zb*9h0Y9-4skIE}M#xjl0d-+cC2;XY1yJ6cc+z#Yx3?*0w>YH_8P;n4AYA_`sR0ITn zhYi%%ZTu)O3t9{WD|!k(@PrO^JdFa3CtFM?4u1k%fSC-^r@Z36i%KZL^> zAHBb;h`d`}Z$k%d$9qh`UUA!{JP{eqsw`@(Jbdxx2@(%anQKf)E=-*5D;^dfnd$@lrFCz0e-T1iVqF3K`b!?Cozp_Q<+EB?h+f8p08yC~|ZG<45*L`&T zl;+a+G&tH{v;dUGd%i~f0$v#6hahw=36kaXK``fKPseA#T4~%|Z#Pb|;R9xH2bVmA z8Oz88(>N{>*dnXYc$G~XkmQ;)f8yZA#GAvr_XsGxVc z=f}Vjonw^{rstCrsCo9{Z}1n8=3)Ub^EZXVonU$v$nDhER3FaMgUf$J+CSnqOWl+P z22Ly96$fv=KtTz$MT1Na)yeyVPCLC7B5VZvV+f>LH{aJX_gu%FVbT8@?t}@WOpBx| z+|@PQwDAlUR$jbbg^Br_0qT6hws|TTGpy_zZK4dTpz#pm#Wzp^SNwQ(3<1!-KFKRt z*w6xqr2>f70tn>qimHLtc|pDmTvyIr#+Y_{2?g)172(l;3{fEa~ z<#%&HS>Y4i7X{Nmr*7G&oGQ=bG5A)F=WI3KH*qW)zR6HA^$H*d_g5RLC;p~*yeLUE zgfMVL*ZeR=VGla-HzXeJ#g&t;_WKv5#6N|_zX%)!*$(4_tse_zkP8leS;gh2J?20A zLdQw(Z#gr9$>+K#_0!kXN8A!!t|Pho5e5#fyWXaHF3;(W%jkXolF@^m#<#i<-m8z} zZ>t~B;wEAoKaR|AJgM(qI(uKsgiD0Dz6(ldEn&OO?Fx^)Dvea9VumAYlaZ94QKL8D zDs%7FZbPp<$kZt-#hyXtG~rd~w^8a!d7ymxv6Go$|M6RZClzDoG%vlx!~i?iFPEZ= z`Nsj?gu>Mbwrd3+osfce0PUkPKHe(vDmtWaIH8lkFTRygY*Y0*$ef&74}PB@I}#NX zc;-4O-FdA5rf{JrsQ3209n*qpTkWC|obb%3%p64J3r5C+s^fuTSBW5G(Z5b8v|X@N zySvH+A*qH5|KeUBNiC}}duT?k6|5^X3z}OLnG3*a8>a*hGG|pzuTs5=7N&U%idIX6 zuN9a}-Ah&4-YKioPr_?lE9{oK>u9y1cU|cxsCHcu22b@}t)xsP9c1R~VlaHwO`*q| z1IYwiUx$E!F2~vy*P*frvcA`;wF&ZxeS6wfGQb^RYv)kbE_W|I0cilvKzxiI# zcRnu2+Af+nLM?90DDHQZHuath>f5v+XcFyDLdGAx>l%S}7aC2?D|JQhLh$b8f z&qe*qS!O!dfVOSSWo|C`y9|yv1vMAP+}t2^%wv7s!&j~sQ0NXWe|jKu3iY`Q+O$GW zymwVwucyJMT$SudUvpbDq-!9K*3BRpGCVxveMNaOpdU8(RslzyOz)8nQ==KTiQCWbt z-8|mh!{li_){JKJqyX5}O#mEu_T3QSGou9yR z7JXrZ1ZEviLV9@Vx6k!jsH&XW>NL#{#_h6d#=JJw$j@E-2VkYrDLK z%SWoac@XF6^d#!mndq=rl z`YKl|6G~UZ7a=;%>DY6<;Eg-_n>07AQK zP45Qc>dc)?T93t>-_oqN+YTzWGR>1vJg@x+)63EP&GcAlIbK%@?DysB=iWvgkm^P$ zUK*9w7@8uspx7|f&P>y^G1$pUD)I0e>Ciz}4eBZRr*J z&BddJLBD(K`f5Jq7phrLEL(ipi=vIDaVNd4Z>l-GBB`<^OkR>96TA%3 zqw3#EE*cqlS-J^|WID(BmEmv<@;`P2S81x-8H&u8m9{XF>AmR9g#cEf(!L?^M9)zS zlXnTI8$^USxTLXD`=pd==PJ-200_rsVjR5d&!ynqxtym`GWFfiRwQaSgB5xr5|3)( zj;yq;^d~g=*SE^dvLady)X70AfFcwX}u(sYV=imiCGuO`(y@0;apW-i97cE(fwDx zrv~sng@59EokC-sokHi%xp)|YRHedy+X3Bw?*azFm{5QQ7OrU490C}InYhLP;=3e! zMSL0n;*-um2k@KBE9_GUe`Rj_D6iTfu87bFaR6zt9drRSF9;eye4AF03<=wIuWsQ) z2FQj%4B9K#!}*Q)z=7QeWs(-L0Wt(BUvuWDSG6$bRV~~E)WWb=weVm)7?eho(Cqa& zNPixjc$bT7SJT4uTPn2v3#o9`WSrBt(_O=fQ&2TsJf;X4A2Km( z*%)*A>lBi`*YlfokG2M_IisAeP8ZhNKUKmgsxYb$eXo7+hXtz_PzDXy*szYMT=u3@ zZ(8nFgcrJuFR=nSx&1r%rBLq&Drs7VHnz8(B6IOs^Yu!L&6f{ zHzJvFYK^aekJbc$df{S$06I|0_djxL*^l?7l*sZGB(84La>PTA{7Jv2^BC;Upz0KV zSvDB7J`~AZ0rfY$hw3t&4WkP9gBA#vhc{R@^C9j)@%7ef;h^>88iv6 z)?i~Q8C~HXwkkq=W=Sdjdz4v|icAB-e&GZo~l##>q&V=M2~is(S8?}-LZAgJai)JFFA51-!u-4)~)v1mDP{RK!8*>0En z&;;D9j4i={El3H*BH+U~6TS2#&$J!4f)%|T;g7n_BV95dwo(NCp~6({ap@L2B$oH+ zbf~(!-JFL&U?*JkjBHAHS)k*R^rtdY+8S1qPiXdOI%#oM3UNn~C~7(KN0;Dbj9_vg z0*DbE4hflOEiC*410z|kP>~*n%$$4T3!!f+Zg>(aII5ckzTqnRR8J@o)*axHW8YPE zK0*>a97h9gu?+Z1t zYfKa;e5E}eyL_22PaN(ed8BIsUEcH^)2av(((YUKF>g?NL3S&p`Dr_;+s@LLd1cLX zUQ{Oa%%2sIjr8H6NeGWvwmVpe2)KcGG*mrVm!U|CLz&7Au<}LGMS&NIF)pPoa;^ig zJ*i;LhH=adn?7_BOn~Ph27~Ia{SG1^AQp7}>)}^Rq|mR2E}xD;Gi!-0p8_f%AzE-S zJHes_WZ9$l)c>Idrl`8=azajlL|7SQISYw0K-kAZd{q?#0h@#n+Ak_%0uiZmVLWi9 zI0h~-TPw#3Mf)DYh=u};sU*UmLFk9|$*UO{Vpf2j6DNGJv5&{pER~PR_H&UCSIYn( z9gG(JmpC}6PA)Eju>5A(U7FHiL9ibs75_pEl&YBG^9RY^V=h4kFV6~i^#ZvFI}lGk z!8GaeU=eK5qpnAY`QJ`*uU*+&(et4Caw_?fa}xpU&H>RU~`j z=5t_!-Yc_h3H?O#U;v1L1@y+qq;=k4K1dG?88CnLs#p44s5$}{`t$CZeufHTMQuc5 z_B~%8uYUGa#73`sMSt8Y8q4zapyR^fgJ0yNNo~f&kW+_)gL279v_LW^QTkyU#DDJn zfsi~P&zHBx`A0(BR9LsV+h=7;oW&*wQ>HK)r@8)l!>jc}-kD9*k7C~5=Sc^Gh;SBU z z9n7RRuP{u#1B&QF*W{Z=WQ zoeLue{Q5M6*8fzqAJ0t!tol~F2}HjjT~=wKL`MXpTg0BG594Xb924p^N&5`c06S$@ z7`=r+h6b?`i*TGD$%9fiv!ySmQ2SXdp%7{lHKs zf_>=7TVYFH(Q)}P$1{WEhY}PIK2{VOGbpm?;Rh)2pb>AyKLo!&ZNCgs?PuSK#Nq!? z{e(YfqyNuUKjk+`qH0aJxq$CC(}19CH-zG0sgsMYZ#^g^Z4MBmy>QV?$g0^9DLpMz|Y zARfipsQBd(Z<>I7S}1~P*-j2Yt$$d^eE4;MGGvNC?Hd=XL_5F#qP#-c4rzsjS=T&K z4*p}ao$MPy1^H8Yugaj4HT4FjRd8Jr6^9$@nTj3#Rzr2NgEyOJIM0O<;TzPd(d6$! zuv#NB6iSoIVEtW9;P9VDzHz?|4U|V}DUWpUw&k%nfN>dPH`;`^A5KU@duR9r0qwSq_VIj zouyBe~rXbP6i8{*51{-Sp54NEm zAxjS!t2lqqGo>%{#vpi7UnUngt%rB}TUdE49;$=dHudKkg)7KGn0?;R{oic%@kw_# zqPxh#Vi8X;zQOr~280HWKB4iE00GthSR}ye8y|xVQ?FX@g!U|{ZC2x<+}k|BZ*9-2 zxP=vP(dPC$xq@9~BtEVDiF4`3AM_)$!P7c|ePIr=k>_ z!DFqDgp>x|NT@SrZ%HzU4s3)MgVtAa+GXaN3x>*kgP}1!AF7aRm>ppJ8^N7opQt~0 zSzZ;_-FAMcS*`>5qRy##Hf>EF@)&cCnJLfotO0jQPE8FnSJ7t>*PlGjy^HS3 zkX>E1-s9)jRanbwh2c{7cjcu9YJ$t*$6UCT!jOgs>33A=?(bWqFQ9fZzk#Tp*5QZ~ z&uKkPWe%DGg+RqVdr?hJ^IltHekw(5D9#A3Pb@mftVsOUT#I9uz515_T4BG$T}Cko zeNdc%oe}BP;cR#(QHCn4i@^A|1r7$ z1^<%{&FIrWC}NxAEoe4K-Z}Wg;8XZj?5-o*Hf4H{X`yQ`f zUW!p`hBvcp)!O)40b*9Yq0q%H2>wwbcEiy>e5Py?%q09=Hpfe-JteL7h$# zwsq!L#KLWxkqX2c?uu{FMMS$0HTBywP^$AmZ;!e#Z`R>o5g(xNWsqI2V+=zT@o{h= zR(Dy41B_43>_8vSq1quQPx;tQwN`JJnX7(8I4Ew=SU?0fgJO3*X>H|fzu#z19??F@ z>3McN7jG^Bk%0;S$>`vbzKDd!YFyp3+ueSHz_OZsG%6CQ*lCfEM|q+vA`(eKtDzC9xgyV6o8cuzCtq(jR>kx$kkE`QFY0wlwOiY9C$Nl8`f;lMksS+LsyZ_$W z8z@=2`4j6kRl7Txo`zEXsIF~7W2slii?exK$WmOKTAvzL7M)g~x`?MfejsK~R(kPn z)mcl&K{@33YoEZdYXohpC4Hd>5B9>~W@g_5xy*Zw2tA52$!XoFk@zU- z>wI6EYInEuP@;7M-3)_TI0nGciql{=}N87X}l|13f<~{i&@!#l`e|ySOQTC__isS z^eoUMlNxS)itl8SDKqt%RGuVy;{!f!K)Zxu2)3~1bDe!h`Epp~X&B;@h1e{$t?)|f zx_$$_i&tk4lmC_TZDRqBUPNHj8JYTTXOI22vp4gb^SJ|@4+2vwSRowl^>&ruV7P2| zy_nR0Ij2rV`<*?7c?8O$mq~-({Y~1krzId96{|{u=1$oHg=QQqaVtNLTX{LJ#_mCF?@COgNP5_>$aul$5Q z79-WJCdNuCsra0w)Pz33^4dek2}la!#3B_Y;&b0}=I@yR?w+}5F>-vj64^Aglrc5x z0eC)B()YYdo#wv4e-ZWoeQ%+ni^w|#V~wF+`sqLl@h$3scYaGUjH`eOs%)@^5CvKi zOu0W9q*>#XeApE$^TXBS{l8^`(;|a14AqGs3^k&i*s1%Q@GwmE5F@kmpu|&Eg2<{$ zx)S|x`4irhdFkqS6x*om70NwQ5i)_n9=<&$XwDe&ZGmCemnw+WA4Y90)o|HnD zmPE$Ue>|iJ-m0{Ywx9CYMd_8iMGX#?B4YBxU^8ldTxN)f1Ma{(wOVd ziNOx!Qo?()+Q1y`A~1G4wd?cii6JbZj_F^7y;psY@f*%DJBNVpTM9ib{-$pPJQ~-| zjol~+O*PR?Ri9M#-Hy` zRaq?WI0Vx89W@xyDMCb3&q>Sl2^(brDmsBE-tEx_Sc<o#w+WVm0g9O>b<= z;&Oz4$pL~peUqIqTfW(RqY-PPmC<*UX(?{pmyAX`4;_23T6rrTb3V+ZEZcn58S_$J z1)P42@6TS*)r6;}%z?OO5F{eu*_MFk!VHq(nt46k74MokTEn01e6txXI9~5Bgq;Vb z5YU?r8TNnS?L)BH}q`sZbSZ$c;-_z91_!FQI?4|>8UvaALQ>ecR{9ba; z5d^}Rgp%B(l8)}fRI}MS>CiXH!Qrks#&wKQbXVpuNC4_yj(9}-SiauWw;WOdRHk_f zk+&`0&}6}eJz1isp`R^V@O_B)H(+wMgIe;$tnQ`UgJBO+(Q`aSyM!qAHWgYzy)b-k zq=N;+c4Kxxe3Iqo##zMIY^K=j>fRiY27gk_9ut1m^#14_B41O#Lz3SQw z|M=#hWd1mxC17g41YD9j#+WsY*_M*^&tR`d(CYowz3GwYDa-`F0{Q`0p#1w)o9(hO z98ceZz;no@NkgT8vrC$ccV0XUeUyUs(P(EaZz>ll9G(#k3mc9`q zrQ7gsL~ zfz<@?T~pPjD7{L;{|xXJ(-n6dIRd%bR`0g8b$R5iMngrP90pfkM;5SfN|Mrgy$t z>)*Dg?#$vyk#@=`e+1TBGlp~VBfMMh=wvOzb?@l6Mbt;A#ri6{W+SN8jEi8rn^N3_OY0w=HI!XD_c0iSd&H*T*j$gqn7YKCN?9 zW}Mt~>E1F8BhF$|LC+!gX$S#0vg3P!PT?jaP*=>iOZq|FR?t4l0M9FQ8^;ECUM7I| z%7N)uw<<%WV^HxrHxAb&C{ zpo6B#)$$>@gogRwz~F!&Z$}V9We050Wcnobd)t5I%`+_|=AGwVb@jpD;sHaM((K;I zUeo|itM2dwbV}kxetJT<#W!iM!k!Uj=EAs3)--MSQN>h_jF;-}0#(H115&06cW=MQU#GM9g@IP;`l~=Ob_9xfid( z+n4Ld@%G109aE`B8E0sI)V2nXm)#Zeg4D@|Kk}lJ4GV-#%hCP41lsPBc-K?=ksu0e zp#!_EeUQ8NbK0@;`C(QG56WCJbz8n2NO~wG6d^y7;9rJL)4BbeBh@z@lhN)cQX9pU z%-8l_Qy20KGu8$!znS|d0>d`6TxH|TMWZcy+L1OZrd+bNQj;0!=s>B;No7@b^(gGo zgG@(_)84OMMYE*`;@3Sf^RbENcxq<_?Gb)8o>WEY6b?qKKj4|J6{3`{oMQR}S4#M9 zUd|QrOWeui+f=hOj7t`cq6Ve4cs)y3_j!J#^F~cT9kfmUfzqznxN`KiR4zBNNxU;% z&>Y(m{S*1-kmn-x@a1mQPQP-biYV3BPO`rmdH<&B{X^oD#>(tVay$csK3Hey|GZbC z*>`Q*K1UhnHr3CE>edw}s&f1OgU45KUPblOy!?f$)*QbX<2$7`)#!uFQngAQHT9^g zK=rn%=7UTujZ-luAI&W_CLidZF3di++iV41RQp&V@Q1VJQT^;fMIc;Upxwe{;+_e! zKNgL2+Gmdzjj}ceKR6yZDUj&u8rU)YW_f_F*Zw<>3m`rk7G#AkTgO3Dz}Cah2%TSN z>lG_pEjY(|#Nxr5vFBtTawQ5PwJ9>kEC$Ff|zBG;I=ZoE`dAefXGnl zM7XdHR@;SK*uM52E;k5DKbgvVmvYnzOLy~I@t!|k(MTRTCSgYL-ny9hhrf>Gs-jlA zW6f3B86GCVe3(RZsGS*opUcAKAc!l^R!wyreOHEvtjVpdgsh8@AR=V^QCnVj{p}vIL*BOVDmyW zyw-Nlo7xd|r_^4)gz&DX^reEeSfS*#XZYO{{XSysb@Rd@Dy^8R1Jd_PhXY)N+B(XGyLPX?HQIT#qRH_t9f{v- z<6x{#Rn{a|<`$f(zXCn$BFXg`R+~C17^ylNq4$(snlfwhz@g4p4_JA47I?1# z+%PHN71tgbC#S~$8|Qr&!=(F-^VHSEr(XHq-W#A5V<~5TmoE)WSA)b>ehv9@oS++(yw@FBX#gdfqyh8=;XfZJ6A?5 z`oBeSeP^P?gZ9r|{VNeIV8%(?BNLvc6$${v*e`X0??33N6GbSrqNfldRo;hGV$U!K zn0(6I^$HZUK%krT_>+a&!OS1Y+`*CG$y^@QmWJt|M9KYJ z9bDB~vPwfiD+&XPZS}&L6r1klaAdFNR53{q%`WpUTuydyjRdF{45kzCe##1R(5?`u z7F?*2y*vmUxU_*BJg&i{wgkbP$N1n*77yF0?cRXl90?~s74(E3D0Qi~Il<7U z>x-8>^xv1NO(qDAgvXuv!v|V};8Zer5cXVr??e+%J_A+kMH6r2brfp&kM(Af*L)Ao zXU7FlK9IP%{X(Qo>A8nZC$7E+O<(%58c$$%J|ZO{eE^znOrK=xmg9*);#RoC#V^}w zWV`C}2_J9j=ap*EQOhr$1xuHUXwPj53o1fw#|m{~`nR08IvVy_v2-uvXMFC>sw8I3 zB3_#p&~H8=_CfVFgZ1wAY1l3kO?Yfe@))h&4|z7oeyM*Bx2=foAn9L->H#y~#+r-V zC(@6;8c;@A!JT#ve#~V)Y#e&gu@-#eC6!W`rOcc$+E)d>KlIWjaGOW1^o;6y z4^KK23U!HTWs%e6ZI_kC7#0yTr?i!snZTGE?cHV3TT3d~VnB*R4`4dQ;`zw?AaB}mnFgf z^lR2yzUs%Av-S^ZX{QDjJFO{c_b28Sd1WI;4^M6h#6Q#UuAgpD2bhn!<+uHOqdJy6 zKH1$_yeE6UG>Y-;C(Eowly$+{42)G}o4Y+7e;!IpYU>E5*n81$>7cJ>yL#|S@~@PH zz5CZ8V1g)rqPrdWZLB^C1b_95|7`bO#8fjzcGr*-+juni_7qaOlbB!kc}E5`gor0U z63pVcnHVy7pc@}-X&e~svF@Az@;L#e!}G3ICG5kDb%!nTEY`$Bo?+hAVd{;xug^_~ z4f||m+rciaY4w;$R@+hS_%3U!RxC%ze1TJ4b1y@6E3&fFuc+!f%X_vP0bg7q`k5uZ zyf=R7mcRCeI#h9@e6Su?jkC7f(;*tmV7$3q96 z319FTFRCrhrn&3b9=-*fAWN5hlOQ=DKnF`Z<4gA+>_&GxrsG4&B$?q7dBs zvKp^ zgIZr1hqk^6w^FXu6%SA1UsX#J_clkrt7ao&6LYKN?6U~RJN(ue0*yR3#>TD41& zt5tgwZ>ywVR8*%uXGUKj?An(;QBAm2dwIY%c`yC(y!?}j?GC$Y#)dUb*QQEfSOG+z zHVIHh_cM$F6WyD=6tv)i*YwLsZe@>2Jd-|gRam+Pwu=fiHy#Rj&63X zYHw;=0}aau?_b-$l+1n3ReTiBLW&~7$7&Ox6EtTo>e*QO+cahQ{XXMtHc(mjd2fow z43Y+E;P&~{p}_M_;`4w*zNd}?dAqLMln=Q)aNq^sI@BS5!I%8Cc5S&CP0ZCUP~7&M z4p$ebn7g@Ro}nvu8B-H~XTdz8#aWoF`)S9T`^V<{k73&st=kk!+Y~+TKl*Y@Ybi|9 zQ{77pC4TV*s^GqhiRJ;min5IvgdXtl+m90-z)>%*2!z)%ysk1yHNPw7hFkxxm^-lq zlg1VsAN)0*&}$Qf%Cs7(JR>_x0nG(P<9POs8#mkg_UASEyC&TXif)Eb`LUQrTBIG zW#d=sRu8mnK7SO^jmaCC|1onj*LK8KJL{<~>vqfV8;7=AJMY)0KRE>)PShh-6d`lo zxdkxZDSDkz8lGicZOFGL5JF`L)}Nc=3)Y=i#5CIR)d3eI$%$#@n3We`_kHnM5IziQ zj6H^0CiT^6$oc)k z<&`?0&8lt_xqMPd)^0l-(ZLvff+&w0FHIiRt`FoXI|+BvI6ld&51R!kC#bY>+Q=@p&+eAy<1fUd z?3>p;c?$T+zdwO-L4bgIfRj^vx%4cnqy}lr_4NQfhg~_v_BO_lgx*)~yG-0 zVd`|T?y|6)bDtygAk$?@$-D%Ad^$Ns-%6jHip0n5=^8{LAg_f;(~A7jh;(vof``ST zvVsRPn=$_bkdAB{hMB%@009T*_eqeHA);PTt|>6f4&J2)jIThQ;$r2TcG40xVcvre zy#|^c^K)Oeie#jz3G-SW)Qc;8F-zCP!!lE@-um$ptVj}T#V?ahuzgJilbU9G*~IZH z5z<;SD*rGUJ-ulHdVq7LJ%EPWlTe>%icxdTFEQ0{3;L|TE8hit6vF!kd*x1oo_z|z ze}sNgk%{PfSp1k}NoRsi#c4We!B%Gez|z-M+*t%qb6IW3+w#e8tcxy8pca<{+zPSH zF1DSJ{88)rk@@`!ot6K6W_;4>;O@&~Lc`c|2Y#3z6-EW`m-(g+?DLQKvjQb1HrT}+ zrdtxdvZzf9S-%wfzK^$)yJ0QVNHrnlN8Xmg*MgYYZT?b(rx4gM%)sn~`P?h@z-uJ6 z5tqtb3Z4wdo@>KZQN%?Ajzh*qZuNkGhdc%Ixqq1t&w$*@R+4|J1AnFl=H8EN>t;I( z%p5_=|MEOWDz!$#<=HSQOuEoGN_xVLHlyd5MPfYt;I!;1Gq-4N^W5>5(=#3+Q_7w# z0eSi@xeQTnD$$FV7yqHC%IexlM{r?B=@^J}?o_iDt6-+0pR2LKHSw?5>gDt&S3$!a zyp3@^QG(Al;iAsS+IhRRw!)U-+m2#%VdN&<1gJwl!?lnGhHD98tzM={_gp?HD8B34 z88iM$4BcU;Gb8ni?n88@y&uyK0}i+=YkeBjDf4!S>8_2uU_)*ePOxh;vVH< zG(2t0i&fj!9i@?=sGVe!1#{GF91a}xG~a4AOu26skL-zhap&?QD*H@xN`hRbJ789> zI|*znX5~X>E@Sf*1r1)N`uRV!QVdw^FTBJDJHAg^7EvtWU}TKGihK+m<7G+>=}Y0! z_402mKM<%J<1Ow+DlZ+zO1~2qbTij{Y^tL zbaFM;b;B@b@rEqi`DWU9TW6N3TW9jdPx6f6ZDE>N()#5pXupVM);vYx?JsL3-a6N=G zOy>1oBi7>)++)+iC|59PD^s~pEsQ5W4h0AC5gd-qV~hMRCf*qRFS`LuNL5ZuvEc3m zTfb7kZBhJn(4`;)wTWpS?S0^C5*BW6%0Y;rI$f)hqnUg|b6BF=e7>IUfVYp?EGQci zWrZ9!T^$y`#ioXj{524C&cgZe5Jx3(8H1E3-1FCEJnq(Z2iEt^y$XhA7O~zNFARpf z84IpC{}G`oT#*Nu`wl9iMrrnw25D1oyTo?{c8UGX;3c8I>WfwR&(?4Z9(HK_Sa{#< zTu=equAkv|s0}Tgncz8xbS}v7qcE#n!o}k`|dsgM0XTa*0TG zDRpLgHv4pGWo z>%IVIlTyf1i(;PKcTuH8rMbzh_%jn4k-ySsst0BB4svK06$!7^c@L(a=X|#LlICVi zi45L766j3drin%^3WQ_7P_T=>MtM52Mue~?`0ck{eD>JraYnZ&owaoFTUOaDvn^=8V(vDxI00E zyL$){+}+*XB@o=*VQ>xZ?(PJ4mkBO|>o>Xg-SzJK?|c7RHG7|Ps;g_dtGjxpx=x+P zFQp~7?UV$i?SJu4h;q3iYn^qQut@dAdLv#p!h;(H?PY^hVJ zRX%N08E=`>$X&N0k|I}h8BUp0=~$k%BG%hw(b4*8A0G1e*NmHp>111e^$$bdb8y_?2|wc40!QW*-ZDdEsJjVPmd?7-7~%< zUx2ckjeh;Ff=?Bbp)g%HFEH<8Qa=*yUr@ZIH{X!%y`Q<(>+-6C*SdF7G@61#!K-I* zH-M+tuZ}KhsHyJ1AX+RM%#)FR}jscedW*TerqHA4`%s7)7{_6D0k#F;eUAKUUyw#6DZzixW& zxPy2H=ad45!QSc8@NUxZP5VPB;{&L^=J`TP6qnkSDdlHL96LjaV#!sVxJQ~ zu%q}~hh|^x=rwUWkayYeK{%*q39Hv`fL9M#Og&iS#m$vb>DH!JE3dh%Dkqi#xsK(}4?*VD7fvV^^V1^YqS^l9Bt3KNRy|A1vH z-*yTPOwk%ke6=J;9{Ae`U%<(MG@96FMXmto(v)3fd2^tD?tI60agC`Kt9fDSpw=oNDX4=y5|9-w$%ddb#0#V;b8S_qvXS z+(}1HVq$4aw&|-&4XI4WV0tu83+JemgOR^K`-|<6Ry)*EWO<@L8Yr*Q!=zg{(T=wu ztdyjd)}6 zs94UsbNDFh{g{rq?bmfOP!%6es>_Z@0KHVMt8arnxe$&*m^luow_9Bg*FES?sO}m) zKjoy^uKkTub1>*iYQ(2yJao;cWi)iq^oQW-wP7a8QRmH-!>ug=$~|btPH=TfBJjd0<z;pOlyo z1m0bt>5og~>9mZ$tvPRh5qiWIJhXlYtKK2+3OO0Esc@hDpkE&I5V4Lfh;W-~(?w=s z&}EcjWMSSSZ8d7UsK-5~Q4^;rUJq;6oPI#w6%ZRxNg)tYU_d9iwL|@fN~iYSjd344 z<`EpKs}D_xX~}C*gWIURm69f?K%xJ0>=8|oF7udt`TNVq1zp|a_N8g>W>agjJ;eyYZ4zd>dUYoaj!NXCq++<<(U5VQIe%@Jx z4o^Ah3o2>o9gn$>i+=5Ixu%&%Bza3H#AAy-!XG@ZEeXRvp19OVeq3`A?&jTrDnln> zZ}^(7Unu;EBS&cZ#&`vthlHYz&D5=S!b?0Oxz)y+aV>w`B@an>!W1c>&lSmg@kUDD zadl))E!*w7A+^NXvn{?vo0swk9FC0AR6%o*kmPqP=i|?YzK?}p1V0yDL(n9neE;Rc zOqaZtd{Hgen*NxSnJ(W-`VX@F;{{$r+D|V82y%>H+Jn;36}wmg93x$1zG0d+yvGWD9+{dXyP@M-Z8irWbw(Ya`+_d?9dSfp~OhOJox@8yC^fa++ ze+tEQm%{Fc2o9J+XJLsT`JkESM2AddagDd%6j9rsoilO#*fKo1fG~-eyg9A-LCoSP z&1iy$mpW$r`iJ8Ll<^;OXKfLQa9hiK^K|(@WTRv?F>11@zGNH!ultYjnY(Gekts3m zdA*P4>8rz0!##Mh9P;us%!Ti34}KW$U$W~d?2}3mz*>%o;*-1~JV@-&V2GM{2P#71 z;pZ-zV6I0HirbL^3Cfd;r+hpzi9%ujN6e$kuWimb=j$bW&RS$@g(KRSD^dByVuuAI zp_rNpDze!ZiDxV!RC|M%oz&Vgz(`47mRtE~Tjg)7^KovzZs>}cqVtpJa%9H|dP?zB zSe8m?*&3H$6O0lImkUF=j&@|dJQ9gF3;CLzljpM5T!1M=c^T>-ZL;Uecs!|7f&Cyv zj_F-st2)nK)&&kw&&e(MP$xY0TOxNvKWu8^V`x(HcL_(2{V!zz(d;W=f*;>pf-?DBQA!-qvc}+H*pwwRn8bjZF>%|!;5%AegE;Azh92+(gpM5h)XKJw>q0w7uhrb z_!2P16ZCx0zRx!;uUv08#gl~pxP})8XdG>Y&#L~N9!&;Jw6*M_I*3%kra4TRRjdiA zK2UdB{Ax}XfHdJQzETVjqdld!Y)E0tGt5!D@p|gccq6im4ttM(MkyEG@tcwb$JD;N&P~Eldh!-+nK*FCm_CMI;iWmsS4*%Ux+n`A!6I zk}=C)I)X6a?4Ct3B2lsDd7fSWkq0M^4IDix&it82IS%;BBb4h5>odr2Jl*<}e{CA? zCxC6_(I4<>1oQ?5u;f{44s&fIG(F(V_+fH15&$tmJ#YT(iJfD9M;#jnLgI;ybNA@kVZV^aItVRl?MUAb9Qc~?@TC(}$iuf9=ggdZ zW=q5B^o1_Y34(?zj(vDSVgx=Y{hWVNUGgV0!yPNE|7+?Pyv?46=wpV1D5!(`cxIh} z_%Pv=XdFBwqamn24P7`sg1MI_K%#g^CFmhI#$jNtXNJ%c{y5ceb|A<1IYJk;dy5?O zEWoPT)}?=b?`<;DMtXkg`_xItvn%KQ9_>!a)DgW8wyT71UBuHM*rX!Zq##tJBHT#B zv=u>~DfyfI`nj|Q*nFw(iqza%eu=2n`w%Amo{uhoORe;-HOHP_2v6~qIgX&W+ntT= z9PZ4|go`cWGIXn(T;=p)3ytdf{sK;V{b;9~{4R{|?3F8K*&1{X&aD87`M$^0h%AA~ zy8R>`rXzb?mHpmh9EOOxpZKnQpP%FJ57%y;N}7jV3_o4FTtB*X>kd6%da{iKDuJN+ zkxTge_^bGJ6+L+cbi4ez6L&O%?%~i`SJ_tcM8Bk_-d`MC=}2vo3K$ZVIpjC}$hJ59 zB_=Y7*tw>}HsIY?%Gx0vg=}H3R=RnRVkJ6^bioIF+IXmZgw;S32F_o9(y#i^MFGM7 zh(-wqcZcDB>GYmq#GH>z6=Hysx|Rh)6=?4^6?5&UobRrc6*^q6k_0W20M<99VSq+AQ!_QobcHB=+&TvUvd+f_L9}bqd>ot>d_9&77 zR^fUd&q=Tkt5C~|x<)K}?XW>d+>&h32e8(E0^K0y0-ZaoW3nTC^#h!XST(p?&~5s{ zc<>zA8-*UZOd)r+lHh}&c>OQ!$DsSVX)wN<$1Q%A#ie0$0xmJ5_b@g*@^22s_TOd+ zI;^X=RP{AtRsC|H8?B^uMFW&YZmZ}*mmHI|Fn{U9$=*I#!tMG>1fAyamV111%S!Aw zBCR$>N%uq2!KoIZq~Bv(8hUer+ZMy*MG{Hl7f3w2IRZr}aDPt2+cqYeWq2sf*m$Cr zc;2JX*DpWd8s z=1qfK&$k28h=&0cXA=TpQAXcQOlE&l8R`}(OmdIt6o+G`JJKw=E$O?s!beM*nZb^;-_dd>_GKH@s2B%zy2()^362py}UxYa4-juaP! zRUeF?W>7lV=PhF2o$zv&#=pfl8L~50BYkTkoJ(lTWSK+^Gn`lXR){}Nz?_m;Pd6+c zK{>IEE9`uv6_ZkK)G#krxs!$~(ms!cB8H&Yr)#<+rC5j0j_GtWcy?V%{fc(1us^00 zs>DS#^W8D|ysl^2e)Q?%4e7Tqicw6Fu;qf!nzK71+15~TH zW{T5coha{@B7zfoO-;dOswNi6vzh#X@#b0F)`NH`1wP{CWb8DI^6H~s`F#nqfjiC} z^6EuCRt>7(ik|1HVJwez0UU#P=6wA-`JG#tVAfqA;13qCj=$Xod}Qa4yE@lW zU0DhCCHreMk4;G%~`WQ zN^A;@*G4yENYt~d#^(BPf|Yxnl*g2NX-g(qCrZYStUduhmPlG^@NQNrqeOl4>;O!8 zu&6L`dC-}|4bqu=Y<#MAs6`^ctP1Q2% z;*5kR?E{WHU37L~eK<0h3c@+$*3(7TMIarf_%M|<0qFgsYyeB=lHsrH2lP6mZpBjs z2SL%VYzfWBq@1R?tc`Sule&&t(EGWrziRqxO5eAnXntX~N$p5)yaLti-?Y`t)?Jcv zj*IVh2tyN5zy89AGpt=9gyOhmk4WY1TbY+Cn<=1$ACuCmPe6?Bju=xp2KyyZQ8^ST z>dCMA0B=+WXqwP1$)lzv*@CB~We{>2r#;fKMJ{b3(UJM0k=BitJqzL3ct+rr_LOMm z3iDRVSm!n1zIX@BF_GC#A;5H|1#lJw+K=HC)_o5B`SnHxqYZIl12iFs0AYd|0sS#~ z%A7_K?Rop^!CsMj1yyb!JY6BbseaP(XHmPKsk;c20{8X>ra>U~(}L zTOfLA&bKNjQHlD-@|uFRAfHO949(D28E~?mIn{V%lHS&tMLX^+&=_M`%{&$S%c(cx zsEC^b=cK?nKYlMn;yqD>jBcGeeVxBC_nS$k&oLJ5|57Z1-O5 zL~rw_SVz07Q|9X_ouI>}q~{-z8$GK*23mVt9^+OH7S8l2PF&b~m|i_M$ctn%7{twS zCXbokn$~!c;#cei3YjPe>#X)x^UhOvOa*%#D0_BIfe7c_7S>jTsY>dZ_ikmDMcNX* z3w08W70L|SMYE<()@2*!co!J{LIi=OGN|jbmd!s_EAv1qzT!5Vr+z-_4P~T$&_(pl z)rVwayL6}J7x2EMA z6tueSkYZ?LP3tsQxh6fClA-&a4~;0P*D!`+^R@U#Y1s%J$>Y|$ zF%f9nC2QndJhg?fjP5S}%xf=G;OV?p)Kf?zWk_?qb!jIj?cN34XnKB=+x#W5?_wu1 zk+myl==!BTbZj$oY=qX4;JvY~7XQ)cSOp<$wJ3CXrK1SWL%%uEJSlcGl90^^{NPNN z37Y0pWwAp)-jvg@2c8-k>4g_B4-2Zd&FErC{d8Bf8gSvxS{2KDJk5Y1$PaKhys;jg zX<%BD*Jx9roYa(zQBx{&rr=QaBmbIH!5z+c$GEb2koEH*(4)SdmPcK(f$U=tRhEuY z*%@WBDlScZDAz?<3c=){<-txe(R2s3#&>&S?SaXKI^jg9DUTUHpgi^dhHSNkP4(yR zw-Mc~$?8!djXxUobyX0#s0jp6NE?GEpEAN43^r6V-t#vOXICZ=ke1%uZFFqu*$ISO zsf|8smw395sk>EUn>z#9!o8(Neir>ipnXnJGX<6?d4rT6W!6*OyT_dAN!lH;?Lx$^*`#TBizZ#E*|v{Wl8||Xz)zjK zlV>s6g!c>E%n5Tp<0Yf-8^912-Rv?rCi9bk_*Ou z)lXQ|w9noP7dfrRsp=2c5lQV9$@rR84w^Pi{EY!-y^Ff3^$vz{UZc~w>+Ulm`MttS z{?#V|)@crn7*&zjOs|hywNoXlnx1l36GByjxfL4nL5q_)=tn zKMu-9c127y9=?@38q6Tmhv%90D5*B8erx!Ry|{NXre}Ll{U-`Ewu6)MT0HitooR{g zok!Zsq484RDoY+eKn{5*xrCW&1iDO*5$;d-xYVYf;H$PE6vH_~b@Yqg2lg;c*XLu% zT&rWDmfs~3@(YoN31Su=qCbiVcxE+SrlIZ9R}qztTHciYjo>!J{$pZCsxB%Po`KEl{jyE3 zA)Fi6Ne-6tE~j;|#A&X3>8(w7uJ!U9;7v;nQrU)TBmfXl#wTmyqzf}OHVgix#&oG z_W*o8;Cpu^wU@O1FVEK4oLDvnx|`RKHcK*YaX!KB^>+;pQ)v9lS?yUht77o zR8zL!`t6p$JCd1kiaxQt&TfeXG5h)wZoYf51KObnTnx8(zAPp7?0vAnoec0Ai3+u}DfI`)?At{p+ z2Rf4Swxy2e0$-{M=#_WhjQKle7X#SL%cO43eA|4w0iKi91Nxge-PDnZHCHc$!*jad zrupnqQc>++Qc!^@6ax?r0dh%JwDMTv@N+(^qjP%MRR>4n*>(pK#yl5+;$%kc8fzO9&q}HR+`Ygt2CS;~f{ zxGTOdPXl)6V?e&{?~FNuXD~x_vJACxxSjA3R{PZ_kbwItikF8yhxV*$kxev=>zK6P z`U627uu9JAVOeO~5(K>3V810E2L!93SnnkUI}VzZDY*FcsGeFfCd!7HbPl zH>qf}$^0fvkwy}{gWc);0=e@_1G%$d3AwYt?vHEji5uyKTkefJUMO!jX10#Ma|B5t zivF22;R9a$C2w6wRFa*z6c>3>X8f$J@zBqmhtTtSAc0tdmbW8`D7--IN397CUAo<3 zYq52g%CO8TtgCG7c6LFSo|{8c8Fu0sFX(D@GDtxmZlP&op5nPQeZbW*$Y)601aaRG z>7Oa6xW)9;JKhl_HZ+NY$E9zw?(j*n7QWiB>lx$$_>cmlDa3`DFOIFQ9*SPOaDBU@pOYnIu3x`ijtJ_6Lc% z491<7q6>@cxXHmj8-IE*X=ogPyz(gN>|%gS@YR%_xV`C?DgbQ-Rj@j+$>k zD!Z&|aZDMlHQNG}oi?rTc$qfi^74)xj=WNydG^W0o?RfrfIU6KZdZdgLq@j9(OIj6 zM}w+T83Pzkra|lEWi&v2*+h7FEn?8+3XcAjXgHIB7-?m$5ysb_&w=M=HG3iznyjv( zV$o^QOpeT?N^6$p**Q1gXFyam!a!vvj#gip-;q98%xi}8x+kdfMxyTIbd=M7a69~G zMm8Tr^r@{}_oZ~}S+V<`e52g~XgD2;g~Y^0Fp*?!X*yw~R?%Q&z(RhE9M)D8)joLI zD163X`e@6e42)63WC+P7EtU|Y-RQ5SnKOuVRBk4oZw5XE5L5O?AsSx@Lm&p!y5}mQ z^@>XgNF!qn+Wic1geG^5U`c7l*4)e0X&Rzls!ATs#6Vp9fT(MY6r#pMZ+6nh0}ddo z^;DnMsxLo2^n`cCu#HfEmg|ZTnfIIybZhw1XH={+?rkl@^Q^OJVZ=-xSOXzusUxZv zrBRL=k3(KuWeAGwwdu6b4jQcBw;%`_l*#lms3&r==()PJ@PXXZKpASUnU)lQTGj}) ziArg26s^4R;ENm3(u%52v(%J`ylERUz(r{9MKsfn3?bac^Ri3tJ!Ml^Q-~E2_~~AX z2mY=ni2F+rkHNZjWxjuWN9I1PgzEah)}I;V*wj=3db)Jw95Yh^UMc>cgR*9)K8M=x zBJp~Eky=$ZE^Qi9+pE^TooYVLvOk(K&A)jIQ`(rZ#}@sRGgk!qeUYeaqEBkAK3lD- zAV$)z#YXJ>60xj(yQ!y3XciKlam z0QSlq=xLPT8}oq%ykbl;>iJ?oiB{}gcl<+uTtDEy>@i|@zTiUtRl`3CL0dASS^z=4t4MFTpM`Vi z5xE{5ZgAX2$Lx&NcY`;zmjz0?*R;liR=Jzq_=b9&Zti8ipvI11Rw=lT%LG9^kVeO| zSOag*3(ubBUp;GL_IJs?n&tCCy3!YTeI#;{u?IcJL{f#~xA z=4b~R6tSBzVyr+73g`cmj(ZxvySWR3w^fW0L;n|PgRP=Gx>Lz&f4(z@b}DYya3K&9*F%O5SM(S*_hb}0E+n#)tFc`ItRFklIhO%gH`1b z7qPagMR)?y6l1(8#~iCgAM-C^P9DBvi^<9)kMUIjn4IaOd4`kO)XR(a#?qa2TM77K zr(Bny+O0p!;4^EdUWv$6W2mAx&{Ur&E(Do?Ez@XPP>dK6nlRIwxS`Q`Ip zZ1eaTb@-lxksswBa@FwEmSIgJ>YnEKLUT%lLSQ?HdRl9JbL%uv2Ye|9(wwrTIr)fh z*U-QqKS%W#=Adz(vO$LSgWbga(78|L|0#|aX9svg-IJ#FVoM-4DH+w6Vg!0av9x?d z3-l&pX(<`Hf=)+j#B>j3&+x!>leMJ3qCSO9cWQ%DY{6hTScbOWa5#QrRrNp%Jz?>~?))wv>w zXILujUsO3jke23DIgt6ze4rK1g=ad}h;FYD8_9a!t;}#b$26ro zH%x-JKP0qe16pMAEPc_T6Wlr0`bW28O#HXoNhJ9DjQGL@?i~7hp{+Dd_iskY`R zBjp3eFoI>1X(V#inPt%SRI;f{Mn!kQn|ZBDoO3oHBdRVho-z zdyHWQgK-m042*8LFel6$tw!#++^6DDp=V?;KZ%1GxPtxuB8(rg>Mzo!_4z~r1Z}(H zVc|QLqh03ZF{80T!boelra2{~%|vL)f2hX0pW&I3@`0I>ip|<_LW7#WmK32z(U9H3Y_EjR|>1 zlvU2~0PFvOy{$iszkK`98Bx{u7I*<|kzy@E^qAh_abB zg_$D$Z84yz_rGtq;Qyfrm_GP_;?(gHL#^_WmSlXPFLppm?>jR>@D5h^Mz7yhp)@$A zx?C9?y1;EiJYiU1gpf(5Ug-2qqEFNih^k+(!~7tb%;i>y^TPwPO5dw8Ov3QfcP5rA z>WYJ#F--7{*%X~eo=?3!AZv!el}@v6QtXhJ-uGY1J}SdACh=jQ?%w5R2aYBG!1|t@ z9bN~IG!(!d+^kmKIM1!g)UPkjasuhj$D=xx+1xMigvgnYzz5<(!bD1Bckm4@BRoYU z!FpwzfE-AU*OocG-HsNxfy=@@L^@=Tf(_Yygd7<|vu>Eyw-Kek^z)fPxn+x0wUx9A zRylcW&zvRr_ABo|zW_^@*tTJCn$#IBLa$&7&8cyEADEg8+StAllU^eJq~^E&46FT_ zW!WmcSCDGoyVf3o@Xpd7WF6*B$dawaHUnViRU+6h(AvY>3CD%f_wn*z`GN)woKwJ+tKMkT5(e z?z|@sZp_ph_@k)NeiR%BR>mY@8^QdmbA9)#IX;ee#;T1tJj){$? z{19@gQd9guTR~HNsp|9121~|J+X&%P78!R1b|zk}?q&b1cdY7tiGD*v7;woxO;WZkY9#F*aBsS;|E!_==2ik%Bd~eJY4L>@!gYH?mp*6 z5kJ&&zO!vFw%@@sME)xjKgt1oceg-QYX6x>vHfKd;zFa?HiG|)AqCPM+`OtDkT$aH z)|8w`oU1-Zj0R>)qL*BSjwV+t55ksoRd~K(7>gryNePMTYpxnXpw=6r)gxPr_%^XW z0kj=j%eRO{6eESL#IHZ(VRr=XrRIC{)le6#;7ipEg&W(T%4ErN+uq&e-p|(GGVwO> zyh`4wbO;7AtO}6=zG^6`o9Hf6oF~87vJJ`i@41u+&QAdQkCuE^9@*tm*X@8O&etie zy6E;q9nP1Vz4gcxU5=NPffs*H{PI?o=EhHkA6q!T+oQfA6bL`i1wigp^B@%d*;%@K zeDeX=mpqfK3{;(6F72K15Q{(M6AFJ~`@;U*CM!NY{51S8MdUyefsW(&FB`o*sGtLp_KwI5dE%;);l zXt6pIjD(_`I6FIa;qMRV7VN*Rz%h50dbGe3L2Wo{=6duNUcYb3kjkzc$=xUOtJ=`X z^Leh0E6-%f-$(OrjLl{7Z_q8(C;?Yp8;(1`0eIHz66|q&qZ9>nSV})4!ruPw%OwuN zo$tpA^nx~3h;2l)`a)9jfeLsx*Z!Q0pAgs+FzuU@G^&m1m7o0Z7;B<+dL-CpWc0Cw#U#y5{01 zUVDFdAK)raep=~%R5{@VR}Nu&nD=saExrdjV&6A(=nLug&9<+<8Sc+GB)2x_``>>U=l3$=oMTvR{^FGD_QsY0(hN|(!eKHnd z94&(g84G_Fli}wwYD+9k(NwsvrC@Lzr&bGuzmkwCr7;r8Lc_3%O~ZylPerpXWAB+4 zE_C6UC`j&|pR9nie>RL_ zJ&jMpXLQrRpv}*>?Eff|sf{Tz9a1fki(E*+MPUz!sXcXw%tKH~8aPT;?(X5SdBV}%Py)tBfbmf%yp2rNW? zWQDPO$%X>ou#JKD$eWWb^WXu`IW45pMJwS(HM|8aQw8hfua&B>U}gT_ly7HfrH!j? zTDC-$R664!L_t+>fo)@m$3rZogFU&}xPe*?h*p%$E$SIao3=2DwlEM2*cb|DY>;KI z(!#e+%6s%&9Oq8TW-1z!j5<@l(Wyg%p(7wD{EbQ_b8(ZvYBh9(Kcm0VcbU%>9od~| z)O&Hz`Pie}6#k`RtjLm|Ga`>Ai4482C2-3Mvk_rXIo2l>@I?EP6u&_#I-AVL2~&{a zaZsQ(eiuiA7iGv-m<;eVjI;5~twi~4&xPDI_SOO5D;rSvS%u}0HtRVfQ(l#<)r^x83Fd!^iIjoUTqU_l;HiDrK5)xQn0DR2i=M?BzV@(%gmFd zM@oOTil4ann}3Li(UP9pJha0Jh&ZTq*enI9Ww{@Br~|ECt8$uEfqbrAsla)~!PYVo zS9!;(V~H*WK>1U=Iu@|ZcR%N^+0*N<*;xE^XYTQSZ%?AcUk}O}$%eg#!QWbgIW`-R z{p5t}t22bvga5Pf!^wvfiR7pQ9_yiN0Eh9KXLL$YT|!ATzwLqL7kO{bwv-YA&$8_H z#1g60{htG^vjVMk*MOHSZuPb=(==1bwh1WMz%kCz%t&WdA$**H*0L?N1^e>jX4TuZ zvL{>B*QPRGZPo6|f0^fgNC@PRu{l;{%d=#2t526$C<4lXbIW8?$vzgMXyAijj|uy` zD*^DXNTTs}b=C^XNRZHXbrxbnVE-N%Q~>vu&q+Q3kFTFVmXHPC^fTz0T}Edb>a2)| zWEga$jl)FyiRc3AY<<)eyn=|rp+|Rg|Lc>SSO{oOFe-KJ1aqyyksrN?SO~fK>g{l* z`5ENaEkxDUe-lkdPmJM?7am|A+8qMgVF$c}=by4m!>HN$5AQ79`f@+UhQYi@3_#MY zuNM-|tpBkS>jmlWd0ein?U=SrzG{aqm+D+aal>F9AYb@h5yrTXBhp--dHmoH0o{-5 zGc7$|#d-!d(z_5H!7ALJ9k}ES=oZArmOnp)6EN8WpPwHbTio<%=2MW0DlH_*RNgK}jZzAp}SmARqxr|<%RP?AIv9+ai$6A-`E9y0P>=VfJnEG%zpr}IwW+|Y1* zz`$Cd@#FFy*^RL&s&+94c#h-dXX`*4M6$j`nwTPP1;}Vvmj{`dTxc+&<^4s)JZLxk zFLMsk~(TWZS&CgYZso?=~Rxf82H7L&x^5<3Q2KSL0s0?yG5)@DGLu| ziPb`V;l1(a`~FaBtlS}y%3$74x7b>rEUf74>0_NTi)V(dS9VV@yI-&tca2KLNAC9y zI49F{T#Eb&LJHohjre}hOQQ(tR>#gIivMvc=7;@0u}V&5c7}#ge-{?6$@lA>eVxRV zklKV7M4A~JoE`JJQA@u=><$O`z1*ge zN2uYt)EN9{+~x#UXt=4X?*ZRpN3Y@sg8d!tO%E^=J3+7QfBg3?eY3}|ES=EpjiAYe zr?_*Dspk6Sr}x%_x*3=5*Vw7o|DJDS^`tS>f1)t$P%P0_tnOtIIO6T-bKOad{JIoFBjI=G}4{W}6 z*!kxOq?jQKXyZWZnqH3OZHx2|y<}=73vf_j+gTsbIxs#5xI^9{2k3-;B=&FZ&nWk$6nUl*KRR=aO~h4cP~_G39_~7tA##YlhswX1+L}=kkVBR9e=TaW z86Uu>$};#vZ%cB6-cRs()DjS8wxg`1{K*KAC`O+oPmF^T1&yfWdz#SB@UtdUyD_$O zY0wOgP#9Ds!O|7=O3{c@K^PZd8dm=F32 zY9Y&r6uC(+0*h<0U>>Tn`LQWjw3EsD6-YNQ-x~HvmLLIy+qa;H1j!7h|$-YP7 z-xMr=hf8+b}yBS z{dnVuJ9NZLmUDqJhBQ~FK!_p|fB&&)w1h75IeNO}N3w+YV7)o}M>NWez%ca5ucF~* z8~jzVB;6h)%6h^cI?5$ajwB_>9McD1@;z?DU@{?nva9E8`}u^;SfH89(E1J-#BXZw zf+!pPyz+$ANLQyj6)Y-Hy-Ci1depS3aKRS{GhL5^QD2zM_&r(nMkDd$^(H*7jfN6& z*s?p)uJ->UYc`EH^J+g>sTF}mzGS~fWMYnHqXHG=B4t1~@I6Xt7l8%6fTCSQCd;xc z7u-S_KGXyA>BVe+n+!hTuX(KKuX%t*bSZra7BfF*svHTO1$~bvQRHUM6T29dfhBv1 zMpQb=JOW&X6Mavz3|uODz{9r!TyD?L!>Z~Z%uV+h?Zi)}?J(ZZZg4he zX~XxB6aLn)&ObA2iF%xJ(`yOi%;-N#;EhAr4LN-V)Dkk|B*UgH^W5D%aUz%GKuvxh zOrcnnazNA;AhtJO{ozP=kH)|GXV4lbgfc8NAP6}$-GKPRmamqn&;VbWFnew?Eev~n z;ELx`tsmFrQRgjrWuh~y-|07D5O&o1v+(=eHJYu7bJ~ly-ekpLxNn>j>(agUvJkmS z+z8OqNAH5XdB@AMec!T({@x>#R>w-*0eIyb=S|O{YuAafzT?UFqG(q@v+TUNzsvtb z$W_TLD+FRpGPQTsVsz4Byk?ab_%&iO`_jgZbYOXkcrbd7ctCT7cn}UkJUF^V{=~vFDIxR^7a$bk;gxnUPOCEcxUW zXq6j#(a>wnZ?ijFw9=6246qw#jo+<-J9!7l~eZ;o;fivYW+?maV}UaHq-Jkie#+qXQ1YcE%NKNOLmq!Gpj zsE%Dx8#wVJfMrOk;ewx||5NpM``w{n%+AJ+YatQeGx`@%$Nr+hKd@$OdF<}JGuVmF z37v6?!uWHrSUq~6KPL9110t-FBfB=(Aw+Yi4w^NEGMVK!a)cBT?CMvvs$O9}YOO`w z!FgDyv)qSugTZ3Dp-tqai~F5uH`$>o!pj{duhg^qlUMKpjK))&vv%D{Z-r;E!^6p( zyw-3k<;-_@bi86iJ&j^t#&H#7XDr!ZRg}5?qaYSAoqE?yc?k z&v1x(gCB%{hizO`&+oIv_tz51!YwbKZ@E*itiJ{?>4Pu0%dms%Pzm}}A%3G)Kv^>& z&7ay%RZjC$)w-?Rm2U)G6>#t7{mkdZv6XoEWbgF}AQDC2Z1i^fB9=K9Aq#A{;ESaz zHqoSCTEdKMAF#Zrzf0*CihIDC*6BG^w=}ImOvIWZ5g&ChQ|!Uq z^Vgte(~1cognXqih(I-p_}0%t$tr;b$+$_5b7i?H#}@R5`nnp)PT3&g^lYrVmoSC| z9K)90FQ7?tX{1ZsjiatI-cthlvlzBmyz;U-sXK?0HaQnZ9aWyItjI}5&>{zXr8T;?pA1&NM(=|3?rNp zg9Nm9HRr#aE!q#FX|7^B2&~&%`dX?NvO+QTLaWzL}jZz17H*qPw@4*a6UZt~_+xN>(^aJ8?f%?66fZF~}^XcM{n z8HU+gvB4fN*#9aPCD5~h{`dxae#3 z(6^R8KbM^5KbK1NZcV-uoRp4+k`Rx-;@@?fquoDuIY{K{y*E;0y&+wQ@t3~dk5umF zHBobnCs_DEDfRyO#J7#0|?sdgU|vAgfbJ+U zD|&uQ8c6==k;)1)lj*{#2E3OwhRZgEJb$Z@;KBHOy&;O@Nq#Stfx3qNiOmDsjC#f? z!59jhZD~m|>FSAeU=r`@GaCW7+xeN z4yi&bSdzCsYl4+W>aNi7Tcd$q(U&CWZ+bE9MFZ7cAv+0%_IYY`vcjC^V$L!FCPb7W zA}Ia2eqL#jr6#BIXEl5j;=0a!&yV*cLKKUg@HK?SzhmJUZDc zXh{2VZOOXc%=WNFfybSXe>IWYOn6E&W*45CivJ?fpc-%Mi@wNKf+`~4Fax0{ zJ90vOJyC$^jd;A~PBknc+CylNx(}*S4j{Kpel`4mh=~Yv&NcG2$|cg9Z?kUiPlPV6 zB83A!8`_n;V8nu&P|gf=O{V$cVemQXd}!N;cOp^cQH8SG^W-useM$F1>`}g8>gWE; zp;6iBQZ6e84u7-AWom`F1Q zbVV435_9P0;p^@tbDwo{imFce&}PY-vau>#{c!NSIaUpSf2K}lCu&GtQ4M)W#~51~ zfLC=0<`?_(TJYeTo7u{$snra0^&)nBduA~VOwP!}Pu%!x03;Z&?QCR?gAd+_}ABs1v(lCFJ*d`Lr zr9vLW6FRI5n{GE-#8?zb0#Cx50ENO{RcbI8yq5f-p4^YG!BBQV3uA?q+MWqW{g4=? z08g1>D7Z{AdA1&~kUy^bLj!pqoC0}M347*>%v0RA|9rOVPi@cww)22fA>gs`()AWF z+0W*7BHYT8A4y;4AcfvaJb`__!WINj2|7b3T0(N(0O4k_pW{5M@njUUMCJ=j-a(_8 zG-)tOZ>ZbPQ)9(-AT62FD|%m-5%2dQ&KI(T_{^It4OyWc5yXj-7P6O_a>BdE4wBDu zQGY^Prhv(tZ-YyO0v}|Fn7x?grNtl&belwypkdB-g)Iga?${(gi_A%ryw7<9*PFq7 z2hVu}5#}ilv!3ge?F!Vd=zjuJ9Ax2xgeP0Ei%(R?{fm~C*1x4-rL9#iQ{DN!^Zw-% ze#wd8l)h=smu9VB;+&!0Ufp1JLk1jcQ!Q;LU~+=VyHXuFNvV@yB|tHCV?n{B3d1?| zMV52w25_iyO+i+txNw=()h`W8J0{ci3Bs|)&R8hJ=2pOzqx)>rX;E^_p9HL} zpK1sm+!v>e?^Z}&PHmSiN063ZZM*gAzl+*pggf%%Aon-t?^UJ?F3tV1UoRN$`D_;r z0Su(RsGbB4?CVBe>O@cj_~#FEC|*y8a9zKCKpOxi7lI)p@6}v(<1d)l@MQmF)9A=h zTvGMGb|-$K>3H}iagX^(QT$BGbm;MV1*n?Ql;3^d!6s&=kY-Vz5I1;hv{?cPShgQ% zSxqOX{r&44OyhzB|BLksZU&HjGu^c=_%wYdv<{p`v2`eUOii{4??HAL@ts$}tLy2F zo-`5qo&6E72Sen7>RsUw@$b9DhN>T?D&DQ~QBFlv8hdAyhrch*+17;cVj>l>zfhdG zU|uX*>rv^-rZ?C-E%NsJ__T{^AK2UflB3E5KF?3twBi&VoL9UsmeCi5zkW;;75r_k zH1&>f{>t#5qEoE~n%JJ}yiNgc2h$8mRH{|HjvS|S8+FAJUCSiV=SAJZ<*!`Pf6c*C zO{vQ(WJ}!si04F|@p5}s{Yu`JHTg}E{S6s-mLksD%#(pO&NZaYHG$AB34@Ut#AtVy^ESYRScSj4!hLF4s9BP-Y#%hPQ@3ZE8M-n$A$j(~N(w1(w zKeCo9;K{&VXD#FLWq<$b(W7uXiY*IufT;VCw;#_bz78&n((a#P-6cY{z{%dBPL9Lw zp+?T>?qR^>r*rFiQSL0Bqb~J>Y`(LFd$rbYLZz;wKX)5*?>qZc*FqdD2im&2e=qo> z=l&2uGr!8n7YLJ^-X!M_*|jzhyX0gAd1t0U1SaIbIs4SG^Bs*!r_3D5@857Fs|s=? zV~cSl&q;AmNlw2r5H3zfv_?(xH4!trLL7;L30U3~s^(Cnln{!;xaSmWV!O9So{?=Q z`m7)4Qakvf#*9kLO0$Iu&Dyt9r?N}u$)Gx*R-;$5a;tLTY~kqEhFXXq>ZMfgI(sxR zX2I$>#EwI}VFGo8n}cfYe+yR+s=9=rhKW!xBc+56A!v3KF;PP*vgx&0Q3@tSbu0$G zb4`Bo8*v@ghx^ds18j<1#YjIPkj~EjJ*ssaF{35ec3q2CTxVx+lyH`aM`IFlW3oEB z!h~ATo$fIk3Xa1rpsoQ<<8S)pZA8zoVb7L~ozEd*!?sLhL>y=jRr0uU^L|1{d=vq* z$PYub0tvR92BYZ1$8;NL(+Z^6avC;^%#S{^5Nl{#S@9AiDHK@iwBBE4rZbQVIME6` zSo*2A|3Jz-uhX%pOl2XlCof81+v{6IAU4pVvJhB8S8f2ezzxRo>gpPer#Cjky>_01 zErbi6hw%`RiY-U@F6?bI4e_B7J<5QkpTI8qBn81D7S*|mrLSi%(fOQigKJu%KA3~_ zy83kz)o|13GKXl1`eF_tM|H!^IB@k=Wk>CMb1Y%0$#qww4-Hr*l$;tfI9UQw1q<{n zFk=p?RT6M4+Fp;RTc^xC$CjFc&Cnne#5U70e^#nbt2^^M1iE#hj?jgI2*cQ@K4gSe zf>y?N#2A7-cy&b@~Jn zm3oR?h%*dJ`9Qz!@y*i$iiigRqT#_3QE~Bx`rS}-(-mf732tt5PUOV6xPe$PGt-^6 zLX4H=H%E~c1Xm{DOnmX#E`p@}&k#=5@FH(^s)-m7v$LrIYS3o+MrJvz_-b{AEL+hZ zsB^M9xWbKEuux;4g;DKczY}WJY)cGeO1j#n(Mbp5l6D7%l z^E<+eu;?yRWWQ)FldB+wf~h|R8iG`i*w-~9)x=_d<5HwR3f`f~P_t2`0M&&SS3yF3 z7N{p7&x7}C2^Q*zEE^SLAEXKvNE#4>WWmkvslm;S_5>284NYUz5*67P1t4N79 zw0{XT`D*;)DkrGcQN)fzkW{a84@puwN%A#fR}$oU%|k?~58m?LbQ02Rni~e;Zm_0I zu^`eu0u;G+Y_vnfK`jDtzF>AdDbctlHgs21lR{x;XM5w&z|-=l%m9JXD%1ji`;9}> zN&Mup{}O7|TY{E_ak3N}#8LE=$?g>1WXJ(O3Bg;>!v$_C+Yk+)9@{=t#+7VfMhn}w z*u?gA@J8QO(TxOwwLEJt>`>}RKNg*4a&sDrt9YWyY_LF!^b?2e@;v6{;E#L@$T*+_a4wdpK`CYHY(KxLH@Y@I+}EEt^ZhNyzPSCNTsiaVNq$fl7Wn}O~MM>@!m!9HgkoP=i4 zWP~&IG%6;AB6R^v$ox$?7*q(C9cwadB}!XJR42RoiAx!+9B&2AH(V_SQRhID(BL<@ zI6RN$2?*RAL9Xh3>`JIg^C|r`XfPRxJym){OV=k@?9vK?;pS;>7E4cQDj$`*c$OUM zB{L;+d%rEOrJhJrgl?SLH&pmClz(hxRkic*AXjDsuYMk0Fg$FIFk#f zci!mjlodew&m<%?x(kOT!tX1MJdsCuWPz8@N%EUX7iiJQED;O}T**I7eTXZ$!W3K) z4_XNbFFGPfsxYNn%90pinIYGvK}viLvX)zMF|)#;*A@HjUu1`r*g!2Ynu@W3)MSS= zv(Z1C3ff?fwIiJU2NZj`2sy>mTw|{Bagh>~l#@c& zAGwOco6Ww$tJZK3w)Z6;%Ud|GaU>v)vZWINi@yhmOl`Daw)MWt5vdI5e1A)%GQ%or zQ$z`lbAv5Uj3+OI!`5?BkHh;eGL$|eMnHpV(S!ky974z(n%{5KKqwPLlystaGzaBDR^BV1Iu%gS}ExxfpbQ93UOn9*f`PVMzD@06 zKahT=_%l=pD4)(!rrgTBG%I`YVU}ignxQ&bMf$y-d1+Uwt(?r9IXEhkMeX{lIS7T& zcJV2~u@-fjhLB(uTdyO7otuM8!8aAnBKD->t$={~b!F36i_wupDx)%%LA?Gp;~Fjx zobSvJdpIbJ8Pm|Uq04kB-jHfS<0FOnqOiaQi!wNCv_7M60fSl1H-styiR8uB!O7S~ z9Wv5Wkk>U<6b}ZnVzA}t68IH0qL3ribudb~#n3eqTKS}vFG||$f))jYD%z`J*+^J= z?6=1Y#j|s@_^2gfst&=*b$FPD$mComvtbP#dZVa@s{sTmJAxZ>3n^>mM?|+W88rD8 z48Tv_1Dq)Xx4FDGAzm?I6?_#uZf(f|X9zvgr)y4CTrLNfTa8SGcdyM?lqV80q-)mM8sFqzJs}LYJwERkYE|GmkS;Q528l%Kx(`0@@e>SSsqn*qz zB0o&{kkBXJIMEEs(VRrKBQnPiB&!^3YJyu3Sd{416toI;u@R8i!8d(g0vRA)7@q9p zgx-)AD#>Nu+=nxsva0R+y$r)Xo^L@eGFll-z|aO79xwkAHadiDR+%Tw-Qn#s1uRFJ z-SWN*c#|Vsi@o{I)^iKT?_D>E@Y&hU)zxYXieKZ$+M`FReZF4ZFRedP!MO)#S@)dp zqQ^_`#Vv#;az(GKe??|0)*k;TQDANI)htab>M3f5tL8z`;P8&*>orPIWi7k{xy! z`(teM_=@q{I>p$-N!s!3Nm|VJ)EK+87`xP%s+GhAcHRzM&oxmN8|heoCi3+;}H2Ddfy!i7z^tu(75CWn2F7X$G;q|H z|GV~SO$LRhXBH}RG3kkgnZ{506hyj|R6EIu$h2bTrdeg9i?eRF40RY>!p` zoj$-Xm3t)7bJ*mvekg`sD~9eYhR%9hiHk_sZi6%_qAurzSE&=sVZ49^Xj8w$>NUe! zURO}R;m~64Tls7gC7CfB>y-bgK;Al_L#d!~^j-UA!n<*54LP{XKPO1WZzk0sKl<2_ zcQn&IjiMHybt7u$HwmH)#B~qd4xp`ji{blHLo#0n7DJ2JG`yJ3Vye@UarC!AJXR&X zH9-zxU`kkL8B>lQQu(1_%scA5!rZ;NW_pkNoor=(xaIk)Vr}9vQG0pfg2$~Rd23M<*?(fzTY0dJw(jBR)P2rgCPElah5 zkxbI=G9XaNgqU}KOro+btHIYwqJl%dhL%ez%J2hpc~;zptV;TeDCPY}m+EvX`miXH z6>`@3V0T9td~FtG{gamo+DytD?jNZu`0?8$+;3sh%1-mXU@hnq=oNIl50O(_5)JuO zah#Vw+;DkRISw$;f@X$z;t_=?S&Ee)f>q9BVW~(s%bVk~7*Kc`%Ok@^# zUW8EyymE_HlKA>w0O1;(6XIibo$(JuH6<$OVhE!DdM=Yw> z`_0hWVpVh?Wl}x>NpkZ17|9H^>ex#HzVC7_Ts;e!hwr(NW^h^?L_cV#+HkU7-?{nU z-pcvk@>Cbhdrvm2c}*?=?iz5o>RyvvfKvyYJK$2(@8Is4ANPY6<&GYMAN!Abc4NX& zNAH)ul_<2jKfK!`igHk*e@o7s|D6x5NPSjBmAYH0`MNcowXNH*c1vT+B-ph}xaZ{N z=`mya@bT*8lKYYCd?;GqMDX33n$9uplf`f`U#^XR#YOqTW4kZtK=GlwV#tkUu0ww3 zwE>zII{MB+VDT3=0K$t1JjU=s?eL$0LgDICZbh%p+i4!6XVZ(ndK7G>4B zh|Q2Pkhc>wIl%Od$cu!=j+1U8oAC}^5{a&5+iuP>;g$DdE^Wia!m&5$x8;>=Nk7+7 zQ0AoRN>%+7k5s$Yy>z*0d%yZm;#2gDL^PB?yNWoCiJ_3zY!CGd5FI|i4^8$H1&{ob zNyNvaP`qOy)4O(VGf-$7nA4>sIl$W7J5>>oJ)k{Q^t>WCn?uoj_5}uiHtm21PG)v{wxiV6k zO9kfU?v9EASAR?hNpvx$_~<&N<`3(eG1sHi+SV(iJ0^xN_f;tejp-snF-W`r&1}`L zv@5?g#(o?AkXFEmOw!w%6JgvX6rXUM0N6drqCfkViWr!^0_aVU_(@mxEx*j;4W#%#}D3$q#t|&haG&91)O}?8NQbS%lP9xXV0S# zNsi(uRI;YO{J`uU=fq8n^X-pI*?|pNxm?S|fuE*bNK+cGOUIJbabtcf1a;k=;beFF&?qt}j0(rN{2IZkbi#N(rd{aQoGkRbI^qv zjqo#dt9(~=Qk@-XNBND4F|stnwlA#Ep2k4TTDnW+_GkNlj9nC$3ejZMxbsV@KV8u(h3H1I5Yv#a8LUI~blIP1I>ElrH<1cto$!PC%VZ7wOPUUPTGWlUn zl%6|%PUaYPKUJ4kujx;5Jd9gxyOiG^@;#GOK#MM)qo>nX#ZOY_$ zGcOMYE^G@}_S6P)>pAw+DADD~p8dD!F2$G#vW&f$oBMb53tDg$|xsw1V+oH zvR)ZS?;7l*3~h7I+Nq1b4jYEZg5c##Fkn&*d$QTvC$ZT8SNG^}9y{V*7`T^aW69L( zA_y!YW41!rD}a17F+owSLHkKO^;NS~513Y#CU#;+jh#HBc!eVR{CSa~U*}9knm9c- zV`a?ik6)enLvp9gMrTyA3`|GWeY1vr)oKRqJeC-#NqDypmG8sDM;F-CHkqu;;uP)u zZ4Oj@(+aK?5=I{w$`71K6ng7WO>2e=>r%bD^ZuN$(Y*fP4D4q{&j8wF1*EFL^$3cl z|B|Nr#qoa;{})GorC#({Vp0Mg9iN>7j->0IiUw?Gy=h4M;n6BExpErkoj%|B9c=N^yTSa_2(WOmGj_&WsOZHPf2NN67D347s8~Eu4ttV2f1{jC6wjLCi+bSvM$aMCC?+Q> z<9iGzjkQETYLxJPNhcdxAdxj{LG4$h7_r^7_^(4-$W&E2RuTrd8seN3$JqTMQQsay z^Asr`CfAv9D9%VMB8d_`(XT|~hWUpQ43Q<4cqLSbW;_?&<6qG;Kp;7DkzKCfM{9{q z-hgs-(4{sAt_1VG3Iewf+0*DCIlN`_d?ig2bUAr3)&V`^jvnP4_l^IUFM>%iD)f82 zlw0q#J9;_MU%IXssUO+y*@f>lBmFeMJk}CIj8smX1!v=h5vu}Dzaq(M1chpL_D%%C zhF(QjKi--2-BiL|#h}>rjU5W56mOgq`=ajaciX)AEfdnSLI{c08|vF!Kpmc;p*EA1 z?3{+so5SCJ^O2+TQAW#9**BP>PK8{Xd*~rC;u6gWf!CD4&9)N0BpdJgWdo&r>}eye z*w)XQ1h2eag&%G?3FWZ9I+$x!sNleV6bk#X)KC=dtTZy@Pr%x`G=jX|-}-Z6lUJkH z;LVnvBs$JF`JlP6pI0a6Su7~Mo73!yOzL(jaiY=5Y78C;p33`Vhpkt{0kSis=mUE{ z{qMX9zVT3YqneJI>^z;g2=t;K9K3&r-=*bo6mfPiJ?)r{TAwHUCGf;HK%8IvWOl%$ zNJ^)3;lxsQ#t8bchY8~gzID)xUSkxAGt6x1wgr0ulRXU1sZXIx9|vs_p2A;Y8@OA0 z^3&{Ay}@<~NOyiONubaqtzg7XC!lAD^ctE$KiP3&=q`d;!&}oAcpxPBJJCTwd?*=f$HqJ~qiALhwFj=K{mmlvlS1FsW4;lNUHfTOezcZ}%Ee&B;cpOe5FB*>4japPg2N zhs|skMQO&X(&W>%H8bkSfUN?7{tm=}L3GwItMyPP%YhztBK+KWq{P$c59Oi2m*8)- zm_%zK9p6RuZ}M1tmC^*u#>nQ)6ON$GI$&OJKxLeY@O$Ku@=wQ;S`DE$nIf##!<;M! zdD!jU4(`jC5D_!4_a)Zg&ASJ>D4Z=o;t634M(3#(1Qp$x5f20{qK~4;MyG%rnnJt7& z4B{#{s|xWh55Vg?f3x8_WT&Qf^h$P6qQ#)7kAEn`~sI2#1n2TJ6mrj zuVpQY8@YCz+5}%pKWwkx9UC`r!M(+-x^Hg&I#FAVyTy9G0lmd?J^{^&pg3~;%2aqW zd}qk%*Ok*F4AZ=V-b4Q8+eZ@R1~i%-)|Y#ZxT*=qu@0g!j~`Pu7hpm1S5F(8~z`{FAiLNE^}1QZH2bSzR~iS!4n~$ zU@Bo$1d2s!i-AJ)D2!e8qC(#~QDbp$CJ(QYcZ>3cG{FPE8f(2ji|E6{`&r>&aVTCu zg(;BdO(VhL;82q@Uu37;#Z&iCmMvd*e2sv1Lz^KhAO*HoedP-^lFbLDs~91c;Iv5^ zA-=~U=%<3aLHv%fOi?GA#~stn^&cd7ES0{$=oVXjNT55%-cn*}_jYJGGrpdS)YO)$ zt{!Vy3(2kumRkdwm@z2sgn)RBox8=!N0W)SRWO4S33iitS+KH#x$ z%zssv?Y%rHU}rhs$#Jwnczqh{<0;k6U$|MVezsWQX}i$be6*o+?NII0s?n`m`A01a ztS0H9jq)G>aj13b_L?YTocHFCGk?m97%Gi0E4I11Fz1f?6ZqVpSNIt??_n zI(x3=79u-cw3cf4HH{Ga%D$Ebqcxkq)KiK`jd+Yc_fC#ASW(Hg)XI zN>eaF;cnA&R~UI9(jPy*KXMg?KSAo`q`h zgKS?Op2O#5BXtO2cZ7FRU+m@p>WGn)ivZ6oWQKn#n$zsZY15O?%B$>h_vP z+yJo$Y7Pp+50H6il%yB+GYQwf-_5LzYcM6GyLv&veoB2o7RYMpv?R;(VV}pTQz5E*Z!S7j!U;E4?X%@nJc*EJ-oFj z%Kokz_yrI9Su7HQIUF4r$G=jRIW5Gci@D=grj%xF2%1vj}aY z)#@{5N&tz_Q89+$OYF)E1CZr7{5dk8X^B`^HoI#6W!_*Fa|#ldg?+hR8N02VxpVLL6-g5;9Eh`SYf4FqjumxI%gT2x@ml$UdX* z2O0+y>M;H8;~QGcL==kr1-=JSCfi!GDa5yH#sj4CXRQ>}so?#FGsnfL4K^iXgdl>Vh)M*2U zGL;7UG3OB%A1?MCUk+wFo1$XQus3c1)c77MEb^OI|H4IR0@&eAh0tA4Hvk{Mg$p$y&}-K`*&7kL+CFeT9JBzfCIpwXUL9-Q-sU`Nm9d& z2$kuJmgqM#RNxRPC*_*KDDeRPfFzxw9PfQ7eUc5Y%ri^|poq_zm>!nWrLM*Mz@)9$xqvE_keZ`i zMK+ZFlCfMOouYU453H9+$EljfGHz(tr|zFm%FIAim9uf6kgrchQOU!RYo~&ftxt~m z1DOE$qfcuzr94AVPPHFLuK5kMe7)M3YJZUtvl6Z+ixvv1iaV3mu~yw;y-~GkpUzNl zb3B|aDas-rUR4+&ShQktuPR8i;v>1b5rlyaj7w02EU)6sYipze8PoPnZCn+uK_xb} z0q-p%s){p=S)lbX+{CMYC#_uO*QocbGC9-wyjkzlY!?6O4EyLO6zFhCehiX!G@NSRAn~ zb9iLBP_Ho(93^CDCYv*@`OW&WZc}C7p@N%u$rI9;RZ})`S%V>eKX<`ABVBiaXOI8c z;{&{bDmjH-bj4tVzL$NiIN0;kRo~p)-aNbs78WK&lipBv{gK2MmfN?qjP(7*4b%-h zcMzHpsTRzQ%3N1yvoDhEE!N^Gbrq?^%^$uYr&U-TFCWI|^4fx79@Gd-P4Q`Q8OZK+ zcH?Sgl;)--!D$Pgtd(a58e3PW+KJ^~%)2+nd^*#vrF2O%kV)0P<%uES@|8`ChUxGV zap2l4SOM{_zZO!kbp}J`hK+YkckRuH2&Fm_EhPM3#Xw*Cv;ALm0>!M!9^Hh{q}NL~ zOE&GYR{-`E8RLqfIDwkckggD`B2f!7st{vS2c=hF$g3lkFu^{(o*S@Y$Q{X79Ny`s~tQsh+FF>YW3xE zxV2e7U1-~=bnevL`O`3L#IPK=M%;)N3?J<<#?=B>$kWSTG>WpR_F0CUHj3V^$URq)%-Rl zZR6hs!31p_pu0Yr0L@+qtRxd7tsr<#KMu3PB;OB^~b5ENJx4!%vXD4p|E&xpmL=-W5&Jpw+5&2KChttc? z;c+)>#01Ur!0Khvs5s@w*M31jr;xEI6*0`ehl^GV^Wqf$-yQd_{}_SubxH~X>urB*v{ z_PhE!YFbDvf3Xr~R03^=ADn><(Fca8hzAH5ozKeP1O5+xN6&Kv+^{D+(Ck};K(qT< z0)26r{oEJNN`cPlzaxz6A|TqE-%sA6b3g_My}~aofjQ#KPZnYU+Ow5$3?p zz4HLxE<^OQw10y@0rq8v-C*Y;5lb+i2b#PzP~+F6&oy=fMxVeO`@d7y+RL+>fzGFM z2jTw>VLJTTunGU=d4Jp@KJOgx9Hg{#k+i6)MGmhe4XTGl1iB77*eBg+->fVG$)Z41P@WA1`WcGCU9(@pQ8ocD_ zBD3%k96$F--@axWI5rMn8u&BIKFXw3Q58prj@bhyp2=!uJl$x;^v7BA?p>Ozj+1`2M8$JI~ zCzwISvJcwr;eqOtQ&Dwp9mVDP{rUQR;JP{YfZXc&mh_xU5+#PDk1)=;7NBW>8 zoBJFP;_t}&&kdDP@q9y7Jl{~|&m}H-E-|3AK-fJ9S#cBz5K4c7-#v&p_FAa}m0<1Ohh!W%Ibe)a;7)>qwRc~w zh`UI&P3u6IE{Vl>++^Iv)8KjBteyUw{dnS??p1)biawawzf z?10~n*V>9lqzt^w%OVoXO453Uj6SByi7p5Hr$iHRv2Tf&#aS5B*CO=T%yF*Ib- zm(b5SrUVg^ayRX}ORi35 zF5p^=+bX={wDmu6!$ga_Zl06mhlcXQd(t0XK@ zm4O8fR4XVhfIl;nTEzwb7a^`vCt`ppjQ7cDB`Az*vbQO)kX+4O@n+i1{`gb~{2(l( z3u?0KJLWJy@uLe_knpdE2eDy_)lq>Y%z|pYt@U&o+4&LOa2(Ev85Ftd&XlsOU$?w_m;-U!BM_7Z3)xjNdp|~ zs`-%^ifgazPCB5e%KyOjbz)&VTK0dEa!4;mVtIG~h(h&q8h{GqBAePxndQQ@yUr5%ItxR8wTbaf5psRD){oRkcG3>OgPWu2*;;TK0=uU~xMILm%PcoB+HO zBqRVo>z?;AA;^vN+kh!K(!EH><;}M9^7$J(FA{Ency;4mU}_>=`whvh?2G=CoE!7U zx9v+{Yke#6x5POU39GoCllBFPst+3+ObdZkBuB~-Ida~TfK^F&b$T&yY2|#Zr+cPd zEwbt}1%aDg^lf2=v}|&C1~pw9CZx&ZBF}i$uj{n_CjsgDJh~<1o?gre26PN5esHc> zmWzxJ1X^(wL<;3^i3ye#+0urc#hmuU6JC&wc7y$q{aG<;et>LE8};u<0z0m3$Ts2k zowPTwtoB3tfsR;H)G>Bmj1~5kyj&!Ay+RX?wbHzIDg|0J--Jq$sIsMYG$-8=m0TkH z=Lgtt6ht`Bq05GrXhraWfmARSbI0M~0KvRYUfbxTYc0}F_V zAK+Lu5rjQ4h(+}~osl9${QrVS7psy$&)2rTVEKCAn#-Urx#~653pYFH`->UEy?Nyr z)O3;hj`;5=hK6Xyshl|-7(Mp=z(}+uF0uO6<@2+nmn2g#8-qG|H}Y7DQGbp2#i2js3hU4{$`>FA~HzVtGuch&;&XQ21@OJ}J**eHQheKt)`4|VW zA#J$eD-uYr%A>LkC34T9jXM`jP{F&Zaj8;{1M4E3Hz>GZeha*RGd{B}kH#+T%CY^4 zWMYC7&y};)KDf<3*vr2~h7I0%{~Xa2!%_qC3<;3{xSs@6WJC#Qj;*p)SG}HtJQvzB z2^W+0PBjYN`t^E-i>;(At<$uWt{j9z@3ITn(qQpE=|0^)wZA45voi~OQe2rE7Kqsy z^fPPXZ4^)>e>+|^gAG<`npF~`dhxosSOS`S&RiCNaHSH^U+kgITV4#q#L_}u43F<% zgI}1@Tp>}txcv4NcyP}+@q)f^C{`rE9OjVqQ>7RQ=EGmFlLKQlhO@U)Cq1?_v6?W*@u)1N4=f*dZ1<6y$s@Ff=2L~eoG znyFV!a&U7jxbmH9Y$qyx|1O9E6H2%&H93xTnVHT2BwUt84z+xU1I`pI_{$ks2Dzg? z0g~v_ZYB}?^g(^(8M>2*ndOZ!#M458SZv?qh`@JLeer@O{MHb#g9KbSilfDtQa=gD zh+3#v$Dr7}?udH_dG+bq-AGEc7PNqOm6Styqj))0J(H-!&=e36CihS2>&&VZA@;%VSsPJsI$R)aT zEAc>IH*^{iG0*m+Kf@PKB)*Ni4z-@IdOx7OTi*Wu^ zFhqqhn6^t5Zr2f$M_x=8P0uoXk6`Q)pg<`ya?hLpg8JZK9y&QI_osQ@?&C|o+)7WI z-||49pH}_z{x-sI(>oMTD$$lmMALtPC-6e)QEfKK<&7a6h)T#Idd*P9Y{S>Y&u|2Q zZ`e@p+PXD7pF?F!r}yV+_=TER)D|*=Ue_-fFK5q}XJBZ@&hutH`y)9R*2dYm$cfy& zcI=l8pNAikMpz-moBz#}ey=`NS==^eZcIhPpi|abBJUiy>CYT~bNb=@!-MlL+YlA| z!uJ4;#-G{~r`MU2rX*SV`0UB~rha|SeYt89rHaHqs7xxp8l(8Jk z2^_E%t0eJw*it86g)6m}?#QTM;nCEi_1H}C5adf~9^=42m)hJ?Hjk^tm1e`K>PBJ> zc}UWGE05d5lI-V$4}=Dy{=pjLAz~ZTn6vy`)JyDKSd{8rOshq# zgqF4tX-FaIq}E1cD(NPbe!SQFp|`|Eq?^r#fQ%U;0;I5-wlBGjd?Ud?!<3+U_@!>$ zrQd@`A-zr$Rl4C_9uJa2!W(OkNEjS;w-Tx9NFo{oWEZ4AIA-s|WZ~som}!CKpRc>M zf9n2x@`6>E__?;n2ll}psXX=q|-uQz}Jq{k+W@^h@3+8qPK06NSoVUcn5Jh zp%)e+@crrC97mruvc2ufJ*(y2N8h&L)&BifGTWV=5Ct5r;QpbS1 zUkvsgcW5~)lij|2b&_TJ#PTamVr*)1U4m27jVxju-R)i}#`W8C;A)z<2!Auqh+l_x zwWxvMI6x;?sKn4ocV@Blyu~2I$A}i=jDFz)Qr9|D=H$MWzHt5zQXbna;;5Beu|RPz zJ>Z_oZJU9eH+7D~pWVFK%jaC27cw)pPVPUgE7&?ek2z<62h6_Z(8M3tHI4Vm9z~DH z%|S_sxua+~)C8de|3oz{;E1%v4lU4hoVBi;T-}`FNpzW=`&QaQBc!f;29QnPMjal7 zb8BBLIo{0e_%~gBx{b*~{^Y^|b@U%_=fODHjYpKfc(>g~A;xubw{;l}v5oQhIZxc? zsBsFsaoV!)CB-vYw@RV&&2K8-vaXY&FHJ1EIGuNb08_3O%@}@w)WVbF*Z27u? zz0hKobqiaMe1xv9j@TO_xhF+JI_IXeg^Qp2*wD#??&+vu=ZXOuV`FY@sF1gCl|h)z zqHSTX_uuwq`l z|8d4++FsmVkVYP}z-xZ}8`vmi1tAyp_~PN^(wvG2#~~siE-zeB7&l+02c-|T55f_A z^(*gm+_1sW&gbvF=EFKcGFm)jn@)$7QTzXfJME>or_qn;9O|8nZ`&09>Sh9Rj-zqa z`<<-CCBJK*#Hy>yo1UzFyIbj>7e7mW#xpx=N@??(@v>hD<@8_px>iBicnS0uZH_+< zrf{ELH@+{iTlhLr>-eLDBzeA@;v*hRo3#&U1DP6mw9Mfc<~Z9w%oNbY8ZqM!a~Q@T zsyjkfv#V4^y)}IXn(;H*hq*k}MG$4neAU6^Zk6=32hmVL0aFTOG2?Wk*{Jbuvgwib z7(92^k2yiq{2i_zEnib3Z<9e3tSh4o(m4vuV6(;ssFss(pq*#3}W`BDYbq`6rJ~`~A=vixwLf89}2`^^B#sXhrCj=k+Q|AG4z1!z#=K)j0b= ztGoBo>1O_8)MTB$WAD8IqGpD~`-wjz?CXCe;*)p z;)4jCxco3eCoX}|iJwFjVM%<^%)PO-S_@|wW4BWvg6eK@*a}%0mJRDH#@Qqj--}Qw zDW>Ov80rwe;TbC)?dVo1QO2Rg!zQZB1U9^w z6sA*)F9g{sc~{S4XKMW`%HV>%=ULS3Gr!$$>v;b_Kb~c=iNc7eArW|da$(R9ohl>d z`9HX|TvOR>fATJ zvVsf6;%N7f$?s6+UW_aH=sY5{S{BP$)(g}N`P;)0&Br6@#GYF@TpS0J3hE?8)Msz1 z!Y{~ebiO#i&b=pIQdVD^fR0?+ruU$ZXKPPq&;iug{wem#9%=UyS5%uRnSUGiwV(Or zRmZQ3kpJrgr*KXzr<5K>^h8bu+WDGZC_B^TYWgUwfbQ zh4`2!W2cq>gb{5mq?5{1uCJ!0Rh1FnOpBmy9=VExr8$gpwh(G6Hr{w2WHDc4O7l%c zBPm}5`B$J+6^J)Oro?HCP$g{7F5&;dj{7G$O|&02Lqw>u6Mj^3?Z|z#V0PZru6mD+B@qs6S0&pt(;QGJ;C7iw55;69|M-yPAKQ z*GSIPbW{HeT65!&W7adN*R*n8Oa4uo=mPTC8g$dAW`bbQ5+jc5o?0MC0f=RBhx)q; z9vyhWs2&v8zJ2_YnXM6b?Ss@=5)}vR{7rIrtIF|AvQk^>jfPdI6gsc2MTg348#EvhsG2S5%g6{T8+1W&8h9^?nLfFiBgkZ zqI(7Nj)^LTs=(eO0UPc^PVGcAzW*kx$--<$@g{OXYiBWLqi~Q-FT0>5nStW@z>4ma z7RZ`Bn{6554>hX(6k`{6{btVG0P3jgcWCb1xZ7qF)9w5pgKW@o^QmjvJ&n0{vO)Q_{)S?{Zod?4b3EZ3 z1;;m?z5EA)2RzPXBGJz?CYIwEqN_zIyoBk#t58$?H&9MpeS1*OAXcRor>2wpwEm*q z=rpKq7u{(Af3cCaSx!r!G{{CDoo{av#Z0AB6f6NQuffSb1PPS80@;><8;l0-yExk) z`?4?Cj8C=dcMD!Ds4q8Wb+}1|L=sNk57DYOgRo_Epz=LlCgMzGLo0aEuEHZ6vh@Os z6leRzm+aScU@YC0K@8GR#304-PgTVMMN20G!%dLKRWS{nPmIon2Dbe=*E1~Fle-!@ z0p1S71M#3m#DSL&khI5AJ?+(hf>V_=4qoDH91d{GVyzF(<(G&Cmy0W%(QK*lR9XDf=fu21lJF0;$Iq(;v`4Eue)x zumu1r;@OKoV$@#$X4g@sKa>|pk$`PYym?nob{(Oe$b1qWgeE9`v>n_k(h?=RD)+>3 zR7U4pTh4a{A4m=ZPe8|~0dYVC@~mfj%JrSCim=B?c`C;v!oF+Yxx|Z9Jw7_c#8F3m zMdCrAb4gauekKm55@H6hZD-mr%O^2Tz=Ql3x$CFYc)_$0uBVXy)->TyZZ{>j={F;q zX3PE=k?1xe5&LI5d{Dz4JBV}U3W-be)?VTHb`x&H3M+PAbno`(5=lk96lkb9?XY$Y zZVDI`&fiHe!P7{$KL-V2!DJ=F1%vb+lC>^kCb)-3=Kf!hZOacjKs9Nxd=RunLx2Ld z9a21krvtufQb++()jNVn&^;FrFHSEvKAZrb401i(xD8SSP)k1bF>Czw*>!nsZ)Ab$ zILj$>Uea^a+5A=w{aPD$oEp$J(E$G)CQgPj-})rjA7#SeTwpJ=@GoA}VEKFxUJ@5&>J@3F5?DMkQyH%oUQ$iJkBG)7do>(l%ru0VsGBUwqGG6X=N-3=&IVgY zt`1WX#!cmc2GzSkbH-^*yuie7>EFV>J^Xo3aU`V*iD|-C;i1Q2h0@BbApvu=UC_f+ z{&EL2^U-=iN{Bbjn_GD%Y3Pj7MJe!o42{h^PsQ6wUN$tzAleX}8RSh=kRGVdmN7wI zV>B=l1Kr{tr4qpy74zKu@Q8d2pZ$>Dn5x3UX8rPb(gG>Zqi+-~n~2Nc+btq{I|KNw zr0;nV)EHXL+I`685h?6GbSnFr2ZZ?^Y)F`ifg+{IOsFnq=*n2-_+e6PY(M1W+`8y` zV}dtdKYa3vpGsB1Nnw$*M6WavRZef~=Gy<}aOrw&@8*)r|EA(*$My>MX<6I*l4Bv1 zyU$L z6ERq8>eqZ3vcG=+B>C(jcie6AnR8YWTHEp20!vMOI?34vRO`|6+s!=s=C^^&jr3mS0H`fuKjmnU zSTLJp;t-yB#BfrzOzL;OOinYtoON@Sa)Cxh;;8{04YkGCGjK-%?ka9*@5E<2)V*-aLsZ17;aufzW zr@||SQp@`V@f#@MH#o)yu=v{EmsK30X?)F2pppdiHN_j21L>&Qs6xd zQlS<@!R39qO~Bth`VXM`nXqbE-4a-)in2dE=H_QcO zKs?O_WUW0zMnf=mjViFplXV^Bl2Ihg%$F%5Ah0T#84asnH-U_m)rid^JKLTq9DD>y z1HNqSJWXGiTx1SxWtl^Pt!ygEZffD?9{?@9jpevgf3sPC6Jcci(F-4^+IR9Z`y2W{k87FE|AtaJ z8Z6m5c`Qa>cgZkrzh_e}7}XB$;uoG74kdF)d1jq4+9LSbME2A1?8`_=fw<4+U|eFs zGlJCvc;=r5=c_=&@D1QSEQ4`?)?zO~G=2szXhPn8>7tdSnqZ-uv_^xX$El458znni z9fJfJT#GF6D2f;co0zu=kW2R1shnuzJ7J^RT0=%v+8 zm>6n1tnEbsUFsR>E)fdfO~<=HvvJ8ou6edpzXCJJcjfrADJMnMHhO`p(D5Tm&9Q$m z+WZsRh4+B%-upY+z?M&04H(I>^H_uJOKuV`t=l)R^`otKeE4HTP(#nS{NC|{t#@M; zuhiNWMXr878quz4M@Z^NXOzKJRdvtFpnQ0!e^CUxgDu9PeddwkM>}T{k!CR-W=7> zz`Ck16bS0L*8ov{B??0bY1#;Rf#Qemun9uPQs;Fx3TnF~*6Z?ji0^UZd|o}xCzKgs zFFByPez?~eRMlSU@H5+<`;bSRl@jKIgWr!9bkY#J{BQ=e=|w$Fk^n^Vq^I)PTN_qh z+GlS<8Pa(!Uv6*2TB`d~d7rOLkJ0wTfc=F|f;p&DXB@C4O140lKDJYX%&L^(*}7>A z(4J&Lm9?yFt?#JD7p>u6sQXX*L%yp3I@Ur#OSMe-cZI4VDi{R{no83W(Nqy^hn^On z#d*v!$+*>VQdvT!Bz5Mn+FRv-lkgdpAL}QGQ^b4vtYf*t{XOupv zg$+bKcl8g828ajVcfytug)cL^mQU~D`M+l4zzODOT-(&@XTuuYA$)#+Xrn;4B41g>` zkO657(TnV=S|FznTNY>j2x)$Y@i`{bTDj%J3C#4@PUD}8Z?tpKbk_=Y^DmWrx_LMIl`AnB}@33+a!Y9QEkS5GzCX?5D^tt$(_Q%-N~{~038BF_zHIYv!O zLs}TFz8Fq&1e$95PZ-40X*H=u09jdeN0eC;e5-aVN)gn-csViy_yl+u_QyjC@UX6^ zU?_x!QGo^Z7`Vk;e5G49<@{&>3*C0#^yx?|}qu7%!`s+z9O@$?`+~&UM z(G!r@%2qWW#2%ouVkI-I>ENSb_zh(;vwCqzA-{neqil3Z8l{}AvCcfJ=1TFRe7v&O zgkXerT5&B%D`ZprRsMHJV5bC?kRDpng<$iS>(o1)vO^29l@h$HUfu&n8Ipp{ zP9AeVNtK$5nNhQ%l!lHKKPM*iZtVxKFvYuU+)9!i%EQp$Z9MoA-1npZ(cOHk|FIOCJoshsU=s}t;PiV4?9C2Nr<+kb zsdRiDv;jON^+3rf_7n&QrUep7+!6155vTMNp*1nOjwh?cG523Ct0i}k8-k%)KL zjBr->2hsDmTe_KML}Gdh!A~ZPX$j?ZTtZ~YbOr_P0Q(bc7hwNk@Ut`Hep&N<^8<@D zFHEOvLC;Fw!Qj5gLv>}%vS))?Nx6o@c~Wdj$x7nc_a-Rw`n7QR z8e%dLvU!Fa*g`P!cI1AuLS^C5FLDNySHgx8*t%HtB_6Z^Niz&5Gils}R?$OKQ|z(A z>x5Z@2#ejKRX!lA-kA+n+EGrp%UsK`ua-J~{c)C7*IT0OBscflxpZ$L5Oq5lL3eDZ@=HCsrVOgZJVn!1$bx@w$#q7 zOx%rv*~IGMi}Mypm`Kp}Wt?~%3p2TBC!cym|0j5`&eOr_4zv1}l+mA>Gc5w;QK)ng zwFF%uxSQ(B5L-0p9IbYYWM76T$Y2MY{NqD%ImO{P+-3ND9QI7bT-%ZMQ`4rj|l3vWb;>BLD+~6z1AoLXN>tTIRb5nK`!hsXDBz^R);Qlc6ed zD!LGMVjctN8||)|Mg59eDYi19$qQw5<*n#r$FamwFUuD6Ma+PNK%NQi?!`u> zwh6v*SY;jLJX+*raw`M9H6ll0RM2z7tgpSwvVOL0vlEv78Ux^<96{dMHa9 z78*6;k@>@AS|O_YP&Ug_(xQr2!Kcg0I_5Oo=7Z^yqPJ$+kEz50b>+KPUdqQxAWpqT zl1=qUq#yaq?)if2)Lwp*pU}c_(?Z?H&0+;Q#P;85s_y)->b}~l3!-v5cc!`IpCI+m;U9`Ez_Can{dO>bEnD!j?vrvdZW_2?`W<5PS3T+{9 z>h&V#<-xXYvfd!o4l-oVnm#H^I(#9hCC=(TvrANf_&2KP{v4z4Nan3{=61+?4(BrdL^VO=OVvWHs?ho5)i@QnHj&q#S`GTfdFLWv8`t8h*44z&vFg%` znU7vVJ*gtpi_4f0wXj#dfzUB@Vc*w(pk?YDAZ)4ML1upAmD2?zwnUWX=sQ3lRANvk z$^a@6qKS8!mJp)_4FSj})vwP3(p!Kob*ixZE+vroaxf`Wzp@S= zfJC`Zki{sbm&fEXXGDM+tFh2Ulp4>z@n=DiVdkL3js8N49{l^{j<@B{Q{(x@11DI9 zCO!rkUqJ@lF6MWL#aHs_87~n5f}ht(2T+B^J_k~yT57afo2+5_OF8&U|ELDRZxOJS z>q{4hMq+4>OLbYVxJj%CIbZD5m_|2j<@jq7&*3k0@oS`5f3xeG&qPnuZOK8&BMM(N z1IbuYZd}zB$Re>av}9qt8}wDy5;uog6Iuk_Fk=rxhEyoFx(*7T%koB~8Dtl!ikgm6 ze2@@qPiDR;#evot00(zKe{cK=*=hhMm+xa|K`cyE6ny@?S0(2++s`TaXlL#8%+zn| zX3YtC^U|sUW^uPrV~TwT(Y&S9EaD&4q5DwtYK+Jk*t>R6GKIEzPc%k z{?vvR5^XSeUwJ<$0Ub1xxA03c*zOg)F|YF~d}`cf6f-Yj3TW>8jx}m-EhTzh3=~Y`NS)|6YM7#{LB{#Kcbnykrhs ze0>wl2;D}`@3k{*emGVNlpB}ZN<@h)jGSb_Bx%rp5Mm=@VJF*f2{BE(*9+gKrGYz8am%8H)T- zSXKN4*nXprKFMP@Bi<;~Jg$jw)h^mJ2BtvGgDU~9RHj@RTpw0E-s)qGy!2^#(Hb7J zvK4YDH~t&f3~pJU%N^BC4je`Pa4JjLAbsNI6rv)OyQyoC#Gq3bQA$+Qs8f}vIPtE0 z*VS!mijw4sTXl^=cySYrlBujjYkwJ+W{5=(Ygg7YILIbv zasZOPi6QxFrAkkvtFvg!$TG5U+W?PI*4lK5!szd~jkR_qhrnXXnaNRxjc65$lJBDY6JRnOZON} z^y*mJLzkp1O9MIfimaT=j6QZv&nv36b!pxB{;hS^!J#J%Xi;wMqRp%w@Z|Y7vYyJiovO#*1dG zsaT6xl2~}C1%Z1L2RD~tmuGD$m#-3Ub`O^H;+p-MThMys{BDHKa-cn)m#2G9;2P%j zMS&r-4RBgzum$n!iy~dP1I^8qc8Rl~`cLhW0|5kfM&PYH+ZOGzl;Y#YqGY<*5Zaro zqg-lC1-EyEJMg!(c7KP+VzC|gnuFZz;J`|RtJ#AJj?sWx@d`!s$%E@o8nL&r0-=P* z_0$7(Zui^HVaKmX&T#v0&qQZ_6H?~-Ntp+%kw>vFxZLPfv%4fcNo9R)Z_rBj&>OxHj$G!&!xM4DF zo;E{f>98>tq55PPUr0DhlLKMnzu-g2Xb*A`mmQk+JzsG!%viHaM1p4h`2iLpV_ zlVa}je;oD*yB0F^$c5n%iL%FL>+ryx`pNj2ivytvUNCQkjs#)lW0!vB_9Z`W|=_KhW-z z@FrGdI^EWxOP;UR3($5RHbDi3fG5S?C;iS<`?5iV)Mz{Wtur=0)nmUP1^a_)E9vjL zm$D4&>GyvPcj894%Ka$V5BM9NFe}(U{+d8={L8CqgCWx&H@6K^K()^tL3kw~w)Wh; ze`Gr;XNFCoS}O*`52}fL2q~_}az@egy-H$?+uSOk3eYx{TEhV5g}>i8wn`$Xol*bh zPgA6sD|mtFLxUw{WM`=nrR#NDPHsYfk7eHH!o#Utb|-Ky=77^ zg6X_57>O&ed8oD7cOj*rZp%cUtd0-esR$U24wj4z7POBAfq}MWUA@Y-f z$f(}$E~RFvu~dZ!S?%aoBrV3tb$aC;h2E!_OgIHtEjIMHV~MNSt)3?`)Nq25PkoZ6 zJylL-M;O=uq8Gk+jb+E@`d;}lt0wWeSIPHK?U2^R;(B_W_Z4;Qj^G;qyS2^_Syu%- zI?K<5>{N7q%}`Qm5&OB%cK_*%;eExu&%iQnYx_DB7AjiB4{2){l5*6xsDs+_?H*m&1CW~^XI730Y~hlWfYQP6{AT|P)ZzJ~d0ERL1aNM{CJE4)J4 z79JPrysKy^@+Luhsz`QKVK)pZIZ*(Dr;oWvS;cM4g}oRTvg~E>GxRXzJVu(!%$cf)1KBd;P6SpWA z84|25kyy$q4Sn^Xd0^N_^Rt0oXW2d2M`pGhPCqLyn;!Q3kidcF!=TKOyRe7M<^%-` z5pW-oqyc(2&hhr6mjxOa&(QMXdb5_tMQ5ntSCg%a3#2 zyqbCs#^l~+aaLWr#;+Ab-j{kRTT_Oq77qS*aXP`sCuTwV4-Trfk7Va4l!Ns#B0q7Y zTw=@4CC6T)e%R@^xw%a#I=MdL*c%#i>{(-~{iUhf{zsvvnWdns3Ylo}{n1ohY8@*Z zSSa4~^gNcBQ!m-5%*Di(ZNpCie4YNnFqU_Vl~(CK4dm9>(^~l?DlBDUhb@*%8qeBFk{1d>PZaLXM-I}zzb4oqe?Jyphn5S%v zYJ5L%L>VaM->@Ib`E2QHZB#?tyPaY2C<5mPQX~y;StE@HQsv6?h8U)tpU2zv)%4bu z8m?mH7-3hKHNAg4PN^BIw`&+LIA6X3n=|v5IS&$WV+Hp7ZxrK|jmOd$l7H>oX?tqH zk@`lM`@uvV4`) zC{T>x2mh5tIX?8j9JhC3^KN)^!=~@;cp<%Eb-DvM`z5CAqVb?qfV*g7ogn_BnlWf| z?Nh=J?}k?2G4HvaPA07H$Cm25!8et{1!p643W!V8ICS6XmWr0?yBSt))>uqDe;-3s zYo(KG>GI$;@y7JLM7Ftmh1_d!cJ+Xb=0v}8)3_utQaeI1{@R2oooHikMkfLTnX&le z)4WvoWQ$py2pc`N{QqK}zh^f-!Q$)Ge=?kl3YO!EA_+ug@Clv87l#_CNkC3gN` zI1N;qtax@3zSKM>8T%_UvZ1p#Yt5$FVmF+xcwA1M%`o9zzu0+9Q(pJ9DmDhS&fFem}pL;UlxGB}srl3q>_XY2PfEe6-qtLh;}c!abQaFK|zmk<4Q^5H@E) z_;T)iDOD~wCCZ(Pd}eT%PtC|$~J^{?OxxlFq@xIM^o0xoKj zbEwm`CGec09B_~~shhPiP|P0wnt%p}hfeT>0MrMNfEflMu2lYV4?irV>uz{x1D)sX z{qnq=E$1i#o+{2+3_`{&yZ}J%GGbH`nswT1zfQ-I%1YpYSh^Tk!MfoGF+7w&sVI0c z@qSk?tkINu)6cDNed7JIo&=0xw~>X~T8}#ngeKTL^bCFvHiN@|)k+gFe9vOOwjs>i znq-N?7(U*+s~Eg4dXCxkYGa8dZlBT33*0Zo*8r{9vT3P3`y+i4J@lTSP(z~a7v9j`&{WkS;CuRkxrP!f& z6s+>y**gXJ^w5-i%D=&74D(@hOX+}J&VXIX!1T13;7Lq$i>0NL&sb7u7U|01*U3Mu z*LWyqg^)&tkP?<6tz+;8_P+A=-0It#`;FlMZ>0Y_^tq6CkkLWan4^#q-dH0@3+AXX z2j+B4aF5y@5>xysn!+JRey(st(6%O;!jn^pY@+wBaz~+jrp_ICfrD{yGdO-dCHP6S zc%M~_?JAV{P?dJ&i#p9qUIm@QkBK71X+4>}7u_bARu_)PLZzcF8XMaP0$dDX4JM87| zAc0kki&LoJq10q%zt+8MTpI<^Kdlkx7w@vknJEXQGQ5}z%W1lskD;PNpoQ|5IhgIX zsmM2^U3=?i9AZY~9P}N3P%|^ii;8}NMuEeS$3JK6F(uQ@+3+zj5}P&$sXJE7--e+F z_dR_OI}2hAX_^ST&DmnVrLKHT>Ujx!so$R?0vEo&#zPH`nqs$8e|J^S$1m(Zp`gDC z_Rajq-4^Z3C`8 zA4?}tGg`y*DiSVy$FM;&L7||Tc^rrfU+UnX*<0cpFv<}RO@+p-ge=N-Zuke&PH8LrhaZ@JYPpJ-tN(}MSK`N9T5TgTwEf0FDKd<63E4|`V0g6>s|?o#Zk*|IOITle z>a?e3@-AjRucw!=F72Tq+F)Bbq9hx0GE->_;JHGR2NGN+?{#y8xr#cu|Kc=venC{b zN{IFXv?y+56{hVGgyqM#>E3WmdNtX~^@m@ivr0~5GCnDYzI^p-o&?LRQJJZT$T2;|e^enmf|(muZ+ z%!i83`XlzSs=u-A`HJQkZA#j8{rFK&UcMBkz}3s5=Ov69?g#^U;aB(?yl|hdP?bNhAq9SgAIW|pm+j6a38q+#ag!>V_X@obM zsd<1esPtaV6BX@khNd$dKV5Lr%55!~bBGv!<@QD?{@igP)KJzp9GXs(3E;ADwIDFwTaUoK_2J!nUNbKVk3AeqLV))ctukO_Wn>GoH><&+8H=yZjPnnOs+vpX6b{-5 zcPh-D2v@2Ynb@PM)2~#!Q(Oz1KvNp zmwPwRKwL(YKJ|-BbS5~!q>us^Bn1M2k2P^Qkv}1YTl{|px)cJ~YLM|G?nHcN%yt#Y zUM3X2GxiiS*y&Xjn|n zcRFb?NLD*MzqJvv{GR}175hM$3v_5Y2ZZyu8f$RnV)QMz5TIy;rbYaHP(#6XXSlPh zdwe$QnOSsEZTtAFnXLnNtzfDlrAiqtWb%Bcf1{40V5vOAugUqZ4DT$si-u2L79k8) z{RknsS+DRuJpU-{tDhlxUyYUo_}RLju$-_q+|z%WUkbHQk6CA`~y_2v%n&scJ0>X3L89sRhM6OX`TO-EUYbG&vIX652Rr*#mykg0E2UEXO1h2g2c zL;KLwYxlQl^oy4c2WFE{dS-k`GWmBd`C;rooz1ZI9HVa_@oR+vi+x^l%p|`DcL}A7 zdaP|bsf?8fI*7QE4e5b-J|-oCL}O6dKUk(YojDOc?<*aVQ;~uaH-?|F?AwIhAC^;z z8T@YCwK{!&P!6VRp#W<%?iwfLtHn(cWtdu>B*Em4i8nZkO}!BW;eKrDe9G~4c%QGq zPAteOU7x0Zmpv1j(&QOq{yr0_gtW~zOpZu{V$k^sT9SOV@hVc@$bHgiGpZO!Eglo> zx@)9Va4uNH_60wscp5IvAbWvAs$UgvNbm*=$BkktReDMeL89fMEs=$@y-Cy~kfB#F zqbK1r%G)t6bJQCwhqrMo&ifj#Ena4LDrb*V@*QF(d0E0W12mmr+xIm#qoI4K{kvU@ z`ZJIYD*kE8!w&)$wn`?|@+uGd9#4ihFbNOAaqYT@9(%S?@EEprfV)mImZtJ7Ss`u-Q#D}OxtI=$g;Hvcz>AR6lhQIpkLAV#%% zr8Ii;^k4u1yh{;}RCpm@kToa_szYzHMwc2S1FLZ6E%DcyU#-?KG@PFi=MXTz(tU$% zj+Mrq!IM#eM4z7pt9wl_rVf8B9nPk9NKMy8RB(nx04lTj0a71=>SR#sXEdN!oOB0yue7)9e_NbB+ZfIa z!G>)JzIf4yOO@e>j9xJJx&2@4t_OO7*kZfT$w_p}ujxlW|Aonf&feg65hb1l;CH3B zsq#RFM;Y@|=f#zm&dlduhyk(uu(SRhZTHS4x8r))OEIaaIq+a8tL(?+Ohl$NZtyZvD!9m~c0S@xKIfe9-Em$A+0>dCe^s8lK9;~8?aydh9!Q`T45c9T4O|O`UXY5Z z#zW$BdMdQj-pBQ;+a$v{$mw!M=(?wp32;Bgn}olF|HUwA0I6+}8aEF{|xD ztq$q>o9X%;P*y~dHV}n?@*~Kipt1T?+8UYWvnhT!eCn8B%wy5>sA5=~FrSb?DljBf zKC2N~9(2#MYR(`+2nUaZaa~6?-^lZfI+E9;&ofTxeyj^#o9%shZttHaby9c6Z|0{& zz;i*wM{s5!)3vPy3vnIFa2<*`9yG3e?DSLU)GOvwa3$O2V9U_b{MJ7LZuFJ}q%JCj z794N5@TPUa?aTpn_^+mhTh=jc>5nzw!n1IOB#|M`1{}H8=+@|#X3f4DInT*^lN34@eEOOyG<9z_w#A?C9^USifvqB$x0L@)&EcuK*x!Oqs;K@9OsG@y zfL&Ruf!*5uA)mXd^B1oyy*Ry6oo4%5#HS=+LQnc~)Hr<)bk%MOEZwonZn)*frES`e zrmk+c=b9~Waob%#s1v(xTwe7Ud-zi3plvmD?8Zh<{65Wf(B%20&R4`F^<$2R|8mYz z`bx(+1hB^)ZO{59!ltlkO}H+-br`SFTWu1_RXe8bc(dMs=O&4LDu_{=2D4&-a8ypM z65g`-bjMp2enY*dm6-0YD%sa^+u}fJSC$}A-8oB!%(qHCsxp}}>ziW2g)2xIbFV>3 zL4{GtaU@_=F@e%GTRh>b;rfyf=~vhP|9!!@t~e%g~vN91RMDYvF)p?Q{^s@+<7IzR30xGf=cl1b@39Nn_sy|@P;|7uC~ zLd4Io3qNG;rQ6+hJt0CL5Tv*H{x>tPI%LO9;Dd`6IMbh4CjNJHS(R-1>0m(DZ>FEi z=**g<&3=tEr_D(|x|Lku95VhR{YlRMGZWTIPTdV5Ax}53vWLW1Lgl)dY5oNH(@!@3 zb2V)avrB?IPU$Cgsuy$lR}OcF9qdSZT;{s|@37P*^9PvTUG&{KnbJZ6%jbN?b(p_$ z=XKXjLVAe*(?()t%5ndS$8|UB;?@UKlob9Mzoabg-wfYe*7=_u`aeag2$yP}z5Z!? z(OI%H40k%T^{(c)K(O8s_}~K{Xyqu#;pv>zT6dVNO_XKrskMJMc#td%PzRN z7}4Vd9xYPJfR%<~dB84M-=7E3;!5@wev`I=mBF0C$yKNYnxDRDlaXgA_XlcW8oppR zz*b{&Y%jXU`3s?8BYk{|m_RxfzEOHtu7Ws|`y1GZXtcTfE^gu3riM!@svEz#UoKi0 z)KpguY{7Uj*YiY=&=ve0vEBzcZCn2tetGmA@rgKL#3yj@)GWrP$!^uEi-X#i2lPFJ7RyyKB+n?ohmVad&rT@cB0Hd(OS*oO{1to@dRn z>^(C{c9OM{tZe_}A05@A!8|VUrEf(?FQsRmm{)7FcH`)u3>8Mlq`soZt=z$&8R6$# zKoR!<2U83p7==c98pE(iIJYzV0R<^wBgphOZD;t4p6nRL^f5tkc)uw+mlgJ5)|$Vi z%uZy2>izZg@kt*t33;4_oHukl&Few#AdkqWqCSDHIYQkJOi#9ueaO=#1UPI~6(agE zm2?76*dkO~<5fP0iU=ycAlVcB4UIetQ@YW`km=6NeIK5oGA{|Dn4HWmn_)0drhQhL z?RT=BuQuETW>O}R#zrm@JKR*C%>dTjMQSHcc{9jco6!*=PNwSBXE|C9Z>o}WHm!)P zfiI78>YHZOU4-dwj-5cfz(@lPu&dviO{HN(Zkd~kphJ76I_aI{>RFmM10Sv9mAs4E z&R*J4>F_w$yl#pudAl{a_pC86=csqR<>zjhuTkJAMk?h8$at^R z7l=@^rt~oc9*4{Ixc@B=_dXUddPn{Stc;Cd!oOIENI8bB1E_PHE?ss%T{cA1C|qy- zlMLiABw#H~v%iX)`)Bh2I%M4oxUcu+K25^qSBqKs!^2fiX+}E`2#FTC%pn*eP|=It zN8Eo14j1j2+x%Jmt5p6Np5Rj!JubYgwK<^|oOZkO+%#|t)BnuF`Q#W! zhS*-^IxFN>RyBYQ3rsRuMpS88hL30)$DyIMS0&Bjr=Xbu4?G)7%RqD-jSsVnyh3iz zWJP$D<+F!i;V?lQGkouym7L!X+_VU3J51vmmN$`|4fDw`{cDR>a`&H&-@TYD^&@>0 z+|p?t+C4xo?i)>@-Ghk`(ZAPc>_qHAUSKySrr2Y(-AA1a8G!~k${nA}+Bjwamtp`W z@7*f@o;YBKKR4JvyLLqHt~5&L!1VtECzJJi&MF*5;5iRhaeZETnL$+14htB^m{x~}!H?4a5KK_%vPob9z0s2iYX_$?;|b$ySd{FP zbQ&}>F_&g$7!s*r8|s|Hwxv6*t(T45l#hrSkZAlY%$s$iF_2?n;G|#sQ!p^85y{|j z`em$+89zXT~! z{&@*fdbh`?8-*kQs&f@!yvmzexo-Rur|dDiDXKVloFCjGz(|oyesa?oZ4lbHxGCxf z+OUa2dQz7ieKYWRm(VPK-UG6BVIc{CJK|t*M0A544bmvz1^_S(iShi|Z z7#beqHf?a;-x_7^3RjM-_%0qr<+m!_yF9c;h`am}5^{(;c(g{!%!*2Hz;@ai5^7D zZJ-;`Rh;mBpBoH&KqafcSZEguE^Do=aGA;Q%FY&Eo`XlMQUhNDvDMBxBtXEn>kFG= z;fkPF#LuU6*5#{L6wj}8CQ7;G>xV;2gofFwH1L&!+wmNg?DORaP7r!c3Y{YYOIix+ z3rp%Hnv>Dp48OrsgFVP=*P_>A%+j5NQN_qIby&Ogx0~)_ToT zl?aXIwyc>*Y0tUQepoyac)?Lhp98|>N2)5qF3)%neGoH=>1)~J(nl;H#=2Sq2$LVw zg@SFV7US;mc|-hrWeuG_+Dl|nRTqlpYc}s|r88kEG^g;{)K*{7)|n|3%nc4|n;`TG z9&5huUq_@DfzWl8dLV?G+A3)Fx3iBey?!laI1{m}_j%)GSbv5-|EQGx+YUT^mDn{) znRlM@(ysis(oLO;gDBq0HfM1T{#o$^k9nWk&%%<2@gDP*)~L7Pgg{&u(mY*Ol!82& zOo;EP==fTG)|BwJ(2_(+$dw-){T%%- z&Hvw#@h% zFY7eIXT{1k4||||%|yfQ{-JXK(kZ>VwiWbY#E{Q%b`WqAAJhR4ajA*5|7Mp8>z3;I zeSYNeSV}zLJMJn2De3YlKXlXXYA*>V-6Ho}c;J%c?((sE(uBIixEWI}=;(so5c(-q zyp3R46jjr-@RQ``$6ow?lP|3%gY7|piHO!FzAq$c<4q16#BJkE@q(Lic<#Yl1NZ+8 z48I1>JstS72*;@VFaHP2=VSc8B|ALtY~E=FP_#8!hZe8O{V_yBjq8BV#jKDk68T+90qbm1en*PPGg4KYwpwjhiYi$ z8Ul@a*Z(Rw-?kr|c}=fB{Oks+1)Y|lu4)jqSMYl3I}5iTeDj=!y8HS5R9a^@uWa*D zG9cf%^)*#h_2^#;$B7vpi^H!Y@N~uopGP;bl^B) z+$~)wNG)KJeidy$A=U>7diJrJvLLD0IAUqkWAq@GU@^X)9HNassP^VT+_hrqbqr$* ziDuSAHTBzCix;KVZZq}#mO7dLq3+M9A$A>gU*b8m-!1)1K()>g)7kwq!&B@0e`ll0 zgNSv}h-T0P_K4lBxUW7q?5#uo40PqFgl%SueE{>+)(|alpt+i)fzu&(p0{H|9V zJ3a`pdp&zTyTdY+Fcm4l5LGGf1t*9~m8!PTJN3x0xLZI#gFc}bS>M)#ByJTAAuQiN z8^0hVh{*TPVVO+Ou_F#J2~YzwNGX{nl>)jBHR?A7YVMP?Z59bDs#7?a`|=4!nn^3F zpg?DU&BZpYEX52`A)+S;G?-_G845i8%E8$qKjkg>s)&&CI9XTZW5&Nh9p(h+nA=z+ z-^xX)I$N2O&reGUlty$id_|Gv6068JP?)hbsc>(h97kO|5I42O$k8M#f1+HkJ6JC} z?*dw6G+oTMYKga2w10|neLIVy{K=NN*V!L;HbUeRaImm@Hs&mJej_nW@3ruNaG+f6 z5(94nc*kbkg7tIZKyn6^u}kP?Rl0&I;<@ekWJq9GAF~3JHE#^re2vJ5d~Ah$WJ87i z;&`&*eeMKSm<-Dp|5bAM4hdv$pYTp5kCK%4ly@iv6dj$qo${S(A_sJERV7sx$gvjq zas@2Eml5SL5L&QOsnA{M*hffMhmN&BoEJ}GX7S3O7pu(cUh>zE^G6-4y3CTdSZ4OS zq&8aulMyeP!NlFFj9fA9HrnK!DwK`ZUu3AX3Ce^Hp_hKy+)N0F{xbQP1L;OtE}<4` z`OF6Dw5Hv)Kb1iQ1!@U0^UP&GRR=$BN+B9@Kh_f1Ykw^E#8jZ8O;`<^43){pXDR%t z`tz;h&wsFkfjnyY%ACW+va5+Yp=(LhhIYz46%FHNKC+7@U>#Li``?KQ* zM)6Q9~uhhNoK)ZkRa*a`~_+)!26&w`y~q z=XLgc_okqE{^|N|SDtYlbnbf=fBQ=yKa&62|I{|hr`!*#6Z>p?H7hHtU4Z}DO@-~| z+y46_s7a|dl?AC~%{FLicaKJEW3+oa=b$!}d>=2av6jmLZ*bi43H9N!;^49(Gr?L{ zgb0Ue5$5~6PiQ~?6{~^?2|i%8n|sdO{%NGP!2|a&Y-To^E@3o;du$|Dxikr)QY`fQ zinqXG9AnGX>M)PnbiN3%NaYS&C74Irpm0wSdw`Q{m+3U4gUu?#^sHAtn|~32T0GGJ zYRIwUrG_5VfEpT5P)a{~srR@HneEBSK+TuB^nR(!|GaXk0%$@z-X-*fsxqtDM#lMh zL|6oL$5mAydCaVEcfwJ+X{s*#zKgJ!AvbQlNk2CEb_cw5nFQbOZ$jQFf5*LC96xpR zH>e7saBN{71VZK5;GzS>BbKW>b8DoM+Kuf>G#onwkwp;d2r5YZPmv>7gR+=%pUNE%~$qq?t@F%9I3u zSQvOD8VDgFJt?=Quw5lDn;JU6NFb}z+>(%^z< z<)7}U8fqOK7bR1L;&Aa1gj(5Ly=a$3YO+L)fVW9gM%RKeiA(W%?-vM#@J|Nix?S)i z%**W#Il-&5+Y@gq5ZF^?AZZzJKxv){jLj|q(gO;M4= zSSH0DoE+ZLZ?a@8)+NA6v0yBg`$8T}bKCT({z;rud!sQQC#Zt=@gM(C1?=NL5kCGY z_y$PKiVw|CE!ZUiS(zPA*Zsdi7{^a;1zx|i3`s7`=7JvaZpeHB|4kIC)9WOrLwRm* zPxBGtf1dZ^**qD-bJZW^j&PJ;bz9m~y&2&rc24b!P?*G>w7`$z6$sO7LsW-3RHmf+ z;DfgvYm&Z_hLPaSJxCeiQvB{1|3j@M-FW%Dcnj9Mgz5-~0Xgf^w=aEpWVUiWi>utr zxDdI#)7&<38i>g@0-LLlFSOgGQdn);ls#R1wfl+YkbT=fqhP5@1*F4x@H1rX&Jp%`OBVnn0{>(Kq9Bw$c zj;vfioBG}71RcUZoNo|yCoKm%IaSrkK48TxFGV1SF$N!#Se5q=isAG1?b&UG+2{iE2RtyIav$$%-S5K6#0jIHza(Tq;X9hLJlLVEGs<72hG0(=@}YOT+zRhK@}YIk zGvBMBL9rcm8U0k69+Y~E$dRT4PwsDy(d5HDB5Q3HS&8WhUaq0Uy zaUQ=;ed+`5N85p(5}Z~cc8E6EhNd~V2!CoTv|G>$@zF=~@pOBTRH5}uv-Q*>8QPWq zA$Wjyht zb8_;ZVl~#_HyPT_-+{Yn8GF7Hs=uKGuKn%@$PR?Sw+*Ged>hVt^)b)(s*WuE4#5s$ zy{z^p@a}11O8?bp6XbGc{CLbq&}*6XqM?3z{EvRt>fkE2xVN{bppTFDkq*vc(5Wqn zK2wEal>X`iC;PLxZ^ftQSq(;Thr_-3ZzB=`PtSx3$ne|Q@%~ve#BNku(e@1zrpNxU z`%TK{uiqakD*7)j*H6s|SYeJ+9CBqJ7E)t(uBY4&$vZe{ysiIWK6MBZ6K63v9lA^C zi5;pc7+)@YD!lNxOw}*c)C~5-{Af6(+n%F&EPY@(B#P`Ix@y26*rRgPxbnfz;}T9A z?|KKNw)Ts=z`d*gAyzrkr`7#pruPv8kE2hVSXqR*Dea0+7{@iG;t%2Ud{KGxAcAS@ z4=mIBWtXGNr-|aFnJI=W)NG}G>!sE zwwgwoFqZ=LV{E=mu6zB-*$@0!`w9$`r9&7zFt12on?kgGMW9Lg#G$W>yMW!ermV$4 zWS90}Za%&C;761JpzX|Xv&|?+a*T$1gv&SfZ;E>yDbyxi8PVz!d$;?v&d0wpU-o1) z2e*l|Q4LoD$&1xO>F64$LhDPem4*``AwPuJR zH*#d-nIMWm<*!;=$`fKkGv8zmxhW4lX%2BI{(>!a=|k>q^#OzN#W{wWU(9h6@$m!m0|O03@(r<9Sc2sHwPijjS=bc4lwoc@ zAxOMWdoV`Sr1T%Gj(Nq^wzWC$tr}9OhObVA>e(;;uU1S>F{dF z+1(~3Q>S1zalgs*KUlu{={6tl72f`aRhi+e6`|Et!S-o_RfcsEAE(szTXwH=i~Eg9 zia&yHY877}#|c0Dlbv(~?>9YMEqQTXTrF9frVJ|D%+j)&XRE7QYUTRceb+p_#mc;4P#p549+WT;c9 z_Sr6t!nDt9v=S-3Oa60R-kzpThEAWIn0Z-VPtrSRtAmRE(8v$H_N#w^3)hQUdeXgV zGP$Aj&e~x-fQ;X}(gfrFmz+E9CxTkJXQ9>2e8GwQ-nRR3nL$i;C;Yh(gx>=i9(vu? zN>BRlq&Zp4smi>~k0vHHX`U>Cok?e_)hgz)TIO8qiyk)mV#SUr6qz5BgPuC5LB`}+ zcFO|&m@dFvA0+-DL$;tXNY+aeZjw-qnJFS8qg>=RPrhrKaAR9!dixBgt=^nm21}6^ zGOsOcQ&O9fTBbI*ss!yQenul!#_2Kqx)<*@_L#3y(R7rElT&Ne8c1tc4SB3 z0V|(imXhlyWFfKy*-Oq4D#>cq#!JYCuvG`v#RHdX{)2to2sKW18I%u4nY2>1F|tbj z{KEmmxSO3IAq|(HV7_RnXO3C3aU(Zh9Eq#+eTZI26E|LU4 zh-V&`X&WFDVjDf~AJUWW7a1RVnvXJcTaKWe=iv{k(WXpEiiQN0lDx7RAB5?C5HG=P z%q&*?CIj&4TmV{1Lu$QcbmxO6#e)E^IDPCBDiJyMfVU6o+c1+3zM!Ho)kPA2%3Q$$ zPIlMOXVcyd#|b#wurHP1HaJV4f{LT4%;dA>su*XZF4um^nUHHiq2vG?BLRjKO+{I% znaS7?VCXZzz;Mv4q@vow-JdoHiw4fnp%;ym_+3>wyP&5kzEo^YO-p{Ry98}TKudlC zRsRO-E%?47hsbLB;ESaGQ)2TEZ@Q+&yN zaqQ*iTIOGVj)@Pr?$|`Cr;Oxbj*qs$!ifARxjZD~jAw-`IT;~qlgRJig*QBXd@w*B z!(9K1zyt?JV)p$s~uQKX>V9+5I}0d8 z4oA-Oz>HToWR^(n8Pfb?5Tfs@dIvd-q=on_f82&t@HIU`oT-x_9Vc5C5GH5Kh5NC| z$^Nlj+so}$lGD43x_0iZBoef&{gZ~miq+5#n%yMDs&VVrkY~?T^il*;(geMlkp_lW zR@5?Zwg3!sbA&F+|3ZWWbwzMk3*~2V8U?$rp=PNYSJvHMtg0NOG=j0&o!|TT3udF~dVhj5?Gdaq?SavNI%$q_>YHt9D6JnjvXHa+LA7* zp4su9%$Deu+!m<=c{Rp-aH%+A47T40RVLdtonlHs31{G(8im}G*jSQeL$DLbxuzZi zGVuQ4)@M=67GleHcnq;NYkTTAE^UB}txmdJEkYI}y&oXK@lQmswvD!{rSECuGo}x@Tb=D+6(aWLU(A9;MICRU-rkHgtO`*OfoCiXvo^!Q_s7UsX=zaV zIYn{A<-Gf3WpTtey!$y-am0dr`(*WTNOdhIL*E|m_t+Me`-^<a_axI0$=DsLI@V*UcFnpyIz4O*)!UX4CA53aU5j)%yQ5kHj-5x zqvAw#^TkWZ*0D+`^mo^?J3S6+4}b;!!p48$kiT#RD$o_n)cEMNpC>C`(pJ6$>z{9@4cf#@bYGQYN(zh*gly;y-d44E!8$^m z$H>6X6MO^4&8QJ^;BrNFa(ZxJ=nWJK)-g0b?E*ZzYAxE0`dkAVF^OIh*ym{$uGxHR zGAiieDq7(V@#=cjb#Ymj+D(YK9!;qDjje?vix}Nf^^I-HM=y0q3GDM=&IYQHvJsx615L1i3ILt`z`-IB! z)RQI5X;p?9EPJ#$YxP?fADWiR_vxCahbB^~A00Ee#aITW`ALX8j<%l67-;9Dk@dzT zRhbnm_b=vWTniDE(phE`qr)8-8fO!Kf;+HbQ9@INzP963LUWgwzY_eOlfNxq!+P!& zEVJEqD=41tm6@=oH6b<;m)DY)mRFLe%;|ZV-YGgZ+GrC&z}3}|V<=;6Fn zI$_p;WkSrv;>Y9%eds=r6^!LT#q-?H@m`YbzCl7eVM>49YL|OvwBLh}pVc6}Jam{O z;8@!o<#X9g`pgh<%9P&SYFBXCb@wOCRQ~OA8UEI(#c&cO(C@}NB;t$?>2Z5_tP`FF zuyx{$;^=dic&;y9nwk_%A6*89`!>Gi?{yo%AQSrfu*=8ooK+tY8$on>yBW|CL11}V zHSqSp{%}JP%qdVNw8!QhPAhby^2l%xCVxuXjc4M&^u*Iq;@eQY=zTXjRZc$D8FDam zD<6F0s!f#srbDwmorFjAtriX{gzLMso?Ehex)~GNKx53GVIetOaT9^t+Ac+%bqhF=Wz&VUgh~flx`PyPh%Ds!uKtQKWEoq?`|OswrZ9=;JsL=b zA`r)&AM5@2W{bCH{i!=JM|gVp@HMFC*b07LNU*J5(4r};VH=121|#P867(FBekJbl5Pb2Y8;*Z~2>%k?1eG4S`IVZKu`xXAfMz+d7T z@7~tUu@Ys3(-^p7Ka!N>Da|u(#53;M|Bp#f9=y2gI}yL(1~QYmB^jn)K;%0VW8E$C|`dh*)Oc;%+TDi3yaJYac;;oJY8gC z{|I~hL_59$4@|#ujIT6c9NUwQ6SKWLKBpWf4ttpv#Z4-Tx9%Ag&$oI{MNMl;P$l>g zw1_MAl2ip6KN(J#(YK~5I5}jC3UR)(R=tLW(t-Es^frHL+&yDEntw)qXf+6&YCtg} z)d+C>T4x&gG-gI5LVjqwXMl{J?`Vt*-RNY4VEig+^e~M-m>JG)pTCWdy)GdJQ@1bF zCSvQu$jLRqI(^68j13s?Msx=G0iCy7a4t1y-j-S`q>#%gknY4Ef49sx)51Q_!hQ^_`+xaXsdA;yde#B!6;!9@bWza+cEEFx$FMH&v^BmyI-7UTawd6SSvJTJuP24xMbQ`T%#sD9=_2} z3z9C@qx~jWfUkX9>&2`bBn6QWAlUEENoFJD8HJIGWb14h;rlUjK&+focVN^CU&yn) zdYwP#UIng|;d}NCA zH3F?^O&P48%)C{G3NY=$P>2X9D0P6zmwE(xpm8Q9z$R4B(>4GjijG_qapppafui7N z8c3_6{O37YI<|Osy!-_5d_74E%8$iKAGM`b4@~3L-z9J|P3-)rWd-cNdYSnP3+jyj z4e!-raOZN2PX#Kd5IkSEcPSD%rJ_zMsy2}EWUca%6bN+-Zj4k$MX<>!IwS)L@ryw6 zDf`o)bgtJhvD1A*99}id<@y}kr-jD`F>ZyLYTZitO0`PzxrsrvjJock`C_Zn=tmf^MXD7LR!rDdzzz=>bNMZ>%J8$f}u*)B~%Wq$P7oL+2I(iZUkGhmT97 z688R>z0)^qb5x}6=_f&Zfp=i}iL!xr8B`?u?9%QJ+B16ovUDZvx91mkce9t9-5zcy z(?4(KTN!ezieK?4Re+G=KT-V|q-l~PMMhkPhV3a&mS49VF%*8?>A2VANl)W^)@5$(oIfXB`UeSq3LlEx^C$)}U zFhAm;Fjwp(?Z_%(>N><@Rao7!z0|0(tT(^h$LX7*ht<1P7%pZrGR z5KBDdB8(T#7CQU;<1Wg?9+CXu=2*W9zRxXMUfo4_t;#;ETft)SvmQX}my3~`-LO}S zug*RZ?Eyd?~1g!nkWC9Mb8|O5z_A@r|lHqy%m;D7LN0=sIS#9D` zKQV!6y4q4@bFKV8)iODz34@6_Qfi3W6_~Ypz#6K+%Z>tfRbV;%st8z3-TMgaE%@^T zSSSZBqBRwZdKq1a)j;B7H~v3B6Ij1%rDj;E;3=usj=5xZ#|5AC_JpIq6=v-bA-p@g z!f1n*mNk5>S3LEOYAs>#v=^pTc^@kIn+C1GD~vOle0T#OEgt2CwR+K-4!yax3n}T@ zu}3Vl`nnd+hnCKI?5mG^UtCxlFS=YJ(IA}59G2;0O=sNB2Z`0_ zgKcJ|U^r0J+%D^3b8py6I7_) z2n_ep{^Fk#fKtq`SD*U_8vR@4yP(??~&d~j~*{k~IHCT1h=jJX@3E%@k z0Pm4oLe^zOuG^A{;d-x_X$pcwSOkzm_YIZg&<;;+WkQRY9y6^At6|1E%oY;@FS{Q- z>e*nV-XKK2i(aIaJ-Y*2VQkw*Q+_S{GaerL&hy@D5NK&1{YX$hqkHS~ZDQ8L?=Y>C z3Nf!9M|QjN&A9G%S{M{1aUfq;2dWeja66Ctsss^pJ41Z6{3Gk)d9wBz%|T&_tU#|` z7q5wB1xz=pbpkVw0GphLDPm*rr2!!uTBSw8jyQRN=mq$S4G|j@Kuf&&c8Bp@Wh(zI zKu3p>?Z2jb^=X9uXDXf}J${P>2ODX`a&9VKbl-{gh)HrRJI)7s5+hM{Etw@+**AKo z2Y9X%&^ITK7!7ze@COKV?~MC-yF&9?bW-128F+C?&Hcjkczq~1{Fbxwq?^zXx(1F2 z080>0SKxSUeEw<2gZuNN`@igaz*+Qk*^RqCq24~B_WDqf<@s>>(7kJxc7p5ae)q7w zf45ly)-k$&yuOiItl;!gM7omB%9592aec7J%uQ<$D{;Gtr59KUG5-fLCJ z5sRASI4EGgHnj?;;Js*nG6Y>HxSqV(^UKpULc|%I-A#hZzZdKp_NPrkO6R(r_vXau=1%5_rut#NmlE`>N0&E~D50wm&+-#Y-?ThRJ(OW33WC4&uc;%roW5p}2oAoE-ET?!nKrpS z&GsSFe@4xXUseE=gSfUh;6D`52AKSuz$D}aw*64f$dG+3#eCQMF9c}2fEskxv`zCt zQem|SjjzMUi~q4P!m#2v0p-W4AW4?K$Ewps|HIJeG@_`pAntchmd~++LeCT4^4)$a_}J+am22!;HIpWH z3J;dFjzjcF$zr10)<;J)900qrrn zW80VHs)7H^zh!LBXEAVf5q@11O+V{&Y)rAjwxZ7)@_b`sD-?;!adLEu%4zk(@!@iH z=8<~RjOyO{&tosjwgy*eN3-F>G|EGT4foSQ;HC!Gm4?qzAoz4uDDxOANhkY=$utj5 zZ{<0a4SRQHwGiR@`EDQE^FA3Plfdga>WNyQqH~PobankCX|~bhOV4hh&{3khmp{Ba zOcgw;$Ld~uLtQ1-fBw^ zrh8^)#X!Qs!MKKC&9=c{_JsH&{1DG_TJvc{@wpZ4(_boz8i?^*;~sDRi`M-fk1^>aW-X`i`mn zd)bWgze(z86j|OG>LqyHygQt~>tG5noeJlcsVzX%9+|LF4=5YY-u>M-|}a zX)?25nR9h!+qH#&wbJv6x8>9_2^7Xn*D%B2c20Nj$A5V?fZoxKp&YhUT`V!HW)cJr zI(93$+2GQSp@?la8P1UQ@4oblZskBPdD#eLk~K;T8d#`_C^gK?AG|13$=v-LPheh1 zF4A#Z)c-!+{_5DP@J+rwT*HF)7tb~aX)i4}O&Aak;V$7S?cvw)uufL^Vw7 z4oNiSFC$}r0XgRnho47PZ}$zq7+smrI&ywc+`-~AyE4(Ma-4zQ@1YUi2+d$4BHf<& z)IHUkWTLIKT#gt;%71s1!hL(P%96FYr>JtP zM9B}ShNZvZdPA+0{*C;>WaHcB-Z1(gQ(c^)oThnu5T6@1hk+IS&=?wJq&P(d7FQcA zi#?CQA>lK9qv~1ekvp;4pDA1`s^rB~PfAliQ_NG5y=N#g-tCtg1S^4t6iSQ@bQ(H* zfbY0IGn%adYiMaEOp8pA*HsDZW^M5^*9qFo7rzcrErYzu$~wg(0>^{E1TwQ!69^I|=W1+X;EdXoWD zfU}Raj!Yf!Szm69N6`;2j+fy!D&S-`T@=*Q+imT)4Rjv$Hq-VmbH(mdu5c)rsRfN# zG2`V3@%MgrDM<{TZU54P!#+O)il6|xb7w~tg9{I@;Iu&m7m8_;F%rE3C9WD9J8tXf z+F$UYPJ^sd5%jbMRU|wL1B<_o!Xt~n;3xkq@cjpIe%$_Pv6fqv7+X>RJVY}>li8h2 zzr#K1_F7}8E3pN3FNk)BeGIVMMrkhu!&{hs&t-|bjG(Pqgc)aUA*Z*VMS=7C=d0Gc zir~q`H_8*n`^?SaccKH&k}-^{3?+U|i4n*N)ON;u;$lk0PLYtLUMq|47E`+-@|Lno z{7@jftLl#3zm0Xb#AoD_?^Y}X{c%YRtW%gop93K$h0#yb3pkllrcUO4s_2};Bapi@ z_P0>AVBXF{DM;i@E)2<#0gt|MDg=FX1x^gbEL8$&<|tQ+<{Jb61?}cH0ho!3o`9LC z1%m}xlW{qK@yIi90Mt2btc1i^WR=YX^OQV(V6$|$5|;(;0Tqhaa^5;S4N7`orLT$- zF|Y|iFB@o1Xj4FQs;XS7Onj%`ZVp%NcQmHQ?AJ#McLX%nC-IbPxiZ3uugsuP7@O!@f^%zX{^5Aw zy1rApw@%hoN$@5B+CJeIgZ9Ox-s=231_f#S@AS5JBxXT%$mT1z+8C7LpbW(L*m7YI z2a+moPMlkGpI2Q%?j@W=5Hf8C4tQEUl!cV0?^`x5w4V_&0w5H{qX1gqk!=2`R7wEY zyWKV2+Jt`g*@n3A`0baJ%&6?ho5I~MB%{o5&k98hoYHkb-=e^;7P|nt70zFSPVhrh zMHE!}TaZVVPFhMhz$BM?rOn4dQ@;u)r{&_x3QCJi7$V2uj#uJKP|{gjd{v0&?8}LfKd~z`E&Kx25NL*FT2eq4 z5;__%n3m5Vm*x*AWczB#Wagp_y8=sLYkaOXaEs#cxrko&!wO*P1s%r)L-m)^60nnFy##@WcI-3UjIskfYH5DYWDfp}vQcN#20NiEBJ8-#q}`GQ zek9P|>M-mm+xk7{xF$~0Rts= z_+Njx$nY;#;c3|}Ow+GmZ=(1)5L5TjKAwR$KfF%mfT*qWed6s1t{j0}PLasn?vf^A zL571dD493kV8H^hK%^HDO5Psd!e*>Ch; znElSKu-SA#DTC?<=NAE8U`FHG5tz$ptkea1YMkMhaYEEHf8Y&)d_pUn3S~v7>VPGB zp&_b$;wiJ*1%8ib*Lp@}@6!mgx{05W1QpG{lK_Q6LRphNr{-S^=-9RL9IAgfKlJXx zv4A#BtKm+s%!V7x1BP)+qhS~Dti#nM17eP%noMSkTX7s?Qtb_m{xIL_bw}f}BZr9e zVl!d}_GBA=K*s+U!azv{hXQm|n`+2J70P>G6GZzAUMN`6|v!pW^|$-gg;5(|u zjLNE=%u|ty7ZaB+4it1X3@$P7@R(3+6m7?or+?Jo@)F~Y$^)G^$uKw~)=bG5O14~m zaY7{g;#;#K1H22sHZV{7qdeMg3-aP2P;tGAx=}t4v2sj@2x1|Hus`N#)9+PKLjvJE zh{vmt-WMPJON{=tDN(9jL>W&ks(fQ}W6_e6L3^5Gsqg91$VRP|ZRQmthZeOuj1H{I zY7$)6V75_p?fe_D8+WXC`;?vEXep}4t~_Li`-NtG^t>OhvwYe!AWih&M>QmIB+Vq@ ze`w1me=MUKesQDTmEi|=UZ7Gof$0Af?r`d#yR_RX)tO4Cku0zO$QK?< zu-fhb)98@iSUHD-{qXW{j*!B<46B&vZvuMT|63XMF3oQ*KMwnn;#(M!!x1wKQf8VK(3DaTgj6nqF^44 zfxq<~?u9K{#`psEi)veQ{dC61_()`sgQUIZhus_Nul1fF&;`!mT_Cj1+RXb)n57GINs`F{(H z?NOUKwX^Y#;t}nJM>Jm+oSdZj9yBKt!N}jhN07#kMg*b~8buREtMth6)K>if~dicB#uUh5KkNg4RA5dN~Uit~MHv^j0#FNM3o z6Og=&M>+p)i-WrJcIm;O)^tM6Pr9+Y7u7}j>0o{#9gcMQCyFMAn5{vH*nbF-BO)VE}LNrpN$z6_#r&8@9+ozQ8d2!jzAgihD#oASD4)VF!dI} zWi_MuRAJeby6&hTF~thAnG;c$AfP-#boKMz2je${2m&lP zar31jHB!+{$!X}f_~4!s59O0Ek>7<4!b+}!(r|v~2HU)|gVU7>mY?ZnO*9zm%Ra^G zG}$#cxY=%#uMOsRB_M^;B@t;<3@oIM371H5n_x$<(QUME7-FT|>kweG>hypah~q-W z*|4L|e&05QMG5qX>lC@W4UPQy&h?$Dm5hBICFE4p!}>^y;9kRiR6UXTBZ!(({3-YDc&fL9#hC z02SCwHKSHqj*j6#qpJlOq*o6P8Fw^pOToQ&Sl83#mS#K}3J?&4doTVdeqhXEMAr4J z-Kc?c@(}P|9N8P#afTV!gJ9tm;>7S7KfvF9JzAH$5?K5VfDh>slU|1^JgZdwdPd9N zgGG#hRHz#eSJU-gbp7pm&w^0ZjUvBI`j+cDhT>8=M#FOtYo;a!^~`KYox9O;)|@DH z{bop+mr)jX)o%J+hYRtM>wf_%5?@e2#*_DMWu>F$91r~wA-2oky6&#dOvlPy!XqAd zkJ0~EHUxSrqJ*2*~zQ5;re!us9-v9f4KIe1hoVj=A&OI}8 z&Y8J0b5RMcNvKH7UZji2I;qx_`k1Fa#S=2U|(ca_Cn#s3KnAG-nHf2+0kbShnsP#AUaRhuvq%;u+* z(sIA`$~W%!VZ0|pdC!IN8i$^}HjaF?*utM+D4T$QYni52dHBYS?fC_D4HLP#DO5JyEfsz+=>?w{c}o>)-qcE8BFFeI}Qm$DzO#c(q8q3 zT`)g@Jb@wYlhz)i-m3ARerMgI0ao-q>tyZ#I|Od6Um9bLiE!~#hSv0{zeXR{8KFag z;mOwJZ{%xeV1YDGNAm*oleB@{8K!1&iQjn8b=L8o(e$9%Ghnmg^BMluWzQ^>x26CMkeI%bn> zkwR!*e5aWTldDS*1!NzRazee*!}{-OP1UTQo!VA>6Hi8GOP|ri&k#C<*eY(AAFy`R;LacWpLAE5EF%2H$*lw;v*b8QhO!|H%DZ^ng zRnw*HZurC7Kv4TkbuF;c&!m)K;%ciY1rl?eOHkUSL}HG;WJQngDV0VP<*o1ACoDA6 z6Poh=kT}4|uppJV^+@Z`QJev})PnC|CF!@(C=#{P>4$>AJg1Kzg5% zcSx3>ObR9W(OD)*8NQ}yL6E(SC~7>0<#I-YL}}I~APev#(1{_Ju+u2-kd1GAmUCWW5eI8w{vbW^jv?tv!Y?s z_+g+;Npv(>!eV zy0{T<@=(DTj;1URr4mzb;|`|Hh;^7N%j_FXEC;x zYtHE+8w$9NNA-+s2NSke#xxb;L&aJzyX8R>a9=ud z&lJNRhWQE+d)|C(+C7Gl@DCBtsffy{2=(a*ff=Q#ue_P2iNwKdtt8Y{Bz6@;8cp>l z{OSdb>Dp=Rsw_!5mY{IvboU`@UYDE7kX_1;U-ONj)D1(3Vc6Da#1=)=mUQ%%Q_R-w z*sT}Ww{l%h!LBDcL~m8PT~E3>Yb;1{8UOLNw8Ip4d>4mp#ERU~5U1)B%t+0ctk2%i zQngafq5i~9-ko|`#;pUl61QB%pAmd}i6yqyx;MMf!;T`UdGj9kp+0T(1eTu_+RbNz zPM1uDtxSbkw87dQ9#fMr&0m80=yPj%PYRizze#%kh4_Ah_&CJnol=m8N<;qPwubuX zuO(`tM*UJMJICp6s|yZzZYpe5R}38$tL4;J;mL64L?u1smOTsIV*3eTHg`6AREq*H zo}0_3N&KytMv>uJ4b`P@5S2`ELLx8HobT9H-*EPN`qL$PW94-4x`uShZ#hlzxt_GC z;v`~7#2U+aF_+b@ppOiQ4ey{&43+RieCn?-34Ww*@B*LVNW7W`Kf)R?0Hr?l&;vqe`G< zD#u~HLD=QspKD@t_yLKSTFMNQGpXsM_)Cft=->Id(h`aHMB13aAEoEgZ{wy1|;96^h*r7<@i( zTH#{5Zo8C=5)FqVEZiQmyM5;QGk-1@7XpwhoJe)92@BPsm5c=lG z+Zyo+HmY{TXqAI_d;A%{z(|%@eY&NaC;}HL-dssFdCM4R%r9f2iqjmjNsc zTfZ)vV8e}tp~j;L7_yx@g5L%k_^fs_+63I0`F_k=aS0^s%zSXMC79-8wKL&g+}N@2 zBuSDEyACnV+^|&pPGTCK^mrTdDn4G!<>#il%0w$_q7F4~TcftD6P`^0JO6H-3SZgk z@I(_`pl4QF5~)E)Eu9d@sfB|hKijx@EZ&A%pGV2Xqk_wHYcjU$S*y;YG9@y7Tm)>( z-jNLvzx)^(+L;pY7vkUbjNi?k;=#~ydC=jc6M8tc%HdZ=v<11euvu2Sdh@Mogb&9n{%avNIM3Db?I&6-UFNo7$8ZSDe*3K8yvv`XZ_^ zPQ-+>^jnHI6KX%k)!ejC24lgRO@RgE84(A97TRK2w6GO|Y^)BrfI(ZZD#x~dv z<-T(g`-Tp6+1)pvA2Ud>rzPsPJ7EW%Ym=zV$Iw(VY21K z^GvmQozz8YigNky*t5~x7!9+9Qv~xPHeO5M-3(m>oW*^akh45Jp^2-I26sb(IP0TH z;=ttwe?!pDZpDh*gPj#yMQ0z8<*K5BZq5h3M&jv=PA(9=#W((aQMnJARFCex6~Lw? zPy*HCyQE6e?Jc?LN{|!3WJ)HR+zgu1RkgDw2tWf|L7y7|IRF-#N@j`eBr+?wa%DP8 zZBr9n*mLDs4|7M)o9>=Fn5Z|&F!}_QBoVc@158Oh>8MOQmds=kMGhowGG$K8S!)fJ zE<%R6HflKchZ;YZZ8PLim5-RfpS) zJw*&U5(j8X?z7&gq6zT4uduiSU-tcmPo!K;68snhY)dLYv)7i6V8j z0TnHH?bBFIdEO{=)dzUY1FH+tLRVib4GEF*Xr)a1m1*#+RqVxMDWku%tyU3DVX&F! zTbZd-H@Ud3!JOsHW@A&fMiDC3;yRqjqrTuWROZDTWO0NmK6rUyVNmNRJK;gNGvr%I z(E6pmqx>FgJ@zm18xHIX3GDMB#q)t}5aTX9ZxBrNbB`_RaeL`vbyq42pTm(H#+bD( znv?lMKMG^cLG(!>=@u_GYh%k)w`WSoii2W%#syX)qPUB6UqT2X0m9-f$@ir-yH}Q6>qISPT?V^8_FMnCL3QmrO@xhY5xxDo1 zAHc~Q|44T@sRUEP-%ql`*Uwk6+lTLd!YM2|h9jMRdCDUu?VaDBQ67~i(FTOJZ{-Zo zzWXkv;+XOj0!w;sg4zCR*B+=}sQrFhl+x|j4+Yfn7z<0Cukdd*X=_CXL8H}BF46A^ zqf~v@7r49h=WuMD{CiF#);CxXVz3Q~LYor{9?k(l8aJE*oU?W9X~awd&g3IpjAa-# z;@enE*9SY62Rj5E?hMbv-si%V+^)L1eDWL1PBL9heN8ZEPJeQ5b>yX4^xT-{>!#bX z5}yn@%T7}jykrcajC=hlk@JK`i1>y9t@0g3lE(c5Cm-svk#L>+@Gr9SOu|Bi-K`yw zrgpwna+p~D7BfyQRo3gY+_1Hm?QyWswneNMV&iI`jwO2POLBT0et?GEl)VifeNaMY zc*}5z6YA`PWr%~=a>;V4XC?Igf`H+W{A`MutjuMw5A0Gof*uoJE5&;X>b&k}K?FW8 zaZT;Pw8f$8)1HTSS=E+m!c_)%p(k#_Ba&@W(sLBiP9-{3SK9n@g zkC;dpVOO>D?<#oAk}7X{Q8po?ACBS0!XpUIpHK;@AHv@{Et%g+wWODyXKmZ2=_ZL$ zan(V6+coR|VJ6M?gt4o}B;6$!+zYt1Mhz4WG$$)1xnpY9Cjml}p*k6k)c5t}cHn#; z?savkd@S`YM&TcZ`4u%uSERwti9kT+&=N9&h`~2eGHF(l(n6n{mhj8uAiM?AeCqcd|Mt6KyB+PVtS$|2a@*1c zSW^l}x|bWKT9abhNCPe|4<4f$bEh7XGh}1_d6ld?UD}PqmSWmgo)8B9MRI+6^FI8+ zSJKa`3iA(r3oj5b@?jJga`A zo?XMb-%`XJY4=&`=OFh8jH`HIKR97$Hk|kAwu=8!#QpQx1i_Uc(tuS-3zk4&-4%6l zt;u}`Lt^_T=s6y;yJU|6DZfb(@c^?=TTZO3^yHy(4N*-FJE7LV2>t+KyrCtF*|fzK zS{8dWe4d1VvEFDs59&%aMfEADn;LQ?$Rd&Ee-BF;zqK~!F(R2XeKk=PUy;szIY_KP z&D4xHAzq@#QyXsR`-GfbX_7=U$j(=;p`4sus!+>>e|z~s!hmOc`J~DX7(GXOdFYZv zGgm;>`*8hDlC5`bl`HOTBB2TY(I4U}*a(|Wx{v2ZzeY=<2N>EbVdnH`*VB?t7`>CQ z>6`1fO-Izxp&z~7z{&4H>s9rf*>s>&iO%bAoCIEF@^{l-3UptTc{g6$z-3KsZMz{& zj}RGM~mKH z?Jw;`!{5x~%f8+5RyQthAkN4o)XM$`*v$8-Ov1gV0f0N3$UcybywE2;39eqX&Rvzz z&k-B1cc%(IHz%RqmIbVH~hA`neKN zkJPNSzGCV_GVvkl`YhtEVLfC11+LWFJ#D}+S$_bpSS#gVtN`4-405jyxuBMaT?$c@ z|0nRxyXngMIS`Jt?o;9>=~`@;w4pN1+bjJEzCsRWABZVyWc`e++J|@2e|^nPlQ(A}a=)cK)Cx`lOXQ!oa^st^nP+aIHlW z7n8#LZBMddpid$zhUfLbf1Q%_CDib>&~kxYSISi^ZqGw@&h<4%30IZ)l1${WBKfGS zVfdgs*(>f#>j3X)Om>(HU5+C_sUwqt2==qG^}mE(;z4el6Zv5a{e5`4ORan1H^4^0znv zRUbG6v#p<;ipw4*{WMrFE+XpAS!MEL7D**^C? zbyYv@f=d8m7#2FnBRe}L{QnAJ%Z6kvCW^+%%0u~kC|G6_q0SV^!&>;mq$YXx^-a8V zG|3xmrdF*T?z{X?sGUrKkMt3MfLT?uk+cm8pcU4pGdTGcC+{>{KK7XXMl0JhS!Xxm z&{0^xU4vg%#IC7b^~J7EkwzO*i?Lk23^v5z`>2f~QvEGZ7?acBJ&PE;K~?cgOtI!H z9X|aMod+4XK~SkV^>x zG!JbKtSg-Z&NhP8f7$$5w&j9_1MUfheo3;qB37W<3MY#>CS7G3Xgml8LKX`afB>Qv zVpfKbon%*+(>Fmj^mL%3$w`BbHu}N|ywu5Cs7$Sip;X{uyZZwV`+Nx5!_MPEDxrtx zz_U*_0tVEq`pUhd!SlP>J}YAHhAbcF)!E59wz_u)4@WPPFN6SHq_NQhMsCpO^@I#o zqNF&mai+2gz*6`m-D^cPvK=SO?~+0I1pO<~|Kw(S<)sbz`89&xE2M#cNIo)2!wyU7 zYkL3+-tW@z6}*pggJOB)->26}Fjxd+#Hchd5w8;O@lb5U$g;42)N>NFg<&J?+Y_ML z-5)l5x&c1zJb7akK!FC>Pn}r%aN0}!JZKDWHsmHbpc%F)5~ZYxm`{H!7ybnPI1h1 zI1=>QwZyne#Og&Z-z~HJj{um}e{PREzI{R9^3yxI?~PnGE+jRq3y>b)fj>7w^nIi+ z6$^mdNA#`^a$zjzrQ3EA#>{=;=P{gYT$t31guVn*Y?*U|^90<>3Pb|~PoF|x3f@8G z68>KyF~OAFO9hGYVq~MK?2?}i+LSNnc~JKeeHEryfx0O_vKk|8-WUxfy*2_WN5A^B9Y1_%$rZ!bcZ`uIt-^M7Ks5JqF+K`q;h-m4G31zc}e z`LhdFn0x$odrIpv!cQfU`8d-*^J`|IfU1D1eq_d;C_0T!YoTPjy5X|N-esII=UL7N z=Q}-X#8+bxm5oJFDMf>EYLqn9;;TzVWRjDn+I3g;e4MCH|7%WMb~E;RYR}+I!z+xl z+vb!{X?4XNIgR1z+!f@XIQAR(Z)cPr8DGdW6+QWi-mkz9=>Og zggR?#HLd6_DDb?l08$-URabP3OOJ8IF|GpGtENVzMjXB_Mk7W`5>4qDjBA)Isc&?u zc?P0aeX@12+x8~KU#xDhn|WSfTgV_S48(~_?G)IN@o&30n(4)7t{OPsAkW64!4#Le z_#S}!kMRdG?un)mlcG5(3Nq_F?swHt1NRQo&tew7S>Vqh_KfCH8k<<_ z;oCk(TP8EP>DVZf%VVDw>!i|?t1x@d#GnF|TAqGXRia&7BSg;QW- zb*t0T7@oY)?ISF5Ez5|CwI!t|si-H^R32tFC7CP~M>G2+^QE5A>kjm=3EhfAk-NyL z5Gi9>mRqgt4>@RjBE0Su*ITeuP_s@ZH#QaZ*qCOqnOTWl8jqv#>4PFGJ1wo`N$h56 zj8b$sx0pa@5%F~`S}kYmvsQ4LSCJebu`f$8HET{P6G+@>dIKbu9~=RRwYRNJoF$}G zWmzV7dStZ#sZ1tIWHqG{oYqU(5S8^BW|~y2^7sq*qMlQx)S$e4-h6R1T;bI5#q~Ge z<#7NqJC97}awPLEvAQRK(m9e#SN)3o06*}6+DdU+(HhokZlIkt+!n5^uaR0pvE=M) zt0eR^cE56-DRS@Y|0CEcZpiiIU}Ki( zEy30Vm$)U`>xy0=f%_lb_pJTz#Q!jEmqlpTl5(8gx^q1jn0x2q)}nBdJ(F=X_VMM^ ztZmP*9<3Jjkvx}8`13nn3RT_q%l4suWG7~|Y|}0Jj>e+Z!s)VzvX1s;XSdIldZIOv zYb8O)&%u{AFrTh)BpAUK*KHAVF1Gitt z?I9CFivz|T+$x123xSC&1Svj1Chs(mTZhVV>*$ZGPGhbxKYabZIv;n9)#APQM#`q` z@}=%IHa0WQUIxnyGf!-`7%1*JD-bJc35t8!>2jqyM~j6O^9bUBlGjaJX zABCbSJ>=-L8TX?TidT!H_oiP<32m4j36PhF&4L30mCuAiLfJMDK#8nbo4yn6m@24=#q3yh_THs1jg(e!)f-)|PsF(cuVeopx>^2q@MqX-#>zZ7H8 zl*Q~M-x?+RJN`jX&SOKqlMdKA7fj11tD~2!4 zyiOeZ8V%q4pI{#P{Dr8U6RTuB4LklEBkHofK`1_B&E+21@0NrL+man_TH*p580cjBBmARmycvr|*qqS+fs8Gnx+{o(TQpb)$eBO9Nsp zCP+ZIC88KY4eP$Ni)(FVJh|%V382i0NrrUH`EVh5l!32H?i5kFVf%|Ys#ZOogx90y zyAY*saBgwp6ngbiko!r*)pErKvX}XKK6#0g!qlHL@z|}@XAQbcc0xPMf>!Q|zW#N& zFE2fCXOl{uCL?4C2!?iB^beiLct-1%;&qa*(SSt_TI^P`aT6lJtj!1}Ga3!d zR6tDo{0ShYZEG^Y_0;S&l>$xkp> z^ka58p%di+wkPA-_UL`oP40G@(?izA6{=2X*pm+A(3M~fLIUI(hwV7DSQ0DCiP)Q; zN=N`6GD?+UO$+$Oxx5eez?oN9KH-r+!0d`duz=6uiXfkev+n^qo{1!Hj0WTunVd8t zlWS;Vt?*BxQVAGrxjs-tvjBN)ggF1Sn^I#>+X$kP0fNvzQ2YxA@rWqySOR!fhU(=g z^dV7q1Gd17tkn@H#Q#VxN1!X~tVF;`Tf#p5qA-xkS~&*~O7L=~K`kC6noR5CCrc)@ zh7OeP&D7E>P4&QyZEb$Otb00?1_tkS&P9KEL1gHD9cNGVTxLlI?b-vHrCkEv6`YWD zOSmP25zO!0?r5huLAAMj9>SL^7EVnGS)p;bP7&7{XLPp2 zebgL*0mhZGbn3I9%*lHVGq)i7U-jRrAM!S()?GQNF?(2;zjrtld<#;ZLa%@~X`AxD z1@U9f2g~@tlm|U{{b>w01?Q|USpxD6{ilHVFbh*ow}2!{w~}-gnA3ZNd2zK4z6mNxVah|Q<-b( zoUwKmyvkAm48z2xSi@R{zkQOq!nN5;HEmy}4)0g3Rm?ob>ibs=#$g`?kTER^&tC;R z%WW~>3@V>SKiFdR9d>ivv$U7H8`CiNC1u&jk^7tLi5$(NSqB=ir*^+pWpLTHkN+gx z>lu_-iUGb!{Jy2C++EnDqrjLQ#_GDEc8Hhx^)r|dueZx-=697Xc)oq3$g$X0xWxi6 zZ*{#~%Xd>;c|*rtQSns2-)16x%GE35Q{UUFg|+YLW20=!TIE`VF@V(ZCVIoAmQUo6 z4mNb1z060_$vM#Jk;x1)S$pB0{-Ymke8bsgrd8%vUa0CWnh!_*<$aZ^Vl_?heP3KQ zn^a^pZ=$ekHR*GZrdx$~G_SCuJDDkQ2TbR{_VisNy-!vjEWlV`a){M}jj%68cJd4j zfp=i_@Je`AAaB>-qmieOgIECvVN0+u*n{eH9CI8`cY>3)2~>o(&pW$kP_u!uqQ23j zrutlsIE&ad;4IoV;~uxAry`|f%wv4-zXhVlF43(Tn4jhH(D$hRF=c*$a(pJ3Q94&r zFJqn+$YN2E+<3cZ(9G2PvQ^$%nc?}@ZwJltyzgHttde=FsHJ+vP1G>}YL>SqV7R=_ zEf6e@0gr;y+L8Uzl00D5pSL)%^pRgcQ~9Nuk?WwDb>Mxg%&JaH>!@EV8Wn>xOHWy3 zfFt}~fb8dfWw~t3QpRdAnTB`@oMKZ&OW+76Z_|kRoSm6TY&lT0mbl{9y={xg6Qxc2 zAo9}8di0$x@Pt*$sAK#R&PzVNpmcuz2tMa_Q-Z} z;ZmLo=cDNx1(hPr*F4fZ?1oP*x?+aka!b9AnXnt)UNqAiE;s)+M81<%wO=eU&2o>s zZdJ+Qf3ir&(&%Gnb%nAPwemm$jW+!*c$}?oDtz3*Y9A%0 zW_`uVW<=PZMt}WCz{pw9?S4UNedhS9DkiaW9l4?nndAD$wcNae%SW!I)ia7kjK+ z*Z1G!KBRA6CvqH?-k!ykzYG7ZB8wdN)w|Yi#=bq-A;IY_j(c~qBQYkad@Hi(d#)6C zePk!`(xvq8Opk8H{wlPo@Gd$lTPJwf_c7B1n8@^&h8N-_$fDWm9 zCk8TPjUs304xU(Txk-LvTgQrWdzvZH^g8Kv9Ql~+D~ieKh$zXKMbI7daa}&o@(al+ol}63nFqVB4ab89ak^vG38)+>^Wvx4BX>x&sh{ zbDoyf3N%M4-tCYlyQe>wRc)hYE5j4VXe1WhZnK~@mFxk-56E;@#&?o(lAb04FKW?V zz{M38{s4T!;-5DHzLetjBj1&KuBX(*g=psSx}T#}3|U%X8LvB@rQ&BeAFe}@1u1VL z2^p{ZzFZ{fe$F2~61v)XrXWD`^I{W{?4%+WWMjSALi>EP$Nzc#tmMXue(X>@ z_n^P_fGNl;?r@w}mj84*U&H-Ge$9BvOoT(RFvcc{eJ3gD8+M&^j)JHw7F0oj8XwfQ z`i`iHf=%vIB0vh;cPR-VA76VZ78&6EUMp41HGJuDg++=6QPMD&aue@avy%s0)ko0@ zd9$1kqoByV#TuxqFIOE43OO5&!*fiNUhNJI$UEeC08e#xt5ve^)fOJ`u{!9M?M7up zW9r>mm?`fAQ7p%K_e)A9W>|n zX(hz?vynkq25E^;#HDOo(IOe{rNU5!z;IrSCovXpYY||Ha3t|u=dm!kT?lyg5wH#{ zoPdA&9)#iyZp;xlI+z|;zb+K%)z`p6(zOX1!l5!PxbvL}WvaA~3UF_U4ZLIlpAWi^ z2j0G|AvYF$dg!)LP8sVJ%Lal`i44D%nFqd}FxKe;0ZpxD%y}=o`@^or?c~h9-xs0@ z-->>DA9_l~QA9ZY#K_(LGePS(0^8#^9E_b$sRwYtFV*;jb`@@fStqd|N{9bg=-p?a zb8TwP7+b+$^0_$t`~d?Ct~9xb3T~99Kb7c;KAHANOe**TyI7o|6^KV0o zOwXiLw9ICY&KvRQ8^b;QbK=qP*FF8(64CejdJ-MPqvc3Fr#;2(uGkz(r{U5!tPY*h zap`}p4sYM?LvH@c%#vDkr(igH;S?pqSxz$gK@HFhwaf)CWa%AwO}|2heV_Ol-$-gQ z+3p3%3xI5~VgOVIH&_V0s0j5ADVAsVenJi7n{cP)lRf8}qS+$inxe?Y3!ye>(&k1p z##0K-AksQdYx-eqSsJx{6;F^UA_Ftu#L6+{&qPkU+`8ldvxDA;aYKwCp;RpDo~y8n z5NE=U;Py#o(!}>Mla}Q6kq`Y&n1}~miQR-9wd;T5Kt&|FUjO{BaD)=ZK#RLRTec-t z#PX*gtEVf#^3alo=5Nl;=4_ZXKNGnJ>t=%X7VV=d+eiqg^1$S5*X|7s$mG`(y57a( z#e4h5+11)Rw_x9Kj6G7(cCp)GccB?>zkb2@&bJU0zkPsPV}8Zn0AGg=omt7NdWNo8 zqKxhPRLp;=lRrI-T(LANa2b(BTz-D~0=g{J_`wbmc@u#dP8CRoSE(|cb16H}t-xo@ zAd3;8do=6VXoKdpd{g%^P-Ee_c$Spo!If^)KkQX9d&_j_@OX%++kww$&xqy6KG&wf zfK}FV!1S zI%p@B{EJHWmDFjkqh&scF7C4By9G_Z8gJz|hK}yMVz7yw)_5hQ{Bg2{5OF)loN-*{ zn}$uOn(nKQV$+y&9?;uAFzT`jlrys!FE6O)uKXplTGh@^lvK6SvB6HziIKyXH#H8e zD<5p%IeDwe%-!2rKU`>{Z6$t2QL$Lw#;7v2irG6RQnS|BxN`r?nPxRr{$Nb-yErFp zirRUEI3FcF901b?YEEgYe}nixo1zqPe>N`xWEc~?>rYD+?Z5k)5nwLn{3=}KHeMTF zKV2%S0#WnNl0RMCubl^W-zd@u;YVL&oZ-_vspD`HLeFh|DHH5vKc#UpDu;%Oe&a|I zDp#N0qHeOJHc8oC#Prh`=1i6Jz6LKHM+8R^!!=wUN+1Y)!k52YNE16tg>CtEiz*Hk z#cinuA#DWN9r3}R^am~9DvEqaU&;)|GzVAEQ(uE7e(`~|+fifjTM-S`XJ9spEo%Il zwEY-SwC6&BMEet5e>#dTj_p8oK;7iZ(&(mz^)c zq`jR270>jU8qc2LLf|9^r5k*nv&4q_fUdDoIVVYDZ_59~1}9Fv}X&R3Wb zp3Y5+A^;@*#`3pUNHzVR;3-o{&$O{Sg!Of-j8-}GP|^FpU2(r$-C5B^ zt2ru^+6q+vcStXT@R{uC)=^NBn36cvUsxfQPeS1OCG*mz0iJ?XSQHh>1tR#mqZmkF zFX7~(^Z++ESeg9^gdEynuH?cBpjfrzFH}h5IVfQhD4Yh<{{w2`MYe~SM#O`@LYMX- z>h*sn_-6{k5LVaMpv>3sNf(G{9+wh^u}k><&kSSG$p^}y@dnNDb84n60p5l?jC{{0 zp2e*vJtJbj+Q~@e-qU;zs0RI;cGa+fDeLhg7`t<{O z?)2)A4ZS4}A%D99#XSH~1 z(PWU&kLtDHy@rmjRar}d*hWmS!diAJr4SpI+6Cu2{ol|crs^(7tD62NTr1FkDlEWi zRvK=+Rbi{Y*H3A&YxTmLmzKg5S9tSArRFPjb+ckDA7AyTG!$yS$_}Z%Ye#fHi8kr@ zgfRLC{6gjoHgFG};u4Aof4=Ann2-+Pf>04`^gI(J3w7s=yG*x%P_9xR5+i^fU8UD3 zRiH|phss520j4+{8?717l#cSQWRzkbpj--4uW!htPWH&4Ciw*3EDC)|xkTTtZt|R^ zY+$0?V{Kga+7}DW3IC>R=*st=n|PDwWAm`$(AK{GhiW&SQoXu)9)z8WJHJBxl)nZg z^^D#*HKN-O;xm+TQpQr#B{&W(w^Mv3@`a6EZTyoVYwD-H1mP@IU{h0r|d*S~Y42>h3#P=pNrQj+{} zBPD^D|AYjkizd&xUrWc&EC(j=Pe>-p(iMj)-FJpGzgi%m7wBY9AVh*_JjxC#ig1Be zF|i+55ZhWcsKmEG07^CfkQ}JmGWUOIIZCT*hXx_H&(&UVDLg}UP3uuwt}8?QWpgIM z>(*jA&sRQ4X;r!z{gH{c`46%#yo(*0#UpsVZ=HCfXhY;k%yh@HK4Ki|4j|*qKU`z^#uW4j3c`zl@gjFi0eS9d%*gu_07wrFiYTxi$53 z;#8r^w4qEJB2yaDkB%pETGzB>^fhu> z*K}o+D%%hp{`5+;Qp?Cm$4y<|QO}T^?*@=j`M5nD*7n)Q&@VQwQ z#d6fnB%FXyIEIm7y-|L-Uvu*>#`(wNlqE?zq1~ftV@TD<$#~vr2c-}Em!qSWqZ4(v zH?wzRtT{3kdturHmb3m6E@g*-nJ{Eu;;U5nxlTwQdogZ>ego=tQ^$VSB$J|N*_c2D zWiWOTxDoh(33(!3HV1yjNs4Ne`vni;MAN$yq4=Tt4u*Oy*MzV07_|E{4_V*3lXfQ0 z=3u5@W@&FvWf&88xnS1aG}7OaVrG5yesR4iIVN=yv7!8;^WA0?ge9xMp5@w^WYWhDKJ9oMWZn2HU3y@_-yJEF3@4VAmn zEq(`cuj*>6sCpWj0WE~U=?Z1y)z90~jL^IWBb_t|1JLW#Cv?Hluztm#wDlxD&g$NI zqj~Q>+xVxZ+d>a!Nc#nsvN zDKU!o^2UcWmFu1ll-8lwcs6A{#FBj-T_&!f3z4X`3kaV~_%)u4TY7}HG`*`lF12^U z|98N;xuMGg&YG@6RU2Z^wOV^bJ@;=K6GWw=Y3wJjCsyukT)`Wj!dLFJ zSLz)@L!%6WnZQs8&vUxELmZ$gEd{d`_}8(nJ=4-2ubE35As)T$)CurxZAGq?7zN@0 zBK`?~!#_|b)$kuMGB}7AN6<>R7URU5ZqE+7VXhpG!X&cSZXYfniWnqZHb!MMtfAUJ zgp>D-S-w0F8NWD2>PwRG5E{InClcabGL#3Hn)nosYo4Z-iEQPeo~AL0CW{M;7+_7l z^1{?mrx?L^8F(@{!M-F7`%4S>7`0075VT2u)f)*2z zpFZ6g;W*+BraGg6QU7k`-XvzV(k^D@-Uq^A5Kf9w81`K~0r3wa(l_- zP^;GuX9!{WDX9P(j4(7Rdztj}%mb+c@|BJCX(_o3u6Gk*W z%k4+>#43hd6hHL^{t8ySPa1NnWCVAsy`+d^3V4%^i|>cP)KWuD&Ekwp?OB*NOL9Hg z`_Rutgl4o+W0J{9p{52+DLuf*(F>nKOau(wh84$RJ5wS1Tu;K#BHKi!hai<7O@Zf? z)rq517vis_y{3dsDz(v~tv;_xL!ApsU#Z7mt4N)c2Y*Piz;M+H&v>eiVb+u6ZH$}7 zB1=*ynf;ntm|Tj_ZAX>VvNHSC=6d?{p>4&4W^9~Od0BZ=1ksgSR+0RgxPDCrm}q-_ z53LwLUOqT$u;N1T-|t5?D2s=Tr*x3E5a0y=J5D;?3~`3w-vy0Mdi8yx7h0x_64`jJ z;az+5Jo4_mmJu#+GrI8V{#AF-Q*7{Wg;1gwKZm=&-fntYAY0;*%sq0{QBKs|Mf8Wn zT7wP9?E~BQKa5@?$Vp}XPud)%FW`~ZD`iiHjx(*PvHE2d3oWhgznlO!FZf-$o$Q1* zyLOOH;!1*k;Gr`p3{$f;yV5J1Av{475OzHR7#gn2{;?Wd+o@rucG_ z;ZpL1&JEaoFbng->Z}$M6uyoPKS_y=q03fxs!4ZdhYUS7YQn2#q`dGriPVM2#Klp> ze^FfpZE~JDouX`A=sab3a{dbB<2nCDWYNPL45g|kPViu6sVFZHLK!edTBM;9`# zh70iguydg*(t2=*0Fs5|!_>X7A#~76Y}xOVH!qObDEUlu8hD)lMAM?y*okboqkI&x z(h<)IE1f?=M1D?LEs!b+TQStgQ=oR6XUU4VVgi_H1?p4@S_738WAztAtmG-GiL<*G z_=^7IA}LSoKhXQ_ArthVEserp?%@k01+(zWXL}e59=wk;)#%M<^4(W8+MMC)JMY^S zG96T6f8b_MOBKd0AI-8oHQvc$uKl->(*dh)UB!2QCpaAB zM>eG69PrQeZ5PUdCZBn#ctwvMR&MJpJt19w^fJ<}`}g|ed#`>TT4K-Nom_A%eQRfv zczxL-#EU1Q_rgxXwNLcx16-2}3#{M=?BPY)&R#rU&std7Bt|8(=1eZAVR7Xa@1ts3 zC#rvDoWE;xExmE&@}sY|Y$|@9FK-FZ=u1RUTz0M!WYiWFZ~mmAhbzxfQK_n*$UH3y z?%ugwzH(Wgw|&CS`(3%p%6f5kHCH!{uA-R z8D|>)!C)aq7WaLaWnSURe=sUb+%So*XfI%wKj3PVk_q zamLftTKRlqO&w>I;6<)4*VY?ha(ed!`*1-?FQia*x4C+$TmLKAxBD00gzr(lMe~hL zv|YAx>vhq;nEUgN;U2fMvte!e`mQpd7ZHUxT*`1$B3gtdXg*kl&F0cehdN(*)MVpw z-r%V07-x~OpJ8Rku!#6+Y`}S%M>ay=zOz^~8_eGot?|xlziwg0VDy-Gc=_We?{{_# zM*c?kUUk15HVaXLe^WTM^qb_={sB(-{wFnJY|}84SKzJvH$CtXdXP!M_V#&sT()3a ztbj$%>P&`}b;&x$#VzSE2kyz^@-N!X_OEJu@~%lddF(dX-1H@*r!B|&UE`_^oBgX2 zZw{#z)9@v4!8@N!DR9{anf*g77BYIg7q3{g=%tr?N&e(Ze{_r+j`7oD+~L(}>iGV2 zfjk@4!&K^SFRVu3j1~0$f3dx+VKad(;WY*!)<)_1UXriApyY<#lvE2VS|?rwX-W0d zcW^801<#HC-GiO5Q0^@iT?mP9<3r_|0{_m{&RW@bTyHN(0Le919Cgj~$82Z%i zEcBUPRw*F&Wkj#RYcBWTdFea3qP0Cn`M1&7ZLZ+Dg0YfKT(~y1)BPPU?=Dpyfg3Yyx;cz zy7y`(vZS$x%Tj-OYLa4m$V*GU@a4nStW*z(x1`%rUgACd>2OTx%J|~L)MAercx1)@ zG2r!^SsklL{jXqH_V@X_%X{~)zkDL@F+HmP{|yr_#qo3ZdrFJUzH{2ysEF&fOD@V0~cHHRQ>JV1RS@#_@{bj{zQ6OrT^n4ys(0p zxCD{8f;ES2ak`%7RV&_uBr4u-JCbQ`<-Pw5HP5TiZ(CdLrKSHSA*X^~!jQ}6o_y1% zd?uD0o1Ih!phu2Y&H&{Rt(DMoS-M{-|ZbD9GGJpG! z8~&F>{HY)`--X)#Ll2A_o$hO?3BH6AFOT-QiPC&tgr<*^B!Dc22u*=dn~yQ(@72OBHW9=@tFKZCS|UJ z*znVziOPz?^W93@46l>I0*%^ly@}Mu2snP%mqs47b=~54`R=#KkZ$MK2hNzCg7|1* ze#h9ZPsDF`S-94)?^F@vlwFR&i{JH)e#Pnm(TvAM@snQ7=_xYE`bn7}70a3f`pyRn z1oOq6bp9D?k5q)V*|I@Ig-9Cc%3L@#tk}hc4jrGI1cSmr3GS}J3GTsVab4UYxVu|$2u>jA;_ezOK!OJd5Zv8D@(=m`d+#~t=04B6 zRn`M~o@Ym9`S8l2yAa9Xez8&_gU{-GtBeDtN|@&C?G4sJWBA4l|{NtuZKnz^ot+ zL_<1e2b2TYoJu?j-~A=dzaXUylOso-sQ+W}-r~+f%HP-@)&HdiW6S|ZB|Q_z zPr#AvFy0rLZZ&r-3Uc@b}i}63AF&P9?M1J)( zPd8E;0dmj(0pksWOzab&4r*@?v~$v}rt+Uvk4Jd`)syMV=qN~mtwY-+6d2{31V53$ zC*p6W+Io=F@)vL;a!}RTPrse4*`|?hVsW>cJb|PAM_{A)M^NgJaNT&Bg?%!W;~B9% zAjnO(S^(6=i6{>%T^$%)e{4(VW=zVjnn=T#9A8ua4`{CQI^zMS{*9GBH31Yk6Tq8W zcc7Kl1x0Xil*0)!m?jeIKNA^(;eQIC!s5rsjQppy!a~F6=18{UD~L*x>_>YOdniCM zJup(;j|+%dM$1~ajrL*x!@g0)l|{nmox0Nd&fHd>No{n@^I7Nc%x$GTu%LT)Z;72W z3++CpA$G2v=ilgg69H@Wr@3^OSZ2!kVrF};j8R%8b1Udlzo!R<)1iVACRZa)$@G<^gutR(sqe!jWK1C3GAl%Wz@k2FX>~mJT@q1m zSs=ETZz8ZNbiKW+2lkV4bC_zqi%YlVE8&TwTFr-#z3?;|rEef8<+?>a!w8yH)52!K z<#W4Tuf!UsN9J}v{l;Q)_TKM)y7ZQ6=7q!C; z5Zn~S4UfxwiiwWLC0s(4r^2d_<_YAuI+~YSL|e$P-`VzR;giC$lOaaM5P-0 zWv2|tL%ZbUO%|#BVp5)-M$Y?J*FXGRg!lQ49dh}-=1uA!+J#(BRQ%v76$A-ErK|1J zEvyp@PuDeJQC%dbTlpXO;WrNKuhvFn{n!^l7t=)CH^dQ3eS149rviD|g@>)76H#*v zujvwlP}DanU`kjHt&4X;Md~PETui!qcuqZ+kn%FK+fLLJlK86}CJHE>`0+I^^4jW> z!;zmfa99Vrqd*3G&ut-@*K|V1u8iR&6+I+B^5-?*pSQi6 zY_sYQ_|3{a0cNZ=UiND9O7}~Z)hwPz?_>gW*wP^*_e=C4W%-A~{mRAAw2z0vY;89k zyR=@@Z_W#|e@O9uig8HBU!i7elQVRC_wNUI<@VWx(Ir%7H@z()^2>3Om_4giGWg!%0xu75i!Ce}JUe3qLk;Lf_cK}3E7^d@1fcYPos$7?;&Jvh z7E|wiOaQgkJ51#{OpR=4R;*`kV!jRMMy8CUFeOEqch)c;yr%L+SyX;Gg*S<`$}EO( zodj$=0pQwaNLg15txJ#8W~e-*DKtiA^5J*nkz9PdKlnneMRS&3Dpx7LQKR$@{At-} zm#Q|oxqDK^)IecT_+c1GhDTIx(UN3&v?Y&okdKLL+b!t->W2HH)V1rIYUjYTz==J$Q_wVy~l z>d5Q#xVtEf7g>nbFrbHcagHFcd(03oJafC$Ch^q-@m1>JP5%(V@)!o14f4!M`#gzP7?+*r203GKCd&A49taCMzd{<<;aVBY1IDNk9y3X##RY*JP zUzO()GMBl$_N=bW9~Bq!(NTd=+!J%>^0AcFVJHvMCJp%mtytJCD-lCW1H}|e*eAoU zE&Eb&jzZekg+sGtCi-ziL%gW6N)k`ytt2rHeYMY2N-@OyU(|>9H)Y;H`QyXQEw$Fs zTK5oLti35#W=z?Ay7_ey z6K#L6WPfa={SKTRmt%ihI!g`Il6th?)mcw%bW_rm9o502^jzM;*s8h>>+-3V(H<~B zX)>Ab-md%Xf-XZfyc2i7FS0Ztdhv&|i2cLk#9VcZ@_KDpPc~h_pWYgs)PpBV zzWI_?w5DW#Hs?uqQ6PR-)WE?nPy7P)G3X5!Qyj#o;59V!3h`C_uO5pzvW4+`xa>s> zxxy@wODooj8ekP#ze`oH*4i*x806|o+qnK0vfU~{$mJiYQd5Sgkxs*gHEu~AFdaxA zStn;H6kSs*_IB3H>!+>l&}5D6+*iuD>eO^hx75FVo;#@;;Ld++q8#HR2ZBgPO_QEW zig*g9OjKaJiB;La43TP3d?je_t?_0W0?R)GsRKTy0y7XSK{bPL#sTc9tnpz_fvcbZs@)Pf^-f{&~rb%jj~ z*2SaIOU-Y*myR}IDl11yyRev;&ZBAuMx%X+SZnJj^L{7**}UdR<=hK%w8B@+lu@ZU z*Sbm^cWU5I3?Gb;nfM3c6-{VLGlErD{9e$OC96MtKa))@X+~#A(9mi7JOHnmcI?U_ zCbc3S6dc_acArS=0RolFZ&yDT(5gyP*!_>y(VA0X~%>b~0* zxqF$9-3{k1Pj=9e{SWt+sz&??kQr5;|MXqw{~b7$BXDT4Q*9 zCb&iCU)llm>vTK_NI3R;`hNh^fTYqgfMLnviTJCSYNVZpVinbevZWBD(sUF6vl@M5 z0@0akqatT|i*)`>4+D;nyxXcn?Cw;*jpjP#pIYvJthPna$fBt}RjYOS1}8Tb z^bK3;tl`*#Rg@nkHj0K2<9Lq^xF?C|;=-iN_ZBB->fd)JAu%#jj)An(FsqrV^mU|L zDv6ShrBcn_e>l}KE9nJ88JO*gAV=5pqgwbS7#g3zO>OkS`SL?`vx6I|Dl}$``@Tq@ zR2l?~q&ab_CexEqrO5Wi*2@R^95^Av{w1Ou#xMxLHw(Bw}F}lw>k01(_p= zJ)>Uo&m;;6l~Il9xi|Mgkb@&ib*e` z^%IVxP3RkD4V_nd!N4Ng(YRx#Vp6rf*5fKTW9h@FVVHMVnFZ{WMzmZw(mxa+;eC;& zt;}WYg4jhcr*nVIvjZbf0D1P)SH7S;NIC?;*(sZ$1OAQ2W`U8)ZCMRfiHUy9BJcnc(9#;OdQ7jr+6Osu{ zgY@a9A=&ECRxUo5kqavaR1;Zqk$5n)92G^A5U-q*SpxztV>C3StyN1^vgE1cF8iWW zUs^vixNf2j#-;YPL#_x8O^-vStY4@fH~q{RBRs}@&HVopK0ITGnib*2qbZaz@+J`Z zB!vAaUTy&Sw*$k${lFJrHZgMzOu;G#GrShen!s45n}WVG!Nd*!hBEo zp5P^6)Ut9ca?vfm}6&Qhp_ zlF39)pUs~sutcD>6^GA^0!&yPia_fY1Od$GAO>tI7AIZ5?S}ht>#Iexkw{sToVjfN zDqdIJnm;4n|C*%@!>gMTAAbp4ZaOCmTz)Y~K{b^Po5+=fokW!cmlP#SksL)$N$-=5 zlYbaj@PEJrMfRuE!hlien}25_mSUkSJ%C}L(U=SIYcR+E3GwZdRm)$;et%<~zzg;ZOgt=+(>(;%VzJMM zWKm4H*#Ub=Q4q&WFacUDU>f8e5h5}tMdM6(o+%SDUL>|XO@3iz{O6tI;{op^wEoGg zm?#AlDh2b?J2AwhVmTce8z*Mta_kuIIODwd*Mv_70$_-MWd?o{rY;3wh{^~2O~gah z|9pvfY(vk}WxkUgXZ#mKJLAN8|DCXWvMY+iXFX}az>@~R&^+CZ2DqCfMihZ{(9Mzg zYV$jeM2x2sts()FXR!Fw&0kPT>+*qENWds(jBt`=VV+SilwZX= zx}IU$P#Ee5f*LVum_ZwHkQ@qZj{TpUh5|o(AUO{B*?9H?Hp22y#Ds2*q&;K6NskU*25{KJ4h|*m`|JPj1o4GuUgA$LAQ(on5ZLKw+5x82Bn` zHF?Z}R7p+-%7$cFDydK{ETrPdDS67bIG9(9rmT*!_jVlVywZM68DqpnB6{OnajJPN z+vkAp38gFl4b4v~3OWe}RPq#9@A7|%un&URf5RX9Vc!~Hm?!@UtUrhHpJLBy*uYiFgJL=!@q-Y511{to( zfRcuKm?-hnJiU2@CnYcdl)yN^()2I*W1ADZ6^sKe>iz=U>EA^Y;b^P$At>{|VTuyD zd93fK&Z(XG8!O8Hh)>U)-1o%E!l|C^+aU_?L*GQ# ze~K|y*F&EC&l1MN$(<~9fc3y;85`^tggjq6$?Qn-# ziVW_^P@|O~a6+b$fCzI;TQ^f`O80iWzrv`B3UH7z3I!abgfRiHsY{6`uPGD?Ad@WA z3jG-IBq%WFY5BP*l1N2K+g7fpi4F$sVE}EK2Qb+VngNF_w2)#h!w~^ z9l|L3fJaJbN=!Q{cg^wN@Bh*FXb5g_UO=qI2miSh*&3xt2lAWR*J z;E-P0^3CYGV-LG9LEh=dnT%k_L09J^``{!J`}Ef%=2;0epP|E}zzg-P{9r{pa7sAz z5597#d>Q%|V56V^8y+_O&R|3JIPm0I(mbi-O7JN;nMvEtIwL?#1nMf1^voPE?>+rZ zM~y>E@&;lf{dU6qcBF16;|hSqt{%9+8)iNqCTAU{l^{0;xq z5p@VqM}#z=>d3!~`xHMfhIR@2UOUF-0%F+X-|=L0FF5_2kna4w!pXDJ38TuXtjbBW z+G(NM$*IN@lsH;Gs;rCU?{8N87L%7s3QCD?I)t!iwB_)ncb^YUN?S`p5k7bS0Wbs zVr3*~5C5jf@2CNj8;xuEp_GO~C}F-a8up&j*buxZ9d8)8u&tUq294D^Qyee3k2D8^(i zi7*c|W?1I+z{5HtkOYrL4v~wuM}ko)8)70Eo;`JaByK8bh<_4y? z*1lQ8(;S;nkkg+z?Z3cptojyb%(J9*EDa^HXY!x|$2Fz4V^)UySOyvM2*@}_OgZ%h zgn`iLI(y}IIdN> z0hjqOv-EcX4}`M(*?g5K7F5pZh$;=Qnc0khZlM$tsrVOwm?$yXNtcDuhs;2+!x#h! z6zrrD#zNsL8y%7Cp;)hL2b6!v)En%8y{~Nu`)2AR#xc@zJMF`vmxrxfp(WkW&Xx)c z&=Shs9Ou8IGE!1y!!iw++4Q%F%G24fByy%)L;+m~Hq=4CQ5?c}K}CT1hJ8s(58AC{4oygH``NHI z^4f#<8VY8wz0i8pDnzcdz;mcEZ@cQ-Fyp$&E((pIc2%LXKXLqpr9r0d67@S*dW@O=feG8Hj}C%y5Uy>Yuv zi}dH5{@kdbHCOy;>TwSdRM-%VI@`G;lX_~TEaVGCe{_XQU!oFO1m?6 zx-Qxd#@gyjf8?>kb`(_;9XD6`=)#rAh{EQJs^#Om!C@*Cpkt!wNf z*Jq%f8S)jMDk!(?O#~Bj<36c7;QF@sfT|PR#(Nq}-{J zsZs-X(mO1*{2L>UR=G=d3i#tFi<+tCn5T6nFg*X1S_UnLaPmYqt;euUK(a4s-f!2v zxffS;WeC1DaOqKl@5 z0i}dzKmSReYs1B31F$C_R8veq-ma_{w^mlS*K()Nz9E**c@^8@*MtP+HoaMAV2)ep z3`SOTuv#eed+n%XD8fzS!L8_$2K)4f;HX!)iVYu)3*P|SZZT$9srO1| zBLgkXltv%m8}nvxg(!4N8!TnZ$o= z%^JHieUKC|<~MSirf1%XVYLa{@}1T!h32reF+62B=mDn`E>~>3;C{Ckb!|mHbuVfC zx(|^6=6VF>@@GAH1z@eDSEJ&eWhHqOPz752c)1J8C-k~m#OLu=CZCEeJb{Oup!ZfH zpOTa6MuHdkxXTkHO1Fib1&ovY1h`-mtCcOJpOsvl!eG%KWyb94Io>nO6qQP--$2-qAdsMuDfUoyA)ET|3H@TiU8ISj%VA3e zEWl8eSOCTHMrxy58^zr z=Bz9IB>Ry33E8-OzRSE0HeVS|-uEnO~KX5A|3_pX;6>COz)c*hrIij-wMZcddPB36mueNDRrshAb#3{S*j-`#sQ%^LD|1!=52_19haCXX zVJ}g@Rc0_1Z2p_ABQBL8)J?f!P-QXf^Xhs@YJB%MSwWc(Tn=Rdap*v{)vY6z8oL z&T0~ap%P|;E#!&D6y2<(x0Vb*glK4t4k-Du25T>_4 z)b<`puTI068*~IQ_$Vdpu6shl2h`9Xdqw_wtEvH^hA7-$Qvd zqcmYU3*;-2cPBJVHANkZv6$*FXc=pD={@0aHCS*uypYTBkXQK-G*J*7vx3XR#FJ6N z;&SzO1a&P-YVFcR2B-C#6!K23SeHwb1Gv+VX6Pic#@zSRsU}LM-0n^1yjpZDk1XDA zX1|qox^7MB8$GO7B5Jq?k$AD0v*-S#EaUW14#CvO?4%n2m5;Bgo55>ZoXBwoGom@z zQ7p-NUi{>XN_{T;pk!Ji_W9@?*c!SUUtuK}C-gjQ_6=oT5d1Db8lZ2d-=2%55_-mm z0LmyNCanT_j%fYd8-IEzw@6k|9h@mvT=t}j$+LjUVp!WESIFPPi>lE0ll?-_+_+in z%9sBsG7qf3NW6;5lfF45U0CkS@8GHaRUgP90CK{4WhPQvA!~S*P|oH`*Ti~D=69iw zbHU{n`@DD>YubxZpC1u4-8Z-b#8Thyb~xo-D==?;$o}~Gjz(+ZtL0Cu3XA;Oi_lT$-9FrQLAwF))+q0QK}Xi1aAPXjt8`R zGL}_^%=?Y%p+0=DnpKUwV`wpkv#}(7SR@OTID=#d5vDwaxMidYGG;tn)iGebBm~`k zGs{GT&rSW*P4-TO{IoJ-4i<(uA&L`Y%0aO+NHo*+fm%jee5#t_4+=QFDg9=7o`OH~ zeH4K}p?Dx*Xc9EVxL~W4lmJ$O>s^g3WR9Zbh>GJ6j)@%5v^vLJk_=5PvU6MRmWOAO z(V2*<3&VoQ(2eFRr9j@(V+%I2Il9pdDAB^Mz(s#zq^x|s6*K(Yn;abAZS7Eab4=~c zt6b>2Ey@2m>by|>LD5WGyfT2<0K;f!4_+h>W;;pIwVg3`udkbJQ5bw7J4r{88q0|^-F2Gzac%MofDfHnPCO3}6 zlnQFWg!30}AXNB+H7IZ7&6h+^N?m@QH$J$uK$I}ggyI`6^)KUJxw!z5Sf3LOWDySj z4LAS0=jyf7qxExBamwJUQbO4e|B1*{4EvuWul&u46FyQ=I^!-u#z`>?49`_FD zh0ouW^-|lgYl*YtN*FVSJ7M;s4PnUV5XVxa@bMBz&^tQ-r^T`WemDcxud=LOWtF{F z{7Oc-}S~DO6B7@7h+GFprl794TZd)yAwX>o8|!-$A&+5 zuYYN;?*4W!st<{1cI>GAhB$Jcv*Vj$gZ9(-u^-_8{nscEU;Wug$lKICw#?uvu|{{= zpLOp7`M!HzflQ>O>ib=hr4gQ$ehvyX_%XUK4*%ld(9Z&{aDgkHb6jcLvc z*!s0nt|MrTRju9Ock*jf#s}fu;1H_+-gFT!neahz(JAF->BClnRI2Lrbu+O9crK>d zG0(R*7fqg;23;EF12@>9=AE{xcjg@w~E$FNTtZ&uNePtli5Vi*IT3 zJc`)FueLaxDD9A>cKDrrRXg4Z=OUXpk1S$a{`AW!;N}M}hdpz^5ld9hFB^XNbv%4- zqsB(3)^j}psqmv1D z-CQAl&J*@OJ3QKHYVZ3v5t1vs(C-|)i8?H@d9`U{JNIt>IFR%h)|`Gnv$)b8F*RRp z?$vvIjZp!ME6I{ddlTBSnhAK#d2f9w;1)iX2nJV=W3IoW4Vfcpp zi5FosQ|B6F7wgr+;ml$1!qPK=Ii895HX(ai5_`W%DjA{nIJEZ8?#GT?`18SG<;V`C zf%Z>jtB*D&M(6upFoe~?R#P#(D*Ag@#$Y{}l%0rSykutW zV_X7t$FPJ7;^h`sF!yRWD-%UH50`RU~`udvQG>)6|qX)^>iC#?|U~-V;suoTIs= z)KSRy=i9sjb_cFCTs>`5>1kpUmV?7#Xp{HDfB;a9UX$qJFOuCCGrj2Co<5?u=<>?k z`+R7^QmE-2diurd^4U=Ha>eq|k$b&)+T`UN^*bI17ZRs;o6-3EFVau0CM;ul^Eg(0 zyH|bdORWsZ-^(wT&hf8%@1La~G8FNMwD_HC>U9_K=qiK@CT!cke3pIV-`^3Ju-)l# zK`OsCguT!ApbmM!ku86Xki4%PRNTQ*RD4aGyp&x$Wax8bo}a2)K`;*KS@>WXYu{!H z9V{WanAp+X0IeVeDj%h7;ZmZPppAIVmO`H`7w3yw)&hp;hUr&q~{n`oWF=)8xzzl`zB(CfUAW96>3 zd~NJoeQk&iaNil%pX+FFT~Rn!5=NJknGEZ(7VbL|u3(I~9VX&43ebrlXdWu}9#T&; zGfgv(jiT094%Aml{tf2!m5}IDw_M802zEqQAADD6*czGt%7pY!_BgJFEtyT!iI1Nt zW8Y4o3KU!))bfle(Hk6uw!Qm`#IjR{&8cZhhXWj0L>)rZ(hFjRl_^WDF}ssj32T9u zZBUf$N+G~)s^*u5yPB0F_bBK*YuI-@x+2om7DcOCfk+&PpPv=^ICiirpV>4q=}frO z*zRf9%{kH7Ug&-DM^d?CbQY5DS0#;~)B3%Qf71E5yBM>h%oIVNF2(f%|SY^>Y7ku+UX-U z8#`#F_;h0~hdSwwm5$@*#KK8sAx6U3)H1fK{JQT2EIp88xDf3hPu9QCCEyY2n(aq} z5$s;LUQsipcu*gt9!s6X-?zKrUKLR*@U4CM!8+L{=YKRoJ4xKl5B&29_|%y=fT)s0Z$g z;Z3iE5>$XDrums6#1CJPA0Y*x&*X|OVaxi!dcB!aqT>xeCTQRYdiC?{alK4oN*cx< z=RZiI1H5OuS(yQRjNaRyZUHSubZ{b}-Xjl8j$L=D!;bAJ9vPz2LO<8%=dDGHu9oOz z0vsdwk_Na)MXW!A+lQ)oKgt-+9$T%wd@B(_cD2)1(4TPc>L9eD8sKo)(Eg&6biE8# zny3|Vg@eH4hY)w`!`?3^4N3x?A9ilT#^y=Cr(cF7NwLa#pi1Ktz1&>*kp)_tNe*lxz0!7Hx+>BPxw7i8EM4*bIzj%^YAThf=rzeG z(ON%Id_>!zOk()vx;tyzFDE_}{D;n^g4g9Q+j8#f`wRBC^?z+S@ROzAv%0n(TXlYX zsna*(lp#4e_`vf;cDuTR*Gn8^B-~h_;Jnv9dqX(^BD)fiWlZk#|4ogI*;sw~nE2(3 z8k}C+cXY+v1jX*Vn=}pV!F^|~Q{fS@>=S_x{h$`SeVR{vtih`co9nLB6;uv1PahJ` za5q%KWkX>iCb^s&7ZybGhi{GFl5F|u5-HeoLP*lLiMHxccMPdYE%-cy^DsEt6Y01sqic7C{Tu$BdWtD&z6izF$Wgq1+36?iZwG%c$Z;{BL>O zI=zqw7V}`8k9h}L>70+>6? z*vIFyJKjBU-8FKut|s9s9{Q^hSwWQ+PBi8dH<`C4Ke+;Idp)PiqbX)YQ0AF!g&3b& zHD7|OBDMnzj|O{Wm8Fj@$x3e>wprQ5&W<_o;?LwRx<4X%j_Be>ON^0DXu%jU73(Rr zYlV+Ln8kwK9X#JPzmJ>QUwG8Qxiyij%^v4aJg;Mi9qMC84KhLY(7Q_L|FZd+W4}P$ zzJIHKMH60d;C#QJMjL7PCV@b?dJvQ1f>qZPtw4AnVMf91LQmj5)x(BeIxhJ*);Y#1 zWcg*`voE=K$n>V<@ zQ9~Wv)qmzBY@sA+G1w@&<8B-;FPI{{U1_X@vfW{v3ty~SMs6eMTg6@) zY5O9gUuV~Cy6>@ftnku4;9~F&+R)=gpBLaDLy9`+)UWkJQS~MN7iYGY>oYapiOv{z z(74-=s79l^r5!V4(JIe<&FzL`lra-TFw!Mejx>WUmnrJEyyioU{d!_Hs5!?sHpiMa zeRF$R>kpc%?G^MR#GQ|IF}~}be%GA}GUMVLa-B$Z?4rF5vqTugy`Y-Uf2PmBo@^Z_ z`8~CUfJ-g+EM0JFQHRvT46p>yBkmT+Q~rmIF4vL$Dk z_?C3OXWHEo%_+0297jT5a+@Z$oFhLNg~6?-dM~qey5fP~dB+J$p)jZkSjmR@vu zY3;a=tGzk*k?F-KlfwklCCIE?CWJC$ zBKou&by!@I&V&hL#`>=|If?OET4IMGzgt$!-56pCKNsbhUzYWVBQs(iWFHgwIqup@ zSQ38AO&{IlV6C!j){b?p)i|Db`OrCMgUx=z2WtU2(~B0(Ks*^6PE0 zI7G*;3Fv4-eFrYv+sYpQ;%h|R#)+dnno8+uY4{UrKCnOgtNpXfY;Mr}n+XS<_bYwc z%rTj7=ReZCO%G^$U)9#B@2CS^+3+{Jt7)D0JYv_is4lEx_3Ls>M~kJUULkrtz)&$= zQ03~i-fptZdTE@|yTtFk;mqb-*I~hWz>^>qe9=@G_@m$1eleNId7|~)-~HP;d#;+m zuG6tkN|r!^f`WPo@9a8b;22*{`?he~_S?4YDp(J~4p4<2fO zCA!k^YK6O0DDUAzE-o64P<{G-7MqKcuax16Fhzihg% zvhbDc($LgDRCX5#o_xn#TRBkb(*cE*_$>37C3VD~usJd(YrDOVhpqEo$7s*Gp%1?K z*%@@<%Al_4>Qd$i>2rWgc75eQFWfs{m=~wB%Tj~Qm5K@_B58BiM8xpb>+j7 z7we~^{Wa!7J}S_6s{&1^yb(}Q8|=wDncr!8^h53H6|&zLQN=1eCp1<~xI5CcAIilB zTf~g^-hx{37CK0=30VlTKK!DkF_cM}Jg3C!yA-1aXGlnQP8VQYSu=>baU9k@ub6UG)5SMr`(VFFU2@Ok0li z27zuG-&}=Y=XSw$#KF6?9%aE#&HHG|#Y65gowaW0XGI0@jK^ok$tJ_?G+tLsw8QQm zeYYsv0%k(bv9>h24Cf8mxv(gi*VcTyfF9@Xx?^2 zMKz{+K~K`Y2!K8pSqG%x*)|rTiw8X$Or0`)9eMv&!{9;e>q%$qeIv*yM%Mm$Rhs-Y zCaXoomKc1T$!hEv$1y6Fgui6xQMo83$F%&9gEn(ur$Ir8euK~6XLRWt^t7t%+Pd{` zH_W;7skJfulOdBcEh3Q@!k%P9JLcbNYua{F`)SzFB&EMAOKnO{DTNMb5J0tO8_Noi z8>EC@Q2ESL1{j#>Q$^HZT*o+q(ahttyLc&t30ZuN2JfULM0dWEctvxVxaFI+knf@^ zP@lAW{J#FI(%YFnEG+_hhE-6uT=D!_LHP;iR74S7L*bP?^3>x4^0Tf<%W3I=*I^uO z-&e0Cas97caciV8HY!tkmS*B0CH&cT`Ze7BOb|z+T$Ef zl`m0D;%)7P*oe-tp3CPehn~*)dq+O@o@7Mk#npB^I;DgcosCk4sB-w&_`70`tFPBg z7u=B!-)T^ri7fkEuD;cGY>M(?cgu^dJoF(vfLpsntnKFWUs+gl+SR^^I0&hdi>2#* zL66h&>+%XNWW^w$%rxNW7NSZKo29COR^(7~^$Cuh5QHcW#So8TL|q&e!=z~8MSM8* z?09($C&|%aaf%+2z=rbN^b|8B1Si%vy|)i^-Kop2j0oFaXEOXK-`i!Vxw%D9(GMma zx@X4&6(%`N~n46Wa>t zZZ|(^nM?I$?94i|PGcNOSV^%Lq>JnPGRfh2TP?kks>;)j?wG zwnWa356|Z+LTdakzVVR#Zd~YT%;+PE=}92y{_)LQ+1Y_5hOc=}EN8iUfa-I+-`>xi zXE2DEd{aHWpcmino>5Ov93G)>bq}8_u8kej&_YY!l+>C^}4lqmhrK^s|}uL zp5O2djdxK8I_3v{Bi)UUhRG$MvB#$Fc;W0%@>9Zd-81F}Xlya&k4SH$-VHgp1nnSp zO}5)>444Yym^N`Dt9ZJ}jr4IHzL?A%xSY+cUYWV*;V^Bha-)SSa74?nHSn5{VY#1i zU>#uob+Z9?cADaGrj%jiPG2!k5Ql7oy)T0IyTo;m!sBdunx4c!g zIEAU{cRZwVq|j;YSKhM%zn35H)RT`08wRA6QM|Q!3Se@vol*lG;r(Nn&L`U~hIf~P z#|G)7ht25k#thS59;|38l`-A&Y`yINvX?!4ibZxwo4p9;Lc@|{G(O{=>voaSc)9=f@y_v*f7ZTc*K8c+|PsXbFPVyr;Z-hg!7<`y-E6Jf+Mr&VQ0raW82f#M1LWtWU)t@9vCHPJ6YIU*@2l70-7>2l(3m6F@0s)N z^pj#b4J$D&h6(VotRI@FLYtZLciyk8Zqlr(zJNm)TprYGl0LELwTNrxRnBpm**uyi zMEBtg-3c%o9;fU`Ka$q*?`~u;wi{o0k@L&nK;QVbQwi7+()E&K*$^3SY$&qid@(TBLhqC_Co4KgIC7}!z z>g~7UrV3_Y_94b?-$jwMy5iYMmI4kBhsy+=-5baz5(bE9&=7^(vQjY2!Ei4m(`FuI z6NP<2TLEU)zks(s%s&vk3#3lNE zk9m z^)NQ9Tua#)k#yqf@13hUP%q?Qr!W<}zTjO(=vr!EJ@;oSz8pvq`t7U3)OFs z#glmV`c_ZcViA=f24|4u>uO?UnYihQmYFp6 zbZq&I6@I+!neU<*D5NY@AF#|I8m*oFR=&19?h9xkvignDZXkM@*44*XMC1pc#fYLE z(mpqh@FF3Sl69*iT6$_r!xp?8mu|~|-DA7Eepb6HZ=ml?#bhRE3E^&T^juKr-u|{y z(4E&x*$kV6&U=G7Zuzhf9hpJLNBY?eRv`4q)MJwI9jiW?vvhmLiwHfL1&dD2<~L?Y z@-OB;oQd^Q9FZ(`FAKj^P1Ihj8zTCDOoq>%)Ru(TmC%x0SCL58ePOn2l zG9c2`Q2z+@S~e8sewDV*H!oRVx|9}ToB!~8@2cllV`!jl6ui%5;Ioruy{M7>QZN#o zo|E{{x;zK%`Pt|X+@BNMttW3@e8$SmwB`P>dimOxRh@O)>DW8|O)yT#ndfU#I=(zz z$Cj@p3KYAZ^+Hb7od~V`oD@;-()enT6vb$5gXE-Uv>h|kbU7D3lUL5lkWU(n*|s)u z0in9+dN)k8oF-aBjg@gqB?@UHBrm}-6+90iUv$zq%7_?J(_toSD*2##SQL zKBMb9p`5Vx9c;{vN#VR0l=Xst6{K>wiW*SSqn#Xbt22BQQ*&5Zj0!*gOhwF~Yv|i= zGuNJiF2&#u4uEyTVKB+jAXn9cQ{d#0<1g3)+bfUy`?%f65KQ($$&5c)#ulYi5 zrQn=(8n&f2e|?!!2r~Kfha=#LE?9H*=*!Zfx4EjRC)`fwA8~zb0&aEFL3+F*Z=OCT zG`iF9N9`I%)8vqpP~oL+QuBL_ZI44m8f)9HKtpV#I?AlE z_p=7?blz9k?tAlrg6+!ztB>cRO;@JI8tUA>kj+Rb)fYSMzg+*Y`onb_pX#Jrv<9?z z0oF8maxzEp>yyAUov)+{Vh|;t&leB#wOmc+n1#~H`+OCN8IEriFlWa~_dHTqFieEF z*3lmAkP=tFGzWByOK-WM^S!<$qHpKLyTlU#UXReD8j1Mmxgx7kqT${jZO+y%*EI&X z2E7YJpT>)gw@V=^ztyM3+wZkr2hu-}BilccliLqmfAZCdd2F9=lY;eU|5L3A%rnQ_ z7k7V8Xr($$-}J&ZKF7CMBFy{`TD!G{A2?@g;Cm`5O!xvLZ!9E(olIg9|%#rTNZu5H`6|5YMkc=?epwJp52r4$7=ol9cHspj(uBB{qcKQrfhPb4P%Q zmjQ&`Ipq(RF&}ND&3fM3$e1m>w~;g3+C}x;_S-wHR)?Qg{CbjEU)uYn9Rt}vFyAkwU)T%U*7LO!nsI*^p&SZNzHabNP`TQhv;8*@M*NZFb^7Xok&9ZXn@0?_ zWVU3c*!GmBrOF)A^ak8b0+cqTnsz*;;2Ww2aI?X#o#t0m=&5uU zQAo?^;@8$CoIFx^cG+JDIh#L>8jW2F(?3FP1c^dk*9>Mi)_|(?i1_|={zheZZeP6i z5g`@9>)j0zeIh=7@=<)Q;quUeOTS~q3mh_rT{qq^KeF1cXIx**nv44!5qZEAt;`Qd z|54nIeKTAL)H^bK+!SI+U$8*e}XhdQfGBvQxul_POa)j27lNUF)^Ypth@BNwZV$ohzd7 zh8=N{Ua9JSwpO;p#SBfVr|uK{*&v&D3jEOeYb9q(LZ1|ux3Lx+P=z4xyA5ha3U3sT_t z%Ne-qx3BEetQ5O2+Y2!@cpL4g6K_yoF#C(tQ}otc5rJY}!@fT;0rEW4exA z6dfD7buq+nTsH9O34c9rW_4P23@wO&T#V8({x*zPhW$fbx{%M85ly%06I%qqOpV8us~y_L;ndqb6^PSCH0;ycWE7I}+rkzINWB6&E0uAqjHwVu=b=;WN{m`w!L`0=bEn?Tk8-n7iJVAvjsO@fr}wMVev}i(dKs&V=mr;rW$)}h zg{~xF-FE7ze3vO>A&FSl@v1OSJlZL&-8C5~vQAFu*B5`WjS7_9_$0Apx%ay z4bo^=^(rbl;CW*NqDEjEtRD3>RIS|Kb-|DxrlZ?%TX#4AA@0vCpC--4mXxdPvjpd` z5vv|um9j|0_QM>phnmHd9@q>o3vI`5c5CD~ zjZC`WbW^5`=yK(q{f0#6n2ED_UE{6p@&))s2TXpLvJZDWO2akXNv0^W?y=?Mb;blF zvE1b)%%=a8?sQglcX>xh;7!&*#k^CIH7TF4)}6Hr*ibp_u8E<8IX3P8Gj}PXg0U7!x z*et>1NLES30}i=E#J8^P#QN&m*iY>H91P4wKW@P;SeBm5h9<`>69P`F444M{SM?iV{k2TGQN{TK}Us zKeObpcl*N@tQR_rCC#3!9+{rZm)Y!?a)a?STI=bsv*KN{Ti(R@)-2d1_#!>zfn)Ns zG3BBk5qlpru6_Wk?BmTG>aaGzxn;Hapb2!tgD8k0doygku+1|1fw4(NRiqnz7*!}6 zZU+PThrtSHRrGh{X#LlNgll@VubLyc3ht&%_q3)G1{0~DGI&RIH0HH^Tjbq+CeDj( zDm|3KrUNidH%3c^O+cVie=xZL!ll2iJ7g#M(NJ=maL+jxpVmulrUM*$fQidvPg``m zLtp!Pjk8Lc+S!j;Uwk514|fRKl@dw^u0*3JL#(RcBxSO66-t0I<) z3rNJ)5tkthZsr|snioa&Cc*`!ae^U892Gl7D!}r_)-iFOTxUJ(Ff_Nbj{hWYQFS@4 z2-d{_!dX;MEVW^NE6O)sL`fgZbxMG?@T?i%?lROQ{$GJp^g& z%;`!@UY;fwQc*AZc&8dtQB`stLvB`}=H?ULWg%_Y$R;-a5Q>ded9U(8wfZ(jD@c_K6_V=1;L5yj(vtVtoCL^Xk z0yPrBem5!Oa&va(wP=Yl1d+|RFXi7F|>`BnOqL=vYJffk4OF$Ka1lh2p4etsE&olvADnB@JTpj1O`+_ zbhh|ri#=chG!hpHno3)q;z4O?3;_;JmWmW*V>}JSEXI0aK6dJe-z_>ZlIuS>)6Nayu2{pEqX@;TK-8vK7NPh#foWf^G$ZIE_hL-tvBH7sS}D;) zjMpXJprlf~^Hneu(u#d?K`OvWqcXXaDPgi&9=08}h80hUfqW9ere?k0ltTxha0Q2% zd6Hx#3JY3T#e5=?CN3rV0^_K?%rViV=nE!&66DRj!1tXb)*)mu>CT=;?P$J?fguy< zE}mw_<3yDJL$yb_%?+A z;7Znr>|iYdC0gq$CQ7_FV@UOfr-1~<(h3-(($L)tofM`xE|4`lTCkWptl$OKKU?A_ zjDy3&uomYw{!SIS!$q3*>noAmXoJcgA|D%_?N_JpY)aVbm_u4@X3%OF@l7Jur~BLr z4lbHYXX}*~N&XIZ5`~V5&3vNDm>q#I#?;&eBEXx}f@<@K9crj4RZS)%UQN`3<+}*G z3pmN_BnS-Qg5dqA<-Ze#O~c;-mr(5D2KC&vnMvVwBOa%Ln5-5jT8iYVvPsxVzX4J3 zjJ&vc>9iO&c|<_!W_}kd!&JrGMgu;UN-UXSYN{~e8qk6TH2b=<9bZZs6%K)-(Hj z32Ca!YD0XN%eXDR!*`DzFvbuWOi*4-<&V;Cz9dEy!Vgnff~e&e$E+M>0R6@DLC04b;S(>lzU<6*sy^VajrUQHYxCWN&Ui zV~1|pxN7@7NUg?r!3sMvQ>KbtE+%YiKFWSku$<;c{rkuQaXH-7n~OP}WAYNJeGv9q z5c_hWn24Jk$+|EJ5!7YgKZu0Jt5~e< z(jWomckNb@oa8<9Qe}bI`^_!z=Bv9Cb#|l;KiXfGFni0T`f2VL+tJ;-i;nG0^}X=< zeM=Ag30;LdMrVGxd?g|R*%oulaybtSTW~n6m7p;%|3*6S_Vd(K#hThk=H0#e{gVN8 zJ9X*xMR!hCOn$6SI-!rIZgWzj=%$)CHf~&;wBROHdSX5T@@^>7zK#4@G68jUKGN$B zi`eLVL#<#7AH6U<|M%*k429JHLdrz8BXhINNM@(*?5#@o3y-WlBO9huR z5ps^K;GLJmbv_Ex?Q={{wI4-#v@7JqA8D#`vh8Nydqy%)<|}_n8;ov!X7k~4!Jn*U zo^o_)%k;O4^ZR4qvt>u>cVcQ9PB*?e4((Dp+JnWN0R8sOd*B*1Fk(fH^|_|};{L_` zw_aUMnesjurt@lU+Wg)l|zeb!PE$cE(0dR=~A56N*CYrnhgO5Q$yNY&KK?sr!$N@S~>=2)*vf zjOm@t1zCVMZ`lt30JZ}h9~ppq7JSawNcJ2gc2Hsg?jBlDSg3nQh<7OTzQw)hFi1$a zM!07Hc_+Dkd;fW`*%$jWI@($n@j6zLm*$n-R2v+)W%(Irz5UA>+ZDAzYpsj6T8*{Z zO^w=s%+c0Q_6Zb@|(FVZQ+Y$gKdt z?q8&6gnGFT+JJ+)kM1UN_q)7T*tLzkq-JvEcg@|cwv$dzX?+paDCDxC)>eba@jVnB z){K^l7C$l|Jvsg|kf9<+6;VSE*${R=sTuhjO=UJ8!H7JY{gbn~%+S5%do!F*{b?G0 zb{N^7q}q68_r(LZ&W!KJk!pf_MPXY464dJlT86+Y6`uc>d|o{te;X@Xf}H2c&JY zRtFy1NS|!hR<1B6DmwV-9Mkpo1FqcG)yb7SZAXfzsLxbaFFG@U$&Z$c`270^nH>9~ zQrnT|wbF_S~9Cx#BY~AUO$j`{`Jie%pI$J{nH+a z2QGYT?jC;|R$W`_bh(hYWpPKS{Y`YBmtD%NdO{==9dfM%Z+1K{celz8&A(5Uy`dzE zn@=Jw%~{vpMIRdu|DX1uvPd#PziryT`G2#e&uV9gv}B4_C8i*mqMwUo6VUkZ=hnY+ zCoDX|LnHE@SHQ16ppNgm>Lt*tEdYSjPfW7>;{zmVygWlRq5}U5O0eG!P22$h03}iP z{=@vwy78gH=6?s)2)pMV;{9vTveFn3PeK$)LhShu|4-2VUBB@6gFHij#fv5!M$gLv z09ud!NzI=g{=r!?zu?|pKEKk~ybD*Ob^-t)^}o`0lzyRM|4sj4`S#p7$@QQqF}eRp z|JgJ?WS#znjtcrU^zi=IA2^beVG_0b{saFb9IW?m82ZnI{p#TMgebpNqW!b?ui8T| z|J#8^l$YnP^n-mCx0;V`25j|H`2WNHk9J4n|DwJBYv6t@PXFnEr0XEB&Hqlf|68hl zRq<spC6k50Dqs&01bf21^bYQK$sW!jz{>-D|f6d j%(dMeZ<^fGx$Ft?zifNqmZr{CGY4~T_p7>Ao<{!^y7}JANhjf=P_+5T04qck8<)celsx zULI6PX+_$QDr$-p8mUk$T2T52Raz-(ib{!uQUWb))Djv{Q>9R(K#LkEt!OKnelvS} z-nG59FR@9fYRTi-d3^J|=Qp$Kr3j%pI5ew15?xl?5H26kGFmp-pU-3zV@J56w?ppp zG(7<02^(EsyX-Ns+U}!DR!Q$LHIoh*dWOa_xwM*5vzD5mS=CBwrd50Fit8&*x9u;7 z>FA7v4$a5AuKMb)zS4T~D%LW*1f5%gk6r)o^K#q%8~B);(4nQ|#fHLaz?<|TzI!$> zCufxHgJot~iEl}f9DexKk76J0!cacA8;kq!tf%qUv7zR4!;&p7jBMJ{(3)+Ek(KqV zyj9cFilymUS_8!7oMNR+8jaAJAuX-av@#fxw;4(Qq3W~D3K${@FrK(At0QfHUCRg0@FD<6u0)@U!7LP@GE z@pN)o1fKhIs+wr2iPEaxz71U)I|pJL*3)QhG)kG5)kKuJ@BWi^AERSl#z(T=>9PS9vmq^WUv0|lE6r1ErKK1-v^X|%Dqp{W^o zxUFk|5no9t33MrzDlOUs&eyNzX#GkWUC|tEYK}D8Bff>n>`op0JDZUH>pbPgHquHi zH+PtFJiSHEY1s<&_Y>#NpI_3(;{>(IZP&8!U_VtTf|`SN9ZEiKUR(XkBAFXcsqrng zZToWDB@`xPPF4q+dVEVb2>p82w3Mt>Xqitca{08W%G9q}wzrMYB5PU6glK3HA2^A4r-t zXl3t-+&5B>y6W|=dc%F>G8kWGZezopDvWljFxaU!+!t2JJYWVQbcCCbmm9mU$WFv& zA+08g?6A7S4y!xtusSROy36F#mIeu~peBg~lWJBq!08fplNp{(%F?=?GsBf+ehvag z1Esli6kbRcIL&Tu!{y|1$V>5*%x5?MU@ocPmV}H9y0od2D~nBDE0R#LV~jCLb+KGn2+pY(R%}gTm&iW%3z2 zo@!yiO{Cw$bBQEqBCk?Qx7UI6L<1-yPKb&yuqqK$==!vMgDC0%KVnmIMD z4Qa5A)2i;+E#1|@R_PAX=b{mrl*VA*qIpv_Xi71uLWdN{o|NH;5gl2z!9MAL;2$

bcy5w+#Z6V20DDoVyP#b00wgOieVw(lqr!|X$ zkK_zJrz8PwL8MzLz)#5(^aPXAMwdmsX+vrzD?EJo#;7yTUfiq=u8>j_BpvBia*Ky> zF)I}a0Eb?8&#G~TV!Oe!aXdQF{J=$)>O+MmkQrV@MT#B`6Etx9NvO5=&U@V!{ zVV8^5Z2*uCha5gBN~#L5e~n_KchDXMFhPof<9FzWp>Jc6+QZBPdMo3ZE!;t_E==jv zvqM@k4{(Pap9;r_QHEIn`veSf5`%4-zJJz}-(hY=RD`hA4tk9`l_sas?o?tdBM9ruJtwVRu zsp{D{(9jaCexSj1-r*~>MQS6F2mrAf>erQ@pFG^kJzy7p;rBm(aWA*weqKJw%V+Qt z3-QtCc>OSbtMTaS7kK>`Yj1mv*H7~DG%w%fnWrxQI@O_>_pwi0G_{&WY#~5$_D3-2t>OfDQ!EZ~z?)phE$CB!G?v(5rz& z6T^>jQ8U8gTdB) za9@KlzgH>T=J2Z=1W;`L9C5_|AzQOJplF>0_g}PXNN-ubl-hSk)r=@)t-YAJi7&-f zWC7dAMj}d+qjj+ekVGR~x$b$q{A?U8C7Zn=G?z;WTT_D^i^v<8m@EcI2=2vyzP+)< zm#IEd31U`wn3A(Hw=y6jnjXeE@!TMv)13jExxW; zjMs_eyEsqQzVX2{dh$EZ+~Y6j#e4FX#W?|~5qy81otSgZA&SK&-vb$t@(s)lqyjQ~ zxf7TiRffvD^>o77sk_`eH7B@y^Yi}uS2eK0^C=%%%*Xbd67LSW0*00w>VtWWK`FT6 zP>Vb>xashW4?6F43P@l%%)3G{40xfD_D*~1u@8#69y6^3&T3%=`}J3mBL+(SIC@_= zKmGW;01GF==e2*@vzp=a_FZ_-Q+Kr6o2M6_zx3X>i}?Ht=5uWhK8JQ2pSPdHFP#Xs zoQ85=%hd2WJ0m_RgdRWJIvzfM`=JG^j|n^O!K)|4=g7h5_<)ExKF5bdd_=@k#^?A@ z0R4~Rb9S>bb$q_3?7FI|f&O)y>*-B&AO?S{H%IH(L)W@$xX@s=-Zfqne-oWC6#vHd z_ll7MZ%4f`e?cgoIg=B6V<=uK6#t{Ye(IkE|BvD)IF5WCQGDe)KlOLP(olTlbfC@G zn=dtrzw*sr`g?WBD1J}-8Go%^JodRT6yNTv{7Z5wy#y$J^KTFPo24{oKJ}e*{-iD` zik}drmh8#rcD>>+=f!*Si<6>w2Df}2w;Awig^mB=J%egk@HL6GqljGr;Jx5Gw|Be~ zz}$Y-$`>!|#vTFGiH=Am0PP8m01VMOOpn3x{zrhn)SNi#JFNuH#yJ8o-0MfW5#Rms zB64`4=fff?PRMQWGP0Ln^hz7clfOA0t(0?@)i3^jXhAgWdtq~P5*;&d3-=N;WDEBaGh_?*5+&PWvnMWkN5{oD?tNWVK9AoV(;q zan7A_I*GdtI_)}l6Q6dSyNOS`&fUaI*7=S1l0Y|nu`#6(wUu6iFP22zR?JLMw>2}J z)ZKcYe$BY4Prqi|)JxaQT?L=HeRNtMcfTkl1-Kk$^6XV|4k(Fw$w}Y>sIzaurkK`4 zKNg78%f61Mo7mU!<9_pmoBxH$HN}6c7-#(d^#4?JEQJ5dvA;lu5C55x>~FsA$0_t5 DzHy76 literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb b/lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..a7fdd244e6fd8cddbb0d2bea75aaa58b43053345 GIT binary patch literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=pU^)K) literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt b/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt new file mode 100644 index 0000000..c528b5f --- /dev/null +++ b/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt @@ -0,0 +1,202 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:18:02 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_utilization -file lab0_wrapper_utilization_placed.rpt -pb lab0_wrapper_utilization_placed.pb +| Design : lab0_wrapper +| Device : 7z010clg400-1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 8 | 0 | 17600 | 0.05 | +| LUT as Logic | 8 | 0 | 17600 | 0.05 | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| Slice Registers | 9 | 0 | 35200 | 0.03 | +| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | +| Register as Latch | 0 | 0 | 35200 | 0.00 | +| F7 Muxes | 0 | 0 | 8800 | 0.00 | +| F8 Muxes | 0 | 0 | 4400 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 9 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++-------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------------------------+------+-------+-----------+-------+ +| Slice | 5 | 0 | 4400 | 0.11 | +| SLICEL | 3 | 0 | | | +| SLICEM | 2 | 0 | | | +| LUT as Logic | 8 | 0 | 17600 | 0.05 | +| using O5 output only | 0 | | | | +| using O6 output only | 8 | | | | +| using O5 and O6 | 0 | | | | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| LUT Flip Flop Pairs | 1 | 0 | 17600 | <0.01 | +| fully used LUT-FF pairs | 0 | | | | +| LUT-FF pairs with one unused LUT output | 1 | | | | +| LUT-FF pairs with one unused Flip Flop | 1 | | | | +| Unique Control Sets | 3 | | | | ++-------------------------------------------+------+-------+-----------+-------+ +* Note: Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 60 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | +| RAMB18 | 0 | 0 | 120 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 80 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 13 | 13 | 100 | 13.00 | +| IOB Master Pads | 6 | | | | +| IOB Slave Pads | 6 | | | | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| Bonded IOPADs | 0 | 0 | 130 | 0.00 | +| PHY_CONTROL | 0 | 0 | 2 | 0.00 | +| PHASER_REF | 0 | 0 | 2 | 0.00 | +| OUT_FIFO | 0 | 0 | 8 | 0.00 | +| IN_FIFO | 0 | 0 | 8 | 0.00 | +| IDELAYCTRL | 0 | 0 | 2 | 0.00 | +| IBUFDS | 0 | 0 | 96 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | +| ILOGIC | 0 | 0 | 100 | 0.00 | +| OLOGIC | 0 | 0 | 100 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 8 | 0.00 | +| MMCME2_ADV | 0 | 0 | 2 | 0.00 | +| PLLE2_ADV | 0 | 0 | 2 | 0.00 | +| BUFMRCE | 0 | 0 | 4 | 0.00 | +| BUFHCE | 0 | 0 | 48 | 0.00 | +| BUFR | 0 | 0 | 8 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| IBUF | 9 | IO | +| FDRE | 9 | Flop & Latch | +| OBUF | 4 | IO | +| LUT4 | 4 | LUT | +| LUT6 | 2 | LUT | +| LUT5 | 1 | LUT | +| LUT3 | 1 | LUT | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/lab0.runs/impl_1/opt_design.pb b/lab0.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..c778ed43ad03a518138bbee3d61b058f24996d24 GIT binary patch literal 7879 zcmd6s-EJF26vuHACoqkwP|+4rL`PI^6I0u}vuk^uQWcuCQK6+#nyQtB6m8dId&zot znO*0j>KniXS4eOLNZcT$DMBy;p@gUD^7kt+dnNvh_VikIS74GRb0gPC@f!r!!j zCt)cniVnXw8Ku~7?*+DHI{ZOd?OC^N7Iq_}L9}Er;>_JbxfRc)IG~|``SHp!4($%l zr5MJ-Aro3TKOH@*XEmdsmE-O(M^1^$=*(TOWn1_@RKJe>G^JQUAxdP3jb_nWo5wa6 zM5TCARn%espFf68Jn6Mc&lm$;FB{3I#y{h`3@Y}}!4`wfb>LgrFkow7kbv7Uqo@Iu zYUJ$$=}C!gidlqQGlpW+9Sv+E1A76zwaj-M(+y$U^L(>)a7Mivkgp;Vg@a((>W_g? zA}3T$Eykl0T7D5ie?A(aBV&+)Wr|rwYjZSOH5sk%pzMPkO8sQE%4)EIE=81RSp3xq zVnL2xxLyd0Z;ysWy1!Ebo?@19z((UhmvN9oZ{B=(dzGJ64?hG9m8=z1ikQ%GVuWMh zLrW7^_!&1gDafRlWh9Mt(}1&u3%5vn+Ya}lGjHm~Gjtb!$*10vI#b+1 z`YljF;5L`MatYPq7=Y)uRmn|2HI5E^{~|ojO<*j3*1Vlj%gqo!0jfT-9R~+nwvR2x zv$o&{FK*ae+Y^Q$(mZ_1Y_EYoVQycJ1K zn7WqNbz#q;4TueBzr=`(VW2h;No-Qe*?8tU7tMw?vCqd->JAg86QbPT6Nu%4jpxKG za7H|$@T**{67TKnRO097Z|(!*AYZwRGdn-28w05rqgeodUr%3>9SFE4C=@kPN#=!6 z4B@G|q?F}P)%}~CT9|+`5gK2k*Kq zF~lTMK^2RSFbyMsF|LSwf-cDh0AUNnIYn}H}b$!H2!F#2j)+Gv4KEqi!0 z=n6>Iro>14_mu!~5uN28qF6W>nm|GzmlAmqo$7163Xy zB7TiW2;H$Wzb6cr685LxjPN?mA7xs-9S3{U`3yh7BTNXYwMqRZfW(CUyZ>>jF> z+Fpm2cx%CAR=af9e4>;b_^$}Pi=bqKLpN1n*xX^J4_o0TWPY(>$>f0#8PcG~-)RPJPEH|q zvZ40RU#~=h!%j0;wluH%JB^|zKdZOXz-CjEKUJ$$rC3#Dn+9aG!FxH9KjaxLvq$@k VDWh+9fzqQVKZnnw@c9)7|65BD=ogu-+ISY-A)x?e1z%%RSwl zK71@7;02L4ULpiYNJKn=#2X?HNWAek_yO?7AK2bHGX53!eu)B`5T6t<}zWVCB zuR^~bLjS2e^07sBlRa$uA&Ic);&xd02AUQB5d;}iSc%T7uk7-&J!cF@bt>|DsKB0xr_Xh zon}aknB-vsJb>$Y#4H@OWxCmM5srPpJR%{*_~c5C2kZ1Y*)=9cCx^wlGIP^xIYDIl z0ofT7)vBb3t(St)qtRbhUYZy}-$mmK={@G~RD>o*CWq?s%*_Mmz_9$-bR~?&1J^Jq zmXtiw4U5=D;>L5?A3LK;RTO0aI&w6U0{vqJeQ-aHNjr!c1%12+G%>L2m;^8f@`u=l zt0zFkcc-FvF@(w^lPQ7_kE@83J8Q=$<1}dm@NJ z)pYk1LFnBBG|;NDqUF*3O$7-netbUkfV#3MToHPWJd2LcV$p|42}$6GATpter$KO= zR4|R$50B|mbeB?m4y48mo5b{+W{a5n5r;Uvto#&BPDNDqKmlT6;V3ap5=D06y2ngf zq()v^Wc1b4YnchiK4rB?v1F}g4vK^i!D9cDXx!nLkVG199 zB_>xdCfn#$v>6*Avy-4`TSm0cOrI#oGp~TOL~&? zfX2~!iwgQwl-l)SQ4otD@1P+O_kxq|qTA_Fc+~@8HC*sve2WX#l%7mIO4s=RqEh1M z*U*Sq;~w5XQ{2PxBNDq#6yr@Wbl_Eb@#Z1(uOSppMO#jr;OXe@95#al&jU&DdziRJ z5P@-FA8UAxfTADc>9q&2Eg;5zwr>yUpZ#rzgy5ic966@p78VbjFitvaXkvVFSOE)> z`K}t8%&?DA?7J4V#$y1rYQh}**LzLr%x^_a6Ka|ubAJ1EG%C~to@10i8gz;C6Ur(@ zY4m=>T&c!P(D>1K>iKujQYv+nyQTPue}os9J4)Jf*ihtM*;WitfsA;0(fWG@jUXn? zMM3^u1$|aI&E$-N1y*N^H9^6Ao@c~^pLICT2nHWdGhdgo#S`Z9DV4v&R}xu>9;K_6 z$j?igBZ;Sq;4)V~P9>Sf|Fd|(_09eK!h^(dtxVPzSp>_~l^#R6gr*jKkKl;J%=~|{ zxPW7)O(W)<;ZMU2xjr+Nez!9!>s7f9BGrxITWB*=Itia2+kys)icajhtf+ld@Na!o z@V6E8^L{EQ@>Ed1u>NTpPygzipg*sFKQ8N?WS%&HB`@$hGwW-R+PUS}zK-6@xFzHM zzzSTE1hYX*LAIX*t~`}hd{UMx3Xdz_LSI|RLZwtequDjX41HcwzzJE_ke;zb!F?cI zFMg~dr9+X-vK2$Y>qU)EOGqb#Ph1D`O*FCM`nwPsvT%qxk#cro5zVG7knm{$1?r3X zu5Rn5s$I2hxOl{*axJ!^^%5j+u}e~)Yp;R&izGIjb5p;hn~?cQ47dqeRdZsz2Bk6w zY>Jz-J$xRU)O8c|i2lHyTT#$?&Rfw3i^5MzC?e!5a*eBs0(v7Oqz{Q?$_@Muez?80 zaeu9(uh>BIsan!Q27xPZMiNCuwI#`VQI!;mlxNl(7-zU@I)m=qhgvw^JTwCQF;tD) z=PEfoL|VoZ8S?=ZxFMPXF&lE@%EPJum&yED$)rcFapNfoy}9lL#C0G$*&usRb%9dp z`Ps6CzMZi}!Gi%_FZLXjRhBw0+J*8Q)H-OIxNbmQyu+6Pg~393?mI}$m{P}FI7MFA zDx`y^{=yor(k?~i@d8}RX4`OGyxB4W0zJQ{i8XRAMa6@_6**Iv?M6ee^-FXqG;}4OXsfY&l?=+Ai z7gUSZ{OaP1%7yZ-MCY;L@?D93E?4rRv!|OS%00&CddcZ$WkMcE(zyZ8`)GQdrUcy- zJ@cxp??uNGpsYj;ueu$SGa)}LXS)=B`gjk$#?a}c7w3<>F0Hiu(=t?r)+Ahnf0bGE z`T)w7Bjz^xU;vC$P0E7Q+qztDXtvVFIG6D-&~0Ov<6rM>bnC(P@(SQU2XeSmDLfAY zWL;vFfw%=}k40lS;u*Uxv?J+k4DB#B{eX@DG53{3bccc4#*x!b+Ss>g3v*$8JEB8A zGISbWraw9M;+Aw9RYV?HWvQx3h0&is520UG820Hn5uHkKET~U8wgZUJu@4Y@2j)l! z_DCGvqf4FnkM!?-CkQf>X>Xc!NJ2lM?TnrsY5SsQ86dN^uGuoYJvx?QM7~#}BNnnc zb2Fe|ZP!1f_u^FcuW}itI@D@$T51JJX=IRzM3MRDk-evBq;SKt`V}=&lrzG!KU7fb zlv;MuiOmECD*DH;n%c?`Sq)XxVfmC3J zwri-is5bh9de6PP{XSam2+ROMRHXC6&2L9|M%qHIi(jZzjUpvanSJs%Rn zZ;qYOC;dkStw4mn3Zu$ttp3;t=?jMR!$Jt7oOEh2TV+`->`$ksA^$MpQ3MqFi3KA^ z@C-oG^W(^nIm^6(!pjKDcjy3kp-BCM^G%(f{YIf@#QdMn#9%0-k1V3?Bzkka3fjrI zCvQ6Q5SuSLaZnSel5~?D^-T484d2}a(^U31UGVWxU48$;WR*MkE00J!L0epnM z!2^I@e~+mI&2Br;ga!wiKu7z#1J3=RMFBBkSeoU^8EygxnkeL<{ri~^MCd6|3K7f* z42b}H?!lGEx6muODO!$L}J%S;5yi14& zZ6H2-zKvrP|gU=*6c%LSD1C-zZyKp ziDu~ovZlxununnQWs|VUbi%4xCND#{0nd~~SuYf9{!~GqS2_?+Rn>UA8E+ z-_p~^5ieXQ&}Cj9NA5Ry^w$ddeTFa9?oLmC0OFF$LmYTU5O}yN^*-_9mlgCy ohC58-#-Z;vVNf?3ggkf7Rj8@z{~GyQ2th0asSmtUv?eP51y*fF=>Px# literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/project.wdf b/lab0.runs/impl_1/project.wdf new file mode 100644 index 0000000..d58966f --- /dev/null +++ b/lab0.runs/impl_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323562623438393533323462656461633364623562353432306362376431:506172656e742050412070726f6a656374204944:00 +eof:1407798516 diff --git a/lab0.runs/impl_1/route_design.pb b/lab0.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..4168396b3aa947a452f6f158a743f170c9ff88bd GIT binary patch literal 13318 zcmd^GOK;r99SJ~h#T8Q92tTkzWy`we?NXg zKSQ%CLBDVL&N6PsXl`nLLRS~QedHclPLSB1g3)Xg3=+EM(AYijl^KWjtbvy-XTR(= zv=$-i;cMa4$<*i4YjYFmj}m(SZj#V`n7IA{P6B*D6KrA6wW%Ld+zTSyqgFDAD1NUQ zzlUM@CAjC%BY1<~Vmp~sWpiQLhV2Eu@-Div(GNY^r+#83F5JmBkne=Ob7C7$Wg@q1 z??98~>N~sB#AvCSQQYnq5|Zx+$#~mq!1jpzlD5}{?KMssDK|s3xHa&7#>v&K6>P=8 z$uOdJ;5#m31miDb5->3np`wf@!YQq#C`FbWU!)f6NQr0|M9H2L+4xp8@b}mTtR#p| z*{%3Clkzg=x)bf$htz%&3&c@Xo?oK*X3P!*!cM4zm%Bu5ffH&NVz1_eFO7yMslX%egJmi`oO{hx+OJvgx)~gi4}2^ z0}k$3@e^)EL{=AG2W^Ry$a4K8R<5I&Eih?NC;@AxiP?fLipmh8S+se`j?j!#A2CT} zQl|MPnjpAJPPvJ`dvD-+4&K_qtD`(fx+W>Ec@MSHB?&(FJ${B)xHb*?%h)kGM%N@1 z4w{)_k;>mCG==z<%e(!9gg%pY+&;y(;@ivE4hQ%SmgQymAtwGYrk)kXpa$7Zjo>zZ zNI@{C_}0UF@JUFmC-9h<8fhD{3f~+6bK#Cb+OjOebuXe6hli)JYg-;XFiCdv$c>T# zzem)LQDs{31V{CFuZVbm8(n2`2Bq8Z!BbeC`*eio1h2@XUXnmiW97Hdl+qT;9m2*+ z1TLOp#^$JcNYRpa(PAoeMzr|(t15G&`&DHAtGb*QN_`Q%weFtaogfUn;NTRm6fD0I zGDT8&h|Ek13LZu3m6ZrHRc@LkD^#lrTC~EXu0&Lk9X8STHo>EFTf{364E#Y@T~8#6 zbwn~zsJKGoa><}h6yp9!6UpEQ=sTZ;ke+aV^ktE+~Olu6Rj78*R`Q$9eQXZZ0) z+jlzc4v{giYX>f59vk7}@q_I~rSs_tWVTGd+`>A-&SoOlCiL&2nGbw0$M z6OUsVR>x3Zws@51&k{z6$E>oYD4sgD0U4UmJ3)pvOb<9K$F~hNOE0qTOUzf4o}%kr z`qERhm&g1IY$L7_9Dw;kxAa5w#+Dnl1|hx+)!P*EZ3021}gNf>5 z6NQu|-2qP-KdG5A<|zxKTKfKy3IAyg;dAB-tEeBLYb#!0Kl!Sn&x88Gtwz#%kj*i z-kMR3Q_iDlSaam6rRuBRs%uD26)vS)qEJ>dl~Q|OH@>+!>ht7mrl|;XHo2{6MwYW> zm0iu8Ess)6%}NS&$pn+PJUh5#%a@D|b(+oK1`UxLd>36wTWc`AVLfhI&pYH324mQn z%Rawktgks?Fh#6~3Bw~AxxG_1oaD8ugMA7krdkzj-o!DnkjfI}aubXNKFS2qNm)#r}P4d|@u3=5GrR{tiUFQgq9P;+mHS;Y$q zoOj4}3M5bR(EJAk*i%}1E#yB~gFfhUH^XWYi?fa|dGaXzo6E!!SI%ZX;x z8LlMg^%gNq{Q01K;KQ(-g9}n}dvV%k=7aD_qGY__oncie9pFAv(xeZ>R29f2)9Cln zjiEjQ$Y+SB*e>BI8uJohMYtGyUU0n2G@{&Ue_=E97to+J^1*s(dZ$(6O|d)vPYK-z zK*RLRp7@~#NagP#YzNDla1)JyIe=%trX;esc#1ZHu|6r=pW#t6te)tP{1Q= zg6W}h6-k1A>Ug(z8BV1%kov`V;FycSTNNbCzb8=CjCamqBY+)6R0Ld#@eusIArgZB zejWvJcJoYv44^SPKdAx2rGWP0Iypq0EsH!ZL*j7Ah9Y8g{uG+Mm25MexMb{rQy#wDGdX^0k4VX<@ z%(b@LT+60NhrxDI&>diPym)s;kz2&mQLt=oNKNhvsL2e2lmq`pQHw)r1O9~PhS}a^0W~5Qsr~nBp^A{> zG7eG98Jzi1p+>%gUQabrhH_XJ=t%JeBJvtvu9x3O4PIvpC^M}TtvHre{#8Pl#eOhS z4$B107v87T2l%GXhD6*0!ko9Gkla#lAjH9aVF1`N!NxYxPnHgYK3!snJ}a^hmp-)i zMr;kVbpl_MNt4i<;guU`|9enHuM5%b%r-0E@qtkaq^O z!>yj{QOtIcFAAu|Y~d(Oa4Xy&`5Yd#qVQzob9h!|g#ak~9|_%L43B6GklGjry%gff z@qdtl!4{j${P=9$T$r%|8OT7nzXyQr_*^X?a88B!EC>@UCJnuZV8q8GR##Qqh9(L=&^*=6Hqn(T zyI6)|iXtR;4Z6T-hyvDME;O*;NT@$Nf1f6Yfs?||1cp|4%%H+0qpMie$d~I`*cxiC z20q0xO?b5Zbaf>wI|`6kZekOvzA&8@9FPW^EmbdinBPty<(#Q*acKiC^z5{(Kmr(O z$#OMaKC|3EN$A7zEq5dn60qVIO0TLvVA0cH(YnH7bMA1R@k|=3W^W4J{5e|xXEprk*&hQuqBfxITONSp@m)J{ zkKE)GK!QPN9dJCyFq53n$wGr~8njw%>812<6RBuD1HET|WpEK;Rs~5p(J3HWqWRLx zEwidPUV^$rE@8$xFB)gv?8k?FAszML>Ka`)FUZf)Wq8% uh1SbKWI1#hx5(mfj=FOi!Zmyje}W-YM{s7{Qo3{np*4;-f`r1Ell}*Pi{kwN literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/rundef.js b/lab0.runs/impl_1/rundef.js new file mode 100644 index 0000000..9fb057a --- /dev/null +++ b/lab0.runs/impl_1/rundef.js @@ -0,0 +1,44 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; +} else { + PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "write_bitstream", "begin" ); +ISEStep( "vivado", + "-log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/lab0.runs/impl_1/runme.bat b/lab0.runs/impl_1/runme.bat new file mode 100644 index 0000000..220ba68 --- /dev/null +++ b/lab0.runs/impl_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/lab0.runs/impl_1/runme.log b/lab0.runs/impl_1/runme.log new file mode 100644 index 0000000..5a3f978 --- /dev/null +++ b/lab0.runs/impl_1/runme.log @@ -0,0 +1,471 @@ + +*** Running vivado + with args -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 +Ending Logic Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: c9d20622 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 +20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 +Phase 1 Placer Initialization | Checksum: 20c9ec03f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 + +Phase 2 Global Placement +Phase 2 Global Placement | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.5 Timing Path Optimizer +Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 +Phase 3 Detail Placement | Checksum: 16d144951 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: f83597f4 + +Phase 4.1.1.1 BUFG Insertion +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs +INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. +Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. +Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4.1 Post Commit Optimization | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: e16893d6 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 +Ending Placer Task | Checksum: 437fd169 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 +report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 + +Phase 2 Router Initialization + +Phase 2.1 Create Timer +Phase 2.1 Create Timer | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 + +Phase 2.2 Fix Topology Constraints +Phase 2.2 Fix Topology Constraints | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + +Phase 2.3 Pre Route Cleanup +Phase 2.3 Pre Route Cleanup | Checksum: d767981e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 + Number of Nodes with overlaps = 0 + +Phase 2.4 Update Timing +Phase 2.4 Update Timing | Checksum: 1c5a7dd61 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | + +Phase 2 Router Initialization | Checksum: 1cda7a673 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 22f2559ee + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 4.1 Global Iteration 0 | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 4 Rip-up And Reroute | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5 Delay and Skew Optimization + +Phase 5.1 Delay CleanUp +Phase 5.1 Delay CleanUp | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 5.2 Clock Skew Optimization +Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 5 Delay and Skew Optimization | Checksum: 17b794472 + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +Phase 6 Post Hold Fix | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0509572 % + Global Horizontal Routing Utilization = 0.00919118 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 7 Route finalize | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 13eecb57e + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 + +Phase 10 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 10 Post Router Timing | Checksum: 1cae7b32b + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 + +Routing Is Done. +43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... + +*** Running vivado + with args -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source lab0_wrapper.tcl -notrace +Command: open_checkpoint lab0_wrapper_routed.dcp + +Starting open_checkpoint Task + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.20 . Memory (MB): peak = 1083.047 ; gain = 0.000 ; free physical = 519 ; free virtual = 2093 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] +Reading XDEF placement. +Reading placer database... +Reading XDEF routing. +Read XDEF File: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 +Restored from archive | CPU: 0.040000 secs | Memory: 0.034851 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 +open_checkpoint: Time (s): cpu = 00:00:17 ; elapsed = 00:00:43 . Memory (MB): peak = 1312.207 ; gain = 229.160 ; free physical = 207 ; free virtual = 1827 +Command: write_bitstream -force lab0_wrapper.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./lab0_wrapper.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-186] '/home/comparch/Lab0/lab0.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 16:21:56 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:38 . Memory (MB): peak = 1724.027 ; gain = 411.820 ; free physical = 386 ; free virtual = 1809 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:21:57 2017... diff --git a/lab0.runs/impl_1/runme.sh b/lab0.runs/impl_1/runme.sh new file mode 100755 index 0000000..b746349 --- /dev/null +++ b/lab0.runs/impl_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin +else + PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/comparch/Lab0/lab0.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .write_bitstream.begin.rst +EAStep vivado -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace + + diff --git a/lab0.runs/impl_1/usage_statistics_webtalk.html b/lab0.runs/impl_1/usage_statistics_webtalk.html new file mode 100644 index 0000000..82b4ec8 --- /dev/null +++ b/lab0.runs/impl_1/usage_statistics_webtalk.html @@ -0,0 +1,459 @@ +Device Usage Statistics Report +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version1909853
date_generatedWed Sep 27 16:21:54 2017os_platformLIN64
product_versionVivado v2017.2 (64-bit)project_id3e25bb4895324bedac3db5b5420cb7d1
project_iteration1random_id260071bf-5dad-4818-bd9c-a4f9ad540bfd
registration_id260071bf-5dad-4818-bd9c-a4f9ad540bfdroute_designTRUE
target_devicexc7z010target_familyzynq
target_packageclg400target_speed-1
tool_flowVivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-5600U CPU @ 2.60GHzcpu_speed2593.994 MHz
os_nameUbuntuos_releaseUbuntu 16.04.3 LTS
system_ram2.000 GBtotal_processors1

+ + +
vivado_usage
+ + + + + + + + + + + +
java_command_handlers
addsources=3editconstraintsets=1editproperties=1newproject=4
openproject=1runbitgen=3runimplementation=3runsynthesis=3
showview=3viewtaskimplementation=2
+ + + +
other_data
guimode=2
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
project_data
constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
launch_simulation_xsim=0simulator_language=Mixedsrcsetcount=2synthesisstrategy=Vivado Synthesis Defaults
target_language=Verilogtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
+
+ + + + +
unisim_transformation
+ + + + + + + + + + + + +
post_unisim_transformation
bufg=1fdre=9gnd=3ibuf=9
lut3=1lut4=4lut5=1lut6=2
obuf=4vcc=1
+
+ + + + + + + + + + + + +
pre_unisim_transformation
bufg=1fdre=9gnd=3ibuf=9
lut3=1lut4=4lut5=1lut6=2
obuf=4vcc=1
+

+ + + + +
report_drc
+ + + + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
+
+ + + +
results
zps7-1=1
+

+ + + + + + + + + +
report_utilization
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
clocking
bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=1bufgctrl_util_percentage=3.13
bufhce_available=48bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
bufio_available=8bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
bufmrce_available=4bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
bufr_available=8bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
mmcme2_adv_available=2mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
plle2_adv_available=2plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
+
+ + + + + + +
dsp
dsps_available=80dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
io_standard
blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
ppds_25=0rsds_25=0sstl135=0sstl135_r=0
sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
tmds_33=0
+
+ + + + + + + + + + + + + + +
memory
block_ram_tile_available=60block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
ramb18_available=120ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
ramb36_fifo_available=60ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + +
primitives
bufg_functional_category=Clockbufg_used=1fdre_functional_category=Flop & Latchfdre_used=9
ibuf_functional_category=IOibuf_used=9lut3_functional_category=LUTlut3_used=1
lut4_functional_category=LUTlut4_used=4lut5_functional_category=LUTlut5_used=1
lut6_functional_category=LUTlut6_used=2obuf_functional_category=IOobuf_used=4
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
slice_logic
f7_muxes_available=8800f7_muxes_fixed=0f7_muxes_used=0f7_muxes_util_percentage=0.00
f8_muxes_available=4400f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=8lut_as_logic_util_percentage=0.05
lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
register_as_flip_flop_available=35200register_as_flip_flop_fixed=0register_as_flip_flop_used=9register_as_flip_flop_util_percentage=0.03
register_as_latch_available=35200register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
slice_luts_available=17600slice_luts_fixed=0slice_luts_used=8slice_luts_util_percentage=0.05
slice_registers_available=35200slice_registers_fixed=0slice_registers_used=9slice_registers_util_percentage=0.03
fully_used_lut_ff_pairs_fixed=0.03fully_used_lut_ff_pairs_used=0lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0
lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=8lut_as_logic_util_percentage=0.05
lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
lut_as_shift_register_fixed=0lut_as_shift_register_used=0lut_ff_pairs_with_one_unused_flip_flop_fixed=0lut_ff_pairs_with_one_unused_flip_flop_used=1
lut_ff_pairs_with_one_unused_lut_output_fixed=1lut_ff_pairs_with_one_unused_lut_output_used=1lut_flip_flop_pairs_available=17600lut_flip_flop_pairs_fixed=0
lut_flip_flop_pairs_used=1lut_flip_flop_pairs_util_percentage=<0.01slice_available=4400slice_fixed=0
slice_used=5slice_util_percentage=0.11slicel_fixed=0slicel_used=3
slicem_fixed=0slicem_used=2unique_control_sets_used=3using_o5_and_o6_fixed=3
using_o5_and_o6_used=0using_o5_output_only_fixed=0using_o5_output_only_used=0using_o6_output_only_fixed=0
using_o6_output_only_used=8
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
specific_feature
bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
+

+ + + +
router
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
usage
actual_expansions=73929bogomips=5187bram18=0bram36=0
bufg=0bufr=0congestion_level=0ctrls=3
dsp=0effort=2estimated_expansions=40800ff=9
global_clocks=1high_fanout_nets=0iob=13lut=8
movable_instances=35nets=44pins=121pll=0
router_runtime=0.000000router_timing_driven=1threads=1timing_constraints_exist=1
+

+ + + + +
synthesis
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
command_line_options
-assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
-control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
-max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
-no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7z010clg400-1
-resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
-top=lab0_wrapper-verilog_define=default::[not_specified]
+
+ + + + + + +
usage
elapsed=00:01:08shls_ip=0memory_gain=385.312MBmemory_peak=1470.375MB
+

+ + diff --git a/lab0.runs/impl_1/usage_statistics_webtalk.xml b/lab0.runs/impl_1/usage_statistics_webtalk.xml new file mode 100644 index 0000000..436f9c8 --- /dev/null +++ b/lab0.runs/impl_1/usage_statistics_webtalk.xml @@ -0,0 +1,407 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+ + + + + + + + + + + +
+
+ +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+
+
+ + + + + + + + + + +
+
+ + + + + + + + + + +
+
+
+
+ + + + + + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
diff --git a/lab0.runs/impl_1/vivado.jou b/lab0.runs/impl_1/vivado.jou new file mode 100644 index 0000000..2180cf6 --- /dev/null +++ b/lab0.runs/impl_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:19:39 2017 +# Process ID: 19317 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado.pb b/lab0.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..1681da909707ec54b635ca75ad1f14f18f7cc70a GIT binary patch literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpoDYKlT|YJq~0xq_jYm64&9skwrYfuT93>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+Qj1nfz literal 0 HcmV?d00001 diff --git a/lab0.runs/impl_1/vivado_17860.backup.jou b/lab0.runs/impl_1/vivado_17860.backup.jou new file mode 100644 index 0000000..bcb699b --- /dev/null +++ b/lab0.runs/impl_1/vivado_17860.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 15:37:28 2017 +# Process ID: 17860 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado_18324.backup.jou b/lab0.runs/impl_1/vivado_18324.backup.jou new file mode 100644 index 0000000..06967be --- /dev/null +++ b/lab0.runs/impl_1/vivado_18324.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 15:52:22 2017 +# Process ID: 18324 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado_19132.backup.jou b/lab0.runs/impl_1/vivado_19132.backup.jou new file mode 100644 index 0000000..9985b6b --- /dev/null +++ b/lab0.runs/impl_1/vivado_19132.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:14:53 2017 +# Process ID: 19132 +# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/write_bitstream.pb b/lab0.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..220a25027b3d50f4a5e68eb512f5114a825e08dc GIT binary patch literal 6314 zcmds5OK;rP753;^UmJ;<){d(L@$EyEtZF#qod-Ee)6|yjz;GO>mi&Nkdq zm=9@W7+toj0tLD&y6Q52Alm{3y6FEX&>zrUH~r4#3`J__Isv*c3JB2flJ}f*&*MAa zxopHP?}Wpl9k|YEowz8%~gNZS=3vh{EzgF|N^ooA!a3li?zJ^k<` zvPUBs9Y$fANLO>6kyv(R-%kBxd-~&G*${PMbvlC!qlNLp?`s!U=GZUUJ8Unpqr?l2 zpp@_1@iWpqZ|KdqzUl_X8)by5# zZAUPe_Gr4UusYuT|M@qBvp$-?>1-8Q#^-c^_zk1pT3xQ>QUgu z-Vip*p@e^UKa6-J5h_P5u$9HtIm6ss4rJncaU!m;T6b2%!J_Ea4HJqM$BS7=K4)Sg zdq1*+7;=Yp;)MZs!r{o5h%d+a5UZWtM?98@k&9%3Her>t>95cxtfICt`{1+8LVjY$ z+=--38cw`qKswf9NS+s6V{>^Fg`Z0&5vy$Jv3G2{;jDamCv?Nq#O%9+MWfy_yG7lP z+1sCnwo48jAq6MOjJCPCv7s0S2 zl0AulijW^3c@7Upi8u6KD7TX9=9{f8GA=Tns|OJj@hpogK#Jt6frw;28~Zqqz$T6ElPv9;USQRMnik*`Hjq% zb)(xf%=&ag{c)C=x59A)#oSbTr&X?vU1y~>>jW2tFM z%7W3+MOn-;xzp;3qMVP|)v27Pw_yrFF6^2bVwNRPK!i^9ouB2Ob1Yhm&m$jdtq6E{ ztj0O@-2~y(@AL3>ws?ka1izP8=UbM(xr7uOhJpA2TcKkhopA=r=WRZlQQ|tgbOy<- z*L_fdZ{mIS4WnrY4Nj=o{vEccV7lVfyKEj2tax#ap)Cq)_RYZ(D##QRt;^%f8JPa5 z#>|H@PQnP;-;cr}r+V~`C4WiyNsX2;G{$4rRn*FZTl{6#e>+AwCFne`7*M2F;OpdV zvt_lbfJ&uPSG0=R@UJ%_8+7)5juClA@QLI8KQrUk?7P)%b}t17i%z#eTBtP`Mxpn0 z+1h6zKi~RKWF$FwQr4`&zgR_bqN_j@LZ3IOKh)Uz&KZ%bYQMeI^W8~bY?|or(7`9`Nz?|I3MS=Hh_wrq6uuGOxfIMnSrI;CW8*FWBflw4!qJjXXH^N{LM zkw&8mU-GxDile^!Hl~(TzisMP-blX3-nvJ;lvuDLRI`={d^4eO^^C{O zexuyeY?V6YA8SniB*cjGb<86+XPou9|E+l?`Z{J?57QtZPu_mG!@-_yK8h3}_Y`4= zf2rHTi74T$pAgY3%yZzk=i*!88JgP+Y%I2o7q82ld#nut97f5Z8##OfwDXV*vC#=n zX(_%z(cb2HVr4UBT%mt9kN#d`>F%D%dw%FV!_@OU_0V6p`Tjt1T6d)H^I;k%yeD}| zBOE4{WZ=d8;52xSbRw=yYhmQdi1JVdb`PA|2_rZ#!53iee@wmE^IofT!1U4M;hXB8hTwCb%AkL$9)t=q*k*Xxda;0f>OL^+CTf4pl(M6>CnY$ANinM3vKzihrqD~H($839qAUiqOxl%}h4;3K`#qNej>K3`qExPH)MQ(j`KG;%I+7(y zo$_OLbvh^#X@}E%8RxWJm`0g&tAa5)?P9_Fgk716hykdI{1+tPvZFA;sgoH-r-ViY zBh)p^c@brzI2BQvK_Fr`3Msb;G-wLN1xs#4vr{FaZIt-pkJ-0&&<7NLqjrb(DBmxD zEfuF|kt_4*!(>;B`?IDp5m7TYFXniFjMeKU2RQ876Eq_-J^u>8HrYG}o^-Zb{E)q) zm8Vwhsi=!ZXi>B%x9~2ze#=XaWWaawirgzypkfH+Sx5cM?>VnD7AY1P6RVx+Wb-@Q~Qh)&xsFDWG{iu+8`PF zz{ppBFvfc-h74cvuhfaT3s`mt@s-c!4WXB+49`f7++F{kW521Xwm(2G>q%HwL_!=C z*NrG$+*)|Sn8Sw%KEDh5Y}ZvC4_9ffOl;hNaJxrdQ zdUS(1T_tixUuAs(9n=ZaAi*et`9n1=tJt)zvN@w_fXB6D#i&ZRl~uI2dRaBM63W + + + + diff --git a/lab0.runs/synth_1/.vivado.end.rst b/lab0.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/lab0.runs/synth_1/ISEWrap.js b/lab0.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..8284d2d --- /dev/null +++ b/lab0.runs/synth_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/lab0.runs/synth_1/ISEWrap.sh b/lab0.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/lab0.runs/synth_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/lab0.runs/synth_1/gen_run.xml b/lab0.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..a815856 --- /dev/null +++ b/lab0.runs/synth_1/gen_run.xml @@ -0,0 +1,55 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lab0.runs/synth_1/htr.txt b/lab0.runs/synth_1/htr.txt new file mode 100644 index 0000000..4240d46 --- /dev/null +++ b/lab0.runs/synth_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/lab0.runs/synth_1/lab0_wrapper.dcp b/lab0.runs/synth_1/lab0_wrapper.dcp new file mode 100644 index 0000000000000000000000000000000000000000..9770d3831bd3f805a9301ead4b751752470b5a32 GIT binary patch literal 11987 zcmZ{K1yo%-x9-N>-J!T^fnr69ySux~#@#9IPN8UVcXxMpcZwF*NALOXyZ7+M%g$UY z_RS=7C1Ye}e{zyw;OGDV02(mp$1ORm0BZ*a0svgT3+8*NmA(O!u8V`dot?3RF3{1* zfWg_!$SN^fUb2S?J!s<(?RYMjszzeb$xMncWPmuqwx-x}V^B~YS%dS-oe4&NG1B(R z-s<@}aNIF0-_pIp#Y6D+o+*7jZ;5xkZtB)GGO-MmE<(T!6jTBu;Z4b@J0eNvp0Ff` z%3&Vzv((VZZD<9@L<#vs^^$hAS_QB_fn1t5B8K|B)eLtp8w^Y*gHnq`hTj-5MMyJ4 zcQ=5rnTW-QHfco~=iElVWjszOY>0zy=rw@7II7n2h>>SHK3kNR28Dd9q>VyZ{saTG z4)ttDRbF7X&WakfZEyPfac4fai0x5^eD^-qpxYRp{2!4l*r3MR7D8WWQmqh!mhJFjI=PyI*7)q_o6H*svQ z1auq;*_w67*sXijE@%ur7ehmsQW)cBdH?CsJL6)gBY36HoCq#u{_6NXvRT)X1d>MAz9Y?G{=S%>F?eoX)$x zsE~-J40l}%Qx^=Mwl&d&wb+^rdAvhlBmOLq1iB0-p1@!3DpHYDkPRpyiu6rMx$ldN+0DesK*S@}&FSPfA;21c;Bv zhm1Yr*K{jxhz;I98&bO!lwv&(sWfqJ-=x&zT;g9AYt?s2PgOR`_sf?os92RYZBQ+1 zE;I;k3NCD5CsG=4UH!RWr4};Ztbl>NV>0=Q(CWPeA&&;N=OZg$Z;jpGF0oQ>+&e)2 z{Xv7g6qwOr004Ik008yFeqaEa>Dw76s%b}P_F>$%)mKDURGePA`kX0DbMX&?l4yHy z3>CMc(+!pYNC84pd~bmPRoOu2`G4mo=h#B3GMUMc!89UlId6 zK4r_95xv5#5%d#1JW3d)SQhlTS?4o4*W61oZrI z&%|E32uW>nf-Qm3@SdOz-D89pcq*ti1@_KH_{MrjQ7zPV!JU-yto)u~xZcs|yM<@; z9SOm0rI4%2YWe7^{yluIC7R4U{@vEU8zshvn&(RG7}0L0P?(ns=RCpG8@=SHIh{%r z%M0{73OTV9Q?6;c^Q-MbT0($p@pJylXPD{gjqwFgG3U~#X9nC|_y?z?q;|e>0-1^a z${HC&AuZO)KV6UGVuB_;AzaoV_kZd*7?r@b?#;nf*vz2OUPiEQVpiAhmx`$K$>Ep~7S+HRrRtCke5bF$c z0548(4a7_G$HlbC^~#`}8tc@uBU#+N*%XX1udKy-fqT%3=?cO(gj2s}Gy+X?oSkr6 z2iKS_RE0cE^k<(t6>c%EQ#XR8D_%G?fPngvVOpt>a3KJru5Fr)*7{mYkk{X zr-9$RYS93FUD!oGaORA=XM-Cx^Q~#|=mH+^lr!V!6hw)lPDBrAWW76F-4Z7q?1>n@ z4D5*+JYkN0%Zya#fqCib?1E#3pV9j@v77_G11Bj0EYfl8!>$ z>vM09U{(J#?o0V)Gs>J(7A?m?v(I6GWs};Ihz_LM4n#9hHD2*(OFEYc9gfbB6@I!)#I!=x9U&l!nFh;p*Y z5BTaMgJ zqojCY9dwR-_FC#?0NS2AiDKD)!nVw5h-KQ%GQwS#1~Ng-Hg8E}sY$t9TvQk{yBUPF z(Hbi!K;L;QIdMv5#OS6=au?S@W0d+VM}pyi5OV9^ze(?-v zTeHc;|2=S2P9`=O_NN0W`rPG7YPS!IrhMQj3r!$m_ic&Q_6Aj+NP9^Pom=4S5PVZ< zj4qW?*s}}?K~9wxA^E(hH13sjvFE6`{S9ub%Mm?AV0xv~(GOE)g!VUFnv=?#wvM+9 z7;0A@pNALooD|Lite%|=yZ%@nB zF&nqLJwn4JAP+neS1TRM_InNG;tzu{S6jZ%(Jxn6Z&WoK z%duBeBzvSF4_V;jw-f72I;}J}pJ$^z@xA6r3WyVLX)tQ-l_rt~*xfd94FhWhj3WpS_jj8mYhBwF!zHJqGl$hmV@i?H19 zVH6ylczK3=L8D~278dY68&s4!(p)WxATxmFHU%<%3rXO#GS0pNjTuO3C?poXrDtqC z)_b-WR1M9GmUDjM)6faaX#Qf!(LkD+Xjc{co_;AKSOV;&HbdzMcwd^V)U98H12x9= z8UxX=mk}?y%D!+@0&M7eH6?hTMv!9Z7tjRQeg-U+V}Anz;`zO?J%xKVDCXQsb7ybb zb!!ZYCB<M8a{j3MIpfN>dMd=2Pr?&J7@4~)^nGO#+(+ioDw^` zvu?sWA+~&^4KTB|TcmRF4jwAIBr}7P7YKLS7xuKQnEGV1o~nx!p4Gk>vF6{80|x^U z?B!D+H4{l_CZ(#+S%V7=SjhqB;H>n3bBcH--@9nYCI^m+h^J#q|;=M#>CNM z@zK~fiL=sjTdTP5sd#fUcn4pCU|`rS*0~DMv`T-%F_fh?JY|sMvD#j8OCm7cJsp`R zN2Nb`;wmzfq&GaM9i=nfJ(B0u=*xVHTp95Zx@nEyC-BxNNM<>|o?&ULbxY1lTJGi1 zJJhSNxoyE3zZECT3HcrtaUUIR;TO2W^~Cu7$UKLbo)A2b)SS1f-o1ELS98Zt?HMJB zO@30375T>J-)E@xYPC-a?`Nm5cR~4hhBCJ?bbuQg9UGO5b`hr&k8l}b47(eZWRMt> z9-|c>wI3N`WR#?5nPp{WQJ|8cAC?*&DwUuc8l9-AmY|auAEc91W@3|Pk^|@ycSAuI#!J`e?0` zlyl^ct+^v9H^?_GflE#spfUJQq)%;QxeX50;O3)-MHAv`DR{9)J77R`ky_Cz=r zjaPFl;DJxg!X#|FQm1h<5 zu$KEU^Db&h`Jywh3zI%zj7Q6M5hoGXk@2x@0;>u=2`)LEI4!ClWZQO2zu$2Zr&y+& z3VX(TqFu}L(V@#d*~qIWsbmj*a4|LmK?l&7GW zh`S>!`jD{}7|sNLferBtQ_L5-7(eATSH;gyAWDwYU(Wfm!-64cxET1ygqz({qjYv# z`N1cV*t2^mXD3QnNEj4%%Y1P=2#LOCV}8XjN8t~Is(%fPaW>Hdd2H)iAR6QY=|#Z+ zuuRKgetwXS6U!Lbn4BPr=aMN-> z1k&uHIn(AeuD11D=o*SQ*w1oWbtCkll~TA*K*6^Rs5!fChZGlqWI z6YZ+gz4L-nKD=yr%s=-mVGWB!7v2}N5aaG;>b~>0{2dWIIFc?>+FgErUpW0D^4VnZ z2(gIP5Sc*fsP%a3>)D%4*s}Lwe8915P*5_S3a_4R(8!HRZEtqU`dMrW0fZuRKrEB& z`p>NKB|5=z(;|y+Wl3pyah4`vU)Z^_JP$+KX(5~rSlp7S@W8vy-ooSxmFMxU8G7Y7 z@SbXHM(mr*;?ZtTI5&R^E|+_Vj$sxBkz0?VZ&G7yrqcerbcJXfdr94CwOx+4A>h*4 zVb0?vH$P7m%c|A5B|T+7zA3PjZ7#<@dYs^$_OIo67w0^m7@w>dBA(^l46~3rK}nvMiWF5C!zm6Pk~cN0s78|gKVNKkRkKongUO@>H4?t4~%qJYKoyo zbT>&03S|0;S_Ix1l-)W%RHpnq4FohR>OHFCBxC{eOjQmeNBAa6jt+?3696i&TVcMl zV^@+!U0)#lQFx0Q{`#P{mFS|S^hQ_#!4J+o-_MKkUx?7bio<=;0rt7gMIdlIWSWP) zss7}IRHM*5{fOijG2-oLvKL9NKgB36^5{FEky6vSFI%lbpKi9O#vt zEURfZdxO^ykI1^=#w-=(ljO=t3JDb?1*1#ctJ64$p^Fcf3gHPO7JUlQ5cX%rPqVzH zuM^0iQ>E6l2so3=w=|n=xOR@Q^X-=Y+iTy%FvTh$owH9a}7oX^Al$Q!BA&g?2dKpLH$l zNeog7gn+JOCm05)uU9InP$H&$gh+0)iP7i$*6Cu(hE$s)e3<^ z9y)YUvpV5Yedn${zgSId7h2e{nk6q6YLnIacH#p$45kFApQVYmDpaUvb9NWEorkH< zqm@t2ZJuu8a}~;6z?fAip@lF!;iqKAgy0@lJJFc@UFJe>7BRw9AC-V=*c2y<*)+m3 zzf7?>%{eI%ag$wNPYFXDV4-i}72iJjbtn^kwZvH!HkP`Ek(}F)S|L!iqr~3^O`ajA zLqRa^OMyYK1*Ozf*b^f2=s7VBsLj3v)yCM04SI>Z+e)MX^Yu{I$hnApUvE;gpTs)( zFr+}`%a}0LERAGE#$4M=>I&Bv`z#<<7%>Cm4BYmz@nio^XnY&YdAjsd8;s4GQ^W*X&Jh_J^Foiq-$x!^Od{2}$o?WLrYIfN2S{rh-@M+O(tiL`O zb3C?~PcleFwUi9g@Yr&P>+J$+l-&)7S+2?#NO;w$KVFmYV|WMBhl*fVm}tCsb*DK= zL0P33-+@`oS3>qN@wtqp@x6ewQoPJQruj_yb9gG>dWPhT6yKzkbJyLPie93%p;vEW zvmr*O>1~PU`0kj11Yyzrz+jCDxL{2luk}+wh#p17&n)r#)q12r`kau|n`9MM@l8M) zp{q4Qn73ayZVk+CpqSg$X&_ZSR%b1tO`L=ls2kJa{i(PaMNTrQ#GX7JeoQe#(%UA! z2+?4la04=jPcv|ug2^V|sx*O>Z4^QEEh~MrLioi8s!_Fi#C(^@I>et*B?weJEt^Z~c-0 zvl*~8``X0hQXN#`qkRdkJ32JCQ!dbIOkf5RceN9~5xg`Q@cP%6KXhp5+6k{O+2vup zo@c{U{rJ`!sk5WAdMm>Z?PpC3FVCra5Ddv!7(?a3&V!Vw`owj5A`tkC(~l8+Rjgb9 zUZ`BC*A&@?s*{x2qyo06T+R^xDcT8|jDNEVKr;fNa}(5e_@w`7g1=T|+Klb;D;U`+ zK59FoHkTJ$n$Q7qIWw8r{8Y*stpl3^x#Hi#f(47(TC;EEKZY!~IU)>Z;6zoTcwwaR zTf~i)QGSc-Z7)i1PepU3_q`lvjygFDgW&N=w0Y@L zi`(b1VyZt&wu2M=1PQ6PE6dkH(r1T-B{IljaL^AdZ)#`J$@DzLM-~$d1d_ z9Drt5o9Wz{1sjY*Gf#9A$q8#~4tD-<8m_fF)3e3YO46HD)kp~2mg;6$pn#blQ;Aj= zB+%+Ne9iba3337E#Ar|1OCey0o=cgFMzm{|9vk9dK#n+Zdr|!&J2ETgY0dRl>OA+) zHF_H0gggaunIX^7C-3Euc_d;-njV#$nyAaX@cSvkddw{P^usCj0oQ4WDl`A_=@c|a zk$$Pk_k#5xqx$RBd1phg+C`ew)QP!p#qWzmSVSVL4?&|x3voVAVJ0Cy8Isu zRzEd)*C73Ej-jNUmImL{P6xcZsSYzBa`cYrA_Up5lJk(iR);jog^J!H50FIvo_c^6 zm32-((LYGbuU|4*NoL`jrnkod)j6OCZ4F)8P0PPselLZTrHk@iz)1(#8Zb4P6d^P$ z-I8}T1a;M&!j}`^X{~f`4gzT%D}iHV%MZ71b*WQP2<6uLb`zY0>NSG`k=&yqU}N?Ie+vx68I zJFO@w8HpgHvqWPugcASeQ{uv!YXY(Uqp6Ad3KmGiFLtvh2P*SOuO6z4mlWA%qHf!&69}e zWySu-<#Y2EXGfz{hG+7_+XsKHr>DBA=iQHce!rOifBZQYBa^-a0Yp#|c;UNDNU9ft z#&LKun~ZO06Shv<{fX?62y|2lt+g>OL<=i!9oL?qfy|Cf^RF80By3hZ`4R&Clh`TE z>oNJqOTC-Qac7k#US7X)&8k7U_cG(g-=_Tzm|ZMT9H(ZNLq9E^9(u~{l~gLx^X$(d zH9NQWPkpI%_PRqA$U4`>nsNhy=JS!c6FZi5RGm@3&n&OGc(F3g_IMoczGKV$alXUD zy)oeK+#Y>L=PCOK=RWHU?`ig@o;v5;^@j;du58e;AOZmN?}GHvfpxV3p+}s)RI_qb zVdW4OBz_MpMr0 z9!?KdUsVM!NSx|K);em0Ly=17Lwx=xhA1X0ru6`7ch@}giq=8Kp~PlmWzL!|J``Dl-;8{E47 z<8McsUP4t-p&h2UZnPh(HgJkZASe+wVB-)nJ*SWLX`aZ#M$r|7v)>0dbSH5s7 zLZaR5E7N^0x5{~Sp?tM5)o>3wy8P1D63;KTU1bWZ`dd}qTLL$W88L%tdXa^(UkB4m zy)8Y`H?GYKQ+4TEmt9W}7H|^2I+kPVShrqH%jxGTJS>~Uwr#6;4;|^Cuk7`?&Agep z5xMbp;b0~)vhF8Ug%?X$^6Kud^)vsVs8d&K9l!&|3uvddBeaX| zWCYnjb_KI*=uGzw0bhsol-wlfR0K^1xLimMWF6n{Ol(!jqQAh~No?8z(m{O?7ExVO z;one>j=4KWKo15d*Fkkq?z64Zb~t=hA=W>wF#yJ);T!HNJ3V}fpj(j|bvIjj^W>a( z=r8b@k3jS^H!A>0uspJ>F42uJJXl1?_c4uip!czzaJ`=|G@e5{??O+a0nyM}5E*E$ zv5i;Q7eao?-!*l?yy-5$jtsi_Bbnq#;Y&&3XQ9D9|0nlP@&}U~CHyQ5m{WJBdpExb z_To3}Lj6_&+Z}pj+Y1>M@Jq-*3Eg;lyC#eDm%?>g^Xg{aF_>h3&xn_(1s%5|nG&?q=P1vqv2f#pf&m@l17jCGZRk*Mwd= zJ1RbUrd}aW5xqiYK2cCpDZN91=giK@oqI38s<{@>H_VGqFrD&#em# zE>}QTSfm}ExjW%N10Z(dL4S$A`YlGu#{49_!)}lL@92lk_YHnS41R+jo`@nC39TnY zd%U+T!_V)Rt*aWwt%YyN^bf*FI2{Br&Lf8C{)6{~^3e&QiJ&vHI|eHWbKcf;B^|OEe8Cpt$&C& z!vg2Q4OXyZS!WRnZ0xVLJa}yBstcxad~WUU5(Wsg+=46YBqEJr{c5Y0|2c@~zLh3KK*k2ph}s$wSXf-Dahacv+2tH%CQ3^VZa zcA>NXMBhd22(vPWI6Wgli(Zpinr?v70?99Ok%ITgaG9i`n@zsfkYgkm7KaNE1!op4 z^9x)@#~h&qTZd&Is`3+5RO?Yrij5OCZx9;@O4T3E0coHLv}Edu6Mm_t`lN=tc?y}W zy&Aeqm|lWIVyfyd^&BN75DoT12 zd1NlIyK>t{wXTfzVdDG-UgVF3IVrE4Wtc46uC@ik2R3A2j~9LsZ%lS-S|EQW6f@(eS&YOb zsGbu~{(@^G7@7AA8vkKH@3hAGdc4)ni{<40TF@y)%C|!)5=C9WcPTHJ_X=U(!P#Vx>mVFJ>Z73E zHC5`Pf-hQYOc5hwp3m_KL~Icw*0+N8Br2RQ!=nBOu|02^r~|7tZiYH>P%uE0rBa!_ zsxTepO{%SjV2|ghP@nIf35~)R=v{4RBgaxi3vNQYC!O^EzsRDXuZmJZse!7u&&|Jy zzk#!u%3wM*2{#i@@~Y1sVFrOmpN-_?(K&oVS+Rx=FZ*e!lAiIWvyxb}#O(-k>d@iy zbz|Bl*UNxDK_NF5_VNMjox3(VrZ;<7`?tvYR-%=B;;y4Mc-E zHZH|@cZ&vUw^tNf12LAII*Ebg3pPk&w7E*3TPHH+X>QM)wD3+*qQ z`DGm4Pdr6skVWW$?KiZW?WhM8i8xCAMSWH#7ff-4RNXOB1j;1ESlw|hGRl^>zLMv1 zRg`W)bX>(aFNN?FeM%-4O%>-eb#%RWErI9Q9HPEQdA;y^QrW`N2bz7N#{FItr^by& znQN7?05;@F6faSb`g2E>dBLV@U4;gF#m+p!Y!q0X%as$z9z$kzc~#fEwyPe zKN@*oioXij9O-zw%N*5aOo#m0svLB&i%9wM#hizz9Ls;iyDLR^@)#@P2?9;xC!9cw zF4HcSBbUEzw}Aa1)PR>WHNMUK0p>x{3SW?BT+E~c78F#o1S`%=#?a_^SeE%!0VCl> z!6hAv494j}R=v5J^=|jPp6U==2ox)&&#Pw$N60{eot}9`;r=V_FxiSScTH+U>ciOp z6FgirU&mVqe93TnkD@ZCZXE~TUgadP=3*cO^6Py7iSs4<^CdgD8*J$tNQsarzJG0uZq@h?&W_-pW0Efl0E#$ediD8=Y`1eDqTLjp}*E8 zP*A=Z#raT=FOcU}a48g}Y4nw54xOa*?~J^k!m)t;iZ46$KU zuYA1!s?ky?*%uB}?RaA?qikg=Q|2EURWdNXmAMXL@UH-+5HJ3AU1IpStGB_Gqfqih_3kf{P z65j#8-tGJU+*ddl>3c(GEyVSdOX5IMt<|r85uYh#V7kn$L1PT< zB6wZHeB*&Sq4RYUpRN8y&(UFIS^o{}=H|0I!k!j2|3d_*Cx}_302}0#oF#k?^Y{80 z+yFE9MmJD7Nf1zUu>U_Z_^%b-=L4wq`&ES-?WlUBK8{Uf$H+T!2N!UO`_<#(_!N0m%D*093m9S^xk5 literal 0 HcmV?d00001 diff --git a/lab0.runs/synth_1/lab0_wrapper.tcl b/lab0.runs/synth_1/lab0_wrapper.tcl new file mode 100644 index 0000000..10f6be0 --- /dev/null +++ b/lab0.runs/synth_1/lab0_wrapper.tcl @@ -0,0 +1,38 @@ +# +# Synthesis run script generated by Vivado +# + +create_project -in_memory -part xc7z010clg400-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir /home/comparch/Lab0/lab0.cache/wt [current_project] +set_property parent.project_path /home/comparch/Lab0/lab0.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language Verilog [current_project] +set_property board_part digilentinc.com:zybo:part0:1.0 [current_project] +set_property ip_output_repo /home/comparch/Lab0/lab0.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +read_verilog -library xil_defaultlib { + /home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v + /home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v +} +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc +set_property used_in_implementation false [get_files /home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] + + +synth_design -top lab0_wrapper -part xc7z010clg400-1 + + +write_checkpoint -force -noxdef lab0_wrapper.dcp + +catch { report_utilization -file lab0_wrapper_utilization_synth.rpt -pb lab0_wrapper_utilization_synth.pb } diff --git a/lab0.runs/synth_1/lab0_wrapper.vds b/lab0.runs/synth_1/lab0_wrapper.vds new file mode 100644 index 0000000..7240de5 --- /dev/null +++ b/lab0.runs/synth_1/lab0_wrapper.vds @@ -0,0 +1,294 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:10:33 2017 +# Process ID: 18956 +# Current directory: /home/comparch/Lab0/lab0.runs/synth_1 +# Command line: vivado -log lab0_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl +# Log file: /home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.vds +# Journal file: /home/comparch/Lab0/lab0.runs/synth_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Command: synth_design -top lab0_wrapper -part xc7z010clg400-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 18974 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:31 . Memory (MB): peak = 1135.645 ; gain = 37.996 ; free physical = 271 ; free virtual = 1996 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'lab0_wrapper' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] +INFO: [Synth 8-638] synthesizing module 'dff' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'dff' (1#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] +INFO: [Synth 8-638] synthesizing module 'jkff1' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] +INFO: [Synth 8-256] done synthesizing module 'jkff1' (2#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] +INFO: [Synth 8-638] synthesizing module 'mux2' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'mux2' (3#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] +INFO: [Synth 8-638] synthesizing module 'FullAdder4bit' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] +INFO: [Synth 8-638] synthesizing module 'structuralFullAdder' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] +INFO: [Synth 8-256] done synthesizing module 'structuralFullAdder' (4#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] +INFO: [Synth 8-256] done synthesizing module 'FullAdder4bit' (5#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] +INFO: [Synth 8-256] done synthesizing module 'lab0_wrapper' (6#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:36 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2007 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:37 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2008 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/lab0_wrapper_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/lab0_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1454.352 ; gain = 0.000 ; free physical = 68 ; free virtual = 1754 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7z010clg400-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 154 ; free virtual = 1841 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:43 ; elapsed = 00:01:49 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 146 ; free virtual = 1832 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 9 ++---Registers : + 4 Bit Registers := 2 + 1 Bit Registers := 1 ++---Muxes : + 2 Input 4 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module dff +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +Module jkff1 +Detailed RTL Component Info : ++---Registers : + 1 Bit Registers := 1 +Module mux2 +Detailed RTL Component Info : ++---Muxes : + 2 Input 4 Bit Muxes := 1 +Module structuralFullAdder +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 2 +Module FullAdder4bit +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 80 (col length:40) +BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:44 ; elapsed = 00:01:51 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 130 ; free virtual = 1819 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 63 ; free virtual = 1699 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 62 ; free virtual = 1699 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT3 | 1| +|3 |LUT4 | 4| +|4 |LUT5 | 1| +|5 |LUT6 | 2| +|6 |FDRE | 9| +|7 |IBUF | 9| +|8 |OBUF | 4| ++------+-----+------+ + +Report Instance Areas: ++------+----------+-------+------+ +| |Instance |Module |Cells | ++------+----------+-------+------+ +|1 |top | | 31| +|2 | opA_mem |dff | 9| +|3 | opB_mem |dff_0 | 6| +|4 | src_sel |jkff1 | 2| ++------+----------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:51 ; elapsed = 00:02:07 . Memory (MB): peak = 1470.367 ; gain = 95.512 ; free physical = 163 ; free virtual = 1756 +Synthesis Optimization Complete : Time (s): cpu = 00:01:07 ; elapsed = 00:02:49 . Memory (MB): peak = 1470.375 ; gain = 372.719 ; free physical = 165 ; free virtual = 1759 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:01:10 ; elapsed = 00:02:57 . Memory (MB): peak = 1470.375 ; gain = 385.312 ; free physical = 132 ; free virtual = 1726 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:01 . Memory (MB): peak = 1470.375 ; gain = 0.000 ; free physical = 123 ; free virtual = 1726 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:14:17 2017... diff --git a/lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb b/lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..a7fdd244e6fd8cddbb0d2bea75aaa58b43053345 GIT binary patch literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=pU^)K) literal 0 HcmV?d00001 diff --git a/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt b/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt new file mode 100644 index 0000000..cad43b7 --- /dev/null +++ b/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt @@ -0,0 +1,175 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 16:14:16 2017 +| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS +| Command : report_utilization -file lab0_wrapper_utilization_synth.rpt -pb lab0_wrapper_utilization_synth.pb +| Design : lab0_wrapper +| Device : 7z010clg400-1 +| Design State : Synthesized +------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs* | 8 | 0 | 17600 | 0.05 | +| LUT as Logic | 8 | 0 | 17600 | 0.05 | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| Slice Registers | 9 | 0 | 35200 | 0.03 | +| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | +| Register as Latch | 0 | 0 | 35200 | 0.00 | +| F7 Muxes | 0 | 0 | 8800 | 0.00 | +| F8 Muxes | 0 | 0 | 4400 | 0.00 | ++-------------------------+------+-------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 9 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 60 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | +| RAMB18 | 0 | 0 | 120 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 80 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 13 | 0 | 100 | 13.00 | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| Bonded IOPADs | 0 | 0 | 130 | 0.00 | +| PHY_CONTROL | 0 | 0 | 2 | 0.00 | +| PHASER_REF | 0 | 0 | 2 | 0.00 | +| OUT_FIFO | 0 | 0 | 8 | 0.00 | +| IN_FIFO | 0 | 0 | 8 | 0.00 | +| IDELAYCTRL | 0 | 0 | 2 | 0.00 | +| IBUFDS | 0 | 0 | 96 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | +| ILOGIC | 0 | 0 | 100 | 0.00 | +| OLOGIC | 0 | 0 | 100 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 8 | 0.00 | +| MMCME2_ADV | 0 | 0 | 2 | 0.00 | +| PLLE2_ADV | 0 | 0 | 2 | 0.00 | +| BUFMRCE | 0 | 0 | 4 | 0.00 | +| BUFHCE | 0 | 0 | 48 | 0.00 | +| BUFR | 0 | 0 | 8 | 0.00 | ++------------+------+-------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| IBUF | 9 | IO | +| FDRE | 9 | Flop & Latch | +| OBUF | 4 | IO | +| LUT4 | 4 | LUT | +| LUT6 | 2 | LUT | +| LUT5 | 1 | LUT | +| LUT3 | 1 | LUT | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/lab0.runs/synth_1/project.wdf b/lab0.runs/synth_1/project.wdf new file mode 100644 index 0000000..d58966f --- /dev/null +++ b/lab0.runs/synth_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323562623438393533323462656461633364623562353432306362376431:506172656e742050412070726f6a656374204944:00 +eof:1407798516 diff --git a/lab0.runs/synth_1/rundef.js b/lab0.runs/synth_1/rundef.js new file mode 100644 index 0000000..f571842 --- /dev/null +++ b/lab0.runs/synth_1/rundef.js @@ -0,0 +1,40 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; +} else { + PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/lab0.runs/synth_1/runme.bat b/lab0.runs/synth_1/runme.bat new file mode 100644 index 0000000..220ba68 --- /dev/null +++ b/lab0.runs/synth_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/lab0.runs/synth_1/runme.log b/lab0.runs/synth_1/runme.log new file mode 100644 index 0000000..73224eb --- /dev/null +++ b/lab0.runs/synth_1/runme.log @@ -0,0 +1,293 @@ + +*** Running vivado + with args -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source lab0_wrapper.tcl -notrace +Command: synth_design -top lab0_wrapper -part xc7z010clg400-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 18974 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:31 . Memory (MB): peak = 1135.645 ; gain = 37.996 ; free physical = 271 ; free virtual = 1996 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'lab0_wrapper' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] +INFO: [Synth 8-638] synthesizing module 'dff' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'dff' (1#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] +INFO: [Synth 8-638] synthesizing module 'jkff1' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] +INFO: [Synth 8-256] done synthesizing module 'jkff1' (2#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] +INFO: [Synth 8-638] synthesizing module 'mux2' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'mux2' (3#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] +INFO: [Synth 8-638] synthesizing module 'FullAdder4bit' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] +INFO: [Synth 8-638] synthesizing module 'structuralFullAdder' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] +INFO: [Synth 8-256] done synthesizing module 'structuralFullAdder' (4#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] +INFO: [Synth 8-256] done synthesizing module 'FullAdder4bit' (5#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] +INFO: [Synth 8-256] done synthesizing module 'lab0_wrapper' (6#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:36 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2007 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:37 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2008 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/lab0_wrapper_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/lab0_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1454.352 ; gain = 0.000 ; free physical = 68 ; free virtual = 1754 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7z010clg400-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 154 ; free virtual = 1841 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:43 ; elapsed = 00:01:49 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 146 ; free virtual = 1832 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 9 ++---Registers : + 4 Bit Registers := 2 + 1 Bit Registers := 1 ++---Muxes : + 2 Input 4 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module dff +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +Module jkff1 +Detailed RTL Component Info : ++---Registers : + 1 Bit Registers := 1 +Module mux2 +Detailed RTL Component Info : ++---Muxes : + 2 Input 4 Bit Muxes := 1 +Module structuralFullAdder +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 2 +Module FullAdder4bit +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 80 (col length:40) +BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:44 ; elapsed = 00:01:51 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 130 ; free virtual = 1819 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 63 ; free virtual = 1699 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 62 ; free virtual = 1699 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT3 | 1| +|3 |LUT4 | 4| +|4 |LUT5 | 1| +|5 |LUT6 | 2| +|6 |FDRE | 9| +|7 |IBUF | 9| +|8 |OBUF | 4| ++------+-----+------+ + +Report Instance Areas: ++------+----------+-------+------+ +| |Instance |Module |Cells | ++------+----------+-------+------+ +|1 |top | | 31| +|2 | opA_mem |dff | 9| +|3 | opB_mem |dff_0 | 6| +|4 | src_sel |jkff1 | 2| ++------+----------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:51 ; elapsed = 00:02:07 . Memory (MB): peak = 1470.367 ; gain = 95.512 ; free physical = 163 ; free virtual = 1756 +Synthesis Optimization Complete : Time (s): cpu = 00:01:07 ; elapsed = 00:02:49 . Memory (MB): peak = 1470.375 ; gain = 372.719 ; free physical = 165 ; free virtual = 1759 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:01:10 ; elapsed = 00:02:57 . Memory (MB): peak = 1470.375 ; gain = 385.312 ; free physical = 132 ; free virtual = 1726 +INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:01 . Memory (MB): peak = 1470.375 ; gain = 0.000 ; free physical = 123 ; free virtual = 1726 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:14:17 2017... diff --git a/lab0.runs/synth_1/runme.sh b/lab0.runs/synth_1/runme.sh new file mode 100755 index 0000000..7d7198d --- /dev/null +++ b/lab0.runs/synth_1/runme.sh @@ -0,0 +1,39 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin +else + PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/comparch/Lab0/lab0.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/lab0.runs/synth_1/vivado.jou b/lab0.runs/synth_1/vivado.jou new file mode 100644 index 0000000..2de2e21 --- /dev/null +++ b/lab0.runs/synth_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 16:10:33 2017 +# Process ID: 18956 +# Current directory: /home/comparch/Lab0/lab0.runs/synth_1 +# Command line: vivado -log lab0_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl +# Log file: /home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.vds +# Journal file: /home/comparch/Lab0/lab0.runs/synth_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/synth_1/vivado.pb b/lab0.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..c8df476d6288f5051dfdff0003b4533dab00b6d7 GIT binary patch literal 31641 zcmeGlYi}FJF(+Aey>Sy2ZCuAmlT8A}wj5G-$0Ltq<0O)7*-ETfm0yX{L^zWx>1^_j zxI5aCK?FsCK0XEg($4{k76|%EQM4#hAn3bA-$e@)O_vGF2C|UB+ z2?fI>kH@*0*_qkd+1VMii4M%_&8DK&t9Zgh<9iN|6bqC4udCOUx^C4P;u$2Fo0_%0 zQYWUmrs15Ww{Szb!mr#glvaxvIM-4P3vblqo4ml+8fy~I=LB(IovbSDhE;XnERRV! zK`5->)!MVM=L_6j<0Ghw4xYE*$Evo5gAbu-j0#dEfCv3`K@@_&{tAblnYJv_Y}o)T z9j_4!E4ZQ7h-MPJsvCHfC|27b_{fBL1Ot&%aIH?R!yWb|`#}Z1Jh`_9Owcv)V`%?* zN-fZ8MoADKMfW&#<`|*TdzSYKa;_lBK}^5MA^x;(Z4I-r!=nX(-+9a`fZ5o_7#o2< za%g1296vBQX7gWsi$h=LyfIn2a2B6}vDOX6Qgsbi@ddR>@KN(v71vsAd;;@)75)h# z{x~KLrDc-3cd;PgJYFD8-PpuO7iQomEuvh5uR#ck`I1zG->fOB23HDlzEUZ{=T(Cc z+*;o>)tb_PFGN{zuU=OTt8HHt;9eAEJdkx@;{YS;PaHaA69nUUlS)@pueTd)%*TPT zr9ydfOjpcJQ^e>%P@1D~#TU`+)VkgzQy?QC>b3Q$vmpLc^jCS)sF_oy-ZpB)ToI!~(N&8DG)cS{++@6-zjWt<4sxVpX%q8hjfs0B8d-0r<=a znyBjbh^RWr@7?lRGSaPKyk!$xMF2<&b372e%rs$Jc2$r*KRbX>vdvCS5zy8-X-+pwq{3#!c3kP zrLCBREll@E(1%USXxG3iR2m*_w~sDyD|EfKO`+|ZNRVMEhV}%*w@X9anAA>##QIdqE?`Eoe%K z3mRF@3&91AT**uE3z{+?UC@X;FUR|{-h$?2*i(K29YRZlt~K!NI;ma5&l9VmnpQQ< zFK&n7yo~0L=j=b{g?$KL^1pK5xvzn{kH-_>Ipom?cd-4}nTHiTd+Yw+Ib|5SVdBnZ z#n52&2Jc`=YPI3@Br$7-3JZQ%jk3SamLKe3A4h~kkpGqY&V9W#iUk|xP7}f+>Pp>E zuM=%WBNoubXyKh#jQP%@V$#)gXMx^~+0B^UJg5}E8Wyfqv=m_1&b&<_{cm02j30C8 z8(c{H&w=bT)tfAyvAC{4oJdHHYs8uXdH2jfIi5bx3}nyYOX%LSx>Bbx6+7$)!6R5f z3q^TyFN>>*kD+_hV}W}`At&)P80dwfo_xw2(LQpSFm@l*V zrd*l4n*~+dmfA4ISJ0W>o^;K|KNx57B2vpQ&YW9WP)rD%0ph(R3_xu(@D+j~;;kEChG{I>4JexUO54IWoIBdoN<$PA zLVp3Y@)*!mY8HIwKhnesphe}H{RG0y0W55x77GPWqQp<`oIu3*aw2*t|AN}E`On{15Fw_%6E*sLPQ+6aONMy#NT=XLD-j<6vxnE-&UGbp5g)f2qsWUz- zwKXt81m>&g*oY6J53p!F&&PcGFuL3QHqXaJ_~7T@!bzkc%}D?2Rt$`ZF;5!S*5SIDr1s ziGE)5EKodmy~R!Tv^^Vky}`D-RDnYU%fc>?Y~bnncb7K)jze$x+UU~OW5g1D`k`*J zeR;4B10=u8Vfmdwd8V7wtyW``D&rB8ShjaU3~Td9#GQ}MKZ8YI_7>v5T^a>}vbTMW zf=rHn_?(GW(M7oJNd;#-Nr<;$1LRR;Kjwe$8uOoU=$rnS(_m;!I7CbyWa@i_(>%bf zsqBPXBYD{$!9gyF13$>8!iFn{?so&Tl)ZFd>@GqQO zGShz>btd*hd|d>Gz6F6B`%l0#s`C~;Gq5Lc#r`e@vlC&=CeVi{W=mv^#&uxVB9*jw z^cY^w|B{Pd04!v~^@Cm?&^_Wq(Anlh3h|XN@vy5(iC<`MfUVdj;h}Ny2&bevU?F3$ zAHuU&fpcNz$mlUIvU=z0b@pt1G0fI!l=E%n8I>3`CDYCq^=-_ZWH_Tz6Cx@sIj})O zH+@sIG-^B-SpF+ygr@y=hwXTF*0L|7Q4P!1qv#%oQ+6WcdyrQLS{yUkpS+J)QTRQ2Vx%!HXXi4HnU^HTI^`r-S5U45CY>?h@y!UHyo zrb50>XbOv)ms4i2>

sgQy{4evcjXULx$&33m2I2cDmwX0a%5`{gRS6e7f|qLb$r zAx;7raz|@=12-Vi!dkCN{4q4MG`#@d2x9mv43}qwGM0GzU7>_UxOvb+!BGdx)0_}P z?wFCyUQ?n`D5fd1hHjd8hUS4l`hdN+Hw~E}p{VD;%S-m`{ZCgI`S%?9z8^;Jhsh8d z=uWC&*$7fJ!%nCc6Hg902?~Aflow($ZH2Nh_&eppkaBRFes=@m@1cYBe<}P;FnvOmrw5?JyJ=z->|uOv*;bN- zN^vs-&Gb%$~VXNgbxvgcY7f@4dgkhpi_By@%F8PlsJN zI1A4V-26F605Ktb6w6k0vv|YVa_3WJli0O)^4T1%6>=h^FOm5T$Kx7Q!*UB6@`B%^4^!(x9Q!*|urvO$_Y|3>B(L zk)h_Ko5O#0O*uc~(6{_4Cz-WF-MOQ5CtAuTan6B&?oe^k6(^-CW^~G-d>v}d9i=r% zp4w#t>QBIg<8`&ctZwkYdur3+DfAe+&)xl>X(+X8GddhOPd#xSwI#hay3@AJqtKv^ zhKmh`02;wtD4h|7wzIh13N*%iuPac1`LhHHV_r0sHR#0>FGF4h9(JbB0|ICIoD>E2 z;(pjN6t{4+jY?-0PIp*IbxL+07j|5)Gjnn7v{S`dNT`|81D6gvBiT87@j~GipcL#f z2^5OtK#{hAi{wEm-U2Q~4@${_B5nhh5`!{#a_N)k7R+x40itG$1+Z>4xYrJ=K(M4Wq$-7XyE)bFR3hhtf=96r`)29&S*=oE0DFP=!q| zDHH{{8(2DeOPkrTKezO{{aQ#;Vy`|;6iZi$F_fyFUTH#6`wlI)0Dla0lH~RR>1Z?s zIpcv`;a!lW1ZC(2(ot`Wp>^4cNgBArN*$zVX%CRMJUoLQlu7cThAy&iH&TO{M%bTQ zMsvPPy6X1lxS?9>n8(EK|L_F7gJus<{t9w;Zn&)=Xn|JV`xsZ(IavAeFDz!@ojU#j zhrY`B4-TH^zVQMSAzi_^ z<=1b*-n7#mfb{_=@?JG?)(0R|(wm^%G$em*+HD}A0C#t#a9Y_Ns_MX+!Y(RLL5>LW zbEP~v z3LP0JcVh5OxV*f#02SB69WAr3`TlbbodyzVSvvCxO03&;#{Mwt8X)(oeTp3Ja(dJd ztwt9h&~E^0%+v0QfFK=eMM*Cl^LJPM| zhFq|vE^U2kE0xpQH%7|o6GA;P-W`Yn`B0`QhzF?bg zIj$kt1885NT+A1QxI+2RKvXEiePNfwhYlldJ7m8vGHn>#RSy464s0KEhk((vI~PNr z8t6s=`AutXdXBAzqa{)B8U#OtP>uG2YU$A6B>EWfSyW1FFJiPcXk%-)c@{zqA@cQF zD++6QAD|4195S@u<69{z`R!pVpKyQdtxBY``$1dqIk zaR*>!u>?BcvI{J?*H+|H9Qw$q4HhQ@jw=@MJ)dVB7WtC<6Y((Gd&%yL9p#7!9l0*U s#B`ptplLA{N>xFs3NjQV5n=?)?tlQ0gVV=72%Q4gW(g(+qdw049}_vR!2kdN literal 0 HcmV?d00001 diff --git a/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc b/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc new file mode 100644 index 0000000..69c380c --- /dev/null +++ b/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc @@ -0,0 +1,146 @@ +## This file is a general .xdc for the ZYBO Rev B board +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used signals according to the project + + +##Clock signal +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L11P_T1_SRCC_35 Sch=sysclk +create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { clk }]; + + +##Switches +set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=SW0 +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=SW1 +set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=SW2 +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=SW3 + + +##Buttons +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L20N_T3_34 Sch=BTN0 +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=BTN1 +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L18P_T2_34 Sch=BTN2 +set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=BTN3 + + +##LEDs +set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=LED0 +set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=LED1 +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35=Sch=LED2 +set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=LED3 + + +##I2S Audio Codec +#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports ac_bclk]; #IO_L12N_T1_MRCC_35 Sch=AC_BCLK +#set_property -dict { PACKAGE_PIN T19 IOSTANDARD LVCMOS33 } [get_ports ac_mclk]; #IO_25_34 Sch=AC_MCLK +#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports ac_muten]; #IO_L23N_T3_34 Sch=AC_MUTEN +#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports ac_pbdat]; #IO_L8P_T1_AD10P_35 Sch=AC_PBDAT +#set_property -dict { PACKAGE_PIN L17 IOSTANDARD LVCMOS33 } [get_ports ac_pblrc]; #IO_L11N_T1_SRCC_35 Sch=AC_PBLRC +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports ac_recdat]; #IO_L12P_T1_MRCC_35 Sch=AC_RECDAT +#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports ac_reclrc]; #IO_L8N_T1_AD10N_35 Sch=AC_RECLRC + + +##Audio Codec/external EEPROM IIC bus +#set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports ac_scl]; #IO_L13P_T2_MRCC_34 Sch=AC_SCL +#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports ac_sda]; #IO_L23P_T3_34 Sch=AC_SDA + + +##Additional Ethernet signals +#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports eth_int_b]; #IO_L6P_T0_35 Sch=ETH_INT_B +#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports eth_rst_b]; #IO_L3P_T0_DQS_AD1P_35 Sch=ETH_RST_B + + +##HDMI Signals +#set_property -dict { PACKAGE_PIN H17 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_n]; #IO_L13N_T2_MRCC_35 Sch=HDMI_CLK_N +#set_property -dict { PACKAGE_PIN H16 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_p]; #IO_L13P_T2_MRCC_35 Sch=HDMI_CLK_P +#set_property -dict { PACKAGE_PIN D20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[0] }]; #IO_L4N_T0_35 Sch=HDMI_D0_N +#set_property -dict { PACKAGE_PIN D19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[0] }]; #IO_L4P_T0_35 Sch=HDMI_D0_P +#set_property -dict { PACKAGE_PIN B20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[1] }]; #IO_L1N_T0_AD0N_35 Sch=HDMI_D1_N +#set_property -dict { PACKAGE_PIN C20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[1] }]; #IO_L1P_T0_AD0P_35 Sch=HDMI_D1_P +#set_property -dict { PACKAGE_PIN A20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[2] }]; #IO_L2N_T0_AD8N_35 Sch=HDMI_D2_N +#set_property -dict { PACKAGE_PIN B19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[2] }]; #IO_L2P_T0_AD8P_35 Sch=HDMI_D2_P +#set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports hdmi_cec]; #IO_L5N_T0_AD9N_35 Sch=HDMI_CEC +#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports hdmi_hpd]; #IO_L5P_T0_AD9P_35 Sch=HDMI_HPD +#set_property -dict { PACKAGE_PIN F17 IOSTANDARD LVCMOS33 } [get_ports hdmi_out_en]; #IO_L6N_T0_VREF_35 Sch=HDMI_OUT_EN +#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports hdmi_scl]; #IO_L16P_T2_35 Sch=HDMI_SCL +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports hdmi_sda]; #IO_L16N_T2_35 Sch=HDMI_SDA + + +##Pmod Header JA (XADC) +#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { ja_p[0] }]; #IO_L21P_T3_DQS_AD14P_35 Sch=JA1_R_p +#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[1] }]; #IO_L22P_T3_AD7P_35 Sch=JA2_R_P +#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { ja_p[2] }]; #IO_L24P_T3_AD15P_35 Sch=JA3_R_P +#set_property -dict { PACKAGE_PIN K14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[3] }]; #IO_L20P_T3_AD6P_35 Sch=JA4_R_P +#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[0] }]; #IO_L21N_T3_DQS_AD14N_35 Sch=JA1_R_N +#set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { ja_n[1] }]; #IO_L22N_T3_AD7N_35 Sch=JA2_R_N +#set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[2] }]; #IO_L24N_T3_AD15N_35 Sch=JA3_R_N +#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { ja_n[3] }]; #IO_L20N_T3_AD6N_35 Sch=JA4_R_N + + +##Pmod Header JB +#set_property -dict { PACKAGE_PIN T20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[0] }]; #IO_L15P_T2_DQS_34 Sch=JB1_p +#set_property -dict { PACKAGE_PIN U20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[0] }]; #IO_L15N_T2_DQS_34 Sch=JB1_N +#set_property -dict { PACKAGE_PIN V20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[1] }]; #IO_L16P_T2_34 Sch=JB2_P +#set_property -dict { PACKAGE_PIN W20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[1] }]; #IO_L16N_T2_34 Sch=JB2_N +#set_property -dict { PACKAGE_PIN Y18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[2] }]; #IO_L17P_T2_34 Sch=JB3_P +#set_property -dict { PACKAGE_PIN Y19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[2] }]; #IO_L17N_T2_34 Sch=JB3_N +#set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[3] }]; #IO_L22P_T3_34 Sch=JB4_P +#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[3] }]; #IO_L22N_T3_34 Sch=JB4_N + + +##Pmod Header JC +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { jc_p[0] }]; #IO_L10P_T1_34 Sch=JC1_P +#set_property -dict { PACKAGE_PIN W15 IOSTANDARD LVCMOS33 } [get_ports { jc_n[0] }]; #IO_L10N_T1_34 Sch=JC1_N +#set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { jc_p[1] }]; #IO_L1P_T0_34 Sch=JC2_P +#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { jc_n[1] }]; #IO_L1N_T0_34 Sch=JC2_N +#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports { jc_p[2] }]; #IO_L8P_T1_34 Sch=JC3_P +#set_property -dict { PACKAGE_PIN Y14 IOSTANDARD LVCMOS33 } [get_ports { jc_n[2] }]; #IO_L8N_T1_34 Sch=JC3_N +#set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports { jc_p[3] }]; #IO_L2P_T0_34 Sch=JC4_P +#set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { jc_n[3] }]; #IO_L2N_T0_34 Sch=JC4_N + + +##Pmod Header JD +#set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[0] }]; #IO_L5P_T0_34 Sch=JD1_P +#set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[0] }]; #IO_L5N_T0_34 Sch=JD1_N +#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[1] }]; #IO_L6P_T0_34 Sch=JD2_P +#set_property -dict { PACKAGE_PIN R14 IOSTANDARD LVCMOS33 } [get_ports { jd_n[1] }]; #IO_L6N_T0_VREF_34 Sch=JD2_N +#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[2] }]; #IO_L11P_T1_SRCC_34 Sch=JD3_P +#set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[2] }]; #IO_L11N_T1_SRCC_34 Sch=JD3_N +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { jd_p[3] }]; #IO_L21P_T3_DQS_34 Sch=JD4_P +#set_property -dict { PACKAGE_PIN V18 IOSTANDARD LVCMOS33 } [get_ports { jd_n[3] }]; #IO_L21N_T3_DQS_34 Sch=JD4_N + + +##Pmod Header JE +#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=JE1 +#set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=JE2 +#set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=JE3 +#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { je[3] }]; #IO_L19P_T3_35 Sch=JE4 +#set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { je[4] }]; #IO_L3N_T0_DQS_34 Sch=JE7 +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { je[5] }]; #IO_L9N_T1_DQS_34 Sch=JE8 +#set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports { je[6] }]; #IO_L20P_T3_34 Sch=JE9 +#set_property -dict { PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 } [get_ports { je[7] }]; #IO_L7N_T1_34 Sch=JE10 + + +##USB-OTG overcurrent detect pin +#set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports otg_oc]; #IO_L3P_T0_DQS_PUDC_B_34 Sch=OTG_OC + + +##VGA Connector +#set_property -dict { PACKAGE_PIN M19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[0] }]; #IO_L7P_T1_AD2P_35 Sch=VGA_R1 +#set_property -dict { PACKAGE_PIN L20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[1] }]; #IO_L9N_T1_DQS_AD3N_35 Sch=VGA_R2 +#set_property -dict { PACKAGE_PIN J20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[2] }]; #IO_L17P_T2_AD5P_35 Sch=VGA_R3 +#set_property -dict { PACKAGE_PIN G20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[3] }]; #IO_L18N_T2_AD13N_35 Sch=VGA_R4 +#set_property -dict { PACKAGE_PIN F19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[4] }]; #IO_L15P_T2_DQS_AD12P_35 Sch=VGA_R5 +#set_property -dict { PACKAGE_PIN H18 IOSTANDARD LVCMOS33 } [get_ports { vga_g[0] }]; #IO_L14N_T2_AD4N_SRCC_35 Sch=VGA_G0 +#set_property -dict { PACKAGE_PIN N20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[1] }]; #IO_L14P_T2_SRCC_34 Sch=VGA_G1 +#set_property -dict { PACKAGE_PIN L19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[2] }]; #IO_L9P_T1_DQS_AD3P_35 Sch=VGA_G2 +#set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[3] }]; #IO_L10N_T1_AD11N_35 Sch=VGA_G3 +#set_property -dict { PACKAGE_PIN H20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[4] }]; #IO_L17N_T2_AD5N_35 Sch=VGA_G4 +#set_property -dict { PACKAGE_PIN F20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[5] }]; #IO_L15N_T2_DQS_AD12N_35 Sch=VGA=G5 +#set_property -dict { PACKAGE_PIN P20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[0] }]; #IO_L14N_T2_SRCC_34 Sch=VGA_B1 +#set_property -dict { PACKAGE_PIN M20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[1] }]; #IO_L7N_T1_AD2N_35 Sch=VGA_B2 +#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[2] }]; #IO_L10P_T1_AD11P_35 Sch=VGA_B3 +#set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { vga_b[3] }]; #IO_L14P_T2_AD4P_SRCC_35 Sch=VGA_B4 +#set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[4] }]; #IO_L18P_T2_AD13P_35 Sch=VGA_B5 +#set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports vga_hs]; #IO_L13N_T2_MRCC_34 Sch=VGA_HS +#set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports vga_vs]; #IO_0_34 Sch=VGA_VS diff --git a/lab0.srcs/sources_1/imports/Lab0/adder.v b/lab0.srcs/sources_1/imports/Lab0/adder.v new file mode 100644 index 0000000..965bca5 --- /dev/null +++ b/lab0.srcs/sources_1/imports/Lab0/adder.v @@ -0,0 +1,56 @@ +// Adder circuit + +// define gates with delays +`define AND and #50 +`define OR or #50 +`define XOR xor #50 +`define NOT not #50 + +module behavioralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + // Uses concatenation operator and built-in '+' + assign {carryout, sum}=a+b+carryin; +endmodule + +module structuralFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + + wire ab; + `XOR aXORb(ab, a, b); + `XOR abXORc(sum, ab, carryin); + + wire aAndb, oneAndC; + `AND aANDb(aAndb, a, b); + `AND aXORbANDc(oneAndC, ab, carryin); + `OR aorborc(carryout, aAndb, oneAndC); + +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if calc resulted in overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire carry0, carry1, carry2; + structuralFullAdder add0 (sum[0], carry0, a[0], b[0], 0); + structuralFullAdder add1 (sum[1], carry1, a[1], b[1], carry0); + structuralFullAdder add2 (sum[2], carry2, a[2], b[2], carry1); + structuralFullAdder add3 (sum[3], carryout, a[3], b[3], carry2); + + `XOR whythis(overflow, carry2, carryout); // Our Code here +endmodule diff --git a/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v b/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v new file mode 100644 index 0000000..3270bd2 --- /dev/null +++ b/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v @@ -0,0 +1,125 @@ +//-------------------------------------------------------------------------------- +// Wrapper for Lab 0: Full Adder +// +// Rationale: +// The ZYBO board has 4 buttons, 4 switches, and 4 LEDs. But if we want to +// show the results of a 4-bit add operation, we will need at least 6 LEDs! +// +// This wrapper module allows for 4-bit operands to be loaded in one at a +// time, and multiplexes the LEDs to show the SUM and carryout/overflow at +// different times. +// +// Your job: +// Write FullAdder4bit with the proper port signature. It will be instantiated +// by the lab0_wrapper module in this file, which interfaces with the buttons, +// switches, and LEDs for you. +// +// Usage: +// btn0 - load operand A from the current switch configuration +// btn1 - load operand B from the current switch configuration +// btn2 - show SUM on LEDs +// btn3 - show carryout on led0, overflow on led1 +// +// Note: Buttons, switches, and LEDs have the least-significant (0) position +// on the right. +//-------------------------------------------------------------------------------- + +`timescale 1ns / 1ps + + +//-------------------------------------------------------------------------------- +// Basic building block modules +//-------------------------------------------------------------------------------- + +// D flip-flop with parameterized bit width (default: 1-bit) +// Parameters in Verilog: http://www.asic-world.com/verilog/para_modules1.html +module dff #( parameter W = 1 ) +( + input trigger, + input enable, + input [W-1:0] d, + output reg [W-1:0] q +); + always @(posedge trigger) begin + if(enable) begin + q <= d; + end + end +endmodule + +// JK flip-flop +module jkff1 +( + input trigger, + input j, + input k, + output reg q +); + always @(posedge trigger) begin + if(j && ~k) begin + q <= 1'b1; + end + else if(k && ~j) begin + q <= 1'b0; + end + else if(k && j) begin + q <= ~q; + end + end +endmodule + +// Two-input MUX with parameterized bit width (default: 1-bit) +module mux2 #( parameter W = 1 ) +( + input[W-1:0] in0, + input[W-1:0] in1, + input sel, + output[W-1:0] out +); + // Conditional operator - http://www.verilog.renerta.com/source/vrg00010.htm + assign out = (sel) ? in1 : in0; +endmodule + + +//-------------------------------------------------------------------------------- +// Main Lab 0 wrapper module +// Interfaces with switches, buttons, and LEDs on ZYBO board. Allows for two +// 4-bit operands to be stored, and two results to be alternately displayed +// to the LEDs. +// +// You must write the FullAdder4bit (in your adder.v) to complete this module. +// Challenge: write your own interface module instead of using this one. +//-------------------------------------------------------------------------------- + +module lab0_wrapper +( + input clk, + input [3:0] sw, + input [3:0] btn, + output [3:0] led +); + + wire[3:0] opA, opB; // Stored inputs to adder + wire[3:0] res0, res1; // Output display options + wire res_sel; // Select between display options + wire cout; // Carry out from adder + wire ovf; // Overflow from adder + + // Memory for stored operands (parametric width set to 4 bits) + dff #(4) opA_mem(.trigger(clk), .enable(btn[0]), .d(sw), .q(opA)); + dff #(4) opB_mem(.trigger(clk), .enable(btn[1]), .d(sw), .q(opB)); + + // Capture button input to switch which MUX input to LEDs + jkff1 src_sel(.trigger(clk), .j(btn[3]), .k(btn[2]), .q(res_sel)); + mux2 #(4) output_select(.in0(res0), .in1(res1), .sel(res_sel), .out(led)); + + // TODO: You write this in your adder.v + FullAdder4bit adder(.sum(res0), .carryout(cout), .overflow(ovf), .a(opA), .b(opB)); + + // Assign bits of second display output to show carry out and overflow + assign res1[0] = cout; + assign res1[1] = ovf; + assign res1[2] = 1'b0; + assign res1[3] = 1'b0; + +endmodule diff --git a/lab0.xpr b/lab0.xpr new file mode 100644 index 0000000..da8dcdd --- /dev/null +++ b/lab0.xpr @@ -0,0 +1,155 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 90ab74890f5f7e796d4ba8db13987651709fd9bb Mon Sep 17 00:00:00 2001 From: Serena Chen Date: Wed, 27 Sep 2017 16:43:30 -0400 Subject: [PATCH 4/8] started lab report --- Lab0Report.md | 18 ++++++++++++++++++ waveform.png | Bin 0 -> 25906 bytes waveform_1change.png | Bin 0 -> 24595 bytes 3 files changed, 18 insertions(+) create mode 100644 Lab0Report.md create mode 100644 waveform.png create mode 100644 waveform_1change.png diff --git a/Lab0Report.md b/Lab0Report.md new file mode 100644 index 0000000..69fdda8 --- /dev/null +++ b/Lab0Report.md @@ -0,0 +1,18 @@ +# Lab 0 Report + +### Kaitlyn Keil and Serena Chen + +![](waveform.png) + +This is our waveform of all 16 test cases. + +![](waveform_1change.png) + +This is our waveform for one test case, as marked by the markers. No matter the inputs, it will always take +350 time units to propogate (given a constant 50 time units per gate). + +![](truth_table_l1.png) + +This is the output for our test cases. We tried to test as many edge cases as possible, such as large negatives, large positives, adding negatives and positives, adding 0s and 1s, and various overflow scenarios. We started with the four cases in the in-class slides as a sanity check. + +In terms of test cases failure, throughout the process of building the four bit adder, we had one failure, and that was due to the test case being incorrect (we checked on paper!). \ No newline at end of file diff --git a/waveform.png b/waveform.png new file mode 100644 index 0000000000000000000000000000000000000000..dbfdcd834a37a70040788a28152ef88198d4ac14 GIT binary patch literal 25906 zcmeFZbyQr-wmu3W!3i1&uEE_M0tp0nx8P3Wt_iLQ?hxEvf)gYJcb5+C+E`=#n!S^~ z_qpfXH-7iM@&0&Y+!_q%#j2WX)vT&n-#6#14pWquL_;P(hJk@WlX~|?83yLr0`&7S z;uGj^tE|&8=${ZzRZVAQLpL&eM}V27jVYP4hrKD8sk@~a42=7NTJ$)YKP%!hNJ8)upRN7pu z!`UmSrb6+JkfJiVkgybWq4Vr26?3ETl$<&v-|nQ1rRtGdNPA2N5sA7fg@F+iakn=#wl;MpGcq-|v=gE@Zt0*PvosN+ z(BP6|m9rN&wXl5W>1e9rDX(hmX>H7BLLnlIEa=V;6<}-XY)IyAYh&lc?=D2~hg^Q> z=f{7uP>}sm#o1bjLQ_tWOdQ~7O2)~|$;`_1*4@&TokAFyOwiH9j9>YU#4i!hDnU78W-*H)b~uW`LtP3mYFF9}6ox3p+a#v<8!thn=&bJCmIg<)er{WxO$UGIq4I zceVuBkv+;ZGy=Fd3sF!&@00x!{;2-Hq}w_DQUX*RERT0s*qB*a{#o7G((J#f{&?r_ z>W_{26)oLOZ8YCl+M3!qL0>_Lf{l}p_YY}*-`4uaZFUa6Kkhz?;+L{DG&j|-G;y~0 zN4vl8yfd{lw}AHLU)m@*TAD(&@Yr8yBMO#BU9$XACUk6A{u-P|4gG&#{`VpOBOU** zy8c&P|050jk1GG~?D}7I{f{*8KdSt{v+Ms~>O%e--kI7#F`XL}ymgjkra*xooRgT8 zDk37{;;O<=7#K1bsW-1x-4_m)-E>uEfe0r~wJ!r;!UC$gG2SRDIZ#wIk696yug$3M zm(`Xpl(@{O3%H+~>oB;CHe2ht0PN8*ls=PriHnh$EN`O|W&6MXhP(~(1&1u@b_r#9 zA2)?`6GyVMB*k}bqaen=Eu5ry`UpOu<@$>Ec*hkVbVQ`tajF{roD}oV|HDi%LG1V? zZcUD3cb#T{!{()4Es=ZIX*@^yF9=RCVgIZpy4+Q|WMyO6wF!3{ZQz%z^$xL5mmV-> zdD2Pd6&*IGP92x*gt?(|6Q9eF2%#iu{aKqxQ82_6>9P6+#SI@}CFbi2h{p&iX)QWL`vfK)HKCC+cNqkcSp5&_oc1&R4xTJgspo8@Ln2`yr)C$ z6y>JQ7|6u8dOjbkq)#1)k$ZDG@8hMQr?IhzgsC~#%(>68*G;WXWV~{yw~xLgKcx7g zIuMacKY$b!oLw9!iAWwiG`RoJcx6`*{7R6)BSK#sp{{|4&PN|fC*IlPszF?1EkS~! z%JEpx&XHx??J~IgiH-tYn5?WK{_-m#vB-g2yyJpGwj>hTw1&DZjGWOZg$eruK`KEp zRISxCUm=VHwH~a@A6#U-c>t6YQS3I~@#9mbLci(Jx6qEK-V(Nhg__p!4B!_*p|A0s z9FGHP-Bggn!s=eP2$No%)NfTUY=pK6#OV9waALLpC|{>p|CnJf4kbd5#otXmzrfgC zJsJz^;iM~y%7ai+r69e&F04=e!I`(ObWk$OGs0s}(htDzDw01o*As3$l_wlIjC1vp zYiN1t)78$>it+x8_V8_M1XBz~bdb*e3gvgB3f~jL6#*jJzKLGFH(jK8xGtZPF>}uz5=9muhd}6%Xw{-2D)V_ zY*#J5V{}!2YCPbp%c%dP@(H8e4KfmCG@GJlqnFxpdh~s1aHi#ld*F`pagSwr+KrTZ zpv5|R`NQD{vZ~``bkpxNc$XxajVs)GInuLz?0LRE2wp z+8&RaU0bJu1Xbm7yU%=?@r6!38nV@FkJk0Xz0ur<_1kH=~ zNaT@WLycMvi<_MBf2C%zb|{<>dR}S#Fxsoy~toWyGTMpO!I^M2x8nu zZ{Gu|50UpQXM(Qv=MsLTbDyO@F`~Y@JhkUG59P2T__NET9>?C+Fw_? zxw@3j8vU?=WS+WJ1{<`0H3Wb0EDu&dwj`&kZfD*%%$b?0Ew04}rIPlu)h49G&83S` zRn}K)EHt7R9@4vdxsZBU0x8;ri&+hWm zw8{lo9jc`>Yh$33P0V@TP5wZ@mx-H6LtIwwJkVtvAJLGpZNdG7dpUIEkoJY?QhS{F zbY22w7KZJ}I;T)ti}~)55Q}deD@WF1g|S9u%k3DPsa$KC^(w}O9 zIVNKc8Ds$Xk_#~f4X!RM49E@*y$l}TcSZ$juauRxY86&s`gu2+F1lvH$EC(!ljMGT z_tMVvNMX?4gQ$x@D-pwIuOHYIy{qlZYm^<5oaE=Wzt>@)XYd|qxrWt|%&;w=Iahtw zQ$1rWOuE!FJXyNsIF9?m)ZEl8FJJo5q%z~Pp%%9QLtOXjJKICq;UPYv=#_2->4z|B zMNcZdkt(#aBzv%psQ>VMgobh&-;#_ukpOQRd*F`BXxXy87~YuM4vZV2zVAjfFh+1# za_7YklcwLMovX~~K95t+uulKAf*QODh-+X`7L^*86I ziMa)6HmbScUmEG`NO7h0;+M!DT4yB^K#=UJk@y&3I*-M2*0U0oPsPrmW@>S^7XGR| zN=9f)S68;6qMfp1LML0s>ytDQ{5h%>)hdQ^vUTsPJuDW&tb5(>Zx+ZbL_f~h##CzF z%!;^qaUs{uOzSfDIx(c|TJCu#1mzd4B~0&m2e1AhO4pnzn#Qe`pd2j-h`AU)2|ZDv zqfa7{v-ui`v50GXAfGPeGSBwSVs^MYRvd9WUqqJj^4|05O*mhm#hHFx2IdUlnC0$5 z`MNxwP&(u}Q-rzkHMgU{5qRoNn;gS-lAWvU%hy7coxb`^i|NSs565vz-`Xjn2`q|uPg&t!a`J#-rrGwsrJTlO?-i|DcK~WY$5~cQJj4GR{ z+@M~Tz8O2V`|POF6#C0MaWpoM<(TbRr=(BD+tGTl4Gx$NTy$V~Wx?@Iwt9A&y%3Ef z>VASW;pUgNb#C#=gb6Wg34zB}{pMEuc!{QO)o1YWEh!kRbH_Emr3P8K2-2eWPo!xf@pAMjs}-s}fO=6|FN`82D)+wHW&PE7^qTNUcipTQP$FHnyj zE^`mWh;{)9>s0`R+dx%*_Z13u!~$(J8j^^{X4{j8>)+bD8rhaR@eolxg}PTiA^-6f zDNTwxd350N=divxGD*(9uUAI76O1=!zj<}6wX1=?UxbTq&%2S_E3H%*BlyP9+O_-` z2cFGue`^FRegjXn4@qPYY6-Q#M6$UYgXY}_@BIv}t-G~^$f>zW4mWKHb&9a;vUsn) z@{%sX>q&S|DTeO8{8JJBZg{yvgG^iq-|#TRu-4X>1l!J=c{<2KhdZkG_R(&>$P_f< zOs_Nr#PaPpFR;%_$;mr{&gmIDdtd+3q#|AGo)?49%>{_9XJ$m=$E4Yttd(2;eXg?h zXCsrpD#6ee-xL^Y+PW@9`BAJnaWaxqWAQ*><8JEfR>^*29sk%80DmwW;AC~Emy`nP zApN8GhyOfy@%wY5OiGf@nStT!*M_gZecYkVkk`0_bbWZT!0xef63f?$GX?VN-4}!? zGiOOS@hPo%Q~5vr1cN2|boW3I?t4 z1pt2C+4%@2TG@5vR{tsKci+4FAR9^-Jv!s%-J~BX>+2y44U37dCkp{9msejSvNVgP z;Kjm^d}gb=8(9c^coKF~U zC;hA`MRm(mhZpMZEy>+x5=VXZ)oY#m`_vS$=k8MC@wf;>`xHa z5~L|k-*x>MgGJldLJZ;_PGp^&^_!oIZ|{FunhlQFz>Gn$VD!sr7w%SI#0q;eF;h3( zixDszzijeLZRtEys308P9=wgR=jGlmjF^WvQl&Gh zIZwDdudKW(mdO&Ht-hMVRVuxr*QJ=n&=PZgk#Gym?Nb*UhL1*sIO4Ky)$I8@x{+2#*SGL3fg|)jidaT{{sCa9qdw1 zO6ydmv5Wb>{1{=t!ZJa^zrK}}cK>6=8e$dq6`biTxPuh-NQkH7k{g01;a~aOe>DB3 znVTCDCHcn%5vh&?$m40r^ssfe_>F<;{FEflEBXcFYaSnx=u=xK>3+7x1omd_h3C<{ z7TzK?fDJS*+hHDCc1RD911`fo3GYhRJNHw`76&d<$3yk_47n5bN9u8{yRG5GH+hUd zOO6lq*nMwE-9TENserXH%^c+`#FiRrkG_jPLhA&1~w7~2%S}fw*h`4Ps*0> zMO`9cUeqRC0WXP%DLcQ#i1@~~M{Qwdw~Xpu#D%9Cw3+u=95JID-EF?$AKhW$cHap= z0SA&Reh_B5%PE*0-52l390LNWDzjbn-K_SwTqjc{2;qGkxMT9m!KsT83w$~{*YYyN ztoxLFSp{1taP8VJz5>2C_wn;b7&y5(FckO5)7!G1R~^S7;Bs>1mw-_vcb3KLs$(ic z41Sz;P7?<6JMHe@$a+h}#1R{~P)FpKUgMW0#uYSIS~FEw$GQql*n(1pC+MS+QA@O! zy={j|R-Ld#_&g!whvnbG;~WT#)zH0pDtE1YPCJU=xo@+=GMb0n<6!ldcMw>gc-LaS zXF5iBxJRr~zk-`)e{#SHVBMtb1joVAk;1wHew2O%tKsWb%}WYDcULZf|}A@^M5u6MT)6!h(3I?Fb`4gynGuED*HB*4WKNd*wNhMRcFr;yYyJ>LG-ubgqQ zB5Y1%DNJU(5TN?1$J|mYXY?!1rA&OC9;LPXD`B7KDmtWLaI2!;*O8zG4lvQJcdHlOxQ=+C|r_Ag)FMKEBNtA?t zP<#xGwUdY<)J9iKvP^J2@iql^=$S}@5$!jQ4OExzN_5M5LR%`E&PilK*+9n%w-v4i zZC9n#W`P*Ykoh|ljfpY^12L}Wvn8`%{p);cX>B{ckO_C_i>LUTBy%5WLw4s9C>=qZ z3Qb-{i_4|OXt!l&SWZ&Xw#}4OqhEjk$t~QGJ$BHXbp`RXIn4{rsp>7z;bPs3KHbQH znY15XQK&x!wc^Za8^NdG3&DKduFySckV`cK+y!)=VpY~~|dF%pH?B#wooliuAYm3%NYDMMI#obLh%`^F9msmeSeDNiI zcxaP6tI{cNmBt(H`s+z^SyPgkrs`t&7CQqLJJ;J=g^5VD+w+V~GmX)ytu?=KbRxlY z5!WHFhC=ky2n6>q{(+l-fg_H2=m6~NizrTGzF*!$STT8eZFO2|L}DY*keP}3v-I`> zR;^Png#kJ~8ZE*Tjytn2xI3(_qE}CzJrN64>NG~|eu4P(gE=;v%~8rcWk*|PTHK)P z&F0Qo;#MGr*cppAuAqUM!8vWon8=3E;pb%@sg@?fM8BV%loF;JSt8yYM_D^IZA~&E zcCD>v&Z!&I z3_0kK7c10fhUv=p&OKdmu3Db&?HEuJR|!c0kc0i(1?_3~$?qHw$Lqn*_lDU%B9g!H zFtW&fKyVt+tv?wl;Ig#dR|hr4c8*>V32s<)*+&)Q`4V&Q**DEH;;d-3QQWxC)de?d z&!lW=yk5;%TRX;)$(h`H2l9x%S0~MyT}XWy^$Z=I`NsaJzH64NLvEuRRa}V}d_KJj z`hKv`z((C4Z(2JtLfAmA54qXuqno~IR=y)zB$;f<3mT4KF3~-m&96{!G6xqO6I8T) zx=xS>$O@cph60UU)GUQ(a|S1{AIFwa$JfbM#lWkb{CmFeKzmBxFxk^@J4P}>)I`B zH~9!<|Gw2a(r5yq^gx{`IX6SVLM4PL4SwE~B>iSQJm>S5!QfkzxQ9%LC9Qz@)!E3J z+j}u;GP3NdpSwUwHKJb-U)9A97LG6d_s~~A)tyd# z9YlKPc|pu%yAeI3%z}sVIk%Kmgl_cxJE8i+k_$-#RDildC!5p$gF_W~8cxaTF-zgG zC~i+*f2_cN9wUwz(zkiai@JL9QXOD&(S+0#yrCB-!mI18Ln!_^c9G1$+8bq391x%@ z9JLjj(+d7q2>u(7cka`H9AzFD7-?x4Y27i1^Cx%1AqL)?BlWQrL>b3})ek>oK(qRe zhW9YVMIe^#OdlWWx~TlCBm~Nl`x&`4Vc*%!h%|TsUeqObwzW=Fg@CJrj!IpxD-5wr zo>r6REYB|P3{Lq+I~Xf}($n@pE_GH$;1wtSTkRx4iNQ5sL}GP-KZsLG`*yP3i_bH0 znhqOq=X7qTZ|gx?mHGusPS zU|-W!bdjN*dPbGOl{kk}DRXgjKX5{+O z;Z=jx@*Z}0Gms{Q9QH5j^IPwaq^Q!TM%nb3SVd7$^tY&=2Hm~Vzb^nU&@ojzI0Bus zYgUJ9Aysw0=YJ0(IWm^?g(xD|-vo=b(%{)78$|2eWjF;3A|mrn@~@}*Pbm583d?=T zc9DSpM+?;vKac8Iau4xOinKLOms!rj9ScCctLw(h%;y(@Vzd3LUyp}%#!lz;-GXQC z(f*=vFjIeXIz>LnMVqm#53Yfyx3$Zsx2H+X*N5x;+|C$CL1JI@)>jM;HE`Ot&@`vZ z4I~=uF_44S;DxqHq{cuyYgat>Dn8`(lDfK#W1pP+XKW|)RgY~%ixAfpG* zgiG3zRA4+`$7MUT%Lo^+AM(XKi*_0e=KEX<7xWTaS?d|KT)WRu&6SxK$kIm0_(;HR za5ylJMj(~}srW}rsdft2yNe!lmAkiOkpQ&k_I;4Dol ztgyWDjwt$^@(b#Aju|-`6u5Mvj63tHTwp_-uy8}mI?>C_vp3p?8->xiM+6` zbw@OD>a<(zLZ~To#idyJn%P+ddilxa+6da6B=Lxu!CgCE15L|CI)29C@Ec#sE%f>@ zM1lBgz?SjUY-!>4#m=&d`%w%B-xK|XabV^A#o>``ccl?=n2|W`w0W+OOlMDZ7}c)H zo78}p6D$WYaaV^Xqqz*QQ=Jj(3VZ!8fD&B9z|m)1{=P6=Fm;!Kx_v7uI&aEXva$=A zVfFr`o!W^L1V8_c_tm}fH-;q-JYFd6m_B_QF}jGpx7C?%$3pgBSs$YN2(9iJKYeXS*(}47Ofga_IvF z?ePsAk!d_SSf69#^1ps{m8C@4GxO&PAMBTJ<8Ml3eKPy=OBBiJO^`JH)rVe$rRV zyr!z;UQuv`z|m37fzX(!bISMR_A(c~xj<6zhu?5nvebRpt=&?SgV|)Of&}{huHM=j zKWGLLp;4-U7Ave{GPPl;$$`mpe;19GhAuRgn#Pv8)HOTWy!*nsWkDy2`ZUE|$#!Z( zO=lAS7ZdvWNdf4T$mxdH7%P2{Hvun>e*575{P?cnCHUZd)-`u9pxdW9bz=f|jot8i{#e{>~(Tu?`Za_A~zn^!3J<>|!< z3LnW=&u&HYfg{pDW7yRNH++hiB?l&++q38p;cotSmT57DW!mzod+inVJ>R7lF5Wc` zTi+<+!Nyk>6q?tENhXeJHyn!DWM*Qt(Q8cBy&G-c;u{l;@MMSn6k1aA=$vP6csj`_ zIPmQ|$(GCFM}>1<3kzCv8*)H&zokkK>x+QDIgzwpXrIWK%QyrnJIU9m)pP3n!E2bt zzh|^clzFviZKTW2j;pFmsEG-Z2Y!l_G3m?CP z2l_&}1yQDPr30+x?SoXFA^#TY=a^K95sZqc#>!lLaYRZR-uG`lrk9R;#NUxTFv4~v z4+xqTpso%!`?P(XKdg$gjDO`%;4c3jvVvy-UeT_Fghfw0E&BL4HC|c!S3ggkXtdZr z=0UNL^FJb>4Hxn*$+lGRV|b3q+h9o})e={hUj|c(a;zb7zXuF0tViQ{V4^E(${elVyJ*ZJg}@bW4`2aKE+v&-@v z!hEh9%nf;H$k(aS@tGLhy)eH!Ne{MZBhXqnjFW*I3aj#{S0(uauv_huQmVuOhGtnv zA1L)k6IS^yb$pm=s}#%SML3wf#zUMcUraO@vn4Wh@MV<@jkZCoyW1Y|fA*mgRuQaN zXxMgoL@{6Sti}&F)EkRoV`A8IIGA%ZPFpY8<5=7Vw$zzE%VaJcR}O~zJ5`=-1%*P> z6;0{o`j)*D4`Wv_AW}*?Ttr0Ja3vY-)N=qU`$2Qe^$qA8u2AJX5F;l_z>%usuxcw& z^;LFLt@o7Md>ji^0Tn|udenpC#&Pu&8glV562os0lAM1xN20Gn$TEi>mhp(QHFsjX2MX6svme@{veB@cvvu4wlaKK)E+G9&9=( zl~z$$GQF3MAengS>@JL-8-cRFKWlPMm;2*G-_MT~9_BwLCAD3t;xprd|8n2MSp5xM zi_#%yQr1NCKgGj7TJJ4`?TjxaqrsI665v`m{=fbF=hWF`UtSs+vHbS`i@H2X;H3+c z%wBNG6NXKX4*VtEf9>hUnI-ZwGm|R3zni}>dkl?$xw2Tu0;ziXnmjyrT@;SlP0Z{@ zYU+k8*icn8PtK1Zubjqq2inmb{vu)_30eyZ$zAA`x}zmZR)^{U`zxI1dDXYVAu1Y zOFsDN>>u^W1J7=sWyAjtji}0_8I_c_zGm9Fwy6{l-+%afc*tNy z(SEBAIy^TkYz4v*EJE$|{6BK8W%TYY9f%3}Lj%RWj8!-sS6(uN>x1CS_GVZpb$Ahj z#2h|mI>qGeWVLm=k@{Vae-yb5{R(+|iW%*aR{2~+R!UwzdW9!9<&~-VpeB5IYhrp@ zrFv;?&efB+@OBi&w+gArwd+U(Q-dE{QC0psPd^K z4^vjsyE4aG505R&UYD!VCk#ZMRC>!5$@sHf5jSp{iA}`O^h-51%2`n5RUz7QGB$>| z_i^`?jQjj)E8Ej4mpbs!bd zKoL2`XWKCaHtm7b(URjk{vHhq9BF|HC%tB4b>o}pwm>x!^wYZ9+Jt)K`g^MjM82rm z;H@me1Lamc&6XI!3PHe#wiH4v-9+Td+wY(GiTU4|#HTJyOeKS?RT^CA+ga`da*Ik~{~Lr4 zFWG%In7ic{$i`ySiy#bTi-gCSpqN56UY)M`%l)}8T0S(zQJPz8RxDHWcGMl$W5@uO zqoq#2sa2cH-ge^ufbNSR8X0dd&Ra>^ypTul9jGNcWdv?+xmwznGr%~iDv~*K7}ES$ zIins#S*0|At0zyrnSt>NGFc!&SSu*v|KwrW7m$Xpov7BA8S|sY5gSxo4lD8A($;K9 z!&2+une(g8q$|Qzdz%pjyjrxLBIO>FT}v7N330>XHqj*K)i-Z!8WPvMZj@KhTVJm7 zUuG^)SY13k6*5K2rr~t3p^)Oo|7=DUe2#LvzTqP<529U0**Qee{1}j|seOVEeNewi zU%q7#A@-WZwSjz}1u5|Wz5}mPit7=_dg^K%y4gzx{SI1Bk53;pO*SVeImi8$t_xV? ztxQlRjj&0Cm4qNIAA~8{wV5ui#tfck@cC(k`!Hzae7$)C+FC3b4J}LL2IXIrCm>Jk zzgJ(lyiDaykn^F-su^I1#%sjH>{fFjT9qVC*AnDGw~3Y?0TjxQc)qrl1h8u)Ws67o zoB$YeaC;w|+TOsCQ=xNAlfShEyyti8?>P*EM;7t>R|=s03OnRGRzkDnTtaf6!+4=O z+GX|;=W8Nl*vw-zJ2)DzkV^hkv%K=n9i;!nFh&!(01Z?WuV`c(91fEuaHlfxjbwp5 zW@0^6CkI5PBfBIaBAG61SuldPdc|9QPc?+C%t(1uD&FRPHgGi_v1>a`eZpBR;sA^i z;2E(=z3SgF6l#;v)iqza@jJD;D1JR*9ub);h-OW_R*(g(HUxb^(01aGh|{^g(c)<{ zveod~pP&-PW+{nEZQZ+7iyVG1H!5W2VBVO#PJflt)K-*HTOV{>Hw9ZO96QPTWOm75 zPMR7wqSq+wwhEh`U*M%mWp&yN=K||aM6CzTNO0}Ix;P>4K-sX6$Y4gZDR;u`!DbfW z-eZ$B&kIQ7x+T*uHMrrjL0!T zQ&)lifq(3ki2hs9DBo7JN3%YibJ|DGIxH%oZvV4g+U)t~2{!{d+EJaNXYElDXve#d z^fwDbLtV3XrvBzi3R@fVMxAwRTOHneCZudf&TsKexOgblcRhn8|VZn)uZ=2J0*KU!z~hl8q%qjcH#~PbI?83E8E#0sWttGhP7ec zWuqjK1LjflkEFqNad8p#ovEZC(;pTSu$$22> zWgs;F&tpIqzbnb**3+SO(U8Wn$>dP$i7p|)K$0UELt@UpGZdarBNs#~Bbo)u>)dUV zcT^FRU49I_6s5#=kDn|jN3+p#-#IIOVcP&M*WHm8fKJRdfg++=j^}-(Zs{@dLrP}C zg4K>Ql@2pZi+mz}V)m=$&xi%@gYWOxyzvb|Z1=sZz@W^*u`M95!CA(&RKb(4?SW-X zu#jA*vfbNts6QWe>&;xNHhm1viYBLb{YA{@;(ng3{UmhA=L)Y-r&j@4$3CCZDwVfa z^eOatY`P2yMn|}8t4_4dPHUNJkIQooQ|t<&%3Dg zM8Uuyu>Y;{!Y%6lK=ZksfdszGbt_xT9nW{FSG`%JS*(DeV0Wlp9`m7v2D^-AZ03i{ z&Os{6=^{cIi5|w5Dk=vr&(Z4tVjB|(;n_4MkI@QYwUjI`$ZW7z2s$ubbg_KH9U3t) z7-VErEu9>V9MnHwU9zrQR#E;V^ie}&@J?1rorsj+e)9*=xL7~~2fFFGeM*I3ez-Z- z0U)~)fO~ePEEi@Hg!U6ep7q#EZ51Dfu-sDRe!}1=oFNS&xogke0ryIlQLB8$>Qf_> zcD6rkUj!ZIv5}uaRFH@k6_#2Z;;l_ndur?4m~K}}rd|5fjF0m3zh%nomeI>?ptrU8 zWqbB1XAsfm+z2D35@xlqW=*F1AC05?qAvgD7OIl(fAI2Emi7sTWB-Yj@=m^|7sT?t zv{n8^I6TF|8OYWvS1-!xD*SVP9P=;uNxHFoanlZ4gQ%ZZ7_zS~_jzVm8!o(8v8E zVXjNVZc_%DNtq_U;4Gw8uD&hETT@rq4eEcXr>kkuL7l6B{}xwpN#ulyS3FaApn~$t zc{=A`E@~L0zZr(;=3P=_;>HhnQfVSxmE#dN!del>A-`$v0T$_U_5jEMKE5UZmRd3 zZ7VjSHPJHu;svQfko6uJY?fYnFIU;uuVHh_tk!V447z^<{NKp@7dL3~*6wAnNBm7H zTHhu||7IKRrS=TKy*^)+OGh(uqc5-DZm%op!}+)Q6YLdY#Kc;fPS>vcs=pH4$f96Hb^?_KCT&-`Lu>n63n_;>deltscq zXa=_J!I4XKdzSA8^O*S?iPHQBlAFOV9NWjz)qqWD9E~gsddv_PgsI;Fp{b(O%;^4> zuZ|kuZW(YdO99^uudyJ$o4=9jkwxl3Y@XcVKaIhO*E@IcUzb=t|D5pb)B` zP->~2XO3hTZt!BxvtM?=y+tF*iP%%lg2Q}e%Zp>1ko$1RuCcWxQU=l5%5=a&HSgQi zs#Yyh8h9IdM%C(jt3^HNXZh@hdYj!wEa_n-j9+s=aq`iERQ!!qf@y=RjHE9W$2--P2qvPyXQQqw~peE}(1{ zAuVC*Xub3PiIyfp@QH44u&|jtFy+ znVMxcul5X1>NgL5^Z~}VVQD2>%qQSk;@pfs;yN>W@!g`Ky?7CxQSzh+7V55v>a&E2=V!;({x3y%y>%2axJ8t8C1IVGA}N(CAsqhtyj z6`MTg&uAFBP>G9!=D{MPO!XRQ|C=WVTbV_!6{qxIp6%H)cSPp|>_QAP(a(R!BmcQQ z{NLJH_t5MGwJr5kPT zps&KHu@bA?jB}h!^m{QvN@%slJ%SlC1t$Ck);88~3 zebweRnXo*}uh_r8o7C{AT2)Yt>+sRCrWqZM_B_wtt?k#?SAiBee)!AZB&o5GgN&}S ztE~aswWBkmbMsmgJA)$aXqKDnbZ&J3!Ba6`kHLr>T&~2Y>msnr&=-J`zlP6<7t| z4!pitMQSQZFXAfiHV67sNA1@hY^h`+1*WUjbLzl(b{2T*l~kP6wGpZjED8Umpm{T z9F@WMwSFml6mzbTlxvJjXzd#aWQ=I|W_e4_l4cdN3)6!${%lZh#BE<>#h}`7yPHBR z+^E4;3MqN4Z}&nWVuE4DwZ4&AZjIwKhv3;?dq;UNsk5yjb-~`=GlA6hyARx_KhCrU z8}velC$V!hHmy=|ceTWl*EF6r=(ESMuN+Np>W1iJFASwLf>(yX!Eyq%i%iGNr9IP9 zg4^G8DxX=;PXJ!Ur{Q#2Z^ocX7#s<~8`FE-ppMzf&Dn%B1eUH?%ZrpR95@kV= zSK9cn^}0}IIEppBMq$yzg->$9R5@ukZbt9GW=UtxMNX1UC2V+t#s)Z93-nWFr4E>}&NQt7yb?AIr8+vr+rd}#BY z^=aQV_k7$bQuxUE|8>tZEEBkQb{^)L+=d?r-g07E=J&>(2_P3t`trpfi)3~ZkS1$p zx;f1~Hcxdq>=*nNR1Ig#U137ww=}c{?z-ckT=ImdLC>U_gr)^*o{QkLG_BXpdL&*} zBv!U#m$qNP{XG$JD!=77VL7Jt`ipy!XJcR|9(d#tUd91kXwP~vY-yjnC zldzDCV#y@Tb~BblE$5X?rA+}4yBLHokny$F@0m8dI{iTX62uHSE~L$pB5ajii9*vJ zJH6Pi0!~ebBlpT*nC&S_J^E-{`$zN}qfzEiKEc2!UPp*>od@y~^v(Cji_% zP2)F5zt|BvI^_MD$=UNDq~auM{cyF*gX9n995{;L9=bq$#ou`MZ$I^;<6D$DOZ^m? z=yzff3;?sh_?L2ER_IA6id++#NO^}FZ_8JxfI`#W!X(nqykIXs@F#YHGH&wG8#hMu zzsdZzko7{a=X-#$3v$k)xPO{R)9L2SM$gJmd0Tv;{%zUv#bg0z@JW?N2QONm?jVez zLy@tf7q)2S1H2xo*vDpMJci%PvQ^E+nO~xGj>}w>!L3-47H8r1%D|mUDrEH62{f1$ zq{kXr#Fdup;FvfihZsP`e%vJ}`xDTZjr?~)&hdxTtY||N(! zD;dP`f6GlU&nnNVuC2`*PxA97oEYd+IkEt{uLa$mCE~qhvSLKi#;#;}P+!hXDDN5e zbI*RYH7pGAcsP-d+H7#u7b=hk7`D1?RTp3pS`P_D{9|QKPO{mo%%?2(kz8oH3%^as z!f*1(6NoWIA} z-_x;w+1}G(@Xr552!_!XkL%XY8Ak?T$@f6tDbd;UP=wLZ81g_&mo%RI4Cwh($&GQv z0TwqtU^&FyuQxaYJpz#~e7@n;tM_80g|P||?0KXQMhDE>l^QY54Aq+dp90a_wLUyG$kiA#`Jylg?9Xl=0FS)BuL50dM{>p~5xRMFQBa2DT z7BDapZt+)v^&Ff;D4#@WYpZgStijH*<88+aje10sDEc*C@q`fK`J!;_%Edn-ikJK) z)=1Edx1VUPd&sV?=WtLK4U;P{;MJu~KoI@xPa~L)^Pd8Z*4B@KMn)z*s%{)y92`i; ze?h02!Ug?*x&e46evQc30XT5#w;SMFEk1IQH`EP)O8fsL&iI)6H?U+D@>-TQvRO*$ zlz0ldja)#xlI*w_K-Zy~d?te^iS0~R-v-6laF@EsF~PF+WyCKX+6chLtB1Ibchv%Y1n7A8Pc!8_M%{V1r(ZUp1 zblkWqorsYW_F(FaK$`N77AJi98G&3V4%= zC0u(-6RVC|-{7viS&~5~EDdrZ3N_Y+Bsyb#qw2Ic+Jhgzm&efi`uQwDw56YPW1&HF z0-6LmzU1{8cm(1sLz-HWT30)l`7HNuxjzkVUI;L^=N7+Nk8Cnm`kTR(Kz$lp85#3F z2TCzP+bXKyl+S6B%^=N0jIMvn)+*aLmmFVb(wXlMITlu?>N!X|uvK1h#I7Va7Ca6* zjGDw03*~mbYSsiQh&bY5_^dT3bzZ* z%;O312@*R!8EXArkPz10{11?$s#a+HKQP;17Q5u3(B|Q^3HdI{K*}=<+Rdbv^y3*n zD1h!B33(fc(`&OBi^cjS20NyTFR9^;rZ=b8J8_hX&UVlgzMS&av4`UI_wt$l1{#N> zH*?cstGHZzAU5Eee3RyG0xmOMWbWD8MH(-4P@A?cmku^PZ=Ab6(6B?oZvs&aeOhvQDw944cZ!)z!N04lGw@moEZvc^1#7uET7Mr zuL3cQ4fVfNpD%LsXwHZaUm)p-YmbD|y0D{;I$VEA>!`=hq5ie3vnA9nj9!&C#33Z# z-}my|l5P@)3GuF%Ejw#5tg_m?}~x!f01kbCFB1~)$dhnTw&^uP*@xt$qd7#oez{_1(GNRs)X z#b-hffs1L|Kg>T@P2D0*5_~dtj`%;A(w;La^mt=ou646;G9hg(jx<4FnNN}N%PuE; zf}8s7hD_fF+YkKci*~p>HfJmi{W;*d0PfS%88~4(vr}ixQIDOQ;VcV38-Tgx`o$?2 zSL8r{P8k|#$F<#JI()D(q6L|}kUHECLEF-R`80Iq9tfc@=j0TegJ>4nuo`O;B*6(Z|kM4fVLE&Y7 zMDtkLUVY|Da65OvRf6~Q+5sRtTL5$e(GDYCft0Rx0VSGFt*!S^+aMculNNRl``Fn; zR#0c_<}{qjZjx2EaFFr0MH!gBXHZOE6ovFtQ8TKI)Cwj8BA^fVg67MANLcy3kJNu- z4SM#h7s8VAQC{QnFzmON{;O`^G&;*w75X2H7;gT7`l~c)=_rPZQrPmq7ABQXFpDKL@N3tR-gpdv+@NEZ<)iqud8QbiD?Nhc~rI!H$#ROv+!L`nh( z(wp=asuF4d=^dmAfzSyFNw~RRy=#5nTKD|{Z>{&^nKkR2eP;Ha{h2*`b}N+b*83-k z>LFbfVdX7POW(P{$%xXG_-XZ~T-^6se%AZUoYa%%#o|zGYMk^Yv!_oD)75w07E^pT zDf<+TqO(8bK_1_untkuo@tEq5><*Pa?lL{^8~qU_#|LTE-f;;KE}{kqt}y`Umgt%p4dR?8>XtF)zx@|FR7T_^dNMzod?u z@bC)V{^bU0GGE-!V`l_q8T6bosA!#dvUo|hubCzq)z=2PI+h$rl#6XZRsUhIKZ!!U znJaqf*f@sB=lA&BJsY9JS@kO;gH}H~m)m>lLW-DR5dJ^tz>`*5Wtk>QHS`Cb1^TIkU}Y&7PRGJTd%Sc3{b zLyUim5?iC;Jcq}gOZ*97Sf2lF+pW?Vne^pp2}NAm7t?fuEpXL+zR|ZmU3;;kdoW_iuzKZxoPhBP>h&hfdek4j? zF>;QL;p-AP?d|s)=yG#HkL6=b08XVi+p z$}t=9`Xp@_VjsIJ;uTcMFT45+l(7RnDp0%dT>hl-xz&h%^k}mQNnamPn?G_fTJPAP)?V4QgbiyN)3 z(hG*~tU%u>Q{gWJm1}d`Zzk>xRl~zMrphLq00aH4IPtAl<%FW|W(SS!liq?hjvlZS z`-~Sz-pZWYQC-0uR6e#B9oKrL*k}`mve3vCKQaWJRQ3@D-1Zvi@nF}AGya%Ki8A-P zpPlWV*j`)hy$R$B3qHWHeac=5w;cCH7{C6^^2>syuJ36}y9;JiZ(*?AaIQi<->63c zyke47nDsm^jJvC*jN%kN&~8{h+J%g0JI^j-adw0uH41F5geLE{CR(Ezh(HbZ3+&rYyfM8alT#?;W zN3!{`@@?fYUp=^M|L5h2A8Bh_r!okj9hd5@^xH}J%6f=483Q}H_x2?^w~%8lie3`; zONz+J2QAmw_;s)NnWXUFfPh%nIu~92lHV$H4SATMjf&(nZr*i~ygDy#S8hoW72GX! zn_`@v#ONN~P~4?UmNI?CG!+jS>ro=y!dK0I`F3C?nJw;@o!4nksZqXJF^`b`Y-YG# zcRu^}{A_yH!A}sBoUfNzetOjWrl_pUt $Cw3#s zdj?h6-?fz#b=~*at?En-Z_vRkgCfxhNtS`-k3Llfy{f#x#D8%Kdd;y(=hm2kQ+0?6 zE_DU=lKhy~pq{_Ml3vV)m&6)^$SUEdVHPE4KlPDEwC0mPx+qozSO`oiuq#d#_tA?*}UO=h~-N_~AH2fW<~vE)3R z2hJ_H3{4}&eRi+kf;re}_eConF%@%P-qvDO3&~u+WWRHVVjKLxL!!}<-EFn_z09S} z^@QN}q(h&0XSS$Fn0*?C6ArcydKPQ893wE6$lR=R&&ghBry9(%l4hI!{BSdUJsx+- z{|%O6jN&ik$y%&86*PL(~iXMO-x$PPT%=c~y(E{RDQ9`Q*ujd3t3-8~Jf=@wrjQ|_3!spiaI zJ?A_niaCV(B{@%5KFz(Z`M73IFvgM8*w-76ZzEIng1bHl8q7h>uu;3udhW%VQE#rT zuba7pvHP8;0{9npi&9g!Ra@UJp$sOG(mx1E5(ECuY&O)_ciE0i+Sg0rfV;?>#_Z$Rs~ z_qDsPBs4l{-8zTvov%-j?8d3(joLXW?CjDr?o1a5%*gZBN(K`kg%>U1!9{UMPO+i@ zN%gR_SDhawgMhLZ>tOada+1oD~2)LD1*yUqvM-pe`eGQY5Nao8Zg}?uA zB+gsQ5H%J1%AcI&xUI)~MLAnT8pIz?Ysj)kbG1>JztohHyPr@~^jdLHq2j7;3fArX zeNx`%%Xk?b<1?kWnO~03M&Ou6km~A^|5_B-LizGshD>;b*SL{&fz6VfLc#GqD~)F& z*gbQ-2Ldir;0?58sdif=WrxMX3h!skdI$Y5GVvKnEasn|5P11%bV;!jz0Kv51Zy_+y%peD1koTA!>3LmWK%^_8%2-$RoF6%&0POBRlsN!Vd)B8kdK2UOPf>T*h9LCP$XZIzhbxd8` zqFSRZHw?AMI?@|2=*j-M3wN1kh@?}O4oui^>G|wIj+hgHTC6s3U@;?z>y>iyJT3v& z5Xb1ih}Iy4!-5F^KDNQ3zvANzEIwnvUoRN|_NwlJn+XUCIpY>mO9n4k$41-Rf;kSB zmUbZzX6}RFOA2oneRx~(e&<}6>rc)CHxnbtYEQ1Q)!>69Di9j;?0GCZM&pNAF9~)L!Y&19TIzIN}#xgsqs{Vw1!yj zkBpm^=m#fu)2}<`CG+85P8m`k7>E#!Mdw60r&vGRXGsB!JuQlB;8P>N6?U#;i3xnf z6)gxD^Hen=B! zNuvIB{vD3l!~V33hV{6=7yvM$d(_^R3R9B)T$O78S5-y@yr3-dpu8lo`4^ljMReQ{ z>UD3f;dOp{GpA0@HAwPt;>>Gk;I9+Bs<>6r8Wo9`-JvWU2Z7YKVzC*$mfFhWxTool zZ^|EIB*N6Fy^5h^ z?G^i@f(KO%$v2(3^H4PC8(jl(?#7C!Buz$vD8+?6O=8{zu0G(XSv)g1MlGb2hGf&M z{E)4YS5(v}tYwmf<)rgnBFlVEN-jBHC=&D>ggac=HPE*Tp%i;W~;>F(<331IX zs}oxBI$y5!!1jj)CmaStY!SrU?%R4!6UgZuS z$+*n}8{X5Z8IQ^c#b-8HxGbiarhaI4Fzkn}nvkpd6%tNRp)_JR3Vgv>JVnmOf_BN< zpMB=j0K;jc=xj`p{ZjR}1tWncV2pL&-GSvoPO4E!tbZ+cqASo1IU}<4fMuCS#b&_T zP)x7tcm%0ab^!8P(>u*oH57yzd)1nEgj8o6$u$ig&ij~1&!jJD$peG1&0+$j<{Z0L zP)ur|>W{54s(j{Y}35ft}x5ZOg^f z)lBHG&-Lnqz9;EuguXmap_AN8egOf2eyo|3S#{_W1nn~tXZop1e(BS#)-#jBL%}=4 zIc@Yt6`4#0M1@$&trTK8Hx5{`)Z}SapyFel2-r&K!3rP8*BSMF>~``a^O`gU-z0*^5lrfU}rhc?&NW* zk1J@L0c9cj;MJ8c#b2e?_rPM3hff(PxBxazidUmMXx(0ZUm_rSd4KriY|m%3MM!*m zwD7KDJ(0ygAv5oh+Kvgqc-+0t1w50>to(&pgEVz0q7`dJDc;1sy6~DXd5ocE^7T%K zW&!a%X#)-y(HMX4I9jFfyV-aRViDDtJh)34kDu=?n3z!<3e(q(^trQG%B(a7wB0fi z(R4)*{Jm;n!T3Fmh_)-N;3v}~FV_3x@}s|Mj#Z4;LUL36r-lCw&Z9pf|B|aTuqDfA zAOhU7NJHFZ01*X^H^Ssp>9f&S0HvfZa*TQuT!r(x^t~argULId5y1n=F%?Xcc+)~h zp72Ju2ioRbj8!4ZD=3-m&6v$V`N~iZ%=v#bYZzX*W%4>x#d8%71@+Ie(sx);))% z=8i0cdmp*jyuaU+o*rEX`Zp(kS0*>(D|NxxeRBIu(Cd2&BoaKkusa7yWN9BLKZ3Hc zcSANd*ntSRCUjgBS!-c~6GfybQwsASfqd$RS6x_1_|~-{(m7p};#U10Gqp*KB&kM9 z=!A$YM95%`)sA;v?cN=Y=I$D+!M=C3`F~^fGqKIr@Lrft+)$60S0>vV#u9%SrvC=# wB)4<^H$1QXb71@rV*mf9|Lk4<4~@hHdHCmyJXj+u^xvwXp{lJ?q4Xm3Ux?8Y+5i9m literal 0 HcmV?d00001 diff --git a/waveform_1change.png b/waveform_1change.png new file mode 100644 index 0000000000000000000000000000000000000000..1b7f65e3bf247f273ea2b122c8b82e7e2f761f64 GIT binary patch literal 24595 zcmeFZWmH_v)-FnfkU)Y42n2035E^$24k0*=OK^7@Yb1sf2oT&YI0W}53GP7}YuvqY zm)nqJzwfudea^Z2o^$UQcZ^*>=LgDOgZ$e?>W?_ng;x-Nn?RwP2 z@=y$soKCf3)=8Xw-!S#5^Z84A+zbQ-&W9HzNz;AS#qVU|!Q}Vf;9_97XFn-d1Q5)P z^Ae7z$B>!yD0{~cl_$A@?efFp3~}a(UO*r$ z{`0vy6V*?76cqIP7RW(q$i3n-vaH!!j?ai%adF|)80 z1R&}f0TdR-f&g_+ITks4F%xqOX%9ye6%Tn;BM&PhUSoi;5W0XHAF=@(6K4YoHydkP zCq6epz)ib+$nV#enE@0xRh+E^0UC0O6k>LcCKMb%4j>DYgqwv68$bx1Lcr13luub) z@^=%+UxEO0XJ>mpW@cAcSD-69(9Y3}nU$B9mzjl)nT?GJS%b;R-PYN_jmg%D>bi+v zZHSvV897?mJ6qV-{(E(2 z3)BBW_3Jx-Rlk0iPtn57#9Bk#!p6kb2{{Bo04paa?@eoe-PZiuZFU~^o4eOd@yXa2 zn3;GTkLjMcinb;yRohuT&Wza-* zAc5ZzI}2#Q-$)qNEr67izulzvlQ20`ljR% z)Jp&Pt|;gn{^xtD2f?%i8=>AEk-3iJ7&7r{`k1LEcfom|uvs$0Xco(hjk zb@wk#$hBAD?FR7JUR+gu6`p&JSCG-1q!8%p_)xdyHUQxC{p3-87~6aOgn^E?V&cZ# z&?GsRq?aMemo$*iWt6{L~RmuGgfXUzknPC=C5j%I$8`ux~mPoV+yFH z5F8w(paG{qv4PCdhdu`tvwF9Ocz(~_kpDP1-0d*A_p$r>ewL~XmV(unh>HDZXzE3y zG2|?66IZvB+}7X_ZnFCDBF?I+4=&06~$$k-;kDcJ>h-97(pKFMU1CK+4zE>qF`g?<$M|XN9cz~B?j$n0~w2T_dIsxv!}X;(ayHf zizG97U3?Cofp_!DM$qdH9XS>!I$7YA2TlvPI7W+PBx>gqG`6R)vI(}CgpVA{!kwcX zx!al-u^e1y*VxmG^P9huPM|jD*=`6YtzYb)^&L9TVV}6$t|62iplYG`M`ER>guHRVSt{{O{^a zR(NP?nTP3ldARO6VY7S=j}Br$`z4h(@$-(=qT$lUR!1-RGvk4{U8S0Ft2&q?Fxccv zdgCXp<}56pXfmVNGNW%Ol^VUF7G1-sTxIZ>nvY|~w0_!=Dw6CvWd0iLUARQ-p_lcC zscGwbDthLN%__Q+^_GaHbqs-f-KQMXmlGWsQkNR;3$Kq>5wFad53tOuhxR2T+-rEp z;)sB@TQIH&jp&}Po>_YyV3F;x=u=F8BWwIQQGdfo{6ghE)4IdeneN51B!2#69w#7G zfr$>m{8%46o|4U!M8E7ZAZ>3nu- zt-nTM%te}0mpUu`Q;Mrt#xYA*R^Kz#U${ z;pB5-yA;Yj(|Z-3w&E!+y(9pew}LT4CPiG+5S`Y}EV-2Bac6}4alXkFNiAQgE;E`~ zQjd`ctH<*gf> zAnhp?`1D9sGN`{K;pomXIi2KBK$eyx=4KXDKgDD- z4`^y?PwQ*Q5z1t#R@b^?cg4S=1q@`9OgM{gl2RPlm(t4h_OL?`5xT`FQe@AzrazQe zaTf${hM|pEmwMOw7c06i4>6Hyf~p+O3A}rqw->(Ok0BE>ECTA9q|aU6ZyTTT8>;Hn zl>=i{K)se~$C?JmS_`U^GAW?Nq$w6FLmJWeaDHPmv!G4VZc`_GD@)iKL6PnAuC?Hx zmjn+cn#O|^BuSv%Sn&jaC2Smrq^8s3%eu{@J*@H*f-nw1nQ~W}3Q)#b!U+QFx~ezYsN(rXIC54Iu-4Q!Me zLr-*Zp)X#u5ffqh=WSe%A?>5IekLPiFgi)B83)JjlhE5Et|%k&0cQJ{b3d=_p9C$d zdjj{M0!8-l?~{$<_`gYG-y%Xfwjw7AFw^)}SBn(A5|B zP{omYk^TUyy3vL7Yo6@1&(|rQoxvL276n_`3~S7L@1Z}yYy-+!m$$SYg;M<9iEqBl zywyW1zD4#U7QCY>P*ckrs3A@bZeH8NWhM=J**Q`o zuGTw%+1KO!C7%jS^UuEiRcW-`-TQK2Ih^VDvo8kUJ`?@Zj$*@jk3`VNU@NuNyHz&Y z%W&k9BldYu6T$1~&eKWkKnZO2t^V|c;`#%d5LZm+O*OXqBhX=s6;_iDbyt(a#=+&( z@&5kMe)%Y=6aN@~N=)MX&-n|ls)50Qfg(gAp&Cq-0+0Zd#cDMn*5GmiV?AwFlA*u{ z7L*SRlU`+xscNBi*z5Xvy3BY)D`)gC=v>Sf${XHu0D+`kywvoh85MJ3YZL2r1P3m2 z6h&*(Nl*N531@xXh>Ow5^MQv2X$^SJm#&`3(po7qK1TNi@b6x{W^4w5!4EuBu!J4d z)6{ZziwoCiM0G&TCWA&M*2(FyCK<61c3EY>?;#!mJ`8|wL)XMq#@^7g(Mr~$4mb`gwy#c_1$e$9s)`&z8 z4u(PTF9m~jxHLv+Zrkm7uoay2$VRe0!fiIJbI0#C8;W+`s2G9_{G$C^xkop)VyoIWHyU9>EKV8nV&BuO2gHq{R3#(&mYUL11v(wzUs}onx zEw^DP&fJ%{SH5pmzkUsRdB&)$;$je4RE{3Ox+s2La^_f>YyxwYmslK^O*#(94_aee zqNB!t68HpD;oI_V;mO0fyxqyblJ%2Wv#CbUa%Q;dMep(Yj;E0NSmJ!%i~`+U9yJiU z5ciA;3#jEl+b3?CB_K2sP;IHKtJBwS=NhP6!8jIBoficcg2%}*j)vnuS)L7{*KKDMUJQX$zB_8z}S{g?5u9}O9pQ}X*lQ~&= zbIedczUA;HVTwHP=hWqQ z1K3bjOnE>)dWg*k7Sy83eOCWjEAeIs)sYUArAAXdMs74)Y4xOLm)+`1RhbF4I_RPz zI<5ER!PkoAIXv8A@-uHyMyqB}q_E!r;ne+*^UD`sh#WxQ6Dyof*Oejxd%O52SC8OF zoj=$annBZ4=S31VJ)RSwS(Jwvr}1~+t>tkQ#fPqyuyd&q%D940w%=m(TKo*l5%fAg ziWGk3e(>!hoHfC}D~xunn?}&YuZN$*>f4NaHb~V&+|(C?F|z@@t$a&X!eXRULP%Z! zz-B6$-2d_1MfVu3SQ!32Pti#7m?qwxElO4Ed+ufqM=54(i37OqfEfx&|0JOUJ0}e| z$*ih+Z?l~kvP=*fxs9W~D4sNZ1s&;iZ=`u*S;@Xc8qaAkx3#3LTbnOiSHn|RQ(Ycc z&3v3NSsIGmjCobgq4f5#ZcVW>YLxR>M_Lu$>D+`4AUFiW!~M(IEFx^Vbd)InIqn?d_5;tf{E{DzRiQU~_ntO8 z5h`~BmEq?Jnttd6OKSbo#yzy`vf|HWX&@-t+tUS~DkFXPvaQZqi&i%01*?15?Erq1 z0KcZi&-I)f^Tv5O98zAkW4=8l1II#m!cHfX#O&-u}RXD2cW4vZyt-J_h5C+#dxx4*_&N`*4}3suv&$g#^01=P|8O=c)blJUuP~a0QE97r9(RyMaeT|=bqNn~m&qfr znM7?45c4l5;5tJ}ubdDv7OgwF!SHcpH!kJKCr6jZ^YZ(dS&@iN)MdlrN{; zM7RpS(-tj3JW|Cr)$=n#D(oGP_V@Q~uOvUS8Jbp=G-k)%hfMbc6Ddga6))iP?_1&3d=JiaP`nF9r8Cf>GuC-Kkr5d_t)oQD^qx%2S` zJSinQp6`IjhWQ_12Z@O`6x8%i(m}D8nhg?9z4sLsd@%@tiTst9NjM_Nty;RX$_?r1 zcOrb=dO@eh<==_RsJDDs9QJd}iD>Vv@OIWq-B0!_WwS@}u{{neC*LpOnwpw%J9SGj z-n`}~zPKHUL5Q*+PSO}!J))~5+xgfwpZEs%nTocV`*fS>}Q=RKK zF<#sb62RN5t4rv!Mo(*mexq$o(zJ18F3Tr$LNzUmw#Cj}j0F2E(5Dda=!mQ4a1O)C zy_L_6QvA4cc>+bBTo0Ry*6qa*NRC^EPv!C(R(ujQLqTG!@Op_kD!eN{-6uL&D$8=~ru7T3e#DI}-Q$ zx~SAni^ukqAOlmGy1mD?X^v9npZx;v)egE)k3Nt|NoPmJ0P zwh~S{9@YzP<)`P)cG0za3X zGPnI?*xd9ZY6t3zYyjp6PsE3F3Nb5}46Y|T;lv0tN9fMH6I7z}@$MW$RM?pH zSttH1MKVIY;+x6K%=bO*icym=a?|>PRlz$T?f5Xqy(Jf>+qwSLcQ2(X5D0DdD*6h2 z?q=Up5uIopLfj@5Nn}S3vy)_jvsy@yy>>JnpF+6Gs&Fz}i}hq$2;38t&)$Y{6>URKsc#bN{yfwA;#o|H#J0g-=6ZfPfJHF(D34&((5D#2L1~ z{IT);2Ze1d6b<6p6^Gl4eTBXYrBW-uP0jRftlVfGNB;h?-|`2bb%Ts`&X3_ma z=iTTiN}wv?oTwpElDFoN6WE>ZU%*Br`T|T@#Fu~KS`OQ)mnQ!BMH7bZZ}7G0*Emp6 zutUxNxJqy0XDHv@Yvww<)A@`fcjWQjsNNU4@>nI^Q6l6di6N&5`?~!n@Qhk)b(N|2 zmMBi)#K%$Q6T#wq**oO>f%S>>du&NBC}6txVZKQ?4wS6O$+Q=_UPK1gVaP=#cZ4?` z36o&UDnwA>22R%VcME0Z9YMIM&jo%onqY*tAAOX5#Ham2T>K(16c4B0A^kGo*XWEO zC^^Y3-4dmkTEyYl4S8WUJH>vPh3sclHqQtG#Q{}6`w@r;LXZ<$0o!R?uC7*)I3Syg zN@ya*w%!$W8d2d@Wp~Lb*`SJJtXvQA^*PliC$MI}c^yLc_vv+ySt!FNaZ&uJn# zbMeuAMZ-x?yuacD+)lfd}OFq>zS2ZXrQIPC+J@wh8&;b5unHe_WPrj91+Q zS+1mj$ZYC1a*dhYwk|xiX)e_gp?racm9e9AG~4>5NN-1=-Dc*qrx77xrMK3cf>V5| z$dUv8*;B&QdQ2eW<)9Z3sqvhk&iU$j<$d>?sS*e*c(;7P6%*}zxVyjhRq|$_iudja3i$h^!Tu{ zP~5hMTHk%AQOEu%|4>3$UfIJ78K@A6ik{Uw6O;QltM<9Owf=c=lo@1sC_^cZmJNw+ zqUeeEzunQNM^!8)M(&{aW6I*f;8#G!rM99iGG3qaUB+5VTV>~qE+M9*=0l;TA1Zv^ zo`o4X`@Q9Ht`T;Vp!h8&7E}GYBA3;loo-y7mvc6&WRspU-j_vNN?ftIF*RYHF~gYX zydNhb6KX1ofl8~R-g6)oC(Z~Op)6o=KVGjgma9U z^2Bm^V>twf`=Y_J92mi3+7zkS-IDT$k%o{$lRE zB4xcfs6y}W)wGWEshEw{i?o>e(|I@33`-+ynf=c)6We+;WE%`uIKh^lQvuMG zNDzLs`vpfns-Ul=vdYx?rRAQ4@fM_c>HGlSY7RhYj50y!Jqo*l>(% z(SD2c1GStB6t?w=H&=)7KN)fI@gqw^8OoN74>s-{W%cSLo+{8S>B!B^eIT_ggQ~js zg{5h>q(&W>sSdr`(-D0quGwGp*%ScK9XP3@UY%39_>60`yR<`krwe1NYNg&Uz-VOW zK+0!M!u4=NWC%HJW+~7X zkJs*KwGwj)&vnH>zZ1UEoD!Nj>CS5+2fI>~X*yZ;(e?Sbi3BTNOBp3uJVbXSOu>d^ z{X4fw(uu?F!gQou``uJ;VG-_ursG!+uVxzKs6;EP>)>#-{f$K%aCWCxiY#v%G`9X6 zX3Z4-Gykl5TTp+Wa9UXOBW$sK5U<8kC5}6IDg|+DeYn39f%ts84_f9u&C*jv+xO9I zdDcpOmUHPxm+*)fVPH2ZPM6;qvskWXLAq7S(a|vpuWE%b8{3DQ!6~3P9STQk^HZR` zxi_e>EHO9gn$XDP;l289(L^}Iv7lM>B<4Nb*4?A2WIGuEC|duzc!jBr49|`^Us1|j zG~FS0-sJ0@a&tIr^;hGfE+XajI9aqVoA0XEN}P;mj;kj+=r<;%TT`H>T=PMg-Sp|^ z*mlSkvU!X6P6qw+oNaU={TJdLGJ(ohC>d!BIzpzE?n$%BO`pq?I+tFvsCs&T2`N|2 z^?9R~0lWlRBVHT}p#&FsMMy8TFV4Ef<+VhFP!c)bG#pF{FJmL3iZrmsQmhipDILZZ z99o~DD7xoGLwo`V@3lBb^HOFGLzs8~%0$5iX5zm9lg{5PQ4lL)ubf~S;zG{Ns zI*&)}Js&XuDw{)y#?60nP}A~BXQ8(L(w(mDd_5wyWMXP>_-b*f@;CC(JV-0}nIj}K zEYx_XgwqQ#7k0N>r)MI>%26C(>~T6|q1B4Izt9;f(&AIHVvv^tP>}#2Z;wp1>A+t6 z>CJl}|EOZ=Qvdm$LxzRJkt%uh4u#i1+3+K4*rtic?TiSV;I$vU0Z5J$i5rmQCK8d2 zlEHkdQYb<$A7W-S4fcL{=uh<01%FN8cyt7`6eLX6pxe+kUlSdfg{DK zq2~81(vP(IUt?>ehec$maMRTfN|-KTpUT0eSCvdHfN<#r0>0A=-QDyxp%sP7+F+&XM$YmF@3LuNFs?d;4pD?2Mocbb>EJ5;1c zlcY|FkvS7TXUGz~b)XyU!Ef-6>{?vk@c1gp_ha6fa0VG_W-pR&| z2-z3m$34@MVIb=lO|N1+k#0 z^X|y}$!)!*xB9^9kECloQZP-wOKN>``HR4ilLs=Wl1Op{Z@b3RN{BY(X2l9<8l4UJ z+)Ab7G$oYrIh#IzcBcF|j&7QxIQhIa+1d%VPN;iTsvt{O($M#H`!goH{0N4 z`bf|9q5)^Sx2$L5;0jrPwbruPJwiL#qm?Du-91-un6N%n)(XuxQF5!JXJTAECdb)& z^Bph(wbZup*xB*z;UN}g{uf|FrUb>cpimUnMxi}zN<{A0rRG7xJvb#cQl-c0QWqJI zpR+1k71`9~Vk8^NTSHZQ;>ruP`o`T?JG17W3VR$Z%23^w$YK|_uD$w{sYVXzUz`Gz z6)Co%w@ehM_!L}SOec6erOaUbsdmK_CV3K1Nrt(|i`K)JK??w=TnO0xMaF%Q0TR3< z+>^1hLxa!o67?~W(1~Q+1l48&N#WBP13i-+*JaFZ0Eg!GtsM1wNzdodj4-O=1v=)E zOY6|#S95ve8Uje$UCA`ju%w~8#pY3oSd^nmWUy$mFW4+3N{Sfw#ECm2E8+F=YSF5@ zpN|;ZuBWqxvgqhTC!6tWMzI%%WrKS@dF8(#St(Eu@OVoiDQmMAW=f<8CI|wxUDR-` z2KPxqiXSPk0K$|XQiqr-$*P9E( ze4rv_zu+aD94k|Rxt>y}WA-^Mboa*bV`ZfV z8&ifx8jq9k$$N&8UA#+j6E~(I;;mp`wlz$9uzE890hzy+QU|quqhXkinX>NW@gk+^ z%mNGir8?&q^5dlhVR>DJ4)uizzEa0og+NSQ2xR&yRMMurAjPLWvmwiDga90WS)j78 zJWE%0koQzO+NN{;a80^+jZSN)UT@sys(~9 z-&RZ^-V5LoSy#e*>JO1?usS4WG283JVPdc|VAbj5J#1N6EHirwa815Z`*3&MsVBBDr8;Y32E}K^J2k_YHXUahs9f_}At(zAn#ql?c8tdVRx+eP6~1pyz$2v_}4#<8xwHTQ^s+m>1708F(&|3=wNtHtUp@ zjXFg$=cH*s>VZ46-{}88G`CtdJ6Pb@;K$>7eq6*Hj{*ZRl`U@lvhH1^rSeXnirPNm zr-l|aw=>kOAt{*6-e3;n)=<-^`Q#|7HBaptf%+Zp>IH137di)d$L;d}M2l#$5Y34q zeQT+)_mZe|xdm=jOQuq`#M9Z8poCWR7@uNHowK*_(6Gxhx)>Tjvei0B zmbxv5WKwH}C$EgcMfSYqHl8+xzE5S3>xEWrVr`Prg)_BU90lDEXKEC~Cx4{(rga@K zR=N6h4n|-$Orq^5V}i}Q|8SN?T)deTe@hSV>9diQ4V|m1)EH~kDMmQPJ`ML=<;HAN z0UzgqX}G5OdRN`_nY(?BNMt!uEvx|3z0g!8=iFSPJibiuMw=}01$z|+rL)I5ELj_^-sBE`C7ucDkVS0HF0SFVp?`U_x5I}^M_2b<(02!-T-MV( zi&5|-GQPrgT8@o_%4nJwuWK3OCDy#r#M#k>dwnEmjEQp{(+A?#!bBBxC)L=;$RsxO z?ynjB2$PuG*4d2v!8q=}gyNth3a=L$=N^h`qnXpdxGR&$w#>vvn7O`>KQYOfLzQpqpzsJH}rB-<1UL#x~Y0~;0JWmtAT#l_#X!4jX zYmdz-e^F5=D4z#4bg9c&^FLJ_VWUeM(3i!Q9C_G%(Vs%leGz}g`MeDgm#v1%YGT(` zYVCV;wC$)Cci>85r#DRy^MqMs!`GY>CtN01nxWKkv(;#UHoAJto9lCAgib^83aSnXJFpwgM)!CZL&HxfQVLk6F-m+m-?sa8HpikFbY)!HrrLMY@z2jfC3BG(zRJUHJzoYS<^t)wSj_YM zfsT;oOOi4gYn-wLR)HLGHYuQ#*zQr$r1nFq>T7qXnGA=qX$1oYdkyowXF|l2 z{s<-SJIilCfPy0D4a7AfA9A@Yn@YnCZ%;hvPgDsX*P6gS_%k(%1*?6?Jmg&FOTsc| zr^@icgGWf^EZ+PFRG^@ID%Tb}FFmj?$-}kK!{0;|ir* zaW?r=*>Ky+@`u%IQn%^Qz?b6skYc5J)+m9j=%Rf|ArkUd+eTg|gsH&4-> zFx0WL3=S&z=!3Z1ULE~r1$O~ zV=X~C1abux4s$JKsOv7^Ki{0iuL_~Z{L|$baei>~Y|S{kuX?!zmhLR>_|ic?G_6Pg zh|{J;AwBn*ce`8XQ_-Nhy(<>8nUkTd{?ifL9J6zeP~%VZ%We6!#NFbCWxbNU)w|z1 z(lnB8GyU+GWWGkuod;<4b~P#(pXjZKZp zIVVHm`b3cF1!-HtNL33>t1LEzvQ{#?)l>&^sIeDO2Ck8Vk)1F79O3Slz9F2Ew{lga=+@|ze9%!c*UR(%gA#duhk_bNQ!24pn4sTUdq8ZEQs)di?)j7YscP!G#u zwexL|b6%>`fn5Ocnr8Q&+=?-HGPhH6`;HY$JVLj_R7z65v6YFNDA0t{w{`Amx)4f0 zfPwKZ5*G!97_l*)x89E^z;NF?5vkK(j;mN(p<2!ed{raEc;(>bdinLcFJFbE`(||O zjMkC=Pg+_M71?An+bc%qBpGA8q0QnNrrstoE$#-@y2d33yiu9fL_jIKgt5aS^))1t5JsPw%lQ z9nP+(hie=@0gyNBDMmw+0zMaTrUzBvmUZn%T0EXX=bboojcLkO;Zd_gSW@Z}9BS3) z5b(@6)`W}a{b-EWK5R@ead8rr*)7hJaL13my$3!R9vza44W$h) z^c|^eyU2vP^Hfyq2;zJzp#ton(uq`=@yIa`XTpBy9R?}~fx4H1DqAF7Y%!B4ty&Y` zTN2&$Kp$dAf4_Wc^#5__*~Jykqc*9(Awa=wyJZ268w9X!U7TGCOm*aKM8|{u8wKm< zqH$VoDVCN@{6AHp z1GKnpd4qdigwo2wm#3zpH3=n}W>jW6?lZw_{|_~4bm8_TnO-kL*=6W_&pLb#cb+Do z0o&_pXp|&he*V;Rly+~hxL7iutQvoQysRxgCb6)~Chu^D+aC%Il*IjZF)8P_`up6_ z&woqJE-TcY=C=*RR{fZw<=fM>m1ztxf*rI#5ojjNS6o|`I8xu}40}B0FZ6H|Zjfe- z$|YXem!Hz&&Gw3ad1e1QdNxj`IY39Bn4Tc~c#v5;s^GKRPRrtMJ;aOp{{+y4Ac1D* z0xx^SdSyd=b(uJoBH+(v9Lmwnyw^5*T1AG)9o-2YczsK|$@^S`jEjWxV>NL>G7e$h zO*{xCzT$rlF5Ar1n?ZdVM69ydgn9V}^PVkk%F8R#Jfl2#9T#5}?jaWYa{~n>Z{&HcbRM2c z!}(M|!QxR@NvHYHAJF=OwOblHu(g#eE^1tPF=!r(-^t&bC!EBYmx|7`riU9v^!73iGVpl{Vh=T1bs~buaRI-ch)&kpO9Td4}n?cmLywa!LI)vJuSFO$DwR}ff35Ec`fUfSu zu=}X6ueby^l$4ml;_S!ac{kVyE=?UVd=aD94_g?!A(s75e+vbLUTqnOtL?b4N^1n=no%wL46AQqb}8c+sSeOX5KSSDD>LoriWZN#owf z7x|sh+Nkv$lft%}(}DK@fQzKf#>oel(>%hrh*T*xC)cR9rbNIIP`8&Y*>jpx3C^(@ z+X~|~YTJKiH31bSITL-ul)VyPpptUcSz-P}zNIV$sdXKQ1R&cJV~t5f=9k+1#$X>h zzW_IvtS3kUHdAzm)(+nV%m~Rz z0>iJo1d7Zp=&;nei^+mBx{@UWkpWpoCWX08>mF>Qk+VJ0zsan=cKUP41Gk-gAN}*Z zbI~)~y()=5X5Fv#`wTIJVT(|W?-#12i2-+e3nvP+A0^}JED4O9M>oZ6kwW*=z=c|J zy5xcfm&GYD4WS5rZ}8ADtisdVh4jW%{mb1Iz?kB4njIroYrfZ`NB;VNTJnrX$hGU) zwf?`slhP0Wz)DUjHgQFxHk?~S;aM)>L32U~xRXWw*|tKJLga2ryE%EAcI-9J&_@3B z+7zg@vatQLd%wTTOr)G62TqfF0RBObj=@m>?r(X0ERUJX3D6TjeAjUM^REbR>-O&m zugb2kUE1aj**!UN!}Ry6T5oPnM1FJhFBl}rTxT^#&BLHVzzkdc%x^3oHlG`R1e0pRz+k@sj!B@@CEIYr|J z+ou{F-N+QLwW(GjBGEUdYj<&s0to}1LrrY2W3T}jgh-o22KU7ljm#;+d-5hdcwf5v zB->al3lpj9ZQ5&%@xs@R^C|U`Z4JY7`c66U^n`zF;5V%XW4hETore>BEj8)Pq3Ouro@!SyPD*S$ zxopvdl*(%J{<8^UoO4&WJgXJBoE~Y|@Ushw>RNPww^l6-J3_2)r=WA{3AtCTTv`^e z9h#Ref9jRz$^Ucm_=U|-Ny3jMDeIt8(xWL^8=IQjVQ=mFtWrv>+T@tRLF+q_4e36D zv9YpOF-;73#*%6KWP@OT3$<|Tu;ghv*+u^nJYM!$h16 z|KRnX>rL94s%9>igyLq;xnQ*wW#zvJ*D?nH-tLcCchD~w>`Hp>A0FV>VQFPaOI8xHAK99{ni7Dn*XKB@gt;Y0 zUNxLM+2LFh>S0FzAUxLG#GlR&IT@-i9x_+zsmC1qizivdZbkaRygcI`pcmi>mB((_^*~@+qv3&FJETW8=g<`kNL93wCkzLFQ_w z^tK0UIFf`$p*0|Hz5@+L_G5Z5Af&r;o;na zPp+;LK){zGZJUwhNM@;tIMNl0(ns-6vvLg~JfTVVAnQSVArDHN5ZvQTVXHiuQQ)y< zgTE|F_!nSI z-MNwpLPpPr2BR@MMEJUtpXAREcANFBz6!p3gWA7_DlTq(Hq3jV&FS;5@=n#?D1aSo z5wUPVzqZdRJny7*9;x;PAURf&dA?A>YdoClAf?Y145ts->=Gr+y(J9h778>D)d@Y7 z)H&ER0^ERg;PqNEf0vTMszC#Y*B-?G7mUnuT;;WixV2tBK1crF7@1jZBqK9*E7d~! zmGh^U7@ux7jKz7k29z?jQh%__i)E;pci4sLp0cAcpkh+(Jy$Ir3Pb!!j8PF@kgyq6!w z3iKGLmHtwG;3Wi{Ea`JxcC3(e}9K7@uO;e;t$K`tX)uuhK zWXQ78j@zK)3!cO7=Y``t7&@13?myPxehkBAQQ3roXL|eJK2|vmDD*BCCUKaEMeHbX zy&%78%GCTH1FfuwUIadXz?a_&#OgU=2z}}iGc_-q^D~Ovu(0W` zqz3w){1Zer*R-?SuI9fuaM>IC2}FWSXI@%bn_`+cxB#h8^JF=3s?yON|H>bl;XV)s z-4Rlrtdnl-77La_s~ZcnZegMpG(C41c_D86>O3vqskq`9GOcA2)?$<7f1x6s$+KOa zuYM)6sk3p>`aE9v6+>lID4e1=ov9goe|4I&3 z;Njw=aNy_Dxp7kWNy46|E0mA@Uug>Tvs!L8J_{R=WHX-bEb!;6u>4nf#ixFBiars= zSlO4&F#_yai{B*}dl%x`3qH3k6O*6$O{O$;j2@hCvm^9{#$#-LV5rIIxLM`tf=g)e zG{?qvi6mc}wJp$?uG#O(-$<+NP%U{gNhM6uB)u681%h&>tb$8z$T*No*wt_IO$iDUvRpssh-=} zP^lpl3QzaU`VW}T%AIlHXAjSOAV*}GAcJX#8~U5mmhU&P#wCDlanzWn0TvvSjA{a&V=T&jxrr8v>%Ib&qM53rAJu0w9 zl?@4T}R&=iV1SWERU>6>K>d(fS@8mpNqmwTaK$-`KP4&b3J>xO_gO&w0Q>} z-&8OqIZNog9L6JqKSi~HW+cj7olqo=Gfc6UHSdzAtFt+sW<0MI-61BZ@C*IDH;-!h zsU;)NcUWs0$?F9}Q~8Gy2mR%_nc5KKl_0HH1YY@0$#5CkV-VVw16ftI+2*Vhn8;Cy zIzv~B_wq5;+6D*^hYdd;g5V^?Mi>Y4N(q$l@>viQvzM%NiUfv?2(+UK-gxp!KTD~e zGYamstEgmsf6JOfmJ?iywet74-?M4jYZ5s*3XMB!iwZ~FaY$|OOKnJv2@XeevV^n` zOP$5NC3~1gAth?+vh^@1b%85iIPlQ}mj5;5O#HpKu;KT37+*~k$_oEfGZjWM5 z42dMqT9rFRV}dyk1QmH~ctiT*^u)iL#zfJEwo8YwrO0!puW7DH6B^2@zT!@duvAp| zuk-xZtD5QeO#PXxnuJN1-B#53wwJ-h0D#lWRAZ(icsV%9_v8zAS8e}7Cp@2Z267y| zv+l~R>FAO|mg->^+Z^lHHT5FMc>{3EN1)J0*C=pqSz|xhygD-Zyx(!E1N3Oz(e8@c zjWDW1Oev+7quF48B4Yo|zD?xGk`#KU-%tmre6%1e8;cDxGs4;D1H0Awo{DO2z*tkH z7vEqH7)*~Pk!}7aO$P}^Ij!Q_DnK6#N1cAqA8z0h0x4ox**cHw`wURo*TXA&eloV% zDz*B14PjfQY9~kMA0=IRNP{M22cNujUMWSB8E4Z2< zAV!!stmi(3(S!Bv1<@RE?4Y!=#EHu|nZKr_ly}Rn0MBgIx2!Uui>v!$og)x8atZn< zx$_b%3e|I`3QU}MpGcWa1^Gd#4zw$<9PN{iefCN3DvXBq)u5R%YVI!$z6M8R?9M5E)#husuzzzb&pVT0r1TbIK`WNRw6uT~aF1v1Kw6$~#WgI!U%3 zSBLg$Zh~kwGuNmG)COs0{y)#+hRP2zrC{!p#%m+AHrT!uT+e?rFE$;&;}d zcNC$y-gu#hm165$z}ZX4u`@<>oK-V?I8JsrPQeqgW9blXh4$hr8gN_ai-`1}f<+JD zLN-Y<2h_zG&TvPu4`ttUfKmsi`>`r=U_>Y9X@&NF3t07r z-j3L2sLO-~&)(?jNp>Ukze=98u9ru$r;P>^yq`G1IP4mjt4wn`!mU5f%uzK91X-N$ z_~qDj@Nl=OWzp-UcqnzoCw4es>VazYKJ?CK@7^K=Ujf3$`uPpw#n5UtlJF9?)UG;! zdO{{HYBol1mGN|g27$g_xvA_@JT`%3vQAXl&l)y+KBbhGnN}!k6F9p1GMDjd!oj%R zZ?ZN8mUGBXVPP;VK3bUwI}i`aX+Z!c)^Sc4(l!~QqS7F&H*pxnF{L`WDCJS!m`y|) zHdH@nxUfl;j~yR7Zc48jvcat$*^?X9H2KsT6d}1-*Hq)$ literal 0 HcmV?d00001 From c27dc44dc319983d1f8312b9642f3fbf2e2849ee Mon Sep 17 00:00:00 2001 From: KaitlynKeil Date: Wed, 27 Sep 2017 16:54:17 -0400 Subject: [PATCH 5/8] Adding a bit to the report, commenting code --- Lab0Report.md | 8 +++++--- adder.t.v | 4 ++-- adder.v | 20 ++++++++++---------- 3 files changed, 17 insertions(+), 15 deletions(-) diff --git a/Lab0Report.md b/Lab0Report.md index 69fdda8..a5e6311 100644 --- a/Lab0Report.md +++ b/Lab0Report.md @@ -9,10 +9,12 @@ This is our waveform of all 16 test cases. ![](waveform_1change.png) This is our waveform for one test case, as marked by the markers. No matter the inputs, it will always take -350 time units to propogate (given a constant 50 time units per gate). +350 time units to propogate (given a constant 50 time units per gate). This is significantly faster than if we had decided to use AND gates, such as was demonstrated in class. Instead, we used XOR gates to minimize the steps to completion. ![](truth_table_l1.png) -This is the output for our test cases. We tried to test as many edge cases as possible, such as large negatives, large positives, adding negatives and positives, adding 0s and 1s, and various overflow scenarios. We started with the four cases in the in-class slides as a sanity check. +This is the output for our test cases. We tried to test as many edge cases as possible, such as large negatives, large positives, adding negatives and positives, adding 0s and 1s, and various overflow scenarios. We started with the four cases in the in-class slides as a sanity check and to examine different overflow cases. From there, we went with a few base cases (0000+0000, 1111+1111) and other cases that seemed like they could cause issues (1111+0001, leading to (1)0000). The rest we filled with a variety of random cases. -In terms of test cases failure, throughout the process of building the four bit adder, we had one failure, and that was due to the test case being incorrect (we checked on paper!). \ No newline at end of file +In terms of test cases failure, throughout the process of building the four bit adder, we had one failure, and that was due to the test case being incorrect (we checked on paper!). + +Our main troubles came from connecting with the FPGA board. \ No newline at end of file diff --git a/adder.t.v b/adder.t.v index 38a4baf..a04d05b 100644 --- a/adder.t.v +++ b/adder.t.v @@ -3,14 +3,14 @@ `include "adder.v" module testFullAdder4bit(); - reg[3:0] a, b; + reg[3:0] a, b; // Set up the buses wire[3:0] sum; wire carryout, overflow; FullAdder4bit mut (sum, carryout, overflow, a, b); initial begin - $dumpfile("fourbit_trace.vcd"); + $dumpfile("fourbit_trace.vcd"); // Create a file to view waveforms $dumpvars; $display(" A B | S Cout Over | Expected"); a=4'b0000; b=4'b0000; #1000 diff --git a/adder.v b/adder.v index 965bca5..23f77c3 100644 --- a/adder.v +++ b/adder.v @@ -6,7 +6,7 @@ `define XOR xor #50 `define NOT not #50 -module behavioralFullAdder +module behavioralFullAdder // remainder from HW2 ( output sum, output carryout, @@ -18,20 +18,20 @@ module behavioralFullAdder assign {carryout, sum}=a+b+carryin; endmodule -module structuralFullAdder +module structuralFullAdder // Full Adder using XOR gates ( - output sum, + output sum, // Single bit inputs and outputs output carryout, input a, input b, input carryin ); - wire ab; + wire ab; // Calculate the sum `XOR aXORb(ab, a, b); `XOR abXORc(sum, ab, carryin); - wire aAndb, oneAndC; + wire aAndb, oneAndC; // Calculate the carryout (if it exists) `AND aANDb(aAndb, a, b); `AND aXORbANDc(oneAndC, ab, carryin); `OR aorborc(carryout, aAndb, oneAndC); @@ -46,11 +46,11 @@ module FullAdder4bit input[3:0] a, // First operand in 2's complement format input[3:0] b // Second operand in 2's complement format ); - wire carry0, carry1, carry2; - structuralFullAdder add0 (sum[0], carry0, a[0], b[0], 0); + wire carry0, carry1, carry2; // The only additional wires connect the FullAdder components, carryout to carryin. + + structuralFullAdder add0 (sum[0], carry0, a[0], b[0], 0); // First adder will not have a carry in. structuralFullAdder add1 (sum[1], carry1, a[1], b[1], carry0); structuralFullAdder add2 (sum[2], carry2, a[2], b[2], carry1); - structuralFullAdder add3 (sum[3], carryout, a[3], b[3], carry2); - - `XOR whythis(overflow, carry2, carryout); // Our Code here + structuralFullAdder add3 (sum[3], carryout, a[3], b[3], carry2); // Final adder has the last carryout + `XOR overflowCheck(overflow, carry2, carryout); // Returns 1 if there is overflow by comparing carryin and carryout of last adder endmodule From 831ee9758cae83f4b47267034a4143e374e232c5 Mon Sep 17 00:00:00 2001 From: KaitlynKeil Date: Wed, 27 Sep 2017 16:55:39 -0400 Subject: [PATCH 6/8] Including adder.v in lab0_wrapper --- lab0_wrapper.v | 1 + 1 file changed, 1 insertion(+) diff --git a/lab0_wrapper.v b/lab0_wrapper.v index 3270bd2..999a899 100644 --- a/lab0_wrapper.v +++ b/lab0_wrapper.v @@ -25,6 +25,7 @@ //-------------------------------------------------------------------------------- `timescale 1ns / 1ps +`include "adder.v" //-------------------------------------------------------------------------------- From 431163e619dae15d0e1f7033666e08added4af7d Mon Sep 17 00:00:00 2001 From: Serena Chen Date: Wed, 27 Sep 2017 18:28:15 -0400 Subject: [PATCH 7/8] finished lab report --- Lab0Report.md | 45 ++++++++++++++++++++++++++++++++++++++++++++- a.jpg | Bin 0 -> 270968 bytes b.jpg | Bin 0 -> 248262 bytes overflow_cout.jpg | Bin 0 -> 305718 bytes sum.jpg | Bin 0 -> 313305 bytes summary_utils.png | Bin 0 -> 9111 bytes timing.png | Bin 0 -> 5923 bytes 7 files changed, 44 insertions(+), 1 deletion(-) create mode 100644 a.jpg create mode 100644 b.jpg create mode 100644 overflow_cout.jpg create mode 100644 sum.jpg create mode 100644 summary_utils.png create mode 100644 timing.png diff --git a/Lab0Report.md b/Lab0Report.md index a5e6311..37f38d1 100644 --- a/Lab0Report.md +++ b/Lab0Report.md @@ -2,6 +2,9 @@ ### Kaitlyn Keil and Serena Chen + +## Timing + ![](waveform.png) This is our waveform of all 16 test cases. @@ -11,10 +14,50 @@ This is our waveform of all 16 test cases. This is our waveform for one test case, as marked by the markers. No matter the inputs, it will always take 350 time units to propogate (given a constant 50 time units per gate). This is significantly faster than if we had decided to use AND gates, such as was demonstrated in class. Instead, we used XOR gates to minimize the steps to completion. +## Verilog Tests + ![](truth_table_l1.png) This is the output for our test cases. We tried to test as many edge cases as possible, such as large negatives, large positives, adding negatives and positives, adding 0s and 1s, and various overflow scenarios. We started with the four cases in the in-class slides as a sanity check and to examine different overflow cases. From there, we went with a few base cases (0000+0000, 1111+1111) and other cases that seemed like they could cause issues (1111+0001, leading to (1)0000). The rest we filled with a variety of random cases. In terms of test cases failure, throughout the process of building the four bit adder, we had one failure, and that was due to the test case being incorrect (we checked on paper!). -Our main troubles came from connecting with the FPGA board. \ No newline at end of file + +## FPGA Results + +![](a.jpg) +![](b.jpg) + +We chose to represent the second item on our truth table (truth table above): + +a: 0101 + +b: 0011 + +expected sum: 1000 + +expected overflow: 1 + +expected carryout: 0 + +The above pictures show a and b. + +![](sum.jpg) + +This shows the sum for a and b. As we expect, it is 1000. + +![](overflow_cout.jpg) + +This shows the values for overflow and carryout, in that order. As we expect, overflow is 1 and carryout is 0. + +We also ran our other tests from the truth table on the FPGA, and they were successful. + +## Vivado Resources + +![](timing.png) + +Vivado's analysis of the circuit timing aligns with our waveform above--if each time unit is 0.01 ns, then the worst case will be 3500 ns. + +![](summary_utils.png) + +The resources show that we have used very few look up tables and flip flops, and that most of the resources being utilized is in IO (buttons, switches, etc.). \ No newline at end of file diff --git a/a.jpg b/a.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fff282198eb2c896482e14c144b24f02d834977d GIT binary patch literal 270968 zcmb4qbx<5n)a?R`ySwhX;e z@7Gh)HB&Rw_l$JUz4x4chCikUc007d<0(f2q$ODj({wx30$o~}}%6~N~ z5QqXqLq$XT-xVDb0}UM$9Sscw8v_&Tzw)w$gN=pr-_C!H{GUsaQBhD(vCz@b|M!&t zuj;uUK!|~If_#C3!~{SlL_#4%dL9PQz2F3*ydVSo--7yr3LOa<1AvM3a$K9>1wHZ$ zR5aj=X%r*?F%mKgkPv`M#E6E@FH6j%i(%^>o_<0iPy)dO4gA_5l{+O9)FTJmy^Ek= z7Lw1vDs9pCp=4ndR`4Axdufmc34r{cz5i$MWfzDFKtsoPIVwR2`0v>uy_iBq!9Yer zeSwEeh{6aY;zuQxMPt$>5qL2NN{676f(7MD27U?2GYi|j+c@{AV~6CCPfq9Ur!2YU^81=IXT9kuaz{a*&kuxcK5@0??C2Vexuy zGe|AC4R@)_sI5Nw6vXnh^B$UUm+;U}?E18%n*1ri6N5yRMp3D_4($-0Ah@mp>NHtF zpRIvv1|q$%u^%tz#?~d^V@83PQy|#&?VNB#B4YpXq)?BUWcmt|;zZXgtYq(d@LrP|h2~mn-9d z%Z5HVy5yn!WTe9rh!o!CC-fzbXm(HK`!^e;uH#6H$pn#aHVrAXJDNNrab10g7dZZd zyN0j>W!ikj(F_?B>LAq#nf3(jekF?S3&8w|2D6O|r9?LLdQGrR9CVTW`%UglT5Lz%O^r>sf4{-6 z^~>OEJRdXM0}2~jC`Q4rSw~fgGT|rJ^QSOB5o%SiP(VW0>TU9pyxRnk6vZ@@MEepM z%vKkWF={R-^{0kTZ-lwzaU@Ks0GxV zDh=tcD*@3YjiVX!dH<~B$;~YZJi8EXAPqLA1Y?Qp9Y>#X(|CY~a^ui8dL>ChOr^qU znG}y2Kltu?NW9>KPJ@nahYlC!%?8n6(G;I=%wmeIAuvL&dy8e*I^=$xuU@CVeS*CdAtt-I)#9#RFhrGMAVf-B)R#LD_5qb~}Vd^gwSVo_z4NE=le zvc29<)psJ<^dSiweU!zu8N1s^tI1OMRY(8LzT!RT@AWL^j+Nl#u|NiK4f;D?+c?#+ z{+xykEc&5u3-M}BN>!YKk-^231?cY~j*l6bub4%EDCKlHhtXy= z>FGKd&^g?Z;~BV_Do$jHLynmeO4ruEL(rafq)sIDH#F4(Sh=s5H7kFruc`G1%g`Z=TBOdRaEr8S z@Kv%rc6Or5Io=IPs{sXi%mTW9SJ$8wc8^dJ=CUhYUYRg^Hz^y7WTCK%3c(K$UXhNB z*e^k+6dm1HDhFy2k{dnx1I>8qggbZe7<|MnZ_YB&n>R$RZ(K=@Zs`%@ZlWH@=tW4-5 zD0F!>q3gW5j#UN5F zyCjOXgjM(`tq#`Cc&?=Y2 zPod$Q^dnMwB%mv{`_7df0SPf_HNeOD;A8a+$b~FZnhqp!RZ~DmhaaB-qf~od)Fv!{ zh7i$4hB!0?Xr(Hw9g8D*XV(3e%0xnElMeIV8)3M2{!o5U*spppzqzF|s^orPZBuBK1tVvtZR00Y6z_$(?YM`v?vD1%Jp*95L7gLx}YlmZUt%aJ>nPY1sk;*f+{zP}JtQ}}@Kq0-JDT?PU=x|u>xMrNPE=qm}tw}#yg@^Y0>R4fir zAI{+3F3rPy{6~C@x9=o~I z>N48}cvzNe@V2x0zZkW{Z0=}0cZiinSTWh#tI4JOS=TblCz?>|cT z`*W&JZ;pu1D1pA?59OZMpBvxzCneO@38g7B%ycZK%J9ZP)xqZqu0Bc<)?S#B58hl8 zt+njV^GufUNT$k&M)U^ANrX!F&_Ig{ik=f=`;%)?9=q(|$xn1V!tgKy@!{gq z!7Z&~RhW(_6I|%4wz5FE-gK2JRahv3sEit}-6cM;`|eN4TjzCkz6111a+lirl#Hu4 z=;s7HfMF&c{+h~seGW9)kQ`BZ4XTOOpuexssK!nVG61&ro;@3B0ffvUsw|3Q7rvwb zxdqg42Hr;8Q&cqF>4PGT+Mu411OvtfC?mx2bekBH<1J5<4GnhS^&>y$#;4sgm#l&! zZg0ri>L{aq(r13+gx7AN1k!fj!RwRVcMnZqXMx>Mot%_2qX*iuw{eKsDh+RDV)e{9 zAp?yKoh(u7P}$U<3w&ar*pFR3&~h=mj1h^&g>mhPgEBs zbwAl1kd2fQ6Qy^3UMZ=;*-G^@z!}#03}psH9wL-AmZt)_2N}aWLA%h{Se;&LWAh5>SpXSG>tFX2W}p( zM@+{IoNd*|y*V7?H5enAsKcAiw)~ss-zMP<7nSrK$GGYUw?z@DB^Dk@xJR?ou4y_> z{#%p^UgcNQ`FA0pV?b7Jlw;J8o`=$#s(xo5P%s}YolPK-8Zw4~sV2iK|Tbw?bc9kqhW9Bo!>z_miEHnk|OQR?x zrzUKf6=Jn+$4u*#Sjwm4UMxIve;Cs1br(@%V=t6aF_}govULPExEF;G{}L*R-e-JI zysNX&<0ol?xEM9d*136?l`aEWc6|m|pilXP)1r*Kh(6Rdc)pii2;EKIY^tmEQ_`Da z1o8o^=|i4wn9<IxZS`Nr%ga{x8CuVj!n`xoJ7K(+m6GbZOUb2gNB?suEi znR>tf;GZqJN&)v)g>BB z&UE>5XNT;`+D%lTjDz5tmD+D3TrG-*>L@>CUj+(G68xJ9NEPn5wugH;bG$-lk=_(+ z?#xL%`D$(Q1Dr^5xXC-zv779?GX4a{D|RtN(%Q!RyAkt>e`M~{(DoRT&$|MXHi8f{WF$(!$z38T$i{nK71ZQ|#4L(&OPtmOA>q^_e zn}Sevd$<;dn9CM%2x;8Ea+IGz{0Dj;POZZlXf!r44K$*14FRSp!=A3@TT$wo;O*%; zLNbbM?}uC_=}9Qr`+>qKCB0*=?_SbH2^CCA(z&K9frlQQgQcx)-h;Yf40VORw32v3_v`;QfW-owke^X3Lwi&=_~x)y*>*+#<0!TA8iHozkucvUHP(%!vPYUaL;yAwJF3sF>1`IR0HwNb!$RJ z!2XYX0xD`q8?6=xq>ZAt8vm>XqL z5fVSx<&AD&N>1MmZJs>Pbt4w`PGz`Ff(xY}<|JaTyF)wWhGK?U;tTeqrLhexx8q{K ztopZzpDUkKVMEVVfA@8`%83rZ6Czm{2$>XVqp|KMYx%0bNMxyIa>TvUF;+=BzPD5) zXC^nrGOn`w3=mV?j8Oe5wmUPufUMbe|1u_cDjQrJF{!jWOPIqvVJYbAu~4Fv_Raia zWQltF7@wxHOy>&AB6}VEg>K>l&=nzj)P@*s_o#)%$`?ul1%d`TQ%|M0( zS8jBjUOUvy_>+NhCA@+y44b2S*V;Vt8cJ4QRT=dmz0QScsZo1sHHw|nj$i80fBAl6 z1)Efl)EVustqsOA;FJERyW%AkP5batqjXI;oR8K^o@a`&nn{)byLQj_y2Cb0R&%z{bn<{aDFf8+ufv-8GAKYm+H>m!MRgY?!ih|;!F$@(=!*E@#;H+wT z0>st@h(0ujY=d?-g`}QC$bc%F?txua%^sIK>|#W9;zsP_#?p?t9w`LortslBGYB-z zp-NQw<)qy#s9lE*`1u(i+6exa%`vD_$!Y6@z-RQeQHUdLm_?zzx?!-HeN zdMM1%&mDF)vgLDZReJC7IuAbdU6Ywnz%q#DFKU1Qg%dF1y=!Lho$a&$c)c_n0vEWT z$06B#()7X4ok7HX2&o(Jsg&ph@uD6t=#bHNFB9Gn%)GA9!$#(ju$QAOGm7reV5J!) zZfX58hHs|SR6Y#i9 zM+crhtWp6@Dt_y@f8+~y(k*5OxI@tYaqlN*N7?3UEu)0=Yz{kA>nGAEGQRZaXh8a% z8-JbFEYUc&NYjHqz&(n9Bu(uajQ99M>&BEh&J=vM%d&^Qd%u3jA8guekUi~It*YmS zb`66Mayc}o7)o&4R&_0hM)&f!rOch*RHN@OrdC-WCh?z!bK#XPKLhL^Pjq@1$CT2i zlO`e46og)8SCAv#Gr0XnoMb0QNn}iHpY!{R(QZN+{%V%>jQ5W{_GsR8b(s(@6Yi0| z4?Yj1e`!s+73UOW+*!7d@QCoaJamwt{iL1$4HGBRzT?D0I5PCv-Bt+s1u6wAwb)Ze zHjGYbp3kpeJd`AVjw^BJa{jgovmM^@qNhA_&TJU*7OEbZB)fg^ku;Rb$Uu&u)Y|ni zIMmHq8|;cTQH?O#(bn~jb7nGHi)@)wNoW~i+T-*?TRvXi-$wui0xFULUh;nJ&j1k+ zwjOgFaM@T7f3?{Pb0a0$(Y8#@q;P}SKat;^$m}(!DyE#vp&>ewa0Z@r^8F2|!Jkso z#N;qMkv(rS9Zkw=QX+9jd#CFFn{CsYnC~s7iWdM8C}*ds6A^dkhXQf-9CFw2nZdtn zyq5zOO>uA6D@0%Zr$ZeuQaa1w*AjWZ%a83!v|TR;9$Ezp-jPYRKoHYfaPy@4R!XKo za%!WSB{s|CBhRP|oUEZ&2AKlh>ZW{Nl7L-pxcN(& zqdeJhzH`_}gF`GRC)S)w}i z7d=m&0YcT;NnQpqbA#g{k6H|Lzl**L(alvb(>|Urxr@v^e zU<-E&vz@-GDClwWH>f>zKZ>q2g?XMU3Ff|>D3Zv;fR$8lHx6-rjT#jgF%F?|U_emlScIxhW`uE|l00J` zeTR^}09KAxK2Pa;?J<=3MQd<6+r*_4G<==n2VotS$5HH0w|mrkUw=g zWqx1Ari0Q&R>%U=y{`UEZP+lii$pT?@rmN{)tjr@S8rIaTeKgf z1n<^Fu55nXs#`R=+y;*rrYUOMy%GeUX_iA4e^2`^Ki2o}trEw0S%NxsM8ed;tR5IT0vK^EpZl+VZVKQQ&k|1 zLr~oeT@k*Tp1>p0kx>_;97|DI`^_l3Bl%@awyc2CIVf^-glfj{I(qfWy2S_V4uktmq{v}|7m0FzV1O4uBM03PQN921F z!&I_TIgSy>m3Tz&0+3hJzl)UQIi4|8aTV_==|hfx`qD2GYP7Hyl_Y8ThPRmCZF&!% z)^4}!wJn?x6%*g~*ip(0xY$(RH3~tf0m?m8aNg=0)&QKHt7!Gf3O~^-6j&vO-ZOw_ zOqPMjkBl5j>G;nIY?OxV!6VxHWD=@TDdL!8I46rU3^3oi+Z@a$w_OKe#=J%1GC|n* z4;C1xIYby6Vg)VqUJv?K6fC5SlUI`;&*Bk*`8v+v0MvHh!-%L%uAn%!k054sZ0m8Q zRf)i6flxtlKT(}m(-OA#YG7^>B9gf)05$%XS&SbV-!QY&IvHH>dQY8%VvuW-s4hYH zgo-gmH?&4f{N!)HcBeFe0-pi>1Fyb+{;eyB+O>AQf`jqR&?%~p(OhK58uqY$&kN*8 zM45{-%_BW)7$TnU<>AAYNFA;|)pyh*8|U_Re_YkVpD!T6<5;)$18$b#o$| zNM-^4k0tX}k4yf`cNe2wR(ebyo&iL6{!8C{mWdQn#O$!5vfEQ0y)(avdZLR*2_(do zr4DAh2`&14A}dp~Lyy=VrJkPrjhF=#>oY|&2CX7V@yR8avYRO7a;)li-q;KHFG|JJ z60c;`@}P!Oh-XdLVJO>Z_9Q6_bZ5)VAeSirnO{H!ofgbv`R+bc^)|ZfbfTx|)>gCUa@14DJ7)o$fEHsInE3jDigO6T! zev_X9#wgn1J5pQNjlNcxEzwiG5zkEt&by5rR5BG<0!|lG-^}T`xCYJ)^o4EqPQRNT z;;3^md+0N9G-?H>XM?sw#Ev9B8tHDndJkB8s>1c@Bi!I&iyJF)Aj!u56=b3~atBWy zo1&t)#e<^9c+)fx*QDtD+bTxKttm27;J2P?y#M59O%OkJ8ft2a68ZB)9A3qt|!#8C5?bjIPd$>ySM7v;i)scW}d8 zl8LGRyW~_<^HDj4T)p?bjr_2rCPAhZK{nLXhC3S3s6^M3>lwu+$f$17&MH#t$AbIt zlaV+LOc_6HWiJ{FIKUvVA$cfTdRij7vCsBmP+c6%W?M~dB5IvC`b)FsV$b8QX=QWx zO^HBUoe&3-dtUN)MDR(W#y18>`yC**=geQKf-I%3BnuOQ)uYhA)Pf~?YACkLeS`S)Z_N`Mv@`02yi%@TE0rykHAk zpk=(loz>?Wwi^+!jIzBJ+5Zx8IG%qbH}(#atZ7WISwc%Ho^>U=??W3B2g+3Wk@es< z!L%2!mHKBFw}DrPcb+HL8y;A)H4AA_bAizWHNNGB0cjj@;d*E?#Ycb1gOEoryi`8p z#9M|i)cngJ{7Z@{!(1DARJ4WmK6#|s6O*K&#o(Y{YD6Ntw&m{Sc5kx(UK}F#Lr5J( zdmHSVVfzV7lobM?=-&R7D_h2%7Z_Ob2e7Tz*wyOv4!j@-W-uv7)dQR;j&J>JH`+?aoHx`pC z2};b;Q%$#X*D|NyLuZc<(iUnT)K5wE>KE&Jvn(Q(apYS}-?Af5QtT}~UhhUOhJ57c z{S;Oq%i26xQ&qBOyxt{do^W>10Mu1TY%f^0NRA)qUK0(7dk}L0{6v>?-*wYBb$ZV^ z-NGz0BSIBmeX#z=@{o52u@-Tt>L_?PCR&{Qx7 zEtEssy<_)uu+7h1TQ5z;t-f1xEC_e~;jB)5$26VWn3z`fcYo@G;$1py7EKUKW$&#X zq>OE;VMq6!x9QTE>*<+^T1JIN5opmPfI#y&g3n;Ef9fSRfp^#h9>(q9>M^1DL(Gv) z!_9r^Lppht#WQaqQ)>s9wEWZadTsPmS(LAIG_!Dfg87_s_?d3%+`ExQmXY2qe5B>t znwBi;J&g2CsAP`o1YU}+tm~^(WSfipK2@$MJGZtAAij2UHE_(MRqqY!bVYGL=`=a6 z_@blh8a_*5%9M;8xkF9p5sAz0FiXv32;`)Z+ctr}Wbh=^-aC`3g^}Qj96z4^D@Qfa zAD&s+>&Ct6=)syD(HG41slaGY9*}zEb5+Y%=Bfr|{2`e;Z1_Y;8g>&H4GYo``^drR zYplv#f@>G&rg{OnlwKg@DoCL6ebA0-`a@*`|MGr_7>_DfBay3Ycx|#I`5;(}OWw&} zl{*usQZ1IVrTqOv`XQ8g4$HaP0Zs7MV_FhNNg!isrbQ-q2Dygz8PH^*R{h)gpT^tu znoepH{`M!b(Ogn*;j)P4V7eQk`*XXpBEBNP=0_P7GO53QGl7}Jp!P>L9oh?-- zU5^?9s5%x){fUKZqc2?y%1@G6Vjl6MbSYv5DHM__AA6XR$~pcxtStS#_SXH7fAY!8 z(U2W`Y}ngj`kahOdnA*p#Olizt;6!jZvAHX}^1Y_;|fNIm=W_XL4&3>kXQM4wdn zqhb)D#*Sgl46x-U3F~MQuDT`v3~1?1k4RsWn~ zu6Osy9UD63KDbj&C?{gqboJ}78og2cXPXUZO3sh(1Uw?}_Zd?v`3g{A_jq;KPfvxm zkyC~!ZTcoA7WlE=u~FF=7@???F8-)8UCAli=2SFe9Cc3`&wwef4$DB|1!{FIr)bCI zENo}nzzkW|t0Ag`8Zh!T^TW7tlUAoNeU4A1cm_+%HQf=aGHGCJl)i@Gnj0XQRlP}_;+27 zR&wr{4(FzQHv`K0slL;0_<^_{NbsiACJFBw9Pj-n7bs><8B;#Ivw5hfh;I}D7xMf?sx1vA zEf$^A9CBG2!?_{DXo?yyRwR^vhj$H|LKb9BmBFVy4%X;B@bVEmKj1C%JV?--GWvk$IFN-k`U|?;R_p&-9q;;!a?{3v}j!qe3(2)wv)x+RbL4TMY+QhT0-kE|0wd zgqu$yyvzIxBvRbSm=r_3VQo3l1iw;BUBljcpJj^0vn!OTx6wKA(ZK#}~R&d?5qe{D4>SpSqd%MiwI1Oek zqe?#Rl1AKt{aDBkCW%ac+qv{U6nU-$`MR`##5;Uz4Z@O!1hX$#z8t=OC@@eUpN62Mex*uFesKkwbgwP-!p)_fru!B2tx!O(y4Jp z6`Em~JEth|&!`8*yZ8P$LderlQ*UGdVxIUp24o<}rC$}bV4mExj8=25!cl3mk%mos zwM@E06vzo~)1S@e@BBITE?_+xSWdsAS&d}hVU?Gn_dSt`@Xt(@8&PQ!U=P#%s>AlA zUu^8}gh)Q;)Ok2^o(l%zaV-zbzUD`q+gmS7drDR5kV9lWYv-lRIbF;Clg;K=xI3OF z6r}{e->$^c-|_(6(;9)LpFeEFk0*Vb5{bKe?&2TJGWgdWN&&)Q7l>e?N|oJDNs?w- zeV`fw>+zXXkSqPub`#gBjsj4G|4g+I&E+MW#%cye_C5nNK7wj74~nY*k|8}bo7vcM533J34OFPR}3eo535_LkmnA@`fK$4(ySXE1MS#x z8n!-Un3zA7doIw+ekcp?G*3A*Z3)`vPAJ8cHJ3o1TGkQiWP0?TSb4az-F$7R{RGJ< z&yUdu(Go}Z$hsd~(Mp(XKGD>gQQ}0ql&h68{bqM1S`Gb9>Pcx4?&RK0oBXYLCf77e zN}a$HR(u`owH}ogKOc_TbUGR%KJvD?;H}R1=C9fylcJm7oD*ydRhIoCte&y66ee5t zwmYGs<9$;FN9pBp?IX)*cr5=aFVD@>^-x4yaGhX%QFl4-tOnD>ZTK7526a*)c= zv%AMh5+@OqsfF>Vw)fvI;~X3)WDsYtZAmm@05ZZ3B<>-pWbAGb0>yfDxP$o?NM0WJ{a->a2j1?x z4lq^Z#M__J7B&o~+a7m}+x9AX4eGEDq&%Y4!2{@bV}$e!)w*+JbXIDlc%f_Ov-s@J zHE;7PKE9IC9DQu=Qf%#c$vo2r2PNL*e=n*#LP1WPDB*kK5DAV33G?IF8GH zZTnDH_Rsvb2_6)3XZwiegi}1a*CE;Z`NtSwQ$SpGRm9Fw#>meIdY9X{5ZS4se@_^S!pbz9r& zh`?Y3I(wUfVbVx==j*b+ao=UW34mSdg=vrXultyVC@nSBVym+_cF*F*c0F!LV#F(H zC(d00TwhrDzH3qRV>hi%@<)BaY&unBpoQ~UToe9zn-qJx|kl&TT<7yTfUh_*NWF3Lmnu6+i7`+j`A zub*@YSNU$^RH+EGk|vA}KlbYM&DNR7RsKk*5pG(4-32Nhdy%J5{&1SqV&3Q!Z%^C) z=d!DO{s+r*BWz&>57v8ypkeG!dt!~;b=jNCw@9nNH>8y;ydG?kH7xjKk=lIGCZJ|S z=-@A^C-TBhA%OpOBy{DFo&njtp^d28nmQ@EU(4ZPn9Wit@W5QkSF*(8lmQu9e;4jH zh)&Bwu{$%8%26>vYCKJWgzoa5=aF;s1jR&R=Q$6PyeCkQsjo&tJ$jZ2cI0f;<-n4u z0h=kKd!bW@g;7EFzfU!O%~9EO3>?j$MUL=i@o-5c8^R$SRe>8X+;q+)g~f`=C52a5 zUcbS^Z!k8_Yy2~ZKflV;4M(=iQ&qq!dBOoKsaewKJOtjx+{zd1*}g3H#6vm&iF#uNNDt=yAPY9`t(NQ z#}5x?)v*>1Z+A_w2AwoXD$({)z{&+W&hDExNb&-=;r35k(PD>0c&yb?B?it&-22Bv zYz`TUAGY4i9Ja?Vd-mA>Twl@V|8kuwmdYM0y)n6x;mFl0^NR%cc7O~5vk#2qNe5d#gjr@Ac>39S1_7e8oq3M%>QZytBC z7FkdI@bF;Y`TkxKqjM^%K%+ShN;En?I#w)Uu!1{f?rMAH&P}S7J<%$n0p)!>C*!~g z*Ba<1?Cs@00G3vkPgT^GG}txKIz$egN*^X7v?p(_*k3IY*P_?5RaJk~0m#nr^dBz3 zZiXn?_X;k(wf5=J4#_$AcQ#iM;b2+Z_|dOtKh znV%O=Cx=09jD^|JGmE>E?Lwk#&`qtG8=N|Xh>zIkWM&Wv%zO3Ge)JbQi$He=b>P)7 zI#22_z!gy=xkBFyv3uiaKT&IG-(JRar*zGF{5Rp6GpbiM#Ta>XJ#y~-{mqs(Nj4dO zZE-u5QhH2+IsBSjs@W`!$c)Sg9)a6nf8Kv5sFC^60X5R(Jp#B($U0_mtA|wX0AyVfX`jbBZ8zKEwdyA^ zjL16N_&;KDI<==^GV+t{&RyRlaGa9rT;}A(&V>&bp!Aef4%i~)upK@3Q0@Jg%n#n3 zcCb9S33d3f^eYJ=KFU1BbvUObG90%tSmgF4CkUKodLK9{Pn)tDd<_MY{wj9?xDv@C zk9`Y&CkxhVo38DLtR5g;A70$r96dyA;_!h+NA*m&2bPalW2$(yhv)%h%`Gwep4usx z1t0OU&u3;&%ONlpb$ak?w==vYUU2G-_MTdr6>0}w33 z$o0N+f0oiBz8l%?w|R4mq9ed$xoV7RM3XIZw93{MsTppI#X7UbQt5zvW#4&&Ls;=5 zJ|16L>Q7D)I1^!c+Cr`xVZuy{ff-S}tEP<3btKeHZOZsI^nLK49u;LTN9aIB4c_MJ`oI)fX`%6{>8q0C)xXBW z;A?-~h$~XW7tB=LJ9fxkUJ71914n#Pm$B3x%4{Wxygs(8QAi-9!*+7J+Ym zb+L?BJY5c{78D+D1{}OLNzz}Kol;>Dq`t|qGp~Z-v)2{vQ@-=?#=-;^DwGyg8<;w* zBwtz+ah1i{akb4e@Fyb~Tfw9&8PlAYsJuA|+v6G5#(>Uy&Kv-K@l$SJPYWKSIMxgU zto*P*XY`uU0_tIVj%-?_VSCnZoHaCnc-B94vWBCMhKD2lm4Z&dRrjFoaQN8|g-H6;K^2YECAL zMz3!+(lX@=%Rcv(Nu_hNP&#d{nd_oexNGa@j$#X0sydK8K%qkwj}kik${T{puQXD) zx4qq;Uev?E3}w@HHF1hoiJOFWY6tMj|5`X(lS;AT+IbWb5^te>H_K%Us-?Yiqedjc zTTS5GZ@1@@YHteC*qJsTPqKbGcbPYpKy3Tf=fzoDcB49*XRxLy*~`;b8* z?8VhN=M{kHkR*17u$`GS4jrs;k~_4BxZ0Wk2t);xE zFvbtRx^(FN4EL-$j8!t?9-VHCw)u73)hQ+M@^}zf$Q1N^`Y#&%tG*YRJQe!PAF(5$ zBP24=#%?r|P4&3q#ES3TVIpB(mm;;qIM_tKH3KSX6679LK%Qu0Xh02F4*87VgRL%5 z9@gH@*wu>N$gfHy?xYpz)!hiV2_4&yv`*I&T_5BW*Q-Y4ykzLY0v{lG0zYTaiUi#q zGVTd_mfd)9%ig6`gSv~CwpwVDv5dE+BK|Z zsM?7|O29I3*W2~(Vt3X}^et-|QLL^qtEyxG_T$xPi@^{!T7+W8VV&N^&Ha_wjuDfQ zv|?CGL^*^i@6L@kw#zpHGvc#m?_{E9c-xdQ$A@~&e8s8AK=bEHCXpMoLcOx1^?3ZYJQ`}YDL;t-Q&=2)Yn!CWINX8&mfC{FK_URFh5GXv-CGL zMJM=SC5facrNm`LGHFIi`Y~D+-E#+}k28d_wtNyxSt$yd?hoC*OVYjxm$lt{9{I{K^hOfW-3O2F@QVmI{%kt;&vzGw z$-p$+)%Bg1gyV2E@Jd$$gFoqKO0m-J#gmzxY1M2Bk0bmq2JTe<1d?!yZS>wPBimG>#LzAM%45;-1e;X(mf?3M<;{m>Q?bRv=@g-+poD@O7)bYltjtg zBon5N*iGK068kn_zC5jRLH+ay`O3?|?+|Zdu2lw+Mv%Ee@^ky;qW`=-fP4{awo@AN z=*)2BrD0&p)NfK^aPx$q95;gIl$_lhymF-vMZnAVHdjWFVRF5X3A>Oz+2anaNPLxV zQwn1&>6`5u{Vq;t3YufX$MM3TIA?0UI-H8HwN+E~58l+{OJZ?FAATztq8iX6P-|Uw z3Xt+%nBQ6j6=~bhUPx%l)tfhSYO{Ir#>9pe91upG7oQ)o)q8@6`KhiW-lulD4vts& zARQ#Vb(fI;Ax4`p>s5o(bzsx=GRnNs=6X#>;cUtA*&}~%gl7Ytb$U%yjY}CGtBul{ zuB-dW>%69$Toa)iy^QOv32~qW31VAaVp`)weTT&15cumr>wT1Dz-+iRv}EFeuAhz0TZT- zBLcR1JWjQ(TNL~IOBu}I%EkN>lYB4aW%S&0w0;F?X%46g8-m|tKO$PtDnE9#-#m$wus}ODfew#_1j8RhbL1}gi{l_yV5x7iGzqZ zL<$p&`X0oR%HJ-C*ai&-SJSfz9xslWYu3jr5@1+)l-F0nm_?j!cZFe>>EifqL4NjsPS^?^*Ln4~IiSEcS z4w6(HwO#j=S{kdJ$rnx7UovuXwOHTB2S?L`wUnf4Z+IpOK@(#^2U;Y3+fy0$CJg2+8Ci z_;ufbMPw-RxErI!3An@qgK0QbgKJMQA;z(Hv;;!otVQ>x%d4LI4@wgZzdTNoPtS6Ntv$?s!RI%eY@UgQaJt{()&%bUlEJh_pt_(4PXZLb)|v^Of=m-lmTh`@ z(1%u|nabYhYFK&om=xkZdc1xKoq>^R)B51ysBFzhciJ5A4$EWTma7 zdr($y_l8*ljxT?WBtb4n6J7B1AhV#s2Feh1Db;u*fg(yaiOlA9C`AovInz2WtL?jh zAn)|?$3aJ^L?u?^0vF!B*)sr2BP*=J{?IEbnF#;HssI^JX6i)gf{o$XR4Rn-NKK}) zlpXCR__%HZYf?&UjG9pbAGo+{S{hU|o`v$z5JuaZ68!#KG^}~oXvaD4jQ(nNGxBi&S4-2?aFk-K-c9V+;$8Whw(=nmuS zfH+o3o=C@sDZRHNVsPs$tDXrznoEWqt#R+eN@kFLbibfI1`5CuNWy47pbv&Si@oeOLi9G-Vt{zj=H;58LZO6|DB>+Wgx^N1M8~*^~_HFBhVNl>JMgxOz z2XB59)e~$hOHdGq*7``?`frDE%sfERN_4V|*lmdLsHmotMX7@NmHjP;)Rk*hrTk!x z(5WQf-?z&h(GW`LE5vdv^C2Tos3+%xxm4k!GSjhB*y{ecghO8}QV6_8(hvJbd>qRw zM&v$VrVq1id(A`-@f>U(MzlX0aYupw?ppx z?TH3WTGT)^r&>P8y}kHW)7UadH&04sQY8STy*qoboRHK~$`UyVj^B`;n;bgJb4p1R zc{Gu>lWZ)|K|Gg6AOm};u)!y2Q+pXvJVC?7C7D}wZ-3dr6_l!fDT{4lZGqF#dBm_c zhRQA(d;R|aOg*BkDKf*Dz+>{+Ue+HxNVnuKm$JtDnu8a+?U`EZsbx`=LnQpl{_c# zxr}HOi*IM?seQzZa7u9=SaZ6(q8h&vS{j#%WCL)@#9rQp->yE8=k&)hrZn?X0tg|P z4!d;s-%9YZAiA9Sl(zsPY-Jjm$m-@Zn$eA&ZH|Sz5Q*rA4q^o z(mlzzz4`-$W_7qP2KKlhT#Q+cRYdi)H+BVgW!zmx`+v?66B7uK6MnY!IB_B=X7LW2 z0(Teb*!?is^r-k} znI=+6^AmDShtKJPG`bypQ6t*+34310r|J6Q#9g6oDfu%XGdP3;vs{~6#C?ovwD71T zB9K9`zWx5E3M|VJ3#eU2?l-sx-;GhEc5lN(-lrr-;PHn0cZhLBOpu0)dUasbu&x5K)4AZFIZj++9YTpRYce)vBq zQ_~;i)s-Gbry4^r0>@$xr>(!HBzY|fm81Mb-dl^QUGLO=`|%#5nW7*2c4fEaYkD4= z;H5Qd7eE0F&tkg}PitS_g>?xsb&}>VK@!CR=^%opKTlozVojB1Gfw(ol(wY`0kF5f z^#1sRWK+6mS>(6?Y@~~uZTIo`;#-l`MNEt-k&VAA7W~4*;=I#Nki{()4+zCf8EPu3 zOF8oBBgzie{qa4atVttAGTPPvD-Dj=s@_s&VaxXKd zf(uttp_&3#>;T+=Pfdld@5J9L%+ipgdXJm2=r{c_B$7FEtfp#bjT$*&DpZeAgOW`J zif$PbJ{D(m(&V|tMo&fz5Vm4ibT~_I;I%Eql1ez^ zZ~Kl8_Eic6hi~hJ!=wzzNwK&dU9H&Rg&eag83vyy76Yll7#Ssuyo>|-A50cE`7<*o zLy*iP@d!2xa#UQM@S=v2MOgP8NF%-*)4iC+(%(qh{)FLljwDrOjfStyVtozIyZ$kH z9t^Dh7+#7rC1;g^vjesMyM6D2)GZkzMAUrN3|F^Kn2+U?tf;XQ4#v{Hwg z#;LmlVydSO>nqV|%n(MZr(mS-t9|jO#*OUEs0(|W z2VJ(ckKM8C&KfzXC8evT@se0dI$RXeM}F4BB`F{!shqafYmv~6{{UP#S!R|pv8W)f zk_zrXKYv_WZkCF`KVk1_%1%!5a;ufxU&S!fRb*U6SxXvEnRs`NbQRL1f)re?_avz| zH^;Hee$+JC?+o)|rSf=a;!MVxI;j$NDnnhP>2FBa9>N;P*u-{Zl1n%yH1ja?0M{Qa zu!B?5)68OHu^Xwr$G>m8;q@EcOhL#ReNF9zDj}JfWm$oY5)R!(kM+mMl0J%)vs8)W zmhTF@eYAo%xA?{!)4XXV8PjLG0y=H?^v0_ohV4sox-Lj=jh z_Bc2%$uz;0kw%s<$Vodbw!hu6y*%v{i}-?dF!L?8J#p%k)XFx#or?56n9!LcU>Ppm zk5HoizkM*o&Ij`c@RDRx+8s_2Y|FOS{c!=Hg`_?p)6fMC(%7HzcZ)tjEF=qsCg2Y? z-8aR3LFSRXt-9Nbz2JpH)C$UwhPikixDQI+}MkpT~u0*wm+jHLaQr*eSlGiEgUgMd}RkshU$I& zPsa_Ybp!>Y=)%R0_QKP&l7JLkTq`ZFZ@sYfR1)$n2##PPQlzoe4e_l_JRSkoK=XCB z_)3-LRuQPN9Y_5B*xGX`h=(>dv}||2;{O1C>`2tDgQbF=o0%x((U3;eOc(`aKVASGoVkXqo4@wGHB5AtF* z1b#*v{4ljdk+gwb2bkF`Jv#Nmkb-9+$zoKlk~jLC7n-o5sNXG#XHX=Jt%2xGkERaf zu_>xDMXz(_E4{!T>@laNk_g&js(Nf#AASD)Fk>T$R!G@W5<>oEB~N|5-S8P5AduIY z2#vv0yqCJVvjwmQ?fUFaD%hF;KvFJmbpx;Y#jDK}FmN=a7O`~Ieae&Y``-Adsc-nJ zXls`9U-!l~b@z#tA;lX?Thnl?fo$Jkz;qffcbZ@++nt$r8-o5YRRn78It)!C zf-zDvYO^WtZHD*8B8B0T{{U|&yZtamo}M`(GZ_59Z)3Rr_*AX< z21c;U4CF?Tg?pdOqxc1W)Kw8Gy4VYilv?-3XtK*rOl68#U3~^0!3=crGAdbE z5J4xeyByiVl$5m;aA~9(<0H&~Zlk8w!p|%Hn zD;*TV6?uG)>*oQD!9B3KY#zvIQ3Il}kqJ^SwXg0t^*k{nYJtFDKQ89O(+@l)6Rb6N zxVD0QPfp_-Rpg;uNg5mJCjP&s3N)6%wqlODB_SnY;wxKz*ElOhGNd3!CDn*K@3%|= zsHAZ{rUL43W7ge&t}{yUL{0{ zq|^d{8vQNPrXV>^Yfm^YPM~k*R_c8T#G59PQTZQjiB&h>-Gs?ICA7)C46jgpL;zS^ z6M}Tvr{T~U7q4(|2PT%upfSFIq?6O#f*|;)M^XoK*K9)jK#*RFc&d()0o(ve+*>~qq}f;Dwn0T;hZpR$E{g?hjv%8x0AcfmxcS zm5WZw#@iLz-rL7zm=*IDq8rQbR z9|(P-{{Z)V@#3Il^0t3g5=R6xT2>m)=dS+%D`V4rJ0$YWKf#I5wG*Sig>-cF+3yxn zR3Kj$hbD@aO8`MSb$vy)J#_nyJ9~otmbr1x9!7BfVGK=|XNM?^3fS9calf5^-Ep_5 z_knD2uke@1scW;|CJ!Pk-{{9f7AgTQ0VC632iMmhM17QFrmyyU;hKj=h?+J4fnpn5 zeKC91{@iJzzoyPgOyrJe`#1ATlr?TRiy>-?FmD!NLwRB=asea&SEoV;=y3;zYse5> z0xf&{@$}L$_;|c5%AIN{&BJ(#9Y{a<0yp{MujY5LKPWk-^XB|Ghak-)yP2qC1}8iI zXtq!;MaZ!}xbp67^w_edws8u~9hiv%ux-z%-wD<TAMY&tn5 zXE{ZSR7!}t6?f=$Ym%rX5Eb#deSt zB-+>C@B3orIW~|Iit=t)BL4s@eM57o8;+mf>5by7C0H{phg~c-^dF`+MOOmsqfYm? zLu@8vE}!;6ARe|L9fsb&wk*bWB1w-@rWp}V!%fPn_UYg1Ftt3TNQ@0CSFWo!!ry&x zIw=9OmMAVZ8dc6$J~CnQ|o#PJ_}2Q^I4m4+h1@!b~}1vz{t3Yo-G4d zENlh3pI?vo#XFH^afuZ~s>e{WgV5r4!ui}P6cAK{<|nrP@81#GrgPdZOpdBJRJ{{V|8C|8L10*{y!RA^_QeG^Ktf))n6(sQ$e zDLJLf4BLfs3UzTk9DxA<`K)c%ran3ICx+^{PmHTLc*?42Dj8Wy5X5?Whr0^T1JU|U zZt<_cmR}j4NCiT-=2io9ZLni6iDX?;%2`3|e<;8#xD86pup@Oh7H@ac2XfT~FBGG0 z%YDY!s-TU>O%fcT<5kwms-$jpe@qaeF}oJHD|?ms+W!Ey24xMd4-Cdm{{EON0>=;r zzzbN}bzGlzIuTur?8y$p2#9E$cDN^@_j+S!>Xqsc%s>XgZP$JNoiLb;3%qO%`;*@r z#YBQaLXzx9W4G59;Phi>s$n=B8B{`bFnu>sVfSlbwp&*kKbS71zUOQFF&>TM@l_&V zqkTXg`{1@%$sAxM$^o)L8u}LUKVHTDEoh{Pmwg*F5Bmq-FAhor4ef@qv-wxxDw0=Aq*6cwx zw{5TV#@;of%;k3>>jsG3v{ z)8ZBAJqA6F?Gy)T2B_*02Z)WUeb{;rb~blum=%cJ4x{qld^?{fg-aTH0VDNa-wbPF zl9g>50z0rbwmvlpX!?ejlGH1!MG_s2*e%FE4*1d%CaWTBQc z`eEp(cTo(n6R2&qwmq7{wD2Mg@2K=WdUX2ZLkdW{ zji=ytwM?oaS(w~`wTM&cd>Eots7TbgQ*qM$ew*M8E4@Iyz`GrQ8z1k2v`o+_NDEjm zP}co%c_fQ5u;elzQ4tp0DJSFZ9=LELbv6zZToQM{{JLiWT0~$+``B&2f36?LAu7sd zI+Xdo9=PibDmRSkkch~*B#=$sSiahr;X0`pz@7<0 z0@&PKpO?=C8779sQW;b_mmVTDuhbr+x6cYkA(2TDgLSdLP%VTc5;`l0bej#-9ljV< zSj8Dpab&&EZT|q{^ug7QigmH9(J_%?k$i;e2K)Q%d^)A25gl-Xr3ICi+xx#EQfMzQ_cDubFhw?~ zTRp!oQ~fbkQ!*J@q|#XI%n!d7k2*B34O7JvX&yR|T=m%Z@6>h0WdunxvHt*fxNX;e zjxuxFkeLr6La}O%H4EJLzrznH=dcv=AR33SrWwJi0`}E#ZU&!r6-tzxf}+x2sl{14 zK#tfnE9C)}_aQ~d#?M8q{AgI&hyvXQ#~RZ$z>v9MMXXNa4&im&!~n4G*9%P|Q=up% z2)-K0iQ}iw>J~`xpr75sfa!G4Zb+m8hFypBw#Q*GW8^38M`AZo69>)5&%oG+~gE6_2I}$Cs;}P>^r9Ankla zbO<)1ElGlw8g>^S*BUiFWJAM<7ty_~)8W1d%Ns)(Mn>Pc2H08%V2mp~IzR+ij=w(m zhQW961D+97%*s_w?o{AaYz6~;M1X(tCvRK*F)6Ld>7GBtM@l1NNjgV=Tmq|(SmbEx zQ)}E<>`48^@Yt;cF{L(OVkADJZ7uu#aV6pW=4c!l#hdby2g7Vf8c_=tOAX0Y{{T3B zmemS|(<+O^Hik|3ml#Oy9TdUgB0EAAMUdU}=cRb6yj zPOiN+#f6kqQP#w%2_UVmtat7(uK+(IvopW~tKWWr96O_-S(PPlEI`x&?fr1oE-unU zNCw)pkFFh4%Fwc`V^Y``C%5;+rjQK=GTPe80pQOW@X7L%(%;htsbr^mau!S9YwFy6 zFcuo9st1LqO0QAt`{7h(%hXju;El*p>GAsD;O;_lFSI2sI*7<2iLnZF+?~I^J`{Ui z z3_L?U^pn1(y@$Jdd@9#o6_$=nMwR{=OnrewhjUGb26eQTL ztylWke8xK`*$#E3Dy6;gf7fl|OZh19CHJz_T0tatk%f;?M*jdj zGn+1wDO3G2L$6piC$1hd#aT+}9K~;N4f<_`^7;uV;EhDSBQ3SD8=rnWlhL~wG%G<| zv9Lx^wp*QD`{FyyE=F>bn^dh$#k>WVRLbE00Phx^QgQDNzHY<6><$mhO$^A*Z7kaZ z{d(gx)7H|lQDy;y7P;TI-LWaV7_vzm;m3c&1BLTOlBbtEcTty?Bno`aF)V9x2pW(B zVZUN++~c1)+w5J=o<^_wo^zMQn`O*RtMWyXRF89QYE&{`)Qfh#@${Yv;$^y)^9{zW z{{YWiC0k0hs$iWAi)&b|$4~Qz#jvB6N$mM45Fzxv)U}1JYk!<6;nWftjqJNzjgBwB zZT|pf4kP8~6G_aD8Osd0E@3$`v`R^)W6(W@%e}6C9c_9Zm^7M_=KW6FAC4_zmgu_) zDI*m6(oJp|n{sdP!pv<5Qi@f#KYxAkq;s-PQb-DtY^0m&9}FrAwck#}{{Xkw=ZlbZ z8;WMGq3p{L+*z!5`|I__=wpm|9BwXHj)eR1s1e6`7A>!0H5;Arv#`I0?Io;07WeIk zMs_ApvCI__yIM`g;IQkf4(Zl30NUc?%k?Mc*8`#{ZHf@RPjQD)M#APt2E$_A2>T-z zp({mM!kF<0f>6xrp|?UWdwt&yVKK)jSeP5A)Ic8}ulK`6d5W^fqU9_u*BY(s+X}+b z!1po|3bn?N03P%1!8c->3sTD>T9r54F$DenaBD8Ck%ioc0y0nnE%$6jsH%*vP%o9P z1-f6?)4mH)R7hv?zV;e}oBVox?SPOJ?3CrzD##jH$Cb^-t%<$9zt;!ydYwe8PC#ZC zx!4b1-w_P9tSaALtwdP}+>-k4ef3Ut2@)^2XXJkag)p8NUp?|*mV~5>DvDbDi`2zMX|8YZ|&=qQ2$#>$x|_ z(j2QcNT@|Mz+ype_rG81j&A-Yya^8!a(Hn)B?~m!bi0*=vuQU2ruMlWyW0%RyFj_= z*>b@@7Lvm*<_0uu~J2^U~EbLxETpuA|ybef!h5rN`b=pfHn#* zayQ0wn@6pTlZ}!(CN$tjtO8A&Nw(O10}m>w7r&J1>AnVK^8+7@px6uQzSwsR-fb#w zSlAC=?T(C_l@v3-Atw?9DdSuon_uOPqNo=`AakWnnOemBLHS{~;^nYMkd+J3jyC>u zz#V4YLR)Y#dl&Lya+@E*V=5g@-G#^we@qw3riM0IUrwzzYY%t3uWSaT*Gj%1LUpys z8xMD1mI_c$1d2wYrA62TKBnI6S&x$^CWA{*WR*j*gd)XB+Stbz&s7%+mJgySqza=@ zyI9}p*Bj8H&pN)`(r+dH#j-avWe?x?2 zNU9?qVtq9MVYh$N85JgCU}+RKs~S?y9hz3iNQ($`a!#a$);%0SqBVeE%uu*M= zZm3}?1r=>`VX+oHI$^AnNRy}$YPj>Wcl#J3--ikdz)K;zTv)02;hd-WA2QGOu}qc5elvz%XxbY6`#9io`aw5U*NxYT+d>(>vWmBMOL ztP}+y2HzWCJu@x5@;0uf{SFAz&EX;N#^(P3lx%u;?So8~t&b*@GzbEtU!v{w!&xR~ zc0xS5bd?5FkNe7U?S{HK~`hedv*OW zm{!Ef=v#6L)A#mqqn7A4D#F2fi=XX`iHvcPtPyi{VlUhKW1`iT7lSVvIbsEYH?S52 zkL!mtF!)l2C@c?{C?IwBeeZ@evnd5vULY3!j(YYzd>NY1KnZGP1Yk1V8+n{{T!G zrYg{>GAYtVt$-)LPPk0;h-{4WouG#L;f{ z91F1nrZtWz-sQI%YylWnm8xQ5BNtLS;;f#56sAD(YM7NG+HKIAVOf!!_<(_9W&mSU z{{Y=az{zG)u(sCp#*t3zAR0E1Tk_ipUUqOwidf@_hI@BX4^Qpe3b~4+(U3*@7Wm;h zBpw~&j1X(_g@4l>Y8(+BmnCF z0C#VG8pe!>0;oH0zpwPeif9=d#*7fk*9QLpz6GSoY#{_-M5bs(hp7Jee@`e|6gL2D zH1zr5o?BX;AO7h)&9PE1Znz@~!)+=>i(IJN5Yk8+K|H@PD4`@iA+`4Ff`T|@Bf@=1 z!p6s?@CKR^p+Y&j`Iw%c3=*W0cz}`t4W1jk3zPW>Fag`8HBJ`r%PW60^Ay1RV+JJxA%+19hMUsOliBgvLsfeZlMT#?>%V z%Artn7dpFl!c_T64-w3r03yR1K`lVNHAGAZ{IU^oZvAl;vxK}+8k%-%1{bjx^}{T} ziYfIAYPYDc9eeIZ3r$I&c(9)koq;$PR3uqQXh=8RLAdLNDN;C!X4DhVOiVIsjQ&tb zI(^>{emzf8E}|=H005`g5A#AKlFt!P2_T*S0OJfMrPfT8=QtR2BNKI~62s<6<7R=Y0aY*xHCnj~@xTByT5s|gD1eZ=}=!r|>TP%M#wvDuXL z9++H#WRiu6j`9F!nx z)4hfM?TAXq46{oU9b_$lvfpFw!-`6vWEAqEk$=s5ZQl%`m`+)R%PQ^(8~gD3mWEbE zcT4JS=b*tQUIk>XqVr|Y%obQil7{F|^!+{<_|5!Aa(VLaYu+@9s&ewnni*V>chwq^ zaocMjff)NZCz?4#W;^+9an~Oozlwb$L+zWy#n^b0!%HiLAC~cp58vf%dS9l~e7S!^ z57a+lYySWSz9q~kIf2F;Hw%?Y-WOo%PQ6#w2IAp22|Ya(|cU)Aaf~>{XaSN5I+c zRFRgAc*eLu8Mjrs*l)h#$LEc&U5Z#c8C(?o(%_Pj<3eCv!McIdZk_b?j}| zt{O!yh=ej)%6A}cH^Y%ql}n*U$_JO%3|a};i)xBwiJSnSY{uVA7on+!AQGeoy^5P} zZ|i}QQ#C}QRAK-&)7$pL!5NaBX~_QoZN6UnVAjwnv9BKR{$0mhD_z2srA!r+j|`Ro z{$(ruaq}ykyr<$`O>yl<1?QA+7*bx2VYr$S#4D-pHa`1|eLPBcr;tp$f-Dq{_~-9$ zUP?{O&InF3$uh$E=0yo+5e??1)pkyoHrE*kax+mUNYwPZBgJq?@_0Q**HR z^uqDTv0Nw~;Cftp&rBsx3b1E_J1_g$hkIXt(+Y^)Y_l?HHX2Y3kFD`~4bX|iT`Co0 z@_~I;)DGh3x4#ZzXOV@Z0fD`ObR9N6xM&qsb?O?4umbnJ@aB>yGFr?IkIn$>aNgF+ z(#AZ3XI3hUaAPP)Cvot<>*B!zW7 z$kT5w=LK?_ur$ij63j=2FVpMOdXK%%&5TeJf=JY<35^+*0iD@nAeMF=J=Z<)zczSwgO9m?nee)x@T%!q30DH=w&&k~87^}5j#*hTg)&J=BC%N3P)42azid3GEMH7z1&xWl$R3yzmLz&wrPYfEByYE_ z-ncD6 z0p6rXD_zBlfNVN{d>Y9V$cohnELa3ZwcOil{Xbkk8%>#c6QWnbd3@5!D=ID_U;B18 zGIq7SKKkM*&K%|HIMK4X<0<$$L`#$TFXpv}PPnsqc}GiAT9ui!){c}92Apmj-=O`; zx9g5%&v}JDd+kNXoGqBw>ynna3~O*oe^)8tk)3Kkl&Uuci97qht{X?^yStY344;(#yKRhuE%=DZWjDJ58pD3wPv1;x#U6TF z9J7|6!;)n_B3i`vzBVf?P2@3itU6=kP;{*NSIrNF zaF#tLKlXY2y*}(eOd=9TnO!8;7=vrl^i4aLiPGV8-_zo8t*q{wIV)VHEyW zI6G2%CXhf!PURvJX)Akz-FsmPX_93zD7CJ2TWj>fKZz1CM5)vV{!8xn^}>-=5SCyC zg}3W`8rTiL0}A>D5t1iuP5%IkU~N<~#w{T&xBt62BJyuG5e(iZa{Ga>?ix9fl@RZfS*oQ;98>$k2Q zQDzEMMil8Su{#Fs_wmCM(JdxOXrU1?@l>JJWn=^!Uu*Wgzi+8{Bq8^E`Mkib&AN5| zn2+Tdlv2Opo)uHj>6DM4_-*&Jh7(M5H;qtOuR~%9`1itQ?5`w|4(Ak$K4D8rlA&dc zlBzmxY(IQNRw=AeEJsa+>@g(fdrdxkf;J~iA#C6RI-l$J+C4)(q!jbD)xX`;9Ajnu z>`c6y-PKBkU3R+a{qVgKn}uhwB_#jik znIt1n)Ox5LaORa`)I{nAs}XhE{9{^GXs+x_Z{gkQ?Dqcv-GISnbI^m>qJS2ii+ymz8Y%NJNVYNoAcOy|7DD=YKh;EqvoGpxH zitab}_P!sikui=2A1`0K;FJUTY9G&L=vLtO#I&dYl`_XVgpTIR zU|W0kz8^ybu@Fhv09U2{SQAYHODLWRnOvXCZLnT~s6L8nq64t7z7*24ht$)Dj>>=& zvmHI}wg}MIToh>7v}d;a4~`kdPT;AD*FfuVHzNy8Wc!KEm zVcWH_sHX!^YwmWpPQAT);jI+X(@)~HjAF`k?r=-SiPW?&xfdecJ=ZPQqh=f&*g70H}`$m9V`USqf**lme>BGDbp=+{?~ z&4}yNeg6P#1*(!dbK%RV?P7G=->*zb^ICF{0X3I<9=0cZMr)~bNYY5`*=xhlf3t@#jNeo))T!Y+N7Zilf^&K+v0-p1Z*^dkkSvk*vvp|tD>vE1$Y;AE0LAs!Nz zP`lWZZ|{N>txcFis!I)l0{i@ryZYebzTr6?M0+HPu?a}uO~5Co>+#3PkK)HnquVDt zokJ&yMM&n$*6^=PeNg5%GWaW#Tn|;o+{WU1Y`-j|Tg_2Y_PIB*kNkqSlH`)nWv>Ku^iWi?_|F_-!QV+BGhX^ZHWnASCmxsS{8>L#=9Z|! z^$d~r>*Oo!1DeH^dqHGoX3~vPSHrr3NgI)V=bHma$l_#J^Cr)r&}OxZSRkljWUvgwd`W2yJoG`P zWlMkwKK|H*^3RC)Z<)LsMaNt}T(uN6@d+vAYYU6m+;3tCx5oaMIUtga3R5{CZDZ-` zKA)yMJfPs|o{|#ov=gYNSXlT{8w2IJ`}g6HS%EJLS%V9JJ9_+aoToDrNot7*1lZlL zZ-s^owHywI!SfJzw_Be3;zJRksii0qNf}*wf;#$P)O9YTC|0(e?z`Uunwmj$d);>j zwYI~ECHyj{jW(@Y9Vc;r-w|N7L&H38GOM{#e<{Al4J#=r^EjlStc_)kRVv_scJ2@O z!nKZ*=ZbP>)$9Fn zgbo2w9sJ)fh7+dJ_OKvc$}Qiw!wyiXxowwyM*T3@NvRhjO<e8$?P??g?Lwh4Hf@ zPBjGr_aB?DPwk9p@Z2ijuTj&nzA`3qG+fv{gAuXm(%99-Jp(A2(c!p{PypXmh_#Q$ z>xD*vP!V0NZ^}Db!v6qX_)46z-$-F$a7X|f4~`U9b_)?URc|RhFVp(s#AK{Qpg4{8 zjsS=*qQO?z-|M~{EYe0s<52$qYj1IXU%vQaW)gyi#^a&?0CwL00Kax0Qb17@yXpt> z8-GkQ;6uiTG_`87g=Pv=i`X9a->2R1T8+^yDVtDc1x4-#*1i2cKi2_-vBxg8c4J^d zn`|x9O5-^T{B>h>n;r^;=yCvCki+X{ckT~dXX9zk4^N*)1Yr~{}#O2=LVTq+$lv>G8#gG?2ggj&lAe91AmW?o-ERTSzKA2?4n!NG9X3>EGAg zw;E;56T0|rLs+fU@7CD*AMNGBHC)N$m3>ZBL2S5*fn)yF3_t$>Zoju&eCnYRLo-Ay zeBNI69qshOvUP0qJUPEPinWOW7GYl|raoE%f~W#@{1M{qbvH3BzpJKt*`Q;Amz zQNbkfmz8gSGm9I0hrat_B1wq^fteMUf)tC84}GnPM+8(!nbbu`PO2S;SysVEztazn znVFI{TAT-$RB=BLMU-XD;e)$O)+-ER*JigtjsW6X<=`LU4aVF&a|fL(bLqrY1nn|8 zRvk{}-3k8y#Pr8|8rp>gOx;KS0E#YbJ^r})-R;qoPr&}x(Q)N8 zj@0?2(NrO^x-cObJvsnE?SFO7=cY@%oPUE8)YM^x9UB#Vb4TJp8BMGhjjz)SyZE%~ z(np}3-1N7<5$+t$R<9+bsf3^Bz>F9hTI28Qf}e_&7Q#Z^{KWJlef#5HG5nrhPQ})p zQOmS0%1@ii^S`_PapzwVyhz9n&uusEJ=jTEs%i?fpcFvc-=)R7W6;zp>X4}MP@N3d zBfbgg=og_$XNOryBA6A}=|X+@_7aCUg#&&rp(AqFDymQP$FNH#Rq_g&EtQfvoGZu} z+zSD}!wu{5q%&Ds*7Is3WA}VIf-y6O@YwEb2;7fcYf|mvENtZI7emu)W8(|(eKJg7 z$n@kbNNp@lnDjVrB1RiVvC{Vgs5iCrS&y~o`(&m%jAHWV6!fp=?!!8#J64o z@;3ZLa7p307BPU@_wC>5joi!P6dq+jwkX4{6G`d(bE+Kx3tf+2a2Qr75s=ClFk|^e z{V>QkM70_=hH0ZyA&SROP&8%Mj5RwIv3a6-7_H975DTj9MmUZdd_9fJYT;nz3m zf7AH7Hi|=cg-I)Y`htDu0-<57PhdNfZl3&G#GM*=2^1|t#Igi7M1R^4% z^8gOm6HSF?@?SVOBm5>t|2KV3A-(wPdlQL(f6kY(4 z3j)B4gV%F^z3|wQIHAh8V?4ZcuN*Tkoh(QWE$TYqHjXL^^G#UYax<2_tjty*ZnY8iiFjfoQKA8%Gzu%xEp%lbdXCW-cT09xIVqR z;~OXMVqH+8G}KBA-e3qD8`ytbJV>IeP{G3?vDof?Mi7ceRtQRLMcUi$d;XZ+%+Q@m zNjE0ISYo|^YyplhtNplD)m4a*E*EQb z`eH7QHl=D;jBHN7&0)HqzW8(?{5oEkA2VF{wi&`hl2z@s*H?THq;-+PG+uDFYuI2d zGpJQGRYoKc-GY$XP5zkLilC(a9E7mHm(6pJJO(l(h0dn@$`90I%i=7cC{%Jbx!U&s z02tsUm*KI*TxWlS_RMU8*>Oj{Ud;8#hBz07>tA@HV+ydK^x9ftCH8kv=p!9TBMMInI{3=qi;yne_WSwZdYKAd zOMmr$j4Mf8)c1y}JxJsLN$4+#w`UEr%4jMh7o{b((08%#f7cLP(i)t;rNT+A1cV(! zdtcv+CcUZZBW9;Rlk(k;{{Ve42G4+6nHA8RG1IH1{XV#Aws0pdB1v`Y7Gq$iuC1(b zIpDcufq+|(6Q~_MaRhw=Wpz-DUe*8(`(kCmm9c&#MN^<%ti`tPeTA*o*p|N2iz6+p zW1*xs+T`@x4rPP=Lqo^d+!Yrd{{X*!8)Ugs)j_Q#z3x{_efr>)D#rwY7;Lt&xFLnV z=L?`@Ii){=WQL_#;;;#<-247GEkz9+)T;FNHy7XY4z}xos~l1orjbb_bx=BtFD%Uo z*FZvC{#L!c_-q!+*<_lea_{kk%JVn&T86rrJV@Z;Dq}DzO|?ZTFHd`ZnE6*VKF(_D zK;G=A0r>Co{c-fi_{(t?cSFd29LuTdoEsS=g$C3ObT@xg)3b7sZvI`z3Kd z4&^lbNy7X^1hoktj?lcO85KbW;8@?|eeti7q>SiE_p|CJ@XcKMo7nq?-#ne(Q!Q76 zt*>asLxIz6$S3v1?L}8LRK==DDg~4%JNv(Ub6@bJ;_e{gk7YU)i`sG^3FcXGSL+#Zvl#~R7(R=gqs@+T&ClH z_Mc7rCWA1NgkE$m59K5G#Z~R|!PMNq_H(YNq=Q_!B}!&$$UMp;Rt|l@D={bCh9UT+ zQaBEdW=610OaB0n+~M3sU2Pj*{{SLwx4_^<4NC_rrBy)|7rqKbEKI73qqm#B2{xIj zi*X$2kUF9hW4JfJ>-EN-6FAl#jfLz7Uw-)5%^6`4BnuKx^f;C{Zz_=$d#c>$x zV#JN`>XxPnA~JutZRP;=8+P@>yCtHeqCbt+qSHCKTbr@!dz<_2aOw#f9Rd*{WnJFn zkbQUS)ZlCt3Pqv-D{O4G_3QflH^bPg93W+5b^}qi*8BHkynq{{ms8qRW(#3uJCT2P z&)xX-M8zA@FEuboUx29!No_~D;z z4qex9H$5xnG(~AE!clCQU>=bWh19S83{yt+UoOu2gqtmNce6UKMW|B=715UsWp6m)~vdo&H;HxoS>81Ak z!2Vvg`0e^(SuLPJR!s*A1G#`duNP8Fh!Y3Ev$V8+{* z>OD!n>F~vf>1d&mCOb40*|dsaJ_L7A&f~9B)8KI|;QW^@$}=&A(3f+XiCxxa9$Hqc*83 zNY$za7Cngs18;sJ{>b?!D>$oyYI6P|<#t7xSJPD=8nZE^GCKoe042Pn4?)}Gi+y=h z$%hfgHz#;_1t8iw5H2r$&FY~uZGT) z0d-|@Y&}0NJ%#sTy{MP{MHhkp0N&&--q=DlBx#v+I5t7lk%i-yNMKc~)XGnk zw_E$p6m^1h@l}tQ=?=SC_S+vCPj-DRU2JIN#HLva4N3#+Q*Nj4d>|a_zmLGJ8xlsei2G$*7_yX4af>NKYcJ)y^LB$Ybc_f!_Gq8KvKTD?}n{P z^ztw=y9H7MM0?x(VcQ2)1#a3;p6Eyd!|&;hZ5G>mUAReBdTs}JR z8eef(^OEbFQq;#26>5FtB$fQe~&v%DceXE`QpFH3Q~}fkU%z(l#nlcUB%$j!zv17(acALV_R76-M7QB8%ZycSs941 z0{;M}Flr!!%pTw$G1dLCq*ZG2X^LO~79{nz*ch=$zZYn7t*tE_Bwf6~j-Kz2-q>=H zh~rs8`NIYwJ|^FNcf)k>+7Km=Q(sXAhLuVRX{b>A14` z{5o3$NNw6BnO#ClCZt0q!^*<<9W7(N3Qd$jEi|M?(dyY%NEY1n2k(3Z$=S7qjatmZ z&m=*B9dEbyz9g`(i5|+T2HPcp!m_JE=S45ZG~b2KW{LJ-`;pxB`QUP@N<1l5hW6Au z{BbYmPDvJDQB9k|<(8pJ&8zKRLO{!6FS+ZCEXk=*hN#4}$jW>fcIkEf z&!!NTq2ZJ(CBPQH>~OjVjmThaZ-_pd<0T`WMU9hluo`fYMk!fK7pFR&$42%ax4Rpf zsS9YDHq;Me+pawY954+@b!D}#*Z7=iO-N*7@BZDv9rpb(8f!-n>1mQh{#tpuvwC6F zc0r_)%dBj@M{FgRPLY*IO@~Ic@bXyf{xos#VoB+5h5;fS(Xg5r^nyk2Yq18y8#OAl z=tS&UTT->X{{ZuZB8l1~CCFCT3;Vz44=Ej@eIh&DT}Pq!d$36)fE?0PPZO+FF_j;b zu^3xhBbSU2E&}b>@A~6Jb*P1Wc7))<w-W>T_)gxexLJ)IaKRQFsG{old`!# zl>D$Vwd4{*m7|rhu#rit6~YTVSC{Z&73)sg&hGpgn_mSKA29TtV3v~H(UPz+!ospW2=Tqf0(2b z8hkK$_ZB3kOsrk_rU41jcIcFIa5S#4aZgOj7tPi9|}bcvFJAI_w~Y0 zMK)DZwG^jZSNx-;ukiOAEd@%}pBb129d_8^iYc57uct7?W`brKf7$EwKO9A~YK5qo zl2iy3b#AxqwkA2uGMZ^4NbXpEVAlEjy6uQR6QsUA*De%X+ot~Pbu@6r;aR5-aHjSr zu(wa2_Qa2dY5YkMSgB#KZ59Xg7sO_UsKkHwD7O~STcG}!m~gB~QBr~?1d9Sq`(c%6 z7Rv)GmbQm2M}|gZVY;f|)>%^6x24&0~{{V{W(x$RU zT6$QjPKtO-5U?O8WK|?>Ywk|u<7d--K78A!RAX~FO9DEt_|fL|xt|H}K3kLrnMP+> zBcc#ap%h>dWB&lm{=S&`=O$BGRLdlyMIZ&SQ*uwIuKxhOFG|jF@wG)YJb9&5b=n5d z*2J>L0U#YZuXC{6-+$9=zm9V3#;P{Wd2^CG2PNFncvcs``*iR9@$9%bKTC_H-5zt1 zpWT;cL-{$wGiCXQ;t~NQhQUiXLbmj{_;x?j78kTtA29Q{GM*^NDdwZ6%4psK@YDdz zton(v*xO;T^zVru59eIE6(*vegT*YSRSIcpYzW(PxhHT7x9AoU~?P2-o^ zh0v%c;r%doCE_|-%Tm-fhAX(YewZUwLle=mL_xmx)HfgThR4A%{l~~ErK!qudYTCV z0twkj_l>)cTlT~HYMAVzLP2hW{{SJ^=WIxQr8q@6AD)~~!?aNVkY>`dC~bnf7X)lA zvjg+*h^;i`0e--nd{0LxI` zCZK=Y(!&1$wi-g=bz1Fx!1dbrSu3KQu873$K)CXQZ>Mhf~l-roIVgUtp^2!BiV6gWQAIcf_M4t^lfQxLtzoE${uY38c&*07D~!yxx_Wi*O!c#C#{0@!ngPJEaVQI*H;0=vL@j-39xdy8E$cNt-O$qR{0L zHQmC0EN_08mGe7+b2|P+XBicCUmaAH`D}rgV##rP*w_*~Zar}_PEL_#4v&}=Y`|z( z)DWa8y@yS&g48mktZDo(8rCw7;QapYh82`j(`Ho^o)g6DQp>ouk$r)`f9r=-(Z7hS z%sj1P0oZ}-h>Tj$*EK;nUY!#{yFS{O0ln-t{YDq2M}_=ErXZ(rdwo7X*9p_RiM2-% zBT4fC({1+sFuKTzFORN4V_?3&A51z4vZh(2gA}qE5%owvFi;n*{oe2HFjFq8T3MlD z$Yogum1ek0TGt-FgWZVCHL@&MrW!8SEQB4&+V}OrY?Kn|Jkf@IT{k^{e%p7qzZbCM zc4YE0X_DN=wW=UhdWj2?UCUf++#iM`{9Q*+nPf9i)<9;8dUp>IYw8ELU9d{3NavUE z^%4*Y0=5=VKJR=rsgF#JQBH^u0Hm_)ZS(22JvSCTVtC$ePWc(bzRfk&+zrf(^f@}_yBw2>VwCH6=Rq#vOMQty*u_DaOo#y3U9zvRQN?&8h|4tk`H?m-`#Qcae~fV zE54$me@r)qv2CS`1yQ9z=y%_Lh7lDlPdi5nT$9v|y>Z?V4Blv37tx@>AadvzYC2>2#OCKd`*+$uL*6M=w< zqLo?L9fkT2?S|DkT(t9e&@F|o5ywxz>yMG+X`f6GNgU}Cu7~`#JlBEi;{$V@nHnAN~y9o%|7I^f67;kpz@cy`C>I?EUWq6}lYfZe{X&=Ae_r}pi zgtFY7@2H-?96T*bQ$*Kj#>GL{Sbq3IS|yLqM<6MyQ%1)29)k_*7KjmVIk&TTQ^+A5n#*EY@u-3is(_)8mBNNXS{x*xOHDz5P9L5nq6lqz{^c zrkw#m9RWL#ZV%nQxNOiXxeDcv`|fx5pW6iL8^((0HX~45+f}|eXC)+>jYfio_F;XS zrvCsv@Z{PhJ%IS9En3Wyrj1hP!a7)bfNi&bPh3;!Bf%?~8%3C#1tY&qUG*}ZEl(^& zGMz)N!u$MjRr4z&N)8}3aOw#GtAg}ujfzx2Th z1u#i>*@(CsZascDA3-yUc+x<`Xj_dpBLpdga#=(~Tn#4n-v0jppQbNEdo(dfAqAe4 z7YDHOi}&e;&;vAOivfK=5%D|kgeaOeWu{xR?WjLd_x&(ZmYU7t%G!fo#SY+fxxd2r zD8`v#8zEOKq_8J%e|zC@chs_23jv@2M*Z-YhQ?ue*pP0cZl8Dh<65a+FanZDQ)6Lq z-w%pS0Q`=_7FBQjj>K3HJ$=|OkeK9Uk|`Vu*zaqPTt}dF4gxlxF!GadY%kyCgBfK? zLnoEU1ZuhU9{#uMf{H;e1m7lDDOfaVa1QKF;GO$=;Qb3NWLlz902T@?NcZ9wmeqLa z;TdcgU39gr@3+tO!OWhlDiQJv<@W9S1sn5Z;H28F9Tp3ZKC21+oj0hVo zuhZ7x{=Y0!RB}lao)nHWgR=vUgo-$8a{7p5^E~LwZHcojx3#_fe@swy`D^8wl=L$= zQxxo=+Q3<@(-@q%RILk_fzrb)i@aoz-PnC`=KKwW{;V`JaliAf?Y595s)F705-HgUE80HDSS%NmtcH(&+8`}M*U(^OG{3zDiY zc0Djg9Tkzst4OzPu6y_Rewdxu55Ur$WsXDOo07M)biq0bnB;}S2Vd>=!2?1FRZS|vzfSw#)AqngoD`(0mYP8v@&WLGaKHy2~E_u?1DbWD|no=rs!t$v5T zCmH-tT+)h)b&RXpCbh};p8o#;?~4Bbh$^cx`oT|9y3?w$+T(49>4y14-64cX%jT7= zLjcG0>)ZU|Pr)@!M>3aEV`n6lUB>&7>xkt$YKYEJjo0hH$9x>&mjYPq>=a+U>~HFN zU|K{M4p?aGsUw6Sb+9kts35n#{{Ve(;i-bBimaCdWmB=~(0p(YAn?|lIFLsmQFTVO z`VOB@t_|h2kxNomMk!^w6Xo9#6>=Aq)DCg}B^<@0;a*9n2}w}PRiD)bC=dStfQU0W zKJ8u@`Tag;w3*v%NM{C^L+Zv5NM)xoBD<=pk`;EnyL>PHFufmyRJM|r3>3&4ZGZy( z_r0;`AsSXbe}8r*IVD0#3{zBq3W2CE`$@jXZTfxR45ie7;~HvxQpU@v z4w*D`UdH|LA>a(}ILY&LtDwwYFjR+iBwawcvH17+;wdeC=JA>JF$G1*1bhCyd*SX= zUs**(QCU$=?(tHX;*Oj)qF&0QPWq1a7WsN&mV9{S7hytAx})kxDf2p<&y}1xp3f^u zJzo#e%_~gAmMsdCd+*lxkS$TA)1(be*-ppj+qN3={{Sn79tz4bN|q*iETRf`E(j#Y z5~06-+m74e%(P%ssvS(L`A(bZ-~N7>`Sy04-F{DH$G*D>Wivx0fhMEM3Wk}@*ZiI{XwXn3mBVUn3f>_*0(hD86 zDEr$ElBLUNl0|P*y~y|aefnWY%{4(;Az+RPD!nhi_r`_`Ox8;hEK=QlNC)Z#BM$wXn)>1`;e<Wxmi=8Ol?zOw%obp_y96&guUE`k$4tM#M-X!cQn0TOBd{PBJze zNy8bqjQDd=PYJme1sETX`@Z+bFw@8!U08v8X&=-KIz9{dWaueFA&A;T`GDwl`2P4K z6+}q7E%6`M-GLD4HdQQk*p(lqA5$32Y`!;`3s{SMuolxaG00X(l~}fezS|z#oF)ph z2#)L2sXKf&@BOitcO-A94}ZI+5++tdBOMG5!>>d4^u_EG_#dV!s#SG>Hy&#*`Ta4f zB-)0S8cnR)cRskln3WN^@`bgE+WXrH63)ztb+*^O*t-cfCQ(gjOv#2AqqvVTYjhOdtv=Nu&jbKj4jlQ zDBPWn39W1w1W0mdnOE^OEXB3}YXF`7{d)BG;zO6_0LrBj$F&3D9LZ{FsU{Lk42%WXcK08@OeRVz$qE)svbV06M97+ME*Dwe-+!ho4hQ16 z^4fH2q*)xcz&7^idwts#3-N4?Fph#2FDjinRPEb*Sp1;La|*fR%`^ITWH%_9zz3&Y zw!lTC$Z(?j<+Ry-Y({#X9|hzpVW|ee7q<5JVo_gRD#X;yStOvXg3BfU08ak^OhajS zk!bSRXegM+8V@KQo8jafLoH25i3taNAc51@4}~NpwvH3_nBkasU!3{WFw*Fbrld~_ zsj%~ILA~|{|FA7K~eFlbOm=|ew+Ovkf}zEJgM^-);Nj5=;4YN%^AySYKD(_-R!W zpXPX_!MV~8Q|W#F7|_o+`U z)`(@As)kgR88;ECg2e6)*1heD_u0pYV$b*nG!tuTbKntUb+7|_bOc!MgOh$mmT;fM zZ4yVQ0d*`w*!zwXhGa=p#pToukyl_w*Y{tx5sjF>p|~yN2uK85{2XN@jLK(_D+ORs zgJKTdJ#Y=W0)7RnB!-4T33#uh6XJCrragxBnPpXc=5^A;pe@(e)8CI`tILM`m4C#u zr^;Gj>t+O8wZ;DcPQCG@)ipHeuQAma{KnnBcvdwA-W;OOxF`1hnBGNXjhSN?B&h>y zgV*7YkVQ0)tc;$N%%Z9agVT0izw!O>)K67R8ak*8?f{SgG~W+cNEoFkT>t`9{@;6V zhU-v;#7BD@6(^|l!KZBM8JMG-YK4SuI_n*G{{T2~1fCcV8x&>$-?1Olt~IU77E-NB zq-oqKknTw7zA;YQ808kSj)wbth94GybZ=J#6%hgAwe4U~bt7-TrW&6GltEOwdt3wQ z-1>|t@)|bW2D0Bv3wHQz^u`0z%7ANA89$Qy->-hQ!6uGgHZt(!r)w3~0MZ!rw_E=J zTVW>9Pi<3eI)C6$S=zSbQu#!6L_ba5Hz6gI=K``ZT}2Pd&A zsyHf_PS)HpZ+-Rzd+`tBo)wnAFJTc9X#}YYxHco=2j0UHx}vQPxEo0u8;cM@C;H)x z)7>;ox4PL|x9j!!;gN33IGegE7#P#kl$fxy5u}rSkMDxi5{V7tP)H+YKDXbmrWfPv zg(&j_no=3N=F_GEFH5 zptnnnwT zd$7E8wD7UG1)FX9+u_?Df&;UcTOW0=z8pska_W$=HzWc&U!cK4(iGXGF_m?OFba`# ztFYe-X;mP$_d5Wmx5FMqRVow)Jx*2@>+k5OWGVlvyxGE!=btq;88|pVd*9){v z3Yg;_W&*%<7wL2R;6j?38i6cCkOkdCb-}!{Naocd$Vn@AvHZO-7Qr6V)FQKx0DT|r z7u+9Q6U(Yh;?vJ$x920J`e1rMSO$p=^o_{-_~3;es%xy*Wn<-WdlP-_{xG)P5ZXZ~ zq47~mwx9;UjfNl7Lr7#%T%eEzi!I80Jq`vzG?a~~71JwpV|!n}#|7xGQ5iN}y0Brm z!olpt)|kdeg{a=eO{^{d02o@LtO6sIM(tqM+TNIFQBhNukdUB|Df2Ce1YY<0TOMXL z(+5{1Y8O)budna-Y(*fGv1pR6B{RnQhUA5@wa=%|1+tpyX}~0TtZ%)$i<5y6PbD1U zHyY5e+fDv<_+Y-MjBTb(O2WklVc+3`j+iA&lU9~QJmX%U#a z5Jr#*vFqCs>iGbRL}b{5tX|)JMj<>+R}iW(sLh9>M5=m4oLBVISwI+Zu+ zd)pFj50z>sQot$JKb9M}PX7Q)d+-V2k!3F;Gb;-lTEgFbuyckf;*NBxM=0sKF&h$l zeDF2v_29{NH!K=HV4xEMh0-5Z8c3Y!!nhei#rC` z{QLS~poV&9I!}oiI|d!E-{pW_MPzpah`)*aKmoDU%O-4dOk%gQ9RE%H6Wf*R~)g%(ZymG%(AB9;{O0VDOJbW zE<-orGfbYUMPw|-2V2;a{{WWPu<5nBbiz4PNj*BJgVRlz8wED$W7BV*_*wEOGZ_?B zQ>kdik#yVvdybtAy+%DO8c4;Lk!lWV@IpvS!Ix;S z%b*AV2_}@a*z^|ky|?$`B$8SLw<=d#g08Gd@)aEcJpje2?6=D~`IpX~6yeIKP}51B zemgSri})0P%t01DS1O5XcedR{K_y@>9aID=nt0kY)osd+{(E}-G1Y&=Q-?nn%*?}! zrxCyUUk(_NfY?1nLY?jZ0LGl#{{X1tFVr%;e0f)zEb$@VI!9{J>!hmx0Nc4%4Y(?8 z*Zwg704vUEDxImSC1rJOj`r(@v(d|>W4l<~9@qQ#;dyGNgGi8T2cbRw7#ByG%|R+rSKQgWt_N>^4aHlR=25C8 zt^h2oZF}|i_Wf`nKO;!%8ac$VwcoI{@Iox+lB}3&<00*^Hw1R?+pZZ&8&I{Oh&e5L z5rnH}TCxC+(f|&tZ+rCk>@ZQFTCl8iZ}@>auwh~3WBpDLuc!PhnvvNF{GjysZ-#MZ zxs41FppI61fvx`lFJ7H`VbuhcIft6H<6@@DskpqUCR8IJ(@60 zE^=YoSS=&fsQeRqS$~9hUE0WY<&Ut^x?G3J)E;jl~o^ss)BWMe<|0Z;=}&{ zo<4h^B4!IMhwE?nAFdr0trl@jEijf}E6ID!Vd<;y>|yLt>V05b4efKj7*e_$*_08s z-k2jq&a!xNC}OSt-R^zZ7Oj@!G&xTR(@X4n5PJLYi^h{P6er7l#^P zM|NbnH#P)b!{Tv*>|a?dPT@`W#-0~wWTAZc*xPIG*Pz_s{$CwzuN;jjSsaUi0qQ#c0E`C74_Fo zTT4wfm99@#J%;x`wh=a$f@2*MehbPn{FQ}8LPs$cYn2Aq?fx%`&QZnEte`{+gXKYf zn5^h?BFe~I7G<#td-ewhvb@Gfsv}U!M^Y|2{63gkdje@uZDkqz%9oXZwTU{q*!p5Y zl;Y9HiU}=Zqi%51P7f2U>4D|0zR$<<=?9{&Ko z*tG(j<4mZ3h-=kKIDUG_&WM%N1odvG{{YQD3!HNlF0C1F8LUOZvs~@({{SAi>W^*m zbAAV+%_S&QS7?k70k)UFZ+GdAQJOdt^(SlfzSyx0GLcekD2zBmlyzC*S@YVxF_Wcf;#RYC9Z$#i-)u~H zzwkM3VM)R?&EdT*2$03F3#qI&8+0Cm-98h}5#joO!-s+(s~Um>o}?Uew-)j2*_X6# zeU(zHGAjyrb*KAKfz!WTuj_1R;m6gZBh)h13MTSROsy0i6ERzpDLVt{u>1MpytS0< z1IHl*02pj_H}w91VHI&04d>FL#TeXP-A%gNr}e{mTr{lf8FLsVhy_6(EstK9(2rxv z&D#QVKP4IG30F{6IFV?W}bNaeqPU@AzRcG^TGAg}^`g zU+eQe{0qLURJ;$V-k?YPilEEb>Hh#2_88Bmno_am457T*RErDa*vXd%B&6MfqNwT_ zX=J5MaPR)s0QB|v<7g`-nr$*UOLW-!;q_fCbJO0OYZ|w*ZGN`5rWwnXYP_*4Tn*G8 z*B>#pB}denr$!}7EbDMT-EgM=0HzvM#`?TRI@hyCyZkV)lCm{1N*kf`IO+ZIm`u?( zh8;;Dljgm){{R@}6?z~;RP@F&T(|PU)J=~2Z}a`J>Hh!?TN=b!QNr~dPW%4=Ts@jL zXwb%;Tg;d8f%W%cm1IF=6Vs?xUCoC}d^f?pcozJP%_1E{^np+8J5O2%l2R6xKI02jZg{c)ua29`eq zqyjbqXS-b3Yz~v|`|0U~>h#BABO#CF47LP(jxv=Dv4xXzqr2RTcKhpWX(WZnR$xxV zTc{)9)4un_wP7}pl4_NTplxfm&Cu8zAKM<0nnxuP?nc)aw%eb7Oesc@i3tutYm>S4 z`}@8}PnQ1tM%9>~5juidSEc^|UBAu>QdUmUgHRXEz5f95_-%ljT}8PPejJ#~ToOrw zsbQpc@7J~?JjtVqvxo&jn_h^NHN}Y+KE1DlxjTwhSq$SyVSg_EzrWJ>6~xTddAXNi zZ!WMqdV8&mo>R4;B_cgfmprRyMm}qL+UF1G=|2m91d{i#TW#;crh%hXn&7!>5CPvC z(?cshrr3?o{{Spz&LZ5C6@fJ_l>Y#5+95vw%BX|!ZFh|GwD}SZMfK-!1ecG zYFQc zWwM)qExpHlK8I7?90p5Si!bScZoHfp%POhrRb^Hi5oBdM5xLx8l`)6{%T55fBExS@ z$IlVENlgTeBqM8!w%6P5^~8@SsEVR7A~M;9h(5lU2Vg%V>Q|070#G!yt{C(O@ASbs zN-;zP&`GM~lN+73`EAz>;Eg4UhgnmYikqy)FupznpE2cz)wR3u(9 zu`T65ED7j;wi?ttwABeznvSQlDEz+dz5}iktx-3&I;01&n$)H8g4B5 z#@%-t{{T+j{0O5|6l%Jz!9fQ12ExaF{WdljE0^i~GE#-Mgan;e+rPNu)kMIX57TJy zBalc2r3OtF>`lJ^0KOxf&@rY!BhpT(H5cw|4Trq@$I}F|_Eyyz)alrTHx~n;{Vm%9 zIhQ1dD~1M{G&Mj90Jpop>~S;j3VSEA89YduI#RMMNn}8v17Y>~{Cjj+gmoX3-Nh?dlAT_4nh2M6wFlSy-sLgDSDTz_#R__P^5|;ZSq)Sc~Q?FRD zy58Ne4=yiJReI*o_BK;t^S9r85Rg|yijhkjM6I)4#C);ho3qtHDhs?+^)sy_+T}?A zfLP&-FxBOCOjA_Rm%fs1-?!guVj4yZYd@J!6zocVn{IwmbUZbi);g zIj5<4Be_;STMpj1T2!S+8jBL&?m>U}+XI^~k>?X>v#VU`*mb`6T7}u_86?yh+Uy+M z4!`FU;2xvqsft=}!`!nd+sn8((Hd$8EDh8jGJ&|msIt|QOw^Jx7P^v8Pj)a}9m=iA zumFv=-?wZMc7gCi{iCQN;@(2>W>lVHa!I8K68pwAbRP8v-rzCwF0lj18JH3_y6vcr z`1+H^c?;KY#$iDNJANvLSnYndL)YRjkC%1vEIE|$mA8i>MYE61ay|PC9roCcxVePp z=-!e*BUuwjjJH-dxcOfhc8DbRNif5fKJ`;<{4F?VWfbj;jiA- zzrXrh3C9#`P)Q{3x*h%Z_~9~*OJ;@)wqa?6TX>gZdJV1iajVRHLKG57^Aotf7MhKx zQV1k%)2aLZnASK&F)yu&H&7d(AGR&xl3ok(c8#MZAgv(W^z)H#r%&GstO8)fSw+ZO z9e~EM7G?`FhVu)vC>H6r^}@?2I$Agh1m9AT>%aWs)LNjd))@2^1q)oUEL3#AO}p6P zMg*}|7gJ!z^4$941?yMD@EOuakTEu`a?iiP4b?XG0=c@A?8;#G?)3yEBfzVV{ zN(4|$Mx&$T!qcc@;xM(sTWg=Qi%^S9RH=bIs%DO-l~GDrSglf+!RX)- zdk=;E-&}IYLscq|!-cU50T(3SZ|jbh_NJae%lc?auvV?HRPABz>(}Tp&p9*<^?~Bj z0A((teY#lw{c)p;U6M?vmMlNRc|Ag~3A@(;i1 zh+a!XrdZlJ8Hohxx!T?R{{ZI}9}3XGvMg}6hGe?mw)WWkaifhzDl@Y9CsdU*c{dN# zX0$x|IsX7K|J{SC$bV)(Zds?R&>GilM&6j_8d zN^ZoK7YyEQzpah&=c%Rynr3F(YunUp1_SWsX$^K;O*~;(v_LcL0XrVO$s>E5EX>Fyvxl@&fCtO}AEio0EHQy|?*$vC96{luyC@*vk06ofwBz zB19f?QSZ61zTc+U>FFnQkbx0!Y(JPlHoser!((IVic{N1A%imDI5TUqOGPj8KwX;V z?cZ&I`VW35&X6RFDsh_S@Km%+S=1F_&}<09l&O*s#5YTRZoPkeMEO6&O`h-;UpX$$ zB1qV|7c49lVhIuuW|fN~D(;2mQ_0dvwAdikZBug24r?{{R>*S4>J4h4r0#YdedbuYpyx z%b?2}pAk;v1M?rL>xLkbq&2Lj6F1^%okW1xq1xlq3}ly51gHU-K()oU`D1#Dy0m3* zG_t+O^d6_>g=#}qQ~0t*70rlbJAD3EHW-wN1y#c?Ur?=09*|Tq4xrfkzvCV?i!uUZ z-&X2v`(ZS#nwq8Q>NM_6oT(n~zMU|gd}}HRDU>JERM1GX78?V&2mD_Hs9Baj5K6!uwCT0Q z$M(S(COS37ic!GQR9|hszBQoqXu&rTIjPhph~yeCaxb;}boc)F&wzy$Spu8+z-w)O z-n~73c-hX8c@aX7&NXj-#No8YL}HG_j`urW!ry-EG$VI0ubMX+tj9^*TkrP6X)92t zjP(m{q%W_g`*-P&GlYb@jZ8@^<@?_ZXOJwLFj7glB=`IMF%8hUJMa~zX&N?@%1)aL zrMCG00LNTBpm2;*2Wx5asPAFZt^{T58WoxRpP1hFvAF)0+X_oo(FSBBT`rb8kEgiX z37nM`+_p)mGr3iSV|6?JTig6!YvBr-$C|1@Kst@U8(QS_z-m0iJfc~i+wQ~AkH4-6 zQ@WA~U_%Y|`P_eOWapZ41-C-T#cZt@7B)9rIi`e@{{V>s;E}d8r?#OaKoG-gpTB?W z@x!HZWg%k#D%#qww$|_WY*lHBb4cDO!#E_JN-V%!+6jjwz6KfX6qnS7?q7+C{c+u@DoRDDc~ zwv%-}m;G>+ERud8DSs}liR>^bGzYki&@_xhi(sR08(?kzajbVqjAB<+>dZ%9r(7v2 zz|xSYMYip7dyTP(z>w%ZRaH9?*Lz!ToBsIfYiyr!9w~NI2yJjKdu`VbsnsG~EQ592 zdi(zXVTQt`v7MM#M$Cs976?S9yImzT?` zLxn<{i-Jy@^*wQ=B#ThO0lNYI@CQ%Vt{t^8G`=DeqowU|ck5yM`~CZ^?B>%XRFyGR zz#ch{q7$0H!1fnZ;6qScoCN zHs7`(s{zV{>SOS1BmCp8_Pw__QjSPzbx9xP(r&i+UkzMokb=XllpF8AOMag(eiw$J zU@PLP*}CXkck93W97>BzLjA-Nf~eF--u56 zHAG8N9-c%1f?MN$|C8*8Hug7!Q6@gw8vl#(WmKn0{BSS^b0*WHLD6U!9O4C)k{+1T{- z_#1lS#8oYda&3hmt7%TNAlxf!pE-nzfo<0z-uK&s)GB0 zbG^TOEk~P(=Sq198bR}GH~Z^?YS1=|3xVrodhKukJT|?--{t+WXi(I}TTL91N|#b@ zS8eTXgRkGNDc%gJk~*XbDvNo_fv_IF?*9O;Ec}^~>BP{rh&K*H<0>t)RhOrmAeqiYjZ9%sfWY!AU080^Yqo?~hUF(QY<* z?@*O02*R&Qb!fAg%OP6}kapY9*kFY%%{nUu)XRNdoetOcpH98K7{7;67|_51gf6A% z57%$+hxFhSiY9~)3;-2xea(gM+kTe6!ycv#!k?3B3WtiM{{S?C91x^-1ns^3{eAt- z@IR0BJrprC@f%BjDYyiWci-0pVT}GRNKH6>1?X`z~{onjNDx<39{%r(dJhe2kBZj{1 z4UbQH3~)@rVXkRoUrv@$Z=wXP-V z1-osv@;zET_>RAWPk}1Vj<={&6bdTo+wyGcHwWG6{sr)gRoBs|o}if{(gve@^#1@D zCpyx~H3!qA3l<{7dykgKt`ZcbWD-n;m5BpR=iy_vJVc|^^*Eixd6!3*)x-Fh;S1Ko zPv5&46D(>KixXl$H*kA<@HAFSkwy(1EXd?)jry+re*JL!EtZ=#MxVq$bo%deWAeZz z>}XFdo=bjG%YL^TA6wzfwD8P@(LgpARRZ99?exIv1NZ@@k(fEyfwx`%0Imp8)>E|J zE6rrF1F#!<;FVs^Gfz`3Bqdr_u{K@$9{6)Yk0nYFx`|&k&%+F=1fe`-je%yk1oZfQ zaFrEyVU^Sw#3DeWOoT4q9-n_K8U>^tY9@(?w9_tWX#kxyJm28$b5Zftkj-&{CK#fonYBmy?r>AuGOz1#Ks3@KU&Us6J}ynm;V3|+n(d}z7>`qZi^T)R!RuRERsY> zV4#s^>;@8Ldf|F@DJGN*MyvVO{qMN_cEf1lDm+r4+}*WqdUohNe!na& z0)zrYE>iaJ$=Ct?{oa_;$4vy+FD!yT>aKc+OAH~JK`Er37y~T0oouC7%rE(aAC3BZjvm7nv@(Qe(l=Gyll?FbAT|)nWqKAG(Mt62?bqe^(-Lfg zpw(3=k}Vb>YHpiv^6q_pn2zP?6m5H4dNH@5>+`k^<&!JV;Zt2pT!4eMx?qw*oib&V z%TE{)MxG>uc0E47Oi41Ti73%gN(PaEaCW#Sr|F1xOtk{V6etL9bN=D=^z_7|Dw57x zb|5N%1iN3~{eGBqb}WtiOIFNyZ!OB;OS4p#dyp)kZV#_qQ<)Vv;w9EqNp8dgw&K?M zV$k-5s#z&$qS;M5a0>BIP{@rn78=)BzqFs^E_z{C8fi4EC z-rMYdTur!6ncWHn+&b9W`+rZL^xg}T)<%ZrO+{=A9lkxSd`ohi`6%f~oiv8hzEf-b zKKtWC6!d3hR)${LTwMVQADHiWga`v`L?i;6> zi%FqGWJYxx0o0F)*F;t|c?QM9yiU zm6S0AkAttSeH`4obw?Zw2Ni@Q7(X_S3Hq!-VN~OG_IhjED0zSC*Gd77NEds_C_6xq= z?Xm1v{ahmGR?e%cr-@jf3#nE|RsDKnNUEzLV|4{cD|7ztzMp&HYtFA}fgvxYfd0L( zqztpSh%9V22e-Q)A*n-0)4t&|Sxr{y6xULVW7~i0-wDq|>Q};?07ln02k)jftff^& zeKua!u_FzQMO7l1kZN0tDMR(cV!}2{JAXBF^(C*h`}{EG8Ha??_?9DJr)zZYd^UqO zrKycpbQdG?osUcR?TzB4X-29i3-2cX0Q1}FiSlu*m33Mma-Qwa>UR%Mr4p%V0IQiuS_v`A!!XWI9eC+ zniX?!4%-pi4dAOa0NvAl$Ogjxhu_l=D{5qy$PlnBLZ?sZzW5aMj~s+(R=Lzx;@+K4 zY)a7BD7CYCsu*U3t40e0yMjF5eXt6mI*hiVh>f)LQF{w}y)b9-k4)YmT|=m|Za-g^ zF;?_dk!tt<0JsYf4{x207>;VBs0h=oWO_oBakjNnZ>}QQz;T;_GmT212feljuEzM0 zX0!=LLaLExxVgCl*WrlWa=iJFm3KOTPzKf=di{Qwx5swNn0%mw2U$KTy@(pE_x>vFdG&5~-z}2^lPI0)xKawltciRsR6IKvh4Gn|=QP zTsGJfvsbBD64HXi?mX8xA1u!D*jTQkV#m<_oiMd@(Y&4*YcBU@Kd}03fxn4CQ(-Fu z(5X8x2KVWRa!nPoN{4b)G*F0Hm|2P6qYqBMcf)vddWzblr+{3KDHZ^M-|6wf6%_`# ze-9IXF`Vylw)-ESTo9qlCZrnaoVx>d-uL$p?TQ)lbt`vP5~VUENTt_M9&3yi%SVeC z*J}qJZ--m}g15!~T&<%?u^Mc49WZYznRE!tj@=i0XG+_$URcmp8ic-u4}OC0hft~W zNuc&7%h%>U2Ll4KFhgT*$G?A;1p69su6@qFdslKpj@(pbv{{8rQB=~>!wj*+jz9&( zXI;kLaM!rDkQ(p9h2%3i9F+on!(1I%#n2j_9<9qO0lEA%In6npI_=?jo*+ASQG1Tq zC7JTWnHfw4=HR^3N{viK6Ob1DbpdY2r>EDZr}hW-RpN?gn>XM(MOkcJ7b?q`6Ke)7 zB`djZw>rKS#ajN(9>jbto7H9f3(aYGUalEhCaucOWejLRH`3H~Fv7;;w?nbTsPLgR zMl`)reW<8rqp!=N{{ZfV9Fgy_l{6l{gkJj`CX~iP9v28zK?-fj>xa3wu=lKOrwwuO~O@nXZi$^)16Fwfo-)3TfhC2nCO)q4f2|<-{J! zp4e2&_{xq*a@M3rr(32+m{ZKa0#1dY%<7aM{=&xHaS_h>SIyoZjgJ$1B4!JrOHWys z@w~J!jjweXj$IhPUnzf?+Z^~4V`J)=l{DE;ak#m} zi!h_%+FZRVGcHo|BAR4R<|bOXE^HZXYl9A99F6c1+*$saPwg|rYS~5&R`C}4vrge3F+I@1YnvJ}F}+jIT~IOb1pu5wal6VujZ zxdlqaP~tfxp_nlNjlV3Q9lhS46K{{f%gVl`Jp9Pv^3L(4tXh>U#g5x-EDqK;9e3~4 z-wr9fapzzL*9=9;H?hYSaPRRg;#xFAp7OeqrN|r6=8a6PU^_H&-1=|v#M31HCw#Z5 zMvI8~b)M4c1^)o{tpw#qLHV6G?`v<;_GW>S@{n?B2y-}kHXyN=s`C8RgEO9RsfDE+fN zoj8OAq2^5@AR|iGMvkCt|C64$cx-qYn6@slCCVwpsEIRc4J}@Nc^O4*AaV{W_mz+A(dyakDS?gev=~j334FERMR~>5hGqUZz&J8@3#Jy!-7hIKDG;MU*Wy_dU|^N@GRDf zq%o~TdZQ%iQb{9k*8ZOUm|C8A#G;N_EH8b{uYY*?;cpX;nkU|YH{d+pICB8AIPlP_ zuD0lRw)gn^v2JCx^py1C@BJajpQ%*wi0X4M{93^egbf8JF~KT)@t+i&lR zTP~=lrlZq5z>wC`qQrgw09<=i5ToJO-}+(v6{uZfNZq{l4mtzr`d=Fm@MTNL z;ufq9DjKaLv9N0k?n%ARzt`6aR%AcxJ{;Mc!>DlSaemvL_8&}d6GVTQLD>0KoG1YL zlk)WWTMDvB(iB!j2uoX7Zs%}1f1UmW{{U!lK^Lv?Bk`JLR2$iP5x1f02H!k%zwqnh zx@^Cjv^gbcXi};wicl3uDp^BN4JSaJ;WgcbkZ5A#0z?WSJA=r+%NVD03HV5-o9?j%c&$Z5>NS1WsAkrlQxlKEAtt z_&G%sO+%+pU`6fRw_6NG=|2$20A14Hi)=?urwXQJnbJb4;e>IYeg6Q?JQVM->@igZ zC0S&hlfwR8tbC(k@$HSpB$QID@PWUVOOs>2dw%%FNi7{&GR5bWfz;Z7Hn8jS!`La_ zjh016Wi4i~y@$ULlA)&2=JfTn=9S|SI&2qK-FqLtnAzs1RM+NJMeEr5{{T!k%qifJ z9z{bK+xdWLKOMVajXh*R$g7Z*1Oa8+M@j43e~I~f@W=5!DJV{%eXe@i_UZD*e-skeP*b=g=tYhDAM* z0ZN@#-}l?Uy98!6631t4$v$Fjf3b>ks7}rPEc-GNeCsF*xY|H$T#g_>D=IiQKH5T$u>4Rdt%f@h{n>H3#c{= z{K7h$di49g9#cx{qA?Hx-eMbnhtK+bQ~WBTnG$$#_B=iSv zza6*4*2RT#*Koce^Ekex8=Lxd_x`w%nY-l7*PRHdl9(!ecuF@#J5O4Ls%f2aLxm2)JEfAJ9Pg5I5SI|7I@WI>A#r{ zLr0otb&1<_`(+Vk;NKR)Ua>ncpwY^0Qkji@b}@6gxs`>nR3P}$#_k~ zLYd5RRz{&k^tH~w_3O4PzIS_E)_iwxvwkQHFN-TMJ;uWQ`;b?b|Dq*xCGivRS?8bBdaM!0^eV!%hwj>QJBS;I$=eeL>TvQ+AdLe#odPL(&y z6$DK7A}||JEw@{XYU=mn?ZG1sv~AC8QXguyN@>B?}jcaO;r#i zj5X^~WiRE@FMrn+ueHumGuCBXTN2283B+#UMZmtL+Z4x+;6S@KW+ZrDLz1BssHwZ@H@AvY=MeO5Kn2LncP=QMyFz7ArH~3++(ZJFT zY5={hy~*Dj*U_y-^eGB@73u}H!0M_>q;^Qr+feI!+iw2sSdAobtkhGMv|tcPx%pe~ z;epi@l@Yto6`yUaZ(wiGVZ>R?aH~T!Y&6_l3-{^n#sz#@foh-$HWunfsUEi59ct+k zn_dBRaL(|F5L?Y_v9UdR-`|GuI}gnXjzuQq^lwXkxGyBJus$ls;a|v9TMa6y;(JaU z5(os5ZNBe<>wW`;w_xh{#Y|t$R3x30AE7^eu?(xYt#cmWOJGU)-}?NqH>!d)gph6I zzfOnW>4;uv;wp!BRshY}ufMH$62AY)%>0OC&M0!IXe+xF8DyaqDl5@QAE!0oB1~Kkn&l2;!{kE~Wf7Uzp}A zOC420#WemKI7DJKF$x%)EzZD`ZGq{7R?NOeRTM|6Il-FJ=G7FrPEQ2TN99>+HEJYv zJ072|EY3w|HC)Bd;-KR!)`KF;*$2vt#K)ugF9wqkZS+iXZFIeEwYXT(x*K3SGk9J48s6_+*0C}kA+ zR0XW8NZl6ac4jU~B$g)!`e@`^N1V;iYE+qN^M{5reoUg~d}mDr*+o>wIvyj*Dx<5* zs#@BDiQ_g`Ddq^_9Dqn&jiWq2%&rTqK{XE$3DmygHDXtLZY}GMsmbzx{?lG{RQoP_ zNpU0Q+=Ay$Qxy*s)#i~yC`NB2S(3=e1QXNE;X6pX2-#lF(M6}2IB%8QN9^J`lffJf zp4Da%(P#AZ^gKtG(V2~ATvg;s*rAa|tHO1d*-28&4&!EH^xRo|8QHx{nT}T%uj&5) z_8ZH%--W9HEyNUM?ro}}R1tA*r>_41edT_`Tm!=S2ep9AIBKq1kM$8PWm|aRhlo!0 z(gvZ|V}Gw$K3ByVEZhslxy=VLCE^O2OsaOLHT)=)6%-N}-$SgyMy4f}-i3QyV5jhn zugtiko2NIy1S|x=i08s)VD% zzJd_ND=F!G)pV&&WK0{u@@WP{y6^tC-#Qt z@()pZ6zNsb2nq4G(H*A;7zF{PH&f9sC`=n9fTUdMieZ+89hu|{xN6sXwWO^v&bxYgtl z!DVaO`ibf`=r`&2-vt~|WIU|dgG)NFjhCf}BJ4rflYRa;<^KR;IO-~^_=P5 zyVwJERrgxorM_76oQOsl>W!=!B|J9HGv}6VQ&GoR(md{EImG5cuIK*Ywa0Jmh>}Ri zo+Pomhii~5M?>kq{NiKJ4qovtOU&HM@mXx9o~EWrspd$@NfDUuw?ppq#9~~ktymU; zlz3!@Xo%kaxZLAq#~33cJY?qVL2DW&8ZNu^2cbW<{{S3#*Uad#r9*%o?WCW5#>ej1 zHaT6Lia}IJR%k;!axISig@>vAd*D>uA;eUy$@oXqq@5scJM{g&SkQ)86x>=jk3Ol4 zhgzc6TY^d5fg=5V{{XHP97R;XYNE!I2wQ{b9`jv zxxG9Q*HmTFh*;P^hSnW6>F?hKERgCHV%rYWah-flrXmwaxgcLx*W7+b7VoiMZ(qe; z)0`2)^iXOq#B|cg0T)Q&e-+q|K&V)eZinSc($Le*ByvjQ%(nnA03N^F9rOGtJ((%8 z9v-arip;XtHTBBW84RtsSZ=0yyvw*^1Ym<}2|ifr}}7d)u!+SHzDd>U!I z71eOVdyD*U>GQ!%swgUzmZiqaVc7Ne{7x8B&lr>N^{{wjKpK>fQSu$|L8+deQ8Kos zZIyJKc-h;L>M^vUVxKL1xEjhy33b>M*pau#wYI^`t224&%UChnRoDg|{{Y_r)+y<# zBT(BG@3C+1wiQK|p|w)VK~ZMV)+B#i4L<`rCKjxc8R-~Dt6H!DJFk8I`(YX^x*ED* zj>Sscl2@kPJ#ng3$t;mmG6QA;?6$wZ@7E6=86tl;P!Dn2aC&2sc6VeodVlo!d@5-R z!pcIiJrBpe9OcnZJ1c5abJqRu-{bD}!cA1L7Fi+GwyJtO#AfKoyN zu>Sy@7VeJjm{t^)mPTlzc0ZJZ-`{*iJ)-oELGg2~I<$v}YV@HRxA5EOaWKmGf{L+4 zJb|KRvaoHfe!~&3Xy{s_kz8IVms9@$)751N+-(yZY&+rDm)wyynetkuwIi!x;x&mT z@-?uvEmFI)uBEvkY8|cV(*gK`scG{WV=BZ4AQS-YbM^GbC@Y~17{~wv&8djD{Mh`y znBT{Z^%pp*B-Ay6>l-n9bOtg_t!rD;Vea1&3OSoXpape)U=9BIewc|-Rf8asC@fQ` z4Zr#MVn>y`gc7WbJL|C;Z-{VF5mg|%yM_}M3gFy}lhm7oe!r#+P{J#uQFAt!=)zbjuk_0uM`j?0aDY7)B)zKu-2M{5$^uj4KS4QLzo7N=VG0 zw32L3@M9u3i+pA3pQCGz_YWx|+bKxF*ExPv2Zvj8qgajc4{Y+(uw?Q^jP{{SpJ;TnNUpTL2NhnYjz`~Lu@8MA4hLp!$j zD#Ky#weXw{^x23p7ElNzlhe5UaOAX6$h2R1ZC{ZmkUFcXgLglhzQeC($S29GdNSjb_ z`vtHYZR>xi$5LKQ?1+>`@-k>AN-t7JP(4WKKfW|I4ANdAN@=qLuwj0L?nh743C}G( zJmK`dqirRWY6o$B&&J-i#zg8}T1cd`t<1r+*nIl#KVOz7b|`~;L1a>A9JQ}xSi@4F zsY@vrAP_+JS&6s1-H4a7-e)am1qiA<%}m+?F4jTQZvFT7V$S2ttuy`|%rdr=-lmzB zJt=E+x6fgUCz1J;Mo+}KmOi4HmWP2#||= zvFvXZRFfcg)962z20eo70evEM%f&2cV^~vd^eb#f$K#C}3UOqT00B1CcE4+bjI4w? zP|W0=wmbg2{{W0;IgqY{50oFs4eV{b{)ZnLO*DN$b`p4|rjRU5Sa@ItX0^{IRA$b&Advx2UzZ=I*!uqff3trz@~Va`;26kzSgo&dg8HX|ZBRL5`K(Uk ze!l+z^Moral5`SCw!Q9K`wpG)Cjw`u%pr!sf`U%h>#!UC*lR6SQn8Ll8cW$!gVXEr z`rxF-S!7m@RkB8IjlQGn`j4k;;C%GV#iU!14&64t{Na(dG9CsMIfD=7OA*kb!2G-K zh{k40sXG$J%JOR=8X+MoPri~R7P7V(1Q z*+oRE&8RMvU3M}t9XIv9Dy|8s;(it4sIz&gn!M$QVA%aHT4K)LG5$Nj&^XoxhFn2 zOE090@Zu_Z+8UNriL3(!3_*a|N1Qc)@{ZT%UTSf73Gw$cb8acfI7cp`%iOItTMSYq zO06;|jypMDHNuv)t_yBX^O^Is2Ga$(Hbc z5mQHyNt{4hu8Lx;lSdnX34=qZ^Ae!23w?rB{0qmq%}*%vXzTcvm^~j5K@v4yaMXS@ zQ6VV@;t%ChZ9Qyv1og#GqDWEdvdh!d6#-?2!I!uo^cE)Lup<_aA^C5Va|f0cv>ZK} zr93d_C}F9orCNlURnU?Y*czZ^kU=>efCf)I4e+LY-l>6 zeKB2ew=3r5e<8T4o=oZrx2&f?l@wWb;etjiw<;Ljj;AN5Vd;xw_(D<3592Fkr&!st z=8iFKB@@M4+Wm6Cqy7-OgIc-CbQ`{WWIF2BEOKMa{kokN5Mf&@< zy*Y8iw3#mjWqDK6gZNy!7=Ry^;x!lel0L>L-)Noz!tIOEFt)ZP43qvy9gN00TQBiK(iOhkdPxX5H|OF&N^y_r7ir11P!dVH|fxva^E@4W>M{n!P(^;F7Hr~L#^ zuWd$tH|J+Bd3+_bUN4O#QJWUmI;N|7j|qkS3HkL+9XinEprnM}Fb0EbSav;d6#Nlq zCVMN+{0;3v#r(Z8%PP`k@~l(TDAvgpOlzxSYmnFJ*7)D}t7eeYdp1?p#Umkxp0a4z zwU}w9BI4HG?YGmuG~o(qID7bqaU~&@kY>4LvMLfq!z>bQ*7hpEeD>QLe-?bIEVJ33 zf5U5LdU>OWLYFKxM7mF)^NXA_8*Ws=l&K#stLD$M${ebiekht3T&?62IVEL~%GM5k z1aH6P0@#OVoMp${9hx><2NyES7MssSQdv}5_6#j+ZPOE|>S=O%`Vm!KEfYzUm5NB} zUJ%XVpUlyM!Fu%n0ON}Hj>RQ+5mnXY`K;BD+z~wTG>pxse=`8YUf^56{+VZF|)b@mFGNRm{rqj7miw~8G7%tF&|G%3RRji&m2Qh{OV6tw_UdB`+DGY zAbNW5I=DtbsIP6u-~3>=hU|uI1P}$w9oXA{n73bl%MFe^aPT%WY)qkz0=p8Zy$Jgo z?S_?Ba^56+Uiw*p+t674_w`(OCttx83^s=-u69u z^zDU_+1taElB_{t=z5R%_u*BHg%B9kh;qfP`gOnj;AgZ-bj#n^oxC`>hG`p3%qSG? zaBgjGwy^zic1*IvI3@0Ur0;URhxW%j`!)Xnr&93teZ)DwWm^n%&rceq47y~Mn_Yk% z&Fx|D-)vmmDUs%!OeK0QBH|pZLaGSNU{5{o&@j0G3-q?*-Hbj@3VAX)BHk`?!l{;b zF0y(qC9eDiZ$nQi+*vAP4jB4x@%SCRai_E=4{~3DvYfou@{WqOqcEvxk*KNGoJ0g{ zT1I5kb8w?c{MR=-VzuEuKg}WHF_7@rI1pwpDG*U+96mwRI)kN`Zz%@EiwpD^igACn zPc%64x|e{ss)r?dWUrFa(NM`0i{Z0I2`?)E8pmsNx4#rWL&(QI&%tgyyg8SHqc}g< zUw|dy?ik`8WpfZ@dCq@L9%+!}D>WOe&@wB>Xxe3VU=E@IPz{G|-0`ol95J`za{e@i zrs;H9GPO``{{YEneO=E>Vn@v0AkH!_Blc>CEvc4{s;7lAnm3vT5t_K?o(QByJ0Hl$ zP`K6N*xXwdryO$5O2(c=nD~!p1nw_>mlr+G-yfaW<(yW^vW$BiiJyA{b3qigSHbkv z=tuI+G;ekmCjS7Ez5H3t%K;?Yx<38q_{J2I`UYo5J^!u^IitBZMc zG6ppghYUegkuDDY{`-yn-LX$cpXLodbHjAGT{6gXNF!R=DyjutCxD^Pho(hES28GV zO6U1@1#WNB%aN@I2txKVNgVz}&7mZd{{Y8UuU^>2 zbz~8(ooWhsOh1p zt({_1E1fEXQ5PhVc0B@b`>XO|^C7P4|8p>f&tXDjE2Dl>Fi z%1&YB66`lxxejaBHXTTIVhrz@&H_vN{cTPk>Q)WpYO zsYvr5U+acEW5#(OFY+4xF5PhdOS&OrHL9xwKb=T{r>xZutx=4N+aleCJ@K~{Z6{@$!F^}>lnWM9OYFR-x(^y!2$k2H5bBksXC7IuJ zxSLT^JbFT~(#>@jHzWFC`Z?95P>rt5*P-d}>xOAO$~v*sKss&a_x<|e%n2cX4WqjH zsj=(6HZaXKvT{X>NKi$pSJUPu%jJ#mcE-<{C)>v?!Fvmb2S|~lshf9 zR?-Q%1X}*RPtytI@Ksb)M^aAK*-f_{v2F=ARB4B@8YrTfUQ^+%wp}MzrT!Zq?}_IR zMzA2bVyDoJwgYl;3gP;7StE#$-dkP!_1n_enQ+(=_(eb)eq=Yc{deiN?e4{xMSKa8 zWZx%^#Cn!B3;+NrwxfQe;$y>cPOgn8xgc$S*zfVgPa;XQSc{t*lm6eZaWTjh!BR-m zU^U<3Kd#uh4G75;;#GgdYl7+ckJ-AnGkSG@^y#CiRyQRq)+b@o#P#ibe6-?vKqn~h zHOg$!pDn!(KF#yjgddGLH=gj~q;2D6a;#y^gD_IcIvWdtzCJwhbrh7(H5{>(kr=7g z{Rr)RI@2*ZD1l~F^2sKL0I5xet?k%g4N5$6NUBs1$~@QU=y4;>DXJJV$q@<|jcRUf zbH7c`-LMNajRjJmD#iT3qiy~^{n)vMq+)Uw#_`E}fUD*L;n$I zov^FMk)s%i1pff?9;5g7V@7EtYsdz*H(j?Q;rHJbN^@E)?p@~1Rg)?iOKEF5>DhMM zzh1pQ9=NmlbC}B6v!z6esuhS^4NSuJAF1E|F-&rgGYc(6EkP@Ll?}bUc0T_A-xhZZ zS4~Bl!#TT0aHnytdf#)?s2#Q0h;w!|Y>Zs#77U znOwJsS#+CUex&;K`r)i|36SdQV;&e|bGRFg{r&#{#xCy9`f7 zVQHldvOH;J^C>!Z-u-Mb&GljUQ=PL-JVhdwtgui)1d?su$E}ag9SX|~z=(?IATw=X z#=^(H*A!>8UQPa;$21wWJc_9(P}_ceCvtY~EIRf+>=Y$>CObv9M98~zAUc*yEoAuumTM# zHjw@P*z}098!N|U8(baD`r)`pB#^QLa>sV{y{+}es_jUs=@79bZoN0Y->26dYQQll znw74PpsxBz>OP+DyJ4i&63ZY{7)vp;iyL9X)U!ULP~@;06`I3;+}v&caM%V1bGO3a zdXImnrXgDjJ`ZbCFhn|rqhL2b=ltQWYfQ4utIAhS_qN}6#%dvPDQLk30-q`lz3;zo zt`cgAOSL^=PzWM3ZiC-~2pv5fkdW}yIAU~yE=Tzm{13Eq}ZEk3JC4FJ-hvI zLPn)qxhUF5-37+|xA=beZ#?nJEThe&kfDLtb+wOPm}E(ey0A@aLpS}Rj9A&!w!;3P z^%$#orh2@;H@$|At^6aYhRV0-ZHF1>4`wt#OHQpKD-aA~ z{q2a=O?724GP@EE49$tfb1o~9^Tsto}pVd7oYl}4i4NFdm*6ytsyrOEQB z+5lRq%hV%ohn`tOS`fg))*dIpj| z45|%ky$5@5(`)bX_+rG&xdFhn{0+n$$l)F-<=+uy{5K0#R>4O3?ps*#Er;^rsf$bR z-rxdv?RczIc_vL;K3ho9#SC_|O(~VKbl(Xj*7eR?^zJ?kgix>&1Tc6T(5I% zS5r1yUL>a#u`)Q`Rge(BPW$4}pl%M}-dAP3F`j3c?3DvEoY2F<^Gg*~T!+k8LXsQ+ zZ|1d+OB`JFNx{h#c*;p^&lg3RtW}8wk$oiG z1Gw(j-|(y|ye~aWaaaWefCqOZj=P=Dra7CAXQqpgIm={xK|ORe`O?X%sita;Mnt+w zWL09@8{B$sdvs^;o-(HkW>eG_P_-;7;?mY_J)&7>2s2g=w7OH?IK369qRfL^_SOdQB5{ArN0=}@CpiRp}{kmYnc zhcBb43ncW&Wsi8JXn|zET_>vTw{E8ea!i?Jm^Qf;MXqdYPrq-|9-uHwDkNkvHnXX? zC%>#`CC=I} zp}#Y3K_gMMiNvzAE>_D~A^^3lwg4Zd7pAFQ5u#IGFr+&JxB#4OFq%eMNnkOPa)q0% zxBlO*2_hRQruJXSs=c6~=d)JTnz-o10)!w~t_G1M{mXwZt6uwC6yNcX%cP_Bc8ScM z8mxl)iLoYnZ~(u+?e8A^c4Y)o(##fV0n|LU{{W0}{{Zo_kz@99p+-JQE8|ia+@I$} zY`5uP2|nS2gnsK2JL-I;;Q}8cw>T3J}}O zx7+2@uj`5L96}+jr>F46n=XX9mbtk_y~g(3{q)3Mvo4ZFAt6S%-EK*+?P6{2`eQ>J zl8Hu7WHx$P{{RvNC}xsr!m1!TnXlLYI{FT{kmdXr$JzE(ndX$-7n(dd5oFD0G|g&2 zs#%rx@>nUjHamA9;5KnfTQ+S?OO#VgW_PqH3LSd&Y(O3EcKZ5Xxc!qjZ#&>m;d{@X zIIfX~WmiICiRv9@bER1TH`E)=AzK^n2(|7p6U?GJ8#rz}@(E|O!bL^$ol%RAsr16x zjFH6gtjR2EGF~9?*f2X>8(8!`Jq{b?bLTnUX!JDbW_-rDHAyt8ZtUL=F741*bQbN7 zmHsFBW>dmBmjh&3cMs*1>r=xB?Id*G8!m;6S#*%9rtNWS{V_iB?L$h zK{P5`%t;4BFzR~rJuysYa&YBW5LUidlT^}E%TU6KGg`8|d)v(38iwEh0Ei%MzT}e3 zu7W(2&jdl_LdwTyHUNu^Vc~m*mL|}DD=OOLb+@nl;?L)AD7aIA`6XJstF?lgIO0Cym5xx`btzQ*pb|#r!v6pq zOmjt%EfH0WJKMw98-dtczrXzBER2B6qjCP!AHLrVJ>u-5JdcaBOpc3+sVY}5o=BE| z4bfec*s7~W4Z#E(iyIpfEKGu{mKp6QDkA22sH6Nmi({@*tVocilmz+Mkahz01okHk zSrE4A6TfGwHC|J3PIdSdm_=0sN*;3&8dv?TW9RdRzo;8x?c^M}es`5tS7nu1oTVm6 z<{5=z%oVI`BX!t~!8Yr0usth(X3Vxz?ERb9#Z6aM&BPjM=&5p~u6gG0;~)r}fw3w{ zH@C!juJ31gc&9tz%*8mOnPM~*NfZelQfSVt1)ea0Kx-1B;B_Q#gRb5R?soQ7*$)uW zac?=P^E%9~SRN^+gvSP8uNiemP;~fUi+P2wZO#4F4qaCR@oJ;1wDadvJep(w0CUVh zAotqae|%nCw3ckck7;OHDhVs6f~{+)DROZOWYMT%Z0B+=RBCIITjFs+W%;!a4e>=J z+(iXM(7{(Tpr=p_hB*k-8M_An_uKSVY;DGixF;B`pKy3v*|(H@$K_WoxVwtDE*k99 zhVl%$ULLEF$Z9!7Iu&44i`egDb-woRhdI|O^Ib9iD0t+_`P2(<{XcV$p85X(@wMZR z{?~34$YFrSBaS&4%7%RmO|RtGi;;`BBmO^L&$V>X z#l?J*;|L@RX(yzTj#Of#d9;}ji}nCp;f%TAl6w!|i)Hh~JeGOjXqELAZ$Fm$LE7Nk zw*LTqd8?bg%CPXBdzo>LU7OTNHBB>@qnT93ji>@pg&lN(Voy?Uy@i7Z@v8O}%;?OS zh~mt$Pt0lRICWgP>;Z;X0G+ozFNkjre-MsNbMuhgXP@&MiFijO&$8iAxi&*Zo3G-l zBk%)8sT|VlcP!o+YXtuQanc6e3{tuo9MPkOd56pVnxisaGNy|+rgqFIpb$;rG&M5G zC=BXWU0@J)8aD1u`07q&ala4nR~2Sibq6N+Qe2CMsVSw+>Z@dmnyz6kt1T>g2CX3m z<+o-k1@XugJVJAxEX#6^9?SBCr#Yn@%~vK`pNn=`65z}UHZ8ff=EHus>py930?liA zgO~FMAj~*Iv1me=>9dX=S*N86rO>2>hP_Tjl-vT~+QRtV!3Zc*GqWsm$nRxW?Sajj zE=+TiE8uvFn!ii1`v-c*uVUOh@up->Mc$CTKDeKB5hPnh)sge1yT7CD5A z09hE>LEVY5`!>C|!=K_A1eHAb$fl;MT7cOVFlR{tvGL_Qo0HJ}+Yl}=%qZr{43%?P zVUQJKef(>empB570R3=Y6pUtzHQF&xhjjK=c? zdx33de*L=(bi{@8Jf)l{u1(m6A?oo89;l2#?_x*>>)Q?C$}47asJBsaNZ(IS zOi3s*aYG0}8j^2z9ZBeSz|I;9Gj?>x@W1w)<^KS({{R?qMt#SWS(KEu`CKR;ErK^_ zw9EOQT!=J+M%O=>5H{bqa?j$=>~EaV>C8EYJq6tb>T-#hn4N$=0?D_(rN_G;D6*dx zmxVZhyhU|o=%~R$>eC|iJx0g%-uR#UHdpYkv*t}#%|0RE%1rZ$00cq%zA9+lIC(2) zVFpX6SX8ntnn}BW9W;&gTI-cQXg9j2;+n~HMf zt4Q-KvZjt{t#RUrsv}3xcC!&{f;(fLvU5#QQ{l{wbN~(Q-~3_?o^wNpxVw(4IJb;* z8k+3Jx}eEZT~#5G+*kmmn|lB(2m3$(000=8_ba@9+2El@Ldk)_W^$W8c#FMHOX;C9X9B*0;OA^#0g=MFeU!Mt~Ez z9;XG1H5&7WXxP(;9IZ0Op@3qf$?0wO`C-;jsZ|;kmg@I9hQ)`^`(qiq2aCm0Ni0RS z>3+X{xZ8(RX=*o60|BI|({BF&rZh3-MJZzsWuWR^2yGeiF&Ff=PMxtb;l#6`++O;u zdN=$5)cdg+%OKF?vll9x518xp#G@f;A}bpwk(Akhy^h_#r`WxZC*VZmqIZz5jT-g2 zu}}f@{WipxEt^8Fk#zuBM&xwgr%kaH$%8M3#jKX;tG&PD5}GY~d-bw{!{4>Ld_CB= z42Y$XXqD5%*@jOwG|>d{p)1p%U`6_Tewg^}?FEp3(>&DTJfTo{bh*V;afDs8q_Qfo z?`8wxxV5qN0xZ^^k1CPp>Z&@6gO8N{)-tU}IQW)GlE?i?fE~d8;NNfWhI@OxJ- zJ3}IsCd@5=(%+^pN5Mv30{pqF5of6jk_$1rwT=G(_~P1*MqsRr5kmr? z9;fx&Z)@*;>}I7XxmB{{XHXlPt=7a;>AF)O~teVfXIDbA>BXY_d3E8d(Vppb~cJ zf1Sn*8H$nOg2Lx(T-X6{FVuba!Nsa7O3kH#nsxDJJq7hcrJQfj_8q^!YV+DhEw8QN zHI;}EQ^*`MACITGzg$>n!)74ObtI5M>3*ZP%jt;sGif8u_)fBjQJFvX&g&d#Y+Mg0 z0FIXfZ+0c=S_wUnu2k_YB-|HFwUxo%GEjJqK>%99_BY#m`|aBv#bd(UWnIHLbLEhg z+G5Nlh!PEe?hl~Hu~n&Gg35}o2h{T=Ol6?av}W=yk>)n)K0cULjBBbiMp-ZB7Te?Z z@5R)-ui?tMf15#Y7iK4W{IEMX`J=j>uLo$y9^l`u&%_tF;apb=|y zxfpC)p)yz z8_Lm6StCG(z%bhDe@(CJgIfi~qe&_(R51XPrsr#XJusZ>6Rw0+3|FgwMg7}jT8k<0 zgn@MK2o~D*1NQ5UL}o@Ro^3&aVs{4D+vSM`+89j}!WdsjcfHH>`?eU>vr~~FVo7hz z2FG#N@7Dz7l1EQ48nq2K7wv8ScxzEolgg;tEp0az*!BIe*n*ow0hq#}qgE&dtU$2q zwf%5EF-l2`YE9JGm9W3V)4m^8(gXy^2_tgHdky#R{&&E7g_4e7h7!YLxa;5Nhelek zlVHlMvsBgyHX8%K^!eacX^`d32^Cp~mDf*{1yTU+1@E=J z*b$mYvkf9oGVgNRH%tEgx5b!Lm5uirBH5;2lf=ru>CrBol=uSRbwA_R=YUzW#L_Y( zW-`Q>z#9?kw@>kjE^Lw`>u0^~`M2B9U?p`kuwZmto2V8$U$<;J4cSjNN7rR@%vFqK zuZ*_`gga>5)Ml9W}A_@PY!Y9{2-a^F=sW&CR0&6QwoKWkHTTBBk2G+{{V0G zxE^DaRWQiMNJ4atiQd=Wwf?x2a)T$~{Oc&;Y`;9qY3r&Ump7`(vYf)8r8Q-81z2K{ zmC=a4mat{Mn-gL|*Tk3U-JVaAHu+3xP0#LBWqcpSoFT#-k zh-tIxT5PVihlOCt+c7UG5>uF|;)!N4r~Pl_`S%?@*=Eb6w8B+Ifs(+cur&Z?~Ap(+6m!siv?NRzYPzyIbMuh<^idKLznxM7$Zs zc`jxc*?-crXevuvss3BA?mtXSDd{SyBed~2L;w)coj*I_4bvmsi6_Z(I*C}i1!XIx zblTld$JF5MHGkrfqAPKG0jqlryIbFgc1c-9_=6oiT51Dx;@z0~ZQH&i13!Wo2ZG=m zd9T!tn_u_Dg64ytj5LwFjVSPA0?JOnZMVdB^~1Pp61=m@6I38vt6#PEIE}4*RaC>m zP_E7jqYy{F{cqpvhmN%rI}<8j5^Yf`uu-Q_dy}`%-Hto{HavI4 z+^Xf*1M$BJX0y`PL&Sm@mKFl!(^W`Af8?cOYpJ+4u@=EBl*n>Y(en?Ao*K;727+~P zv`_@mYa2#@^uKU>+ux0NS2Uo=bEvZl$A1a6BZz=&t6VVLi`;eucEs=67bwazF~dC9 z$hcmcDNZoq;a^>q(x#ZAtP;F)n84U8I_j|QPp&I~rT`JbvW}<#J-zs~hbOxmC}dq{ zOe@BDW=T&TZN(fr0!0LQO*}}{u>_VAEZ|5*-H0VTrpItd=t##_WP>T;PvH~IEQSp8 zE}>@5Y2wNf8uXJ?MX07*HT=Z8N-PHD#jS8L%Q-yr!ykv~~Hk*}PfUdD^N|7n8w^i3DnwKy1;HPU72HS?#o! z(biYx*<>IFKz!25w+2DE@{?|WTKnIh#tAZx8RsVn zWtomk9Z>%OqM)gQE9nuJo@E!dxeuBfM*!?bK{ zRf_CE>H)p&du@q#wa+xA=SMPVbID`P3Q*)!xqN3etQ8V4N0s%fX&P7grpvvwoyfI? z_xDp6vQ~@#0Qh_GeED`u#ML>TQ>!tk$!3`rnutYeT~HIJN&v}!_iuZ5w)~;NITk^m z@ohB~7GCp1J$z=iTGs(-m7DS=mI2$n+QVyU?~9|^i`tW#e59n#^L`-W%9tZOBUP8> zGfS8F(ntz|iRT)0-9QXZ#9N`oDasCK=X{^eB+W947-#%lKY=_o5Zp5LusuNsrM-G$ zV&vNxvKm4yEJ8IT`2p7UWv~_mUwa$if3W`mEiyUc z%y`-)tcGY{k}6oLyvB8Sfe&y18}{F?Q-L1Z{Nu`bpUa+D-Zbl zqKAbQ7Iw?CVDm`>i4kEYW)4dG*-5uTecMocEyQ^hKNVKh=Ac0pWl@o5nF|QdiQ*kW zK{mav04Hwv>kQ7mw~PIkX|rgvw9hkq%P!1NM@vUH^DL4gX$=~ikkNs+TkVHI$;}`f zv<~(p;r!=4_IERqCWnpI*%Ma8fF z+us^^-4&$SX7ZOJ=1nIT#hGVWZxJb;T7}Q5bGgBSW)U}@Az&1Eqy$yC1AT_Wn7G?6 z;hrm&3ViBJ&YpS)pIo_cibZWwyBlhC2d%n~jxGGRn7m-QmS-Io5OG4lYLYCXN}7tP z8R`5p;=GTnq7`9rZHB7>VSG|m4-}OjiW9hUZ>YJmF*iGW_de`3)e+i4{J-GtUF7_I zmgf29b6qxF4OXhUT-z)102Qrq1w*kH(sYfFeSx{>;T~4k(b7Qqh&4zt5L zER_vb%~INtSOAfcsm+D9P}q;bNhF>mk1T=Nzn7`V17WvboBY05)|>)@nWtv~Z>SIn zJr8}l5AWLwag2q$tvwGo`+zbk#ioy!*^XMqe8(`6=a#5Oq7hQb0sdl-3^aO32h2ew zt9BaQ!y8AU-&;7}C)7G|UW}h>g5g zYp?{X$-TX7e_xIo)Ys;8;72u8^!Y%w!1Vow3RQ6~Z3G@H`B)lB0fb~+k$$(|;ec~g zG|Uoc$Rv}e;%$gm@)Dd!X~cPyaq3#F#>-(~J9Hm+!oT$Lq3{yM|J}ZzoY;1&@B8EwK*Z%9<*=RjGl~LjZSSVe9MId@*Nm#UL}prQ8D{TPO|l z7@;KNDmCMmL&{v9B|$7oc44v$ZGE=s=ttKH)IiY036IWN$4d}KL2~p{I{K#ZfD_bhIrHlqD z3D6g(rSZHCFpdnu2W?H&R@Va8{qURkcJq?p9f0ZaHpASauAZE=Eozdsy08}td-wS7 zi+HndWvM82vPY3s$6HP0Vi{M>s`tIX?s|Lw0OJy_9;qoZ%pH`d1+3mtZNC2irXVp% z6%2~hlLl5gn!@|vr@z1Hg7mrVO&n^kF&BHETi*8fkA5%5Iw;L5EgX)l>kNfhgbE24 zxV`PaUbi@uP-dvHffxn07bmH=_{Bd>$16o+$uWtKvNJw}_{j z?N?IrGqPOobLwtB7`wfpd8Jv*8KcYMk;NS=Fbb|fmBF^A_YM9SqBx=%Ol&miRM{6m zA5UC18Qj_Dc`Z3;uCuyka?Dy&shP`q?|Yw4{{T!zb2Jumv4VPedhBn%_xNI8#557F z{kU6oHX)7MZ`*&<5z6=jDTS`1sXd9m>D%w7#tB+RR#>_s(>W3nNg$JAMfV4x#>{Oc z<71}#fOh!dT+l}p?-S`3W(7k2W7`PAz8D~ESq`vG^?DEKjVx}=yoR*Qkj%2HDH^Uu z;{NPfTrHXYD=LanQMJ^Yr{&wdwmT2Ln5v|Cl~jOrA>3)%M@_y*6aHIQNl}xSO^lJV z4J*Fe?WWxh{{XHTNTZv^i)VoANRnDsW|7KRhf{CY-TweR@gxx!oUjWOmg7p;3lDJ? zJNon(uQ*pQk_^Mc3K%&2(w)g3;&<=$?QBk}>ei`zPZ7&VY}#7EYz5f#_ltMGrU5OK z-p8|e&mopL%J03!ffnoU{cJ$PT+PsB#UzoM@RF#p+WTMRej^@pQ9LGdBdVPyA{6>8NJhV_=IT2V`5O2`jb~gv7?|ge5)qV>LBBSmcQ7TlGQDy2)>`op%EVVHOEC$<< zF{W`0bkKe(7XaK^!o%T>@|vMv60CA{QMNu$)8PA?!qPR0fW;9>0I~YvwMI*p*FD;W zE_T&z?Sm$P{5@rXVZNVFrV|hqAOH@LxE=8hEqD_wX`(Bba>pRdqhuLuV)i%i#{U33 zL^zw-^cYrrvZa-@?xi~YE&F`2ZzVL*uyRQoUe`F=@R3xnh#unhuswbl?!S_>u*BwW zAmsl57iBM?QyJAo!$t|WrXrPjCS{k-%Ueq#5(k--*!&N1{&Cl}{5Xz3mKRCtd*B{# zz&Ry!UL3h>MTxO*3=?kq`fY*g%JRUdxU1Q}i76#%GRc&q8>D5APo@F(yAdodsH$qAkb=lT zWnpU%f5OLehP4$@NWopSz3vG+ZTI?OOPla_6XZfq^<2JK(Jl;uTYar#a4-_8nPyZm zkN~(0P5J@-Z-zyrSC%OtWk9Hvd=mqPQLyzt8*hPi4p9O)B#p+hO^-qQVARxdAtI(r z3-8;mRGUP4y_QFHssOURu0{Hd zuj#e|W)LgXEkBIlwY07K6Y#mjmo%mVB4aD8wws;3K|b^Sa2mRi^qC+fn6SEyi9bHa z-HTYECnA<<{iS2gn2aT2MmPL9V7hH>&tI1R026_{E5)(#6xlxjW}Fw6*T)S(r=Y8< ztf`IS@fy|4Or#7~#m6xr_@W!XhF9YtEn zRUWu0r7_6MtZK6o0-Yp_-otEqE*yT%o=cG?^GW{zC6~pSZ!I#ln~aewbDI2;rYd@{ znZ)VgfXop=s)5T3gKsw5dRq|=AkFw=ol{f7kmfmUa>+c49+(~mMw3ugX=R9)7XbS0 ziFZCYV~TPP6!9UY$zaLqGcOjJpDv+gc-G`c;z_O2Rs!I*rek7tZbl)Tn93PYJ6qJd?hqLOH04=;$9vr2S}4gF4>Uy6)8729B1js@Y~58`Mjc$!{xL7TAru;58(I%S#b2(0NyD{eB-@ z4CNLsR!Hd|@VvB^v1L_|=TX?G=zKD44|9D#Ok3W}85?olLsy*C%;sgxiFeU+eF{kH zzjM9M^sjP04&oj#eD)MUjm!qYrG_9XUelh?93fejRPf(A zxaf%_D&l@G%p_13NU@ElWB_&iw#A^n+P>0x4-L`h!KMNdj-vj$%(DHjf&3Gq?`EMqE7c`P-a~fK-4ofj(bdgGubqkPG5(eMyCU~=+ z@5XgQopW$fW%*S*K}{Z41xmESmN^$xtaODg?;{&101YZHV&?-cZ&HR{zTeuuZEyP& zC(6qiQaewDe~Z>}N0@j#VeHiOk+=n9pyIzBm|O$pl9Da|0Q)Rbp4xuf9I*Do&VT7n zW99kZ4{*N>S7q6TS(asaY*S}-)do7KCmQ6R@X*7eRvO#P1EExQ1xrpE=3la39MZ*A zQ^(vtm);dV4Q6=-EYXv0s?vfj@855Y`M=r=IpD0%pZT1e6Q9ADWt8-^@J94it5FM$ zI*Ae~A-v2*ud!cw#Cm_KOP|Ypd190rS8Y=GUVpeay+b7DR3pwlVdgx+;<{;v3&+Fs z8Ae+MTax57(zL0UQXnjm85nAwL#>_VajD)%YbYwI6pDE!9yoBWN0nVbKTJqDrxeGS z8IalSHi0Fq004JAdk=`f4;5mJ4c~M5A*=>h_Z-UrWtD~ zih!#$Mo7xrZnqx(n_xC+o^cfUj9K1cp4HD;3G!6WRPP`wa;g}eUZij9iBMOvR#sd* zz~hf)oa>jh1BPicRnO`vmMVOvs))~1B~*wJlUL-16g@PXkSqqG0Eu!Bl)NFsd{14J zbI!Ez?Oc>;QI?9R(M3oMRpD71%L|fL;GJuvt${W$xjE6yg^3@$rNiQQ~ znGzppehlH7K48m1%gp+lKBJ(bj!erk$Xa=6VhlkeTPXaxlrsWW=GP|U6MWm193_`< zjeTB2MOBw&RQW+>%~V=CrU|iWUhC$4Hv_5ifIC)$oZMZPnpDXtvh1po8ikgoiz}q? zVXA8ps&&*%pe?{sbc>RzrI?#Ti+NkZc_$7{#uZ!*Ni_6y2Qe93S*=ph83>HEhl6Pq zOscFBRqhqGz6bQ~Ye9SQUP{xGoV>_*f`c~VjwaPKS#qX|XrvK~xnZr%iDg|RX%-~7 z+yP@|@ZM#Za`o%0@~q5HK|{;x+U%}^rQ`_-6T+aCzS@~sU2S`Hz3mz0{{SCV@x5hT zes7l6#hPW5W<<>RXwuR!r!29o1}!SCp`8&CMaUp@xdXYe#FKDk9Y#w*26HxJ#QAdn z0I27DK~WP-)M7%vhJhktBTZ3RnTa+)s;B`iIPlG7x8OMBjN&`Wy{xJ-{N{@|tKi_@ zgfld0Nnhc`8a+Bi;i7ncO9a*a;7L1gi5*|HXA0&fJlya$U@9H;NfKAX;lMmO7x``B zj;2eJRBk$NaX>@i{&3B)EN<8P_3ej|NXB0aEQ$0n1(dDMFDW#4g7~NA_bKc1pY>U| zWK)%Z8iag;nnF;_@;o4G0I^Fah}Juv*0?TX@jn9RR5?9A2nZUgI(Zv1mXj_t(SlmY znskem0Nt!_f6Uw34@;Kk6e_iK*%eCDRmx$8c`4jR&Y%`mVk}4uq<~GXFybt_qJ~z2 zWMvmtxLfUO1HZ@Nh-?Wp;r?<1 z0zmkV-uzBDUoYkMamN%>Q}L#TsLr4!nzt*EF%3HHXv~0(z_}oIHW$RfrpnFR(K~P9 z+s-JW6?7a!!?ogA!HmUOGT2-4pAr=vEIR)Hka?%D=d>1IP`(!lQPmrO-VSF4z9U<& z&e!;Q^!`chbL{6+o1O%2IFMF7Xr+RdY@VrOQ{s4Q7$Pko2Jd@Yy|BG!w12bA*_^I9 ztCmMm2{6m!$nYxZZN=Ouwf49q8*FiMF^y=Gi!)AEaMn%1)Lcu%Io@dvMNE@K)RdV# zaw=$TC(LIBmf!%|K)&1c#Ye>%acNsiHiisB01tcHVek9j9ZADuI-h`Z8m#7@GO5ez z;%3Vu$})QQsPL}jTTFft^98dP3t-pm4?Nbw^JYmLbcL719%8|XP+((!%<5qcWs;tW*=`NW+yisC+u@BldBSl|%oWSCtfB{wj+%KY7P-|T zrzng(Ni`4-lm60oAOa2SZ=N0D+^03+x85l{mM8^@c#f5)7C_= zhBIYokfOEvS|(*C*6;M`i9Ig~=hR#SmT^S_aZNqRa_MSQBP@A+T!`W;eF| z_mcCYg1BRdc$z%Nha)9TC`V{%yg1o|u>SzW5=h*hyZkXD;rlrbS zqr+wD8}DwIPCi`aPb_Rn+S=4HJNMre<@T}aeT>#;kY)T=SwofZzE7CZ!&GCXX|nO> zUc{J+_UrIHI$`%PcsrRH)=9El`%xza2)>NejGDd-}l zMW&>MLa4EE7$(5){eGP>J)q`BSx1sVPhaEJ)+9)ZWu}QEr%<|Cm4LgGumFyObK4Nw zV=bKRQGN#I;mJs&0g2gZK9}a^S=P=>O zIE5%OoQ8&2=(5^B1}SPn__DEL#h3;l4eTsV!*jia*M;GOCCD=FBhKpO{{TjVEryc$BT1dYt^xX6y`?mPtzcPKH zIitt91rG$~Tx*+DWI0?)lsSDyVJpCeR3^2Jn5q&7`#|^N%i~@c;a)S~i1N-9s>W>5A8gvdor`g3v|7^rtoPO)JYA3oL3c;shOB{{ZHD zUt@4UN(zT#pWS5R+4nq_w}+vD*gHigmMyup`eUOw1`#vHx>;FBxlM)rFWiHFjr(=S zD|;{shR&)eVvf=ITT3a~fa-sIb&myCWf?j`xs`1^Zz#I0REnjJ!)u-Y05@)(`r{)$ zH{935pX}K+o5HQFjF_rGWlbU3QDNt z)NV8~*vD(z)c*jCHj17SqEuDaq^khik=FJW-`}_N!qRJIhaV(-i{+5BqKVve1S(uy z-*LU|++XF14pBoS)1$`4O0XqB*!1b?fiNqOur`o{UBEpB?`{6}_u@;#fg_b%GBLH3 z5vP6ZP5$~~u6(H@RucPd7mi0r^Kd;eSc4+RVWjK<9go))&mHgvNuEsgGe%>Sz5Gby z7bm9u$^QTyad7ayQ9UmLP*GOKsWL#VA}2t1Key|AL->}JQnkU-iE=NeaqhQsdwLzQ z=JT}YXqe}oIoc@f)0g@7RjPNu_LcfZ7r*bZTyk-RFpaHI05Dt?_Yan-77B;5J! z<~t6i{Xf5^0cLr86-lN_bpHV4%)NH%MeXbF?SZ;9aeB0)sVgg_sEHohNV?s$jfn4s zS2qUXB4+JoCdankm^+)~w9veg(^FdhR?sXDTb-~N$sBPr7>bc=i(A*fzW)B6>^2jU z9Y0ir){x$}iy)_stxOT`u(td37We)8p}cLxxz1pQn_P(!u`IDU1HG<0^gq`UEbfXI zMb$X`{#FuR<9(0U_QYPM7Y9#_*Fn?WeUIO+E=Ah2GcPiE9efe0$$yjtz>dwReqTU6 zF&E-WSeaUML+rmv!3r z->CgDcMCJ7+bd2XqiaYP&8QLx+Q#?mY((=lkR!`2fi~E6z3uWHcf|9FDIL&q!)?Xv zclqG1c@syW*~eQouVMOM0~J(&z*yURwl*hpgVz55D_;-_dS>xi)YyTc3Y+)XTi@$! zN^)AeP~I6`f`A=$6Mlli=Wd4o0CrP!$}&{R8Im=NK$(eK88nq6;lAFTaHR!o%<;n} z*W1c07=it8GMrS!GL;NPj*?IvBG&AE{{S2+@}z-Kt-`&M?Rx|1w)Weg{qYxD0$u?e zQ24x$;Ytu^9gCrghz>ObypT7diBS#W<2S}DRf0wES7y= z&hv+si$rbZ1Fqu#02|>xUT0n%VM*mK39uc;8`01_^sN_)WniY)-+Tn&%9hEvl&rhy zeTQzn4n9cU?ENU?sx7IiV-ahOEP4x#{_b$eD%j29IM^M9`kW^Yaw>s$^53xaw_G=7 zlA>Tq+V}qeIBQ9(C`t|?M5z2kYoLWaZ-nyZnx3!2X1=Qfw@-!>rD9~zP0H_kAEq>^ ztP4~OKms}g*9v|`IOvhX2$gKW+<)bSCZH0}W@K9%20HJm|}Nj=PSSfboa3-wNk3mxh&~aBi&@zG$u$S@PxA;ma61ToH(97Z|gWxVPD}h^P^&a{9EWTM|?u41c%z#dX9SDV^l3 zS1`$^jbr@f;b4RMW4kK2eJbP7=r%iF;nNY`A?5y8TN#R~fgbxmh=AVz09<#0GRG5v z^BB;|@|G6@$J~FW238po77;4{0P1J@#GU+~`R@T}$|9U=$dDHX`J8 z8~tyJYnUF){AH06`E~1K3>d6w=C{MC>Dv#*G?`B)9i-^xj(YK4Z^6`5hbO9%DPD52 zY0yTS9?UIkfG_HL`qx99{B<0H8S1HI*cLKVSh3sx01^*OSl-o~CB&3Qhw*t$Qix_^ zNTzb4+~Nw9-y@xtg;IgcGIYJ_wWAzIN##?a*oe8&G)g&s5ix2VVUu5NtV0t z@yI}1Q>pFKsq{Ac+fEM6*N6D7i-?U)LbV*)h^Aq!g@|CJ+;q6;c0Di)@lzy=5xKXN zFuQd3o9*}Ua3Ywhw00^Ke=si1)BIyv86g!&!^w@eWslAtYf^JBBBjW(ysCV=!KhhX zCYGN}s;a_2`%t5KL%oIVz0RX;AvtTsfAm96^YGXDn$!jIw803_+N^x6N;QBh-oSJi z0To1&%lylMq*_K&E=Jvr{{ZI>D2+uzFNO)Y0O|(h8+G{)*9P(`745Rueqit{F9h6q zm*o&vNQpjc9Y#?GWi5RvPx~n^gheyoQL!36rno`9R zQPP2}b78gZaz-s40roZajORW}9~tt$m%}v61kV_q^Xdw7;w_5}GgKoDi0y8lC$&Do ze%E|E5zMN&6P&xGg;FXBDeGi52L4)kBqU$Gk58>fo+?*h%N(fp2J&BpGyV&st>SJR ztD#wBi6N`}M2yq3YVPs)N*Id-1j+I{M{-_HPm|9{lvn2*L6+u~&?`#>HBr+;12d8Q zz`&PlS(N|`z}y>jx@x_ZIGZNnICH*Zayy7J%&RPBZ zpYo?Wtjs87$!U#6R$-U5G_6uKmR3Tl29cy)MYh`gaUkW+Pe;NzjC@%^o#jSY^7-19 zrlv}1WTBj^DoE7wo5;zr{Eg<*U~DWem|49K9&rR2l^r5aBw182<~6^7ij(oxYFkvx z9D56m3vvNENg#B^YJKzrjckznHs$=bZbWe`8SgYWZzj#F=9M7Jvzn6}#yH?7#6nqA z7H24-wO_K5Er_qN&n@!~GUkgUQc)nw@~JBX75Rv!Sv=^W6^ckT`T7N8vDn)94Gu{) zIy`kbVF9`oaBbJ$*4@XZ80HyHUjbOTQl^G_F#UyEh4I@i-+o$*W;$c4+@lO$CLxpR2 zvo(CZBSd_@Y{lLcRRYKmoi-K%*61-_cw*T~aCQWx%DHn>9EN^nRMON20YB6-NdP_n z05lIN0@v-^r+iDe1D821Gn&dyW^m)HR4Zti>XEdIcb1(lE%L-FUIC43$Avjq+w+Fl zb-1?M?fr2h$?|o}siUPO%yH~X8{6Ul{e~f9gnR&^lF(n6IgKWD#<{j>Ln})J_0lwQ zMh%@VY<+rk{jfMIJV?V(2=^;}*n0cAd%tXNJtIOxAx0M51AW0eZ`}~5zX(<4{6Uw)lo}}LAzZ=pqfj9W z_?Yyl@KKvlaJD2^Zcf+5Yzy0>u_D&LUY`tfPcw3gS}$kGI7Kt0sLnF%zMgFI8tAgK zRYwre%N%jiRO^KSbu99%uIEV_FKkdVWtY=iN33{YG>FalTIc%=KH?5^b00g(=g9LM z@)w4BWv8l}{VI_vY9dk?Mb|3asIXqMmsY1#L*GYF;&GNN(G**xyf>4e&GCPl|Yliur;oc!}v+Xy_nFKLt@Vu^9B~ zT@5G)Neo+IVo4`*Zqt?=(am{w)o8gEQJ6tn8X>06sG6bxQb8gyK1k=`B4mO%R_p?XW@C0{Vp*8oy#N7;j)dGu+zU}FENG_p zxw-3!_p^Re#Tn-`sj@B(;#!QeH>#zTC~9EKzY;Z{_Bh<6WC9vX5TmZd;td;11gkW1 z`GFuC4Tbl^jJfj!X({Ncr;Jp^;nk#avWa6R?2rEdkT%>Nw!wBtKXB|mRj(T-v|lec z51qO8QJYrLoWj1IN@`P~73sW}aT-S>27nu)zLHk$Z~&2eLU6WA#oTY3;x1K|!&0TG z?!Ipa#YadOfqR9y^}UWIS*Nt8F}NQu&Nym1c%BB>~G%PMem6RFZ2A5CgWVAh_jibN_s5D32Iql zXKe`}V`TvOwzwU7U>6{AtiO!;vBNwW#Pr@STbS_;EERDqg*;fKl~ksoxCZvP-+sOE z-t|7ip3UwpEsG;RrfZPoB|I(Hrp04#l4dpdfCgFO+PFn=B*DM!Ok3{ zMGkl?q>5apG>%ZBZDfD}=ckeDV!x<;*FwekrC{;(1IlW^~rNsXbnp`g;D@<{lZ#xl_d)L6-2$IWG~> z)aEtuQmf0EQ&dM6i6B7CL@_b3YwSC8#h9?Yy^8VHPmoEM1fGOdIUf?!@fRHaBBp~y z6HP3U(@8r>RcuPBcJnb8>(#A)fPO#Y{Lh$q=i(}=ss#-!e}~HGr;|{pgmeS|0AtmN z9c(wX@FtHnizy~(Dhf&#$l7)vez@1+^o-Mg0^T_^TRE(73YS_b>;jA2>@F-vf1h61 zLN}`L&y64eoraOqpg(@TxU83%`O0fSG-U6jn8?%du^)bzbwka3>MadYwDJvOSyIBr z!?ynbOnPW@_^E71vyl_-D9h;Rvgm6bWn%5;zmZhirODrW`|wjVw#QNlNh9|rIhRZdaFd>a;XI}jvht9bkoa!UCa0#3)F`WzTeYI2J*q>7?$Qq2-F z1}jCxEWZ!W5xC#4?~Z<+j=rrQG@2PCS8~%#{aCYZN$O4RZ;NM>oDCls@RBsegNl}o zShbWW1F7xT^d9_Y%fWF&M8_-QUP0F8P)nF_pAl2VtxGCqaYzUopZ@>^53fsYdtV3m zkB&JP#`$GtX~i5#oyT0YW*n}9l8_i_sL*MNQah?dEz|?t^*1CYMaZfw#!8A6uU650 z050oy~{{X%PIU+j5Xa1eZ2??iznN{%F zNDWhy-rWtnvNigSm|mNgoTkqiDXDqOl!GqHm3ehal5cWsu`sy5Y%804F!5y+A;b~L zbzN9Q#X#$FdwhTR_38pKdo=N@%yq*LhF8n^hLU&O1si}i^}l=-rioOD&U3_E8OTby zxcFZ;q|Y-MHilX)Vg)Aqvofn~f65123+-?#lw4!RTy4gghJD0&%=NWxOaYogEgF{D zQEU}dUu9bi664=yUOh~rZ09e@Y9=Wedw6ETFuRa!EZ_BYH^#bPZ@&Jg z?|eacmO(vB0~OdYC3KT*w(I?Uact)~eLN_1-R>?&Vbj;F986s^8(v{ zYhswGNQUMVo*7hi3U*s6@AbD&zgz^&M#b(?ifU(5`A?_(;z3nZBL!z*#Yxv=Z9DDm zw_ljR%*v9elTen^r*Wdhn_s9Nm%$~lRLHeWG^P^3yQFPqYuNSudfVS|h|X`9RYqLH z4wkvN)qOvI@rib3l^V^+8|hUB_Z>Iu@W8CeDVbFyvRsYJ9gp3Q*AAfjvK=IzFc8$R z3Vf~NblY+9z%2HJMm%UQpc7&`s*p!R@WhLVXbn7#H6Q>gfHZlSn{0L)-2CtgN}8E8 z!2L$d@)LVsp%(o;_+~9K8*GNwL}hj=2vrIgxUl_4sV5QK?ut0>(2$p1ATh9Q{ZG^9 ziJos9w~?l(jZ`_cp1>b?x4Y+ver-VR3&_k{RqVF6PM_x2#q2gEvJIZdt{oii-}eDG zxdUPDzT5l9ZLtc^^4Ng_IR?$WhR6H-I%0Fh5Ga(Ea>reigVM*Xu?fvUfu1`8$}Gy8 z1^1A9<7~A~Nov*lx$IvA$VQDRTszu(HTI+u!l)jeJQi zkTDknWg8Es5_8~B;sd{3v1x4;X0%$gRm)qI#Xh!0at5L{Znr({-+%LoFACMgQyW0k z=%AJ=!H6W-8+`u&OhWips!GhY9LkD?G~ca_fw>mj>%Z3$ejRC}ty(z3uasPaa82#; z+@8MUh03g7!jX7t%*-zAPp!xz-+wG}b|zj&NAPN@7B_GP&E*&R0Mn`rX)POuRzhw&V|q^= zSVJOyU(7)3g?KwMrhK_$n|E%P@9y_u+=`0Dw`1GihDX6xaj_?oI2OwGC9F;wtCg)K zh%QC?_rjAB>{{hi8-h;wYcs_GO(eFjQG5$g6DU`Z)>br1_8VA`FV@&AM_T4KfVGI| zr>+A=?Gnc=$K@Ln*Bix{v~?mvYzf?9&{3kK)sl?1trWCGS+tN%`ubrRYD&ymUt`ph z*8|p8Nm6L!01`SI{`hjnDOplAJ9QvmewgQstkXk^LRGCY?sfnkhYLv<{H1{wzTdtZ z%Igy$jX|-nH}B|iysiY{klb5)oCj60R_sPk4P?_XxC}~Z19R^6#Q{ytRAnmz7rWY(7NCeyqSRS7YM^KWrux?>N1Foe&2yN}(5$x-RVwp9pYQ?(w ze<;MJqA(PAioml0r_lO-*lRkSJ{T9jLcf0OM_NRv#HzTHm)SmX6qGrpQw>rZjR5*U z1-~;w7+a@&Q~b*I5%z!M%4SNA2acX*Z9=Cme+Bg$?nnd^bLozst)r)q`Je>b^Nyzh zXP^;Pym5K14ZYYnWt<+F88BkW8j`bsIP>^dWKjVx2l4FG@+96!vsg6+jC4?9vAOjk z-waedb?hzAJ`0`dAj)CP;EV#l>9QFM3lp#)8~d^K6NoY|9tM=etVQgnt|EDsNerb? zV+h*6E-yoyENbk}pQ7;dEh=ZpoY#Z6pM_^?9wgzKnkiAiaa93O0UHob#1U(6++jL6 zBwboTOIVTdvFZJB^)o);EQ^St{{T_`j<=xy^jskx8rH_DDG znd-89wtS+Y)P*!U5+L5X3Y~zy%12ySkEzARnUBf(eDtIFzNXxOPbSrhPc zNDZxVbGX~K8}awDce5^KmPx_M?YTb)!B&c0IL|7Ik!nIRmDFciFA+mn+f}tFZmKa~ z^%2QCMpj=>&5cx%5#VoZUv9oS%O_OG)ETfXEDw3*(uO=@VN#QX`>8B1CQ>n4kE-$bwHy34Z zvwsWwIr3XS<6cyIb8?HAROu45{38}+5>-^SwCaRX*GR%Z3v3$15>G*DPHrjU48w(D zs#8t(tQ=7 zxBW3Lu0$~SW=0l4a(~)AJ~#LbR@_6HH649TIYXh;scVqip0@Z~1f>1|@CgYsR;5i- z8#IE%-q#&B>Dv?hz4J;+aP&0v^2ewa5&}uE9S#0nE&E~%C3H(vDhO#j!qI{GZhhYF z-8v{$QLqzI9DW?%2;2&t^#tUuq6~@{c|*?ru~{IRdqHLP8%#q5~Z^Anoh!#P6GY zq00F^#mmE)RYOQ7qDqnP$(^X0A+D= zw_%9~CMe*g{dyX8qNr^umD3u}4X15N-dyXFDTnnD(w324jc{OECH8{OQwD3EuV2z1o7E)|``uc8O_NeDJN8-rpl5q^t z2-+^Xj@^gD7RRzoG?{lZWX^xlGfd7bzb%qVnhd6rj-qOw>GSN%QfKoe4th%Eumk-Mge4u zTnQaOcHIjL;Lo;i54RujwPsVpTWkXx5EwDh{Bw!|}uJvgS0LD2H%9f5dzN z#2H5vWf?^)*JRn074)l8o+3QaxOEH>!C|NkfgL*Ir}dk_h=!595Fwm4>*Z^K6Hv9tOnZ+@kwy*Z^^C-<4SDSDjqIMjH0|h;9h!Z3$${6Qp87Labs=w zHpDlD`N_b1HO16?Z%4}hB>Zg^aH@$Pj#1z;2Gj#7%fm8**f!u>Zn%%OV^f*tQf5z8 zIeCdOiZyt;=JWcv~?XVa)8igYvydvQ)AlXWh1Kk5k_k0QRXI6T@`SQg9KUH>Qdo z3b^M^k$AAv_z=6rpz2VbVu6&F8!)~(%CS62nyPoXW-R32bMwB}_~D75l2%DGeg@<2 z8Jb4SctbRXCnoJtMJj{6t_uNs`iw;?E3*WZqMDh}R@=H2BIg-rtLsf$B6hH1Ernx} zs#;;cNgR`vxNB*V_o? zIaM4`vqd5aqB@r2ac^GO8BWmH#TExLxl>uo4i&DV;uel7_^P3TT6ktz1VNNIxl>|o zWA*r8Pb#>ke2XFQDdoNAW-8=>ZUy(>-P?P81@i})*@khE$CG9enI)E^#+GGUYC3e2 z@7Yy;L#`X}?ehGN37x?#NzmSxJ$CqDA%7uBD$!$Qyu{2u9^}Z{q|yK_bt7O!kAA-FXz#MXyQE?BNcm>O^eJbrq<+yI5H5Yx|GGYZ8N>qFSRloX7?I zPZR;TAn5~tLQhYgDVR80iRt56YUpJ#v*{O%o%w(Ir4%+_uq%V?TP>LP(Ibu!q2weEZEd?m;k+cx6d)!Z>zDb&c`Er=TLa!*~) z{NQD<;@Nc6v~w2lE7L%d+U!6A{Wm|pIs^D_Q$YOx05X0dMvQ``lpyG)NJm0C>L6|E zG0qMuBqm0Pk1c_bY%S~X>-yuNKZeY-$?X^!oq{$)I;P^zbw+J{u68?HxW;!k{{W3E zEpeati24;xO*B%CQY!DbwXO%p?`&=<>Mk5ax(e>dHXGvt649%;Vx(*@&|4T^5uorA zR=L?oKbIaWDybfe6Kw-?m{Ou9J~om8*a7Hzo}E9gD}FD501T)D0lkNP_uH;49QF#z zGCvI>$Q;^0H(~Jm_+lNzvqfJYglU9&MYXW7u<6&YrrwxQFJ((#PbE9+F;x2uGiasn2>Q4F;mSlNg!1Ru95ri>u-JW7|kerSnMPk zWos_BJ6mg8)9HgvUXBIkH1&QUn!$-)i}{ouqT~a<#{PqR1k7MbAyGDSqU&^n;LoAo!NUiUun*A_8(IE3ca5wiGA5hV|qq&5J6ZY}Bez9X5qs)n7!QE5^M1xO^1 zi0SrmCeLH1MhhB9EX-Kw*d335dD!pzTLE(knnW>|77NsFPkV3M{CZ7({eNshxRM*LmvR&~%YDaQyL-pX;?B?LHB&H+fV12KV!!}xef~dR zb|73+t`;hii6hnKBo9lC$@{;{8hm%Mw1{3|vd9Z74PI5)U+L2kI@pTTG_ojlcCc+< zr{DI(t2YW|VHy%e^)~)Z_WS*D9nO&i_f#YW*?=8y)cn6(ZQyz+Pi!9a$mB9gLj&gX z{JvP$qej5$u-shU*z*FfW*`lhyZlrqcVZW!p(-q$=sv#MSl+rc4KsPO8_x4?>4`FWQ@)XC%l zOKDN6-S_p!v9je9lil1S3)u@u2bZ^|J%xA1C)~wn)=8ygTgpbnS&lZV5!O)>jJ7Lf z-@Udt3!3KXQp1OVYg%Z;#Y#K zl0=4*SlWRZZp=I1f0i9kRLE(XMh4}qr1u_}7njXy6;uU6i=XR<6!k;H(#{uGKo3h{ z@l8i%Imct^YJ+Lqf}55dx7!O#GZoVcp1U>nKRgb4kcC62{NFo!uZ1gX9chM=_s|WF z_CA>Is_auiIBF0$@kYb1zukuQ5;N6VND3QmCd3Qx)9-vUj;O|~c`i?>=suqOD;+{d zKDj|1#oH3%nIoCxbY+Yl)&vfx-`fr9ODhLk62#uZ#A7nftECs;=O)MZ!c$p|owgeg zJ7I1VaD_-$T~BL+voWwfyWmxN-er9jW7goO}H`8nW zzL-lvM%W`ai*nLx1b{}^h-axK6rYU>2n;&zI{w(0=JLfkA)~d&ZOA^Kt^)B6T+`7y zMAkY@yNoTiix7$geiolIg(?sdTTQ*aW<$#omnHW1Oj_+d;!dYMn{O+2-vF+ei;``qnnQjEuz1v_F&~Kd?%h| zJQKr|lvR1f6TmC#WBfzW5~juzCCq!9kfdtpO@f7_`Lpcz$GjJo)Ys5(q%{-=hY~vT zlq!g%tVtvuAcUPtN(h*cw$xhQr=xSs#=43`XxYSI+eWJoo+O+(_=?I%Wr54H3;6-M z+qv}m@AJlvDYWevJkLtSnhg2(!lyn=wyP$}ma*e-UZJAQ-YB6KK60ReZ&KGATWka3 zOxr2QGe|$y>clB66hbhn#BMLR9}&H~<^?o0kjHv_ab;w%PThK6 z0jp^%sn{%`kP&oJPS*9pD)Ynv5|9dwM^}Hp-xpz$HM1gkq?k@V9Lye1HoJ@J1a|G; zzAR1_$ZILG2q`9DT!ABlZz_^cUjG2&6~Au z?f3n0Ajmk&i>oRZRas!R)Ph0*7rnatI$;uOD$1E>qTiv*nqnY|EhX$)>MVD)hS$Bj zVed3_RB=+#!%-xS22nu~GLUs2!pGCfEH@udej^;bsLyi_B&5r;ZXjBEN$OQzq2n?H z3~V-0s@LCdHrrxj&H5#SnE8Y{hLwhwPMZ;L!$-KcZ+EH1Pt`(lYAny5c*)WTa*r&^ zb1ogtX>-h~Du_*8D1oLEv`W^J`jQFaX0q#Hxlk303jjux{IcdXQgH_|IdjYG^>}kA zuB?u1$|^%7RFTEzbd||vx}jTwTdl5dxqd}uoJmQPW?9}-Su`-Ud=ze}n%BT2mBW<< zDAcnsw#@9YjYJT|mrqY}(}A=6kN9A5?;O?9#?&$9IrTniSv71L(y~Sr(IGD3-P!aT z1tq*ofovm{>O6iVWA4dEvr$&++whn2{s>tk@^Mk)%+{Jv6E4U>T_hQJOD}Sy8-uB! zCik)4;{x-X!mo&PDxB{(t$Mnes)m}Xa#VPdGZu4T08mZ*pamTTt!yt)%i`;Bw~&hi zZMxs}+SpE-jU`=iUr7oSF5>>S^!xZ+j%7mSl~~q_m4uH`93shLd|soey}n-VxJs@_ zWT-C7rMd%a05AUlI4M;sz=DF8Neb7KNH)E#*X8i~VTqveCvzy$HP{_Te{jCX4UE+Q zrj0mGS)Yt@xM?c|9EUQdSSw}$))q3T5;C2cRGX393u4~pXAMnD!{7B69@J)&<=^#e zao5su2Lw}P)8`B$m6}>vX{P>kWD;+#%1WD)bb7-p$o~KjFwFc_4H+6W#H^r?FdA48 z+l|5Nea0jlbH>~k#2h5i<@^sFFA`SfQB9iW)b!A#Q$ZX~pdzY+8$65|fbyLe8=M;C zYpM-Q=sr>VTKg07`-S7-Y`>Oom%s~2D9pH4ndF_f)2>L`c9e_S?8JZo2e7C!TE0(l z^-g-6CB*aO85J)OO`3iWBg_(j(^JU`q?L~p%I!6c*TICSP*f;lMX5^adWf7)=3qwb z7S=nDOJUy!^G}btZw_Zo!4))gvF0?AQ)VwNNk>rf!uAe^Nup*5KnF-5Twd3}V~dMn zrr(kp$r5zCK zX<9aRik_LAh3d=#gJ3o!_QOibDwyP<1VYQ=e=Nc-NdyCOHYfb!Lkh95X%WPEsbwsJ zNTf0_AQA|-Zo7BJBCDy287a$^4P|>@ap`;$mY>6`YNm)ZX(g3O>toXY01v*HX_%x_ zIjW_iOEv6>w*Yni$J6%3w5YWPiNCUjT}@HXY>G+5ep zi4P!oyUncUpL{Qs=6u)UeCC#H-V}OD{PLor8Pxv(_%f2n%0VCv`KbMu8Vjw0i%&a#p7RcTVLtHyT< zjKxDe%HK`4Ki2Jzi!qXsbYxsWc#k~%Umin|aSnYi`mI+I@Qr^2QaWUC!E&u}9=PK| z6$4C3l(@Cen9;ne=00b|yu-@)Lp2_8y8NQF>T>yu4DAU>-ibT$^a9JiBm?>NhIsPn20 zTJU<;MB(75izj%@PjW#~9I7r4PcO*fil`#t;*JO9N#GcGgFE1?u8N1jiKVNl%d>cD z3}1&}ST~8_O*2$!(i8>K#1JiW%C$KjX&i=60Jvu8x3&F#80hW{n~mn>rB@1MJfF=W z{{U8n>Z&uW^D#2g5B<4Tlfhbe#lq?-c5N=e*@oDrjY^ex)j-^VtXzS&-`(&oL!v{f zsism3Q-)T52|qDkhdCkHiS& zwFJ~`2`oj)Hamg&N6^krb3csuA0fzVcw31pGR<@lEU{%(ZBHD>KqRn3xYStR)Sj2Z zXP2v{KOQ$We7vg2a}2@rti`x~OqwVvO<&>b;`}g*H3V1(w*3Z?xjH}uuo!^m8I^f< z{{T;j#EeDRNiEyGtUWRJ_bT?H=DuOW*8c#ltD=%SSWe4PbMTi~Za|ru^uCuaQ;l; zgh@`0K|y5~=skUVWA8>w!M?`)PVBkgus@7+d2)_p=V;g5-t*MT zKTi0MX21SV=kUMIClypVZ#FWJPSItYcSh=<@3E-MWMlIu6YeGzvqo#omq7>#-fA7V$tamGTTT#`}@cB$JrdC_#%4`@aHY3 z<eU? zDl9{08E+E`aLjkVfl&oopa*MfbG7figm+BpiSeVG{NSqM+_f^=Nn?#&%S^EglB9l8 zPhIb~yy1Ukhn8+8%wkvrm!wrY+smkp_P)aQwXyXNjKBPuuV<|0qDXmr?Onw=K-F}p z&oXGU07dr&Ac~yd(A;#~V0R7w0QoeT?+HgyF9Lga@byH}X$*6I7Hqf`_rK#L*k7ib z?}m3&My%t>3~{-QoG2iLI)#Gm-uyICv)Ts0rUaV=G7@UU$mADrhgZ+Bq1H{uwB=Sy_$`f6PaqqD| z9-T2d%pOk!q}1$PN0gu!1Koa~ez=EmHkl$R00EedXf1C0{r>=bA$c20tgbkcNSZdM zskqmFD}VspY%jR!*WHN4WfXB*NLoo12s((qp4Yhd6Y$&PgWO;yGcb_Y3o?@ZPUnB7 z0a*+`hmxgldxq=O`d{PvgCm=8(LOz^mL6=W)OH{jnaXkV;B100p|p*ZjlYJKXo{d@y403e2+RMwWGA{aJkW zHrQJJ{{X*qV?B0_)JkL;++28_JNE25@BOhR%xY>PPr{@@RfW!=572(UJV$eCSth&5 za>w%A-&X$P`u%T62z_8#Cz z&;Btg%_5mx!z5~(U5VVEQb*UPrT|n%tfUgEz8>L-9fkV)zIeBfLf?WHn$(to<1X8d zjsP2V#3PERU<@XZx{cKBU=HJ9xA?Z*uVHZ|72z6xB!IGl*DYiDho;|8OhB{Ra;qh# zdlE|mrsuxi?a!w{jVuz{5jA8ZJsfaegI9uYuPK%{3lt z5skGeHftUFV#%hJ1vEul#CBbj#6`z@U+=CexTSb2R!39RUc%P|{+)4WWfhe5`E)HI zP|TnfSpYrHe}?_G80M{&aw0*KJd*~NXix(Xo()X8w=aV^821qO%?KgZJy(2vO9rtJd-`B;4Pr z?SiWk*Wi~!F>;Cl{{ZE^hsO^~hSEGeEC^j7Uf&PxfHe7(F)W3HHi(g3#o{_lqr^UH9h!3TR2fCjojKBB%=8};{F`r~MGDI}1f zke1c3Bd=|+#;mU>+YdE*F<9hfWe7i9cqMgEQa}M4 zsV8&t`C(C1Ja6QXEC*4yU-{qNj`D$V3?*n~G2GaCH(PtLnCoQm7_){Qdh|Pk{V*;% z-w1`V*z^YD8YM|CWbw99Yg^yj5ZXX=u%$%vFx-o)THy7*60B%eHfLMt1cwW;!*;6; z8cAbiAQ7tD{4e)xH>jy%+Fi|xn*na0*9w>ewTYa0+pLHGM%IiU?m0+1~j zx{jSOoWgMwL>DAp>wT?+po&_GaVtq>3v03Kiqb=(nj&ZcPi8Z;RdS!8&3ZK3>xr&lv6}IG?nI*!6Ka?Sd(j8 zrG_Njv!h(fhFK}3av(Yi1JeEf0Inl>vWOrhkb=j1fOh*hIm#U38Vts2NhDyC$L0s6 z?l<4Q__>Mc6fEIB}BCtC&fLi13_#K^aUSFS48cg3WiexKg3@$BeTWmV2 z&w@>&Rba7;6WzW0;ms~}PgfX3kTL29dv^UXc`H_C&y5)?IiH;$!=skGYgZkAej{BOQA64HJmvN#o92;xzB@44^PTv&g7@!7uC-o)@$Wp%uN$!x7) zuBL^i%(!j{!mUauB+E_*#_0ldA=q1S)k6AZDFJeN0( zK0N9`AwEM>nn6JhTI+cfG><5=8{1$2w_n#5uLW?I3PnjQ)wvZc<>hY^#*$@aQMHDN z65kViRT(IbOzH_VsI;S3!%+MA;^*Nwsp;vOREZ-#Gh56oO@TJ~+w{a{sltpb+S4W3 zK1af}6vX75KP^2%0Ai>aqnK^A(W7|FF|g_-Pzl@{Sdr&GPT5B`GrYO7Na%kLBrEt> z0u_({0B;!y{J$>Vg7)<$_-nwjANs2;Sm%}|HE|+IOq$vtS$xW*jaKy9_O-?Dhul|^ z*U|CTagyel?M`bg9%oSu`Gr|5^$w0zq&xn(YK6;?<7 zr!3|;>LijDlf)!T3YiRgPLHePyv`kEjL~K)V2Y>j-OJ#qO z>Tv8Ht%s2R0K<}h(S;sUlcIo+CYGY076FSb@2s6x{{S|_(Uiwe5SFSnxI6W@_}}HW zCVWlF?mgwcdrOgV&j?mzH5H{+7tYjEM#@+raU+nrK|h-I`C$sqNpmK!K`T~vW{x$`3F*+0>9@bP zZ>|~}BD5_cw3~)roqJpFt}a;phCQujih70Q!!!`f;XK2MGLQocbVFCI$OlnXQovl= z!r)wdh2rWQuZt$(t^l8ks`z?(2s0^Q%PPsH@l4vpa7Iz(C8JdmI4T!e3U00}M5-z15S?z;WkninJl52DgE+|e)?A*7w}E&!hpDP5O;qqpE_a*C zd^d~<1S>&J1l129L0}x4Mx=sVwlsL|!tl!Gl6s7fBB#nH<(7X|a_UND%rk%bUB3gG zp<^$H;^hlf34v9U%DPmM`4om@3ge85x^4>2sd)05lAgCR%qz2qTB3%wnZq;^_!JbW zJV?S7c2)q~C@ZOeLQTS)CB?OTC!fp4u~)}iTU9K%oVkAqW)-o+A%-~7)v9UctCfTe z;j&9In9PM0qVViw36$oQoLNT)3-PTK*_9cHSYt9yv|vAkpnzS9+$gyNdv3sW#M76&y60~J zaYj?d{4>gqCR(}){Aw!S`fholX)Hi0N)%!5++XR77b>iuJNAa-jN^oO>n4&?u_Z4M z)hIMkAgQKJOkc+mBxO}hhr|+idckc$u{)xdkE%1eG@|Aw30H|4G|n@b@;?Rh2Yk_( zMVH4FCE{l*W+FI7kXg#?a1QLV2L1|DHs{AVI8QOmrs7^&WEp;Enb6HmSDIDur-ND{ zlKv)#x`ot9EoHMG%nmAdpoXG<4=jYY^A$_GT!Zhw*BzM`7;|=li!Y&072tXE8Rn;4 zuPcgYr;@4@Q#Oap<@`cItVDYOBxgt=fGQL+%(MPH;tEAd-V4g=XrQB{ioZ68i`>CW zD;e!g1vAyTs#9a<9w}hDn3Vyr#dKL#as3WsU&EP^tIMh$nrM;YGe;rRH7Qa7?Xm5J zGVH{pO?C$4k!_D}>2Ib7&oEgQHBdpb5T^c@`}bmX%3cV_xUVFvmpRFEC6oP17%B5g z2&0)}8d$P4O*~s0f@He|(gww>(@bLW1ENl@V~HRqHnk)*P<2>uJ0&(xL)hJuJb~ujbO<{6)q%!`ZS3 zsyUsIEaSvg&FPseHV4K)1&`mu9WVSiVyvvld6M+AApZdQlTy}|^r;yk%25YlXO$SZP}=t$92*RM{O=fz^{vK6u5DB!f=zVm7zhmDPR|jU&M>JQ}A`lr^gSqe5`wp0?xOXtBv$S)| zBC!HyoCELe_vve#SUe?|MO74W)GVf*Sd<0HJ=6q%$6 z8#PsRI~TNij+H6{sMJlsPnI9V=Yn~|!*qOE%a3F4Xnf|2F{qX-sO6lvq^z4VG3paN zEj>12EcHnfD=d;j8>DVDJ1cF6D`-*ELN-{*RVA&p&NYoyqp%kw?_qKJ;+M$%wDQc> zqww_}$$ruqbb>;%Q`h@FaRW$<4&jyxc?dmr7yg)(!|fN58YiurlU(bjiZsGuzLdVRdeKAbmUtzn4oFhb)I}SRbg%>hHpP7D^$#2Sft6HX$t{>Aq-!Y~4>8}T-SCVw zH3=I^{uG)T%X_tfw)kQ*b3SobL+7-0lA?kws?sn6y}ld#?YRnQ9ijuoexH_3-k9e&fWt!7d)Sv-Mg(>z6`WgIpo;g&;PUuek@BJ@|$+FUmqN3v{V2Y`{N^lgNnQX$>*jRe+wjwjf z6gre{s&D2_`*h!a_xKE1JXJ!EB$GvAt*deHwf_AvUuLw%83|ZJ4b+=ke&6x#`C+lP z3secIt%9+np=g#O59QTy)8F#j-+?u>lhZgco2nb=0PcM+eY^Mm_$^sMPf=1Ofh^z) zHMa!q{dV>JFgCijNF@9$v7;|r+zm#|c0b?O5^)>OsOOnWBI|H}HOU^Nk59jjBUN+M z(I`r=rEW=(DL41~u_Ml5XeMc4k@r4miLeSfk$#sxop$%&Mq;leFdv2hvDCqm;ZFPX z*#7{GKOCBL5~hg8W`?EZsg7{UA|givW%L_#?}+YY0c*(625mPCQ+xc6!xD_f8fphK zP8mh4FR?ZkUNGE8N{#5XP~9BLd9J+!OBG5a}jw2E0$BC^0Cm7`VwEtgK9 zZ)1dHSs2^_a&8E=$F?2j5lI~F5^blkzm#;sC(SUi{{VHm{%yJs?~P1tG*Os@j^d$C zk2URZI`7{T&I_shMpYb)4!aa)QPd8Wu(yBL5Nfc*Xb}(xudjScxph+|T|AU>&2R}I zDctTo`(dt{D`Z+Gv`nzb-Y1X&2Pk{Y&(5_Yz?GoV`iBr z)EMa+TT#Bl)3CU~NFZoTj0kgb0y}ga?`_7z^};6>)gqj?Ae4EcQv#CFF=M{Y!?(EO z*dCd((t@$POQ`B)c_RcKpYFNsk7AR@lK%j58mf<@8T0scahS^5)@D)tuyCR=16vNqSe6*d^?I}Nl^e6Cw;d+f7cR~u``8|NOLEU29V!M z>~Cx@4Map5jaS^;*4Osu-~F(pxsnLHElt~g=lgWSr6m%x#VgQE zR;os361Mizq*~u2g<*LfYX<_yt93X6GewLA++NlL)3@})s54W%<EAc%}4_+zyytJi|j-h_D-y z!|D5BGLmF_GW-Uq>wK-Q!p7#uufGZ!kVzs-ldvVbckh5JT?&F@eajt=6d?DlKb@vdSSg>#weL%kdoKA4bu%pZ-};)*|yrl z*AUu4tTIRqS0r)q0mc zUiedzL3>U47|Idq3`)D-aev$N!#vIeG~glC++6)I;-Y7H7yvfkQ9U=qYKe=knGMHN z@WiPC@v2*pI*IgE{1H5!5A9adf%FZgJtv zvjR?*y@>CApQbEBB{U*<)fO&qSd|p(QUS98bsYiR?|;4U&n(QO5*0~Gn~jv6!0&7! zO_=`xsANja!}58E7vK6}wqEiC2%cf61=4+Oaz29^n5LStlEqpk8GdZc+Q0JYan|2| zr^5#F+{k!<1=L$>U%nxfQqC9!BnyI~{{TB+-d#JRjYWrG2(`UF{rDWSN+n#lqLqg; z8daV)sTWye0HIU9K7RiI5#MJCIZ2(TIQSDm)y+#1NPEX+Y!%gAS)|!%weRn_`ru%fo$-z@pRdCfj zl~6{6?hHV8wVU7D>GZAFCCa0yRh9{L6XuV>vwR5Z4_}7(pX3}$X=q}jOtthx0>+mD z$OV9~_W_Oh^08I6eDgmh4`{RbN0$Ept#bz^%hgGF>*0;Uk{Q7_Z+~OIUv?ziZ^M-} z9Ngk&jw`EiO?t?>n^3h>i?BDf#g5*#?T>pJQe|29JUDizJIX3fShb9`6-y$Z4kD4G zyAo}83N+b^Ucp(27?Ni>6tQz-h^3yW8KmNz#pTk(t1+yUohPXsJ|4L7UbpILW^se? zm;QhBc+XbnILX)G>#t#dX9LY{MAPuqR~cs6FN?)>am?99aFh;XdNemCNZawM1Y{a` zh>+~<2IgbU=@|QlJ zjcVzaDVr&pDwdvLsUpaQ0)j~0iv=E}i*JvXdJoea@2Ge@{{U5&KiW{9N{d?iS8uu6 zrMh}_wqoG=D<{;Rnz^|7lEE*#!cBh{Z^Hio&+$jik8RFY^Rtyal!u8qAI7y*Ra`km z1Jy+yUrkdjWgv-F*sSo#x|j0+fOI0p`*D}Ew>-VBdBx5e9uJS15lxpiy6pO*eiq9X zD5#ZymC1&dHt`!$qF9n%Mc9ku>~FPBRh4EDBw0*ic%-D!Af+0vy*jD%+Z<2JUuNDL z_IshvsrYv!mN|2r+G@9ziPRtr{{V)jR?sYwp(e)ucXM(rY`^wrm(+OPvCZ^uME%cV zdYbefMASp(k(j330kB4gW7$l*O!OAEVRq7bkuvD`n zF6^LgEo@cXNzAPKmfT0doMXh?2b$H?@b-ICRY{arSLDMloCE>W#LK9oC4_}b1_x#6 zHC$Rg-MQ6mC$>)lQ#TPlMFQk7NZEo&hrvIJsw96fX&SVI)WXAE_tFL`{NI;+EzUgG zm;9RGzAK}ls?D<*Kh^T=f?6!bI%=h(@v0>&O(ok(#1trU6>rgvvHDw&iN_U}@WGAw zv;09h*~T0*9}MtJd4C7g#asA_oX&_vRPqBBl8GGfkqEfCZ#g7^6q zs+MMjEE&}!6OOh~sgtK|u%8F<-?T@u{{RGWPY!YqkFtg0I{rA1@$yiLD#>bTB8pGt zM72ohSH+b>vJGotWVH)ytw>LQ3IOb0>IERmUftJ!cqYc>_`A*;{5$ z5}Ka|IOmO(yi&lGkgFBc2b%cz8t}GH{2VwhAgAOfCv$#a=R9$mEL>T|ncPx5l1B=t ziiVmhRZ#(!Ng3l8b9l&q#1Nhq<~(jbOEE~aI4}62*Ly#6tBZIai#WR`%Nr%)IP$s( zYjb%i{{R_5;`sGVQqms|GU3W5f{p_N{{WK?QTC5H_q5-(r!~2`?E9N=WiDA?H5D#X zOP9k>nN!Ia%ILgksfU9s1Q{T3?|bTZTZ=ul=9jQfw>Px!v_BGYrz*XiGaSx5{gOIM0DOtHk`h=U*?=E6;c~sv*QVCUL_M z=FY95CKRC~tw(2g*dOJ`DkyN$vZ%4q!xvU_MM+)l0nXYgTHY1QxYCs7*(B~XH)U_TAh$AyjRa5z@y3#Wrge@krj8GP@ zGoEYmvpB1st_~Wn$V8Oh1hljd;=?5{h$B<6{PGsiJl0U{I99hb z%IdOuOzVYm*GYtMILH-H01yehD9;e_XADtr=5b4v@%3<^uM)H5 z`D{-Y;-)GQWtfv`BSc`p5EzHD*_LHfv|Ea3h30vVZq^qs`eLi86($ggps=~y`DTHcw3N)%KY8nC-K4vPQOsHe-v0om1OEV`=hd~QvaSX$Kr%ZG$Dtr}-{Fae z8D?vk=h+2JQm|UC9uvgUMyS$inno8@HU`WMy-kky!W4D>41bB4qYWf>)JNvIJ#X;+ zFsU-cP+QsOCz~whmk-C7M#_`tG{?oc0{${=JvYZqe+=3LOJ6uX$F$-(YR&j*9*jIb@S^@0n8=?%(>AR<1$0 z0bq;(d-Y@Vz9{CZvd$My&Vu|Tl(M_Q6iiDlyDfsBhyZrza8oGA7I|O)0C5;X03t!% zzBL3=z?ScErsr!8xFH@%L3N4XRn|dMGY!vc-`)qi-G}rUU;2FTNlIMaO-&OxAfI^C zzW%tT{F?akClNfSDu*hN_|&mS60h>fatj}M>wWPl;oPR5#g18K)RF;p)DDxr{jK`- z7}#a=&rXw~#2LDwkf|hgum=S4C})bLDJy4E1cJdx7B{f%*VN$B(44s}vpI846nN>W zgZ@$B0oS)<+#g1_}^ znwc*QK2e!hJyk~)<`Ga)M+}tnD(a}6mL>lHx>Q}RO8^I4eLUw^v*#l{qxfnr7UzE_ zcvp!brbdpMvohh@LtO%!-D0g+#Z+T{izoxODN4WK#r!vF=}a+uIz1$=5B}ntjdQTb zNa_PtmHMg0*vTX!UJH|lJ*fSY`HNm?xHH;Emf6(OxzkUSan@T_{YKhinXY#o20CYg zawV(we5%d(bR=@aR25A$g+qn_lch(Y>N|^L<<}5@`96Ncd5gT6cN_CEfVj7Yx&B`y zNI&tknR7WG{hzM=@eZZ`0P=RZb&zMrJ>{RaKNRq_TyJ>LT9zJXQ3Bk?|cc zIwIYlQD}4iJj?lU#&b6paRGvxFS&|3`OHz>?Xv5#ld$REr+jngvn&}ToC}`uZ8s0) zkmeO!O*}cKYfF?a2&Bf5yv? zo(jk`&z5oT1k_bh$zfocB+T;_MYp1Sv1EHCa%k$E<8z@E^&-Q|B5=v^qccw%Cmdh6hIyc_B*ZoxhdX~zwuF0x}7)FdU~JV z?BmArQ(0N*_`k6S=B%ZzrO_Uk}_S}dVMy)&L+xYoG@tIg&T<1aNfTC{{VbfPRo7@tBa_=3XF9kC?u&!{I|8m z{rzu>=P{|hY>}M}p{xP*-sj$X{Jr~H+*y}g>zU+0$8f4PKBwz%z3S}uE@i8Bbh#ve z!*7Ax>w`kykUn4nQpnLk<_s8;yY&6KAG_i`nTC}?nxCUtx&wcA_ra{oFqSJuVeyy} z7?m1_t*^Ix?S8lwRV_QSN#H)i{{X@sq?>lXZ?l3}r?KCrBvtaDnUp9Nb8swo_mVIhG-{aj{vK6*TZKEY zJ%+DN*6*ti$0YO`yCPY#OHf&*P{6j@iM_rXb+#jQu|)v8A!XD}$m@NHKQW1BY=z@} z6z+{;d_BMbZ~9_4m@E|Jvw7A0%-89^UAp%AeDP{8dJ51FH<4HWF?@J?u%}{Nhhmmv*R(ST(@D-Mf8y`>-22o|WN~!v#f{oA2=Y z+p+%uH!<5nXGL$H(8(H+APhGnaBuR&FEvHgs6?uziD1U;cKGe|#e>DPq1sf2HVUVs zNnlR@0H^r%7=vc<6`7TxW?}#UwTDfJKTK@#Wa^4}Q4`J5NaZous5=XMFVu~&D$_-E z>u<|_t?$9i_5iSeLI+V|ZPfby-&_aOQM^z{ev9SnzhA#hY+`PT6I(MPODsSXVlGJs z?|c>DsRVV}3b8iQ7gdQn0lo#iPLcSk%2W&adW+i%RGC6nm_jOgSr+n5OpFbfNEn;^k-vO<0`nLmN~ZB^ zWp+D~H?{j?*sAc&za-l}k;|D!QT(=T$m(|+<203aC0wVGzuj*(*aiNm#cmlkBGx-~ zwikl9+{xn`-+Lba01SNb#Z{l8C@CzacO)GOt$W{XzBpE%caBdZ5(wCK?SZS9QKAK3 z%ufA1_`~MPCGgd3LFwER*kBT%lC`kCWqYhAM2qNDj<(+mWzz_mU5Pq@xCdZ(2J&c_qnHH{Mpb7|Ty3`n*= zo8fPd?}riQQ6i0Y0>xg`L>-bk@yD%0SY&zp%#Bw_bU4Zi5;9B^e$9pK4Q|4>0 z#ShfGM`_ zBMzm31hw}g4&OE_a>|he&{US(F!z1^F`(U-}?B^o3YjRQ)r_+joU zeg6DS_&T({?yk9yRACQ zLC?nZ27(#djwv1(%QH#|Nl4sk7XsT`Z&U8a&9naivy3^pGsv}Vy4_py+PZg3;doz! zc>e%Y`d)shqmL#@^j4HqnvFVdOIxMn`f1NTOZz#?&1{>KIZv?97iRf(YYi-r@#amK z*HqFX&7d@rzP3b#EXAFg;H{MiZ9|nQy_z{w%?>5wY?qRp*y0Ws&v;LY>T>m`sesEz zJO;9&8bpdpb`y(=c2<$~n}FI#^B%9N=7$Ty`PV4&{##MfWjRL?Mil zLkRI>mYtGFyeOs8LN&+)YEWz`K1Fd42UKtu5%M2|Dfx-OITs6YMtdHA$GkaHm1UW0 z1gn^XCSh76oXH$M3SKZ|R0v#zu^z|hU!=4877m%_s)Yep~V8BiyjpdD3LaVx5`K(HXEd`EAX=|Xb z(Hod#4yoZ4)WF?^&c09b6rS%e6&sIEn|uQ04z8&ocTUtu;qN}ejcM~0#wUOo@Tju z(rtAL@WRYW_6GJJEIXjgxqk*rCk_4rlClZ&-}Oq$n$7^HhN5TEqrfs#W-1n*%l`mr z5dhR}u>elFY0MrO;Z7~i^LS}Ea*r?KHKK-S(}x~6$|8bDh!kEPw1tYq10T-do5(de zYtg0Ta&(JV*{ArB@i!nc`dV!N0Eu|3h-)(Jx3Oew0$Ncv+sOFV#H{YkNm z=bOBMmpjXe;Q2)~6{4cLYVHooYT>P?0__xGqi05uoU=(Lr@9R-74--ov zk*_RxM=r{9II0P#r^kN1s>I#Y4pA2_f+wjU{G|ouQ~LBxUV zDynSPoY_uR)wM8F(?yuHG_^7@D-ycFB*3*W2*sz=x<$N%lcqz_Ym}Lq)Ye?atb8uKW)KPU1PWo{5Ege+ATu((yOPO$FS&N{J_(~rT zg*H-M^pj)m?bB>HlA15{V^2!tsZ9y4L#pEc08PF)8_al>>-a`Vq$(GLsQ}mkaU-q3 zJ$oOArV8bB)5S=zLs*i)!tq^5)O-9-Tm;*p(H;9dK`;KhaD5ZJ<~QOxP<4J_1gghk zJLx}D@yBfc00&H&b8cL7Qd!QQ51Msgd2TnSgxmKA9F6Soo-ec?2-9e}lRk%5l6L^= z-rctSG1?!%?u6I!bD8yKHe)X|>RH{7ThddTe0TkELQ}i3id4>_lZ4|6h9z*Ii>dF> zboBe}j0~W?TUljPYYV)WV{7f(^u*1wxDsOwcN<@=&iBG`QCd?0jf;_Uy{+%UUfzpv zm?D=gk<w=rZLRBuxqTu-AM%);wA$Y9 zOckR`N#CcK1_Nw5FJ*Z{h=Y{ zS0?xqm(_Vl;hH+>YIvhBuBoY(d8C_AQ%_w^sDWdQ09A=PjrRa0?4|Af?f30Jo@AUm zkZ_-~{Vi`0)z3{w{;gBQG;zf6t221bPAdd@f{>wgl6E?8i*bvf4(l%74wXJ#!6bFY z@f)#~R39$PM}NQH6pc3)e-bJ>>8P{*O7bqW#N|ZUZ!&Y*c;q7Bk>cde);9Fo``Z&d zkv+Y*gC(lXvi|^P?oiJiB|nQ&sn1NtCXc@Q_-ep>?eD}pqlxs;Gcc3IQrFm8-?kf8 z%=(I;7fskM!>3L0MAh@d_=_fIRZo=v01ciL&0(R5o~E-d_Nw94M2$}FW2vTwP&V$D z`QgvAN3`EL`RSi${5#CQWDX+b{5diOO!{p04A(2JpHL4^4P+5V6q2B2VzHSlt#Vgw zRde4$VApgI%&BSM&8n&g<~0(Vps@VL;Cr{jI;iGUWe8b)M_;BS75@N-e9o?tXqw`# zOOh@xsGHx@d=IKHs|W;$WmN^2*XNHZ%CB(rd|%ptG{&ngt^8S#>9zc)Vg0({#Z6sS zZZ$<#&b$qsNyXYLrblJQ`6jVBQ39%lBMawwxDiTt+)65hA7L)a0$kg zH9;h)nB1L!-*Mc0aaeH;GsPHLDc4auE}i|yt}bluLfWT}RBg2juH&xb_Qg}i)Ql&3 zl8kS1$e@dN2c{brNLc~Q;qk$bTD)ca%t+hQYz(faj%R4zG9zz^)9aa-Ex>IGq+4Oo*n6=aST4H}%E~53R1u=614|Bq$8P@d zzqsHgXHNo>?An`0meO61YkCf!-x7SvRY}V_X|mY3U(82s{r>LW@qk&3GD|tAC2e!s z=EtG;^&bBKjxFO(<{szbr;8X(OC8lS#g!e7o;$hWGknXPM9> zfX5_i8AhE#I|cyzzW%s~X7sfzBzh>34*HVh4ugMQxB6cXj){H<#at~*83H4s)*;5t zeY$*c7|)<|jcOsJI%QF!?XkbVzpu{|I{I(LBgpZv0ksvd-`#(XBDswl&j8Z*(6%6{ zCA(i^bKmRn#oSKB%gF}LEbSqcS6RAhH}w5Q&+CXje+^W1Zn30n3A){{aBq8c`XBR& z{(nvrR`)g+a4s*{96__NW1CB#F+OX7VlHiLY;mQstk#(l&Lh;W0~__T8~Tz4{{RdD znfwV|8l6V=Hytr7%z~Ijfz+#{n{2in_x1bjh|NkTievzQTeY?aZ?2DA zHIRwqB0_cyvVQmPk7K&94%`s`Wsy1N6kr)IGEbo4_th++|l|SWQ$%&V`tJp3zi3K8|{AmaiZ}Mkvth47RRO{ zQ)X2v_GB71>9ODJVJNc-&l}8)0XGCKzBj~LC*6n1IfsZAIQ0+APV7%#hCKXKs+uLJ z8(QU$Lx?o_{Xun!3EOVHz2h3Tb0GwymSTDyr{F$E5TvY+dLNQx+)V+Aj1#eLr0VVK z`eQL?kVxCqd6ZvE9+-o+c`0iRn2-mpuj~D>h0kY+)ydRN$6M|FFkPbjhO@w)9w8=6 zc*4wXErnM*b2Y>2x%|g#Vh;{~s!KDK19C~}jlb8W60)1wo6BQ!WAnj%y-D|=O^$j) zl#$z}!9l{qh>A!MO{CiP^u#KcI(9nsMxk~$YySXjZ5D9%2xiq|a0$Qb_tOcJNI2z< z6RLdu{5u9EuYLQBZx(!C{?P*0A&A5&bCRg6&TpuV_OZ8IEgp0gf*CaiUZJ-5Ujf;K zvPF}KbNxWMly$iZb~}y66<#Hcpen3x#P+vqVh0v@RmX=gCd3hbqtN~OVZvv~NZUvw zso&p@X^vBgIi}Ac5v#Ks`WEl&gE3V7vX6rfKZi3xDReIOmKdK_;aew7h6xj?u!Qbqp&d_zCgQI%O`xC3wx z<@cXYzlJ>ya}pCYY%HTtjH{1XVOge+bb0_T19_jKnMI%%dysmAwj+_xP`X-V8bJX@oF!kKtr{6)NaFxpu>*2GIIWdR zNX6u=eO;n=mT?AOPQk0|78nrTQtx4;eEl)Rp51=YU;VH=SPXz&MAEbBRU|K&Rn3jSHnFS2J!O3L zQJ90tJ23%)3#p0I*U1E@%E65%^IaPd+BzyV)00%JNzQo*n zz{OYs)y5$prj$ie-TgXlN&Qc3bn(H+$<2;3WvNrb5@tNS%NvI|ZNjqE&%<>Yg?)Z! zp3b?Ph?38)rU)aha&#~ha|f$l2MhG{VVOU$*ut5 z?m=>YF5s>R;hr1L>$ry^%Vo{-z7nLQn3?j~S>>8o)J;?tKpgn2#MqKa-wK=)%@`yE zc(8jF<;FwE8a$SUuh~D990!*31o&=|^UEj9B&+fEH>Y_?MVvS%R16mOHUQrSJ(BpV zom|c2jQlT_!Nr_8ndCe}RZSNV!`ZKa zxIS-Yt|jLk15j4~0Ih$caXxI6l(MB`vUu^(M=VB1)XuuVUuV#XrzOZ;ayURufw0tWb~d+7u_)u2I0uS3gDK&T70Y>Vm4y`TmSZQ_1QL(?UL}o|;jd&4V(~W?O~CnHf5VbyP*KBCEFyZ;5hU77YbS|Ftpt~r z0ArbBYs{7hRxTb5_EGlrgE*TlpNr|JUKuF-T*juoDrcyP-pws3l~t!$k&C(UBS*PF z&cvvW<~%jao>lVeBZG~3FU7oPU&Y*SSx;M2E_qQ)HB7L|(296cf^Ab(PWNFOJmi+@ z?8~MXCk{pa6S2xVD!DzLxSZs1@f8MPPgOwK%Vkm4Qsf!bi#B4a8L47*%gl2)8`?G| z#1c2c^nTA=-p}i+@_dJbWvH#nVvX~fJz|=tElD(p+M*h-21o`?%pf* z9sU{Iu+3oQ=M{7C@K$X~k;_lRnTI;yr>UT6Kq2F$s+Ejog}lKmzzxW@DxQCEFSGvu zvKO?c3~+enPdxL-2^VRk}N)@$0w5Y8#!&MM(4=_+`K zhO-J7D&rH-)mBhR6jgEc@ga6lvn{RpNf_$f^NBsBc$bc6jvr#4GtHvmy!oo~x=Ls_NX`9qe zYh|yIQ6w%VNS-348_Ow{MJ=RA6lpp!j2D4Yi%gM4%8c)YI4_DhT{k6oLx{73mab)< zaSP_nEc`1RdR-%^xk#dR)(R|AKaNp?_}XlsxSy9k#~cyFEyKJ|%Xpkq4>O&PdNB*hqzW~a_$w)=9-%`uQQrj$BV@=r-+K=Lh%B+ zf$K`6dj_~*BCyoWLvc?Odaej&0I+SXYxMc={ViO>lo?)a4AOBYJ~&>dF^eRsG#M3c zZeK8^N@&Z+PRgTxS%ODjG7^ zc;#1iuz2*UW%Y73E>X)aHX$PqB+XK;Re^J`j*Nn&9f|5tSPPs-b3B)Xe*(uUk2n=fstBy9 ziI5*DD`boiFRydCvYQRY2_ec!hWSxXlQ#=hPsBVE4sDmmUZSFB$W3#_Wm4ib2*5F0 z9m;}2-qt4!d4pHM)Z8mQKMC^t@YNNNv(?WgPH5VhM7~4{SlqIUn*au-0Gpdc-oJ>T zrjvv+s@nE~x}rC1vYFhiOrYtEn9%kI_cJ!3W9WAHV1`h+Ekr3-6tYt|kSa&w zSwS5L7x{etSYyswdgD{V(Z^3B)JMXU!f0lW%!gC$YivQd_o;Jw%FibWn9XeKK7B|T58&4c;@2T6qXqxQZ$-`3@m$j ziQjB}t@d-QtMPo#tivO{o?qwwG}J=t*`tES(DH0 z{t|!ZYySWQjk1Z6zwfQc-%-7f#9=d*C*=adL)5QRgR@WyhAfM!z;*BR!cR<*s5fni z=m%U{ie=bJfz;G`WV5&yJ=)~pjXW}&2+LRg-w$YzAtOk&?YOok zn+nIYS_>EKc}iO#o+0IkoS*9lh9ltz#CkK2u;085K8Fla(nuz&L@`3(m9o1oty=@Vs2^ZY z;v>pmX6_>4{KuKuHho?)oZ4!lygMBv{{V=M(13%*sfek+adG{A;=s^E{xZg~%FE`F zMVx`}>;C{aby1pTwb^W$bLMqZQB*JUY2>PAhEeFzlck5mV&pP)jR4o=Yo!lqI5y_HNK%(Jm2vi&w@GCnYpXDLnp09CPu4Il(R zhmTPpZ+nYx=zVcr@fYxH{uta(N#M)u-8M+X5Gr_ojPrRUP4993t5aUL{{ZZC_qFjk z_G$CRPm8{cv;-#>;pIHxm$ zMgfg_9!Hga>pd?Q_9I%Rkh{{uP`X#E6R`XH?TGey zmX(dGp(5+MG;D8vw*5Z)7ONEUv3*xo{N7%s_ri6MLnSzgBmj-?alQT+rtG*z{{Z`u zm?D!<7F9QqRnMDavF&_Ac&{(;-Qxv`)Jh)gHtXm&`{{`mY>7pfGfd%pKu)Difwuma z>wca7SPPz0nyOWqo-zpXn~nY7JQ8dMsIIHxF}H;jqhbeM-8*b8Yzob(qVU>CC(u-G zRl4`S-F74BemFgy(xT2p@>@yS`+@dAzzVq@GR{y1DmtC-+@D>~U-`krT)dI|_b>6h zFs`D@W?{X@TMplUSoL);fdk7HqFuV1-9bLTPk-x)Mrx*5nRS90?o^HXZ)R^PvJZgFNeqFYT6+NmZJ6`aX+ zZ95U>KED3neFbJzMdH^02S~M*x_+DdF(A&NX@8cBr&5~+Jx#j&Jw6zV)ui-A!HJMR zn!*17H@{(vaA^yX3F3^UPNfQ=0XJ2SWk*BjGFD z3t5HrZNI>st%%lWfhIa3Wk5hG=r$we?!><~f(w|~v_!p;!0I<3oxaG#Z$DOLM^K?# zZQP5Iz4!au8<-@_{EFBuR_ve>Lba?1yS=?XOlwmN5XWs=nC`?6%lB{8VQ5vO9xw~B z{{ZleI(+_rTx}v5Rf}5S5u`2tf37XX*oh@Ut_7=E15?Pm$6^hM7AJj&TuTuZ(8J;& zM}3PO&tY$!@dn{33{~upvM?dR{HM34OimyWpa4Wb7CMy;$iHBE?hn%rjTCaNg=uNl zWHKgCGu^i_5o_3bjC%*TbCh}Rf0ZU=)$r1X{E^hS3`K_fk?$SG-Er&}cp-mb()8I{ z(@7i^UzD2yEpl-7pC`-VgtNq_P}}=qS>lFy+AvtQo}`ih+v(d3=4zM@kfrqBVQ??g z-H(mAxgTfuq=luUqy#7g5p6qdZ=N<Qh7nx;O@%%w%{{U%z z_|`{;Sp4o4l$#KG?Scp1m_A&zMnZwPJr>@*FO39L&gLeLKPl=?3C7TwEiA}xpeaM{ z!#dhno!L>WPQy^@aUGw@KK#u_Q9=oS2G>#LUyodCCQ(X<#kIj8gMOWG+{raGqz^j) zP08=^`CA)*sm@fHNJD#tO@;orJ7oL$4WBQCQ33*{{_l;>8@y&V{!lhH7f^OSm+gh# zw5eGFp$@x+-rFCizYW8iZa~$zH|c+|_k2ri@73gAA||dyZ3!-|ujak&zg#I5OL(D~ zl<6BX_Qoevoq+1O-3Ii+NNw<`G_$(c_YtN2CjuqR=G_1szh zooA|r%JSI!p?p~YJsV&T>Ic&o zc(@j6QxnMboZNhUk$R3`#S;n7lTO7$-+sdzf9kGJPgfIH<^nZfN`S(`$G$kS3d*n) z#XCT33aZFQ!27VIxvpnfc&jrhq`1|)ozG48-x`1JwPtL7ug?$q7x|sdL&o$Ognlg@ zdbDJDz>pAk>wFla&fpO#ilh=(VSDZH`u@1!dQK+KvapiTX46E=(W8{91JmJy*>^lS zjgvsD7Zp}&y~t-I5pJKh0)CqQ3)p_O!~X!=jsE~+x+!z`kSt9&?Y68ui#QRz#DRMo zpX=+6Fr@aQ=7j)5Eo3tgFL|lQuX|gz55w(|#!&=!=8gBp?)P>G+MY5YGLt_@+F@ z9J7b`W*H4lGRUh@P{5%eoxmMH-*4%K{+A@QGGAPJ9u>To{S!L&E+WhB36)mHz?SXs z?fx*J;`%7#K4NWqCqw$CUm8-G-KpV{W&j&$Yq;Lzbp3G?&iV7r z{v=aNHyTt^p>6*F417C}OQnzNiH{Q^@?-OUzw~aeq-8JdM``m1+AD%Ne@4@B4-nGA z%$kxP7#D88l>sc@A@4Zmo^ktW@sA#?nHK~!`956NS!)%oJfM0If0jLLZ*JqJDW;Ai zE7n)kys{Cg%Q1FualYriGeG35}K+u z0YMEHp%apG8L@+&a$4b9?NzdkbA`3ny%@@m?*ud2zYa@zV)lUQ1v(@c#}^+iGm zmqMg;;h4ED`v$}Vl@jpR4s%n6xSNeBKM|BsaRnVtYft!p5H&ngqsR&$`+%ySFK|fS z_Q1+qX>wtjeqnXD^QWt){rmvAa88e)R9tt;?j+{UXTtfH0%aL~dztYLW5QK3Qf99P zVEGLt9F;<%=u$<6 zQ(IA((;)F`K#hyEYzWjG?X9o74t@-aOmulT-^o|=1DO0Z$3E9QUBJ6k8C7$ueFL{COUB{et%e>}>9H%tOXNM@F z&4n!#8T^_cSpb17egdys{o3=XN*vp%|!{Be>Va7b=;oQP{z9P%Az9r15>LzmS zEnF!pE4q>Av#4tmV{2N+9O<6_01X~F;R>hEbG~u#%{Es_mx{@&D&m?m8Cu>ME;iDeJ*p!-)Ks%8Okc(QOWZT6*-Bexw|AXEmQGAd=Ww3EKM zS(aywuX5T3rV`o@;a}~+&CWWg$~YI6)H7AqX0;N*M^VKTNY2fweF54}2)BkcFjgab z4Tc1ADJuC#z&y>Osp34sJ}cmvGZ>_z$+Il(N-9T>RWnsjHe9n&O&5z4g#kwKLKpF( zMbs~2Yvf#=y_G&|?B~q>AF6;sU6a&iNR%e0FQLz4HMxAX4+>MqEM&1v1dIOEl^rig^m?ywb{EO=XD!=U_`Dsc1ZOnQi9Y#Pw9X z+RS-#!(7$NV`?nMrWL54mediv-VUP9N=PgmE%cqd+DRZ1YHkQgXYCDEL2@5gr=Pa|F!NmXJp>ab-N(!IC#!RO2yuG$LGR zdVR~^$~Ha6ZT{w2$(k@)0GX<%&FM1wEaFV^i!sb;si^334i?LpF{BA7i5fbjc*3rd z?=VsVmXl>>#Vf>ZJtlWoODxJAY9tZ}rb$3;XJvFHTn@~nskk7JcbdOBxr>x$(oL1- zv`~oZCMvLGlyRYFK^uap3XLUHU4JVPbGanM_=h>oxRW-j&-1F!9=5V~sI8o;sd*Uc zVgdzK7d;dJHUI%(i(S72!y}M0m+^+@R|`iV3bOGwULMPGL8(D+e#CpR(HzMh(3Biy zU!Kx&Wqm$OGf4$LSeacRi78c~RkJb~2E9@-Hw0-rj<}(Dbc-iDI8J9MRB;^rVj>VAJ*UflVo;3|$edJ5iiaq?zzXOx~74AnJbWYap$9;J;1DMB{X3dy87+>0An z$4(`fy_L^4r>jnM)XA0_Z^R6WKMiDySpNX;i*65aE`54-z-}p}uB+f$@A`u+r!umK zhGeHI0V2w7PQ-t2b_{dQjnkYn)Eco&Q-|qMnYYqE6Del61e3TPp9~G+z9XZ|@>9cd z@f=e=fhA>B&8JF^zyo$;=mBl5Zuks{w+*5*?6Xw42ebzYaRpnIikCZrX$uD-G{~fG zpq`_)=e9nm`!@do5PxNk6XmTQQ=juXjx53FlvdMMO0=`W;fmR!n29;_lmO|pEJ(|ZcwUWXq95{lx%x%Yxl-9xR89e z$V={NM*jeW+y4Mo$yj0Ey>I^j2ie@4+q>C=J%BYAF=JHIyopaFgMa@3WUckVnr~_@ zLuRYuQS%y^lH@ZFz>pynP&IbDNCnnY}S@2m93z{(C{(`8{Ao0uG1Okx1V z3@@Ys?joRD=sIAnA3gY)9a#u54e%5&V3sWsAP zP)@9R!#cNLh4Auv=prhSNDkW@ZG3zUr1pU0*dNEC_JUXfId*F7mPuF=Eqk;MNU-V; zewZ_t`)hG;4OE}T^V+p$iNUoO4g^UUbSKRb2ffG#p|CifmGB%fPHD8)`J{c)TDY0C z3D#2gutF_wt_ad1Q^pld)Q>4u*bhv6Im`b5iT?mJa!?yB=H_KsRe*G;&MN8k3txLw z6LYsi>wF^r0K`L@71RDTy`eeL{-Z3OEkv|F&~nno&Q1K3&z8#!NKO2Tp+N19akp$1 znsj~7OR@fI5)|~f>xQ)w&hzOgLaN*@uDJN?%kScc%}5|vD!r!p!3kgg00&XUd^wxQ z-vd{Xp?{h0iPsAM02B^sX8FJReIK^}01{=DPBg<08uIUlB#e`{=cIxlMeo$FPTkd$ zBvQ~yGH2A)oXT{^8wS4PcE0#^7+Gf&?-^e$z|>D`-yA){zSbVuQqVOFy7-~eZZXaj24oqGpp$TaF}VA-HL9khnvr4g7g~=Y)z;&=z?`b9 zj&rylJLBqXuA4Wll+Tz`WeFnEQ^?&?Gb6h`o$lJKO~?Qc0ThPM8Z<9H<#xG_!73w*5u^zZ^H< zOo-NH1tJX!jH+8n>P^pYvC`)K@Cv$?I+oJMQ*_kb2nY84?{8d6Giade8lh|0t@al6 z+tA`QT>=MZkr>IS?2>E-);)Ls03Pfd9UzlTj%JXEWO!xYO4{RlFc+~R{{VeGL~Au! zWDT&ohA0tix3_(_+xNt~G)iC@-N6s#DAoY`?efHavM7$D9M7%1?5aI`8(42+@3j!+)(WQK zSdx01;vbySOlm-lt~GAOc42FJ+oiU{^o!C5wfvh-8&%t&OIAzkT#HZHxAYw^S1cWgH%?MJ(9~kE@qOuul>PqPmiIurYy{s z5cN^3mXM_UD9t9Or% zV6jrh{{Z}UBd^OFhVf!%VwNJn+kc)Jq=g+~h3*e>EOz_-akjA|n=YaO-?xA7kCQF< zKFY*vGM1f4Nl0MZ7CRg^{{Y=(?g@#CgK&BRFhH`na}&11%!JqjW78fwSr=IvZ|lAb zu7I|I?U^FTvPgV{>~Fur9@dhhcyj6%*_D56BVCn=Woe_36(aW4X5YBK%j<@vr-XQR z>G_G<@3ti>BG~aw+#?Htxg%lo$C`F_3s4P<8+Z5Nq;;t18bu(f0G(I*eQ><T|Ew)>@D~A)P=XPjZH0BK~NG!1ETMYM_SS&MDdmkyAgYjmww+1Ve3@G zBB*|adbYu~zsn8k1R#x308ngGp!LHvfo+YWt&#~!q5wei6>Ej{+ZszgvSl)psk>}` z0~o8OS72ju7xM(Yzg!QhieLlBQJ`GkeTn<`;$o}`zqD4T!V<9~PyhrQ-{Bs-?appVt2X zGmUReg=V&6bEN&ZWCVl}1<*hjDtg#%emCD6K*<}J;slZa8A0a0E(j<3{=W=s9C66t zG@6E=C_cBw@WkrZRtmt}Y3=Ls$Eb``N1B|RS(bNcluIC0=q@{38z@0z;Rhi%2-}U$ z_W0v*3%LA5+O2IQmOfv9-q^%SVlNXCI$1#4-TpX0ini-urEXoI6dLJS`PISyLo6F_aU-PFM!M->%LQMftf8wE2XRd08FA4Q5SM1jtS>N zz;(Zx-7kPtTywRHG^wz(Xd=0XMKB{{TOJ=~K}Nm%|ZVi;p-ru)n8sh4V;jY0|DK)dZ866f|zk z2)XX#P#r~$@J}ns1%o^+4ajdW>AkxAeb>M^BDAKTl4b0h%6y}m9PY|^Pl%!MDC$hl zHlPVQAd*qk8;hv8J6isjhh|xJSC?^4XC6-wj+t|6flP`A{l680ZRw;A-LZCi4d)yk z!W{nq%d@^V&ZzR*Sm^5q<16P_;*Lh9br)wg-%(HxyVH7IW2L06og(u_)ouwZVAgY_ zi}oY;+X;oKi=3jM3*w52QUZ~=0niCG3mwcRiv(w zZ9~X=^#FIiBD@)q!I)=@PnAt2N-L_FW{X1`wXQ~^ZT;uvwmgTb^IjNApNszhL&*BK zA!3_$UHotCjUNke23N&72PU}TsA_VW8RX+C`o{bO(n^tPBAfj+3~18GQX*%w0H*E^ zD;&p@ncNZUpDom`1dM8h800r~3Z@)%>qX&+2l(o(xa!mu02>J6)@`scEN5=<;5-kLqp@ z0$!!Z#~I*+JIVH^O754LMmKT zCCCHvt;dw#slTVcA4K|h)bjd|8aWrqW6*t5C#i9xm3)ixznZ6&Ii6=<4F+LSb8MnY z38l(2#>;CU4J>jNhNMR!jFT7)0+7Ji;a(#4h~^A@Ur)u{37zJdJw!PKIXS4zGeyj6 zYN?WQIs8RLkf@oOT`ja|0FE`W(pjT3)MYWWvKZV4Kl^BlDbxP|)ZVrohf**vFsY)A z^+!WcT&?uHdTc>A8}{4N9{&K19E~2|jO5~2d}*I^Tb+3hPsIG^;_5u&j)oe#>Kwj2 zmI!LhP=}4(8X9QhU>^2Ym=?AD4lA0TRn}7EkC{WqnUp|MEo_-<0gkR7I!nQ$2O=d^ z!u;IfT12ZH+^VI97mT!V%~Fz6GoL7Brj|m7Yg^@s_X4N1mRH1?Wp5e#Oz})PP|X&M zL6UJcdr?^}K?KK0u|*lQkEAY!An$8mVy`lJYs{RBhjOgyuY+kIq0OtQZ&8-#740&x z7LF-D6(Ediyn$s3Q&2ivp))Q!$a$l}JV%fUj#B4&1v_&Y*{NxGwpE)n%)GuEB-M1u z3dX4um7URnMlIxx!E4y?F{#L&@F(#I_Nw+&;OZ`3amNR7Ecu+NGRwrAN5!+XWl@l6 zlz66`$l6eNV$+=}6-~jp=V-az%sv^c&FMHJjp0 z2v*dVaG)^Q3>@~D%DBgZ7b@W#(}L?VoV8X=%7#kbA_t(YqI(U zsD_(1p@tl#BV!aliA0X2(q?%^w2(tmSz642Z5WKhntXl2ImzQJr>`<_39}(pC9J45+@ySI&J56IS>GH_5^)1ZlSLkBN1eee^&qRmPf--c3d(wd z*3|E;5PEeA8v49{ac3M`Dt-g2=4UPVgE9XAS>dRvX=*Y$th+U84Ghu1+I&`w2?DdA zKy(r;4bM%_akSZNJQe=24;S#X)agM-;8M`0R%_*Qk*h{gSk$JQlFZg5#@DcA2I1pR zWu8CEIO{a!OuX8v;+pE2Dye8E&(&cORaZa~T8C((FV*gD8JME(Iu3H;j!W_H4^3TA z*&Ri7B@C5mRaZkvG_XP-nn;q%pprsH34f zk@COO7csRWBaO#HUJuT)z8U6jLByHGTP-$Qozm7+%Nkw0IOSGy2Xmwn1}}~z=RYAc zj%2Sg2es~fLn2)x$`ga@B!W6-G5D}8sar^K8tQM&e>Y$;&H40oQdO%%PQ>r99X$mvWiG5(v9WJzY^ua1dw`8LV8VveZTlqnNLB(8C>~AXaM}%I4X-0GE!h^=Xp~Yxt3fVW^5d9X#LH*SlZLX&9HNZrui|X# zr6Z%KAxYC@hDwTwEpy?)9I^p+E2I()OUYcBCka0|`Dd7_g3dDRn>vwxhbN2Y<{uHZ zBwV$o;_f!(Emsm{)pRs8l;WUEMMse}O+6ySqE8Z`<#&oO$5)k>!~k!-ggb{htHxP& zw;Vl@E4*UW6d5qeMXOvQOo41c~$Ix%T58LR8Abq zGR2VJ@H{<~K|={W^_WpL9q;7{WAVkiljQkMNk}q$mWrN|E~1*6Ac*GH)IjQe{ZD)( zjuxWIz;1OG7hF3NCh}5%_S;KX>G%7;<7{AL$&zl{vsN*RRAxn~R5CzNFzBi;eetzB zO$0$21i4;~u>E=*CoHQZNiCgO7T3{lzos;nS>hp;?poeP>vQRZw%?JdYep(+Qn5TZ zW75mLi(cO0ftB>m#iT;0$fOVeH^ZllGis8dyufM~x77atUw%B4%`!AGOhvW?CH{C6 z>^S%%)zVKidPFG2dg(W@A0FQ>*oo%hDP(xsMO&tzdjoy0F)+>P8Z>({jUb4^i(jZ0 zw&(ofE0|ChsbvLN3+Y>J&<>w{aTmHJue5~KWb@OPo~5BzXC;DM+!Aa(3G1-_xEnOu z9LCy^`gS{?*Ah-3r&#|0m2FBoD*S!c_-=6=sVT5%%LX?DgYUytv?2Kg=4o(d0aPeq zVc&iF@6&tW9$}YSGV(|UovoyA_3wO0>mEQvqB6%xT{^p;v49z|Q5y){5X5T%x3_XT zefr^)K@iMx;Vi}7*%kF_R`V+Y2)6yYdUU{i-UWyVfYOTFHOSxLf4`m%*HE<5E|xcM z&UKPj{{RdIt)Wy4;y9AP0(Fu~fNXAlr{#y^^J8L&mV7)ryhM~NEESKhQP^*Ywr-@; z>s2ivCsy}8tZX_H>xrImm($1{WsQi?Gz$Y_Iv?Bj^cak0V63g7JR_%jd3A5wzTW=; zUbwjiLqtP2YeEK+!NQFNdJg0fxHkQ96ygdg43erxrXY%SDF;!px%ylDVr86D$4@gv zByPgNZS(v3;w7F;f*}Zd6LPG_V_=II)dz|~tm4F#Ep4_Z)8~y5SR$>;z3dK~-@ji>InH5@GRkBjoQ5Y( z6HpW>K-&VM{{Wj{FWaX~X+gRv$xxkC5ycj=x6;HCchY~M{@9oBRZL4jX_?l@-a>mH zQEu0^A`&Su{{S$k0GnLyPk+AHH^H^>HABWxbd!%?={q%#v)r zfn;T#t)_h|C4*>1u(0W59{hU{C8ZK5FDgB@4JZVk*B-@3jt%TbSe=t3o+Ns-ZoLYw z5EF>C2*DTfvh8!*WAwl(ZYr!;^fjz{*={Y+VZ<52I*-K1<^KTT*8RTy*!hRF;(c|d z7)he2M@@EMMb%GB`r#Fn!a~YjR9q?b`?ea>R#LOENeY%G{-fdsFP5GM4HIbmNwbcTT!^*_rr55D%qqhz3k6>AE^3aWSlf& zA-bEA82tgqTLr2Unh9iI7BDShZf-6*M1cYT7->ChcmDu5 zMAvIi<`9JJHH&?Jt~HW-+A!BQxCCwfFiEz+enjdj83UHFI*yV@-roEtB!f?g#_P9L zxCa=VJfF-Y8{AlnUdP{r9!S|qzLG_>0CqbMmMr62LNe+sMy+6}xza#h-Fo}Jc;=pV zOe#5zm>`0}23W`QFLR_GorTHU+-V-4 z60Jl*QAb^_EvMcv;+lj>8%)G8ln?+Qo7;2xf5sI#T<;pXMSE?jK_=ZS4?*$7N@|2T zD-e#FN~I)1q>yz0cd)qr-FEHkiwBoH$ZiwLWTvR6R*IRH==6x?%ad)+{YUC8h@~D? z8bnoLWLp4idv1E3{{VYqr1?$!I62GAo*2uxzlQT{t@7N`oHWon%FY(%*9x08t=Di* zrZYJi6>KqcQuWM|WVE{Q?YvA=$}Wy`;5y8Q08 zY_6Y{JUAzkos`QJEV2N-2?->PzV`B>KZ`? zm?^cof$6ZoeAD=4`&)9#hF&#~Ez6;biZ*tr%k#uig5z=}5x2hfwlcfplKWVBIdhVx z%J((k*mAg`&*@@_C01~hH8j-{jWs31GkI;X8(a>>cfVNg3vlLSc>6ZX_;?C>B{>Z@5LITcUBuNDS&ng*M9r1u^|n}&OWoztpli}VIzSqY zu1FRjGWyrc>*lVOhB}!ixSR0_eOKJx#1Xyve%QB$=*r8QE%_SpE>oEB^fh%AQ&LMj zb+9Osja^XLU4@m&BcL|9>w)lbmTc81p`7U#4|@jh>G13G#I$EzFIAOQW&A;q)XFES z6Gqv6Lc`%%bOjob!5jYop7;w&{1YWwSu@I5`f7M0nYRA`?38WyY)rOPmS+46qT=lB zCkl{Crb2okx4`}Sb-`*%{NAV%)ZQk&?o)mJ!0vt?xY9wv^2`)*26_lSV)--=ef*YNydozuHEq}9Wal8x{cRvos*X*qlqrr(*7Ta0qd|QrW;jc z`F3Scv&+p+A9ewP8DXuC-TP9J-u}B{w4O#LSuGAYdYgwby5Uq_FBKGT9C!6Uck78| zE`LDrhlZ8q)(_=kH|&2Y+WY+R8pwDvFP+ObGWbcnGA^=KRU)15zN&RL#h1ez6_XWN zq^RXBPl>;QH!&xrVm(iZSslMjXJ=VbDdn4@*YoO>^oU4a8-itM!aSdUXX3-5?@+vASlFmTd-A#-?BjUJesTHW@r;X-| z!&s^Xlx}x>Z)^1gfJL{qJfEz3Zf;fyDEyv3)j0Fy+CJZMH`-}^jX6aQdCaaC%qlp8 zG0!QWnLpI?)}@{kOf2N)M5vm|>O^3G7BQ#GWf|?!{7E=Nn;Z%`ZIfh%f}cm?t5UY9 zM3WmbMu4jTif&s`y~VAMo*e%G;+_KKXD_%vFXiVA%adlcGA%_{5@)e%ReQ7^UpQSESTv`6;D8*X4^VP{+Z|>j8iRy9sm!IkK^xSz=IP#BHlvTC!_f_xY znDe`h&S#kB4^5oJkcwi2qaWr1NYIwK1ARMM_c!WEr1Qy|X(=OzIFEhP%rwQ)<%l;I zw|i_aZ(ARd94(jgPnWh0)fF`<6Pc@3B|ym%E~yn1$QUj5WA$&Q z{Tuz+#*QcOZF(Q1M}fwUDELIHlAI^Yn%*1WJo||_GcKvgsxz9{IL9!bgY(H|uc#Fh zn0z{@Da;cfSm8km$mBCH@P?@y136*BUd+5h!Q2~9#P#`pUz$^AHG_?_9w?f*sq3j? zrjAxfJP!pTk#&VscS58*vX)R4igE{xc*id1FD?0hnsS>pqR+CZ-zTR@^XjU2=Yi6# z)!v3WITwhJf0Iz=F-yRC-dB^ zOa#!+M2t07njjdaK=ZWnEs;S_4m0#5u42m~(47 z&hp&PnL27SN**@Jq#0OgD3nZp8&5mb7iX17M=0q|s=`1^ur3$&elSz!{N2tt#*>I3 z&A8^Zt753D%V2?Mpq{N7x~`_GhN7Nn0tsencw$h91FErPM7tLX9I-kO_O9WHxt8?# ze+lrFZ5>Aj)V@!|dG8cw$x1Wa+C@oR(?wfU&eAwjD+RUCqY}WTDVl$0?ri2&S>+8M z0#;PTkme&Q&gvp$FjG~Q@Y)ubkr`w=u?#{e*2E{97VisX`DYdmE9NdoLz{6e4PP1m z0H)-xH!P?#Dy*_7;X;+Q00`ESn8)G91W6H55Fp0>Rg>ksq4tpCsCed%9xlqO^2+XE zy350P&1BT{L1L|y<;>@aDOy$%$?77dg#&rc< zTv=jK%`XdA#Y>YzLS2+1%nKGew`nChY(=!$7Fn51#MHji{LJDWG-zVtN)8x}DRVk1 zLr!UBd2+fqs%bA#Q6wyBr8*~RO!kvq<8!4ngD~J+%iC+1Ue8&du%=PMbeu1fXVtj| zZIadJbos7X6&w;hE^k#Jtnj6RVu(R7surk@GGr&uoyp17^)#^HQbl)``)PYh=KR4N ze=Ipoc4-|-*1bpiRE?s;N|K2!fYJ)>q#gIh+)2aP_HV#7+ztZ6gzTc^}L8lZfl-Ia!)ZO-Y(8bdu2J*`-QB6m*fvI1f^FM=?+`X6mGse}KvS$wkBCHYUk~M;(!9^;3K=T7)9pocO2UiMR zM6`+ydL@=W#2A5Y`bENn^B=Y?4`-eVrs94a&Zd`zvU>HRs)nHcI)ajETT03Nq%~Ak zDG+XjuNf?3P)Rn$bkVE{a^QJxsyDs4zAT zP8Z_NXl5DaVNJsvT6lJkDvnyop^|qL^ik_b%9V;HE`RNy3m--31?(4?yus!l5oXlX z98=7iejrfZZhjx7oqy~Q z%lU$-2hd#q0AGeC981JG-xSxaetA}AonlCt^eTdgwozrUHXY97+?$ecHaMI%gArSi z->v&z!v$6{BtJ38Afk-PBxDc?e=z`cxhLUnrv#<;bLW&9g(6q-Q;cZ89BoSVoG(`w z;suF1HAFQVT={Rd{Wry#H#}*1OU_)UFwFRJin}ezGdQY$(o-&iF?2)WkjkNfM=2=W zjZu!Ld_<<_25rRLahztq>os(A97YzOICYr#m6Uq6Dm< z)WjQZ}9#R~O)6!p}ZEoF4GO2f$+@X?sQn^`)A zTL1t9A4z|Q2Pe4Cmpq2ArsJ+%RpgZ!#}rgn!$q9Z21Af8Jk&8Hk@DJ~lzc}@B38+vl1(Au4=NH(?h4r4TKBl=d=;eS&l#lOiEbFG3dC*T zmeq5y+W!DteYdCO&jHs&(B?b`kyL8@%Tts>;g7!Sx$BHSC^d?T7j5VBt-_|?W~UHaQj6{*f#Yb_ z(Q@=l(e*77mN+qkL8xwo||9jFVm(vODFd7_Ku)FC0=`$SBn#B z?41h#0RI3J8U1~I*sXn`xzojb^Wr*AB;zc%B#xqzh*RpyGS-Ahy4kMm?<2K~Y&Gc@ zHUN@NZzG8uaO{iNd0ynGtjpKYF{tp_kdA?MSlRJx0iA-Fz-9^Lw3+?5FE z$W`MYk}hxc!xjEiq1jr))ASua7|4<|@w-AA-N8}-(%VP}QS||b!-e984K3_40($7saMlyrMLFOPG{o>dWfGpaiepw=rYHJozao^*B z^%Uh~EGN_$*+4h_aC>8O zY)R@GRYxgKr%vr{wSRN>@dKH~B;>P1wta_H2c`OtUH1nD{OA?ri&s+4?CkL%b^wKN z0B+#*-1O`HEr4~@(<*>flp(kQw(HdXoqtWSCe8?@C;@Qci9hZJ-G{G!p16+JR3wx5 zKr)p#xfcS~+t;V*hQmz`hyyu>SB^s&MY-5_CfkAUzrVkJn2P4BC0JuB-Src8U`VjJ zJCAo?^NE&g6!iC!5*-s|WmDI={l3N`xxFLLV(^g6ZX6BB^d9?z>C+aVfg$<8St1kY zc=ZrBTin|B^!55;Ih|3)9C&^abI@qq9s1j+Tutj@1zI|Z)PcQ-*q^-#Brj;JIE5U}Q0b5(Fgjauy}SK>?+?u2CRRphgO0(xz-_kvxUx92 zE@qIK8bun&QEiQnPt&!!d@%*j;FgzMVO%Q<-@_!6eY;zzBYR_Oi{MO>>O*s=XJjUL z)pZirLD2lVf1idK4QT!lk;>b2`CNf+od?Wd&TkyjP>IosokR=UNZ4*YIuE7+JhBG5 zvnlQer@!BgTz5uxT{9AtP0g5)wrg%cAAK>^#a)e z6>y{TMoq=K+zfjQ?*f%b>LiO=2*9viy-pN`BoXTex0C=!^}+GOX%s54q1h};k`L1f zRb&#Q4-HFP^uJGc>5rXMWPP>80M(=p9W@JFTHAbm_;(ItQ1%TT-Rx{n-}J#LGAO{_ z`mJKC*!;#BNt3i}(f~m_*e>II46!P(WsgFOFw_gh+TAwB^35VBR)flWs`c;J4JjU2 z2!gG86JgXf`hNI*q-ZLJ-}sNez41bDeTuWj(h`oKvoX`BYwFc+&<}TPYaEX|I0oye z^=-lVbjPHmiY5-yk$V%;-*>`KH9ad66rOfA(t7pVt_H1@{n#|qIFHoQ<^@XW z)#lpg;lIrO*h)HyKkd|qy|>lde)u;~iyYPp=EB##^?z;f#-fUl`Bwh`l#aJI^uwX1 zgl6y!teyvQx~xF9lETFL@6+RsaL#Gq%rm;mrt-5@xK|bi+Ks*^9S5G#nBk~&Q=~-Z z=(p0l_c-VGN{MPDsFmCXZ9t-vpdI)1{{W9nZSbS=d7o32QW=_7B&(Gg+TLMpAbarW zP)8JCh}pbAHEP@t4#VBkr^5?GBiE%OBJID_u)wvMonuYG1Ye#>+T-7*@gHp zvN5vIIu(&&AR+!*ro;~8r^60Enc-Mdglk!f_cteFe!Kpd+GmBd$KkjkudPsxTYdb- z6@(~uoy!A#f|57A`gX)kw2G2$&r(37`NiJ$M%8W3#Cx$L;eI>gz8|A9aJF?aRZvWq zju?Tu6(EoZH|>8>^uTIKDVCxoXup=Nb_>vf)NRvY*WP_G+gz>U58(@#(9lJla+8WE zGkM`!dfe1!u8_Q>1TAIEii`T_^82h#GdTpN%8M@c<>NUyADr-S6KC1xYn^5kMw=&U z7^9$%FVs{tvri0a@`3_hGR>?YLIUbIsVKR>&1~N=iz4GrXJ^r6(v=MzUUO4DI6AHv z#9XUbi{9HE#ygLWy`BF6g&jW(&!1B9XA#iRQ#y)@ysJO_YecPkF^&;aARBB-*c$`X z3{!l@m8{O8ZK2hGU*I!6~xL-y)fyeM8Enj$KV-s^6LS1og!{Sny+27}fp+ zP0Ee=!^|&d{xr>a6Dj0{8`jUmS$UU?cz{#YQ)TDE3aB84c}P)U%_PMEmD(*N+d${3 zkV!O+8;83RtO51?I^xpJc}<>hUR?(+_>PLEku$0`%&4UB%u+0|D-}tUY}Q{kf=-hl zI~$xr>i7yuYA4OI-2VVBsilIA9%oF>5aEdfM}@4pA&48U_`8k~QTtYm=`NrWfJh>f zQuNj4+p#-*@ufW!FuNgxR-=D8BHs^>rWTQ}bp!=%m@pRgHyF!k@wA3MU~ClH=KGuc zu`VoAX429wut>eeg+*}V`x@~M`lxc*#k_{(+G3mB8m122A zTEIu<8jbt)!lexedoZ-H)H@J0Eyw_0d-lJ6_))SdO*&;o8d~0PdR&d~^xXG5VCOD4 zikpSAm&|JoLM*hi)5lRoZx)=$rFF(h7GMp!j;9x=0#{dLqb1@x&IjTO$g1dbXy~&V z;YuA?nt57y-|-N)mJw!@ylMo61Dz}|hNBluQ~nBXll%vlad$BC{E}`Ss?6efSjj^~ zx#~QN~)T_R$FkE{o&N%lt*lh+q))0_8kqm{ez+`r!IZjv9QHj*}iJec2&dN+0M9ohcbHXx`Kkgz@?(hl0=#!v7m6mOE?}fNfR0%hNX|pCumfTKPtR-+=8ZN@lXFKt%JMve{T_~wHK>A*E|O&? z1&PWk-AgKx6cVABC*=g%_*Scu{M(a5`@tilP}RWQE^KNGU^_hDN>B z#AR4nfzm-Wr@)M!iqqr5Y!d}s3tJ=+)FLAr$xxQGgd37iz*_$R(BZvBdaX+lP#Jak zP00suzrFB!yV>`ibTyQjMMo!irfS-@ktwJsayp8c*rMun@ZnZq%Db|V7z^BNac+At z@*5|n;VkDm=XWAFg1%&R+^8sh#Ji{WPqg>CJIYUv>RX|p`B`ZuL4-`zy+XO~u61SNjT#;T7_I%_g z;VAOnDE4*FvfS3LmbW;ksEaYIheb10FX3Jah0R_M3E_$vD61lq##DIA2aaG#sXC-`HL!9qVuM(x z7Sm1mZEC#h&h);13%IzdD5AJ}rL+G4YK|0>IFp4l3W#_} zh!KCZ_{%KHt9gG#!?Sy1RLrlPCQJfDWACHiRvSolIC zt@Ua%o*ky(^!B*Rv(62yrQ+7nyQ|jk!mIUM7dof&_uLp3@jYL@hq|EjGaZv zP{nR|@`{s;dE;4=^I4?f$g<87nX^e+syQoJsM$l!Su9kE6pv|_{?vBx<3&;moNC1{ zZi_1)P!||+$1|n&8;2px`I$4()JK=Y{*5meM()NZ4;*HYOk2pYD}^a#38;&xI3evP z%-?7{mSwqO*G80_QIPB?lf7qz=9e(yN;zuaZvh)kK&H#0lUA8D=(}BmU!Wmyf`*Z!h7oB8y{oyu?Hgan z?px=aXP8$@Q%RY_U6p28mk!e;?NVuwIaykqNb2E+sP&X$s#!@@BY$kJVe?xk;to~+ z0M@)EM+BK&G_hsWS;q%MOC3T@JvWL12{=ZZ6FfB8v~nsyym|ONtb&>O~<*5=2ZE5&z81%TlmViiW!6w z5@fEcV4>$DONBxj$`6|rWiLPY;+r*~hffYo4q0C&VM&w!0Mc1}G^sm8BGur*1Yl`Z zLIT)oP{b3ty9WA-lx|juRUZfQ^ObzXt>WzKC`qd-vpMK<`W)|x>1rCGStOQ0T;GTf z5Osx}Wss(#is((n?CM&ccyaFp$HlpaIC;emO~h|FkA=94+8;BLmaddBSB6OApk@^8 zrK7QsyCwG*3}`+j_J!t8F<}{Ib0rHZ*41X2oYYx#a7kNM(Z~$bXwsU3vx}mwz);$R zX{V-m1BzhIJ(u_vx}s~!l%Ir`NhF4d%7bEW(MQt#4k+?v+E$T!1ZfbwvzGjKM>_Eg z)qFjY=Z+<%Gw@DZ6VTRD%FX`(wVsByIG~m|?iEahn2*lP*LJMA-Nku_2yr(M@Q!s= zo@V@6S5FRKpK%WnOAs{FXi=l1qlOBJ)LOibYYLQBqN7Rn!#m>5e+NQYi1Z0muM%{`g=J$528<11J~&0ONj^zxBiH{;~@E z>aMPsN#Rsf%QSu}4N9en0N9axdR%tHMv0jN#^h=mcii6p0P~1dw^hGueQN?KZUMfV-izI93@;cE|N5}kG{hV<%%Jpb__-Ii7$U~-}UzlbutHh zAHdvC%pCd-6yThd>$54+M}n8}F++4!EXQ1|iY%kM3`%ovWUpw*BL=&cHA(@#g|DTM zTKCj+O2fBX?|d8fHl)+fe6TuR^tEc5^K>_S&+_Ac8-7qt>+Tp3({Y6*Ze3RmAWE=S zrh#E^2!e&B8xrq1SIT@plE2-HZ!oP=eES9%6;w-|9)2%Z-DOux`6n1KP2qdTq zY{J(!H}p3@Q0AnBQ^N47fFVMpA1M|C%0|chW8&vB_)CYXYq(1{5sb=A(}Ah0D=KSa z^GP|WsaF;xg|I~OivUgSa!xyc_%u~5H?zkU@ckpp927jjooQ*6j))QHXxyrfiVK%* ztS(9FNycoT_aY>Y!gq!h5&>cc_Vnw&(;U(5lkK_8uV)@ErQp6?a)&9;-h8B2)mG+Q zOtgkQQb`)a90oG;H;@~tH`Wv>Am5}sNST%*TTvSebjKV201_`@e`qgh?qp=#ZOP6N z$uo7L$Wt$#Jj;!;Y@SaTPb`R0b2|E88)-@M>H|*a%q*(0J_kHc?IrwLau%mCdlPUQ ziov2GPsUW0--MFn6{+wuG5B``SjRYpueG+mEj|{>KZzF*WO3GUZ!F-w;E@R8TW!96gzEc4Hk~CW{vm ztZ_)O>EBki7Wi+2ar<^9vhU%+#1z~;LYz}A44I&#i~UWq=8)5P;RlLgGAg-`RrKlr z+SdTz1G8C^@n=+n&VxwR+kd~F9%OmN278o6ndNm+)Y8orX?}dsw`sKEp0>PzweJZH?z@WRE?*R zinogvmbLG>`rqYmjt5ONfi%&|P?Nge`}%Lw51xT@09VBuZZ5ljpW7P8l{5bUEh$@4 z_496SKYxw{a&bdCdMTZB)ji4!vv1e_E%nE|8dgsYWf75JV_{+mC-?il3+0BQ59B!8 zR>kgYKD~X|S39GJO0``$)KnF9*r~s-`Rs8CQ4(Z7KBlJ;x;a~o)=q$rO}cf(dBsa4 zHJ{BRP(DpPwzqNd7ykf^T3PF5QbJTSKBpkC0Gs*~Z%?Kxt}LMJCl8`xtC@ z~I%M&oaN@JlvVgI1-Cs29A4aeq%;y#^!s zg7mLfVs?q6KP}I5oW(` zn{E30z2_1tfL`~J9=W|Z;81H4uMu-mH(b+|uY zm%|Z!(ll3TH4+cz(cf*4!>^}YSjO9+>d_j_RhgVBXeY5tUvGa-{{UP2@gL2|k^+xq zdkrIRF$9o*vBaY`rl)Ak@%g|u7uYTD*pKtRBl(J?>_I@D5#8B0J0D%`(;671LZqm# z_@&j9Q!|U{+flFsf2X_RF$2#ai}5ca#?}O#w!Z%Wx4c`gyB2>GQbI$fgmM93>mHkL zzv;E{0?y%o2+bSm7qKANmGt}c#4?}qIW3=ArVQxbRF1BDS9>}4Y2${j7Y%j1mHiv}?+w$d)lZFApkjx5!p zw2*d+lQFKOj%XgCKtKqE2^aZe*c+D1D^i0jt^WYWOqSc}k6=1TSGJF&5oFF$8O78y z3pYc!>G#_RoS({Khs~?1^zVsK%IA0hmM*r`MaKPb&V01RP#!=umAPSk`t6ULDD5PD ztEnEW${HxO$*3JYZ)@R`W%10V)t1MgBYaGAsw6bxS5Q=ot%ml%gGN<@06#9k_4r`q z635vQI^2P(pm34t5tF5qSlAt}f$SQY4Lr@OO6*Cu>)#W&GRVAbEG!rhfB9ejKU_yR zuO@m%@u|ZAq?ZfmNB6}^!TgHJh0qdUtrI+z2u1F;9X9XV_QN+?uMJ#ks8Gcrb=#mq6F45~p@zlKjwela2w5NRDjE4R3O zaNT5ChJtqt2tEEd*s+dA)WrHd`)!C~8c5n{wOJoYR#R_w7}PB2z@rsg*+J;Xx5o-j zJTs0o?EF+YW;Jius*H3C8(>gN3W)gj{|V^#X0Zt<-es z*W7VK(t|#_nOK8suw~lT`FdjM=G{d+JQ-f80ATQVVGsL@FarMoJ0F%Qm?*$e_;o8_ z50=LE?X|7H%NyJzlus$@Z|@#OPG%P>3XY~jzN_D_)Ah!UNfRq2I9D;)Gci6=FY)!p z!kyxc8S2Weu%%cF-v0o5UmM3WplMoTrLU*UVtV!8r|J7+UmCcWlWn~kr4E$wqza{n zMN@nJoxMFUgs>#hM>NxoF%IMohW?(n`r$=)@R}%DSuR80srRpc>4x&lA$>}0E*!A) z1Mt6o_SI2ezN58;wfT;2E35c|3O*kdNmo%zOH3jabErCGozCu7K)w<$!&&Vo z5z$i8W>8a9q{f>tW@uz8;_BK>%ASOG7wM0nJmdZ>d{4l91C;R=VZi(?E`69)FdQ>m zSk)6nPjY;A5ihDi#-vj{{T;yBjL=KBcWI+DIp3}yMe1f zyo_u~cWYZjY5nKqC_e`mk~N+CrG*9zSlPs{&jF~ z3Uc$9JZH;p9L(wJsVMj`OPW*C6nc7m!(FXqL{*S0##kr-H@xDW;fo_kBytYGkSrAT z>C><8i`ZL~Y{}()hLn6T)wMr{ie@b%4GNHwNhe{s+k8uM&u7f$YP(eD@GKCutEHz4 zQ6vRbi9m?sTX|Rw>`3cuG|8iS{Qi=bo|Wd2>JS7;3bcn%8g+IX_3hWTEoR8GT&}VT z{vgZf@~T-Xrk0vIZ2GpGR8*`ocsk^gQ~(_!%q-Ub0K^^5K{GW@ax3hQk-1M0)HHM) zb36emA&NH4vTq3;TygG$r72Pq>7#IEkEJ~ zGPz<+ouoTP0odHPY*`#TS(Me*<m8orp)22#1i(oJ?*A< z+{!PB`D?{|83>z*@@J$h3V3r3EUYbbYkpQ2+#3;#dx+z!&-jxfqda>KZTM9($vr)E zwJ}Bf+JDca8;>X@Lb37>D_mjDVam+gJI&5rQNsK|3~dc=X9XP|Z`o>ez2z=p#au~~QRZA<#PQPq0Mj+nej77Ndgh2FY*79g1Jlfkqy=(T zHzY=E5L|^zf$lqDr__%7URLu@n7mIxnAUM!R}kk}u5D3I8s*foMO zVgn5e7%WtqG2aj@rziHl%J_}o%d0t^L!QY%z9e+{hGPYD(#FM8Pz)yUm5Bg;RV3Qi z#n+kgZ-#gWiZV_$;HKhi#-_HqY6*YSvPwEn#WPaPq*BwpEj%)gnxqo4kVURtY%_(T zmxyw9;4GJxyvwGe%W~|tB!Z8G5^9C;YN}dia+5*eYmiMHyhmbuOIcS=EXR(bsIMu> zvWTzc*EMs0#&Hf|!#7mm;hf$_Y2%Iy&BA&(js~?g>lXBXwI?B!h;yTRh zh4Kz4i#ZvFW}IV?aUDHneMHntQnI#DmuZ4UPY8vOl1PmZrlMM1l4RU3lyS{XK4Zn) zVU#vY#ZYAYG9uy_^66{JWweR@jRH$kLo3++X~Zh^4A7G!DiG0rRdb7&yzS;*OT*k3 z#e;`)YnmLlEX*oo&jw>G&-kMzjLNzg;PAf!q{@d;W1QzV?#h zX$2NkYxtU*g-khId2A89^k^QM49y7gQNECh?8pN#EXMOG!Ul#2sie^RCa&S$7v$pLRs;exr^TF(=7%l)opat9=HE1C z;w~GiQN?yS5x~XSYuXaIH>Ul$6yhkwI3~&0E1#(TZU_(ZArb zT&qa_7&|#FjdN~P@|TJD z${tJPyvfKVqlTIpUp<<#f=s$b3n2=%i$_e;5gLQCqPD(CSyc70b~YPz7RReNmx(9JX>yvT%Vnjel_ZD7%IO)2Q*aE1J#IGLNg($J z2CBw%lP^9c-b!ck^GHL6i zHV4ylI)^XiMpMHy{J_hFEAac#)Ns5xC3;f5RF%4v(dKY`&h4F<^5TDY9y4q^ebPkyOZ$2N8!wZ2^F|>5HfSv*D)XpAFDb^D~57 zGNIx4p{%H?$YyH#85*tRN>q5}DKu7QE*3KBa#*eImSH5(9^10M;_T`8$B1O%-VfqV z6RfVTtFMnLc_}2Nf|8m@)gz}bG&FIlfUjmRC;My(wczww$FyY+8^_6vmx>^gwh3J+ zsA>3$kWzvaI}tm|X@=G}*VGZ$Y+4+7#(cb{=B`J?d95N%mQiOlb7wK|y4v)Y18`-N$EiVT3yG?57@=<9P09uWgrj(A{mtlMb- z(_y=HR!nL5Ey6T}xnr68PH{gu>3LDZ`KhbUBb<{@M^zO{$KjA&nxdRZ7*(~4T!3sk zn_(~dTZ*}%&v-Z!i@23ebDVKpS#D*RkR?=^sss-p3IJstfYJ?>e7$iX$n9w zRYED;s?`92kTZzQfb!~VdYfMbhZR2uO{1#c_@f1)N(I6pC2ML0=wRpJVRQ6pP< z7#Hw05-(+mPlxlPYr66RMlIJcKMUj|P} znegO~6?S1%VIr|&m%{RuI`(4TLM#Bi?$#VL?O(##HwJq`aW@U{^&-PZ7HQ%^0Rqu@ zsygQN~{OI*2x2t>n)L`zUeO1XC|Bd0E0$G}&sUEmRqw4N=RO)3Si1RcnmN;t~#~ zW9B``H$3yo9u~`U-cN9yHg``X^li+l^HBvYQGwl)DKagj9%#;}`ho}tVS5})VCBCW zMUZ5i`r&Ew*<-BAA*-e045CGR`!A<;Cq-l^1X-;*=~8UIHl3{2!(jx_w(G%sfA+BZ zKZ-b(PDXGkaHKS_a9&*qB=t5(MUTVX?#BcBP4FI5%YJup4+wCgl*{QW$KjysrCk;5 zJ;1XJb|)_Vtf`}pk0$3r(9%a#<~*~E@_EF$MSP2>Xm+_YlGqYbO@Y;Ny9{#w0Jf(O z=G30hdG2wTQBMsHXEak#RMo=DwJG4n3&!MG)DdffZP50|O(>w^t&P2n>FQ?ofyyB+n%q1bfhEqHuAOU7l z7qV^Dh9e%t^pVqhM&y1TV1yW|!RSZ-0DDQeByHQJuZf2U$4eKqokdaRM+9av)n`*d zEk0*Gc4J(Q8SNYs)Me-+U;#i9QiK}!jiS=(I)&R zWjqE&A|`YKDk`>h)^E5)*luhFE6lZ|lZm5f<#_7<02l;vODhAfSN?4jO|cffg*^?0 zv1@Z$j-r2QE;7sV?4qK&Q%JP~{W~wrrjir_J&2;LrLvIQnM{G-T?&3HHs@kZ&e-a2;QLtea91*6EIgb;MA}WouTH~N{rZ1QRo}O< z89vjpDP)pa!vZ{@62#bxUk;IwlFHX7sSZ!+fv8A8t5S?P1$dwf;SE zQTfqIPQ~(iw&DEcID;r@C@YkJwe(D4oqO#fS%=7un3jL*H#AOLHb03PK_>qIz712A@Z_^dTninA`}X~Q?Tu3{q;Y9b zvxDW4RDo-4^uZM^Pa!i?!hK{}HysA!2~|MU-}|a5L->b#^}k-9f8Wn!g)`9OlWN8> z#SAj4sYKEU5=FI-O~w{|2pEuz;eaf{*hZi{q&r<$z5f6x+;_g#2YfxDh)9}5YU*~e z7Ax<`~if;-Pbg}%T zTm$gygz6=wZBbOs6B4^Efcjs*_s3L8R>-D%NfZp?GN$78Sob9Q`s@ZP{xhSFaN;*3 z#9I(jzyf;_*nM}!g`P_iN){OiQ*Bo}+t&~-D5IF_k!L4P;1&F~?QY);5~?A^mB$d! zJP@)iLde7N?05ao*S;eZ)K4{i-Fz=G1RsxHyKVQ|6P)%(l68qwNKnD7mpA%+KJS1v zG(jaY)1Y0yn!9Q5az@tc)1bp($Vds8N8l7N)01(fZo{R&L-*@|6{Y_G5UqCrjYPWk zxwVhi5{ca$G;1H7Se68CE=e{%m;+emHgug!8vq%J{{El2!Pe|J_yE?@yzn0o1sA@P zZi8Wc`d{UUzHb_(L!vQdAnFV{AM5bMpE_1}Plmyb*5!8q0l4>e_g|&}W=F;Z$)ocE z91Z#(Q@>Bw7ND1a_0)>yDCndM*@o&@$_>3cZ}+wU=P4qlqY7Qu%oH0nyX<{O@A1T< zwuTusywXTmsQ{2Xm)_eBpRV0;AI{a3G%`AcH(M9_SYL1Pi#XVs5X|ACl07wLLogsU z_W*bM{+GlPi0PV@+L@VHxhw!5m~=MVZrHIi=;lV!$St@YTWL1;Vj0AcmXASCGlDLO zYZ1Blcij5pPmd~SMEnpO);F3$$O#O@zMa4}-+zs<5zNvgncddP{X9MXHs062Y)xxY zs5ll?Ng0C&Ue~?-{XQGp5pE=>gt|=&gaJ;&)6(9!+`!D-o$U*396&5u zQ*m%xdvxCS#W_`&9EDRc8$%}G>LmVRZH-9KT1DKGv0zWa{{VgPgn-8Tv@q*hl2Jf~H+_~1O18y9F@&Y2l)vAOCGt~IF98hA{qG!v+>>-z76ym8^Exf@)I zTKi)`P>#b?;DS$Ue6Z+&Dx+y5f<@U!{z1Jv-|yEAG{+(~fT4D?2CyRjm|bN_Ns)*G z{{WC}Vb_0NxXP?v7%;M`0cK_w0^s-i>5EW;(<)}!0_Q~Eh2Yi-%EjkVYZ7mAdwe_n zF;_!a#+)mypHQ$}fIlCH!*7l*4rK7XUlintNgYXy!8nn1bau6^`u>=$E4gRI21yn= zN75R_mewF`xBguReZDx?<4Q3{oabPcNm>U#2GGc!nFSYjm$Fp4=UZ3*E+--O#nge)Rzj*Q7@)8l_XgYh{y56!!BuJETqOhvSv7C!rf*Z28dJOjPs<%M}Q zMOzi^;^n+WmzbE`T&R***xUaArxA?z*q_=@m$`&B8RsqzSv^atMknHIz9(W$wCz=- z5=U|d_$$q?Y_4>OGVUJa*AelqK}kfWOvAATV7cPd@pjoaV9RG}p~3^N+^XLh=&UWI!YUsVYM%gLS>h+|tOhD(Zo51!v6u zS@9PtI2|}LwEERdYam=(09+_p3=5*~eN){$!L~22ifEz8v&4JqI7V%rgtiWc2TeCN7r~AW=FbYll|2s{`%C7PX;)L@<}@RR$%--D)B z#evY8XH_`|9LC?u|~mph82NcHCgk5%EP7&6a1p3(sB?sLUynv{u*g9TcU@&C#NXm=M4M z31-^LMTo<3sKpll08W^5nE5tk%q}s=n}YaDGt48)^1AY$>RCjxQyPYVtv-~kF0TF{ z2IOh5P0fv!<()oHRZ#21p2?g+TF~(OQz@_E+@)flFt80F5UBo6ux%QUO4#1_z@+0T zbIu2sg?Nje93@vAc}&!_l(cme)U>7KQm*pDC1LSNR$xgWki=fc3UZ%~_{+e|DJuB$ zkvujt9IS0$@rn>2>HJjaT2_^NAU@KmBe z#s_k!$Pjo@pD?w^AdF3``0Jg%9S;+>O~G}U!{$)c*5q6{Uo9?K8VY!nE|@9>TP3 z+s&!2&2xB;PNi?tQ3|2#JQ+BQKO2Yql|M(h=pGAelm1% z0;-T50=%jQr2|>Ap7$GKGVs01C~i*G%a-vyClO681eBS6X%$6QTRey-oOm;$+VUrm z7xP#XdvrZ)+`gMQ%xY*q3CoxH%KETR93{4D zadGsDMPbgI=QA}GId*r!xvdK2nU-xa%qyxKQONZbVU;P;N?7!QH@}MD=^Fvkpy1r= zhO$cOrO)z}tBIjxh9C!%ruH`Xfr&>LXZ!`4(C4%qE5cD_{tpw^%V@ZHp_&Aes&C(!QT$UQiHUrduPra(mb#!dv#+n^Df>)Al3ZbMY!!5Fl z+z)-aVD}Gjr50zGis@?E8^;l<0;DkOt9|Y8@A1TFRKit2a-#geHN#M4mD0yg zSq*36H4?7{LdLNm>c>(L00f@4A3O!LSdJtRUIzSCJI@wl;U5{|RSUByVl@l*{@*+@ zuHgEL3yOLCWiSa}SCxP#VQ*V+Z}WvGqfE*(Hw{2@iP&AQ z*P;3QuwD)sM5c2&_ zL);&B4`?-s4Ao4Ph-#-Hb_JtRu)X{J?}f7%(P~R&GCRPo!ap5CzMCGP5;pkZ6;Z5< zDFf2}xY=fAH*I!bEyCLW0N;NsOZNFiR48BRAl1XETMmG~n zxEqU|`W=V&#==P05K7z|Ue>>EyZYY>C`Cggl#f1;G%AgcbE@Ai$ER#MGF41e*HljV z5y*;eX=Iu8R2;3O;_A8z%(gmctEwu$2Sg^4VI;DeT8L!_Onz1x&=78>;DbDY;jE7= z_QS}yecvh$*YE~Y^x z0L!a$4kya8`k1WJnNlUpq=h6$0X#;DmQaWgGV3Ik_IseCt@i2R=Ha-gsq*v3FZc>9 zy0py=JOZLY9C5i+5-1iSm2{D~^4k`%rA0YQ@?C$$6NVP5i-01js-8qStyD5YO(+E; zD+zf5w%V+qUwZ@7d_{RMa}Q$w0BXw4OmV$vP9EYf2k3y~gI-99Vwe{>|f@+@_z1IBt$r z&iG_aRhKeGjjj!vXvMtODuI-#1Qi<_+ZI$=#W(D`6*%_S=LETW1z2ioNmP3y{{ZhT z)4ho6@9DY6ID2MhCa2nOi~j(Ys>ztdfD41P5ds14xIbT|<@_v>c!QhVT|H+JPnpkI z!^=}GRZob|DQcB0<=*9*_Ud=l^2I;x!Iw~FUe=Y658}Ez%0Fyjz%I;YEDewn2Ij6-G zc{L>;2+~th*H*|?+_Yr)Q6k;tZ915$D-~5NYyl#V_IJtioad3eF`U!n?NdjWb56Od z(PM%{-HZa|(_Fr{$>Oz8I&;BI5l1DJnD9 zf+btFT}L9uxpPSVA!*$bdpf*RI*mAfG^kZ~j!^Us zQN%n`8!;(0Hb$Q`465Kl~Ns! zHUsuMEIp$5e_XaQilx_mg{fsW9}=K^@zWFYXEV+?t~|1zlblXb>rEVa?0OD0Q^TxbJwyKOF8zE;lmQiBra;1CXY)C8d<#8Cd+Pyu*H`T8PzvE zsj8}Yt0bw+syK#hu<&&s2?|>3Drk$^t*a5dNp(i5>?8$jRSb?}aB&78JJD-=~ZOn{Ig%)F>6Sz{8M;?j5vQJ;T+e4c#5AdnAFxl(^W^8ks|1giYcsR^Ib`_cQzL`0A8$> z6xQ7q^#``+vwm|6%aL(@bD9)evgG^?oYdUkw}(bSHy9hke&0UNd~3|*j{81w_%FJ~!u>+?^aMv<9gOTu7U6SzcA8`!^Srq~;K2t%RRK-UU z04#|D$Rkm|K%id=S6c>ANs;~>;u;nl{*+k4xoJ-0YStb{Dy z9p9)?-+#WC^SLD3Jq{&C?^{)J$X9b`OWX~=ZrIYFGOdK%BL%&KD7x*r>Cj`*OEiwe zvS}oFO4zR6@9T}};bwE;SHSjLvDAl?R+;I{(J;23_l_h&(A2!&3`} z8x0F(VlUKNZrlF=&Lw#`th2CVtuZ`|8OhOq&9(Yl@9BvBM3Y7oPWJ*kl`p%E#joGv ziDwk7o--H`8^{ls*!I8Et|FC`^0XwS)E&j|VmjRX!TY`(k_JF|$rDRGbHcnMz&X`sf8LAbM>L2dE-{jhg1rY16E zEJFd|vbTR8WYjHjq+IpwxApbJOFU?yX&{WFs|$rAb>75$f&D%EZt>e` z8TnN*G{_bc1fQ8-P*H2Ox(=N&l~`IcBet6#$gBm2OCMkJjcO6g-APe>?mhjFY;7bh zDrnF^K4Ki$^tLo1BD930rI@wSRbQCj-;ZHPr2H~?PCz5odwp^2T#Rs1xqqmwpJyxA z`Jy3nYkpmc!ztZjlq*MjSgM`(!}()&omm_+TW#&Rw|~C)Wl>G4tOd5r2tBm>?T?m5 zDn1XnCHWm@wa`35G;%!@n_Jz1Pb6_l8Av-@$b{Hm;fJ-}G&)tZ6JRV4zQWiUTcNI~ zLV|75+hQd(5zv9XOp(t<@y#XA`$0A&{4pGck=m9^kIKf~ZEwE#Gd(*<_bxRB8iBpe z!~0>KOkxTqWee2#LyO^3mA#E|N+l`G_~gs;K$6^+V#?iYF&dJoXJ8&dakj^=d`fw% zJXINj_+YCp<5#93l9(f<;4##v-TLEbr4gZhXl0N>%z;ngl?lrt=~HA@ZTER1BUXr+MVWgSDV6svboa7Pl$K9>UYN|DC}Fu8NHC6 zdRHe2<+c1Ll8Sm*Dk*8>nP3-oVNlIxIza>!(_%Iq&iJQmK!`^&%_B9zI*s-tsK3h- z9%cwA@;cf|I(3egEbGFgpZz{6c`6kH1}z*!ps^PgCu^SggjH*hLr(J+AhNJ6)27`w z{{S|{e3tfF$AM6_HFjX=tTQ=M!)wZHPs^_M>+6MR^NQ+89g?+yJDGKzP23Un>Fb24 z^5ZlYilJ`CUre1a$394Kb18@lqDEw53^_K(w=+l+#jjgNW)Puj9PCCyODd ziSpyYEGeF{uCghAm6$aGh-l?|iBQaqDL`*FtF<}A{6Wk3IPC-1<$27BG-31loSTQG zlUR}=9=Ri7E|}BBEbJ}{qJ*{I7ccFxaK@tP5Z)~2{F%;IHwDkbF~sLMtgm{t0_gI* zuKQTFqF64iWND2TNU%7GEktalLUjTxbX@A#{+&)VuUPB2j(Vzjq;q*>5*WY|0jafJ zPfJ{#yWukGV(|&Rw_pzazqkD2r0ug$3Z?Bon5~+YRE}s_fNe-uZ!P}-Z-y1ibIEedt`wwo{6sZOd?hypG=b^T z=K|s}GKGp2V5-df6YKqOtdP^Eg(E_tj2n$-^KH2udi3~XnxU%;BsG=UHfsW9RCQ?@ z$pX5GUrH>RiO|PkYo5Okn{3X4l9wriDvva#mCV8BSmjn!Vz#g%`iEWq7!m&fwxp6X zEFL6s0p;Gr9{b@sny4qJWusEDi;xJthSvG}uxZ*E97zgIOAIv{A*@*z_SBz#xY{WL zlOZ8nVSDYi8nj^=`c&%{W8bH3v6Yd0s}w+++du@^e_!*0UP5@&La~&M^vR{&M&AHE zewb6lnn)Tor_xrFmcsJb5J)WF?!xquM^wvJ<)fB67@Jy)fB46H z+kKDsd=jfD=Y>RinRB9uXzL<(uq)$7W>H{mZ728n;?edz6<__doB7x{2vJHsYg;|E#mjl zZ9Pr)7*eRl9#~0=e>+Gvq<~*xw(4(ub+7Q-_9NyOBP#3pzs$sZ zsAjnk%d+kmnwUjd1Z^}@nd%i-tvR$vs}AlpH;5x;(s>v7gJiPhUf7&=YNdCMOwuW1 z(;dO_DPWMO_X5Xm-FxGuVbCze|b_*$RFM%RQF4zno;ph=1!UZXe7-RAsSd??nwK;7Ly$)1Ses zYgu%(;5fIGk+AE2ZSu>NyxHW}2W5Q2=BjXZbxoGiRdB}>!=A-MTTN0aqSVnek}FEK zE3E?pK?hQsFm^pe7Yk)mPhai($?jtCPF>F)ad1w5m2p=UQ)MQXBBDc6W;c<58D%Dw z2nj41?Q!L{_~!or;s-$kdsA_AlGPC`W`RsaP23tN4Z-x>b@V>A$6|6<*-~t~nE7`% zdB5#*nDb)4A%T;HlBKj#D%j9;Znyxl1D9VWJGRn}!0oiZ(&AOef>55`=10xrV>sPpBC2TL#zpq}_ z!b*zD#xPIU_?I#{&24`_Q1to1)5OC!l zT?5NKPYh6aF-Dr1-Sq`k_}C8E8wVx1i%jv~b@ls}Hx|z|W2ujM()4rfe+!(FC%xrD)%ZT_2 zYHl3KxZQL7wyLJ8h9t}~s%o(vWd%$FOGPXv%&Kj5dk}B`0Mc6U_B-~euH`=#<{U*O zCSR7U)B=(?vwH0dI5Mk}2t%X|7P%t&wz=pIIjq&@JVEVn94??3fIuev;gx?7Y|l8QX3H{; z9;lQ=HE80BRtu#r^|wqJp!Vp_qozqCbRrW2a>@u-RGAf;R$jYily(b{UV~45=g%73lIJ{Yr>w(zR5f< z&!^`OQNjGm;oQ2!jupyy_JcIaLue|b(pKe5C4oAuDutOr7_K1p^+Qi=%au8VPjbu_ zMbZEsrN;j2ZN4_9_VeJHi+`x_PH7O-05KTbuGY4%Q-#h+0Qnu3*=wZ(WjU^7^xRKV zn^V;0GD||$Sv*t?O9NP>9u!hYwo6zxqFbrouGy0KS%d;bM?MXRAlz<$$I}%=UfMjZ znSU4li~*95Qw)7RJUY+?aJAuCQv1&bCaHu(Pld?ooTB}jGRqLDo$ zyN#Qn3)gM%kK!bSnbjDe>Y~EO)ONNuqz&UpjVkF1Eq^*VS|Vdtzh7 zuUcnj*2+BEh_hbb()ZgD3fh-*siX%>lY833xw-gt_gvwSyB8z?%v~X^H69zOc=Z6n z`-`5xuU?oJRVoPeYtpwPU^d@=pjhAMz7AKwH8g7@+}ycQy@)2;AASB{U_NBu%J8q^ z2e4IRU_0N?b^R}k7~-W#f&;EtG&S6Lw+I0zzf1J$Fdn*`4TPcZZF%RH}oApwgu)C>p$}gEzR~`hvGj>JET+4aqvg;calJSKsV@a2qgXW z_~JKT8!UkowvYg|g@C@~Y%w9uVo9S^U|6iXd2AH!I{oi~6}DB?;Gq__r#1%tdVctR zG9;}LoXf*RWjiSws5S%q_1_S#B8dT(Ngr0Bc>Fzp7q#uu``-5c_?YHY=_S9Gwl^)f z*m`_7>+ZyFJ3vE2J8f$gu>#xrZ?^cmiS^i-rA2d`vqIh#GC%Uvi(m5tZof<7ADUB! zcV>_l1nU;=cO81|V~O5>NYJ#NQ=->rYjpJKFuUs;yX%b^97S+%KI{yHSD$=t_;yYXs)1V&v{JLRCVvXO$Q>b+gI^*UE zwvW0-O2L`5sIPZ&)?Ms&z{-{tGWiC{V*#yt$J**nS4N?6L5Op)M4gfBoV=> zE(sO{b-&;5h(|H_q3JU6QeZ5*0B!nVFR-k+;|R7^e8{X!(>?LLLNqFXm*{uEAq=d; z&0w|wk#c?(_~C5GM_p3Y4>=A^*{`>|`r!sjh-48dEE`})ex0$~XP3{DyxTm|v{LDq zup0Iw3ak)J+F~AIVpmU5?)&YHMCw5CBPIHf!>?R#49hHfqhPGSgR%1O_x0<7>WbTb zPf1MC#2o;+K4D@s`^~ieGf4c+BS;t`^kZ@lt-a*n#aWeY z;D*o_${Tj;-@WhK>DL0Y6m^vNO5PzI2KMdSuUuV*nkeRysw-}5G>PHT4M?Fbx-D_P zOZFRe_+q@4V-RQ%mu*)et!C|hpSJ%1rY8L7nFewwqKShVb@4Q==H~vFw@-d6<}9}i zsL9j|A1|T$lj+wR{55d1$?}!vW536iP{kVC`Il?k{zt=Zn9VERG%XP!f!&RO{+9hQ ztTYWYDKXG>b#Cmt_dh?oM}nk9*sG1zG)`))0J3<<0ePb&CwsN1>s zk?*no*oy(hha#?tg~p940VdY~b~o#8-#lii+G!M1HtW2DS+%dY{k{0HeU&&OzlpPn z^FzbA1zuTCH6&T3MsSpl)RgZiGMJLF{4&Nc_i~O=K_jmAPZDsqBCF~o;_eP^3#p)d zwxcM@XlBfOKgDLVRg#i<3NTcNgW?htC4e{6V@XgwN;j39N1AZw9_1P4SCO2~wwp4J zN=&_Ac|}Z@1yCy8n3J#o8=b6i(u0b5qr~~`M-lN)1?HSTnNmXuq^)&&X`?{Rp*%?> zj#*p~eCSy3zL0H*{bobKxnDB41XfUGJTsfimgW*?P;ky)1a7)Uh?XjN-hE-nkyYH6 zkX+bU92KR2@DKWCNt^LrT}e$(Q0&JsqESqR%#0b4pkt|&DbmVxkfUoHE^9|ceZ_6g znI?~iA!@41imHm7;#sO{>f|Nmk@dWVn7b0%nDr+6^f;~Fwse6R<+_u7QV?zL7wv2P zaV+Kqd*?hKlGVc3j!K%RMKF|Gh9#U0fKo`Xu<32Bh+Z#A zM$5R;XeB`m@TyM|hLTHJdK(k(_uCJmg1RZ$+Gy6mF_PzVew&WB{ct)O-vvJm@r^+( zsX+yO0Uv)HIh!?-R008^G=Xs~n2(pt`g^ffN!Zm!)iKsLg=LM`Q7aOkGaskJ9uvrG zFDXsr^DKw*_1N3{_4LNDSG_YV>b5aob+zy7d^V_%nvWTiNnjXhJx%@N-GQwI)dze= zXnz-6N09&u7UTkY0dZ@7n8Ri?R&fiM*pfB6lF#M+H~L^esFPWWqHx+x{LQf2-`Dim zW70F3jFGnBTS*%Oe|OX8h^h@iG&*XDWMdp`04?V8d59f-KK?kJ`!PWkf3z0|B(a7> z;z~(LX##_yRdw9@6$j;Qv0tf~;=9RlW51`b^~H_sqmj~a2edB>03kxN%s9`I)%mq%UoQ&q%{>gIycucgrFp{YnT_L)kxRP8)`Qb$iLFD#|}Ld@Z>AOS85HJHiC?5iAau|wuuwc}nBr>4#`{uHRosp=pG z8LA+W3o9sP7dGk*_Z#2kfe#T-5nQgJy|x#{qt5OkO6V#mqvDCH5-QP6O-(ls#X~(R zM!H0E#+1=$Wd$@X!O-{8EsC{J!dY1ZxFE3yVh?}c>4fC9EgHOhb}1ZX6fssWs>fN| z51N{pVI@O{NY_)A@)CP{u)!pW>59u>7@Y@yDE9&|Mv{_Mfe>aa%+Z1r^#p)z*W>fR zB+K!}sNFKunHA$%h|+ZvZ%&_Ee)!k$p@K1)lo;+1fDgN&>A&fW$wO4^i9KN=HRxFE zdx7`c7+MOlL~_r#TOzq65!8S{`?evi2B6PLmuS+@F@0(_3%Doe(%$b(d9u+=$5eo@ zj5Uh&xwXDS9;aN%7DP#WK!Z~~m-6l2*Xn+F#L`wlEu>q>*1r1{>-~FTQ)@#OF?Pz` z$x~Jgfo|u1g!jMF_|m2or>X0G*2Rb&y6i|J@2)pB)hxm`j7W5Xd_~`Hf7c0`mZ+Q> zh9HX|DlK3KOJDWF7m{2Z83aQwi0*}1dUf9SxcB@$aW>?CE~&Yn#Ycpyc&4&~c`0O) ziVU}f>vMXl$(KnnB|RP9!8}K4EWt#5H@Jn;U&c`D5CrmImZX)kR=d4LQyBNh*_uMp(be%IW;S}Ec2TZv#u z{i+;Yc^}En zePy({UpTpUmhl8MZtp^K8K(_Q%m8B>M9Rr<1xoq+}lS?n+;9*HoskOT*hcU}zbYiS^sV~L<0K^uj zU$&ng$2B2w__`rH3;D|owvhOV?;U;n?Q9I)B2;M4C^_xNT(tH`<_9dOI4O9CB+iE!Q905vw{#|kR6=QDhN4L-s4C)y2!V=7*=39X$mdxbV%g^eJip-XCFBP*`LRz0M*-zI zB$UZtH5qCeOu~9PQ${?GIx zE+ojSp|7B(sNy`^#gU<4>mn^pM2R}7P+3&501Zk3$36c55?KEL3+;Er3Q(WKQf16a z>_8N8FK)Y!)Z?PK*P69H(U~VA`OlQ_ER_6Vn)6V>Pg#{iB*q-NmZGLQqRmD176!W=y%MIAChN19EPBUV7D`j9N$e58^AxVb*379M5oZ@@X^F;?-< zvbIH;X9*BmoXQR`l8QHwfF0te{0zbkcDpE$f_vhnsf)pZC3uP?$gK;=EUc#1AfCOg z^22!{rb%9*f}Jc2tC3-Uj>~`Rf{px{`K8&GPcZ$W_+yOtE?yAGxe-f~G`dvP)NvA4 z7}r=Ni2ncy8DnLzZD0a!LA8x>o90}=~_4iaw9?d9aP=KUT*{z3 zOz|TzByLT~x$JTC=AMUv=j9AllX0d=Rh&FD;b|v=g{vd9fOu=BAyNwJ0JAw7RG&bL}+yW=#@rCp>|Qq~CUZGS=a#`85qGI&h_JbFd$ zcI&H4QGdS}1%ZYXw&Pr_$rMagOT3A3RQxtHgn?xhuWLy7<*znC{lFANg3? z-v0o<*8-?>I=IP7+HwgyIuZrDb?v|Jg&vV*BUI$~pR*n_OwFfj))QqQcBXH;Wh zzWx5YU^Z(ss}X4F)k(gU>7?85-GYrnQ!@BzA-KKVN=EkY{jff&o#R6!(1&H)LiO9> ziC#f%Q3c{CQfQyN0P?+fKr_W{ZGdD8&yZ7vrcseK4%*n z6Ylrm%!-jq{MTKq!+o!R@892nwaZT|g^UG*+%Qdm{XIR{VwS)onV@**VFMj94R&Sd z-G8meZ|Vmz%i?wcB4#>9(J8Pe+&g~P#G<}9mS%ORa!XiT3oZHpd;Rw5lMS^ zcDN+;=x^VC{^%HSo=FRW5y6^g8KbgZ(EKIXTNzzwJx0sEu zz4pYDG>9pYl^


f41D>U$mW8O`UVh!=27tm5E4#c$Tf=l%MeS%O42WP32Dh$gf{ zbq40+&FVMw_4n_Hw-7`fWR^I`oa|Uwk+8Sxi9UWx#3K?e<8leP8*RVq{V^WR6+-H} zqbzJ)bc^3{ZMzM}U-N1SHDaE~7ZAd+e<|W5SOUy?3trzH{vQlOb9hw7;hok(f0S0h zAF1`*?%1616G=5ZjIK2)x}oX`_a41{*obCPx`wHlh%5jrpjiBl-}8-LEUc-NhUd|d z8If78&21q_xg)0k0J!dZU^=IW-V`ivefxwGgET16?NX%?S<&LfFL@^33FxM;NmGZa0F3xAX#}aX5 zm0IFSiv~M|B=!Aq7?;M8i?BO)^%$umH$NfELhi*&Go2vYP}P0C-vN9mvoKJ_0cRzy zZg1D`Z`TdcR;Dd3&2fJzUC+2~djuDD!5-XBw3w zX8-_qHr4PR{A{zbmRXP@9fMd4kAKsyH7NA*X?V!DUAqqA{{VYq6UiKMxAT;(w=8Z? zL$U4KY+S<lb!r5Mzx@>Rs;*n z8iVj2Z(jcZ=Mk=YxRZ?Jjdu$Q9V)lj-0TOu_x0(BiIBw~gjgX7U^KO^MX%RmjqX1d zGlFdM+@3^~+Ce^JXKBUx(^t_(=%zIQNu*n^#BJ8!Ph3TgUs%Magh>STHodRD3JJmw z2*z~_YaK$*KzQ)^ceTLlz`+RY!jtUBqk2{pC8~&A;EM*G$9Ny*j z1HHOjVM7H=EJR48fOOlSHpAlfVzEP^Z>X0t#-wV$%l7SycaR)_PIhJzO`P#9 zB^@mrl4)ulWqOBD3dCMG+{TPgnZPUuMY#lCxo5Hm9JWr+H#m8HK~~RdT&FGI1fG=; zTSEA=()f%>?!k@!0NmHwrcBBVk8ki)-pkoH1=a8!Sk5Ei*=i-vAza3zJ*A|m8GQ=H zc5sz)MvGLs<{uIJ=b-nP$_k{*!}ioq!FMfz#B1@9J>ZoLrpZ-b|yX8fE+e z#1c?b3cTYf908Zo$qg$2q(@N%LBoJU0s=QfVPY}S<>O67y_4*=v@zl8P8i{iY-D+d z5sn?;tj-=7u9t}@viOpoHEAR%1X>`Fcv7@QT#$S~jlV!~80TLb=iEJ8L&LdtZC;tZmLFRG3@dZ{$&-Aj3u zuh7`~U*s`)}9E*lG1L`kuW#F|~KIPd~Dhj#}OZSj9wl zjbzQK0FiD^rPVOK{YKkhJ+@fvzDR?qi~u7$jX_Dc7x(uaewg0n5HW#Nvs{2KLG
x+5Z5Y3rJ*s7pBt0Mz+kUuc?KG-YMSwy8E%DT#4t1s+yU3eP1lFMy5~0$KnMI zeK*j@s2c)4SmlX)j8aVi5!FVk3p*W3PS?M`?`$fG2vW+is$5-I5!1fb`}pA9Hzj%C zieg?+aVx*(WrriFkbr&&cG~@Sx5FA!a-*EWPYHQF#TV+t@ao*HO4^@Hz>@Obs`gO&J$WBx9UnJsO$2!kBZNwRBQ}DKHG*wl3O-(Fe zzX>8DnO-mymUXcw*4UWicWf6l)gspC*97Ew4XHKq_ZikZ{JNRE+y6=w?BVzVgo z8!YDMSXSKPP3=?4ZgXTU+d6hJD`DY&-?=^hETIytAwZ0yVlTJf_3e#ktD32m<$D3;L2GS)+wZ;` z{t?uI82Nf%(+Wonjb#kV18r+<_w&as>|(@hh~i-|YYjeh4YmE)RKut!a0xwen4)DL zhF~?ai;Y&f#_FhJ(_*&iVZPnII5_wx+Egt9FpR#n4t5smKDd!`ivTmG-aY{EZL_c#ahzpH1wI>Onr17r&v!h(EZ)%ZbI8-|>IQCC>PVl$(Z;%FL% zC?Zy>*Bpi=s4l=#k{MIYt$eBhIO;#*k(b4oac3sj)MzpBJwZ_*Iz{K9zOAeUhWqrs z#@H{-ys$<5RXKH8Q#4T*;99uiSrzXwBQ!Qrpsm1SY{Y(3Y+Y2*3NNW$e+-<{oE$0b zF~&a6eAeTP;=lfv;i;fpwp{jlx(Zi@s+u)1MsX z{Ey3@KZsLO{{Z&r@r6x3Y}Ib{bdnJ9vl>?vP=bfV)2`p`E48$NsEx*NR11N0djj#- zGWo6S@1O8KOUvFg&$7I}dd#+_hlS|4bWtKz#3h@?IhA!SLpgb6br9K8#IY~3t>wkM zb~$Oto(SPuk7wR3=5|k;e6|mj~sUu4%=&4qHV9Heg;T^u?CNG8J^Lr||Bo%t4W^sLQ>@>|)eK^w`gUy{tG7 zgtLsFlRQ7{W6h2Xg0nJ?j)s{zZ%dcu)a^Xrc&rws3dOCpI|#|xk`6g9_@Kmc?`tf^ zS)>|f$g=qc=Wpe*+tY17t~(cjxu^U}D>$-?DCS?Xmj+Vg)m5-1c6F5J)XFJfF1{qb z8)mY}AqZIMSnqH)Ju%3i#m*+9_N^o@mH1kPk(l{d2b^jLzfJ!D`fwS3F~>jfUmbA|160)KJU>ZF(@g-2 z@f8usO*<@#>nvtBT@ookRPF~`bhV?)D7fQ{c}dJ)X<9xao$GiuyD6&TY`w&iQ)eqt zQemd3t*EHY;+dHwl3^S$K`17|$`}Q&*+)ZX4*Nm}p820J_~#_!T0RPvnl2L8Q&7?7 zZ!6UJfnfsi!m=qQ!r-~wp4P#to@M4UajsKNcvC*6&NAgZTL9rK6z|}mH;-Kb7BK2AcVPl z%I;_7QF8-6%eZ2#s(1}&P9&hF%PVE7plD|zSr|u4Bv8j2M<8=Fky_1dT1dg_^yB*e zO6A;L#r#8?rwZ}kfsj+?KlH>w2;7|L~hnHGiZ&>gUUdb<3RgUC?6TC zYh`%i07~RK#)_(~s^kE_m#MP$!k!Aos!FPh=>@xbe*XZbCK-paKQcL0&6<8o*Hgoo z=b4l+)#UP0y1`9fO)w@oV3MQ54y+ZlmA0ac2CEB!uHo$8EzWAPT*ihWK3jnv7TQ5O1S3IONl{@z3tcP2iLAXyL&CyAoh0P=cz?t zcy^gwhdYrN8;*yk*B>TQuO!LpJRHL`qm7a5(M?dQ>N}IDhVA!keOdNguS)*_X6_T6 z*$;`8DN?dreq+p@6+$-a5RuZs)}%0G-a!r^zZ zzx2SYM!M`_K?nk&_n+UIKgCOX2b@etFYg<)J!}+R70G3iZi|>J6Ng_Gr z3baMmGNH&CZ`Z%mt{{9)kK#7AP^V%*0N(uv{QBa3#Pw6u%wUB=S!`~-J#D@#z95;I zOifq(^fZJ=q~`}XbE0_)l|c7>6F0`>seM}O_} z7$YQ;NDBripxlB@{{X=2fmMcAS1vV)Xzk(lHA$9QjMsttEJl}(o5-v6xO^Ck2 z{=Y0uvkEgK>6TqC0M&lOf3IJ=;1*#-6dtUds-=N<8z8HaL)F<%{ z`nG2}L2G=jdfwj+?Y7v^GPn`J%_5^;rU+m&9Hi(!10C9CLe1x8Z zx8K7POwtI9Z6e8VmNq2VACG_65X!jXjHD2JFBa6VTheus&`h)^)VZqi__S z{{SpUCgL~JDw0KDSP(5>0qtY^A4~!kB z<{2bnwCEPaZo=07xN!uLGL|udfPmLq`M&qW>%k*G5W@P(fxh5>Prle+TFk2`Mk7s_ zD{Y1R2&d5`iDG(cYv~x#s2LhZ40a<*GZAjRyI`Vmu~?L7M^@AYz#Z@V{{U=l<4h#A z!i6_udjfiT+w5aV=9WmcN*_@hNI~D8<+DDw_|F=H!-spf<|Ro1Z)|JAc1l^o`l-N)8mNHGgK3yfhyM*8@F5b z{{H~33~`N8RdKFuGTPEt%F&dtEVnkf?X`!?3=Mqp(j^Rw5_l|3M@ohyliy?M*8R7~ zrsBo)JkKHCMmJ>epGwR8#!o(_+_%T5z7?dF^LTT`BKb9I7qWnQ5%<&Qj7K98saX`2 z7SKh*Y#ZyAYc zi^d3R1@jJ@?rcfl?``p;Nl`1uErTg?Ry`KA?Z3X**|e;Bk}z1Q1TBKv=jr}%GSVeP zF~EF7p2J-HH1nqvK^~9?z_O9lKE##=l>ZhomGoz_! z^wn0%@TBP04q?@$*jVm*5!ZdC9?v`r$qMYAT+^5wZ&i|JGogViX)|hA9u;;TNZMH3 zM$EPahnsQ%2d$MCvS+ddc2zD@lyQp7{{XgaRYx|amZew=_@$Jn1QDqDLhY~^%grgNDoyQfg5iyZ!uA_uSmOwc%D^i%+`8Mnw(H*i0H>}r z?$1BWwIidlNlzDKu+6}EPFc;w9g|`xssAH+RCabD#%J*z`kAZe;V-R7G1+t9K*@-%GwSxn>NjI$?M9MfJGX#^EytcG?(M>W_m5s_sdlng%NPHOP2B^%{%=M(0YUxTNGb4;g?GSsI= z33*)>O32Q#Y`Uy$brJbc;9okj-Zja2XT){YoOhR2rV=JxVJ0)U@=C zC8DTN5ONU+2$B=#B&=iE_l;>dH-d~*{M4i2#+!>M=dKYwa#mH+K&6^8XyA~T*f{}Q zg}9L00Zl!XrJL@mENXn?gemeGtmc;^{3RSU)XPhhLBu&_U3jKbd0D0WOn{QCMcv6} zP)+x2Z$-uVW_OlI`G!eXLzcA6GfIvm&T{soj)fw2Sfh?Qf`FH>Eug!xTa#g?qUC)` zaqqP49&1ZSmPqw{NmE|2RVj{y0piqa^D7iVZ9`I$EH!U}zR{Gt#mch26HaBx9GRQL zT_r_K)dp#1ABbHJA41+66XBKA2`|6E38t?(kw};YeoPIs_kQrX7US^d2U>5C&=W>bEK!r8!)G(;;L%s zbyZgAl@@jb$El70+TB9Ju1HmNQ0CL*4;=9~{RNjzSHpR|Tyz7I-LG!wXSI8 zRYQ|RVH7%seExcI zP#QNv;R>_}-fLgF{8Ufqwo06i-=ULvbaC244Y2H{3s0q@*s!l#L<90^}9p*A}N z%i;s{TsN04qFB~dsksq`vHZmRKc*MUvbdzDVI^AraivdieaCzfr4fr3%)^{4%2~3S zNgmp@G;BZp76sgfi9#VqR*Sleb|lkIw^ThMo$;61K5>DeHez`eA5Q z6~?s=0k9_f@BI!NYJ+&RZ6ZcwAxYhh#lODzeN9-oeIBZ;wdYn~NHP^$0qef|`fr3{ zqf*z=xvlYQUPMIfdh|GL?AOKgnNI~(We{iC&OuF4#rbUUHxKYeVVFx-R}#v}PV&K-Q>aG_s$wTw zYb9;@f!}2snymi-+tY@#Y}u;mvsw7gs-}0BE;O$pWu8XY2$oL>l5Atv`fp;)1@Uhi zOTmwy4YRX9h%d8`81wU&xkhcvI0V_}4OdN36#U5FG z&C8$SE;HJnYHZ&m<1?FZKZ8p}S((tvYt|hst@wILQ2r*J7D8lYLm3jYUD;f(MSm1t zdh;uk{2{{}Ex`O^UBl0uRMu2xIfhkVB{flw1&9PmlFW)s#hT2g$fD$G80W4Y_Pzcf zT$ajc_>+q>4la)&IwYs4<_8E%B`s39)Bvigi6)RRJ87I79jq~G%AVOR{uY_18uK68 zi*s9@4rq;dmk(m&b`n|0jxN3oZ-%}=!=W?VPL95W7Go8(k!QBd@?#h|H< zM+>SboEMA+7GTccT|C5_4j}#~nO0D~+5ANwT}>)YSSSqdBi##r4x9o!g4P3jGmBfH zxWu-q&REo?M2pz4a>71Yadub7yi(M{zOkW`hO4>y{3}P8L-uIK&<{{8ULYvRwhY zhSUfbvBy6932|RGvff4WJ0;;B56JUs8Cq&qtIjyOqL7DNy_m-pG;~uW#Z!(cdW|B zc~e5w6wOT0A_sz4ok*1H)*pKjY*+kQQEaMY(yAIQC`Q*KVbkOL;V2`U{SPB{7As7) zz3sXEdUf02Y;jgjnX$*g-X;6OTvP0|N5Oo^PCoW#%rhL{J<3`RTFFDi`I1xcg;cW4 zOrU-+HCn`y#i43EZDyH#O?ux`UM0`{mmk@;hd4)pxktr0A2lfWmZq~l=12OZ&lOz( zN{<4bIx|<`BP+{JT0n^QrctWw`l{KQGJr0tfGo}1sK569n8f0yL4OsL0s@QP{_}pg z9AwdV z<@`;^jN_5nFE}bas(Hm#&)yf#=xBISe8V#}nMPNXK;}r>T(oAUF%W50VTyK9BWkvn zD~ofJQhO(5-qF0N;tovl?s>(0al|s_8J9Eoqd%vpq$4z|r;((BG?GS1Lrl^zlqxlZ zV3%iNILdtTsiZMeRY=LPI_A_t+rR09{E^}wDam>5z|~WueiU(zR;b}vmQTh*s9xRH z{rBJG*j?;LBNSmBA4s@A+K-+Y?>SSJ&}8V@btZo`7JV#vHe!!Xtt{+I~5~x-Li^{owW~a=fs$UQeAk35(Yn%QqUcV21Dy*xO-q5*sw0$;moK4MnXh#+r z%Ck|-cwdEADmP;qP=@i9?PL*Hs9~$}?k)abM6y$7F({Q4c#ga+_vb2IJpvzgz>qkgXvI zsa;>gYN*>^<<)W5*QoE)3Do40LsA|I0upbb8%g(@9^VWE3T`X2izUKKK}s2*b-%l z^+c@ea2=Za+ok%RxYmv*o@)!Q{{Ub3z`OW3YZWG7B2=Gk3wxI7{rll6${A%}5;~FM zfwGS_ud#d_^A@ewc&tHeU;kjl;3KN4dE^pAGN*F=yv-F(jE6Q(sli-%t5J-?( z=X?BrObDuxkwrjFV%7j}-`BUNTrnYSfU7d^#7U-kPeMT>$_Uus)LdXrZiG9maSd;` znGKI%cI);#VC2tC@iP!m1+xY{MS-{Vz`3a-Mq>p)7aJ|@Z%&enZJk% ztSujqf*5WMwz#)luk`soE`tE(B4I1QqjJ4el1ET4@F3dWoiGzNiDi@dn87o?EEv>J@_%(G-FEW7xZBN@*Q?Q^fT(k*Yxvi%|kPJhjK0rf$x8T!^%kGcOg`gH~hA++thq<^K6q&C%TlS;h2HY zj}SWkTUf76@ZuApL2yGW6|$S^9gaQtMl*gPSx6+1F~p8cR492Y#FP!{be82En<5NgTzXLf0D>PRDM8;qty3 z)zlvW-KH^+Jj~V>u)Vj~4~`1WRYfQa%ubL=aeEQ;2iNa>N4U#fK1o|Vb_w`tA654% z6rPx~iZ8M$=5&s3&8pS2y2_E|GE_>}BIJV2Yy6MvgjPGEvdZ>7NnkEL0RG!!1wd_K zRFzT^_5|WUFtzaK^^u~<(imFCl%Vv--QGM;|d*MidLL4ew5KhCluhSN+NUSduP?u%W zWU^?tmx<8o{Nv;|18{a7dCW<>$z@~*W|acA-)nm)xjmmA3? zA1mtMsDho=mMU6U>8d1l8)<*UlW$V28ppV}Ci%g}c?TYIUz9u(!}PgS{7I8$T?Mt zk45H@*_`PB1l*l~7QMFYW*MN7NLeD>i*77OVh8rbQ;I7i&A5{>%?&nzS(wj0mRl<8 zk(dva?TLT0jCmDTHgd{tBj76DD5TRqoTD$vj$=F&ywJ%q)~3koc$+S!rlqK#{)v{MjXe-_C2~iWQ#^>I%w90ChmgdjpGju$)RJ_JPLOcJ@N{PmW%W6v5m4ql z!QgsI3K(gtYcndGvY;feGt|vS7NT19GFX?BZwH0^*=yjjGHRM?zG7sYDLr=$jwh?(=x8e?gCNXmCQ~gKhB$*qRH*VS z3`@U<6lxX8W8r`Y8ZIixr9L)o!+EVWA1!NR$nyN2YJ{SuqIp`HSt0_7twl%l>#Tz4YG_JHQb zMVxZVzk)JuBgrbM>g#BYK3PSZO;-&Ja06pVpXsp203}xB%*2A*65Gjrhv~DrZUD@) z3V5j&6wTe*rVks(j_|~~-$SpG%m6xtl+1MtSn*uEImOiZY`MwEvjLaWMjBd$r<1~u z&82k^EG|ZodtUbIhEP;XEBTjZVjTwIg}ukG_QJb1>?OqQBc(Yhm$wpoN7Bt-ljT|3 zROa>76`J8uMCw*%d4e=@2aN&o7CjGrC_LA`4SP^YPgk07#dRGeJzWh(M1KvM{s>Y} zR;x3uo)x2|Sfh@`P-r&=jm|mKhbcJvj;0*vBdn^Vsfo4H)VP%q^6Z>*hX{dmimWDSUhmL1(RT+w)kqHEVm@QYq`He1VE^Ge)K*JVaHfx+z=d#oD(u%1g zsg{sAz=moTSV@AVj@p{^CG z?&z+MO;quf0x$s*Hu|;}#C2*pe$wd~=67&^FZv`WYySZLR`@OL14)@n#Mx9eTr~M! zUq{2#(PaoYmMq#Ssg>o^G*d$qQ59kwX+#3(PWQed@iiN=O0>aPUtXmHpxgHJwlnf1 zrK4LDeM0P<9RC2#i#^PN1QG^O7NPO~0NkJJ(;C4|!&5exxSDlqkQ$$YdJ4Sa zuZl7Z=ASO5Nh+wgV~VxZ%ItxTjahL4P~1MN5nx5Hqz_-gW)f>z5C#mHv(qjo*cyZKZbCg1w&2Y zr24<{X#$4p+t(7VczY{n9FfZZ03F0UK$BGp$f@RzDrfKjcsx+aD`_qk#Yrl=f=1nD zHC!>qIVTgYZ#NI|{0*5`P%N2^XDI4pr$ZGxX^J~n5?#%XkdZa)btnerlcL8|v{cnR zF_|SwWx`4b=hUdHCT9eGZGhak1Akk7xFt!z8T|FH!-^?ciMJy-cRJ2JpmJ=NE8tAuizcJWDrSQ&;$8v#Lrz1n5}HSrt!7m$ zG`m~^0kC1HOZ}QTkIjAr_Qm4#;SLj~lC7qzw+)^ZSAw2sYHg`#BSeiE0018hGLD35 zZ9wNt31V#!jzseZgZLXYuI29#^LLoM2s0Uxq|JC^KdPi^DPmx);?vVT6|g?*K?DDz+9 z2f~$)MNLmUykB1L6&xZs5VbvF(Haer7W!32)=)cQ)*OoH%FoB9TVKV;h$`!N`<4$; z3}Om;tl}daPD4nmQ9`U5*6IMYtzt>XZsu90W0aTtE?Uz-UD8$(TVc^k5x64%0JXQr z7JnHI5|1rjUvX4bQWP1!Wd?vZR**q1&C>q>$vYmlzif5)EP1=j4tHeK+(FAuHp=su z>S7a1PeolD&jzIgiD3=mL`C-w0Ua@=2D+JZ#_vZW`yA!jF9?4Ueq?Y*55j3EDd_X1 zN+lr`5atxJs;Vdo5knB7$4D#Fd{X}a#E3ze`)2WEHBBH`pvy#J_9cJQ;PavN1&zmj z$81}k&YAv8n|~C3W#(KvEOQ6TxQaEbr()hNi7AoPSm_{0mbmVxd{ckKTQ97O+e?Wj zG?REN*=C6(1w)VYx%<5=~ zrjenV%LCK~mYf?0@nT&}!q#mf-66_PXD(a&Mf)Ujw}-i{!V~9|nP&>+wUnZpF{-A~ zAfZ_NP}I^R8>r#w5bUh95!aCG>RUWEc0YPR~ zH&z`s=ttKWrJ5Q%`ky(al*!;%MKLUl8ML@>k=v)g4o;DopJ&lb^PE1=xgWJn9|9*7 z(&YRrRh!er8gai03JP4tHY<2C+W1~kRXeFRjr=CmK-1RN&jD1JGhQd55fHI)B|~1; zFR5c~>}-EGUZ)gy8CLSkhW)Hy;o5n561l|LwJhN?u2xRjyamb>Q<}tlB&cuA8Ige+ zkZC>ctb(pe9x&pRjkNy&_j~}>Kq5B$BR< znPZZF5fx3Ba!EeoI(#tr)Wugpr4-7YR2Be%@4rKV0;9z$;+xEEWn!&sU$N+LwLJ1X z4I4(#kav)h0B?Bhj~6OE1P4&ejWCU4xLuuC-IN~Q@r|XbpjmuaBV>@Z^%2+XVFam= zfQcM;Pyu3WO5d^Vg=TpCHK~yhTYGJLpIcyb(a!AIq^6ho$uQsZDN%Lx+om?vPf-w# zM2wI8ko5QhHva$^8#Po4+M$@}WBFCcAECmqRZScWC4m@`VI*ze*M9#1AL)T1U%;?< zk(p7Hk!53R-x@^}(YsVeipNgt8NZha$q`q&&!s{0D+>^BY$H_D#KrZM+QFkgF4n|S zCZs#pa3xoA7}yir;yYm}X@<60)afUvYmbLs;~IackPOYF`AylAbM(V%yv=uy!aBe4 zR0^&NESEV=HPAr02m8WmHB%KNDP(%0qbve3f8ZKD@e)+ci6@W=sVlz z^}vkQiIrNWoak31sI`H)-(q_7_u%0CgpnT2s;8Q$hmT8HOGf>L_P@iv0%m?IjZnfg zkIH1(TI7;`m@`&02`Y9c*y_`7%01sZ{X62s7*yzv z=8;sTMz(bUl%HPxe$V#Adc12^sz}%J+Qo^tU%uFo=8q(#GZIvr+^=6-kDdZ%64K1Y zV~{8TYiH6 z{+OCqQZu2F$gAP*cLwBGpHE-&d_*{gAZgko51p3fHGBGvzIeHU(-$PDu5*Y5NoE%( zNhfmF2YZY6=zTrd1D?Yq)Xfxw%Dd{l#kczZ0Gvy+npmmbS`a@e)}3x_Zg<E*!C5bq;}S0g2W9W>uJgZ=u9mhN?$|vono8RREp6#}`d+4$WJX$qdjI@gpGITYGdD z>+!`qQ%NpWm`zHcc_n2)>(`6%EC_(f{wQ9d_AWq?k?^vxFFu- zbiM{hRUmT$p+Z0wxHiAT52+-LAu&vsI=i)q`QQ5Y7+9|?dEiFyq6@9ffh)R_cVYYE z5YjSAQ5c&giiI72uj`D(R6_*~zQfM9J$^f2jA#sMS~A6s>@G;eB}`;>9f?OO6XG!u zk}c-nP`~Mg%{;p;!O{+uy}&lx_rl9T6-tXSupp~Azx?fwM@v}*hFK#FblnRJ-23r2 zuq9Wccxe%VF4hX7_cu51@7~zLERdj%6aWuRg}o1MpG;*WmBEo8OZC#`z@L`hxN#Z1 zrc%iXNnNC0)*dUe92>9NlH>kTaQ^@l`!;btLnjIG-f=?Jkyp--3uif6LL`!aJc=GToCac4D3l8^ zWc<>OSN{MJN-C^}Imx)Ygs5oct)~1sW-hYSh+{f~G65iDd$N&YcU}4nXPG?wspn@D zRr^KOQcpp{{29TuTs@U$`Lv8B&9f;fWsWFQQFeJ)G;TmA!;Uh~qyV@KGMut)0W@++ z9y}UaMkh!pN)cn%r@#U8#g*)VNi0viz;}hFyb1T7}9{oA*0Hmsgkvf zvARN{p&l5l=&~6k4WLKmM`8vvR8y3j`)eROOjAKsiMv7YR~Q z&sk6s!13_ zB~OR>nG0PNiD=cVp+UzpbCQFZT*T)NV!2NY@jh>u!wf2N@A_rps-%c0(;HJ$#JU3N z3#cN8Csn-HF?^$fxU!pza=Pvy;T+bQoaWT&B$e5G(ck%l$V({Rz}w()Un02{abiuE zmlp7s9cLUxl5v$DY#t=a!b>$+k)8xHyRlneuBJUex!XI$Um8d~Wx+^&Xdl}Rio zmZ!r*W3`5txC5gb8#dsDvY^qid{)z;Vf-C_Wk?*zt0DN=QB1+bcW_2sI z$*~~b{{SI~O`^Xwq!sHkzx%hO$%^H$`?Dcj>p@*xHvp z&E7?Go79CY3aFX1uHSzjo;FlarxE8|U0VbPDv{%X>msP8G{z4R8gW_+62ezd zfFgyC)+mPw(|bhnr;K=tk2t92_Zj5z_*tZp*H_ZiOCCyUB8ee_NU5Y@R?6DgIv~2D zER1(J<-DUc%&IduDWopw&I<&ASf6m%+u`oP{KG4*k}zpEM*YyT(|4U9}(U{&8~hxJ^OASqeWEQ)SRs%~w%VCUa8)($lICp<*u! zly}hEv1PdguGTc}Tp;mUI+P`M)1zw~O}ROqJ!c(cTpz{N)iUJmS)f5885SVd)KyiH zj^G_C=uX?oWq4f6NN;D$7nsc#!BsTw;f zDzssLAQ#hXVnv&C6WM}v;m4--p5|_0TTPxM-;b%{O!ca%pfD71ER$0BQC~?8)SBcT zEszx##VgKUI^%rXigR8A%(#{c%(Exr3XwzjOrDaBXVD>oI)@bW&>0)RMF6_pK_CUW z1|IgO5xc^m1F2Y8jn4Qk=`9vs9@8yOY;jiz<$TJl<8DrKb2_h{pD#&b&T6xq#j3*@ z9C&F_P?Yrxo`w}CN=ZX)k`E*+8#({mN%JFN7k(6ONAt` z)CJBiY?SHEsY?$rs7t9Qrme@*6=rF`=b8T4RXha+B(;Y$;_Ap^r>D$+2xUR15U{ zK|j(j?RCrSi`h?&I6EWdMRc4s#MHS=^*Pl}OU4pZ<`Bs&?ctd!5*Cw+GMMWDw|1F)I++c+mYaL~v8@d1wq-sHlhJp7 z4ew$ecXB_Rd8aiw&%%qt(Zi6-mSnki`pp$wl`TAxNhF8xlS-}B)38aDc|Bn z#4%*v*St|pn#S@)Q%RTECR7$*!Np>lKiU5P)#lU*HX3nc@VD`K;^-&<0 z)ob$n)_OX4$x;=J5+v@z=XDb<*CB^N$$a+a4-oU0nHkSDIO@GyJk9Ers-l836g1jU zB1alAHi=PHR_<7Va?ICbGsv8D2eCX)w2?66Z?%sx{gpCI=ZCltB*=3bJ}4^2qce`J z-krQAL8W7;jR^s@Ur8rz?bEb)L)njj{jL3p`B%&CX>kU1O_t?+8Io4ff1pVeGMU8^ zt2BnH3E+@ftfRuzwl-m?jC{^OwDB0_t7%al;G2F>+kh@c+uN?>oPApM9_H6C_=nkJ zg0lL4ILTw1B;l$|zc8YgGmR&LSW#ju$iy&K&>2Y^h90-W@a5GrE0>B=c2V5x{t^5M z!<-K`dF=VbTv?iNH63L;(B(Wun`JT3x(6O1A}R`Ut)OjZ0G^=V5I^HBm$~Ne1Wbxv zGr?^htDE^NXV{qPF6eCuk7es)Q`F|r?{X1iZ z{{V{Qc{N`%I6EYpGL5UUjuetS&Xz4AT5|Oa@re|ELQ?Dj1IobkVS>$(Mix(|@UrL5 zqLIS+r3H0uJkg|G5bM$})91DxLrWDe3gywetGJMd)A>)Czs3ya(+J`;)Nv?`HI$oJ zovcajd*FWqaP~)6oZpLc>Z)9}I_hu6OHBs0N@kD=q*J&Isd5&_d}c~glRk^W!N=la z{IN>4k>yfIumaW{cD>K1Y&MWyA`sk*o0RL<`r!UuLCPAgXy=)JY0M5FhlHuA>W)ob zDAUHObVU%O>WtViEXK=wE}H_^05Yh!Vt!X<{9RqlIVtn{O6X$CIDhbwm7Xh)7DjzQ zjXQy0cTzj->{n(lDy25ih2vQR&ZIFUlw-4d{ySit8QfwqM)c9g3lOdlJ2H#@VRqR1 z^cEHt!(JoGvOI%_IAb=W=2R8c+2t{pILo7;P;#c!x=@vvlG;t|%7*;`8;J!^FZd!p zdk#?2bMqsgCAz8OHm8VEsWAAP=&EGdtr#FkET>4u%NB<6(&Z&hVW*}Lh_0n1 zA)9`H2J3&I?|_x{s!~e2RPfX(YbzfujqWesVTZgm!j$||mvN?IoY3Lg0m5|BPYAE6 z5sb}sxKx3GA*5iQRk7M6HmzpX$B$jGO*ni$|Y^O=s zfv`IgHoGysnLLF_+1{Mx=8pz)_l`48UT6Hi=B-{=#Wj>!h$~rk0Ht<(r*8BU9t~VJ>s8wFPYG=BsPpGKEOXP97*m-+f zrrrDe@Jhan%tn~Hy@I&a-1PMM;V<;qnJ-lomtN#w>xg!l#L1=fYmE2&LaFeN+pqRuv-!4vD}h9_=aaNtkpmyOp0uv+o``o zI`qWnjKeIC<3vK6ogqcRzgvG_pF@a6a!BOFDB3XIWo95>e}%^14*u*uEun2w2hJk! znpY~y#Oz0!-Ma329@viN^IOV8pwVR`alZEcm?xQ3Rlub`3J61eK#{OJ{QdY7Eo(St z0^k(@Yv?*}e!JiF`PzeBNCnoUwEC8EC=3QFFzbpQ4OOLPXd^#?W&jf0`(^jwk z#&TFjd_!_TKKt~*irQG}9@TKGIS`WuVvMf!a3XE4ejdWM#rh;X;E?WlCzTfP4P z&IRTX)d-zwx6(Y(l5g+z`}*S6Iu|+tW>nGvtUGCYTwiAT-f!vCr%XmO;>}LQ^)#q;1SD9S?YHUj#msN+(5ZMJJV6YTw2F;y z5s1(Yi&$Uw_umn!(CU(b7N!eyAO^lBS^Xr^-eRb9r+Z(h28{g7fMx^1sxixbx>(x7(|mgmIEAIBu3I7y@hbvIzW3|4J&Vdp zjP~81O*3M&wANTbT|QD*=ZY7NnP@nQvIdN?X^SPr?SDh@BjtQw+(j{?rameHG~A2t zw|()?+-$8s7Syv!z_Wp*o9NrMzW)GxeChqMK97<*p`AKG(WJS!8l3O->Tt9u>I|ME z0o>`ex?AIfQb`F|N(-9}K|6Y1@1_gUKw@@|MGfLxMcbe~_QEX-QKd$?Yqx||8svU! z{4h@}cBeXnYPcnV{k}NXJgNi$GpHrJ))oWj_ty_$qo}4;mFdX2u<*v#Ha&mi5~;JA zO;GkKXwh_{ltM-Bwzt##VM!zKkN{+0O^vK=bK3s^3?!4GNWs*hkC>ZvCx5f+g~Xl_ zR*lF;JCD=lwg+8=H$pPyan!Ri+<*<#Y)CyUeQrNbh7qcuo*|+T)=^*!ZV#y#cNs|Z zq+&u;=?qQ!TfO(~`eS;DU8WIDxNXCLLDD)~{&4uF;tjAmiiqQO1&Ir#6HSh*b-%Cp z_u;huEQk!`l2`J9WBu@Ari@K2cYrbyMYh#@d_MQ6V`>|wHx}=P;meK`~ z4UVC;@7EPCv{OfHw=RU049y`Lj>o3gKMuc5@ukE~I@sl0M0>xR5rfXSt2HT&z zW76Y#FsHV7$Kt1o&UB{EPYu@^&b2_$#PoE z^E8Vrq6jJ_AtLb{BHZ5BEI{@D02m)nO)6ddOMtc>YZ86;>9Fc>`z+1s@`{0((PnQ= zEk5EzLQ3}NI-BqM^}tKOeNk{_-pd@)hbN}soR=zEe4f2htB7;4R}7O>O@A#sX{%Bs zdv*ng)NBA470h2@u0G*(pswZoM?U;gH{&T|by+D0BzcPkR=Bt2y^hDRE6MFS&U~XF zqT{Teh#m4drW9>UH3SPJbE0anW{lhwQhV-uTw#Y2`*QP>GMB;O{NA4_iDL_RXkeB` z>-m~QU{6oVqha#KURROKl2Ppc0PF|H9L3Dxq~?&!I8utDxoOU0QAe(tkTCih3W!4I%HKkW-PC$fI%Dd zTSs|&{6e|alVt6X@ppxr(hB(N5kf~xcSgEuF-8fo(snEPMhSDfk2tR{;EeB%Ilr3t z+;7dyz6y#=u9`hG`F2vXhZ4&p5=%%?Rbf&}jZYy`%B1+}ZhHbs&T}dnU`Z;-=lNjn zclE!!7YDLn&M7N1ZY<$!=ZYxvY`tj>IpK~CIlG99vq)tDm&1?9gZ|>&o80L(sHxz2 z0VS9#o3igOZb7Z)JXKP;%EK;tZuZg-yemj$+jE(q*8#t*@3!b!b*1%3Jej)IzflGXTwEP07O?t2xbhdo_nM$?G1P zrkno6k&C+ATev>G#`nTy7TIqRdet`<=bTX$HcywC@~^?e;Z(-!;V=<^<(&E(i+hG0 z%g7!T&8oj0QA;?MW-ReiR%rm->_JxazTct6I-K$5V#cW^c@YY}VEJV$6Sq=IUmmIE z&l}G=$u40~{$9WSaf2>D~Wx-CVb@UNodZX2H~;r;^P)%*-gqH207b0)XA z0iBtPT!1{}^cKB<1FU&>%-?0c80L;pINpwqtBJU7nu*&qd7}IkH9S9(Epp1SIq_BY zVA@%2+aEl*SDV~*OHgWPYjVW0J@1iG6zF?2MSA)H&|hpa;_f)lc;`A597UL=Rat^4 zsn#eWiB>hZP_e|Sss+ig19E+EnMO~*R*$5g#zWguliCm2D}{I=d5K4wRnhTPB$W{5 z^)%LX{4HIjD+^fIuoqFL_V)~Y%}QpI!?6|u#hC6-&*A!E3VC3S)&-GE5n&pUzj7_< zWBTF9olpfxZ*TVuMwDrV2%~nTP`Znj0dJ=F+rP@!`C~A}Je4aAH8Eo$x~Ur-y?bL? zV^dWs)5GS~9O%)iBd5F5yY*Zv8j?ehGK*H(vvctmU~Zt4OiD z)3)-oK434{57+x(p{UM9D#J!9#E&Uru7KD8NFMX?^cV#ab%L6)Lj$U&rLCn!?rrYv zey8VbILm8Thgv#`>FJS;(jZ2P>=L8mM!syu&-PBuQ*lUC1B;ABFhupY_>~Dsqk_;25fE zDKjd{?i`M~NNDQns0>V^W-SWIM-HDBLp?wSp^l)86kU>ot(LIn>mn7!`9BTke$@0C z&R@Z~u3eQuJtRVxFv@dq)s=!JEfjJ75LAM7?1gn3TwFSgJmSbXcPF(KM<3@@dDct8 zbQMui$yrmD<}fdgwCa+ksc4=^inYm&<1RqB{J`RI!n_;CIk@4zR`B00GfpDPCgbT| zI{dSm^wqNF5J#0SjY^de!48PpAPY5+lrI=k#7TmD$;`OVF6GA@=aX=fX4%}FX-Sl3 zl(o6+Z~m1}9csNTEj27?vnoha!_jEj!uZ~BxJ$xq;`JCUbYJ)X0NDuP?h@s$W9@sG z=Czs5XO!@)u_NVG8HFuPEVa?dsTxZYRMM}Nq*l|aH7p3QCkOde%AQ+s54K+w<{V?6 z=6oN+D$+qunDJYjwrOEmLW;*)n7pybBWD1jY|6F<;7>S?zl(qB9w4a5xEqRVGhE+^ zat4-?qlxlN!VIr9eB8g_yp==41(9J3z0LKsX<{dwai8&j2=e2YnU!a=MsZ14nOxA; zaYbhl@a;E+JkUuSO;nWGgDM!7Zz`h7%oRz{&R8vR*?$+QL&vti?r`58u1}rumTkox zKP&$Lqu1wEuas5Qj}51UR6de!2be02L#plwQV0=hqe%K;d81gbe;BfbeTmxVeYf~y zvTAewG?$ez*PNS~)VW=6DJnAN$>}O`zx1rWnmn~*6_Ha(n2Dl|)Oexfjv{p(DK4-? zuZ#JW$8cwKG}*r^bIN<;8E9QS3<(W=F zmt;A7=9+57%P6wE&x1o(0I-Q83wh)53x-pulwBiL_Qf?VHe()g^;F&^42e|Er%^_M zgOg!v5JyZJ$+(M+nyD)3c-uOPNM&l4mOS2cVJi(K!v?;xx8CS~Ikc04hMe$4jo+{{R(nZxH1v zl<_|sXVsZpHNT7e4SsV|JWo@llg04e*-Mb`=Oa-Jck~%YOp(X_t(d7~jc*+~055;* zxb1IIYXi}YVzg%So_>B+?mMsHFZ4m)a`S(hT>Hb(qNJ{R+po1xX@x1hbprS`^^RJFC~C47?xaNq^GCBAmw>)RU&cTB{? z;vjbL$tc=L7Z>Z(sPyZDQ)w9)@HtM6Xy{^^m=6*%p^muRS){oGxcjyp!%tC|NCU*D zM9KhY{{StCwTEr*f;n#oPGN&Fo;8XSBM8}+!GJ&Q*k9N6!|Oo|44GtCM3(X~JKt9O z{7?44ys}JwKS-pfky02*k>s(_O7(OUbr&q!C#P1oP4^y{8&OvcE?eQjNT~@PSRnxv zbUOjC`gHAw(obKR38~^`K-v>AYnbjPz%D9Uxrs2A3-};9v6lQ9j7pGr2Hb;$>)vhiG z1Zf&rU?yU(49yKn5L6qLm#xR3>+v718ObCq8ITfHMU)#0b^7AO6|IzIoyWrtRdXVS zZc#_dVZwC3id@yIpE{5$%b|ci8r@4LnG(z9DoZ0qp3FAv4wS%OI! z`E$Pog~I&g;0%+T`PVZuo>63TWx0-5Jsl=ZPs7kXJv%myGZ*(3G1X^RBoauU3~G_ zt6Y{l;XMlSBS#&8aj@z-YzL=&8AC34RWf78oK4ES{{WSIAHtk3!1Q!^wpw5Qq|GUE zl&7AjZ~!t#Ar%+%5M2RLpaWt+bIl$g<;rp09&O4_6{gCv%(|8ZN@!-4lA+M*X$w0N zjKtWE)*D{r!=Z{eT^ufej7d{-ao8LG0LIwOZ1LC4BfMZEdA0+gz0HT$4PGqD^$H2n({B0JWZEzpENy*GMe1aDgfpAoVnyRb3{W200}6T>Kn`zi2&|5-yH?)+wD1! zdrD@R_b{@of~zTwQj(SE>*iUR*&1SG44`avA49ReK5p;_COG$*SypSB^7n_UGpRCI zA(Epg%4lb+ubfLKhj?k}(nob$7H1$Ti*Iv|!2TB0d7e*4#@s2MWb;v1W!3OURYzY= z(Mpf1QKgl@WFV_t5qtK=Z>nX;_|(kIc%dBXj>d~OjpFfAT_uzQt*&qB?;B$g<_}VT z4-{)4L;217cK2b0BD|E%2#5t;;*(G%ef_)PT)fVz@=Z84+V0v2rU+;0M$q&H~;`%x{f9(R7Q(!G&e%Bw`_<(0Lh*+zD2CHh)POp~TH}7wa zu{YxA>rFc}F|x32fn9;^w|jqHzOY={TKam4MO8Aqg!NOf`uo4e9T76}38W!J3-Jdog8OSjeiR?fd*NI=W|^f)Fqw%FI4n zA6uMR#?y|4?J_x8l0_4EPL?sLyR&up`@dWQ%yL${1(azQ(n&TTp1XU##qlbyib%YX zNY=1$g?8BXBcQ$mSIm+JhzN~_`XuSo)L8oApE?aTh<#R8(d&_l>0XXj%UWponPVGa8$2S+IoL`?exTVI6&@&6;|iTAZu@HgYWKsxUr8^ zcb_CeyDzSC;z;zW$#bF2tU4QX^!c1e^X#-B{7;J`5TJ*UUjG0a-|2srCN-|oLdI#q zQ6G>p?0q_JJNy3td;!jbOxKB6Ty-bnE%dhj?|v_0dqO5x+)+fogD)JYuHc5U0B!*H z_1gCLe6a@4mMUV(%*+afDjV~A&#ou@QBJZ$BS;7WJ6yH)-+lV;`(g*g?FBsYRK|@X z+Ufuz%g|hoo|xLhB}ELW?2Tp=IW*NKN`lfc8<2-@cT9U2%n1BRf5B=D0bZK}+kbuW z>{(Mq7M-6$_@g#=)ZRUm1FNnnjNnyOXEim*rGrYu5-)If`QmlNS<|F4D3k-Ffu!wo z>4=>@8&plICSuxlTMJtsJ-zq?eaN;OK^)pkxMIDSb^2lCaii{&5G{3k+Q;3FjQb0hh9+oGpX5t< zQ&GOH{R>$8{n*i7sblcMDOkqsW539KdVhuCEfSYj1Ru*}C#kXcV{gR9*MUF`#GOFz z_5PS-Q)O9s8_}YuK&}~?0XN#?W8dR^ISCxcL2_?lpn@&bb^e{bP80kHV<$)&t?~W`+dZa+4)6`RxMUcISI!PN|{jc!);V%{$;%K~M z;xRf%{Jl26>-yt0HJ&mmw(Ln@6pq8(J@{s0D&-?^ip2K-b~it-%MD&dNw$WWj1bHS ziHj?(quq#6r?DG)f1FX?(C5O>sA<@;1%cRjcDvoIefwLkFIR=28W4jMT%y~p&%356 zZhGW7=6%J{K39~~)GSmX3sb^dc4j2))1dm}Q;Q^|jQ&JrDAFq=bTYq*6_mZ%lnVi4 zzi)NV$Ki~ycT(w0rAZn`aqc}W_rCZn{1wEs@CYh+ex!#0t4&VYY(?*TZZ`Gnfti&p zPFqpn*3->S>cZvdUyW#-@}qE854w~=7PMVBz9 zhA$JvR#hPR3fTVu#rk7>qm65_3fMD@j=o5$V3A~2jkJ(d++2%a;kd$Pn^baW>`oo_ zL*Q!8JfqLKgTyr1*9}oHN)8u=C!$29znKFfxDt>BK-9p6_{;?0Z7Y8ITpSPErR3a^NDYDqUEOEpE4b9Xe%YianqCH9k> zC8?`I)!BA;HGJy~m)}5&IS?s3*nDv6x0!rXTTv}Ue%#M{7iECwD;j%+ps@H4rZZ)S zHH?gr)gH;-#lFoshG)Xr7qr(dj$M~k(lmA1&Qnm2JylY|S&;*VRJ#B*=>Xc-8(NF@ zk*3Mtm7I6NSzR;>lkmSB(9v*=b5fmj$t)$ML}FZ^bXIb@$OPK$u`GCJpZrRZ&`q5C zY2`6Xm9Ct<<>4&Ce+22$WL_ za?{V9R|GL5q$+>*@FKAh$GaU`nBU5$#>F^Jj%F{{Wn6I|YeMub=_}Aay?r-=_FhnmAYal8Z>gPzp~^U55Qg`C~yLb!Sz3 z6!6Cq)AG`@HlnDgf-KuKjpLF$+DJ^o2VWpq=_UxWGiYXK3_u}N1uVrmS;SSmNx_Mf z&`{Fm=}$Fu*~&I@$1j3;OEHFMO)rVz0&O3LO2~B*_id^#X5VOD7Uk|#+zHHlvo!wz zLrly4O<#v+XzFL20IdYz%8N7(fGJix5w-7$*9Ln-dnIsB0zr}GybqpH=2e+>EE$u~ zWiTqMpbsN>%~Pp|ie!5P7aAkk6k>GH=-q?3Yc=HdaZ#RU89gA(XUn*LzNa(%R|e3> z3=%0-St)>a))edmq8}>s{GsgyPaq-S33KeGT-@;FS@vH?SDRIEy$rdc0+UHo94gG} z%GO;Vy4Y@i`6I%-=j9$p!?~VEnem==;MU{@k1mw(k_DyLBv0bV4RePYBhpkTzPsP9 z91eQ&rTAVvuBV#Wyji3b@y!ifTn+^wfJP(m+L^1ZBNKPmBSDjF&!ta(S0Fe41+Hzw>t`>uWt$T;JMIS-uF zaNiEnei3qty!M)oIRq575*kEL1%xyXl<|!%Fo|TmjtO=}VQzD;_KoDy<~dGbl<~%H zljZqLv{jSK%lPC;Oq0zcNeDTbv|C63yOMONI{*cnocnuwC}-TD&ADTpa0eOBPnl55 z3x><7?<>JnP8#I^1QDz zl041{<)bmp88S0Rmck7|lf#I{v$87d)2yi{iKr;5Yn`d#rjuA8Hlw||-`qd8Iy;>R98-sf{+$xcW|Ky&@N z-yy8!6-6&OxW=}FitFgZQKnBcW}hT-Z)r}FD&*J)u@Q^1#$Qj2%1~@R)NmvM8psN^ zxTq0|_>Km-jjk`$7O?5jZ`}1(2_G}7S~@t5&47Ug^sm3&(|mScv(NC3qqU&;+2=M`N0@pr?|XA%UTxmsHvZjQ0Gd{RgJw<9+=t zHswb$_|KQK)>Tu%l4cb7oiV1a%D9r1YH8+(BQXG@i6(T7k9?hi~sD5xRJ;1yY& zH=Ue#Q5$X6*B-yN*Tbr4B(JLcQ$B>)I}6wY&=1%CaF3GAY3#ak4{1I)%kwO+H)Faj}bPxvR=3!1#z;;fT9m2y0_|=4Z{O;1 za(fJfkPzT1rgo@Vq&}@zhirnalzr1uC*YB zDeTAW@1JoFXT+Q(l+tqVF^ewa%*L1gq0TvOb2g`*7}PTrj(Dl*Y1+fXjFwLjxKpx% zxwMUbHZmr)6I)b`UM}-Q7SlQjK z#gxZM=A0LlaMu!M83f!*2mZ#sdQ>#Idjw*RQs@T^b!%r{O%9g%EKTtz;5B0HBM5V09ZGU5*tQWHms{;gXgz z1a}{p-rYV&r}sEZc6vNslRS$^jmdv zf!tr~`{G4kMD=wVRwVGx7B4x?)l4^!LI3v=C-dA^I6_C;)p zzE%FK8`aTMh^i4mk~AZys{a7Z_UVFrH;~d&Omhs;M2>(6AvZQUuXA&L{XbktCd+AZ zdcVX|$d4g=l9sS0{fEl_zU}ZQHm^$gWR?#OD8yhgqn|Sax!-ZO-L}BIg-`b`E)!D7 zu0tH(F?za^5>hlhl|uOz+uFcwzpe)66@SlJ>DuL8gZ}_6bPtjB_-*mQEY~ogmYzSu z#Qy+@jxZij51fv^?Y12S?Y;(1AgHD$Sb!zLNthdh($~1&-y8n8D7~3HQJswP2SA&2K;~BJHWA+B3v|VA{{W`h)RacPmai-*#>M5$VS{UINS)Atejlbf zTb()Pdp{uflZSYea{==)RXn-mJjKkCwoz1(C@Tc$p(Lh5Zw#v2^AV0)sLrE~jcDrV zouo@Nau*h`>~{B)*A_BXH$w37Ws)73?RiDUm71rbgNNNyd&$T76iN;D7m~S8%dQ?} z9?yK)uW=m)Tf>rxh!KS5HbDBp=u|FXS-PHszf26tOAzj92{r)X?;2#!%bdwFz9^}uin>I+l(C-`;{~dU$gJDT1L^;dpYwK5nxY`znN$qxkTz?sxVam0n+$~@z=9A zvK~?-tA~>h9BU|m3T`;gsr;&>?h`Nv$}Mwi07b~dqcuh>=BFw?eR9W#s5lxd*DvR9 zvu_nw$5|~S&{lubawSGq@ie97g=a-qEM7fI#47}`BONdNJF{vWVI*yGUsu%!-vD-qHK9{k^0ipa^WDLwLc1FIA{{YtZ_v7Z5 zJUy^|rny7K%aHMRGR}OIh_w(ySwbjKl7~&sTGX%p>yJLy7Z@4r@$Fmf7tQ`Gs;A-p zX7Qvsv@kVNQd4nc^>pR{>JFG|lvwIJ_uS(aJQ+mNj9QJKQM@@~8rBf#!^|$W z^(S-pd}&OdhhSt<5bU9az&qczIEguv{6pbJhAv_835+0r4zq@G2{eL88%Id4M&7-T zPPo3mhxZcuV|!0?k2BP7c$JET4-~8 zx>?zOl@m-B|k_qH}^GVuv z7vFo{{_XIVgHFWdr|=ZiQ=;kX;kE8M?{B`?)_GafGoaXu79e>KMU>+P&AF1~=Blt@F3f7Jn2h6;X)-lozy@7WDq(5H2V%#o|cdFdv#lJwfSn zZT7Yvwk5BUBVPenc2KTG?x(5s`QeE|tga;j-<5*wHu(Dc@Lsu=I1NFFvD4{e@c3Y5 zO_&hNdvrIjBd+JS*A_9wMGyqcRZNUtPzwv6>UQ+|-vDz;e+~wAV$21s>NiaTanyZ{@g2;P(T^2|paAAT8Natg-s`ui8}#(S%1Q~K z$`Nbj{6ng)sadvi2LAv*?}2%ok-)9^cyHC2Pj%n)>(dtTJ&Kot5yY_zcmY5N#X#s9 z+jJx0^ZMcwoYKOO%_Q*~?reXjOiy@*6p$2*GD&?`w^bv1p1YfSu?*r+%B|x72o?%K z1Xy(68u%pWt2kK(teThc4Xs#jS$@ICU)=&>0-79-7)N0?-3rvj;9r0 zkEkM7AY5rDz8=wKlWPqZm?=JDY;S(U4j{;>YEc#qQWQ|ju+&Ze09-hw%O-+b%3JA!o)ZoqHbwl|}Rq65NUM|JP- z!-yT4eNiRu!3wqd`?kQg{tj$LT#{1|TK=6*5U8az>SCE}7~A4LcfsGn zParcY0y>qkxjhN}FwZhb4>T0=k8o_t+oT-|zLt zB+5h(g7TMTQ>lWBd~fjShf`(d7YvF3xU08qZA~T`qy(2OEY64%Q?Mzs|!O<}xXo(I$l}a0&Wa z=KW2vh?pP?N&vHL3tR)YWBxl~(CU%E`kEA|{{SXZvLo3-i}$wP zxNK!B(22$=3~S4zy6PBf33$j|?f3WB6puTnY4h36wzriFF(6*#5r6)j@pRVD5=WwR zD%RXFzOI}5g|_)(i{{+0zY^3fI?BOkyA~j*BW=CjzpuMzgyiI7^PNQy;DbR#M|ERs zsrR1Vzvmg!$l@l0QGS-W+>iJeQq>Yl9GkN23Ip-KxO_cvzmF|ls%9cqJpp67{I~xA zpLRC!t!&P0#Uv8MS>lO~_6)~wOZtCYI8hv9!5}*~Qr!XUHF{&wc&Qrr04l!ib=uv{ z&rZV`f=Og!A46eqM^STa$FBbXQ;u=4Hf&*707)k;q&A&4>(}Hr-yQ-)U2LZ0>=b#0 z{{YY4*vvX+KY|Ii&CA5f$#WfJX zoqyY|Hd=DA6<5$g>J|VJZMFXU<5fwi*szCEvi?$pAD^z>z4&t!QcE}USx5rm!vaC< zFW0c`{qQ|&$tl>`WATt3uXXYj+p)dj<@~D3d2zz|K2H{etT5Nq^B#_l z3d2t^( zvQAQFlW^TkMtJi%rh+XK*+|&>8x-NMV_slG#Z??T&05CcXNxMNuZ*P% z=99{`Q!G#_!%r~tF>94}Mpd&F(#lU$a1ZeS zx*}RTg&AD|(O^41W(yB~D@>a}&hX{D z2}4rFR1!+0Ihl3<3!4IV`C`A}Ph-z%9%ym?cgv1Ia^6lqn~YsV&OgOt zmmDd>b=QB5#_7DCJ^7o?PB=RYSJ1m;mf=_Tgd*Kt4Ziiyp**x}YFPnQpa1z(S8dI+wWa2=OC}~NpgjguH zqrXm@^%uut^V9gMb0>m*si)vvo0alYaJLWUl2GQ^PHRpRGR+R6vQ;ydv;x31C^jf{ zIX)l}axbz`j)lE?<#S{=hI?Cmlwg3eroBH2v@pAtF6HjUm zPV9nN2k*e{9Zv^U$opNr3*WWHQ; zH;OrZnQ;DLUBps1;p<>!j&@2{21<4!<|a2~EoSoU7zS)|KPvMc9N<1Y$@t%xJR?uU zIeiXe6-4|wSs@YE#*7Oi+p+6r1x~?(0#xr~NI^Q5@hPc9n8uo;k167pH>+x?Bt9LkUj9|LKs`TOV&wKq z%(A$-l@$*Va?dEwvIeJR%$qmhkM+ptSffWxF^N&$JJd-Md2G+CK)E=k#bmX$hcC-1 zz(H_`R^Cyy&i?>E^NY{e{{Wad*9!4~<+luQzEPQ1XO*QpZWHBzYKBBcO&LFAGqN&U3<=0OHeoA(d8d-HKX|{`%*Co!C)Yw~` zV(ik##*~o~o5hojE8%ZA{n%cbF38rX9x8fMTU5Um#u)Kfss94M@@ zfZ;~u-*b&O0_U7*#ynBRyt?7K>V7LUs=g--@gS(6Sd@t+ib*(7s?RH0N0AY3%X?zK z_76uhEB38)~0WxFe)v1W>m zu%8<=vaEQb+~0(@-}Wj=^VxE~Cd{9O_+OFK)OntJ`09FoBjv_@TTw~`Nf?b;yRZ!ybF-ZYb!)h!tF-%xrRXVr8?Zn z{{XcSs)<~HEqq;hZ?x|Ta|K4}gl*?dyZQ_!4_haa%w~w}$ zBDve_-JNpFEcTA!-1erXvV_pnQ1L7^ZDy~5qyix9bjJ{O@+h!Pn!_Bg&fY_NGV<4i z;Hl*=I;G+)(x$l}8GTm}Wp#zqM2zwUqSDa>3Z&~>5hAEMu~)ojxSvn@cZWRDjB=&f zzmNMBu1Uig4S6c5n9A$sjwsMv^mp%;$@AbeIL;|-|X}1jFRJeKQb9|)0b7|m(4VaUoheMYO2dt)2gBX zHLBRLJqY?8hkR>QO%;4CCSD47MU}NC|xS0%v$;xjjTPy*dLF( z0U^q3C}(7?Rxy^+@k9UxPkWxjV~JGxZ1prVR#85<W(LeMwWEmCNf2n{YexIp;{O0c>ODR-z}VJE-Px3% zCzvW5AimuXZ(p)^H4jo%sw$B}DAJd(ZleBPyL-EzTnVeFRCb1@Wmj>e$cbg?w*7&& z{{TE#g`RJj40zQsnT{&ruS$c)=+G!7PrP5RZMV0>9bL<1t>O>i-Ij2q86Ibv(+(=E zeAgq%r;-|)`ZcJPq^4a2Gb=uV$fOdNHtmjGf-I(u;+ygDMtbfwZ@*ow+iY~tD7ZT@ z<}a}vxi1FrsNyR4c)~$V6cusB;Ys|NOu|{60%=!a)NcJPaf_H_&o$~8aeHaWsES4||1PYI+)bWaeL5%{{m)WqB|+iY_yWYbqj3#&HQ z8j0!a)2DoO_Y-i35O7B-bG{tr4tE7a#LX=wBo$3iv`En(<#?{4pM8%|2jwIja^_*g zSw#e@ki{*`VCf7yi;tD9{&0CF*%+b6_H9W~cY6?W_bR60?E8#Xm_BCJQRVcLcz5to zh1r+5xhsEid+=AD{D!XQB@A$I{#_+!669IyQ&vR{IMCU2wB@6AX0Sk#BN45I!G48> zpdQU$(Ofmloc61Sc!B1NEzQ<3_=SBxg{V?=$$Ru5*^TzFKDf`dU$qu}Ly=}Ytl^r- z&kpA~-9BrW)aBL8-;0qU2(gl`L!clvjmD)@U`8q8$1iqLe!8s?48K48exM2stF^E|O` z2)@A3u)XcSa5@9H(#E8g%3o1s;k=OjT)ytHs$UeV{>MU9dspx$7I5xY#vH%RB(2Ib zYG~>xt12K!+2el3HgZ*g79#r*YvL)+UU%}xfjA1gi}M_*D{8Ia(Pi)|HAO{BUq}o} zh`_O8#OxG-azMatWAah?7t8FMggH4)Q~sBdQl&g{RmtMiNK_J8*eC&=i7ZqN+oiF` zT+-$bF{rbT7N;gj3e=gjR6vr`c&cs-M(Sf>*J8R&&ep`w+(@bE-k<0^t~Y;nrG7t; z=yw;f-?Oi`hdX#DDb4ckeRB6D$+(2oW--ua0-7UJm#aK8Lar$#k}05@M#jp){{TOg zlvW((7tIeqAjU<*3;6%u(-Vg{F18bEXei%Gyw1D*wOyS_<*B>W%{{Tw<#h?6Bc+=UN zk9qArbL^8%RUZjMlv7j6)6%>VwPL)_H{qj}(uh?|QI8Q-iH(n#5bZ_sH;%KeS#k9j z7I6HcIV08>Gn=xW#E+KNI3LCQO;w)JR@GJbZE3XYNX4|=fCY*A_x;82gFKR?VnRwg z+@8WVHHPMP!g!=+hN#S7VojYx0fG5 z?Ki=>mkRL)Z$np4TT-TMzO2O06tuFWkrHoU-d>=9Ra)0jF~M+7$V^Q+)D70;-=|%> zbo)59{flNq_Lbp=c^nZ`)kjmZA^^Z6}Gjf`zSAc?;k>=htJDn_fl&s=6Ut}f2f>w<=vBA3UGSJF*^BWs@4AAam@Q%-JOBPPR39-Dpbg;N`G0oBJnOs>r2A>E3o zKMzbatIFo^$AdaOj;pk1Z$ayPNa^yUaKbCA4=dk${4n0N2rn6@QbDjGdirjAcf_Q@ zAer3_OmFyj>jHvyxxK~r+rA+DPfH^JVl29Ldy%;7e*XUet}QImBI@y|I)LgEb@=}P zoI!Z18n~+(HPTS|*m|Fb!wiks4x1~A`Kni31`?n)zyovXe|OgdCap*n7E%?*<}7+1 z*pz0}k<`oKA`n>YHD1GTdiB7XiUvviB-9n}`IF{$?~7RFYK0N{>eCXkzL91Gf_C_H z`@SRfHHjHBei(Qp`J`e9ApHsMe{14XT|pawvPQv{TZK#Mwf_KKpQ*r%$10(g@kLU;`DS3`iHDsc+a7c1SljT0Q+iv&) znK6=c8bN1dI_2u4rNHhkKW~N)W>mAI7F5!7={DRO-`BP5F&WJz@SK)b05J%yxW4CW z`|)EF6*51a_!5^bG+~O|0~xiizu&v#h%R`}XJb^VFZlwfC9U6}>TmJH?>O-MHxW!V zbqjDho00JTgAp9&o+b}0vFj!F1X|0}8h9m3VjbBA%xY3vqSW-a769pTyNdzg zlGRCiMLJtZW&m7zGzyOIY%g2OI`qVAG_=ytid_g@H7Mzu8B z<3|sDz)Jux(!&L4sl%e7h%1F3n*MJ=ex9Ub=e48P4A&VAl z5t=C=CU~F3RzSL2f3erE>xMNmBZ9H8H~h=e-L~un{#|;UD>RicGp#(XK>=7Dz2CMO zPg7^KNcsYeP6z;=R^`hKhN>wx z5wX;aHZ~`5gHz72wSm$|yQy(tY<3sv+X1WG3CofKu(}nD5^ul5{NmhGR0P_f7GTdA zF;opK>Q3ik2Sd5r*A#Ctd=}2;RA4OarZqd*vFJv}-@o=3=4&()7g(b6f#m`1N@vaFnh5P`hrRtT=ugjW{{XJf2lp79=_Jq=7?=|SOiF>*rLVRWSmFUtht<*5 zMS=Jp=ePXfIbo>r6o}X=x8`2P_WQr49E6NQrb5BW-pjB9=zIF(Zyu4ED=|DxGy#GGHv?4v8l)A0tYho3e|TAH~TV^4)7rzpdIiePvP9k?%0RS*lxE(+vx6}8>sxVMG!?i%B$c#@+h;VNn@+c2liPG*l;;z-hgTv0z1Y|1XG6dS0q1PIj< zyp(cYq1K(3Qrf_aU5&d7`ds6qCCvD%F7{@vtAY7|l=+VjQ!D3ndCW0VRV+jz(nCnZ zN%%mgPP8|W2L*bm=UQ|{nNb-Oy}f$eZ~9}RdD)%tjSnYiaxCkY98)D_R$E6!!~9Rg zycJ7ItrSW;u+*1nS$sBdheDk!32ipO+Uh?XTV)YZ%MNWEvY&{h<$n>S1!C)^%nnCV zAel%cGDuTPjgH{kY+0VkGhr2^}-hL0FMe$zGls8EozqgD5BoB#jpU2D#@d&LQB=8sQEgsO7H9ZcwZYS2urInYgcs{D@?i9?cM1a)DKu-Z!HLP|~+ZOM# z7c##I%sDgeG~mjTxlKIvH3m9Bw|fMRFeI|I+^?>I(T(%>5q4Y$&Ifq_+36?xTQij7bL`cgGEP&=K^P3}+6 z7w_>l=GIN^3GIWMapwqe3>ob$Mo~cwIfXq!%i@)XTV0pSa9HZ!Vhzt+QSvk#Jp<*m z5eBGm0hdpiecOwJ({HZ(1eps+oqJ%PEo?5+5oI?p@~B;j$V@SI1KD>}og@|u|K{GIP+yGOU@ zChLlGCCaKPwAD`>%~w!BrhpP!QhEyw?$;X+UWVWwp}xYLHSIOXURmZGZ|z^kc~=T@ zz)-VAknxOHtBlE|Rf3WYD0U%4GHa4XAr=*83{5+T-)=_-@`I6_()NVn#o^9e@Rn(u z)hS=Z3QBX~z!;FeETnjFDY5g&RdytsgNrxX-`OuV_F1UmP7uyG`zy_JoaZi*qQ5Q5 z<6#{DQmYseIaww~J}C~-#tw$E?Q`5zoI#TFZ;)BP41WwRH{e{3wJREY#-0p^@G^ko zLlF^)O+3quHyFgT$HP36sv^%6867i4N{ZDFV)Fn!2Hm&Y@3r;pugjiX@oqtw zaaMmeW;0xdrkbvJ^1RBno~fveQ)0G)j3?ym3XXrIU3+7BqHt zTvv>h?AreTc4p~mGNb1Y4`exSHR?D!m;5tR3YMdWEUuC|nQdC|# zsheU63@{rv<*zlUt7yTP1G~`*h{p zZOpn=%joi~(uP@={Ych>PX#Pq3$)TKZ!moU z6}`#m32T6HcJMzUsXep#Wt4N@H>iV!_>N~ZwK=9=mapM6N@&0j^Btij@t>4s-p6~FhTM{*uXL;a z`aW`Gc{OcaRCRQ8%|3=!cA=&-{{XmMY_|?h!%h6#4yS&m=kEk@Un%)PlW^XBm83G~ z8T5I@K&0grFAv8|7M^&chIy!@dU@qxBe;x~kwTKX`+s|4WIWvVO3b)lE$6=s z=e02AIc(G^l;*Uvuqj;}onUrZ8BskiWnz2diZlLdaE~2uWj0k~IP#&iro<2!cW*K)j_)j{bN=k~lE-%Vza{3&_ z%y87swa|ER$o68fyV+Vmt)LcdEI`N9+E3yU!hD?IelX&`9_JJsWgb<=6ukJD|MjtJJ7z}~XYIX{-v0X%1>T%~EZvOxUKlbnR->H2=KTN~v*xpG+N;>g! zlu}ReZnoVwrMtfLlSxtuARV#sv-sC=M*wpBo_slz@NWl8MN?VBQdQ>FENWJoaMe;Q z5yKqPwuW6Z7YY~iDIIp{-X;DwJcOQ{LpDR0%M6sV2Bf0R#B)h5o2nTUA&7RkKkglR zW9Hwr?>4w&n*7PA<}V3yo_-FFuALxiO5P%=&StBbB$$e)sHstFi2(4E%C;uv_63(L z99uJg*pK@?`pfAbs~@TQuOB81QO7m66&W^~N?&?zuGL0L>g&l#@dkFVGgG}JWE47G zfnk4A6odNPZ3 zd)wEp-uwW~v%31`{6uxkb^dfD?0rW5?%0xWM=oaOjc#Q{mvJUnlG9|FB~ERb*JkZe z;UzRL8o2_V8J(D!R>&7{4^v=Y@lUg#F!&3MGA;zn<;o|h;*8W$We-bJGe%2PM*>M9 zcu>ZyWk%Q&q}+f-u-M{@+3`TzQKW{FzMQ0G;?}mh zkmFDmDohp-JDS`yu?Q6}1y5E;4H7W{J@RNfSXB z)Xd_>uHm}XS4x=^Lr|>^Kv|c4aX#SfYx;U0mJx$Aq_1BHss|_eWfx7)U_E{R01u)KG%`sg9Q6$o zTKZ&hu-F@K_iP+$%Go`{wj_yFljbR3kk<-10+ot-X7Jr@*37me zoI}E%*<9MoqlP6|>8Saenx!%-jfa9NJTe8k6}Q(BdMuIaBQeEW443kxejqG58};-g zV|tEBb89(~n}@k)#2Kh#J-dFmu^Cr`ACT5Z_w4#H?0ft``De`! zW^Au9_Ja11;L1)rrkbLYKTav)iY%(8a*TXA%2Y`oh+ZZ}G9VzntDAx`Q2SVOQ`y@v zj8(88$6H%ISTZ|}*l1tU_wPYlF zyzvh}cEkre;Ql+JmFBPE{K_q~x8fjhK{wjXz42}_?HXoeaYr6r(Ju)2tA%rH@+!G= zm|IKfRn!5o+%4L~j)VJRWx+YmC-{Xp$Ao!{#qs4Cjb2#Q)Mfm2I zzkf!&Jt2m!8I_Vl8-lT+*c)2gbm|TZtD@87bxK5~ zViL&CP#p{{b-tmh+gkm%`t-IeuVh-2N9_^9^GhsXelgepFLEMa55IdGV!Bm{n9R<~ z%+}Hg3VnL_-?yN|_t|D)542|ozJVBQ>L}fr?4YnJEKjZNzsKQIk$oK=cRZJU{!ad` zzxzWykXMd@29~Wkm@V5XN7rmOij67-pq?jScTv{f{3fc=x-&`kTWmes@A~1p)fiQ#l9+gZ z?^id!rT+kJ@yVbYkT*E1sPMHI^){OVq<}^HpXrD{64S{fY>JvBBTAJ$1^R8*zt7tOlaI>^}Y!SPEY(==5N$Pb05|&lAvYQ`wOB_mnfL@UX&Sg}UkV-BssoWb8@$2#U z^}rgc!fr&iOI`QuH~H>P3h@|{DwgrV_@e>v>41$pG=3VRYt#&yjmR7Qabp@*DTq|Q zwP_Mv>_{j*-8v2S-?jx+O)DQU4p5&h&9Arz`hD!?dWq0GENoZA1PgS(d;C87VXW*P z;-ODKLyuBTx?$v|4X&t;CJNz5W7ynlBnzKi@DDhWIoQhwotLiSz}uk%r%VvdymgmI zom`JF(sl%O-=}}y0qW!>*vNn}xVpWM#{U2tZ|}omkswUo6p2f-u9jau+mXM!;fU6C zN+;6r3ljDStAD*;_Mvq-Y&Wjb|w*n!jE_w>W!lX?{P zi0=?k1_n!lEn{P~?b6tW@g7vrC_Z*pyIB|FdJIl8Iie936$B;84(<7d;QR+%L^JtN z2~~=X!A)bRJ+?mlXyV#PTXaD4N?G2u^%dJ;aYl zDCkeTj?tu~MJ}qkh-(Xb_uO~EIH;$JJ#fTYEjMC8(l@>R*k;tM5F z712V5kgAY&(n+ztK6szO>t`wyibFhlVh%`8BKIctKi3WxMwU+@VI{o5dtt>jEMhjP zh0#u-b#3kL+X_VkOXrXTYi*~g>Us_RaA~gsL6ubDiHP1d9%FG}!}?+?oItNq!~z-m zFVElm`>`y{-aw3MBo|gH8%V!Xx9@1(#b)6n$B0hB+88tUTBYk7VD0Dic7*=vGYCXzx)1hZU`?zidwFoV*_lUSyP1Zq-u zPxxe4P z0w&KgxOA)3#I;3^oZTk1Uz1=ad#lZ7Op_?y4<;}CawhDPzO&?1#YV%x;$oF3qM;6tsMsF=( z(h9q2AuW5ir)`EGRdJUPRR)4;#S3l1L@a*0jrYI1uS^JP>XfKbvjA)qsbSM|f3bUd z<8O_}_V#3Q8_Oida+-%_cVp9H#M;;CZ-w!n#6uY*yMV&V5N~@Amtps|9nF}@R?P&a zH5$73Koh@P{LT|;l**vTq$`(OuE6#ib?v?{tqADb+356(2T2)9Y;=-+K3^TMtt~_` zPC+24+Qms1_W|4Qd^pN;flV!|XjWL-HV#yUVy63o!2B)l`C{ z>OJEfqwLv|a-I)miW&1P#)gR{hcSL8ubLdHM60T4N>kEIDp=HO0aV1W3ljQ>IAe2{m4GDmzT>n5fw`B?9x={8 z((>L^^7a%l-R7<3MoiI0gYz*zguVJ&*ZJbQ<>FTCFJg9ewojOH5#Nh=lah5DHBH2o zGuKj3Wpc|!5{k-723RGgG8Ih>?uO&}VV2~BsN;}5u=BjPhK&>Hk^$jJ%dJqdc|lDh@{lb&)~N8&qo(F}3h-wWaQwAdD5PuKuVt=gh9!<+^&X+fzCE_KZii*24qob9nObIZk zk?JRnYzwS^%MMwUwm`Nl$DSFNXl(%TN)o0}bitsNCwW9b74tg#`dY`+-;RLxjP{G+ z&OGIuxduzeaApzKts79}bi6k7(osl)^3+sKE0FTB3m6d>Qj5Nk=6XAcIA=NG4k66A zOFN}l>oV-ZN?L&&fx^iflxr2({!_Tx+u^8*%{PN80p}g|x9!*01m$SAiyIkBJ4Ba9 zxf^uZNCw|q;P(r2CyMw>DH&!_nD|gFPmdfTmM7HU0duSoYYv?c>43>aA#lx3Tmme5 z5~~Y8<;u86ak|-qyFe-%J;yp~$2D zZ25Z-$zTP+UHV^E*7@NtBcjA`rl^wSe$sr}qlnvx7{w})tumSr%ES;rZ^M>diMEmm zxghtzDje#P63Yh;Ms7bbb+@|yPj_rOr<;YLRfc?_$O;)iW;fr{SI-0^%{W*-m^gH< zkIGA%p8H!B3=d91F%(1zw~Qb_Dh9(*ttw zY2o2ukCNH;58?hjuJ)thtkaj7CQn_QMMw0MsG!5O(RpyPfx|v3p@^{%#!Jih#{^D?Y`IB79zgOCnhXWpW1RR;>OdyjLP2CP*dY>NFtQ-;v7o8Mn2+`L^eF$IzlFi3hGh4w30jD@3t+@P4gQt%Xm*P;hL{#Nccl9t{_Q8EzbB?W$@+?O;-#vwN*?@A&vyn z`jRkB%Y`RR$No65`2PUdXDs1-&xZJJgN^2nyFI0Xut1dwnKdOl(o|I_Xz8Oy6KH|I zmJ0^fEW;IQydITu6w*wuJ)-Jp{{Z!GK8}-(Dmac09wOP@Owqg5F{g~VJ{St2Nh%O* zilH{sY)0xcMQo~*!%TEi`QO{2_ zK`Ei0%@m8}nS`J$V4pEiO5EFSVf#J7z&r&ec{d$XR@G5d>6a^~rG!qA7FF@2{(hxh zO{T#cfA?-kuaXVBX1)(lk5vmJyf@A6Y4FWxuHh~`t;;HfIEkw=;*ivMV6T+QiXPi9 ze!~)Ke`>#JNNm${?~5g5*qO7r^HX9faS8+Wo|Pn zUlyIU4+2nZ+OM$$ZV#t?8p)`tO*>SS3R%F8M~_zazTh8Abv?zfxiwohxHz!JX+|l3 zVEhaCa%igR+CgA~?pwD{KncH1h6v?)Vm<;xrdM4_83Nisxi%Yi+k8fAxQ8ywDH56n zGE{CQF8=_KfKBWG+~228{+MM)n^b0%QA1HYk)uaiq+6xFAE&=^k1r%NnXAS6iYqiTWTz;*O{D4n03U+E z{{X5f5G0DlUNs7VN!4qUZ(WJ_i{K`8#nV@~lCD_U8p1S^%-ugPyaBNE0Q%xE*|das zwG$GdtWY-0N!VZ4e&62ha|-$D7@&9ZldLn`5xLk~-+TIFpDs+*^-r$3T+AJ1JqYmx zlF2(Sj0%YjhL8yE4X^39y63I})k`#L33I#J>PGu@{W|--_$eWJg=vhUQjK;FEKm0P z`A6z%9xA&-8+JM^aHnuL_gn7Remsgy_^++={p_vSW0sua<33L3`HvKEJybOLjdn{u zQnd`HTv5v;F49HYTKGc5h67RC5_tWktLLZ4GmbvsYJMTlava&S3Jm`MGS92O5+yZD zRp(WkOr$cX1;Mg~*xJ}bgZTqr#n~?p=ZnXjH9`LXRcBIVS$!^Ia|V_wkjQ~w!K0BA zQI;?ctu}B;1P~78KF9pf%tv>ZlBQ%e#TjFgN_3h8)fzhhT1^{* z6fsw|=u7Q97dt;`3UJRIaL+P%k;S>qKMrJEA2${7Zh1>5;<%1QOv<{gOWo$Jm_-q1 z8!G8t`*a@5xFeXkljYn=LCH=Puj34sXxA;`Dt>EaK@WzZVQJ|TF)Jj=YpaJvEUT_J z0E3DSSmzv+=36}6L&EvpZ66P3?*mcN$yFT{eH|>I>WaFN6~f7CA&ECO z^f}8UFyY53bNNn{Sn%;HF9m4jV+g}u%38vg*LxW|F& zvThiMkvv1g^i=#%xt(rjp69UE$iY#P#iFKx5mXz4r={*q?}51o5oSC^pVsjI02k%_ zy~<8oNj#NRQ*vL1su>JN%z`wbOOkFjZ>|``yfvFimgiJ-d>@(7%brtZ^|VdOOqVW^ zd`3N52*|k|EN}YQps*=g@^&>h8d4f_acSR5%g?q$_|;x?A6WjwiVr_5=EEmhe+)3wJlEQAu0 z2HAdJ6X{+qUeylM%dJ?--cm_oLAUv^^~Jlg{u)8NrC8KM9E?haQsOq%YY;8_Z;O9` zIlan`9^uM7pN@HBO_)hc?P=wwiOVT-yB3X`y@)5aDcqH0qNs(Dyura^ZLQa*Z}Wl~ zth3Zf8=?y`h4O>a)|?ds5@kd#^Y>lc$16s<|zf0HgEAPOTu?zP95n-gt^p&J2;tBko7$qeqU zX*gew3~`1l3N-d>0kE?RkFkc+Wq-wh9QZ3YW>G12mFn<(Pq>im0K^t@8f=vRlI|A{y2SdX7l|>CEWR)Z8_OyuF(_y>nVdGoK^p<_ z#M9Z>&Hn(dTq4fenCH~G2w*zMqi>-b^tr?~kfcI62BI5#uJ;1hz5XAe#LJSBr6)Of zR#@a;5W>rwz|GoJW*KMM9)KC z-Twe&57+CA$#A&tRw6v9z~G)g;Vx)$r->uT`K8J}D&Z+6oW(~|o#lEUsgP15TiN@5S_$aOSyXJwh#nv801aSXhgXeZ4V@&*H}ePA=0l zxV(j#eMA#-onAY2lVZ}GYFtl{{VFWZj9YQ_kT%+TrDN`OGCBeW-uvKAF{R=RE3gn#kjcK zF+NfK`}{sTny?)ZUMPY`n3RmDYw6p4`hU(NbJRqGRDhB$0XqZff4=yYaXmd;U(FH^ z3gDo>skY;`{{ZI@+Q}JNm4PkM6+mEqHpAm4l_74v291`lrw@aE z7&=TBT<;7lByT8PKppOTZEm9iD<&ibQU32Um-qZ{?!j7xhG`|3Fj1uKr~n1``}yEa zcbX@S6=l-KGVGf;z^ts zY1A+QRFWM|{ZIKAjnt(wNEQo?Ko=-(ckVa6#{U3ZSjQ#=lcG0P(o{wTL|U6-4(gy= zd!D!Ld`5Vto@lEG5E&tD_8*iE_?YH&$YU`{Cap`mfnjTP_kOsH=d{xz7D}R^$D-^2 zCi|bC`NoGG{phcf=zwN5VhXoGB~8h>DI)-Wj6NSMdmCr@K5;f;TP@5CXcTH6hc9;P zd+HwVrag`L^y-QY%Fg4b(JgL+0AXwC7B=+T3shy3$$6!-7-wbN z#eG_UJx^Z0d*VM(02)E%zS2^$k)bVf)U~$gdV8@Xt*Ba+b179ISSj57{{U=9vltz; ztaAB6k=EtCefT8Z=!fHDc&p^6LE+ej-I0gGf1VSo37Sq481%M+)*XMpxc=DDY9yUB zqznR`E)RQm1RLQH?Ht#LFd*EPJ#U64a!B=UC8Y2lQpvT7oBa>pt_D|5^z2!QZ95kS zaCalF-LPBnXz~|TZN-Vxa!vQZ`qHEP7FCBqq*4K3i{Ym&Y!~=&}_6uprn1E>7F^-0$%HvC%x=nxT1n znMRYM$KZHwy1c}(1M9f^IOhWK7|IkN-$)++0AGgvzrH;$rtU46{H?K2@d0I(u1&l_ zd+sgM@2)g(kdG2TP09R(`-jU7#OxfiTKCcb9Sz3k^*){PmLJVJz>Fr9w$?Vk(Bo=4 zMGmcw84RJFowZz8TKcy9*X`5(G2o8U7~~`io8IH}@4dd5%oK1=w^w^x^%y; z6Br28a0r(718Fe&uRm%)_*76SvPTFpIZMB8{G0@z* z_IBeA9pI?z_%0l!_=|`hSo19Ie99&N07|1}QQ<**1(Hq6Np@fblqoufXDWSG%MpVJ z=HB1jBDqowtCf{cR?0NRQ(QtvbA3g$-TnXrz3wrN2mT{9nMQdXZFejwUYwF;kMR#9 zYAawsBnG!zc}eMgw~q7E*t0X`r8Qn%!uhLb)wDhgrfi|bT{Ub{My^aHBLp(D6|--3 zZq_C#%0Fh#I^wL(Nw}MYv%I>Rn4c9}ERiIWet@!@3mw|V*6Gt3>Edy=S&lm`%&(1N z=g$)@UkYW1BH^sEsyy3`_@6!U#Z3fq>oKz~zcZU6B3<2>1z#&18{rRaZbWdVVZ_zh z=PGk7$?Le5sdH9aJ9e=Q?<3oJm8E)*R% zu<3gX;yiNbscIUYp$@Hfk~bX~b~o$2u_EL=`~yYJtd@RgMJ$;LW)c4YsYeSq)fN3B zD%QS}U>QvL_ zP#B$9b3wvN6myQ4T5+QC0;I0i+WkmBA%gi;K317?)Yr(UL)cmO2K!h6>HFYpxn~e% z8O=^dn`L!0wREz{9ZeL_l$M>)*~6h4kg3!S`dHrBw|H0CTQ|+}9KNTCxa)&5ft%$J z=9G(^aUwx4fZ*sPXf`Yjz}n-`f(2>IbY1S;O4L*E^SjDxsDa#D%iq5EA(m0_ z)m({BOBNhY^y}$Z>*s)~xQOf9KV^hw4UZURN2N*;`j=`;VU#1-8{>qtZAzEI2 z^5rCu4Ka-RvQ53-9V=f8lF=%EC1f)G7=loZnM-tQfW2?9{{Y1M{+MtZg=yR~)>H*= z_93?S>TI|B7_oB?W&Eoz$a6f~C+43BXLT7hBGp#qnN>z$Dg;JFj4%}xu|{spHZD5s zHo)#4_G{;d7*8}jAMCr#oc>C2;!2qh0%jG(MUL(GbZ;+v^f<25WAW#rBLw^yz}lu@ z!GR-Q_P4!$?TssQ7OxS|Wnr2iKq>```rlwr-^&v&K|xh5CTo$^)6~H`M^Q;p1H~jR zrp%6v%E(lL2p|wa?}Xbl%BfgOm1V{!ZM;bU+y4O0J$C4A)6)c&%@|Gfv#S39g!575 z9@2S)^$LgZ{0mzxLAcVYDro=&o||9aVtX57mvb7N-#g8wn8BiYnwch&7-O;{IW9m^ zYXPX+up45*_F?0XXI@_M%kfvVk1uL?$1JPM1pCDSdg+5Cfk0e_W5nv!`iF(d(D6J-{IX`QeA^;{{Yhr zh-vTCOi1g}*yUeoo-W`nG3PdAo^soi&B2*N!wOU6Eku;h3wSCW+9Xi1mTR?_vAyoZ zVN-)frxTkNsUhbOa~57LSnK$YfHE4HqY*_dO3#==(}<8Fvk0oyNzyDx7CY^NEze#i z;n||4<^Efh@cS#7OJoU9C6ZQ!;b!qCnpR&dk^!dOZ`5BEO)h0D!@)A24WG;d?c4Rm zmBQSJ=64=sGx5I*N0S;nzG&g7n!k$j+A|A3{jlp(Q5%5maHOr7fdHFrS*K<7$Q|aK z`R7J!RZCwt5k~UUO)Tv4OPJK;dDlAlVRK4ZmMZul$+MZn5|s>B#aa@rYuf8^eY)QUD7jU@QbtZ= z&%C;rLOk*w7|twr*kACT?&B4*C-8cXB);8|N@_@$2^YgEw|vEbp3} zgyWtY%W7&PN^H-FxHmD&spOT0p&H9o1Yw%j*c0B{Z-BJ*)J*YZ?Jksz9}wJ~Cvo%N z-To_bCXz5=>TU_owT@@3t(}B3N&;*0GaKpO$}hj!!m-H2YG)f*4en3r+xna@OGTAb zQlceEYNwLMGjIUxdRu+F->%zSnxNqM>Oxae!0jWru+Uh7dy4{X@5T=&)Nx?_&Wf3S zr}m{zR0WvS)Dhz}l7?Gd+g6>eZT))Tv_+z*SVAZS^dro6>C^meiSAj-t|QDfCP_~$ z(nk@Sz=kGim~XPGgLBuduoiw8%qb)iMNE89`)gYj2e~J1zW&D)aQfdHEZk!x%J1sT zc^_EL{{Xoa%^l*O@O4ob<5k^Jbg};b03Vha#Y6s~R9>6J&^)e7Tjk&H^*5POOe7|x zt1N_Tc@zdeF2eW=C0w2wv0K(sUzwRLr}R900{yEs;wSI~sQ zx*|6RLF}o=nV%KphCjm9B|PgzQqdx;GSt!ZX1?JmH7h2O za@U)g27O(h@wc<*8&=1d(bOeMMZ>jlmuU^zm6`&&t}km}Zi52j%QGCWDxu+S8swK9 z&?E6ZCSg@oo@KI3Tt%q@g0!&&TQ@>U8{G7?J2A>~`e>b^pn(K{>R}3jZT;Suhvw?a z&U|~w>TF9Bas9e&f{bz4*r!E}LtVppMH*1$oJ|wvGiL@#Y37zW7^_M=Sl7vT$+GUv zzWuRWp{J;pO;akm$iY;AEG}$+r_1Ap+%qHp0M$8cZ5_a^k5ZlO=N%3ao#jl%XfzU1 zp~jN8E#@P)_34W#=x#ka3(_QVDo+f>*Ztai@7MLW^uyVqXpvaE72FH=?0%haCnsj{ z*TF^%7?4fx>)YppO*_d)92EqYS97WRZ|U&BFWwI_X<`~pPb*u)Tj|nUf9=<{H}Ir* zpZP%l0JYZr&%^B73ivHLG||d|b=ldQVmjXbnEE&TH~#>GZx3O=(ahK*P+iDOR?VBMnUsHE>*!<9VEjp1aFq zJEfCHOnlJIDW|5EN(rc*npoMRnI%$>8;gP&PT+zFAc4K_f>CJDijg!>U@meFUIKjKBZimv_SR4NMHNevtIL{@WDgyX< zr8^mKYc8-&?|)D1Fcu*aLX-ahF?Cr;YlCtw7We!8-Q{fger-uBg^{AERxZzTVAmsl z`}^#0sjV6L?D~nz%6VHRH0`IztaLF1=_hM%=05%LDUPQwNOi>01p>hDqz;z0_WSup zcyI8zep-$Sn(x%>3Qi6U?G&1)pAq!&;%6~DjT)9Lh~JMd)APApAo-nTLt zMMQriZl8TImFh99R%!ZF+nWz15SbhC z4X7&%hdl<}4}SPbscC#&OCTO^EN#&Ji($HEk~AU~gq;`PeM95=;}n&S2B%kLwaWlV z-`$J}_&ppLzlAEsO+6|rD+{EGEPfb9dQTDotxCHo3Z#SU(D(GllGjNbM8p`4!PHLJ zN?gL8NLR*~+Sl_b7Weeqt_4yiO`43hR*j{Bv=Y}~*1yAUpMIDJn`P4p%#lZ_n1E8- z9qrc!W~`-&T?J>F*9^;W-wR&?v%0y&1?h=xTLm^Z>%YGQ50VSSbOo7+rrWv#Z+rf| z@es_Y{4eGUF6P49k=N7b^~B?dYT1RHfZJa8xIcHqHoBoEb0mqP_SlhdebzrLJ0Fp4 zXaiXYm9-=`3wnh)a}2PBv{gE;FJJ*Xclm!@ zORHz6k;uP>>P^D;{Z9VDEzGA``qoft?@6;Xxf${%@eQ! z!4ZXy_x^|L?!;#^r;afpB~+ohBQNG34~{Qk{mF`&vJseN5Z2V1i|Ez<(;*o42xf6n zLsWkemrU&ARn}Gh`(xPAS#u?#v&C%f0|h)2FqxNHTnn)m_uk)k!|b~(r=AZMmZ#1e zQ{Q`fkA@YV2y+P;o+R61@B7;x{{Tigbj$d6HY0ThsmISey&k))Yh(6-1aXyXd#o*g zf9r&E8h4Ng%wb7BP{Z6l{&+%`u4(Fu#1s%aZaVZorX5r;shZUeL5u=yb|UBJfA5L5 zodMT$h@TN6e5x=%iE#fZk@iEk2QJmO+8kkZg=iF;$6hQiHUFG>Wzsk7+jD( zBX7TkBK5Q=WAXI1reaGdw?Kc#*9?PUENkRV;rwkN@a7@35p(YAhLWRGJ4Go35oTlb z+wZx?l@QHNMNCfMsQ&4W{I7kO*b3IZlI5^>)+vrZyb=4@SQ3}^f4bZ(+ui-5vogBw--MTy|(z^?v8MX zHlnsE9Her2!(COod%At!3~MPGRR%?2U^NhS7Q(rNPF*G_Luj}Wq6b!RoRPd z#E*B=9wu0Tk~5}44#e91K-2X4-yHl06=7Nl{{Ra-RW#{mR#^f%>f8`)ZEuD;7l1vk z`0k&VEiVahc0lrQ{Wv+*HE5W-LTo&E!pfuoPLq4~>$W-bhNz!3%_*|vnNmuLkwlDc z6q0t>cK3D1OK|@HvV}JgWf@ltQSlXJ4n*x7*|cXQmKV(`wXqV+!Q1(2g+i@}02b=o zW^(#g#>V~u8K?0Y<{Ys_E>+9!9)nasP584@IVY{b5};A;-q_cMzlh&As4ANLC&S1m zf=Ju&w9~AMs1QoC%;8T{a;=B{(SF9+B_9va((-SDD*pi0XeIFL-!9FjsHd-uhn7`Y z<41^t)NC(s1%Nmi$xma>Ev5rG=2t8$tD&Zz^%N4%;2?-@&hCwM9${_nEKZZ&n^=0J zgHA{-%kD9#j+QTJ?lz(nTsgy4%}K+V23*EkjN3JM%LvPzqK#I}^D@~&g+-CrsOQ?6 zm#>~il~Ed58RY`t3zK^fPJ_AkVF9; z)5z#l%%O`bB59D?LOP9sJWSE5&7l!`>_<&rg8O>k-HZHmlqm{s**5lk%JUq@n;Aqo zesw-t=5u_?lZs=>nwbJNr~G7y;s6HZ>0(Ee3!HWLD7fDt;7@I?6PhkzXWUuC+#Qu> zS&dG0#2jTuofa=iA{i1&atv{ai~==~BkLE?HaO+aWUR-Evfgb$m~f?Te+;lt)C#Zq zS0P-_Fp&y?V}cfq(Os{kNaIQabJE18wa*rDyu4XPnXYBVB`3=x5r5V+#u~U{*1*HE zA~FZL1r`6s{3y8*i-hQ^XsVTB{B3?w1zN{UimMb6$2yc!2IP@_z_=F& zncg{`u*b6r3Y~1XC#b#qcJ1FCFP1GPQ^7fWl{DqN3O1F4AS94n?Y)7p0Ah|sOwq*^ z)SFdvS0$vQTCOC>xpfN4b;BNG!I>(wmKU&Ary7sf1NeuWwR|zckHkDb!n_YW3nHt2 z8<+VzDyeIdbW>PcuKQlyFOF8vc)vH|O#Sox&Y_-J%P>Ia`Cy+aDf9zuPk9^0Ii$Q! zxo&AEi4{UHjy)xf^xDL4(%nz%fm+BG8Qx#^B^No-7`8E8Gs3f!+T#J=(t&ULFMCz- z=dzy@*YQsPWO*%hW@AD4Nq^R9rU1`6-E6CuD(oKSC$+tIvp+2<^FM1IC&(%|zPckN z7Ygt!H9Dl~Gy_#T-35-R-@}X$%A!N3SIusI^Sg-sqB*^sb7zP$cxWcfW{qK>qjq(I z7~@#tU2S6LLV{kx?Q#`Glv{jV{5t);c-uFv<4z>uihT1k%&IkJHPswnNb@_`+r)Wg zQgn@gTdIMjb^v0Gh?6<&s5NOUzuuJK@~wwtvF@BU8moB{UBT+6#c7sn%7lPNHerY}Az3RYi5|^~}t# zl%Cd{PsQ2pWlx#Nt({WAU0*aYLsL^M<$QKwrZu=O+Yr0hTH@D-GQF|+eN&L+xi22^ z5#nk^shVoctA^@DLc<%Tkc?c#88;@uU4R3v>`xo_rL2li39{FQazflITqq=2iS4-qu)nVNrIJeM^q!rI3QBV> zo(+$hJb#;Uml5()D6Xu{>FQzs08#t~fnVUW?kV){3mDKbLKv!xdSj{hOWFr1;Jy&a zcypYY4ASw_<*PPjk>!-|G}I`}Oh%>JMT!<=(!~sg{J@X_2Nb{X%B!cFn0$Fx6){5M z1=fzfa9#F0dWJXk?TW5mA$rxv*E=x};tH2t8=ZoxfB@(K7*<@1 z1}@CC!_CL_zgU+CF0nx-`nr?;EbPu;b6Ym!u2g4qe3;9tGR`iZg83p>M^AZ3WoKBN zNi04oj7X{p4R#G-YlnW!Ue%ln?Dv)MuQR=^d3f}h>@ZfrlvPylo?j+qK{S3CjzpHR zmUtP-a={L^3{9MABb)gH#5L4>bxB8ERQ~{n6Khr1eaA)vp(KlZ{#cuT5Zr?}&1f<& z!(|mUKZdBDda+FtKZ2nZOE_EDbr(BhH#SfAhZ<4rna|;my2x zQ-5=|n$uVKeoLF%W82q|-r3xX%DG(^F#Jrdb@Rnbi z)4D8?M;aws<{_k6cGMHZ4vGM1;}$JLGgz@bo+p>e_9NCT5A5ZWWD@gRhGgR^Kg8uw z@dXp+l+6pwC4f{%tqf=ha8~P}Usb>ty|MIni#?jMT+@K-@*1u~a9&McoAC_|Ck0n< zw=1(8u2d3K5W=paN+{H7jH>8T77$8I4r6WQ(#$DXL;erCn-D zvw&HwE>4_$AL?E^`iJXIKc`QV>_Sof?bKAeDphGV+e)u#{{R&JQ}q^XyzXbT@^y`V zTHAjfHgUHXe+wMrm)u!L2QV`#T)!l!$>pk_IiseT<4GcnyHk-PMyoS`)*9FljBT~C z#+>lrj$Y*%+Wsb+>`^)E56&1v?2JU{AZVsiA(TqB1g zeV>Ngb^Tj7e~D=xH6pQ0RtC!2ZldSQ+W!C^yJ9U-EK!>R)(3mF^p4xuVDB2|u9L{I zG){u+s1QjVf1t!tuZUR@ngww)ZV6GQ-!A<-W9)vD437+K`roF{Eb*?xiQ*+Zc=Rg| zE`op){{YC2zU%(@Z&KAu5ROJtiP)&}?bM&X*c)4)=12q7RlCXs&DiX|oqaGqr!lRn zL*q*B3tU|F_+!&y$27KU#xfkwc~zn^$E6zGm#0hH+<#m&CMgkCTC`O=oh@sxr^5|$ zh;!Z};wo+#%O|FaqGl@fHBV^cRZ`CE2IWG6!~t__07)1#6?ZAyG87qpU7Abb$PiUm z)+Azb3G?V!{{WYAHE1N278ha(Hq<0pj~Y`}0nKVx@Xo@e9hG#VmL%A8>(l(=Cs|cN zIdm$13@BV&jTZ6!*%jK`#|r7SnT z{rx*&;fb(4rw2j)9-k|Zis)e#4A!oNP%@9r;w`_R-x_C?uuGd%m_cG?VC4<&ZcYCG z0ImY$5=t}5NtQ1#tB%&*#5mt?OJ4r~t`yEIB3$Nlg{%au9>(|F-wsh|c06Z`Ab$))g@eHrjvEO?mhT7msCkL z8i&-^k&9gSzW)I8?!?7DcKHN1+4Hq)_q{k~)Ocj;C}ZNgloZ_~vdemyWq_ z&F&|DNb?(xs;l#Q(>E8_@YgD$&FHfBWiJ#Z*~OhAV0^l-t9u_PzB5ik@HZtnYs5{# zQ|Bx*S)-D*Gc59Yp(Mst)c*j@asxMW)Z2641-V_o50r6F8D`uwU!Bp@=C#3|k1@+t zU`pQ!LsnCgx}-)L0R)vShS<8*o-dadpCZ2_{{Uw^uY(GDjV!WR016Ac5WCnL zT#<9lf5y9l^6n`149~M#s&smslQ_$3sGPHKx|~HeJT5%kTs$vydd;Z&CC1pNB zqhylrAnO(TET^u(T)K`u_xQe3olCwmWf&um3_O(PsPl*(n+hbyLK ze!8y``H#l^Ajra7hzx-m3T^N~vcs)N6{{T?s@=B|B%%ZUZ*Sl=J zJN*T*KjEv#mUzH4A!U%=g|&If+wFJ_w_M&FwC;?jP>^qXUgF31!->jBw~fdLeL?>KG5CBp$DNze>Ea_at{tLn zom%?Z*W2TS7)jy&A!UfFTo}+;k6bNRSL3M_NEv{#OZiDQ?tM4F=Mha3>9lT^^J)3p zZ+ODcZr71%#8EZb4VZa}x6{-9FxN7GA-Yr$2=ZKl0rVa4q>8GxcV@9A$-Rc#V0}}Y zv!j#V|Ws*${H@lIfo1b|5wjTugigX65l_OP|lxeoBUcpDM1lGZ! z^-^eJ7+f*6`gg$wsVLw~EDr2Tbv-YCmKf$KG@c@?zK2$=>^}Np&O7Q2f$F4JZw(Zo z>Az5K({A5;binGwyCnS1R`o^^riRHx36!W9#Y2??6W+!W(4RL+w1#bypqdC zvBxxuB)#kju^#^bzg#_mk(X$|^4w|!Y8L76$IcX~9WsQcB4>h2y|;dZj0mfW zx(a8Kv{(V^1-oyF0>rF{(*g?r0OEEY*5SMu%8fqgd+m3)-df;7ng%|+9mh*$ZUvd8cIG6Db zPeLOSL{`eTE3hl;)8*@kZegY=7CB-%7+g6U`T#|~?eu!zlbfV9shF8UYf=cgB<_A# z8&xvPC6K5ZttG*@{cybXFr&yKZ6inn&QD8y{IEYTjtYrPIgR!o-YviZBPTQtA^N-zhiJQOH!n4?&OaRjhqYgwYEL&e!trm&$NrPQRVc} zo1;$hGP7KU2uIS#_Qgs|I)#;n{!pXLM%_X0{W0qJ3;Z)1knUoVogkE34eWI82e@?q z0Gwk}{uoMs3b(mHpWm)MOJe^3 z%ZOjGU~ksIpLcuWCiF^f!x=?deN9FGPDs_8(&;y#o2%WFjM6O8;n%kwc+SEql>8W3dky*Y9|QM)5@ys zqiu@<2h3xuvOi`H6yk0ypw2k6AFLB2w2f0qJv9OV2Be61VBC#FUgeF+Hn7O$ zP6^X2<4?*d9M9W(p7Yd)gF2z6V08fv3NQ=q)qVQd{{T#9EcV~_ifSsXj$SdRsiv%_ zXy>JDnwSSdwq+$F3Z*@(sfjMiHYdHlqx8p6!AVIByyVHC%joGS>v#@os?3I+G*Q!L63RR< zu)V}Z3lcWEh9kDz%bHMr8ly*v9JX7jSpf#({d@NPF{Op41kp|Xth0TU8zQ6TbvF`b z{D1zBK2VEQm&Q15qcb(KqbTrv2pw4McO;t)BYWE&6YTlL{Fdh5w6=9s%$^p>_?w4v z9$(M?ftF^O8l^Hv%YG=&WT&smDC;Y7fV5KQ z+1usOJc}x~hxm|eigbo$YikLl5Kbf9_~vb87d5jS)0dn}9W&HH5@$7hKQxe2RnDk9 zMvCMU8DX}-9mpp4!zbV<$vGE)wQnqWF`fPkHQ+p>g*aX643#;JK1q~81aU60;jCz) z@ooOl!uxl=A`<6$8f5gGIW16mCd6AC_OaU)Cy#nG z@Xjd>^S)JaZc&)e9$k~qS4z?rr=AZ#l$(HUxIH^!Y0BOPs^HqlxR;Bh6mrVm4GacA z%pJOt1;iR6dD+8n&)Pc6Bp!I@73a|f7%CReUGg49y9OpYSB7ipD{DK0e@ zR`eU&9dnyz-pgDQ$}HcOd=toSQBtGilSdB_((u(BnVnJ!W+X{fAZM3SfWgg$&Fpr! z$KE~W{ZAF-*=Gh(@Z3~X`2>ZbtCFeZsg(JJ+3c#nowilmVSTq1Q_UV{OP0x!zcYA& z=p~24mW*dJh-C+2r9)|Dw$?YkIYqLDNne0GGAkrPq6Cf60@z2tZlL$uzq=liMWvg< zj0YClz#o_CjcMsxnF3O(lFC#IUvItsI9eJ;Z3anC=ksU;>NoiOFj`MVjB~VgKk)0} zoR65)98tizPqeQP^7D$TjWnD-GEDwTQJ6A`fmvc!PsFO0jEL3M#oU8y;9s;=Z}AEC zY|l*(G5PzGJSNDFL{ur}{6xB90EYryC2`Mu@BaXzmz`Wz`~}P`%ZM_wn7ny@ zeVA9hRYiJ*P}4~>?=dbP&(Vh9*n)d&+KZQ5<@UMZSb3f7x6h-*{5-HIn}R88KMbWe-ChA4;z*)9SnPnN(R!^N}H56HeEmOl?M^_6+EHWt}k=1}8f-Sx( zWtnq{F6_ol9F+0PP56r}tLA1KY&u`-zB@aT85V#40H*vLwRC(ca~cXt338EL#JQAa z7-p`OpdJKSe2S7yDAMe*d66tY1Tf?*zLZkNl1|M#mc>}`SZd&E;t8- z`H5LclchO|7-Oe|$f|E(C1f%w8jg~#G=H;6-xWK!_%*IL<7~D4k2$5EaEG*467fA9 zOF#0H8_$BKi*C_n-Sj)-M3NZ zAf=|K%bqCViA9kS6~IsjZl3HfBqKQWkw+IRoyFyTqmL??&>0^mqV{j$TF!U#YO5~I zMn>@(Jewh^oui&-bx59RrGXeCxdf`l*RVQ>1skJ%oqel$5yMTJ zRa)uVf?})U#PHI#iA9)iGL;0z!$~6?1peFn?anxQzlV5-E#a)vimn3{RVHJTw6V>8 z%)TH5ZF^g&2Ww&t#=P3%4m-+n+=nuzprxS78b-_VysCjI;Dw|hyO05Ab7i|RCtyXc zZ%%k2jx`Sjjz>G2&7Zl-mudL^i|~>3SKHs)inE?vBfz{t##~~VjTTeP$_&9MGU}fW zU-adUcXm`(1H=kccOZ~12sY&Z0BRn5@DD%vg-ga9BSXYFZ{gBNB&W^vq%9aKMA1bY z(nkc0b%u^RD+P^<7A!GHphTmM2A>jAH%_2*(thv09j$dW4W_$YKq`xE+o_Wkjd z%Y>%}jBL1W(l7r2WnLu9az1Ww?Ag|TEf!N2eM{m_X7EHK#X?(ONR5Fy?k#5X4&A?< ze-Pdj<`)A$Lu{+-F{IHd;Ky=%>XQ|T^g?e|ri@s>}Yab9D@IhIwHmS&-@ z&LggP=kVS&nl+skEofa_22=Td7UgemKWMC@oqShEndAbnehz@cQCXUP6jbICYGbZd zr$mW#+#-NFUgvuXihgH)A^{w7GX&j9VYv8T;yuR@t}^1!_4>w^dD$8QLcezJLD!ei5Ja-_q0Ja=T7)p6~8$@5jgoh%<>Qrev7` zcNbC{u=#v`xB*)tmr}|Qvj9s2)B1NfdzwoNkHn{lYzLEKZ@1T`1kEZuMoxf|4ehb* zf2Z$keecuoMiEILWZ}U=BDDE#VVqT_O_xwTRYgqe1sya)d7aoQB7@zD01sBglR5T( z=U!N-7l*R41dAN9Cl=)QAud`M{cH;FQs#I)Iic-|f5jh9Mwy@4G-BktIg^Sj#j+7Fr>n9F$& z%!z2~vP_mpn=Y1RsA`m0gQ_5TCS(z)W&u$YTGzQ3#4LP=l06dZ^ZEY5GcKDboSn(e zX&A?$R;deI_6z~^?R+o9j~LJDSdr^`8y#~iH8YS+Zga%x)o2oByOQ_AZlfg9OpQ}R7~b%zRbvxhk&lUMTV zhBzNO%(`@=sn~Ys*^o8bTOELd$3J>@-^EA0GXgx#z_G&b;Et`Mtt( zBh}>jf=eYV@|8-syvr;ksp!beE^Yy_x0DQh?)H4=$1VM%`B|0oSC-k-by;p>3hRqd z5>m~i7lKv4l0+m1Q_%f!t%6IcK5gqfT$9V$6)s7i4m6X|Yxt5H@lhGzikPL%Qj#h!1#Gl` zf%1t&qC)!mvkv#iOLL)Z%Y4M40fIe!WJ64mgewdoya6LAd%9UNXIo>Yoo z#LHpd$pwk+se6%*kmMF+OE)Q~B$qtT>fW7YhPHkynOeFzV|G~*W&uGeK^nRc0lq#z z{{R;+ZJK{-FK89vzF%e%O~KqF43v32Ombd4!lmE&^@G(LLZpBEQ&`$U1h$el{XZPK zMYE0bxBhFOVQZFcPDQ`A-+qux#g%K{Sp&>LalgmX_?%}lw2LL#O_Ju#ao+y`D}LC7 zW)L#eGNPuHlJ{-xzxKi(lhNY+q`xbYS!vRGg|ihJ4{v$;Vcbzq{I-cpuzx7Nl3L^F zFMqBY<Vmr0@(Z+`8fE zyhKI+070ZsVM_}LPy)W=@btljmh>m^tSn9J`}Fi5EJpc7m?du}I6i15ZBp>QUmz^K zjVx_$;9B@GJgYQqjZ2Vq~tuGAyYya>@e_8AyG9{DJkk#fwc* zFqX9?aNt+YRmIqXWMkJA#YtLaj#$~YAOvA?@4nxEwTlfiMO9w~?mr(OwT1ro`eQ$y z*XhjYjMzh?!1+)Q% zqo^|i2sY_&Prmqs@ijd~EX@?MYuM`aAl~Hr@ndI=Pa+y>VgqfjU9PwNw%-s=CW1*3 z;HYImdj?WX`r8hR6#S5?%Ly9D*0}Sr>xL4e%PCn3-(VW&-EF}5V8&rb6mc+C1<-?K zO^wH<7*w-Hiry$v2m}jUe2y(+lWSt%kQQe{9-?57jW;Bnj{Be6w)>0>YXc$F!0Rvleb^P5(jpljKm%A?+os#~>4&WZya4kBmYzbaSc`3-+UMQt z_v?Ta(N>lXENa2X3#5`j>H#Oe`Srx3Fn`0uQHqdF&^uq<>F9r7s2b{`$5fJQ3Oj5) zdUpQ+ID9ttbi5HP-aigGBaR|`=D;x{dtensKo_{hxGF*Xnc$|<)*5WOi0gCjz_q5SSqn?$?y`k8Bl=*X_6li^A*EO&)WpcjJlg=@ zEA51)$*F5%GMK>(EDKw2m#^QZF(S)CqBIL4y_v833~cpLQ2zk;!w|Y|%r+l96n4V8 z1Xn=RPzre$QWL7^2r7O3J76VNWQ|Z|5(0^GvR`07=e`|rCllrAGr*0xV`aX~E_z$v z+YtQvH>qPaG_0Xb)N0uLu>5{V(6(`#O*wQ@uDukFpFQpC(-FMdI&_mlk#=2I2e(7E zG@CM>2MVn1-1)cogX!+WX=O;mO75DCf?WBOZQHk8S&P310_$RE>Py5FF8huBdVicT zYS^TxU~RFrn0M%ZZ`%Z=i6kOIb|T|RwYTrS`+r;ysf`*|j7NRH+&2BOYYnz5FYPJs zX^Eq$ughqoRRBjLH~#=Fz{OZ(OKVc)n2}=J3lrD$#gpwHG%udeMAt1G5*JwSv0^Mg z{L_lajWbz=k4ui7u6{dX*LrgQ00~)zpZA*;A`@-c7{C>;{}GYbn}w)oPk5S0#MRaFvcBrvhi zIs!ZI>wIQAu~yM-RvuRy_xNr{_`)MxOQf)|W6+V@-q_kPAC02^aylU2^L#!(TnyHU z`3q#6MW1l>dS^LBQ^8YC+M<+hLAl#+^VDM6;|_N7k165&oPX3+)Oqb)F1pOF2$kGL zqx z3vYA2=WW6I^cdE{(~2lgO%5_%Br;y!6XG3-lQrMq>-lgi##@Q*XIjPdxxH=Yqi zMvX@uE`3QwB~?)-(J85o(#!$79U*Kt+*-cK6#oFMK&*weNpMI4`)~K}$5Q(Vzn36s#=EbL7 z>;u3&8I#e)#&hPBndW1Z(Lz z=lOWzjMIm>1CC7f93fsLeAk9&irStf(F=HXl0vN3u_T1qb~xWI;Rm|(L|9GBB3dLI;>oiVSEZ-k-qC_vFLq*IVY2ICy4k;1fPi} z$d;lc8AlS!TbI?=s>P?mtE0=oF^84W-IY;U2pWkPACqz)*=r}M;z@X)gTvCN8by=j zOPM}+o<)WcRTM^^oEfDO8H{m~WRs=Dr-Rj%24=$5N{y2_W5Fq z@?FJk9rgS;a$YFnk7a%-;eHk7JiIK`JV`E`&nu2Mtfzm$LJOZ68DmnqN0bK|Scwwg zjvmeHE2{HKx|+Prt7>Jbm8xo{kh@PSIWHqKU6`>YixNpB+?(GCWqe)5Sw#(QQIv5O zVM|GvMq{s|g1OQvq#$`EKtPdpzLo?7z8F(1)isD?1V?>>9XsCEwgOYt7O>!Qm6PsH z^RJp5k>V(LtCqZ9K3_fKX&_0M)6@iQK_!aeWlP@5*8@=^$9y*9PCCta`-`()V{u&2 zn%w6xmbSgA;?jm$7`p`on+xr0pN=CYrV2)+w04l3BR2T*3vVN--aR`!bSAHt)XY_umX?b9%#=3WiYfTwBEiug2r+ z^1!I;Krb0vkO={HxW3!<`|XExRY*pdl#q4|&P~2JQ;AC_CUaqwtd63f%P0XrCg-^V z`+fArlSriau>oShYSCZ_9fi7e_+h?VQt(!YCW%NpDza=3ZryO=IQ5SZ6S*EjECu(! zz+rAKg{j-I)ije59y+NSG~BQ~Z`%(jqLxh(JeL69N#4WI`|#3wWr0y)D=w>Q&<|T3 zw&~LaC}J@(By!roYgYiN>(<`m>xE5PF5#47wmX#TRf07jZMCqa3V4-4YJl*;0QLgc z-}JxB8q(7%lFXr`E#(TkoB9rb^xxl%&6&kXIf@A$Mg;lD01r`Nx9RS}B)cJbCZ`~^ zR%uO?#~6(@ORH}?5ElK$z2^PLNi|(4X50d{tXHVshAUSJcSnX{9ntDK8|hNiB18f4^K{Ry4C~I)>KK zuXEe32FqJ6wP?w4vCKms$dzfgTJjecVvKnpW| zVo5hR=#10&fACZA9}#iyEc@{Y&hc%nQE+b$Vq3Cut zwmF(gwv=lLz0J={pLgwvUYi&(cw>!z(Z}MFRXXotM!*0Ezu$-41;IJLF}UL`;5>?0 zBdEX^J7cGZFziC?{Y~O9D->1W55K1#M~xAQQ2-UteF-1vo2?^9}*yOuLOZ zb`)xg36@ogdz6q3iW?AY!r8cA+$5R`; z4laO6t3gt}R$GhrIFjf7*xXTH#5B3>KP&h$jAhiZ#gxTGNm~$vq>?yhG1aR}b1Q0B zB^zt0#1oIbqIsLe8TMVo8TMxdTzO<@X|iooB@)P}V-k2#$PM=`eL}>LEDoGsN-My4 z@=d+?BUJgeL%{h4L6|mK5faqaelD}{pv+Vdg2k>v?ryjDdfX=qM--usdD`Qh9X6oqM# z9G6lz{MNf2{=f5Vde5VH`19qO%C3wLQRU{kPKxK9{1w7kC2baM!mPLTZg;`V^fW#k<*AjfS zRr0kI@vVf7rO6lAU-ra0lu0-;JKaHDKx};g1Jc*@#JeDx7_x$hmI)&gppD7zx6|kK z^Ugc6-g&8G9vNg(1;UU)*aa3HZRv}b*)!Wqo*u_sSlmy_{OlT9_feZ$>DtSg!7u1BS}hA3fchM6Q*d7iC`{l(5>xZs}?sCe;PkveiF#m zw>qR_$M`t+rpABloKaCpp?Y+qB@$~J5B&;zYbpuYj5I_M&8&uR2EukTl zAXXrYd(Hdy$2qwcvGL)KIs@j2(V(10w{TT~00Gc}@4sKKTtVwtoWbKIm&`)zH~5a9 zAD$KA1MVqAj(-#$=Q1 z%sI&^3@5Hw6(bTQ)>S1~k>7K*{{H|=X1RiAIfNb|^3?uTHq&%om-M*b6%2xwT9CR1 zsWhghaCau(*XxUCIEm!qO7y9WIvIuZoygF>oqxtN{Xs9&GXDUjU%#VC2j!keX$cor zR@6@2!QcAf%yeR)C zX(47{sv6te3!hK#^TL$L;>Kc@SMdNOITq4AFoQ`eObSir3>5FN^c`)59Lm97MQucG zP<~VJ`{{^!4`z{2v~rmkU86p0cfYRKLZUy!6_COKL(xxN{y)AQ_|rqFl&<2}CjoOD z@;Y#0RASN}F^=Njdmo-AEl~|x8fFs5DR-wO9DlH|-_+X>{v=Z*LVKeFao8Wezh@Fk z`i#gaohgmVkSqo6I&aqz{PQlUl0OvGooBL*$H&m%qT66Jkp#^rXk>99C7A4b4XiqE zfjNWLBgZd>%C-fR`~LuYVojLkaCnk5fRnQT8o=9r_+ce9K#1n@fwJ#&Y+ZuTnODgk zmJ0I=J49PgkKNQdSbeCY4^fUXAY6;Dpb0PE=LXVha6?Rym1+Q+Z<#MYumF%}w1 z*o95))357*b$}rvoDKSg7TdnqbX#tb&CvwRC~G540Esre!+uf!03)U&xr}o}UI`U$ zK_Gsc50&vZ%_$`e$jNpJe8FvTx%qlxDN#!GQ-+mvvANT6alcQNEyd8b$of@hQK*g# zs-ct%0~lL=tTF6Ata@nYD%BHT;06A;_9xoL{Ge0*PTPjMDI*I!J{zv#x{G%oewbxj zPF|^MVqlI9!^VE^*AC#C29;@w0vUYmx7o+5=^>*;ePxxd2d&QM;g1!x&sgi=XC84; z5xedRKh)};q#H7^CAHjoZSKP%8eq258fG;DwZPU+qh>;Fu)j<+s+MJtqJVBlb+*J| zDUDSlcxTUMx{yzo(+F1P4Nw7^VuV;Nhv|owe8cl&jPav zCr4!Pjn2ijbRXvrrj$t_Vl@RIp(f}2b;DBY9E@sjZh(R>?)^XG4TI28oxOwJx#^Gv zUEGa^*lSj@$+Lnkq?RY4>0yUeu-pb^01J>+zguC1(o|Eah{nVKcXjXU*Kvz@D(H;A zw4%B1Iu1BU2BtrUTQhuG-K>A-5Q~`QR+3d4`hYj-zprnuC7kJL7msRGs>q%KtYo3M zTW&rVC-%fk@c#hKEWjvkjcu*A$LW8bJ%0st!mPm0xt^%3LNqH_Ey!IPo}b$o5|RpP zC1j9ka4c`N#g9yH;G6lL;NIi_Nj(R^l1VJ9-=`OX85T$q_ppSL@T$=Z_?8vY6ZgFJjlXPQ5X_Ls(=&t)yAD7Wee( zcf>yjY3&90Rz53y-Vwp|bx>EyAXrTltsH^a*Z@$1I{N$l*s-%%xxvh?FQefeR@Cti z5NFX+BpJL}4MfvNQ>FM2US;4ur1G+X1!9Uu9gOt2uzv!%a;rut%;WsHO2rT##5E z#=*Y0>P`yaE)e0GJiuj~zM~a2F*CzgNGhRtDh-H~cv1z}i`a`3*WHQD%?x>ImNCXn zS~$ar^L}*jhY{BBcNykA%vHExD)#7^o7Np?`1Dy7MK0*)aWR`Hm5K`coF;r%gx^Qt12(iMK;!gNi>oLffnzI4mloYWs6EONHmZvZMOYy{{WZijeHICR%hh% zqW$(&_BvjA@J&}AQgOv*Ueq;`!}uJ(hRtvyG)C0#_?SW#GFe5BC}>q}bg2PuJgxIOZQ6 z*6{RBIfI#0#W?IGt$+$V_Vu>H-?vWj#|3h8lf2!?^ABg9TfR?ImF5|Z9Ch;N z)w9&*k1BXjNb4-}MG=I8L5)`WiDJYlQE8m<#&J(5kJ~?uCY}?fpwaPWcJU;gG=~)n ztES8r-wv2mq^PwRIUM0eyui&KB+FAXu4Ny~SQ1H&_uWF=-o)=~;oT=E`KOyy%RC&c z&+1yhF*yXpfqk!d$8TM|G0}@loJ^}NPqs%hnU#N=66QIa`fuo8G)w#~f36E~#U4qT z@kIv;H@AN_=%=Y8@e)Or*0RF4wx(bDiZXiNU{9B!+Ua>Wp{pB6jeCB+r>pPKA6KB49%c zd2Msr+y0*HZk0D9cXpElaIJv}~{BS%a`Y|j}`wm(;qp?|N&>|3 z=?7=mx#)g_3{hp6o5G+2ZCA0kxO~4%70c0v-7_{zDhSs-1Z=l4hk=(Yb=MOUSPFYju>y?~2kguccNO4$cdJM{Unc3~=-t z4UXw*mU&@A5{6&S#>U(9wj9Y+z6d~ss23z1#k*S!AdX0+X_U2z+TAVQ{{VlsH#0CH z)EkrL1@`#+{&=32=(QQ#Sm;*NtxCxZg;5j)H{5OO+a00ok(n-E>>I>&lk)q8c(oUe z9lz1I%6dq$=O!vUG_6=fHybkDmvDd(Me)SuXFyTV9Ym0!MbCSS_P1}}9pCH~4=UsK zG|ZysANm|Q6-O9M)gy@B5lYM;q)5wnr$IW@SRRCSBNnjZDe@-Vb~EHR`LC2_ zyba6Tnrc21%r#clC1$8GE!IIF|RcD?mLb6LF zVn&HzUlQIHqPmnFM$9(8{7c9#T~IUTRGdvdVMdSmM-7=r4~008M$YmGTqwTbG@Dx5 zh~E{8aaR!1Qn94Xs*uT59Z^0p)Fwj3WWRR>nCc{(p10o_q~m@s$!XQHZY#{FWM30g z(^tzAF>(nvMjdpG`t9z+BI3M-9?@goJkD!48;0^+t{)r1qt0^}WTP_{M=0ouSSixR z#jHnsLa1ovpyNt;Wz;<8LX$bVTwJNW?0w(o5=^&=Y4eKAqK7i2sG6#hzGY4}9`I_K%7b4xc+0=y4!H*X{{8!4ojoG-a$E~wR(P~9MCuqVM0Wu} zu>@`EFy@jrsZryXBo?vQ*jSyd)Zg~_;=bYjH<`*&&RJGD11y^oM#ra9ix-8sn}(?s zW|K0fj!^9FB|?Wbu4o0_ClKpXVB@w2Wmc;=pOUTYvf6<$!I(Q?!Ks0gRf8 zslRJ(bpf9WaV5 z)l8C`Ao8$VU$=jb1k1$E@{;h67jOv&*I;kGhuykk4W2Z#s?x*;jo9fU<8p28!&A{p zQ;H14koYDt_64tDw^M6%#u(8l3d+FPs4CYWTkqe1O~houu9lZ`{?Tw(e*69Hge2mr zV<0V*7@JxfA5Al6Mj1@r-;s3RuutnW7uu-!3ZLVE{LK4 zkV5LQ(kusky*gkgq)^Lk9lgu$VrKjg%ibER$>~z1rN}9Prtr?Kmcac#zpe~V&mJ}6 zs)eDg%V?>PfnddnUcEQ#*VhfXama2v<`*t_dpqIf%Myy8DTX)vMr9;2YA6_m3j~X? zHtIIprY2E)A!kmJ)Y5S&jhU6FhI)cRKU3yZ7Z>kfZckB+E=M;GIn!sJ%jur2pCfpe z+x!$@ zE{b+N2m;>Yipzq1mSD=KO3onShpB}PrKhG>0sY08*bD4@ruOTLdJ0G=;-{pfL`dL` zQW%v$RX{8kZk8WgV>_MA$D0a-4ev$t?pF~vpUJ!UF~Bt-eum`Ve%s?U8Y)hpFJ%O5 zZUyn50!DC<&l4@Y-XwP?d}^>YFa%5)k3}o_LBDg<;~BHkFCq}sLS2T0RV*)ktSyZV zOi04jOQ-?^4Z;0!y=8#b%?kej_9t!s089sxR-R7>@a2jv*G~N{wZ8gbF5Lz=s;ZF& zgpBHcC@It*UGc3JRIHvoLaT-!m5sVw;nrJ429Z-cmS*g|7uOF`l9|`UD}6%uy|kUL zfwy4GNDY|AHH41(M`gC-so4Cn9?mGyY8D^}3##|(efXGE#;C3lS4sS&?}*-PR1#(e z=e5389=Ndx=v0{q&M4EwYp@{oR(o&nx9xyc5K^;9tc4!uOWXPny|E+W6)h=^QB}8P zdwoVD6*fi?%DbCfgZYQu@pm0inJ{)?VB^IlZLkMxll$N`YRFiiB@~0Gfz!C}f^~9K z7ARvKZft%2m>W|ZvRxG{Nbl42`C-xW03yAl69|y0+pW7E-=+fB{&$PQx02xL_wD}x z9_$&dqMDwdwBq(15cJ>l?r(t^oHN4-R(nZnh8y-E_3AhD?b{5-pL2-5WjjW%NMo}x zB?%{DJN4WQM{3|{rV|jQ(*FRG_J6(pn2=^r%Oy!7Gix=zF&U6+OB$abs>WhyD7E4pFBDhEl&$X9aTZu z$Cm-KjKD;UwQsFloh45H0CvQWA-r2#TUSycBnAA$+hgl&2btcJc-+jr!2nxtToTSB zmRZb@O0NmI8k^&{?}4?h0MfQ!cdyG9Ahbl4#euVC86m(4=mXB#VC`KO6+j=%$%`Xq!rc01PkRr_RR&l1S~)gGmsG z2Z2aqTBQhmH?(WE;`iHY{{Y51 zI-?@W=c)WfT^&D+$ImlF32$-u3w1cV!M_k_gY@5ty4;`da?~0DtR+5p=ObApTr;)L&~JmcL*5!LC20T0GuJY2gbS z$U;Tw(%-oIwg4IDX^ORgDW=+PExGCG@W$RL_l=`1$=KwFn4%*nLJGMGIuFy=4rxLN z%3RqF`bY#DdY<2YgA5}_2k`G;tiPP?-@m6%mHuVoMv)V)R0t3f!~#JZZGFc3VBXQ* z)KRT0Y}#}n8dpi#jsF0~*z-sUBs+M5+O&(1Z`>S0!;MPl=ax-T zBj!&q_|KahQ!f;8hG7X064O!9(?TJqp`}24I(l?bXyQfKDD}A|n6V1*h)I>;V8+BK z-1PYO`?eE~W|vCJYxgv{rbo+OD9O)Vj7vEOnmNFHC7F3v~gygyaQJi9o|`N_l?A*86O@v^+Di?WJ( zKLOC8s;Z=tFBWUEcwuBL<%g4E4heGi_;+%@oqUqXd7+y7LMIC5`NGKQmra$$S|$qa zgUGVN>2jsDdF(B4E&vRamczw;iZhbDOU3+Y%}N)~xR$X*KU{}?LC!daVA+`97al1)Cf1aGXY|LJ$K)1RFvY)JW*5( z$hq;w)6{F5Im;tyEMuX3l?)wxqr^YV0N?)rJ*|n?4&*ssG4t9EE{1A~I+dtZsiRte z(S}wB(0P6So}F>ekVsg@rYo0q)1+R;{Wk0C^T$d1HSpeLOOnG~JX*ihD^geyhnvH& zQQG_3j#>A36D#lb#&oZa=HcC|*>SN@EmB;xdDz$jE`@3JI`gARBFaW3;$4_kgXv?i%47I~#;-Uf!CouyJWcwk$p++sQWOn--Ov0t(W zE%JUi_Fv|IGB}EQtnLad(oQbTa>?mbJvBtujVWj;+&Y*5I-G&8p|$W%p3s%;sna;7 z2t-i^W+PAa$aRbRtUSe0$Xj^VyX@3Tjm zIQ2HK{{RWn#BFih{BRV@e)LkLI3ie>K80w=vWwec*bkxlVCOEWBh0w>C!%P`j%?bR zCl=If@~V+*`V+YH#CEP*(j-PTW!16nEIq@nC0vdF0NnFmhN<3NCG+}S7GCCb8(VUB z{`hPl(<$Z2XVA}X&N|@^3gW7K>yI;UX+9Ur;HOxk&Ur<|boALgp`D0YlTZY4R0b@d zb!F0Sb^@{fBULohdmr+tW1!-CcR9PvKkEF^>T^jD(@CN0Bq}vb%H@~=rAe?LD7)Wp zjw9jd{iZUk(=z8r8qwEq?G-fHZcC7GG&!|B4MWK@EYX>(;ZZyKh?v^MkR|CQr6XLo!b^#Y9%YF9Ad3D zvgvY1RRZSUd;GBanm5#AEDEr>^Yq*A_VmLD)=$I>Ld3WI#GR1gFFKT-X?*!4ux%x)AQ-s4rr$I}(sZP9WGv|WC}^}zdBaA1+H4rcA< z{I~FAzUSfd_jSd;&u$CjEX&$|FydU(*_)XBeUVF<7{v`YE%OYkFH@;B$}=3dSL1^D z$dYBXwqt7%N_zrBEoZg&1i@i;%&K%IyA!64cKU6L{{WfUc2PI94riIK5PL*b@YY?$ ze}&F?f`^Ie;>j}V?;L7^7^R{m>0_0aHg6Oa0>Cf|TSt$EF^H);kpccIP2qb^EQPh*Eli@%Q}gikYI6*xYioZR5%q$3KV;#XvS2 zj@xwp-uya^q8D~zf0uhVPM)8CJTYx-1BqCIe>J=Pe(i@8B1sgqrAsZe?`?&S{{VgP zl@(>EJr9}8PVh?#KC26rHd1ZAJCE55CgUvc*lwnSfp{N=IFB)(i6%7pZx_I_P?D(# za>#5Pgbk!^yLH;fgXF7{H}Ms&pxo?sBG>q1x4oKqpTwN8_7J7bI6kW@q0FoJ$mP*y zxzb*nEHyJmjZ-MSh>cDB+iD=NU;br@AuyHnIWtyK5W>VcJG00OEC``dsjW>mZ^#Em+Ezgu)9`}gVp03SdpxuM3~ zPs#3X^7oCosgd#D{XfDLCN3)B`gl?q(xQr^`7hxA04U0aGFwML;2p+3eM((s5xWbP zTiom{M^k>7?o2u{SS4bQ1X7@On{WUmZEg4dop9Q6L|S4Z%520NgZg{%=4Oo6b5}=eQ#^;-?sRxOuDpTaMDw$}P}!fzC&D?XqI-Ixux z>(dLAFCwh6fu#YC!>#`Sd?zG~qw;_n_XT#_&IC@+%&)b-31&dH7q@&dc_HMX zl(|HHBFRfA5-B0ob*Pc!A8C!yp$2Qv6Wo72sm)0Bfg;jHqOmXcX2oTdK&0c}4Xx->B*7fXzcY@jJ|s6AWaej>~esU5UTId~jch87Ipf zDjM(8^LqEg3MOy$T+BJsu5wBzLvh=GOMQ%6gyiF}RMiVq(J`?x83QN`2-R|J>GZ>y zxqrp0lBRe#KQl@VI^nDQ41yv95D0BHBH)d^J=mE0IPhf$9&<-8$#a5L>ICJZ zkCrMkA&x58%#&MC5^j2(x7d8Kw1SnahQP*lJ72d_MeqIl_PluylyG0Oue3%{mit%m zEeOkKWRdGK-XO}1^pom=ye29laU^=#cM8jJZPOh;$xb)^9C>a{o78ci402Ws%C9<} zXlb)f7|YzojMhYmNeK}|1+0ox4&V}Tr-?a7W=|_Cg0xV-!`IlCg8i%be~UQJnmkX$ znPyv(*Fi&;MUbs5Pc-a?N7W@wG{CB+jg$lYz)KGD{(gTBen)b@DSX3&IgQ3uRSLSy zIjv@1=)gBY;Za9nvA+9l?#EPd7awqqA0~g-`8zH_21yGzp^t{?;EIh2He!iR6*`f7 zb?>;x5_9{V+;JvnBQ9pm6tFjl4a(e;aj5QoH|{XbwdBW+Y?&uz7n$;<@=4xWoZ3}^ zWU`XN{m-EE?mJ-L3VS!@yx7ljeiGnLF@lb}Fr38IdF1w|i9ju9@R68wAZb>)BjN$^ z9~5ymV=E^RR6|O#>Cp=?3{;+{uWj%BZHHX3q z5N+1>_+X<~vqBP*i67>7A^3xpS(Q|E`Lz~fMIBL#{YH$i20&~stOI|x_qRd4v0PUu znxXC+$~S(XTlW6|oLC&q=PwlTj%if}Yf(1%X5Qy(*k9efdr-=i zEK${@a!Co&*YvmPhxJVjyNNQ$nHMXLNYL#V^DElpuh!o!@b-z8Fy14b0lcJ=Y)<_> zFfWI9%LGzIq!iFp9;U~&`usgUuj8mOOuCy=pa9z1uhRbhpQaI!H4>!KK92Gq+BbrE zSIQ0=;f^87vpTAZtfm>7cw&i=c~vc`oD;f|E<5(eM`W}kJ>gnDKgep~uF7kd`E@k1 zY6#Zd9Cbz=2y0@8_Dc2>;Xi5qNbtWKagVf|JQoaE6jZ4iaBTUzN>N3d`E?M1s^s-M z0k->e4+eWKud`<>xVtXphqe&niRZ~@e5;4+IcGg3HDzE+#HL5DZwRv}8j9ForaX@~ zkM2#f?;A7}60xGld{9=EnAn8`TKM!cE%nBR|qWS&8N@u3N9dQzDjv2 zsa8oKk!)ddJjlR~s%}15a!{7>d?ME)O^)Z^`{N>a9T(!eY*8eJGK><%jo5?J(;lms z1_c{YJAxP+d`H6A(P|bbJSiN#?gKDBUi+Lfs+Ekthiy(6T~$x%wh<{%N z!11o=1%}P&dVTybu1iBC0L)vjMPfld?|*Nu6rjsdmqk=^2@4C6*2Ck16D%Syq1lSH zyzAT7>xqj;I;uljRMZtT0FSkpZU^7*g+(N>Au&`bQTcZrzvTUKyO)p#38WS}mj3__ zr}VQ?dO0#z*;@_;tCe%Od+6$W4B zk^x4$5&iKz&9df2lg5)k7bFqTd%K(B6`C)HKA@UFTM!N`;%SMH5~_U#nB2CDUr#~q z!1~C|*eb|PzcAX}d*9`Pv(qGq72L7EnCiFCez+Y!hZ~(F5Zwqr9kFUU6|gpA;;Y!k zG+N9zzzo@?il9ddGhbi{u(A97aDJ|38sl^*qWWwscj@x!wgBdmL?daITa#_{j{9E> zjFd(Z?8=A5jzviiUomc;mNx0>fOW;Gbvr(=#I4Tf+;_qH_L#FP1AA&>y$7%SU=D5g zh}D%Q1dV~c@Xa-B7esoZNnTRasM<-nyIXvF2v$)+JmuaX#B4|w{+RYVxFu^ z+76&i!&q(Z!ZndZ>Ru<(-~dBkbMpJ&78mUh3|cu-U&PZjjlUopZg;{hQ2_=zigjaq zohQtu)&SU_vxkc0YDWCS^Li2P!z{vr=f@H~%LXi?t@|IA85Yh5=M-_wt5pIJ8hfv?n%lSzMaxORPfzFPgRdZHCXj83GK^Rk0`n{?aM z4)|`7B$2L`7Xs?H0>s|qt-U{9m{uUr60VAXMQc_j{#M2zYHX`6)@*3mQm$2w2vgUGI(A) zv3-^_SnhQaOB*N`AR7vo*j*!6tjxKIn>{SQhFr27r-Y2vQ#7zN%w>vtm{Jgmj5m>q zEV~VX>UJ7rzbEB?Iv3eqi;OakZbw6trbRS=f~4jBG|(&zC6I}829v~6ZDz6^EWvHD zVNYaU)%-=nJS&&*#!15D)MZhs(${&ZK~evNjZU;aNjO-IzChJ6j=li zOT)1L0H^W1JPoTcrlza`(PyUoXp6-cv6If>cT;!73!c1>sN{`Z1b_8H=9IaVEMl0@ z{t{s7F2SHOIRkNK)IsTcj4p9aQEwemCcL`m4;68CYns)HX{m%6QzD77D0;ZG)Xw0=PZ)1G*zMl^_ZY9a6YTp(&d8pMf~!2FuYw;IMqGtw zq_Vh0UlC^1b~h(QfE)Yb`Hov34RI|`4pU}ORvLQOfK5jxxT*?1ooGe1skX<^j3?TC zhrEfz>s;fU{@Hw*S$U(u)H$YY!UmZpTK0x$=-4{yX(oynict|3Qs+px79!x9OPwCs zeAVa23B$k~T~C+O<#6Vo>L!+iRTWx|E}E69YA0YU>@BL_L2KHIUS04923C7E^7o9g zyrYb(G6{1E;&KYgpBdVN#FxXCO0`AR3R}bwvZ-q-hUvwxF|A=GM2aL~aS{MP8`xOb z`u$DuX=7bVQ<`$ISstz_j)&Hw_foyPet>}`z6<7pb$8jx06qy!_kKmhR<%X{?y06zR`l@8LbjXG5J z>wdq}*9?|nF$yjTwx(i5jsF1S3ql%KU4@kHK?ARRR&6F~A>>d+vNY+pl44bK8NmWwe2Qrs1!*zZ7!hPa`@wf`3it+R3R=NKUjDm$u-PUH8Io8vXa`M)bM*a=HH$N+b8iuLQdD;% zC5 zRgrMcLUSs~EmI<_sSso;7sFCqFe*jC#lADQMSenLpD)XJ(}ca2`H{l>Q%TAC7}JIG z87S#FRP`kl+KOe8YO^<-y_O|YyJ@1Qnu~2l2@6&u%@LakUXK7}QnZqz*Y^L77PMhOdXEKeMY|C-I$iKs;*BD4huHYgy zh_feaTd(xTqnTilC1!2Mmsq&>0b$nv03X)_*J9k+w6e29;TX$>1dHx1W7q43p;&pH z2-3IGMfbm^7J=jyEi8m8x@gin4&&d1>ZXpF$%#gp6b4(^l1}UoP&)qroHZ&yN?H*z zD_F+bo%fXX+;6wj}D0zq(tJt1}X?HPTLOtn|r$9xTq!* zbx_LY%ES;l0sSy(Cbl8u-oe@aWgRRnP9V7l%EXJ2*8A;zX-zAxaa>fAJX^OyZb!?o z0}AIEV&)N;&??AxO-IYqsUDv{>xLPgOPpmqQ7%!M#PqVr;fN;t5E|#*=IQUl;**UB z9H}D36c9P^W$WtVaH1m|_JS7p%_f3O=ZI_Mtd@cbpAmBOF{E*!b-L>XOC2Pw$m?t4 zpZVA9*PZaDXHs)Kz5f6W0A`KaHYhjR#DF{f+isoqB=**-_PC_t9H%qj%AB_`;okx3 zBzm-(IpUPKMg$~&VW8Y-u(<2m!{%=+sCe>(QRY;d?Ari(iyQ6Jz8KPLN1c}=COo@G z&7K_gcH}m4wK?}NIOx<=<`K;o`b{Rao|)8n9axPZn+pq&0q6+0uehU?+^EWE5M)O% zo}zrYWxTT;s?tj`r~r8in|qG;$8d2su+?T{slGhGweq>_BLc-KwLZh_Q*4Z(d@ zCf-r$y*6`Z zd6IJ1%QB3oEruv*DT|bkz+VtZM_{WZ%Q5IL{zlm9ZftNj zCux11Ga9VViA{eWCVsV9dQr7Jbd;1jLcfaczAWt9fQU_rQ>MyT^4&wMlf1!H0OS%k zQhqk;Y*~cTMYTCS63m8G6cNXuF;nGYHXsYzZ-xk|KoGq zVg|u=9s2AK!_eTR6q*^XjHjZWw%c)Y*ZkpmCY(z1yFR`D01XPXsP> z-(Qv$prcsWRMkayKaoj0d-v!ty)H{h0Me3cWB{A>9^;2;EE6w>1VFrJ%nwhG?~0U} zVY?Bnic7}vj=Nu_k6Rz6G>S>upT-trYuFEe-_r_AfChrRFIV=zj= zUJ0r-4LX4)*59SDX>5TC`P=a8BCt9|iw%e0_rQ$H`Il&v5)fUJs~?69H6EzNL~{k! zZg&=6(`~;@4XuJ$yh&ZG-9IhB_nbB$w2=aN0GplqZQBss(w)*~@gfYZ zxCwg$e#GK=#AFo{7#XyJw;S8x>EF{3jQQ#kQz(Ryb9E-fTffL**u0670p>wtNTqBu-ZsU({n$LnsGF_^fLKva;VUA1rf;5KBc;Hpn~I;#`;xA%3$ zxXOk21m;2{LsINmi&Fq2qL)Uc)SySOJO2P&8m_0TnGHKh`A)H6 zVlIEr>xL6$dzh*~W*_$7s2-yXPR^<#xr|jHYSP7nZdYrL`(Qm~9OiOM4>-A1A6?ER z)zHTrPOGd9%8wd!Os?!KC`osrbwXbX+uC5Bknn(h%y@PbI?}&#JB|}EgK*$3>=C=01B!~pn zLm_of6Hp)gwifC8oHv$K@jMu%xjUWuV@8^En0_VA!Ti_l>5XTEJF}B(8-~AZGpkO; z%4w=YYWO@vCO2@vgR@%at{MI&pvnZ&x<6|Th_>eVcr?O%DVD{U1uED6n{>ie(Z^F2 zhm@$WxcB5`2ho{R0YKM(@ z;zl+A5;g$)us*Ukk4gylw#<8xix{NuV9Q7wQ#^Ab%PKaZbEU@P?%0m;B^t?1G?8CZ zD-)$ha7~S|Bdmzdtt5qmjlm0GE`Lk`&zh$#pqXS&$4-{HupK{qX<$xM(VfYcTx_Fw zt%~LhUXUZ80Z6gj4~F~SewY{HQpP~=oAU0e`;CQEXiDiZ&F1rm6AYga3h`;HJ z3zr!#Q;>4|F5wO42;CDqD6Ew&YuJxZm&B6D*6C98b<(U{`SW zD7bs^MdzBLI(S$`1vDzn=J6$95;Ydm(h+@u^DqRyTkNUL4C1Of45G7zYwKi@gcMnK zFmhSvmcw;O^{{Z3vH~8Rt#S)Z>@3OxW!^1qf&+51{kL|?|Qwvk@=3~qnjvu6& z8x2(gNNVYPd4JoXrb_@@&9dUki);XUFXS8v#5}6ZI2)OKJD%kbaMpD#MSg0PG;~yPRI-R;6%$oW z0a>DAbM>3TJcnvcQFK9mU?=1EnmUY$&; z3oX3106~4Fs;M(dT%NZ%smtf$i3GpvE0ys?`MNZ`E7Omxp8_+N#26~T~XT;}6Ew}yC+{YotQhb)UZcxNgB zD4G`=y`)u8pth?k5g%*V^(rhbMrSF*{G#EkpDyAa1f$9G7^Yq&q2p-tl&h|f#k8V= zI%DD0{{SSA5kq;34)(`0an$)J=4NqMky1-VmZou1)O61SMXOzOXm&1Jl^(#;Ep57Xe%S0n=GSz_IUF8$X!ZQQ&c8ZBq~LjTSSI7*2I!U z@e$)sX5M7x+}zG9_>w%MD#>c9Dl>`ruBNh2^pv5RR&gTK#~CfNg;WdT6R=*SO-8I- zrzhZ*^B3A%hN}60nPfaKnRBMMhpQ<@T}A%6#%l&$Pd|pIM~59Nw4f@LFucqjGHw?B zUUo{ECen$h*m(y=C%GdXc{emU0mR&hli7ckd}qqKX>%I3XpLr1#R4%@zy+2mDVw!HGAMp9)W=T=)8N?h5&dyn7d5h(oJ5bW(yib(DRSa^h@TibSDl$Kn z!B+r+t#C*?vMEc!R#I%`)TDT1RE`M|?gDA*K=dGQxx(HQ_frz;aC&JY>+?3-A4hVY zJoXvQ_=2C7Id8F^Ll+k|ZTJeV8JCn9d~?z$Hfo6^@H`<1U*>8KNm34*T(2X45S)vJ z@~>)1cyE_nA(iD6!!4qXGTfgphL#qQhQIr9C|yLj1lVi_`(Tzf{UB>S?m!T*f@UD)^_g%uUwK6ixv)+ff(Wpd%I+vu7Z=pTOMW;Lc+4&QX+Q86_Tn zPggc$oAFLrL}QLAm6^OL>QZB{JrLgDi}$d3Wt4H}HTg4^!Zwd^;z*ubujMQYZP%st_+!#=ex8Y8cngWEID?Hi_lG7~(?ZkH z=5kAQzN6(d=q+<@x7_2f`X4iqkwS23Kbjqr)%cLZ-ZM@9Ea%=C_Ce$7+L-e0C(Nkw zirKZa6p}K?_ZB6K1_%@beVn%6mfM=!jJQue;7snbhWMT}O6FnGXIV)*@2B)L?&OThD2KLTv$|RDv zHcY<%0M41!R57Bm=Fa94KQCY(4S~QTPe zJ#ouX=FNXKgK?b`!iHkbEB8}UTYS44K{C(O&n6yPV7Tz-r~w{ za7eZLdSk$Phr5PLsy@~9pQQ0UQ?ktbRSenjyXvBx;5`K8XTEX#&cnSAu@{5_E8 z`H7B6S3p*HaTg-kC_N3>H9$}kj&6?&pPFt4du~Syc z)0vDi#Rf{U$qu4MhH`Y0FS)(t#rzS)TwTGLhY8a2woHi>cC$e8U@+vWwCR8wFa7v@0)^A1f2N zxEP850QQ9OEID3xm3}ICv;3Yb&kbCGW2kTm(HtuoV5~P1vx|bhKuxjK{I%pJWx!PO z9K)Z+yteYyEhLLOxjtssB+N@+xgVwpFDED=<3!_nZg zdPpRag0j6TLaMMw^4uHT-u=29XyeH8LeH7=eLebjDtKkOfA;t+3jPz|9Kw1TGWqj* zNF+uzvXzdt(Dei_{@fnhTy*b_vi3~&lI6!Nd1Fh-+>eB~=ZPxvuf)|)S4EO#l>Q<~ zF=M7D%-Oas1+H0*&4uhZtC!quM@mwIJQ zS(jw7$ng{?tj#WFfr5fUhF}HA0Aq-by9C?sXyNRT9~FKb*R zj4yjJ>wwjHM+*`}V!k_@Dt;va0@m!EKj+g448J6&2^!N#K?IW1Z*8_ZKp#v#b8{=h z9C4CvA{jIlF-^nq=}8mv!y#lXeZVY9>FQ5@*kLOda8wXOX<=&%Z@s(y`eCgGZBI*0 zCSOfP7CBI`)hQ(ybtBOH`(q-oR!bXrW<4Us$tU7=A1=MHs%%b4CE#-etq=%(E(o~; z--N0rk}XzYC^yim-F@AA{{UP_xJ#GRJW^twubKQcl{?M>qRk=A9--8mT}3qv(b%4s zk$Q9+VV^R%V=mz?Jd0Hjey*qz+tK~D$63UG*T2`vp~np z0o1qq?S&_XD3k|91pZr_-{IKePI({9%&&*)_|rP!*(oymT3;Gjt0q+rrNA0gT;I+O ztTrDk0?AH6oJ{A4bMcl_#-2*XfuuJUunH}E-sbE&V$@-1k8v~!n$T1U0t5mw!q)nI zFpWf!xgo5rqVc-NZ>L`PlyUx3!C72cNZ@WKeA((LUTngmK&VzhDNO|xn5UFp&FT%v zHwy4a4|3l=YEob5=P}VvBeJB2EvH#P3uPW6#vIsNsqKeZMqR_TylD*% zX6(5%*RE2fmyM6}c7^%ZiXsYfoaB=X3o zNzrB+NjDbXXF>@oLY{gnD68sAcprtQP>rz=4Ts04{Novf!bu9wnp;iMGN4%P+wbRz zw<`D(hq(F*%z~n^Y4bAhOHKa(v8kR>3W*E&X#zH)PMeYqfIl1u=AKVUk#VJNQI$}& zB2t7&;dmsB2~_}cs~}biSds$^*pG%8)p-TxlXOR#LvbW-!G*k^8sk>y0JV{>2*rNN%3L4wk?*u~L_0NjY=QE@JYB zJANeP-xby5l@i9#O)VSS#7mQB2WA@r0R&=UQ|+zoPgo^p=f@I6G+3oIe}c9o@BF)1 zj+<|6RLajaIews@GN}h^+Wj~0`r~U7UD8rjP>YgINpdVKO1LNIez*7HF~t1o=HD>Hc~3Aot;HGb6m>#apv|-Ty10^6 zQUmCgMo_}+E&%Im;xjD3MRk{Bf96QmwY>=I+qZv)H>W;6VGN{|7Z<+7*zbj8bsIV` zN%${5cl!1OD3!hYDB?!kcxqjyYF1rgW?^ z%C=I&%x!b@`}bq)$0aydhj`D~hlV&?iRcolj<1F(Vy&i;h*?L3s^AcAyMwqOgWnNs z-}q;H8t3rHhm`WsN!B{L9P+89PyUfKj(`v?`7hU|#~B!XP2z1$S*eE~*=NccN$Mhn zVU5WugF=J7hsUpMJC8T6dG#4UP}cIV%G>NOf9;Q`tNbrLl2UXs@TOrZY5`tM*~2)s ztaRzWr@sy>zlFcDWnCn&QSf$UPRzf{sHe@`JZ9ZMeM(P#!S~+^pRHnUsgW)-mx3ki zF~E6OHocy*O5Pw^$QFrO1WI;P)Vkc3u~TjK7VNma_-l|n2g6x_uA!u;s;PoOBr;|d z0$&ZiRX|btd@X|40@UZuHG@y*Rqf4g{>UkdwG!! zi3a26ZM)+`A|$q+1yBuv)qCIX^u<@Q(o|+TBCcGqcDW?CUxqTz9Bj*{uIFrJ>&y+J z>P3Z#7WrQdC9GNGS%h+eo0M^X z-%M>aVt8Z(Juj!PeYeADRxpFaQUm+?V4{*?G?e|N4J0hpBz1*z2<{To$}O|5RYD5dZWtdH>^ zT^=L~PeIfWfGID zTGzF=zwi6uno<_kki6Pa3`(sd3pe|{Zhx(?!e9NuYG_*b2U@7Ly~p3{fGS;}TcwzTCR>|rJ_51Y2s44}?*%{4biDmpec2(9vz3qOt z*nh?VW{oOOBuQ^5*f!S}KKx1Q;gq3m7Xy$Tfa;>cDOC!|UYg zO%dvdDx;Kz%cCIgvAD;urD)1pp@hj9W!mm8PX7QLdkkG@PqvPxNRC%6#KeoAGK=4* zTt1gDr>=-o)H1Eu1!(sA{o4y1x|XIk)gr8xxC(aqV0BJQQ%*d1O~+(j{{TFAbkfo6 z23gKgM(HH3p`3%^l{@0U;$)VA%rzD}d0VddomWbvwK!vNG_YaP*obiyi79Qmeg!0+D8M2%U^|Vi@3p#g!+Gc0D5%o{xFbv6gtL?#0`OM{`SL8xCATsDE^Zz{E}q?H21SZ*=w@igLjxPH^8eT8c>4Erj~d4HdrzDS^+I`|s9 zE~t2*k|4vzmQ-OJajv1O#PX8j#1aEaGwvs$%V4VFZWYb4yy8j;={SET&oX3`3P@y* zX(o6}`H{_v_@jFhq=AIJjJVG-;7&u4jK*-U`!+n-Dh zROc3u=xL_PzbyX%)7;yS{{Yab`DaTcUNfT2Ow#L8x81zfHY0nE-t0E^j?I&dIc32d zVV==JQJqOGZeKoR0TNWw(@26J6raw^;xN@~kas6+S=CR)8Ln?p#2M!{eV=G6Wr(eH zc2&eMim77&g3OSuJkH@xE^M!YcoLP@BjJeVj*_-;M77d811#*n z>B zJk~4_Gu!}d4bO9VA2@gyfatkfQN{cz!Bn{xW0hKJYMLhVM<}^QS#DXD_S~NRtZ@Y3 z3M`k6>bXh5d|Q}JL6o&cQLL`ZVlN^_)1i=nNV(FYz;xfKwgr1*XR&afG&3$)a`%d= z>NrZ7#F=_Zz8{LMa=O$V%7drhx$la(<2mx9PK!8WA8)}oA3!N%p;_$$a4yXhMlN}rZFgpS|V=YNEXyxh-;8m_Ri-&E4gd! zDNoGLVBT3jDDtesB1q~uejMOtG3!^e$^7(S1CR+&x(9}(Kw`z9f5X!(lOaiHiLiBM4Tha~x_o8{cw$~Yo^EzENc4$3%&T8eC%O4$~gNW(A; z5m@QE?k#(2ByLGFK3B!b!R!&llJO>0#G{PfxVBMVvPNh>1K~yr*syKgE~< zjE!gK}SB?5`-zcyEcQIfwqb&zaAfyG0zdjWN=qIRJYY%8+eiU_m;8 z#gpvURZWre4~TPCsaTF#%WS44s#Ly@{j#%2$_NDNjgM`V3-60OJe76%E9OZ9csn`v zT!V?}^Q_4^i;!~ylRtTzI^rsdqfwjHA!U*lo+8xpMs5+1tZiigYU~C+7G?RZR|WGkG|Y1v#*%}G_|}Frr4z^t z7mZeP7z{(ODj9{&`y5p~%&3M>X^M%2&K%~E2O5Dp0Hft#J=oBV&Q^-kYpK?r%5Pa0 z57&MkqYV1(PRuK0Fg@zIq?By0kUTi1V> z`xxAK;+2_^ZpPVVO{ZOQ79vg1i-N~;3HjoR{v|cjL(AS4NgQ5G-e*NOxc*SNz4zR& z(Bkuk8&v|xLuv@2PnE2HH*bIZrRex8Flg9^Y{=N& z!1)($zXS8{jve4V4aR7u_Ed6BzuTmXW4HZ>(9`f#=rtSHF$`nej)UobxcE0Nr6ctp z(YYGP>+p0XMQvn=yKF!NvAxZ|PWZ%CZBrV>B*m@0iMbzNyJ5RE<4~6+PnyElAAi>v zjZ3eKxZd|W1GwvaP*96LtBW+{A0nwN!Hj+}WW9kT3m;9k-x|YNP^?~A8%o~FTVb`o zx7o%uz04y`@8xSAy}kn&rw^=&NJmk7k<@%f0$7n5awI8i%uD352-o;pmyzkyY|8jPZ4VrYqjnG1Ke-=<7pfhGAzWX2IENWw_9Ua+uh+0Ud+{nQmE|)MB0}jbY~2B6UZi{vd^)3bG@?g9qWVC&I*AvzeY*WU*ldav zYEYz;<_%(TAm#T6XWVzh8D|J^{87zSnpUkXD#+mz#A9Gf9fh~=`t6Gliuo#LmW!j= zD}rdV(@UFYiIP{*4BkkaD6ZwiS)7$XLLI;NPe|r4poX%_0N6FPi_|=0LSW`5W0qIx zi6hP>N{uvWN9!Hb{qRaYHmKF~a~HFdd(s;P}+&a{~s@1QE>Of>eeCtyA2 z7JkH1a&1~AJb9FIw}rTOiRrj=DdD`nno2ZGg1;~0^{lDQiIo{irAn5t@WZ=!ktk9D zUGW(9Vymo!igRbnDyyjElO&(U&Zu6JC(2P-NvJZ_%M@s&`462**S6qVTg3G|ahha# zzY%BrcgpG~8+r?worN$*Zn}>_*d3TrbF9~ssId)CLEj-*!mX0WvnJUqX zOomvYj!Tv#C-W4Ni?BOj2N~1yznInZv++l?PY>nvnRPLOgD>I!2C9OV2=y28Mc~C^ zT#|OX6MeBO;T{glq~Yoq`D^VRpUFd)HAJ+~(Q?+*?<0UEC&jIZRX&g|r&|ReSPKk8 zlp^-UT)s+2f~aQVer4rU8EsUwt(a!9LbE|Hgyjue<#ty> zl1x^`mZ@2dTQ5wZ0q2< zM<0f51Ak9PT(?iK|{3YRKy%aZm-$rB!0eqzyw*=tq1-<{!>v zWm}RqRoGZ}_+Wxg&14EA22yp9TVuHv`h75iF^WYcHD6{{8(VF@@81}ysF5QRNhs-H z!(|&A@A1Prz9WW|1dMO~=8u1f8`$mpV~HM?XMYYgB$N3?hWGc|3Q|IlRKYz$mkhu# z)Gx8$ug482XM(PrR74509Zthx+S_5}G^sSvK_f;jBG`et@9+12OjpX&h_v>7W%gQ- zuOK)=IH}Rs{Q{jxMlNou6x{do0&n`_#CEicGAIsBfFou7Z|=oq?4z2idpmHXk<7pU z07#17Kmw93R>yH;x5N{R9+xpm_8x1 z-`fFygSw$so@r_C8Aps;%6j_u!bTN!(bx$rkr4{c3D}F+b;gdB z4ny5hM#@RRwX>-Ss8k>*(pP6zzBPt9rC*_yQBKmYh~Nv0k~as?pG+ER!4$2jjfgcNfnJOOI)$({V*>{8A8Xj3 zPf~E_HLO`3RZgw0Sar7J`(SM1PY66-QX0w>>_$4$dI?2*g7}1j-^_AJ0d$tQx6csV z{)$@Z8KXipl1G~0?fP$QP3v;0E8D~xb?H5J+t&dra%G6EMMT;)c2VUc=ZTb@PPTzg7g+j;SgxSLU~W+XZt+SlN^}QP$QAfORrD$>97 z+;{Zf04hv0u}Jc-jK^Shzos8gsW!-_VMH3ETo5dZ!uKws$J3@ghlm84o>z@YYmG_N ze6j3iOO-guuD|v=nbcQpL5`zvKPVTrA5zO4<5ZxH>@|AflnBw0P`b$*8}2~uk5)4m zCKADj)Clcu@#bLV{{T_y{s8<>l}6g#WHB+=6*sZp750B!JQ9_i0^9P17TEXS?|e^l zjLp&)r+e!gT#la+h(>;`By9C7rr_9H4voJAH$X>to>L>RvlID$$M1%f)QjS4XzcDR z&H?N37+P9+spVML9NG!#e*ld0N{{ZcWMSKVtv~>{zN-GYI zZTkE$-BC!_t564VYu|0H^TCr)WKkA?TK@p}@A3EHg?&7!8i>5ie>pb;-M`;VF}gS# zP+e*Vh?mp>(lM&eZLP^xZGaODRHVU+NZ5NXetoq#{n z42^>?14@|Uc$gQpfYNQ>5k6pROrAxO5uwiQpr6>{Sy2s=(rLMGF8v4Xh>tZS)x+O{ zF0qE+UaJx;Z|RL5ByxL+nO>m!@#IjMlOeCD@)SVkz>BdY>^JBzjB4}D@$Qbp^D!dl z-|2^OWp(wlGo?GZS+wgG+T_@D>$h)ANjPhj{6WNZLoCa3$(}>8YpRpD8g(^?du~AW zBd$G!az+u^gNiOuBW9B@r(+ZmORY_q$G+pH;P<}RLZ%9>J{?S6VAl@&*{lxc`0k%( z58(d*&Q5x8K6Ayib-WO@xptFDkd9(drfFKGNevt-T}Hy=Q-Vk%ZO#gNQ-29wefuZ! z**KG$lsOG$1zuSlZ8Z_h)@tyMKqeU@QXW{z1>6T^zu~G<`wjFQ=R0y!qKffj0*x=Q7l(T zH^l|TS$teSSXQ1$=Z%y!bD`^g#^4Zeyxhv;+RTbR7O3NF-dvynsg})N?@pR_P$MTu z18Z%zE+^U~MK>b;%BiwpUCUS}do+0*lSJA=v6-YTX7_+V+y4L)(+)kbxNS1t3#B|& zPaQpNOp#BOMNt*1W`%=*=t#MYlwo_=+udwe+@araCl$#MqO* zGP1%?l$o)ISm}Yilul0<6-hMI=xUK~Ppn?+Ymz$6Ncb-;Md9lW->!=Nv)6JUvppNmSk&XW5g+>qfT<#+d-r7>f;TZlG*8 zz6Yb9ChTOSlypvgj=7ta@I@SX#|!0D=Qqmh(y^*4VUA^xE`u5saLPuYSg8e1mf^Z! z5Ah22o8sSYA8I`7pWI)=v=QeSjU+3b&yr<+93)f5uq>8an<_@5Q<7|==D+~Mk(@)4 z=HKcW^x0(8wbO(-tH(Ns>K^3ys4e`qYZ5^uUvYrhr#T_uIG-itg#`IUJktlw>$7Ue z>MHX!xh5AXh!QJ*wQR?(tKjiN{AXh3(?z%J+s((}4icizxMq@`ANqNO(8=L~8dW;( z-3d1rIH7aLgNiwUnDZvDhG#hp`S*`kH8m&x*-VZdlTcj(=T(P8wT37Bz2Z(4hn9Jj zT5#;JQq)yW^%OMKt9N3G2uEZ(RPHWR>ABeVh-Wf1JVOdwARqE zsy@~N;Cgkv#qmILe>BaxL&o_xGV|UFsak1gH8~`?tqhS;RWMttDIr9tHaA1)dt!RL zL&CH?t)QyR_#!ImC?kqQ$}00I7BE8+13G|M0&YcsZNauHtka$xU&Wck?Z=L}zFR#0 zBjoQ?@j*&L>0iU5-@yu_PnW13V{@wmiyfv*NW6Wa`H7!#_X$s#afX`Q<2vwE#TqdQ zBLGoUd5zb~0J$gLF*@aDc}GFa4q(NZR(Mpl!NOR?vTerQxcsI-)h1hcMoP2@7zt zaYZSLiPQoCjfdwtPT!Wm3-`rM#j-A8?H^ezwQT5|Lt+?!Sz}>we|TS=`(pn9eCv|* zkYvx9^RFwdY@!k=Oq@YVOof+7c?3tyLV^cv_qnmhIYXIc`M*A@vnXW}!#-mx$0AAy z8q@Lfcvl@zsU(@PfS}CVl`E>GnN)4_3zVd z@m6~$!y5Aayj9vtw{vUxhLjq;4{`GNUeBpSK5q`@)=~jB+ow&p$K3rM9e5bO{wVne ztAF~2PwK}shIrx*@agC-4UPKz`gFpoo;Ik7aL%4)@9X`4Txkc0%c4{|>@<`X?bm*o z%ziYe#$rv)l!J{djU;EMxg%8OJyrtDd~ zD~op64Za@ybnuE*oJQrzxfZs{ZH`I)Cv`=*vxO+6u<-KPnZoxzCd7Jo_}d;&>c+p^ zxj(o1o}1~7daN7%N(+{t$;HbvDK>^%f!l7suj`KB_9dDX<@DiXU=qBaIkneH{^wWg zjvM8R&rihF-bClPhY>5HBBO2Kmtg)-oW0kzf>?Tev4{Slj9_cA};Y zYSG5Pt6hz{4x1j|;}Px+nw^D2wLWr3k}Hdy{{UPWlA)y1Z16x9bHFm{{Zue-y%5oDCM^?@=h}1AH>T`L0DdRX;3?bAgR)<%B1a#9ZW!Z*dTMYANH5sh+h-E~YNbMMkrZrmxAhoUWz-~RI z;Ov*2^t00NaLV#fXeXN}s$(~Y6U7V2j$EBYlGg_Jzr12|llwvPs)r!U_;WJPvrNk^ z%4%L*wk+S1nH6O8?DA`8NounRv@v7(kOQ#WrXpNl#hi`iHyBj$w;1t{Fzafn^esVN z4$5FBND8CLm=)PT1Il^~QjQ#|cE!skIK>hUHsP*2$+(9*<_90=`7ix3n$p%TS2R3T zMN;{|DW(L9o+(-d-#W@+t!$2gW`YWsgs`Ayv6Ed;pG7RZR>kuZW!avL~_$Oi;FpP zQO*pXH-r+E6nw(VDVbZT0z}D)_Yu(a!TT9H>4|wEC*Tdo6**3I!Fe|_V&VD<88bQR zA%!x!XrO|o7<4F+Ba8)8sfv=I0#N#5Pf3>0@z)CE97&e*bB6eOkB8}?i!-Int0s=I zL{84{1QEpTP{cO9DRNkw+j0Q$*E9KV%w8fqRmRyDGPBIeat!s^&on6Kk?aTnmdS~* zxw5MOH?SB&n~pgL6D(5uKju;uxYbd|Ou>jhn0Rz?ZENje->w-RG_pY{F;dIcT0)sM zX9w~3v|88ZS!|!^wb{eT;8d=U8}l+F={ss518wbl?};xlYxw(!xF0{I=6?xKmt{Fp zM;{HzSCk4himSyMAf~b)&|?ltCZVN65IW!`CpEpFsG>1V?6X5Gtc3W}alUH0dky22 zHt%lOX~q2U;O;3f$6v_&s-_xP)hHv(xTlCJd=>7XzGbjGY@iLd2L&!9oYg%cODamJ zLx4Dkg>!ye*Yevw;}zlgWUV#ynVnn{wLF(aMuq$Yc>%WIg<-kr+}1^#w zogD%zY7J#ig0fx}9%Ntl5%v8)&M!Y>uVFrLduMSFrs4W}e4B-7EGqN-!Aww(F$OrS ztkO9O+bXzIZDj+gG4Eww1>qhyUGOdASA$44? z#2(7_T;{heIUkwVJzjg5WrIA<8j~i1CV8b{5(Z}`6biuHU4ZIEg^t5JNMmcMH1>A> z1ss&&-Z!qR_KD}#X9hr#$re}t08Hf()m79Ten}?$Mu_0@i?S-rPepa=X&-H$;l-75 z7I-sm6Xsq^o={I#(B)NmIy@@oLZ0NT!rig-d^1>pW+ z#My>rBylca(asi*GQgQ6WQ~~ZwUJr3Bmh7-6YTR<#2om^=im-$*RE+Dde%ixD^Qem zMF2-GlAx=r0>HA1ci$9pHkDq)%1t{aUd)t@4@}Dj|0h+@z3KFkLQqYtYRIW8gFxUpam>XPbRvobV zuC?cxMW0HM=H z*1*_v2WBW$)CL-hCgfYF!jjgonLt)sc5%1VoK&=4j_fB(GZzb|&1)O(Z|XfUnyKC? z0ggo%Jwd*&h8e|LM2ZTko8I8tZ{G@26iqIu2_Sx8EJg*@kUE9MN&f)c&7u1zr_cIh zR*@+tSlzeU&D>kRucjMKTppl>gaV`~xa)r4_x}KlX!Vf_kxIm%{^q&o+v9z(n5V2FIU$ULYj?H% z_yqT(wL-EnN>eL<;A|{=e6ZCW>f#H~osEdw>4)^WLxHYoh-1}2?}s1hs6j`J3+-fH zfc&vNjsprBff3TD+5GA6b1{%+V|u39-7 zJpr5o0R(|-;G+$LC-62{RW(Y{Ex@xIY;E$u4kC^=L#%>IlVj=AYvBELMMr}mF>Kv6 zc0Q!w268884AnAfyI3B!7XJX8SjN8LQrQU3;WGaKF-a;IZi3hYH;LmoK%`r8SMr1$h zJ=j@pI-b@(m?fA}M%I;LE2x_iZZGn~Dyu^(%ONBN7AQY1+glEVwkfIt=25~?CS-ri z7Q}bot^j6K2`q>-+>5oWEKlF>i8f{f!>tq+3=P5SzsmtLBQB+kAQuD{QM&ZLEyh;1 zM}j?5K?2N0LME?!_Q$ccWouK_I#f*=ZCAXcUfA{n*iGMW^c(#Sq^QY(4@*xFAf}Z% zzL*^J@=?F@<0{eYqqWCONT{oYW2E%b3x%@+cOJM)G^aH*%+P`h8=LhcThks5HvFEV zpHdN?trdJtI;WVEr~`;zVM{EG(lZjG;8=A2_?__%Uhu_e+@lrp5WW5X06ayj2ZC7| zp3WQ2SbVRxEJ36}8vHD45B;AoVnHYYJu#+XlX#j^M+v>|y-7HcE?*X7Vvva5GJ}+53T^`nM;jrPQu%gE!X>hoEL7-XG%XP16h~BRHS$WiwiQF6*vb}(^4x_ zN)3ZpuotlSVAD%HGk7v7TU>kZhV>9M%2<>PFXlZxK3Bz&yvbnni9M2>PFo=915$Ap4876Av(2){V@D>Xc;9` z4QbU~!a{Z5^EXd+A^g_YKM(i21&aVq-GHEkU$JEq#IcUlDFOq}Q0``E5l& z(Jf8njn`&dfwtcN0I9~e20gRId>J03{{Z3HCiXJ+LE^r7XAcAj(9=`KDziH2b(rCU zP_ft_Gj0?cUd%?pti0k_lGCq_H8 zvp@E@EtR4DS48l13ey@ix101f>KG>17d?BCimjH&qh zmE5Vz=(CZR)6r*id@GTD5}Iiu8Wyv{LSquj3W*AYT}yDcW+z{imOQ;wtk2?N$nf`) zqzvG`d2`p39A}!2OmkwZD9R+vz};=J?oPt?$I%aGuWg_I0C*3w zo@MNb&t7stL04Z#6)f47ZA%QWQB|YF&}JiBqbf%#t1@c{w*0EBx8ZIu_H^b)D{=^> z;=G=Qr!=9Wj=HjeAOb~(K*}{ZQXfv8MSBlIEF=ET+1^*eJV~1I1lhbssvMqKtqUJ9 zxv&hj>Mk_g-^+^YCeGwWDOt`x!~>Ige<1y+xTBVo9CMR#L=;)oOfhG?Jyes?*CI&D zCqjgP8pl!s+8XPq>Aoq5)r8SU6LdX?*&lszCg+A~Dmjmv81CR^GBjbVNV^-~*QmZD zIYctm%tWdhz>#G(*l*wOd~V^tZ2^gPrdV7%oO0)c@`?=Jjq`bP)hxAz>LOZ5!MPd` zMqHD9$!iXPVQj;ge6`Q=Ow@572IewnGbn7cHKxuyIBDbqtHfe|6-|gEQXZCLY(c9P zsh~fKLJJ*0X}KMC!gTEFteUJeot4PHL%(C+5iBv2vfp-2vW_d@-Wrygt0BuLO79NU zlFd|DF(dM*P%OKHzor)AZeVhoJm6zM+^MIg;zOG)MBGzPoK=H0E{6{T)4Q}cEXYHu zs|^;tnXg|(9PFXe>_wEZ9fxpxoFrYPa1;VHd6lF#ust?74`C-^soE#mUop5}BC48h z8R2*-A(jxYO(jIntc9^9oyp&Rgmu^qCBz(I%MAWZqO&RF&QFvKzfxvUQD(WEshWAi zD>$jDm%|_n5f6r^TWxDv4J3}Sx}qDi*f2ks`VU-gfPNx3Me`GOzTj@E%NLAb(shcfs>G2Ih8|E zLa_e;rBXvvEi7^X2Z)i>%`pUlxYSnUuu*(K+CY-%WFV>Bpx9e`f6hF*{9hHMV!_E% z{{VH25X?AVBh8_MPO1$1B95|=tj6HQCC8<p#DIuLn!qir0)TcHhJswGh%6A>NZ5bQG?JxK zZv?Yyy}Is4-|vo&7{|fRO_w%L?Ka#s!?~9ajs@Wi?kw9TpD&bgF9p$NFH7RbqsFIt zfbUN-Zp$QPSQ~F}wy1MzXelbBk~U(p4RM7x*pt@Y@P3%YGnFSpMdr2it?g@i{hNER zg?>G+*0WQ~Z92@Tc3-$C4rUW9MKTPszg zzCD<{C^=c{dPzEu#9n#2Zrr( zoAlpqr_f<_vd3s_S)SxyZl3O#Z5sHo0jQA34@=u_rsKE6<%O14Sl9+9pN)Z6w<1*MUw_n%6c@?`?`64WM9`El9E_7 zl9DX8uwIrX=HOrD{qe`Y#Dj;k&LHM~U72M$Y?9N_@WL6L4x14)?WceKHa@2K@*h>Z z^of5|dVi)Gm#M}701Tk{TQ065oWmF-QIV@&#BIH=()J%bcTcfEk}g=sB%~7cG7?E* zO~BmW-EXEiOO;hJCVN*+5eP*aZtJVM3vPOob7AT3$98)eMQBq!{MhLqrv8adHnn{D5} z&k9Nk#$5%;*cLnA3C3BKiM8*0BLjW9Uf02`1C~^dDE=kW+nV`xI>)HRkVM`g>8Q&$ z_5-d=vq*5^mS&5Tvwz$9R^22tWgpC|-PnO2r zcmDvJ;Z=C#PYHae{{ZfBVn1I|g?-j3%SQ0CI;f0`6~3G9K6k^&72Y*u7wgb|P*2$U z;e*iBO1>y(@}Kq+0RVk%vHdXOo>^&NNTY3mxau$N*mS?`gDYi~A?s-lOm;+21+|-# zz0Ust0x+Bv3?*pPGk8zuvtLLe+P1fEyW>J_3r4Vk<=mo$19NlJ*XxfhL~)%ed^Rhy z5n@i;SPy^i@|5CIl%1HYc8hZvXDn!za6dL<%F0rdC{1X0Z$KOr>5Vb_j~rn z6(*QQku`wTt56&D7O~&c>x{jdcaf3xSZGi^J;UFLYAeV$AvBrFuv;_VX4G!R-7bFr z09;|7BvTlDWZW?Z-FCOf_rfz+$)(t+2hC%BP47L9-=;kwSivxbjY$Bupe%Y`;C%2= zaR*}2gAAmi-Bj2Mj-KJ}{`mCvGns&3OAttIqTYi0{ITyDFt7X6KP^*sDuU8 zZz$Xou=ry+tSa=9M3>$xY8St_1M9!L_s6G6Bv!L(U*6Dxmwi0@IP2ybupgtin zjlu3d{d#r8DWXZgvY$Bkx0BhvbteYY_!SwpM^lr{RI!G5p_rkRp+AwE!&NK>>wjC0 zp5zuy%l>Tgl1jcJ%Ckr~uOhFI<-9|e=K2wpkP!^n^KtEda&}IJK#8$kBK9u znZ!`lkc~KCrWq~@HT^Cz+Z>za2QlcmHC4>IMWTTkwJECMMl54G328_I)&Squ-wo^J z&AMaXNa45S0qr9$RTneYIN%(HzLI)umXbatN|jWnmbGJDa#NdzO<$DUS(!BeH62q= zWX?L`UKir39O^uhOv~}oejaTZjlT)v07&x-HGsJr9k%zxGY$jh9|31@XZ+!!%d04} z~6=PRM7=t?sDu;Mt*r+a1nS%kky}BbKruJ&!PG3#Vhsm;hrb;S7BZ>*7 zq>7$&OV~iNDoEG=0Ca*vZ+nxz6N)jLZTuPeIe2@hH?ru=xQ3&QGe@ebh|3)`DNPKk zz0h5X-`vi2_u&zfBz0lzj7#i5*+%$=dp_}RBoz~xYY58l|_ zvY{FTZ6U4ZQrjKqkP-2!qHn3Cs{P3+)!wcy#l|SuXyJ9ay461TC!s=D?+k5o% z+tV5hrW*+gZby{Z?}sf~7CjeI3G(S5zBY=n*m!KJ$`^ejw|oP(aJ42+PaGPU?Q+`< zkIM||sp1nd2$?lD+D^ybeKx|eSFo2+uSnfbX&Ij7q)`HjkkO%67wgW--iUGK5e%1K!;|_}Z?eqmam>%iOUae=JIhOor%l zEbt*x2Ax}-w>Uz1yeB45!FI7v>NdhPQU{F+0Q+16)L|IqGsI((L#a)`J#FcOQMX_U zMCy_|Q$h~C!+QKM&ZbwAb0&rbcD1^L)9>AnekGtM&R766`K^sfs+RUCBk2=+T(_qZQ_EJ-t%BWVi>FBws@exDB5jn^pE zaVDd%32xrLn70dJT_AR46vbIgdR3a^&CvUX2Gy6+TtrD@djfC$zE~?$G<6V#Dm7Zo zee6N~a58A!khI9kEn%gIJASxgl1xjw1(%j+-HMO~?HRSN*Zg2EYeiQqMd?qRY?{~$ z3;Vj@{p4fV7u~;;yCbFAGRkGAaT^aqVQ;_R2qtNmBeWF;}&91zJeN{YL&z8Sg)bLnfPj+ zrQF#Wm>#Eb@$|#Ml>i5ZLdqX?ZLsGrYH3~Kn(A2C3)tGnzAUG!0mtw~XW{v%<7pAY zsJ`v@?!t8R)6|_cL|K0--=NzPw}LmkbD$x+j;HR}XEs$BW|lbY7+hR*^}%-86ww^a zGV@OBBxJXJDs~+`F&*Mbsfx3!lzVy9ZTJ2$HOwh$DPd-Xx*L;jqYxf1RT74$8VMWT z$EW<^kdXv$G4N+X3?%9X#fRU=0_q{Gr#lkS1V52?9__yN!C_Ec4YVH0JF)b`W>mO} zLAl+BrY*)!v?gkpDe6%)2Ar`s9%j9TzfRagFoh+i@k6N^b}4(|#co>(oV;=X4fgDQ zBjtg~B&i{Yp>eQ2;e1$xm&mF32Ids9ga}_+fGo??zTW&+yynfP%IBl3r346#!lbCf&x%EvGAH>A=1qpJd;`^Sx{V(f{P7Ig1R%LVL))q?n zZ(W*m3ymVna;O?fB!MPP?lhC!`fhi{)yN-dEccPQu5ZKHCk@lfQ&6+g)k!rEi9I8a zF0?)(cy6pZ?kq?uZZXMxl;;-|^5Zy%IpOM*g1UqUq^XrPErGU&UqgPr`|XRT+7sKK zn_kkH##>*_IwTpb9#Kz~3h_q-GS5j1$YYJ37~}E4@my_N5q<5GCsUdW97+-Vbd zIf>1FRdH0@byZVUozwAcEb%;<$W9c&wMAuIh`|AWZbjJxPvGPWU8X#6`DF#kSMgl;+hso7W#n> zI&KKt6=yuTfx~<`lT>i_V;IcqCW)esik@I1QMe3B9X7vV)D5thO*v6hm5r#oGe0nSyB9al+#Sc4&wd3SXz>t6(yO$WVu#tm~Z<1+XzLaAu(wMu0p9h-|P2$TaQ^0 zbSVtQsoFh0XRr&WwY__9jb@fb4J?g)E*PjJSYN&{M1ZO}R67N0*lq9^?To3KPvmH^ zv9zPGx2HqD%Mj8T878(Tt{I-gt6bcZz585YWHkq@Nqrz_Cu8;L@Ho)Yczdg*mwjZ~ zz<%eZHjS=_TE-+M!JKv9s2@CcUxFOp!HFsXlTMSUX(q@37U^xzyY<4+PSZjW4XjCW z!>;>}jx;7%SW4^`%0<+6^xJQr_riaQs9Ag$BS-+)8=p&G1JMwiz732q%M6b>a@voT zceoeZr@!{YaL#}Cg6Vg#2d__eZkyrEE~=VTfTcX^W4-!s@gJr$AB6WrC0AFJNx!dO zQEvDr7>!?(6q0!Cz$&(pxbX#ofwtZLc-FZ`hz^Z{lca%m`}D()1QZ$q-$k^Jhi%UI zJ4?#`F5+4!Yw}#R$}qHLO5L~K_d9Msez;8XMhj;<_#zC^#uVy0hm-;a<8NF_IY6?v z5|;47{{ShBmtDy1Z%ys>KO8j< z(H|T2Cf_c1`G4v^q>V1FRf{Ot2CyFC)6)*=V~S~tQ~a)?1;HNi^*H3e zZBAB>Wph|(KkEMghms7g2nLwXokiFazgxNOj}!Hby^l!kFCCHUew0prsipq_OaB1D z&fK0xIhAo}*`qKix*bH_g@;}CB>av$v)K9xlarLpfDKhM6Xw3*t+@R@SmoXmu-nbFf}J`w(5ElZl5eZM~$JhaRN%& zu5LYkAM=WSPpHRA$1Sd6S(`{C+^Fw-ESY;#QngZ4mW|u;>Kh;EciiD05d?Nuzhhx- zt@rdeZ5zo7vREp&TWmewt~+VjS2MJ6NAV@iXP2AsWFi!JW6ENdB9WDKB*>*nzT<1- znrov};O#F=>Lji#q>sy_4S~PEe~vqE+N+iPGsXPU{{U3YBA>)cD1&Hm=35dD;E~kr z@Wn&Me$U)JRl@R7x1jm+@rCW zi!m0rxO(8LNbMrV07Ppw#_Zni{jsdnNtRB~EG(Ks2?1l~Bd=4x_{NJ9Yj{!2iiX|5 zu-p%!{c)v@sjEEv+p)=di5$k`!vKJ+$a>$d`~LvR!iE)F#jYd6>y1{LZwLmz zkOQET*4-`remKh`#SEfEH#^3R0Rzxm=Z7??M5Ro9Q(r?iQ~;QZ4=Mz`w!d-K*FW)v zsIpqwqJgQXfz3vXL4)}?m*am^rxFL#)VHD=c1n+J(#^aBouxgwIrE}uX_t0U9qHGDV)mf z9W^m7>u>{HTfb|34^M}Fq09dO4=k>+1c>S&4+_PB+;r*iKDc-&p-C1bjY$JyqSqGd z@vz2J`Q2Rt_<0}6%JjS=#C3LgDBH^7{tzS^?QWl^UbxvgXT}wh$kh2P@~+0>7e1SG z>(}Xys}zQ3UmP=9Lbqdb2K#y(YntUUR8u5joou@`wo~bEeh>A{qCZEGFDETh@{5jV zk)&Q7t}9^AYIkGmItz`C9w#IDh?;37;mXufn+KII`bBxgt26DlvVQU z7Sp$I-Ftf94j0+SnKZ7=QIwU|pbau0AX}}AdgG_5=w3InMI3;h_aS!o-|XSdHdhT! zh^IPPfmC9*`SkeWe^%QS`Wau7muY>Oc>bi8j*V6lqeGgA_cry_VTUmLCh^@~m{Mqy zaBno|RPHz0{+$Wi-QTAp;mG2UDJ{+{doaN-^u6sGXZ?8JacAdT&}uS_aj z>BqwuxODuS=aBmuai)DI;i)qkE6UnRyv#bA+z`Jn^NB1U!z-J$601SQTrR3W^39pm z#^CSJEgK8`4my*8cuKP^jq56DRhg_16tD|#Ugv+)6Wpdoc%zO&s>*+stS$lj7)1R` zDq3UVz)fwOWtIN`3l3~dJW05}h1G+P`#GIkU*2y>yZ!Hr$CIARJoDu}G#Pwc>B}oJ z_>{6xNkdZp8Qb!faeG+UX#;zKeerxy$gxZzjI4*Xx|8em#`2R3D-uwFa!*sgPuB^T zKP>jx<-&ziDg58rHk&xl*NP(IN-7!TTh%27QKckc0M}zDpi$Ge&@T~t430W9^!!WB zncj*ii!B8%N#VxLYicCaHOK_+M%&m8?|thr%*-rry8vzt{{Y4p3S9V#Sx^gtjnjR; zcu(uIHA#qmk;8NE(_o%ea`uOnJQJ7jR!v(p>z5-WQ&ce2%3QUMkO2U(9{@2gyp_lu z01j5g8+&@-Y#L-%RZXlElhViI^7>)a73oP(9yan@Nzu6Y;-uu;vriT^1d=ysC8sP2 z@{XjN*!8v>PvW2BJo_Pa9iE9WCG1{{Ve3sX81f zQBf*VsCY%kYjpYJTA5c;*^7+g^sFo2Uvat>21NQs$ zz<4;z%2~}k$U_oJ1tagi6pg$(AnNEz-s{tUjxNM`K*js-#$MnZ; zv~avt5>&YwN9DL({{UPo6--Yrha&z_Vt!w)5JxEYRSmapqZ&Ns;Ha~M`A9nt@q$d_ zaTI2uipb12x=GxA!yC$FSo@Ml*!Q*&{6tAMt^C8N9{&K_8y^x&J(!E!g*|Y^y$d%= zi51M!3xWtF_UZTX!g3&z?33R6u^)S5$n{Bh;oVuSX17cZ%xfott4NKM18ZAmKEDhZ z4bbhKBtuTb1Ai`&y83?DMtM(%;i)=?#fMOS{`bd{qsBfokrEj!Jl!yp7q*0!#lg^R z)9-9SDK;i0mNa2?X4DDQ+u?>auPY>y#EKceF+K2BWYG{TK>;pp-vg!sHFS0Y{V&kr zk(DwZf+?G7bYtLmuo^r5-}8u;Zw84TR!|z??rc3T*As3cjz&gU+jH2SgVbUhn85L~ zc!ZH_ZZCXWjMHLT*eali5Xxh^{{Xly7*|xyKAj{5+*yX_?`%4+otXGgmfx^*Yv6rV z6?DXDRUx+^19cw}_Hg9Y3u=M6l#0RPm=GJJfb0#h9=YA^96?R&Rmi^I*9WRHky=NN z7-=_P$G^+xfz@Yn7-l(rJo={y9Z3PU-&eaIJ(8~nxQhkEuv9jgEG$VLYhMHBicu?=<8T4Gu?F8C zuK4zKNl`;Mt)!8>H5O$%?Tsk&Gg^WfCn%t7bZ&jvD9xq!1xb$KsaB0yrdwRvFuhIA z9;%A9){kI10y_HN0;fq8mFda>8S`p4zrzYeQl&_aO@O%o+>2rH+5ym(bQR!XBe5i% z2nXqk%Z=fwF3%J%r%@^gs2{iV7_svl(vqPJRS;=z@)Or@cYHv2xnwm@x_EcB&;C8E zFmdt&R6`|5rj!Wf{{Xio*%XcNoXs1`!dC%-x~=^^cK6{*>Q|0oB|gQQdnV@$Wm$Yd zGP&ONByH~}7GUWKnx-dL{{VBthC!$s?g_VDz1U+_2_OPAxBF~;-8aL@XP?7mIs>7w zRRY_0#tg7922T*&fJs}0#fY&|RtS}xYKBT(L)2dX02~X=cteM?@U2}&BrG(xlVS$` zoqqjsBd&=Amo{Xz^=hrX*)YaxrLr`6LBNtj zcyf@MfC^Nd!0B*3-`@zQ3uQbzP!^Jz(7wf1QbD&)r_g=dX>2n)f(Z)epeJkFwlvHs zAgPDLeLiE~s6XEik1sU*uw#M|T0l9o9LidD%Ifoqp-UjYf#Wiv8-KR;KK;93JMd=> z8H}>zG_olKlF-umvkky3`4LTtJ4f+nJZO@^_ zjH<@wBxP~YkL~gP*spz~S>oZyQIhHSwGDByoh`lo8+`G9iP)1nJ*0DBUiJi!-~KV6hF5bO(5N6^ z%1y6sqtIi`7NR1tu{wzKwYzr4@R(z{R_JtJxE+6Q_{4TgL*o}lleh(n7bQl)O@KdO z{q2PsGc?as#4{E0`8)dGKJ{B<$dY&wsR z?~a(|L@*}-Wl+X0MKIEOma#V5xAw+As!hWhqRuEL!c`elPaLU4;Tbj-Hody`!qiYc zwOJwpO8`#5G460mo|%TFUm>ycX#Me-vZ$RFS%afC%GWzv^y)E*k2K>X%M-^H0_Wwq z89xW*@c4;i0x1V@8%^vFQbqUpVoyhyt$gB|ie!r<*KAnirKEeqf424_jaB>5tO?08IL%eMi#q&8lf7{zt|=chcSt zROJ_T+y4ME>8H!H0j5vG$@#YM;yo-jy{tN41pff(EcNJKx?G-6rFB{3?d!i@g97+Z ziE}!YQ1$N0P-$Y@`>ZiJ%d;arFcZ`lB`j5q{&w~2k3%ep=<;%m)XJ|j;%tj3npmsz zcq!Ri{@cmWd^($MI$IRiH**Z9h`7p3$0)4)ObtsAS6Il?YlGM8x4+|yQ`#$rGRi6! z&FRLMl66)Azc8`ieaW~VuK1>7r519!ST93++*^J7pYe~5f3$C0&+acyid}Up`T10R zOX%NC#SDL|-Me4t4UJ)ynk^fswV8UKci((je6!|<2yli-oIjc7COLzaXp#vC7x#g0 zmGJ|Lfm{Cob1Yg^j*Q#i4Wi-3sLj6-9Y7(L%oty5_3i#~^4v4~w$HZxKgwKusoBxo zEyY=15;Fb{wO7zo0;6MY{{S3La{PjNn8eXfO?F$ViAS2<2HyVIp!hmNOp&A@0zgx9 zwYq&VY;a|DK#D1)loma&Yg?`@DaOyIVB|+8D&iFQp&2=Kc~~>ipz`n ztC#S;X8Cn~M_SJmnphxP7QK&Cx35EsqlfcUXzw#B+%3<(-kmW+drPicS;X+gK{~XI z43i?TXSe%79{YZu3`R*M3ow0O)ThP5+#bs7nH)zS8fWdq6mggaffz#9IzX_!y59XS z+v9+WtsTukHabZlZSWty34Rgbc2NhG+mLo#Zm>ZLE4*5YwbTXFFK)eUiXP|Oe7~2L zT#32M%V#TlLnJw0Gjk8Z(D_^`@;$Y&9&Ls0f1w>Q6>P=X0W{?q&44Y?4UYc+uWp06 zu)ew5?B}2H*ASj9;aZp`e+VqJ(zcyM3k@f5Z)35r>FbJbiTP>FZX1w)tnfZ(6lhJQ z6przagMd`;{js;iutVXn1@p=sXF)?(TN(%s{(fG>Nelxabj}chPazCoK2SGb=9Gc@2@&p!zF-EDE(cDw!s?K-7I@g&>U9s-Yh%INPlqII7!rKRvm4{o)59wW zikqm?p^m`q*X4=#B}6zyA#Aqah#nHIkluL!`}kp4&OWi@ayk~^kfl8fM9R~>lmVnN^*BGlIaIaPqB)dl zR=S(*zpr!G3^MvzD(YjVVgl*|PLN3N@Wq3|`8^g>AK}&z(l8d2)7^odMAZ@P*&xd) zXoPD7%oL!y5TtMG?!F&KMFP}mXwXO_u;{0ww3|p3_3SYTrSvZ34%p#kaaq)w$cG>U;c1UFRNG>0>G;c*H3$X*iJeH zsguQ(2_dbZj`&(kn87m09Glpvu(G{Qcu@GVOoVRR zDBSh^u#(lvY0N;n$UipwgZKMkIO{D=Wwy61VRL)?{{1mJBv&w&b(L8o2uS?MFL8Wp z6*!g6MACz>40jhc{{WmKGZ|i0g`C)~#EpRW;dyIfikQJF0;PX2-pA$p;yT4_w@g80rYi|Fd;!(4vadV`NCwpiLddN0E>`4{{ZLo!#HHp;iZ(fn{#pU zz7?Km$yHd!lhB>7zwM5U(_y7UiDh?{K$lR{u+?kz!%FEt4-h6IOC5{<0Jq?A=@O`D zQ5xuH7x4z)=NOubr@;cHj+@+EZZO3nB}7{|a%Yl6fNBQGx!nBm7tQIbW-QYx>b1x> zx4Pd>__1?p7#c9~LacQ8i0UyG&LKum83v{n3bx&_aBV$_Z4pJw7Ma6q3P`!I`u_lI zCoDy^cq^ovsnWKw-w$dv#Oou(RlBNpC*y{*vGGE@b}XXc3pKi8?0glZ!8MeU2@KLt zr%kS2&9v1VaMUxvXse45l{PvOXE3~TP<67`T%{lJwHyk z88nkdSsZE7K>IjsX49jDvkI1}BA#MV&;7DjW*)eMaYWTH)IB7`x&wRm9+;SB6+ejc zOE?ZMVn1v__=hx{PSDEQ&>I3tBjj;s8U{eRjTMMgUNSb+%YXR82`ML7)F=;k02Lj& zUk)lImR2SODx~z(NIiePG&Md7s-j$*i!tl(!>OfZX+F}hWpzA}GsMb3wY8$(d^D$# zl#L{?0N+l;;M>zQqs5e6T-@0HP;kPoj-3()@8(g1u90;+UN#&;Hvr zj@`d}Hho}(l-TLFzvF@l9A;lR-N@3TpxWO2Cp-{N>mQhTt~8C82MR#xp_-ta6p})q zovhZsy9_I`(@P?`T|kgkE^qN4OggJ}p_5eG#(Hhjqfto5IQl6+SabBI*oxx0n0lLZxn|PQRTPpT79$ntnxc&M3rf!==WO+Fo;V zNxxBJ?!)LgFUuGQgm_varpCqCf;$V7`}^@P*0Cv70Nr4)g0j_=f;9?oO}h6# z94-39JJk~$Eaf<~tmX%qSe?L8*XjFXXVj8-kSPsfZ0EK9KYL@UDfwlN1|1| zytGlSp?-$K=K()jf{*POu(r-Kr>C3{UIOek2*+|irq;(+a^{g}I1eppDdW^BP`Od& z{G-=#i7Z(yEhFBaE{PKV0Fh$=0dAWOu=YAgD`pWaATp+>&~35xwlcY1qWLwU`0;cH zQ5eb@b$L(bP&)gMt~O&MI+((R)%?9XfIj;@=4pboo1WdRx35fYaDJrqFG^qV*(?6*{F#x<^sX-}{$y;KWt`~C=%y~Y zWka-0yj~zsKg>9jH!tO6wwr&S z?}qbb)sa+NL1Np6u=5X7Zl42N&!_>i&^m`ZIBTDd>t_y{muAVV*4Gek0?_ zlBb9>0?du7DA16RTo81EeF+ygHrn_X3~r%diAyOq(~&0m4e(0f!n4Qgh-95 zR4u){tAD+*<#|4#$>wHxbIHn2%X?<#4kjECQO2n+gd&6rq5ufGZMYWezrzbrQ!JL5 z(X6f1-p}$K_QHS^lSof9H=Aqww*J_A6+3Q@#N2EYTpyM8?biwSS)+7MMG$HDI%zBL4{^1(_Y4aa5iD=~FN{C`(Yf_@Yy^Y9a8i&{Ai1RIF zT}#RQ$z@&Tk)GtY#`~Y|g9(-~Tg?r}TbtV3V_J#^jCjz+)e(3#X;M(KUcmPHj5|mG zkwStGb!NC94ZmDIiWO3U5mx8&u^yg->4I6B5a5^<)}kU{VCuR;rI>&L+x57{^to$A zbxL+p2H*=`;`{!edtjWjsVsWTO67^t8a;;l3wP;Hcx;GRNVyQ*;|RvDMdZm~`|qLTEQ>FjpgY?4m zl(5E0Qo{XJx*qs&P%#p{I8keC;H;$8+E7hO*@FvZE+5>DUYJ zef|FX;L5;a1=dTkH`GVv1Ks!A7&JQFl@#hfoS#p23OfP$1jUn0P~V5wa+`yzPOqQ$ z>F>tSEnFnQQ3m}$)wjc?ukXQ#;aFy04OgJ(yC0S`mIZC)raF~@HeRFj$4S?M8nN#Q zM@dy#{PbYDhL+QEyYGt%hBRa-!Dbr`O4noYBYla)XN7VktkEK)Tz4IRx27eLeMbtA9ltemQ*GNHVWG?J9^vS zgd&yTv3PXq-IofrTBM322S_9WPTfCzM{R~ahO$+iW|6I7v9{k2kERI8RSU!zGF$?F zSQiv331*xHAc97~pS$726tR*g5|XXf=iiB2*bm6^BMgpMp*LIDjj*)FB|;W9UgR?2 ziY&3dsGCp*=X-nh`C(U{LOgjRxeH(~y}kJ4_dq+dXO1adUL$_RT>fo|{`l5Bx{Z-g z$E9tsI~(8Mg<@Y26lj3H>!g0S#=>PPVLGHLP1V8cjtN-T{VI@=Dp`rWyxReU-y~^H zgCSL57%6R!Tt1GLl1Rkhh14u`pVJ!8Q%g=fIb@cx!qTwhUOR6V_LnX0^n-9?p1a-ZkE1v~O~z6Oy~BY-8;2)H*L zv8V}yOZmO&ewKCm4O73p}TMCgo-8CLohn7!H=oLG>E;L z$CTyK$XG@cfzWS%QH*{bDI{3G4cDRATMNu1SxwXs$_cRm{6WHTi%ij|7YTE2zU)e+ zv<#)K8ER;qS}|*d1Zq77`~H|lXhWbniyPZx+Y2p0oL+DvbFc&b_QPqlBbSOnZT8yh z>TQEfu|Uk?IK*uZn;j?ej{D*l#6bxNCev;1M?i0hhGLH^l4fNrcH5}*#A}H^n-$uh zbr#jslhWH^sz_UH`69BT7FG{%2ITd<{cyuGbp*D8+H7@^`F=j^6P_?GzBBLUE32r$ zjKuyLR*Bs1Z)FG9rY=HOg-gK7qC+wX)kXB3Ct2_A`rvh18CX=phgu7;0NUG&V1&u8 z)+=QfEwS|b``w(?QNb68jxNo1F`swqgG;)gK0tYl4OLds$wdc-`}05Q>vZ3es$jwE7~ zhf026Y)00^3p1^rrmV$vCrLJS#fimB6GZVKyIG8k-2n8&13RTwsMlep!s_r03;fhMa_-(!ZSwls9?7B2XKBk#Yk(}O}l8dz28i42}M$}HO+>m zAJhJDORWMos-#szCy8bj)1-e)3=1?hY|`(i%cvV%6NwB_Yk}S%0PW?y?SYw{G;^U6 zu#KIpEH^zpI(5fIwJHI&!Qvw`I~dfEcL3~u{{W^ml_U`}xLc43Dtdo>IG#7405a+& zfYf%srr)kKVGTj>j72ZetO!3mKVUr#MLOM0OnWiim#51OlA5Z8ylDw^BE*ICJ$)^= z>4(!rF_0j2(|dpqZrD(uYdlUZ(1thn{JM+}-4ZK9INla;x4QHjAH?pFHbz`R8 zP0r^V6;^;qBL_$RV$%O~RhLVB;zSsNSZY zBv9cMST4kOIK?~#W>=lcTVZ~L{oe~LP83HpWa&3!xcJ!K{qVdo!z!#MMPBL&->3M; zOC_>YQL1=NaILu;0e<-O5r=h*Zn29o?|t|C^v0$MqH<$)ZT|o|uH=8m?}dcnWD68m z7PB9Q7Ut2)DVqpmU|~X7l5E;Hm?>qh5 z8%FFc6l602b|id1zw3#4WW!OWAQjYvE1}4^2X9+pbcgW>f<{rKixu3T?T0Cs)4J+u zEXsEPTEpY-#=nGWV0E^X8v+i*1GWLoH5=HpOAv8SB3M`jVh5-7-x{?9Ya=>ZGK*vj zU=6q4-?kNGM_ow1QoCH<{{VNwbIB}9s}hC;*_zw@G0IvD+6M;dTOO9xv)TUu2IZvOyd5B~rR#YC!D0>FM894}Dv0@VBr0VboMhN#$!ab|&F&Zhx=$!Bdgb z)E992T~@-)VeskO4a_xg*s0JiZDC-3zbrzN2u2!1QtIg6pgR_=6Mws7Na>-OhM4Tici(Tm{{SpLiR7J(K%46dwr%?29EG;cVSP%}&Ehfq zp{zIhVbv~F>nf>2`j^XLxae(c6v^^W#3ITfM37%oDA?a`UBAu?(qvOe;!z0HcM8X; zA6>8(&Q?Wgayi6WVSww<0(n6oVHl_@6;w(YiE9$8YkU3M;&L)|D9tntq&EG#^|i3# z4jQGaCF$Iwfv{yZW9UzQpS~eZnavQW@`+_~@X4l4Hvp&u?|dm86ywW0mu>EJ;%g5J zK~k4WRq;S1_O|^m@xv&xNm5}Eja^vzl>Kc{Rvf`KZZ zN()(Sp~mN+zxlzlk*d%C0JlSM)^Iy-hjiHzMJJ1(t=mZ*kKex%r?NW!0K+R$Ocp5h z5I-q9kB94q^_d}=inwUqMVG@n+v9)J62!=4Ms{~Q9ZCS|JC94_R?BIjl+3|_Jyeg- ze{2%So`7kQ2=aQhVo@X-PnzI^+iQHV;-4pqrPgAFP4y+ou_Rl6vxzhmptkYuN14tO zj+9f%QIVM*+x<4gcVu+|zEseJk<=5p-*p@BjLS(b>ERnVI^Bm&zd?y9qXleNQrl%F z`w%@XgzK`#wWEgW29UPgeQ-)d^+a8$^|NST!~M-`p1a=(G*ZPmjW$vSrPsG>?exLA ze61Enlp6*+0DRv+zWB+>C0Ej{Sld%=xcx9nNehy(ttMP*oJu4_WB`Jq)+e`4mccmk zYH5?fsf-IQ?x35W>4JGh5-cb*t0CBF7a-fd-7xwbs-89`SNz7{0kOUT%`=ox?}qZ@ zlSLeAfTOL^tSsF(`1HZp9-f_yMZ1ClJun)IYMLvng37Xa1+D34+A!x3xCEClq@QTvZ(gM_*p(5!ay}dm!N||b90is3UsUU%cs;IOw z84&?He=qv`@d-2%YvdpPsT4NVPIdaYy}!ORS)#0v6+Ifjv9=ej%U-TR2qHUf*WTaL zt{Y2~Jt`S!*}*4Z&JFr{pWhs=_D^IJk|tY-H8sVKpfS8=rD9YbP@DB0m{o}XMR9eqO502qIB_Oo@+G1Sr%1U2ake#{X{7T# z!5tYu_+dJG2;M|pC64R;F|$2G*v7$@z!mlW*bgj;Gb#q42|7r(!{7ViwS7HAZc4q8 ze>q$3J#p&F`CyGzQ(wEe$Eho(si!c_bZhRQZ*QJCv;njd$jjlQ-ZlKJ1{Z-+DVZc| zAr~r5x3~Ag6o6d7&-q%!5#Jc95iGyVabgEUZN2`OnoVdZsvS8IknuH`je`1L8oa3* zGRq)3t`w8k=Y}&@O2J}?f>(0NdXC>LYNj+sc5=eoi*)+p5+YtjWT=h@vNIMcMeox6 z$I}TdM5(N_x`;kyC#b`4UZxTxW?cvQY#Z}>9kHY=(zb_U+Ir!u!4^D%wiy_L+qv4~ zr@sqoyoFtDU`RI~EDs9=k}7H_by$P_@aR*rL{VzP0()*q_u*~Z(aqSS%&QnCGpg3Q zjfZRFG}A=tWI9L!%V0WTxn@u0NiL=8FWU`-?-Zt3fn?RT``qGEX;Hxn+0{bGr%MLt zHn88O2fG;DwOkm{NCxae#>Z|}s4qGf1`vlX{yJx`(Z-?k&%L#RsVkSi#-1dfM( z{{T!xW~>I07|f%N!Ig_Q#`pOBa5Aj;FQwk$w*UZlw?q07f|ZcSq8DU!sl`} zHn+?4!y36Mz%#T~us&nc{9%tHgnJ+o*ve>Y&`Pea@4x!zyZkw-quv`Fx_p z3j@9$R7n$4jWXO7xv}f+#zS3?OsRM@YFJ+Kz1XnW{{T(;VXaj!;nMFGphxCjx5Dc3 zM;SubOZlvCzpgbEA>$yia5f%<;WNgb&_L-XFvfs85=Phb!WC4C)QSeXwaH~0T#P-d zqmj_ek*&eCffpkKtE6x|2pk0qU`XhG?S@4v4)jF$l9R)kn6r+`z-_l|MYCE99|;3m z_F_+8y|Fo{YZih-tw;O2j0CC6U{kCib8j=b7TWt_RNaEe7Gak<`H`=wkNby9^!HnT z(+!$7Sfq&@>N_7>e15o_Ns%O#KZ%gqFipyUK*AH`QL;G@UdLwM`}F;=_{-UaxFp_-$lq8N!s5GZ(dcGRaH*p_Ure)GZ37qVH((8#f856V3SZmA}Ea&S$ZA* zx5gr(19>D($LWWLk{HxS zw$1sp^gZqKwlp!>nY1Y#K)(0KMtzVH8!3_&(RKhElBzCxZTk!1SXe^=O;_LZy{a2oD(y zZ?Wlf?)hM>*)sU5^r{AsEn%@Y+olkBXICC9Y@m-Zw^8fU5anZFsSIU_*bjH@*J=zg%clXN97TMS;D@+#c5YW2_|` z7LJ)CV$udGFJ@9MN5c*b5Y@qVQL_GTTj3nJ*%-q2C%u=aUi;vHr+E-0N`R0q?0W2Q zza-UYVg8d+pc*5oeG{nq;lxmW9uOpT)>hXizu7n`90DZ?Zq_6YzkkyjS7pLgX<|Wp z?g0LntEGf0xit5XSE8*BgspImjMOV~1$OBx4t)^%7F z{XeD%K@$msf(Dfg0PJw-@>kGii~`z4wC*t4Q7teAL1SwhF73WLQuzbG_8CNuAe6230)21}Uy@R=RW4Nlg+wsvHnM@(`g{I(a|Iw+#B5YtT#IdjH5n7b zs-l_KN|SXhVm>y*X`m9yvC2*V0QnPT{`eTlFw)hFMVGuy<01z_51mLPAMK3CNuqrb zs8UAkHU!x9!}>Zzf}ZgfC_u33+tY5iz!0M_@P);|YupX-*Gz`2N}4A+qi_hfpKiZ? zm~xbKfmFttPRpzN;RhlX)2#Km1EK!_frqs95F-j^jkTK)N^iLDiBDiXF*=O4BM?4d zNVy|$ck6~zWmRGz>rJX4EI}KOmJPIz44P^q47UYz4u@fd7HAzbxdc7@rEkBd#}h=> ztd6LVE%hCa>P@VEc-8*^mcbo$U5A*D&AYcy86!k}+`L~Jm>;bfH;`6xF#FZ;Ijx4RB09#Igm0Gko1uGYV< z6j=P`MH*X9_B#)T4Li`Lsffcs^yOTO2HhBgxZBqn%S!IWnfrhP4UfYQ5=3+q^Oa$H z2KjUtaPdN75C}raeWdCL9Zm`OB`Wd&T&Vc$l<3OMa!#yo1r*Ct6_ZF(0T%qnXRY_!B4lFEmsq5e8g(RR!qgfJb zwXAI0ue;xdjZY=afyp-57QKhZ4l?;uND4q<&@*j(bt|$Ou#G#8KZH>pCDg8N!G5@FNaTbrZl!P6rWBb~?HtSo+H@X*-9Kz*IyrRo)NgaAwhed+(^d?GSE*%N z20cppdi(LYr>}_#f^NIv{LsSk>qR3{*_8G;^eD)ZUf}8KqXv~L1PYPYejvR}CqV=q zJwES+W2#D71am7D?_dD~(EQE_)g+TDx&X$&Zhdj1(?TN=$hQY;Y42=Cq;jj+#O5$T zFkcKR0bt#1FxNGz4E8Q8%ee#ewg^<^kr<3~fW!@$3s`i+IVqBsIG_q;P@_SR+>>tj zl)9~lQ5#Y^qb;1+ja&31?$}zQc#0nk-(j#fw%z`C^3x`Rt|R$&LHm2K+FE0)Mxvl@ z1^WDODbm;ug@sfL8lWJ_z3;jA!)MC_J5)g<0;lp2J=<;Y z!$D10(s%(_kN1y%rr0(2DmX0_>QO2r0W3(^{{Va?ET{1a9C8pzC0h6U?S{}TWjHj` zM8vSU-}lBM{9u~qEhKEKdtaf)B}7sm86HTCM1oxTn49nYF}!ietFA{)J&8Np4C>8N zD@JJ;D-FOsJ^0nL3Zu>C#1u0r0)O_yL?p900H+kM@}e zHzT#MX@x+iC>1~?TVB?_2Ie_L%vyF}PhA~-anWnYGFC$~zX~}C5wqz7VYdAT^}uSH zI*O%|NbFgBt)zkFJK|TEW$6%Vv$My!@2jb{_z^8Inm-sJIziv2qw&M7F>>k#hM3J5 zNeKY-E3;qS`r*9PMJ}2d<8T1dMgIC=!$OHTBr&)E4R;%k{{T!T)bK0?>WBpsb^~vo zEJ41z6xb!`U0XzXayqWc&TLLSf>F;P7FDPs`xK_s2>96J*k7}a?uB0bleJi;qFAb( znpOi*RI;(}w)Xn>!jml2(2ybWfD15Pw(a`ix~oGehr?*X5BCcb^25q{h{}QZfHtv1 zk2mhdPLb>Cmd44YR^f3GKEs7%W-ek*m$rBRJV(w-|bOg*g|Hspg2`a zSwUb6A3=$e>*Ns#<)6W1WmBiBp1a_EOia=<32bj*Ep4#&O)F3xK^|ajZ@Xhj3)2YcdSk}Vxhi2ZI@&n}@D1&>kOTesl=VU15+QK z8>C1L+BnI$u>*g;m{ZD}s0S{rj*O;PMj|~yE(~@9zjKDQl(2ps>DdY-Wa-u}ewdS( znvGo3#tOB|j{SGQO!_)@Vn%{kU9L6*?$~N}cVx&P6YKGeQN5F{>+9TGuY3dvMEd(+k)SzE*Na==ERFxFOx+!3%W9#z6l=Klckji(6%ETT2B1MBa>cXzTnIUzIXcLqmdlaVA!dVM*H=ng&5lpdq&F*M7KYMP(YPsB-GdaH@I(+>Y2aUr2Qp2!b$9k#E=ChV#UN6Cjja zC5gYL{{TD(W^#jI*O#Hxk!`f#jV%;ejEd2@x_}FJzo;YWhbfW_$HRC6 zf~#ZHbsy&r@=S)No~)%trd2n#s{npqEC;xd6wK06RnaBH3PW^m+rYB65AHm!P4ck zQ5`T|>(O;zZ|Xh%{5XPYiIH_g$X9zEzI)(|4M$YI9HT+#dy9L$aONC?@p70@Ng{v& zXvK>E0B)Ecaw!pN!n`Gx8i>JkTkJv~o+oOl_;~2c6Mz2z34Mkl`4t=GQKR@JlvrQ= z!*9O$Gewx|l~Pyn5S^F}#l8LTspVHgV;ezuB3PA?nTmsSVs~Nb@5i4ng0|#Gr%AX# zJfq?F+YL=?nU431@{(86sK(iSYC}>BPNfJJk($QDcON`VWRlS>q>Yy@tTh*ev5xvc zzv+Ztq6006wTAZ_f<18ZEaEc4DBL3}{{Y;z#r-~*&22p`Lz=3MnT3^Kcy`gVM&p7W1$5AAPXA)U;BCl%Fv3SoQnshS0+- zx0;#s$_CzDfcRlT(lQmRhkow8E&l*G=OoY+(dWyl)fr-NS+_zBy5X#=EE~uRSX##S z2khZ`Sr&Hi%zzQp+S;(4gLQBJVcxMCcfJUipM}aHr2g_w)pkJ zH1i>5kg#1pnGbJ<_}0F8V?<8^=)%OeUHyLh<5iVpkIK4qo9S`s@5I7(g|w`58zPHE zDAIQzozCCq3PVCAr}1IZg~8K(zQ!8CED@bgACRyluYY&L3#CwwMQGhx#=%cvZNE?3 z9o~-Ey*6JeNEy(ZT*+_BN3J~4Lp+8-7)CY#qV*pC0KV8-3ioKqa09W}>Fta((N4`X zLUjb{Ep5MSGB2_?XCyBW(n7Rko2||N08AtJMOntpdu#~pf>g`mK+(*|e4bVe57!$l zENE>S9ZJ1U3fy!As?90{K&N|K zYvFi&IfGf$5Ojt;yLHD?I-L&P1WaU(s_F;j1d)ZZ`l(iM^4i*aj`(EWDUBgQ0|U4w z*w&s}ggzTeE{s4Ueef%)@IY449b;9FFUokCfA50@2Y|mjCVjFNrTVNhk$-RzhpmPzJ5FYojTa)m^c%u?U zrlKbDoyqBiWUdtiXj8J<+X8q002n~lqbeCngJa}7AAZ;+D?0Z^(m@)qkP9vMAa%b? zVwMGpxK6AB9f)ImZw)w^H8TRFles+yzZ)U&L9x=Krk(CE$j&iLky`2`hO9UsD7giC zgV*d~q?${TMf#sy5RR%Tz=BzqP#p*t`Qt~9mRWp?3tve9U-lS}b`1dJ>}WKI^e_bf z0J(_j5By_j6U(3gL0#@_LBiT1h_q3FN}sMVMI2JIERE&8$gtZ7(o&CagRPo=8Bm2A z8v|l@{cw}5>OfTht+zOF;zA~566;=vO|gpQfRzZ|mkc&jZimwxvC6Cl_B1I?Q4n<~ zP4B1F->wDbf`1Cpt^D_8>Ol4QUj^zAf~yJy*x0G-?-&ZowtEYtY~%$g)6yB)9~34W8gGi!73$ivQ6chje<@o?SApyz&RFwbZzY_I7 zK}Y+q#6U+!L&wCx#QfhA3kMq$3kM4m6B`d32lqdDzQV`D#s9DJUnl?PQB({xGz?rU zOsxOC<^QXA>IINsqn)6hqoFVZP)ShGNKl>z0QApxqN6=q2Kc{%j)sAWg@TF=z`=cP z*Cc+n9u@uBRt#)Z6f{&60u)pq;zaT0J8agSH5C)l|0ka(@xv&?@vmPjx5`|&k$+Hqs@2Pcr%BMvDKH78FBxoc6 zdBAqHwLjXmi?a<^HyxKDWz7Cd%TQkU8QdKp5?K(&l(g>-=sP7ni|I3fP3#LGFNX66 zxx+5hOy915x5PO1c<`zcrm%C8(%AQ3gXIn^@kNfz`ahaYSJ~TApJ(lh_p=$kWiv(- z`O+Ltg<*Xju}UzfTZh~41O-9=Voeg7kccjXbM`WMmxm@I9?W z`39WpBaKDXdn4~klRXfw1#BO*D4D(iIU4L9yBhzQjC!yS4aD%kq-39&HGr-4tGS94s7s?KSGv{`tk(-hr`7_Vy_Hww08QbJUm^ zTUO=j5#d%JWD=uPxRX+_8q>}f zU;X~4L{YJ3Tsla#NPj8QjpR5QhqJ`0`%O~Sue+}j3`h#$;di>X1Y_Z1d1w?Cr5S77 z94{Y39n74_;xUc7M$@|*J{>rv{PgI}+E+aCXxAvodZDDGv~;+fJW2k}s_ciRVU-1L zI7eK=k!Vw;pOhoZOdy4xVG%-lA6K0I8uQCglxog~!azKtFk8HU#hV3a+TPaH<;mbj zgyLE9^R~r`ZZK&FBtxW4;*%zg2w0~OVS={fH2$+dSRQ#Z^QIL^ETJsmCa?g18GIA3 zcmzSY%a;+h)n;i*791!s?WW+^%ibo57)AEMT7oXw(-wVQMJIb~3CssfDWCcXLUoP^ z?S%5%wl-~QJ>$4_4uh_?nGX2dhJv^Y)ph6G69Au0Z5e+zpv?E}z2--h zZ={+@E7yKw|FG>I>5TJGd|3k3Y?D;|%Al zpr;%0jO39tUC5rc%5KN~utlQZ3r>A@Zn-ekBQJ1kWZ$Bdb1Kg0H&2_GnW6QikCMfu z4rS}UNFt~Z8i_OrT|Mj99qnY%0=BtxQ6-6NvY4eWU0n8O^D7!uR}!YCm@N*x?|K5j zaESpxm7`l*Df1OB(YczGkj-CrYj8H959`9{>puB4nQG?ZRMl@t$p9`K_l9#k=W53akNO`wSAuB;Y0DlSKPal+!clEh zx=4Jqh{>E^>aRT-bpR2>>*i5%izqU;y6>lU9#>Y^G;Z8rk};uzt+|@Ltk7PIKdpbF z)DQac+QL`jpQRHrOPXwJ2nTYofh#-i&eXXaOa|_{!ztp0b{iY=5m2HijiC z&CQ^Okb?^tSw2^bS`|>jGF-l!L}RJMVl1SiTi6*Lvw+|PF>!}p+Vu%v2$LJo(Sfx} z^N{_5V7-K|A$Xw=F#VYkuj;Q#-PsUbNwQ%MU~vt_F2=*MLn_QE)~c!VcSv^X(I=No z90wAVdI&hSe|lt$V(xCG^6aUc6=EF?XEf(<{K7k40>ab9xD)0ocUG4g@ z9CVA2V2E{sk_zgM6X=*pgm^U?^#$BzHTke}-NjRlMCtDE%T~v1gbP(DpL6T+&4y9d z4ymy^OWd$sX~ebv#xlZM(CQE&KU$2mY(3)ES2mJG2GvsPsO=IA?*)BhFib8WGM!Ep$5$a zhe2NaBa%_(b3nm?JevRpIM8+)qh5<*&1xk{lRCSz#mUUyrlHL$aPN9?8$BOw{6@sz zB8Ys>!>p;{pHY2Lfq`b52C7xO z%`y1++LA7d&}@(Ld+?iz*`S=>8%G!$so>D1GfU4Ed=0;~JX@7RZFa1>@lsghN_SEB36Mp% zyH5&KH?j_kKX~x+wv^vyjASH7^B7ET!c(u)9+Vv<5-UG`p`7Az%%4!uZKTYrI zG$`s%UJWdD=-vV$wbi>nCS&-T)My{$K?0Nb8E|ji1)MfP@ueVfGoC+{`eSq^6UgM`T!JGT(4Wf-2BC}hY4u{e@uEF z7~Bn?0L@p~oATR-_pE0`Ain&V3#$TFF3MSryTwo#GT8sRJAHCreALwDLQrI7uPi&P z`%=7psJfO)t$r}icUm6pY-sG2jJw<~(W=EY8-vT?R@T)a;mqa&%w?5t$MKqJT&?z@ z%0|SDySBU-oeYM8#V_}$RP_Y+y1D}x3PsB+UqzM}qF5*Pg1#IeX)t~0iPIKk#)lgY zLcPYDSk%0gX~4)nz2~en%BVwzI^M^#?WG~Y@j~7 z>Inn^I&er=HP7Xb>Dh&ig91EDC87*u;QGwHNnf&LWi)+8Bv@DPjspFah7`~|bRzKm z&$xaWd5U)|ty!4T2B3+T`{m3p!f@;^051+$Jp(29Lmd~CWe0Hli&D1da za7d(I8}B_o>j7CH@Kz_(pJF9!`tY}=3Vy@^{*h`Zo+(^mW$MefDWo`hXp)6qZe;*?(Rt`lz5@p(E`Q)U*c^#yC6n zN&}7(nQE%|&4y^0A*K9qELNw{n33O@3%BRJu>P0~UlXr*&BXb^m|cMgrbISH{yeMT z531|tSt@`$d@kxnvyFBSI26Y7%^O4?c`r`zL7xllok#n!>&v%PX&ALC-)y~PV%f4I z0l~r9E1ZGO5CT|RHnN+koYaT3aag}B1%s7TL2`ms#E8z@^(+taN!xpvnQ9C#Sp+5A zAzP1HgP0D+j^J$l>)W_1B0z$#3!vPWTHD>?FWO`tcIdu#59hX{#z%!xuDaQ&7P=Z1 z7cQ{;8)l8!@=em9@toufUAfv9+@yQ?Hoi`g)dPIH9+xi8Y^g(OWFN9ZL_so3Yzt1d z^@HK4Fk0Ge|4y*SaW4(;eFBIhq-g%=u&a+S_r}}RIr9TZQor6_^m&O_)@~fCExX0r zI$^M6L|dqROq(W_wpf<`Nym9GuA;S1i3sfJ(H1lkvx={mlqv3Af;Eza$~e#f<;QFLZn<-}++`vk~Wdh5nQ8W+V_;0VB^UmwqU zgR5|b_O*Yp9JrIKunMMx@8XWAjzohV<}@ zdq)t^ryaTISF6MDDu&aFgkA{`_iJQi|eNnNx+efpTZz;M)vaz*wA5|iM zZd_lk0KNQ0({}&St4Qt>)mu>vK?Y@f#~vBeEn1DWK<(n4dw@sz9Xg5plO4iSKdav! zA?Y)wFP{K+u`*2XVD#WF=#M2*@2YE~td5^A7v&~dnH?CE=0O1j$u>4{IyH@4XXEs0 zsp~0Xem$E&{eM#s8K+CW>))mlcbR&^!RQ~fV)6b2V!Zxst+m`eI*QNh?zb}dzOBIs z#u+SoZM^e_f4SmI)Jiu%!y*B87WKMq=BjR{ZIW(ECtp#YT#b-|#=Eo)4{@FoC zv$r#8V=s5_W!VxZSDVr zYmZ2rn&g;>46NG%cVC3I@r9ZKQAW5T)+zD?o>4qB^j5P*@I&Y$2;JA z>DShu*Q7mzcnxrSjkd<#2ti|3>m+2}t!;@=6=GA&{a;$@Nckn@!77F_nyN46ERj*D zccpe*Q|d3YqHn8#Qcyf9&tCs9_ZLPlr@As=&6S}N$Oaf?Oi6WnS8r4D)hA!mLX{I=0IL7m@=BsDg~ zFM_om@?AfE05{m_;MVH?)$H6ia71hCYJ4dGwIMPh5;{~l`6Q-aHUHB%%ocKMTS@eb^}=J}3Gkgk^H)psV7dYb%1YF56}3lgXHbQMtd#Za zqW=nWI|qFU)SXQ!?~1hs+7*kwMH<#GzG}OI59wtyWeWuz%|F74?>p7!J|(iCfnoP2 zr&+Ua8LOK)DcPigB}sgKWb)gyR+4bC@+D_nP^D~B$T69`axw-1fc7wI;cWkjLnMfr>zMUasChvO)Gm@Sny0IN0XYFINc#QTqLUHPW^?kp#m z)P=JBAF+qD@4>_AgmE#-N7{Xf4m89@lKPo{ft<-_)Rf&!Ij&b{`wXYDjV97+PS%vX z^N4e}8#WzWHL<;84|dI-xKE5my?BX0LXM*xjP>URJj3+oFjH&ekgIqaCbTYPRvraC zylA@H6}YUdhZr=Zhs<^C8fsagLrm~`8R??L(mcu$}6Titky=It!F zgMI~(c(xk*Iqq6sV1tTQW3xA5fW9;oyn?j5m(0z_NT@-@f=YUDaPinDX726%5+=rL z$oG}E_N??oIW^J;d`z~qeU* zzipD3%#>nVg^*-z2)kpF=@|4kQv(GLOHzKmj$Y9< zhK+sv1#X-}K@$D z<>ILx@4GiaLxE0@+*Oul@jkaO0i!(Es~fLEAB(8AV}2xs4CBTC{Alen&-M% zqZCD~!j){@QPkpqN=D33F~nhhHHm5&T2(G)QX1Ch(_!?75!|Cqz&(pO3mUapyQJ&k zeKAJD()$YEA64f#bbnNlOsi6CXten1Rx)eRig=e||F!dpzZt}%OUi-G)DZs+h`zF8 zF92J$KCSg;?#D??b^ssxzhA{wp?>G-&`jc%M{;e;#xX-pQ!=YvDD|Bra^^~)_3;(F z+nme40q88%>B7hOThGMb%D0^*IjstAUZP|we-yGC5P;)4#)v?@c(DlcwD7I`% zYz&1oHNRk3fa$-c!ZQ(>()@zV`I7g0blbrsl=W)*vvwR46PQ;xhr%9k(&+}FrO|)VLo>YB|nF^ z3Wb-Ux417j_;oxlEM`A~X(zrWj?k$oMpO##RG?4G#{4d!!?}gwU1I7)>GMvhu85F1 z+*jD`MLz!Ev;64ufTRU0O^u7raT(cNDM0(2BejyT59Ri-a5G9eBa&@Xe~6NbrwpKq zg*`mKx*zJ#R}D7WTzoRn`cQ(2R#z*YOEYIh<{CVA4JrbpQ?Ikj-aR>6HUOhfU(kd? zA(k-Krvqfue*4(&A~N~19GF7#R|;Q%LR+lcSX{grgrpOw1ij64GS7z!>L{dN)rN>% z?8VYs=X_{-n8v09LkBRU%vtn(S9Xq379ZO~e*BYAs@Ygs?&~`FjNZ>NYa-Qu?K~j9 zsM2_#X0?mo^o@&7alnl+&x*qwuX+n_Wpni(d_shUQI&ZU>F}u~CXH^RmO@)pv!s0M zyzkvJHi@NIoS?QD_Pf`#IS)~V#GE!Yoq}4#z}e?LVE01IWe(p3vA6V3BU*d=2((Wt zvodq|F;Z-`ze$u-TUz^d?}yaWR>h@yYVi=n?#&|{U&+LM*=tVhapz-qu=(NMUHx{H zyt-XnT+R@7^H=Df1Pe*D@8qS0$JQ6Hj;0)JSNl`oUi)dgRnKeEs{ zzzrY1F_u&*xu4VkO6=_Bm%BW_$kXVjOp-lrNp|7uF^{B?bMvYLCC|ljB2oTHtA9N@ z^2omYi>nT|#bJFtjNV7ugvK3O^2--EoZ;p2yA4SB`Ax=6C>{vI)?KMh58^J_zb1SC z)nWnk1emAd=48y$5t1~ugZ5nZi4s^Vb+Vxh4&@cLJ6Z+`)<-XtQLvKySsV^7p*1)i z`=N2I75fDE_dOTOg8Qn~Tt)nqgv6d*$lXEG&yooyg=!7Vd2Usz4)|DpLoRLmgrucV z%$Js4ZQJ;iUQocr`N}1{_y$+l>&=9aJ&=jLY{uc81Ek>~%uN`vCC3r9*bqSf$!<0N z+D&XNn6rM}eMOek&_u>ziL3PqKmwUW0nY9Gj=m34@#g`JWSzHb+4|K7Z|L0+c&``w_^Bt%nx!I7StNjWB@T(HSt^7zAzHWV zoc91oB?Tp~UfoGku@DA9%VCiq;>Q4v(!{xJ&X|isYqWKe(0WU^36xu$m0>SZ2~{aC z?b}{E)tKsOvs4Nm{je{CDcp`pI2zTfZ;KJ=cJ8reiJ>f0<%BwaR~C5^)d4^UqQ93f zIPDT0fC_&MW2EbRKbWm~!~K)jGa-kt0zE})alXIUG!2yQmiPL>Z}A#+XABfp3AXh+ zIEY+l15+uD6I?P>97F`3vkf9PGe@?4zVY3XMaNWtH49(p>)BjC0g|%q%X9xa`eKf? z|Ha|xS&ydC7!4EZU`8$-g?fBr&S4qd_VVN&YS`w7^enh*G`aThO{t47MRYw{Lvg~3 zotn}xuJ&RHjZBk@&=-}$aZu-Bz`08mQ9W;q@TL_@02q90Z=O2GCW6Bjx7Y?K>^Y_= zG|x3=9j#)|BZz;Gc582!@<(`dKohQY=n+y*$N_{9I*+|FOlASZNwrR{$JXm*Pvnfo zVxMs%LcwtjthFbxp_*9P9$iE|V=#{2;_fcHX*A9zN^)lpo2@?vW4Zx*AS9d zpIcwN2^~LG^1-nF0XUigA1#~@PvZAym9USOjj=1%zrbz1^H7!hoPGxvNPB_8ZX&(f z3L8ed*FX8Nw&HGT)ZfcHpANYp^^iD*UiN#JQT-LWcU+bHO^~D~=mfNNSJNL}z%rO5 zFP`V9>`L0%wJYZmlZY05zp`(*ARV0TTS6wt<7eGwx-b6Nu5HuFw+~#aHZ$eHaRPkV z!rWS$q0UKF{P5v0jP zWm&-e9M*@g66+O@;~7GmhR4e^T{R3CV}P^ER?g^m68OkXLsu zU(CV@!#V7z_SuH~0@4B}y#$?Poq#f#l{$-Mc-u+}5OR&Q$@5ER4 z^v^lzba$~h|0mbgvaZu_II2F6!|9{2iH45B5EPl=+6@mtFnx7K%kRKHV)odbsUg-! zo_xC~$4SviCGZ$5+uJsjj9_Vps9*}oxVDTh#J=|gxuYzY^%k0+Own=d{VbOjcO0&R zyIKjf#ZFm2)qlmj`bRWI@G0Fs!m*GO>trg-sp}lsjZqB&GF9uuJlgfN_Q*i+_nS%o zZpDYygfv_wG_lYDI6L#I4kd2yy?GS{e;Y25W+-Pj(z%Rp}gf+&E%UJ65? zit-CU9>U&e%h5h`MLp(~ZfDD1L0Kz7;k==;p#dUo-t%MDu+extl8Uywp*cZx;K9De zUXL~zSc<7!oW{fyJ8Be33(?Vq!lF@XQ7~SWi+wbGK|>AdI(AF$U02*#Rp5C747ftk z7Eot`2hRDS6dBCp#(sMdZ(V*^N+}2Dwjv%skQk(-sl*dxq$oW9MEr%~wrB>GxKfer z(%>1i-T|p8W`+GRG}QjhGAPE5b{Jb&ps;GGSQ;q7Sd ze{gj6RA`N}SbG9kKM1|z%*i~f9wQclvV!uG5EfJ4 zjUp0NIyTDg!N}uJ((b$W=s~Gl*)=z{;%*MVro}|8rAWMeL-Ji{=ZLv;2>z@| zllEl+EQr$tV$DdkV*|(Bh%v9Nt4c|$tq=|OAy(ouloi=z_I`4fNGxS@xfWIzSz1)x z7p&h{*`-ut!u3H%0X6}?{Url2zf&5eBbMx3&4)~}BvfnUa(@)&Fdt~b~~ zD3|WZ_$T4+BNSwR;URSY4;$n?Sg|}%pRmYk51xdyJ)~_e~A?|ac8H)-mjMu zhnvmAVpbiQ<0rR+#4z5jJS`Bej$eYzI%xXiio6|*a(?}w2BXkqXKW$p`inx3i1k$$ zs&C3=E`MQ=&3OPmnKCUGex1E10b2EnHBwFbWUS0ABtGZR)phdQ=Cguv>s%=1toT6r zK?;Kd80hdywdl3szRiROoK(9+ysTi|6x;`}tY_{os9N$cj%buU0dp&~3aP`jhQ&YGV|Cb!p*la7EbC4!9DiroeVzk2&S&j8v}v2s zzA(aYFI!5%UY%WaQQs2 zMB*vX4v${k@a`7Afy_lOz(AP!wYn;Ox{p&a>l+M_38w_yZ4tz2hi$kj6HkDH1qMcM zb0F_BlZe^D@2k6#omKas&)4wLk_kBm$)q?*>gc~W{Nz@oYGXkS7lDCxzJh;rN0kJ# zQ@sN6l5dCWPb^uY^!|Qq-~yKCTr7kO$7v@2o`ZKJfc=~kvo9={>&5qwXIF~{+Y9mX z7*4(}Li*=I#CSezC~dG8SL<4dob8jB-osf~zUjOlS+e#exG^v3aFG9o6}(A(CO?{_ zn}$+HGS@UVLIFX+RPPI_T#9Vu&(Q`)E0|4GL`t1Cbl_&FQe+nop6lqRAfus;hsew4 zhz8Zx>+N|w+4MZ{g6iDoh=wvUvG1;8fnL=GG-YS}(bu3ptJps>OE|DdKb&Z?;tEOV zB{fEv!`Bs_A^``}Ih4(7g~1M15Yhu8%GJymZP0TVhPm+WqS;q|8D{0etmB(?3!1px z_bAJ8>G!J*7eg&9mf64EO_3pEttVD-V%R=H&ejbp2r)fFA!_#4xNZ`iwVJo#62JMiV9LL$C`0XR&#hVz$ zrZfnGVF6`Ai`V^M73x;-`zL_eO5z_=eF^b@Z&yz*`c9~Jw0%O2FX%+q{ryzzkEv$| z_877-!&1!v*i4ZPzrNVG)8UCi=|VYq!K?1Rjh7`(vl5K^T|dr;iu%~z_xN!Hew@tv zsOA>$+uzTuj~NVWZ#@-CN9hw$pI23M(FujlU7YnpU*;;=Gy(!PNstypuWq_eL3Us! znGuYbz4@JggY6onruhWLshU7uJhDcEKetFc#d5WFZhrm=H#@3Vqh?%&szaAO<>Duq z#+`WGeP)8lE~x9wRvo9l;ZV7)sXm_@;(WCnw>;&cRGFGn%|=^1$A=ER>n}ks^0d#m zcIcS33v|q2qm5q`S03HTRT4h|vdZj2cEISGZnFqQM|85D!Qe@fk!7b;1tSCo-fEzv z(*?eI#tTENY=Vm*aAZrL>yKa~!@mcue=(Bg(MZQXi_R1g<~8C4DtT9zotWy2obp_bpf*@Y*iV%i4eP~D4M*N{9~$#o8@DX?vo$cEmdLA?iYHS zGhvamE&0YQ|J2=o5;?Ob>)9;S)g{FgO|Xqu6Tg560l2`?>oE*NuN4VDjycCUFR%%_ zH8NVo=N+F>IasE;X$j~_y#e+~RkLM!5cJw?OM1o?jhOz92k`#6TJ3;4YPO6Xib4rW z0~;J7T&TBVUyHTn9S2iYPirH-@CFxECNS}i{n>3pRJHN_ zMtJ{YOw?d`O4M|m)H!;B$#>zo-$&ZUA6+?9e_^F5Zzl#cGbr9}{91qHDPKc?qJ?QT zMz(^Aos_y|Lj5m}8V4gedWD8Neo@jm#b@r!WrV*ZDIF{cat!}0e$eAC+!Mj-aL$M``d9x8>Bd?pi_u9%!R_=Y@`Nw5EE{j}8TbvF+ zrQAxp>q8YSR99ddo!03yi<-bfd| zwyPn^xHDJZQxW{zxP1C3%}C3~H$aEfRy_m`QA)Eik7STSyp`{eqwN$WPicJXE$ID0 zOzr)~a|R84;q<2!x?^)jwV`ZVH}yM)*cGj5={}R;j3y9qAILQQ+V@_N+f|LtDOPvR zHx;KSR`mSe62n2VePPx0#8!sz2cMfRWloUrkb*oba zZPAnVqxwEO1YbS@YF%{02w|9G-A{nmh(YDj_;(JiSr3B!7TP$(qE1flYFc58n_hq< zCZ<$hE33>74(gN(RfCS-IS|M*0%52ksmzk)WL+EZ3@g3su@}!%#vLP8%`s29oN=SO zTbnHt!Z;Zv@$`-5yT)6W{QA~qpxB5Wehh#_t|u;&e{=$2&Gtg& zl%+stuq7$mvs7^%%LjGG?Y@zMLYqx=7nl66LeFLdwe?^TuQA;PEnkhPVpoG_>BskT zk0COg8J@Fpjqb+u+4H$BQ|{1+3TGBPrf?0VaK-M;1-wowHvjB5asv5e-X8w8x28!- z))rU#xJaDh7w_n678m5cZHgFhJl+#I*~=X-z?d}UIehqSUY5q_44ZP?`rDb!Pm{v- z-u1Ye*Ec!!ieR;ckAt zKC>RvQ5v{tV6EVa`D;O?lp^eSC+YLXJ}%l9N-X�VGWz->d&T$axwCcRk*Fw6^W2 zMXqzsL>5PcFUW?-M+t|*SRG{_d+|Cxb?BVjeXP1zI5)8&z^0yd$^yiMk#!}%gH~yG z#bCzp5fUV6C>6_(ivl)5%)2`74cHJd=Z!@t_IhHA_r0vUoU6Dx@1`6*+gEXN>M4OTOYl>)(grne12dhguQ zKka8yC=HSjfFtM{Vwd~G&Qk)1ihowQf$fPFCUItTSanLtN_38+1JA-U`%Y2AVbi_O zyy(pC1=?p*#u{tJ zV&C@KET3oBCKdf|S`QBi;DNiYm@%f_wBWlmoOiEHcYM6bAK9(>;t30lRuAgsIK6lY zu*gk05I-IV3xH?H4GIuS^uc4eWK1$*fEg@p#>Fc=8#0ZPw zfJ1ljVdp>=XJV7H#}a-a^R@9Z{lU(<2YG?v)1lG6rlH(siKZa1yMGi+Y8lszRPS{bJa79FB?YbZXUXb5 z!cjRh7M^WXvs;-o4@x0Ot_NDAOjaqdLcAdlo&?6isHS}xMAkpf_dd;YX}{(bLl-b? z>!5@3KZMch2zOWMepR|!S|w(P)m7Dh_a$p)N)7k%tR!JVnXf0GtFh~r!TQ!`;4umY z2xIpw4Mo`tq`*HLnQw;`E6^8sepnXF$d!Aw^HJQXKj-@mJy=bQ&qSs+y-$k5(N<>| za&_b2DxE>Pl+`uD=MbXp3mJyMbLwLLL864Or_~+gzcW7b=G(s&*!ssmGSY7hr`tNJ zi0I-t%&rW(TPEc3J7j*%#NTRFigyKBT=xFO_fEs%S=qekQyi~nqj4w4vk7D|(WZMj zz(cV+zZYf6TVbDS!o}prDq+H~fN7+`!VZ&QAJ(l$xWq2ocq-7TLwmYuIYl-5@-urv z8lEHRjceMN$k5M<^wrYq3Yyg!vszX#WO74&yDnqdoQzh=dv1R_Cl_Npi2!0ksxK_0 zI=Ir5X!^jJcC^*{XFOQcIf2p9&Fm0GV)gMf`i`Tl%~Ti}P2vNYKkeBc5)DwdqO4DS^w2Nm(wyh>=h4&A*I<_>@5WEO$0M7` zgEcCx%fW;sat>TiNPo8X=as1%?(jQE;LA!^TNC4hcAntnx>!QfN$whLYt7$@gY`m$ zd8oN;Hxx#iKX|ja%l9>o&b_2ZMI4;eLlb3H2kt_&Uv>Ds!3L|V^umzfSb3sZnpl&B zeU%2gFzZy!F;H}~7rAq>b5~@z#p*?3`xx6;vo3jcvSj?Qi=7mU!)@N798c8QidJ8<_sS2Ruc(omd>0oWbpU`36@OfeQ)39VI>=>Z*BaUG7 z9cih-_sJU5@z|7ec3!^{)W)Vh2^N$33bExmwSl2~Ej_nxmojo)A@nvK)PEWX47`R> zcW8O?40%*+6nPz~GP}=GsG`t8Ao>TPv%9Q7o{5V;nKsNmPko0Jqq+kSgeRFl144*!8*!W2=II{VnvYE@i#)M=KrPX}6 zqy1))H@7+ZOtvFt6ca>5X^BE(s+?p{!{+T{)#P&9bZIg8m%1v}L+$aZ2m9x2d0_+O8eoQ4%XR2SZhe=9) z;O#78H1lD>7p2gVa#g4fs5M56@r+&fnW&sCXH=GUp#&qH8 z3BZDjW24Ba^YhO_ha@4+Sff^Gkw3<2Ic1Ut3EEpq2dF#}eQTyU(W1y28+$mwL!K5t zP}&j>658zwu))=+mSAOKUvZPrw$-3wN&vFH-nv@7x1Ezy?TeMFO_C9oIPzM+F=p%4 zAPC*Z>ZWl0D!`~G2yD8Pk0Ptf(Q3$4nV;t%T~wS2!Y;+HBqv@0&%GCa4zz|O*`!Jg zX^^QG=Rz^%k-wDKIzWhg0a`Z2_c5!ci)J<#SHm1{yd(QZXs&!I|AB%7XnBhXl*D`) zE78CE^=y6unh2$xjRO{uAlo?LJ7`@HD;_H92J0M}N5tF3Orzcew%=i$>1|z5k{x4R zVFZWA=MKt$?+;?ZwBuAW5!9GSa8TDNaL2Otqqe$qx)WcZ!8o&%^(CiMx;h|Hxr)k= z(>`vuSv=2T`0Eou^5t^22!R4B4pujAh1narFrEOiuE&Oi121Dn!(7Q719D!%nx)3$ zc?7puRTACXD;n5L<52397J9MSVWiIEl|f=wllm9k@ZK;#c_dng!E&>xo^)G;V0XE6 z`|-tt;5vbnKu*7vfbt`>l>B_Ay7QyX)oRZeuBKu3E|!5@NjU}hhd$!cS;996rJ-vu zb`?|cUV#l41q$%%jof^RLOIdcgar329E4ZuOGUfYHFP7_SPK?$epO`^%-;fdexY5s zbLr>_54}buL~_ZS_P@rjR^G#!n12XrTz|==ScY@27jQLT))to)tfQ0u>b91m*;aVRr(2DP8@SYMjIpP63WLGK-B z!}C^?zZWtFIpAivlkr{$_fImB6wMu4$S5&TG-$2xGkahAr0_5_kplHoV;}7@ON(JS` zHCp!*&9#Vktx3z6SS8~tg#ul*sejOY7?4zP^0 zrgyZGhJW6se=xy)FK3QbQBPJDr}1jEm*Kjy^~73?3=>m}SX^TDq&FX=LzJSaTay?j zO*k)iO(YcMqtvP1)zW%P_MBu5q;<)DDMLAp4KxHN$XZJm#l3Sjm|yn7&zK{@Kg&|+ z?5_*`NB@arl|cR1(54YtaGuSHxvgR6+P3H= zeG;aKKqOskvm4!QP_Sh7NnNmm`aX4LFJnQc{|1o;Zw-G|#{t+{VPN>v{)TFj1Zy+r z!9jQ?tvNZ_usM~XZ-@0PUmHA;6_pdNId6K<7E(xcW`+}E!jqy>FZd7B7L|Ke)!mQg zmDjZ$ndwiUOB!^3T^%dP`k}E_Ur+alRdwDYYjLHW2186Y5HIns0F2T#H%>(=)UIa2 zA!vx1aXrbT0f|+$zZ%gPDvZ2g%#~|IF6#n_ITCPrq{C#ZCzJGwj z?h|0RVep6n{saKf(~rdShvX`ZDUEk#Q9vPPl)TXif3krzBUBI+~_72coeLZRr-43b(iE5%c^ zf$x<+Ik}rUoOqxp)L}@EwzfU+X=~j~mu^9y2!qy_vzs>2p!cO!uPTImEZ6M~ zJM)Kl%f4l{LRIg{0~H1*Jq-Hte~xE*qL6Qi4~A08LT2svi<|{ETpJBK@I0SUh5QeJ zQyI>i0uJ-G8Qv3-j|wlM4Mdb)?tU>@FWv*r|;6C^GD70vZz0l z%Go4PzE3#Jl+&G!|5bEMJ48Xyx6wUzdAckDuf@_*eX@UxHbS*OBsE$~EbODP>x5>fF2L(#C#fyAFk8Y> zg!!#)x_)@E2?(4G+MwwyvRK~Rw`>s&Vk7vhNw&q5b@^Zq;>#MC#epDL3@;Q$F{I2i zfw!Ra7_g;9Im9$f^4S%D4b+aLV48MCQ4Xgndu`>wl;H)60#RGI_w9nv#~TM>aN$po zclVp)I^~WLMUu)t-EbFM9i!jx{Ts1xkN{Cm*uLjid zX9NEBp`v*uq9RRNzUlyVpYLw?UA*kXz%rZ*A_1faS!hNxoI-`hI+}sTBqnEAMqXjey7_NwFP`MF{=P%nf~)J z*;f4p@cY4aMp?;?m^a=#^dCd@#hhvMQOfuwJabZBvImrx3mDlt4fnt2i1kE?Q6n^S zI)nh})6;w(#1kvj87iDAcvb;`?Y0_3P{S)a$U?9;U{3uyW6^0XV@UCyL!9lIlA<{p zNoAJI7#&JC{{VR9pW02!+O8wz#U~%o(@8A(Jy=;Jk8Ln8KYMPv^y8@^f}VK^iA9e3 zTW*)%5l(t=l`k1`&pY86U{+eJvSrYBP{mtIb^idBwmz7)(tVlsj%4^)<9PU^mNb;} zJNVRu#O6l5h&BLk(%ODj`C`(Ff3j5Td58w~P)7!n5GhWJIQ zN`(m5UsP)!mNqpHs4E7!7Ayw#^ue}}*odiU*tX}m#+8p7f#O4g4Y~bsF-dZCmjT7#bp9O3hWBE#?SkTxXGOf_lnYwmfG`YMj8jN- zYrpcV#5Vr8E}qgIDNr^7`jXpx@e<_(3 z{{SpL(UlTdNg1#@RQXB#aT?~uLpD_(fa;B^=SjZan5CDZytyleriDl`NX1DWRvTLi z$q1EBlqvzft98P0&SZb$*#KJ$cQ`f(uAyQ8i*Dx`vv&9swHr}Yp-{CSb$gr?VyBiH zh1ed)dw+}ul3mdF6Tw!R4PkrQi!e&0L=TB1@y(V#IA0oa=Y$EG%hvNLLWq&5Ss zu$*lagG_{=>I$3K;b)|SKv?X|!2RQhYMDHyW-4`NV;gPng5f?2UAG{MomajG5!6%Z ze!|4t()cQ#y=IHUC>r|{Z_^!Aa6~f3Tsd&W`ivC7z!1H5>4ag5l2RoDR=e2u8+5|-vHt)N0lF3PgZ{8G zMDAmi4S9xCMz9O_f$BydX{CxlXVH80Qaj;>m_omG31GchcNjX7R{(fd-G!}%$vCn}g_%JXvbWdOu^i!boYR6ByubRi(C(p#NR9DZwc`YD&*X2!(=%D zVX9C(gVWcq{bD)Gejnld_b^B*=tPRoZ5AVce)zVyzmr^)$});%%&X2@S5+7AUEM;t zx4paky)H4Uw`$4ynp zM;g`kWfrjSYvVM(b}vsgFo?$21y^l%Klgfj1wa7NlmzT)_C97K&5hSJ=Pw2y27;;CIW00p@q zdj9}V_k<*&hDc9`waGW!0x(IY15`g#RxMS@0>FWD>*qcxTGW+9_x& zrlFZxUMUzbWA{z}01M#CFk^(ursq$W{O{AT#M|OqfMwKeA|sd0KdGJ252h z^S|eaWi!O<{4^F&EN!>-#i%sVdmNiW_GA1>0KSo>fxqE|D(It|?wuq6P0vxj4Jxjx z8B_z)&|xX!grDISBnwNrxw<6f&?0gvW3`#}F1n;Ev2X4Oq0P71zfg=qYUc{H&b-=Xs zy5o&}pr7RkC#D&qWOg7m zuh3wMLnF<0ljKPv+j@4#T^yiEvh2!f5h09Rx$buK#FHql{ofK4D)ko!SNwk;^@zS* zH9QIes4u5?bA3nH;oBsUMO13Vg6;)?z=GmUa?H)9m00y*ef=?D@P`ydMl`K5J&3ar zd!JA1ipXZPxm0S@sys_ybJy_t?}^VQat;0$ynwkNf{e@q-$sVXk)zcLkh zK%TmVB2`nM07cEdzJ@sC<2!`^0CsVz=TL#7U?W{3z$n#jp5LZAqPvL_sYE4@h4(-` z0{8i1f%w6vO5PoYtfSo~QV71|d;NQ08!<{H)3V8-rti#~ha;=RQNJ%y7meCwAPDPQwL7S0pce z%CHyq-?kdzsf@%?-I%C0z73*bES?z*;CCXz*s&BP%Ewv?cBq+Us3nxJ00Daqzs@B& zI=nL>1(5(-18hd0&HTabiCk;2(yrF{k2wSWwfdH;G1`6ydk?4&N>R0H;hMTu5SR#ImJTm;$j8W2(V+ zJL3_^VmeCLb?gAbSCF8Q&D?iAhTr64S(Rlf;Qs*litVQct&r88t!d<%^zjx@ED2$c zV^pb`t|0)ZHU)*Rk6@HII+3u;DH5etR3~yb^uvuNU7;YhkzfVz^tVuPLG^WrV<8h?p|$LA(U?|NBd(+%2JCwKe_S~k zB3g4`bq&VY5=kO@uLDSBQL-^RpMS3SoveIViW%z;Sus`9eMq07_S+6I3PfW8-_&2@Q?yYvfS>tnn>YlbeMHxWw5dS zZTJ58a7T=S18Py%N$Y{BoXq0xNl{`2?r=iUF>@>=_1qqoz_)!6>{2R&6_mzGj;7@P zV+B)9Pf&+UfD$@?Yz++Yt>a`1pbTvcZ?8~@0tLyn_QX&fjui0tmeNZ0`=h28q^X)I zJSm#W0rG*+;VK%G)8NVoH@@p^FCT`h;xxBnI^VZ^LO61zoXCJXjV9M?^!&bfEWsNE zD|=gF+kf5~a>QtZ0QLssP5yh~&Yo>!!e1#{SRVK)R10kcEE8BQII&&FU{9_b^6JK2 zF;qfsO_cS&zrF^gsx29fjqW-xr|0i%6jB)-V~L2c=vU|IfN6v~($m7qvc}2{?_k~V zd|CisyRfh%;g&RbluJ%XA?`soAL|Yjd4ZnEVjBKp!()ZW$K z1R;i@+UEZNU)KV~49y}*uV6*aoF5eo(Mn3ks zNWL7Bl(6nV*c?CB(yO6yAXc#hQ0Z(%c!0`;nJ!lQFeL4U!P*rrSs$q)H#gaVySH1O zpI z0a3k%*qBk)Qo3B71Rd@={R~9-Vi@8;Bpy-@qkE8c{IMnd+^;jq$ZUYeO?ED_I#jzUg6m-yHk#$?*-u9E0UY+W2Z+8HQswfdtF{ z0NXYtTD0VQ{IFyOwuvmHxLbAJ!@ePWy5?sN^Cp3F z43ec-n-)coF+h4;k8khaY)hW4=~ybRpu=;ke0l8n=Z0Hl+BY!GPm~`PWd%%&;@?&5 zPreOwlferdN2ctoJ9^=+j%c1z3X!lXdvAaH!7o&?veT8gcm7?#!Yz-aElg(*<+!;! z6Wjb?sHAZT4xj>WZ8sP~6LJI$NWYX5`0azJqlm!bWB0b)b^ic3l=uL`Ixq~t4&a`L z^7h8n6x9r>)OH5;Wgo6Ion%!Gpg2Eul}*1~D?vL($`1Qnn{Ef!*7$r_x7o@cv=4(S zY4dEej3q`@bTKm^>Mm?=^S|Yea)F~n41n6*3EYf#Z^mZ~FBfuSFf{QKEduz;>NfK4 zzqPOV{utn>q;TZM+LrzM;=~)G)+b1JiKXzsUpC)%a_%?+f`ZWwmH z*glG|EPCCv*lvBX4$Bdm4sQtoP%V4hUgp@*p+f7W^tFKm5o`Yd-aSrHl*mIHn-WGf zbOeZ`P+Hqq`(coDGSr1ck=sg@xf`{20GV4#co{{U<_(B}y3sT?fe3o9kf&LdRIHe(_!Nz#OZY&OKJBZ`)g zh-p_xmgJSW9lL{wA;*GM!8|=to74>3Iv>H0`5X(kLv8zfzwXDS;<{QWl9G;`LlPZ; zP%q!-_~O0GvzMo)N_J)7h>}I0O~emb!}g+_?#CEor%lr2w^nWJe;hAHwv;0sR@`dee%~u$z7{f~ zmz6;*ESLB9!En|j5wt{=AxXLPxB9~(LL^ibv7;)N2T1Aw9XtO3Oc@4it2cs~C0Ay2 zxW9675`#3Zt(|G|c$vs+YSL}KC0q@_xzwCNOPFUgtWii|xv)P!nCpfsiw-F=wdUq> zydCi-m4-!$WR4*yVQ@hjKd2v;EsA+wN@(VaK*B^04XgmSzSyO{G?VvtJA+3S<1K}> zptajmZa%wWd&>SVIsX6!(McITFWHq`IRt_QyZvwLjlCS3f@g{H3bix+$wgIEPc^lz z%WicGdVj19R~OmJNF)X`wbcIrY$;Cgyk(_{LvC*E_m6XdCSMI$qiVAPKs|ANO1Lv0 zWKW&^5eG1NXPt1xTd8WAH&kK)(!g9B8}}XY$G-`A{bobOxsM4|Fc!EF$V!sn>F9CW z_3}Yk30IR!6tM%p`^OFQlPs#_=fx4tAXfW5Wm?oi@3x@qbr1o!-VN8%`&?iolkAwC zAtZp1ruP;szTTM2PNp)FMWLHw6m|MI4wEpXt)YgoCAcW3QjfiY9(ZS4MjNHW1NU(% zN`hvlIHt6bKp1+BU)vtW9-b*I!evozg%9Vk$FQe7x5FcnNyqfdN1Zi1S1V%5#Qj?h z^i?LE*Hixh`aoZ9xP0dPPX=U_V1Tb+%nsXM{bDUmQ#DP+JT11$z-?@N^8-|o^}I4o zCP-=P4~8U7^pm;U88t##O0cjBECBlY7z&I|DA>5M2K)VbVMv+vg`A6DV_}ENO)D*S z5*#y#%Q3mICfj2%p<0GzBmyjKHpcKKsR`Aj4us%?M=XFz6ZEkb{`hPg0`I`!BR%5bx7Kn4T3d>_v?!*lufjHk6XWr zc0D@(0B_F+QaT}4Dy&Ji#@pZsBrvg&Q)_j&?Y;`7DC8_|E=}&cSYo`_JPwOq2|vQ2O`7r7PAeEGQzIP!_Z4Yv0ohaZ0G@8%a_Ht>yabhw3^5I8w^a1%U=Z zY;s&3E`jrWzN&cqU){2=^D~9NM<@2nhvsrcR?r^Q7IQ$7plEB*IQ=~Uu#|qNcM(9M0Rf*_FTqe^8 z7BRJ#)6jb3Fp((LV`lD0*S03BphIGa$sN^|bnnvGNmZ78W-)tNh#kIo%hXgtEhWg< zZoj4or*SiQu7r#A1ExBYILvUla8vW?Y&g=eQJ`6_Ew}Z;QPRk$8Oiewy#U6`x87cz z#@ECp81isLu&0J(@XCO}wI1X9d938zAv;?D(W-`18yZV5Ync#>BopTU#GQh^Wyy|oju_WUt3f(J?_BL2ZoV~X>HrhK>|j$K!4 zk^S*Kq0QoG^)LjGFMqADs$12AKhn-8{j9mvgDJ`~Z3jwA;u04919kM<98H!C=X>r=w)eMedmj_G z@`)qMc^7%ZhX$D;;Pz4x{Xq>^Zk$8q4SuU`gnI4%^~Ikk`afRpPKcMTb-P^xF{lr`wrV-3M|=;QHFaPZ>Mg9z8xe=I+fI^aNt~q7X2}j z@BF^_bjtHoDdE*I7CpT`9@q*hcY(Dlbzi%0uc3n}MLe;rbElXAc>uMJAtso&*zE(s zI+cilq>{Hc2k83#n9I}nM(#`5e2sm`{4gXCB|L=FNKMAV;G8KQWbw~w66mdOFzxmI zFxc3)-3^>|kbmSn9hl_CFyf+)MbbdAB}nhL=jpyaZ1FZ#HbKNyxl4;0YL|_*-+L3d z`tOgZ@+CEGF_JeIW2Kdiho(My{95pva926=7!n3Zs-MPPO>t(q{0+hN>)RIaq#RpB z0+y!E3(80!X|U^uHIhrKEtGUOu-ogl8R?}*Dq{lRSQ2_*_#77qSwOY!I$z%wQY}Vl z25M&k$pm|zm_lt^uv^@Yy|KF3XcAa1o`CfK065xT3=pxPJ+IpYHDyC|vdmqGRdLeF zFf~l>gqYN#+*n_Jz5f6VILL)#@l*yRSY5h%?SU#(tZGpO$?~b`ewZkv&`T-mOzNS^ zhO(isEJpY_Tf{n=Qb88-{{Sh(et4#)m^47Ht(Y4f@4g)J+IBIxmO?y(N!4qPx5PYY zJ`0%VXf#g~HlZN|?!X?Wz3`(w^)Xkvu0L7d>yb zEH7p%LM=P^SFy1>lD)8`2g4+rZoNS2F_wi(DhYNQSQ~GJlftr<8@EkX{V>kK4a=(% z5F-z;+T!1yA87LyXikzrU9L{uaTbZ=Spuj%eAWZ552wurv7%Q}4UPJbf3MR5`vIhh zjSX`a*C8qf=D_TFZS~s@^tH+m_;L#|u?yF(A(Xkak*GvM=GL{Z(+>G0l51MWECIKZ zO|QNoCt@WP%SX`6%++xAtoR%6yO$%XdEY`6)#Xj^1Q;Hs-2Sh41kgj#>990aNEJuP&A9-skXpa^*-OO zEGaUB`>r>R8x>)-?R#~-`VVYfSYhb5?nG)cO}-8;`1*)L&IlXA3#%!Nvj+bF{EzFm z<%W-j&LLG=oUUTbz=IN6_ZwgO?eh8JN&7`1NV%7l$Ew~oSLPS7AThoF05SREo#keH z`A-y6n4O6Hs0ECYn<$w2cualKmz26pQ}=Y+p$zGJ+10(Sx<@4*P#ha^X;B zOe&Ni zk?wyWK7P1eDg!o+Ctz>y?Q9`THVp4=#{U4{3c^+88b@muRoLRhV&zC~}f!{AaG>Foz z@BaYC`cLbL4qXl67FGM*RFyxC@XMFHP_h6An1EPpf7TwUYu+NU3By_LcJGO<4z|NY zWTB0egKmV4@T=1boW1EpR#uFFN?Z$%(-?+9G@6$BMbBRM@A}}ncMEi}Q~v-Z*kYzg z+6GA+{{Y2wb7WjaJq1Ep42MZw`;m?5s)Ue;T05Z^u{}1#r=A%S)8=vJQN{wuEJwNi zn1aOeMyT=1Yxbp_v@%rn78o{)=RRH;R z>3-PR)-_9^;CBAdZ)4`w?P^WXbmUA~A6qpM{i3Yf~=SZ}rzT5zqZE}c!cz_m81P|Ftg8cAM< zY%5DVl*hxU13|UhpzndBg`h*Y)W3Vo!0d2mff^Kr;X~8(**b z#$|#>Q>fc-Dvtixovol6;>{we(!a%GEp3M6V1y9V8^roZ>IXu8h6ejMjTo|le!VyP zwl-Bn2BHT$8>t8M`QW=m2Ef%Z6^#>8+#fd9!FGam@aBwIbqseH5{h| zFj#}_VC~RY6!jPf-5p7WK&FX2jHDmCbHB?D6mrK>KlK|nuPCrP;i{BW(Yi?ESigKY z#PstL!q*@jJq8yi$-(MUBON@lf@D5cw_mUAhbly1bq3gd+l$|?<%qm>&pv9RD2oIH zF+B$TFNr-Q@Xi5=Y``7QLx7iO4*6{HhA%8+kLBsV*GxFFB*+}~RqNXfQRxZ;umJit z-|G%Eb@8R+RkFKe0mKULA@P)Acs|aSG!aD1`F0B-eBw#02bquY69Z z=cX&AC*RY(#rxtb#guV9M3Tm#cibr(;m~$2?60aS-DVKfp&numpYMkZz1`k11A@2Q z5rOkMR;itikPY_KHXq{y<^KR~rKlPh*k0hRuf80WsyR9=ZWfU0Sfg8N^D!N9Fs7&p z2-I!`x|?6;h%W@rY84bMn^3zR--qFg0+yZ+v))oeX}?=q*53$~sRrqzhJR@M3l}u$ zCMra8QHusW^&XzX9C-BKV9un3x|P+Y=eYIX9qao^cqU2m?6-^-5(G`*NWgRk=YQAp z`QwFns+a89rQ}u`fgqj7TzZcYH|QjJZzR8rE~Wt=fe25%U`PD0R8vXnwa4NqDhqjfcK)B&=Z`@SjCm4TdhEAY zQJGW8=iG?}fwA}72hr8j$0=wt2p9l0w~D>{6Mx73^@c^lAg}Q%h-0_|r`Gt){3O)T z7V!xIF4z8C8)={!Wr0pnDiIe+L1(zqcDT2Gm@^}aD%$Y{LYRT4u2OkRw!&fNrxT}q5lB0hl1wFc~4WsvH{}em5fOv zZ6d)(_3Ms`j=mNjW_aylcej6A;f zl1VfIe574i+*ZbEin?Lj?6zA1FR}js7*cw8Y8(aD2vTp;+YIR8I-=2_Fw|-m zu~OfSvB{qw{4+IHYeU6J^STs`xUjc~(0kF5@bBd?qFM=cD z9!^zeG|}2>CR%`0Uv0rBy{yFk2k>S+%3KimM+wukvAVz1wZ!xyw z_QGByk)RIXYSyo>_xz^J70d6#fZfR9FDTbs8__qHGi*Cc_b1BA-|Y;PsxFJ%PBZgl)M#%)E z0&Q?dL3~IkYN3rZ#zP%fPzL>RABr(7dZf6uyu<6|hn%;_=Nsv zZ>_OJWs{0YYw>y4VdX{x)+wB!i zU@QSrK*eLoyzU7yLq_xO1-}0Pzt69xEE!~uRPiO}8}ZBdl_H!mEbGuZ4` zP`g_K=8g86XQo)SEUH847Gk!wzg#RUDQ1^cVC(?Zpn1JNObt^x2w4yFYXJFg`G3|7 zg~K?*iRml(Kk+jtrh($8s;&eApcY4M_UYgB$3oK1XNe>gL(IE&zxn?FSgU?IxK-e+ z%DI6_51u(ZI*9<%7rKl72k7Ee$}T3R;!Xvi%*%P!nFAvbbdW9A^uK%xYoOcUl(5VO z!fSlMKhzw13C&R?Z(jQ_{4jXR(@U*w(@l;mo@3;zM^-DM1P2<( z18%nZII(2$X(Tl19nrQQuS_*(`6OB9UnMwf*$oukDP0vhK%aZny1# zljezvb~(~!=3OnVRE>cvanM_)9pI>FBSIB4s1_sTh}8lkNX}Z|pXNJ!&#oOHl(a@W z5Ue|$!0m#3Dj~OIii0v|F~|<9HHg)}*7$YH>St<(mNRlk+-yc@>P!lZTK67Pqi_ks zr4?%UCscrtKn>h`-w4UjPock@d04KEgy4{OE!XwA#Yx~8kN}JUZGj!}bn#Qu z)?^h67%ZxUi}p4)#YZG!R%pvP+FD#S{&f=IUGrXfB-kULW#w2Feuwau;d{;`&V9}ueCUvswC`Z!sk zKW7SnS(^KL<7Gess}rerCfgC#*gn_|&@?pf8P-7De}1?+o)J?Qh{#xwI{ui+^8iYd zVQ!zUHjT8&(1Cj@-re`aKe-A^+)7C0qFE>X*DjA zcE45A!=yo6g`rjCiuA(Z9Zy9C1 zl}I~&7+ji8iMt*mtZTGVjaOcys5b5Cg6WbbQ5;iTw?IxCDHUp@{s}kfrIcKI+Xo~P zLnLe&hKm0m9J`=Ms*1yXQRtt1ZI69SNvg@;vu>#}qKZYk%bu!aJBdi+1=;2RHLik2# zffB`aV!mxhrY1Bs21WijxxKgA{`dy1qFbo4yZFQ58cr|dPH4mjG|A(}R9N{{w)Y=i zxA}L>2>d$aj%WTRix9_kBVZ4{KDu*9C^@eJ=5%o%;XNaVjGKl5PS&Hl*TVc{qQ#Vxn6^97PR?0OPr7-E9pX(hDXKp&m<+a9f@aYF9e zb%^&GZZB?_#U)HpGBayCb>4S8T2Am%8uMRvxoRzCO zh(C0Ce@st#R|Jx0vC)b~C7o`-?bKL)H#mauaE#>@CZS0SR!zb48-c&S%N7SGriOkf zhMsU4HKc`ged{>b?eF@G0^3fZbp{+?Y<8+2&f$;B(d`@);%!GPncE1{3+lCKo)CS$9|YUFAY2-Jc)h$%TQ^pKzMfCx{r}gdcf}WxR;xaz*3UBqk8ibVyp$?z^AZ>51xWrX#Ck4Eu zkO2nZ{&;G3fN2NMm6~@F(MnTsZ#Biw%NUxzt}2oCr9v&ffNl6=F}{T(#IY@~y|A=2 z^wTMMq-XROABRjDb_+^{nkf;JrPPZN z{eLVU_G*|s&?(Xy*1q@Y>xU{@$eIxaQBtPL$$r>uI|1~3#?SKFyoWxi%PL?on5Sg5 z{R!K9VF*zH1d>A??mz^8d{{pfd?g+!)T5kdiNPW83><22x}s>s>nwbteMNBH!%(A=B70*#7{GWdQMm zEQia}P~7(Z*s%pf#$21v36KY79$Q;U+pnfHV9>A&C75*HB?w#)iVnvbNU0^~U0y zBC0zBVY?o;^cdYlX&?m^$4EMg{6Vyejxp1_NFZg)Q4r|FH7XO;z53~y~j zu@)U|gd&aA%c>1Fu{OQ8whg7H4x;#7@wC+HZp08nhN3SJuq0U(5N=(Lw3Z=AdA8PlpBx$ zRNtplz9JbDL39&~Y3O?ciH=b&oijYXL%8<$zAUM>tgATiPxwwpL{qSBL)zG~cy_i0 zfOv=RdY?>G{6Cq%sT7X-K)04MeA{n-@riC(#9kCEkj^X()REA8_ra+ZawgM9&)?ej zo6S-26G;H9*KDmAM!N|7@^t>chAR$RX6;+U!t$#!8CBPABmN-fLM76AJF<@)+r>do>N`UMqYfP&XXwOZxW@X z(IC=PT#lpO*6-i@;_IfWmO#$!Zpt+IK*u@cb?rk{6U83MAiL^2i|=vyV)^0h&Pwc> z2aJ%f2;70UYumScT!T!=lBPL{&aHM*#kM+awi0@4xpMoTxDM6gMz5c?mk{#fc7wT4P#1bUOi1!U=92;Y9VDg=Lml!744Ox3)ckTD?S7C;f#r zlK474q|rW@Q9(ht(|dz#Hq=n8QoNM1k-hYj*9C?OR5G1p+V;5qo|wT7k7Xk&IS1aM6qnoX^_JAN3^l_Mat0-#tMdwbz(Xx2h> z#zoJsdw!VBZ88Y&8|m$1^TT@@11xQ2F}SsfHuT$UU>VUFZ*n?-Vip?VlVTt#bubZA8vg+`MTJ8;>?yb z6lMHC?cVnS_^h)PPqfJ*5PaktA1FTF*vik?iJ4GjAc1?E`M$n*NTjR`65IesnT_qf zG*_q*l1Q;@sZ)ick)$J0+T8~Dru!n*22e`Tpg|!EYXO3!dp@?+y4>5b_rrZOa=^+~ z8*|f$4~nutZWau@*0Ir0N5?>4jpbSrjv-<$S}i?S(ugU|uy~2=8Iv(+TJi zNqHml5J@ATA79rTzLSk=DN=N0iA{mM$m{jDwlPC2kiM5wp-q_Id*MX!C1CmuwF>|} zM{Fx45=e!Vo!Ak3^~W`9gM+DMSEC9IxpPm6#93KP86nZ3mK(AYM#_|1GHzK4cS$r8c*G^ zz4yQ~!{W$gHe-7W+Z#bxVQn%HwgUGUu^6Y=4cjK1KbcENsVHR@xRDt5{@pP!$#bY@ z^1aHjJ2y+>u*>r&R#j=ku(4tfU#-79OL%Un>SjW+0NTJyorc{%OfGBcA-anv8IWqO zAFGF6%}n5n+o<2?wmwe$L_)ND(5|ALK~=4g{n35g_VoV%ynSCQSrA4HMRyitw&P*=pRY`Mh<16| zw0KM5va2ZyPu&~a-|E=fdW~?fnj^3P4MR%w{{XxtQ409pA!1{_hNj$``*i3rw31#o zu+oGZxj)%SC;X?97`Uo9>SJX|636o!d-phi zaCE4Yb&>dBlP;!a{{ZlT^gZ!2<^E)p{6$RCf;_-3qILxLwihVHq0!{}$I4vJJlBD; zr-?%oLmII|f8`x9EBiCoGe#MgNCXWg-M9Xjs{R|R<;?k6mDbYBsiTA=X>0TX-=-}L zy1f}pRc=wv!>}g6eE$IJ9uHBEY~;S|_Ii0KMlF0A)W+0uixsiz0Q&XEmMG$K^zw+< z?sarOh87T|OvPc4pL894@I^%#&_|b7Rnj*-Hu-OgyHRp13ZFKsjzW{fNcHx^zFKK( z03CpJUf9vV_ySSjJ*&J&RZ*mk&i5Do{jjK-IUrv;+Wu`n zGu!d~aNd*1jvGbT+TH2`?0~mLlfB5PgZa^}>*` zxo(6t?Q0I$9UX#dL#ctWzV;XSVR$Ebe73M7%n)V`khb*7xn zWI#oL(iDOE;f-g8j;KaSwZKpZQ-_K=sLG87-OB<;%k{+!iKcpeK1DdD6w1vrGn)gx z=dbv}+R{Qxu>$rgK{g}gF_n#GhSvMt3ZB^XE8(uU2HLdP3^EUr%Snq5FmXr;;?pi~>*M z6;XD$Tm5&yvX_W~B6)!dgTMV@#$5@yAlQ=Dwg9ZCH{60w`yQ7+=tW!rByEfyoBNmq|ICh$W)~2wp zh%Mz7Huc}}{IOyj@Ku{>lU`WxEhi7r_@o9UZp?by=ie09#O6o)zl{?#h{(w*kg`Z_ zO@~44VfpvRL&us!9Vn-xK2W1ngJMs&(8Xi%L6N0r2*a6#4ADvpZDKER*Qve#08Cnz zB^-8z<4sAnQQ1?-@qoJzMN{>*9HpzMNPHxboJiInA8x}9bWS35jobmXf{Yt2WU-fE z;^B6e3(G{>JoL; z+IyMn-BRG`OAeof_rh}K^mOXNNrM&K9aro&_w~bfq^O4*T$Ab!I#@xDk}ncQ`^Mxc zx4+^r&(2?ndJa%>_PdEQ48bI;FcpfP;29mZR9|bGZ?%EHy?4gE-^jQpC2ZR?&10ce zT`Q@)?AF;p>PfaaBc2@Z&bhgqy+q9*+peJ2?S}MLb<=wd zJilM0L)&BtE;^YnSr5k6D$!#|M&V|V9VVa$47DCM+76f&+=l-#5a+^98Idj1@ zu53q@$+9n?1nr7LfwOeYvRZjisVbd)2>JSY;>G25aSaxG_6Mj|X@-#ylfTi$+*7iK zMagTuPKkU?WR*X0Hn&gA<5=c}2{H@0^ISE7UBBxIl&A`I$@h(ydy(&fuP2CJO>c43 zu<7ZGuxX(}($vl2w5A=};aAuEjB|Iz{{Rd9hlyx7){c;aU?-DJu61pDb+*{(k>M91 zRkdEjdkk0K5nLQNyOz~dqCHbUJW$uU1a0rO_rTAf*Fb!~;`h#YMvA%Wh1wOl0>JDy z81^ZiR`W|J;a(OQ9(5d&%MC*XWFzj_Sa0uqdlcSDCtF|jLpVN;RRJjpRS_3qW((ya z{orHfmK42%Yw4Z-xol{f3@mNa2<8pt2msY?p!Mn78UoS(0PYKrHUxLaq-T~ut8z%% z#^dX*9F+{|080URL5=J!aJRqVjhdCFQ&PYY*dCw`m|3aXo$adR?nyoGk4VcMI8wJD z0lxSvRYK(0Jkf1sVQ{0(ZT|oaCY4Q2KaCh5k=1(sUbxLvfGF_5W@EPZ+XJ((QtIaS z*mbe`z9G;%tFW_I9d*QV0dCjp>w{0DZR>@*xLj_nK~ee znSz7>*#0;~8(}FuPtiTPUzPSEc?~E9_w+K&HSDE$Tf#Oc4z_%An~0 z?WD0goEDVGSz|E+cD;|+*S;y#s8f)h$}&-L2G^12Vy-&HIg&` z0J&{}7qGFu0mqVe2s=D-mny&p^|jA@5)4y9e()r8BkI`l!6fJwOB2?|()$znV@RJz z@W|X9!0-MrXKpupByFVL+gRZ!WN4;YPeE;n>OF8mtAq~3o}EVj04!!mL$b7qU_b;B zu)wsK4Wv6{nVM7~E9Ex|Rfzt-U2yHCd?rSFZFbalCfJNbl@#;;00h`C-LTu{aP3E$ z_@Bdf3Uye9zkhD{ON_3NJJ4gs5;LJr&1M(Ej4v3CT(|&Ru^!&|(d0>H0F8p|RNHK7 zCJ-@HlTp|OBk;i}ws)w5DGGufGNAP)``@9#@K?tiMI%H6kGMTOf36#8j8Q*{BWy+b zboTo7!v0oI9yyd)ShBZKY^28H~s~ReXNS%OEOJ8eyVpp5y z&jmPDad1BI7Q{0!l_qv~wx-$19Z%)IQG!rt9q2+D$mau5vtMw1HvI9s)93)QTwd0{ z_5PSgz>ej>QDJsHy8i&2B$Z5`!;*ffvweMSixG=Rhcv_JtAtaC+A9lzy7k1*gXjB9 zphXZ{KEQ#n#2Pn+ifM~e74n;2{{Z!g7Y5W#Pgt^~pLMKBJ9fgS9!YYzmQN7olvz&& zW)*d7rl+t-G>di}PhHR9f*}Z! z1`Mj8*akbD&fDN+6)BJX~<#|&HW-Oz39S!=A z$NFQ6KW5h*QpcXYNh{n{L{uby@*PRHwmVUGj?3aDfFRp`y?rs_{H7(3RO_e7>wI=y z_+rCp4R&85jb2;c*TalCfT?19O)T43H%+k2pKE|C#O%P|atBR^_rlbS#SHa~0}b^H z+Zj)TQ95LTiWaC+(%gf#pnCmpgy#Y{#4QQ{TWdDw>wF29XQ@XSLnWV2lv{6?*RBi_ zL73=f0YE#EzTev%ajiW9yn=O#$8Lwc`(ehDHcA6(h}*B;-sJu`)I}<-=`6n5ZH4Aq zNvRBv2si4wY;h?7-Lr916pqDeR#3}uJ8$*+V*+$C4G@xjb^~vo8)_mH5^APfU2e;J zf_*o_^mP>VG6bo*>}`A+?BuNkXE}6Prdd8&QF5}tPzGz>Z z@3p>nw5>dfKOnVgj(3uzxe8xR$MW^{$Em6wNwlTb-*>nA!&FtqQX4?=FJ?WuV|A`8 zr%MN5*Xv+Ab;iVDwb5EpWQt0U5fA`|+ikVa^uqLWy)SzbYy(&lf6egMOIHlZ8jWF3 z-YILJm(K^6)vzFvE{{SAIxRjGb#_UeEoXHTG$w>hu8`#^o_Q6>_O_^d@ z$qu~&;A!0%e~l)l7Z<)Y%PK-tcqE&a2T&bH`Nd4w>DjsCa&Ar}!iV@}4UNFH!Rhk8 z7m^VuR%tZpwb*z1-vDJby27XzVs06SUYK&f+5(_P+>3nhg=yKh4p5~sOL3=HnA{8X z`Fi2j53?2C>`lScJNx~1z*KNZ-bQu0^e6Shgbf@JE~^sDV{kn`TwcWO6|%wDl$7mH z2~N7Zp7?2&npf4W2-W2T^!EDs;oeZKrGpT_TUz!Q(kdu*iB9AZX z)DdDy?R+7|`BKvHMRXR@7N$$<7O>QIx60q1A(`DDj~R_5+$m6m^)@{R%;O=?>Y}ga zdk)=kTydwwZ5CS-LBrX2sFO+5^V}di@3o2jFxkY^ zRwGPAT#zr+Ul0x@q_AjoU9Ee8w%B$yr07OnW@pgL$DCorJZE0nmVH{&IdEe|HbmR` zSGL3F>xg{59R73zW!-ig?|;h@EY2AgO)7;IO}hU8**&ozo|r=`G?Et7NE&uG^y`fr zbj%G*r8!$ShBOeW7a-|7o`>_ml~JNgyAh}Fka{1hVRGg{ayQ!c^*__55~@P*y6g%N zY&`}n#G^io8IfuChLv4G4jX&miKj&V z1vU3l2|Z8H#9M;1Em=fhu?92)RqkzUKQ%xnQW0abUt&JD>5G`1-GkP&Yax0#9S z+SnI5h789nnw~g(k|9|SVtZp`s){)TS33da?|#@yqIrpEVs=f)BHR9Wmh9!h!`#Z@ zs*VohYW$0F;m%lD>w%=ee>;XHD?4F8v z0FnmRr~@K{VfAb#l|`3IkUn=G-)wF6YOJ}$aIkO(m}$V(Gqb?jMv``5MTp1BV%kXh zS*yVe`i00=yI3E~`eBoZ=<1__(Okrx*z`YE!aILdUXrOW;yB)!@*d6!7glf|u8iIf==k>Quu-ug~$7B{#SIcZ0Ng<9f z>Z^7hVtf9$)P#+QBYL%0K$=$Ij<)jpe7fNjmMGP(m$vs&*XM#~J|#JAT2J!>xjy&; zb`lcEZEwAOt}y=q_Sj7}Vp*iAk=!p}Mw|Y)Z>gLiDm9W$+xuXd3~HK`kb7ADeGCDZ zIS~*f7GNv^-wSdVE`&{Myrd}~pI>wJ-vtMa<2#VLe()VVzg#r}L2wE3fo}I3V_J;Y zQUI4076f|r{D0Oty5O%S>@uRg;hRPJ_4f3@lIGwYA%~HC+w{X;YnZ7vW;eBgx#&mr z!+bf9@j8=mLunvxKi(>3m^+dr%TeN+mj`{oBd!#Ik7B;vbY&!Jof<@$8gdSdo{@4zRDP9i{Lm<+3ZOZ)p zu;WEhWN4=0TbA%7!BNKw+6Ev< zRB5&BJ$LE#?Sbj@Ds(K9aK?UzR>iWmH~n|O^;}VsQq?e;k2H?=-Ll6Px4%puqm=cISfx|)-8%HaEjCa2Kzh7CA!@FY5L7AH`Hw;PVKTlX;yDPl`mcOxKNj#q zM8t881cy$_q^Sem;9+`9!-F#hSVtY?$mKUmoWe9eFEJlO0Ptk?iOW@u`IB0A1kJRCtKyYvKA1#dBun)f5Q&Kra8Zcj7b*rSc89`=Z-Ur<4=#EoJ{;XmYqF< z$fbw>0IY5K9@u%yem%Ihh(`6?8%ZXiW1^_7{rVAqpI>YT{6A4vm-h?)C8lod>v&y! zK(eimas{{gIP}uIY+5(mjl!SHuUvA@UHd|70YAKXZkJZEXmdTi`p3Eaa8mxz{JEof zD|vfVJ1>D$mup7J^;i8B^xysc&@akl3XXU)l!#O%FInEiWV znXUNs;QYaWmxS|3`f6JtApG@xUjoVTrNB}$r2IXb#x(-3;6{4){{YSO(dMpE z&s%}MBwn9sj!+7nuXAD0`r#>=SrEHw4P$RXd{UJE02#cyMGEv;miGiLJY?G6{y%&h z7seMVCm=mtS{qn+lucoK-=ROB>5lxiPlA6ni{!UyD&C$#0-YzJX6=7p>4{ej%N&4I zpbVsoU$4s*&jxX>O~-j6XL$_n^zH_N%0x}|8qX4+!dLT>F5Z~h@wnp*Kg{#~Ms4Pxq)@uSj9l8qNC0>1 zjQyiXC@auyzLzFb_9o0ZB zC5a$(9++A+MslUeWl^tJO~32(!#vZ-pTkOxjl8UH{V|B@o-v@gYccDv`TO_5T0pg9 z%svp6Q&2C_iR>-(H^C9ptg-@RU=-K}z3+(dt*c2&umM1^u=f}%_nd(Nl1qYorsKCv z3zySJM|GVa@G+WZIY&4$s(KodzAV~VNhbHVP5O*|A*QJDVjpOd%!i|Gd;S>tbMOS& zw-EeEWc0LfJ0&|8X$IOr?t6i`Hum<%)F~+HCDR)+>kJ7!ujzbwUon2V{f|@PKlC5& zIJ^^hI+2@6{{WG=zx`v$GE*(03?g2l2WaZC#g%;1G>J6PP=Ko>tOVRHEEWAVq3C?CYN?e)GeCW3Gyc4l6? zj`&iR7Ez)xp-=NSOA~@ila!JXEm2J76T0nfN2m718~Z>qsq6VRE9roBhM^1Z)2~m@ z7rjM7M!F;d_Ugm?_Qh%ON5k*M9G1>#Xz80+re{@CZh)Kr08hsjFnt-K{^9V^^IoFA zO<|DPbqif?a9kCI;_(^5?PVQy!)|2jOBx;rL;7Ev^RV6|%tB9a_(r(!gXOQeDT+QQg< z%R-$lg{{;EUtjvfUni`DDyx?kJwP`&lhCvPDO0uYsIb3F<1$I99-jo0R{h6XT8N-+ zP0)4Qx69V}AsJ?nI-4H*bihcZ7%H&&+jE1cQ9|gGcT@AR>C@8|@k)kdf@oaB9CXr1 zstYRaw!QZ0zvqOqD9uoLI(4?DwhFFkB!tLUVgCRn-roH%jz$omO>L|vOMUI}p^9pZ zys+evWYF1-KvVZ_2sZi{n`Dqe!6sHL2ILQ4Ohs~-k`l4bbvB!lJv!oJ!-^Y3k;kQ1 zbNT-OlZ%m(t_qWhF3QJ;0yl;b+sr)&*Y+?LX=PMO9(5OiO;xs{mGivc9M#`gzN^u>8z@k$W_q>I~6~9>5Xh z{JyrvsWj4$2f_un-p8lV#kk0)KCJd#EOb@TPRsXY1OWFV*nYUWxNk9zyDx@BV!?nX zx!>RCiauD(G9h%^xVL}r*A|Z}vsIrfT2^2g304QChku?d57*jtCoW`f@Pjh6w0a)Cd>}jx2698p~a&^Q8cheE}?f9 zBKzAGqUjZ7z`RW)V#+MU+=d;093?#U4K9$QN$u0~#`09OaveH#orRR|Z+s0YksLy@ zmJDpbFGKV_FyKM!sA;F0#HgvWX&-VTMY{T9*dR+1Z7hmUV{2da$FLN2KZB#vU2Gmp zEzXjpZF~t+OATC&H9Yn^l0ol+Ybh!b8K96503>~M-wh=y+OO>bVz*gdq*tuT=aksc-j`0Ol0N(qXe_S0%B#s3!X}$K;003YpqcS6EA$#frU_iprODt#+ zSRYGt-@Y`lx<>8~swgI>#f%LEdl19W06$ycI;xEcvJ3Y=)9c$AlDSmrh_NJC`;TAL zVHu=$8VAf48(#Q#VS|)Zi14@Ikw?Xya1lHy=A-cp^ z5q_NpApB{^6i`-ukk%|rMwdE(Al&S4^T4*`jO@8%78PBZ$(T;51M0XsPOIDT`C;29 zqYy$Sm;!7qvA8|=!w&`Lxi)8C95L02Dp=S?yOMtlORJ+w1TkgC_Kv3v|aX^6IHS^U6VB08m4Az3c`4cE?TS5>!V}f-a(w_{Z|3fAe{JL3=;Gf4GpH~)mZCd|me;q>>*aK8SsU5B`nrLVWQt|Xa% zAbBI2NUJvuRak&F&FV#urOcn8#9x8)b(<-tX7d1`X4$%e ziqV+z4?2Q4NMTIE#y=@K7+dGK>yF6%RYegJ%RgxBk z#LKAIn;R)4ZEcVC#mNCLF(|~@P5p6=s)S*Y#wOmACP`x5F3&;6mQ0T zrOD|PlFVgL1?&p$e?M<*UKI`?UlFGy{{Y7JxWymwdlJ;;6q3ryx?geD!>6aeTsJ_Q z&Y!@)Ak?(7NavKMNYh_}MebVr?dkes5-={NIMmo}r0?=LEtguBlEmCxpTPGWaiFGU zkQZUQYPs9DxBYF8Q^r@yJd95d5Q?@~Zj6y!6aecRcV*W1_f#)OF@Wd8t;;GV|@ zMogAzR@WA}?s2AxN_d1FuVHJRn2${yrZ%(zolCyO_ZqtQ#$=7Y(5_VUBx)NS`e2{3 zsMH?&Z)AkidA(JH{Wf> z=knjSEcwVFH-&vis5_m9LyB|ZGO?GJeh!vjJ9Cya6PY& zKd6d%nn$72&aonZ_|SQp3LoO`6pD!&i#el_3AAHV0)GO%v3Ey|CRWlaN@^*p z2@tzBmAjoJVNAjb+7?kI9G-Q!F17@E`=7%X_b)ybIJ%mr_ft`d>iV_`M@>ddj^vTB zRU49SEo1&B97Fp(J}4(h?Z0W#QsiPQtGPGbYN^f2le&CjV50NkEF0*cCjOK zi<36^XXE->la*%NEm2LSN=M_;G8Q8Cxhe@aKK=2Gi{RIt`JGg0OUvr?iKGhNH4KUt z#9HjY0r;C@wp`GHw&;Eg5|><;&+L%p?q8Q?`R^aqtu3AsM2EeF(k$4;uGE4)(2qQ-{|3Ff?6oo?DDCzdDQh^&k1Ll)?dQeJo)}> zn8O4xL#}CLaivsuzhSra#cf6Lv+%`Q%paQhC~>ieA6tGvTh$$p<&GKnS}30Qo^l2K{zD zH|x`5>5N11web4|U)kz;yORJ2z4H{`w&MQ)t~y#=>G2o+0Q}Y#zf%6C(;X|=OA}lX zX13k&h}l*@3aATQl0g^!etmIRL-DQf%oH$t|f@?kg^@4mjIq(rP+zk}JUG z!~)B2y}#G>!r#2%l79k4-r~h~?T?pS?)|hJ#o|s6gMxV(k4?Z?KNnHxKOD__&~|<;G)C&(3l9)!%OmPcDC(>zJVg>||@$8*TY)FwCAi zQHmSnzn8eEYp_mxV$S7Ap`TM3#*lm4YzM?SH4b@_Rb^EPt{I?Y@a^}Fx_`Vy_%oe+ zvF0^nL(Bd(%X6oU0V>efM=4M`Tq7HI#P))=j#^){+$p~5+n>OZ^TlYV8FF8SMOJ*4 z=GH|o2Xg~8$>)eDimBBUjerKi#@^VC!8eVu8x@VGwRE#CW|Omnq4mNyF;w%}
e%!;cX3QD$&Tn>QlmGP-ur!eVa|eW3`Wci zh}G2l{-4(kaj;btCV{uC^_7kgV(zytBac37@aOF&7m3P`{7IC7+cXMGX?gb~xfzt0Pmpc_KVId!_n zdlTi`t}@ZjQRR6C%dk~9+y1ar5kpS`rN9>0TYGwASrRB;QH7N0-B@3^{QBTjc;uBj zVo2s939-^f$}stnRGA%11_T|04e-yTyOa^PptLE_ejRvm2hcaE2)xZL4ecNGkdwU!?P^>O4=I2-P^**?b&{hy3 zIt(q6%Qj^j)1s(k9!*ByhxEmm<#)kLDomMWazgRgg|hZuzbs5Rda4!+p@~}n0oVcQ zisvWH8is!akO($wo7j6CO0q28o5HeBWhY_{yL+4B?mXK{87USXT~!*9snrM@h8tSn z*ZtvItj#IWq>fO?tJ#II3Vtw(m}qll3T(me>(Jsg#=OpWGUuhr|6+{{Xy9IGQJ@mEK81 z%P6@Gb90ETZ36Oj!8OR#nnN9m(t85`I`_Ye$+G0(o6-1CzPFBW6oOsEw3vq+h3|3COA@q0C~L ziCahsV0s(&!{Vr|IG2?ixi<49ujjpcOJm3nFPyZ*V)4>(doB zSzY5&!#h+)Rn%AyUZ2-&PIwPCc;_%Ys&%LeZ|QNQTk^&1a+6$?X1ONP)eU|OXpBhP z&|rCHR)7O=2?38rKHt{~O*1Jg;aJ;i3)>h;Rin}ll1GIp#Gmj}2{cu{%^E5;9k+D4*9+V&Ro z?}p(1A-hZkw_djT_Qv&f(XEG1nVfu2-VZ4 ze%~t$EyMg9KQSeex~WVu>HxWGFKcxN^2Ll;)gEJCsgx6!vIF7isCj>wQdH$qMOR*A z4rivFhlA!8D(h=7>$m1Qm$T}ZDMSHnC9mAsHsmZ_+p zHE0>wb_?~trYs+dABi4nKM+#&dL=6#|cSf*3dh2Tq{{UQR>C%=fW?pPKV?C-WixYylYJ(!; zY`NmfY2cn=u1BVr$O#9f&t3NIx#`$+E=P3;^vM`12_=)K*Y?Lh^6sL9<~dVGmd8$k zRQL#+0k+m7arLmp^B!Xqc~wnP?t%t7j@uA%m8*ZpX2zN-I%v?v!h9*je8b}^x~!9i z^8D7G32i(TwL&|a^xF68N6y$YnEa-$;S9ZVR^;|qlAG|OsiRBEOwak9k z!_?9SEHn$7{o}Vwemi2s$ZN|f5Xd&%k_VXWY*O5s%nY0{96lnSF&8$~s{X$r`eM$> ztK{(jSe#sa)njXR*nNIj4#m|F?}|L{%R!aE8fS6|r-$!7&fpH%t)G&-Jslcn*{>}* zgB41~9g0vDrz|(U{06w+)OE!F0FSd#U-1Kx<;DQd30DiNp$oY?`~G7cF-4iIxk#l) zWQ@h6pJF$*85Wt_r4;F$_wbXPQ$d^zNcPWY#|8me9t_=L@}xM~$kF?}~3bsteW?v9a4!4QNE{? zbh45*`P*-Qo*f!&tvNNx^EWQ|mZYT57l&#PD?G&`*B8CLM#t3P?91XJvnj7?S#t2` zwhYRiUv0tp{#fUn{p*i6(nEG{x2}T6Z@ts8`F>coGWSVho;td7DgYhg`x{C+cL0yn z{{UPu=(PDCG32E^Myeb~B$x9fa{Nyuk}Eb+uAlPUZQtc@(!gmQ59hu9x?xWWsZ=JQpbxqTAJ^RDU*nkw zko6baU=Pv56qN)eF^QS9MsI$DdyeOSTwo$928}PIX%@dr?~KbHfF4E~Mar_By8gJ< zF(t_Wja?WGfxZRF93?WzP)unOt%uz!us=Uv@q`+bH9qA)1e2tTk?(Jo4Rnnp3W@>P z>9GT2?fo%_g-jtpvWDDksIkP~2U|l_v@+>tR3Ki}(?{9oipN@u1o73#M42}#z(@`3z=nnw5QA>3_N0!_>a)O_x}J` zH;lQv@dcM=O;f=-#zoF*>Z&>j8cB3gt2U4>KvG8MdtYo9{vFjdYH9xfQosHp zn}7Rxe^~zj_Gk8%^P0bg`8YT`CU!NM~6GQ9W++$`jvpQ3u8v5F*e<$0RmjEy#*7g3_&R4DElJi{Z zHYd3M05}GBRs@1|vs%_2e;>yfr=8$oBqqd*AAhg&hWas1zJ(C=i&e#2m zd6a3+9R8kZSSw}AsY`5p$NTBW((uvJF0_oZKTh`B9~(XkdCkQ$Lr~gvYf5>DAlzI30CK~pq5IhL+TR_h z&6UbM8yGx>Ej~3FCjS6rbnop2<$>egRt_Sep)XmN5dJ_xWH!G~PQQjec|y${cY!o) zr%S3BTlV7_(b0s9#0a||xEH_oz`1m3WkDUl0{0(<@aF() zBE=+x*xViOZrDtU^2n^%_;7HO7Gx||D_-Of$8a|7`hIvWstIK#MPDzg8w=nX z%c}TsLP;XT+pYfqulvAVoTXw_1j*#5I-H%zYu_Cq@F&5vwOQqTK6Olztdz^8N&?!w zt`EQI>54yu_+m<$ma45*>aAcuF^-k^K&g(0jvK&G1I24Ku6G}od?QhgPZOK=EMv&f zhr}PkCTo^d&BYwMr@9s_^qTiJ?w|_~$8Eb}g3*{{)v{1#5HvGHHM6rM`lh@$xB@F zMiN8^ZOGhT3gwj&(M~EUS#D0`f_B8$i+KlGlGHlod8#^UuoEqhuTVGdh~)eQpHo^U zgciNaBU}%k-F!B^&2vCW_bwScMMXYXpPEj8A!Gw)$|o02N8kSRr~MT66)Ysh$N3s zdxq`4;|$f*@kag^-4+)H+y1y@8k3@oh(2RZx;OVUTV<~ z&8gTXq(H}`C^uk#>kRcUs<4+)-0pPr`WTZ|(Fq|k2(+B<b`Y^*m@d-ndA#B)hcDH2EtDh>Yt!N$sUB9$bN+u3)I#yfE<2YPB?o!0sXp!MCx$Z?9ZDMI;CR08+{eSf42u{4neIE6cE$ znnyt5nQVIldt=xfQ%z7y)6>l&^t?z|4{O^V!d$e8@(rV_W};S07Z)}c^gg&At12-M z4i-HRn^)Jd#+4P7&{S(^SlC<(?Q7oMFswPMDuB!_wb{4)&OTzFwI5Agjk8k75wt$D z&=vh~*P2BtQoo40NnP*L>wHMsrj4Iim=k^W8-4=}+4YWI-gRpWvjbv1FvY_i7fgsm z>liA-aCSY1;eo5FA_ZNPjrOtn+iWX5f*DCy)qbAXYRx2T7Lh{*9Y4-2L7N(Rsy3*r zvw0fBs4M>fSOU6thxlsb5~BS-JZU{eqa(%HM?iN2*V_PARqIloD7go6+i!zX8#(YH zTbMP;TQf4;6gyuH8OCt5kQa6U*xUjD_v`rM8J&KZnWAf|pI*MWgmVXoUOc91g_EM$ zZ`}QVTs1|`k%z0zwk?r*4l!OL^+jIka?fIN>K1Gpc^qI%++9|;ri@%>sdYfaXGCzz==QZV2oVv{OpS2m3 zMn$74ETj;m@4d#rTdqA8hff(~j9TH+Jch4TJeb?Ct{wQ5;!YiX+L{+W!EKFF%5gJmm&y#`WCO&-gx^QJTa0#_dNF{t#AT?ZoS4h z<*Hbr@nm7t=pfh+PuBwHHM7&uy);!)qRg6K!;?<6_x!v1?~6qf|?jW%V)nRg51lv2i@YF{2oi5F8Fjlf;Uak;+Vmr|!Q zc$+1aa_pOejcqIuC)#S(xnl6ezvA1QbtASsvc~b_r@^6)TiGVy70fF0Y_s>No9zKX zm&S|3a!%uJ-L}QkpHx&+aFtY4u(ga@L#mTtcE9P0dxbNf-&{wRX9YYWV^iTs$M|e_ zw%vC)xZtlo5m>5YZLSo04`cPdFtx2L%-_>G;(oOr3iJg9F z9d`}Z(wAF2L=6lM_S)Z;A)>HNBCSI+1G|NNh~NEff^z!BR?rCpp?{4GBP5n=_u^hz-s-SILS1@YyN{Nw`|XaFpsy=Sc=XsU z#;wmxKr+mShiUT@oYG}*!&NQ3YK23shtIIzwj}XS;=-{xpoV)9py z>UbB4=cg4El?@6sQ>hL|TMPPk>AodAsrY2)M>%){Iph8q%Hn!FmLh7TFXe3_}Hgn6T?U8+p{+x1#1eJSQ{d`GjHVims=1wf^s0dY<06pHq{7{vX-{%-E?258_iX zWp1DXJN|#3Cp;}*!|Tmf%WL>j8j9qu(G2ucvseNNESKsmZhgA<#1G+oivDd!6+sH= z0as=_1E>Sj=lXs)w6g5~06EAxl9^u)&rY;&Tq2%$vL6kmB-|)_`K)#~9=7d^Skhp* zQzYE=&a)mG;p$V8)6+`zGKFYnfXG=uZGr>0P;mj`Dyg%sOj0z|P*eCd=wnzS(1e0- zYxKGOMlGIs(bnZO*=RX|%c&iY+pFTI5`1oOg3bdB1kFg z2kz-4r6^`wix8v^p#Hn!Cl=!9ZVyf6Tlyosym=?#T+|qXFp+y)+QQfM{{XxPS4le& zD;wReOMI={t|R$(Gv(nFncI#?f>>C^Gao|h!@T6Ath%HY$((@1p88hGHcxg@oPx*uQjYzaT{5IdbjSy=bK zt`BdB8q|-)ujL;PI8+Y6$55ii+y4OXZ`bj@5U%HqK#o_Fl=(W4+HSvURZ@LNi|zja zc!=nw4g&uGjp@JTV!S^cK+NKeqIM;Pt?%{v;rEJFxM2=)oHk!dYNKHkwe^xFy|)?^ zdAi?Xe_TTH{&i)v^$Rq-G|@{OnwDC5#)SMCP5!#zE;-{F_^sl4nWbnnmyKtTwvtHi z({s1rF=tPLc(QZQjb5`$snW_%hL;CJa<-!_tN31`&U|>{G+C=j5HznMGXv8_wOaPv zj^6lo;e6vS;;v3{m3$fUW;L~NNNV1jFKDAu0rDtdFSz;`H{n}8&a$tE1g7DflA5uE z(yP@_8*_&hu#{^sWMs&!bOZmu@e3r zx&gOe(-rl0f0$O)%Tm=W6us3HTqy1H#y$)?H8~~nXyw!_np|;S!^E(7wCx;;VSc0w zU*~~8cE8!miTjci+pVzBGi=qEqg4yu6(4ZC__b##&gyGQ#_k z2?pNY-scFKZBc6;MSPFk;p0v=<~2Pum05#56%{y#FQbhA0161zKmk;2HXUtq-uQ`d zl)2SKK-3718(gyPeTFT(qmn#HA1!G4jaNrG$=;AWrYISh?R`4~Vr~Zg&JN^{9`b`F zqMwMXIb|+!n&rWyqRNsrc$#oXPZZpefr^s0C6B6$8vdGzp_5YFlg7r58IE+QNNUta zCY!Rgg|R!!A*Kl#bSZ zlp4CS(>V$)c`tj9L5Kb)q*(x^3#RAG@7Mfc9JL^)P^l46pqm}<_4U^UiyQYW;&;gN zmea*!N`mDSu)nY%Tk*tqI?KM%0TH8lj?a62 zf4n_#-AyKKkkd;|W^c2pVB2q`U#1ey^7f9Iw5Shliu5O^wmjD7R1Bn3+*_8qyE4bn zokhjA-uA>Evn_uancnO+HXXh3XK|e+M3FUu>AjDed*0o9V!g~#RGh4fdl0{S^1-Lb z8^$V%c(U>&a?XQrq=UJ}8g!jZj{wq5!6SbE0H4nW)=~Yc=D-2`x4%GtQ|s-9`O-=S zBf~mvv2lIhf1n-*QlLLSREtN1rEU6h-PaF$r;q77O-ochu0BY?v6;LZ6(dDI`kg+ zoo6)CB9Rblk^JM+sThrBRM5&^of^tp)6IXc>xaXK!40N}g=dPgTsQ=DC2l`m{{ZU@ zS+yd%G7zH2&UBk@aVpIy7AXyYK2UTvz>b30Vy=}!vdD@rak;r2v0^qU_E)?|lOs;b zz=bMJ!u9(8#}M4|iXo}l_S6l@>Icf+xU_SMHV`|ccN<#d^zDcicSy4aGn)-WG4FrN z7qLi8mjn+sr&W!kjfh~jAy@RiBH5h?ilKUtSX|p-^7X{$Hm0S9Qwr*`+*o^JC&Vzu zj7X;#GQsIJp*3n+oA<$>?*cc}HDVvh?Y&_vkTf<&{fOPO=Bm*9438^!}Kp zVQ;g}9f~Uw3VC`SxV1Q5xhryLrjb<0@z@Xr*VFN}zdSuQnNnz;@TOwIC8sKG7zIo0 zZhCwA;!#ggX$1ZvixMo}odzH|1z&?&o+N`!$N(=xafx*;Tyii7%*}h;>{{LM__kM) zRyfpFNRtqOm=k@m><2VNu(VPQw+KJU8{^mpuwN;EVP8?%l@Lb5bXGxaBpX}(;3}N9 z8Agk!EwXkC-uA+t6=gQ21e0q8{##qNG&K?|g=c}6xjnDyet7wG9|zNV8Z$h)pzR!_ zX(HNsTKzE5nn7HYQX&mOuXY;?;#)kllGq{yHuAdd+W}TV43T(95QbY3ZMuB$?cX6O zJ1V(n4(%C|&2laerWyPe9nN`GrwD^p8&n8iBom+TC$3&g&-dyefFx zZLXws{=E((dCv~!74&jdMNHlj#&xo%Pi^sYOxtSFhp&`<88-cdIB$e=x^542fvIO% zRloM+(!no#+TY(0+?tMf_?N(vc>e&0DI`Q}q=T{E_Z@e{o0PPqXZ0yrO0q^N?jxB4 zuA*(zq1)FMpD=h!g7`lsf#u@588bSRXKG3g6Q==Iz<^~e3h!VD8i^p=t-U6fQ;Pos4qt!<=JSSw#QA-`Uzdf|Pi);A zI&@iF1;pQI&nQ)CQ4m6+Fo*7odf$D%x5dd#nGXSffDW4ypdT+!?TQ1Jd9!AGTS#K1 z5u(PZ(#AZUH{Y@R?R;Id6@@^E4SM<#cNp_p$GaI|opA%pGZ|4q0f7y<9Y?>vTu3tf z#h%9DWVpAQ+i&ywIFylIY@Ot_GOa+9#bzojx`4NDuWUJUJ<_L=oJAYhukP0ir(it2 zZa3Q3xV9qsJxV(*re-Gl5>3B9Yhp#jk|dm+;wWa6w!F2#0j^Edi~Du{_-tB8uRc-m z_c^Faj%c++tl@=tX-jNJm=kW}Y&!9yJo({i7+*-!W!PMv*XxgG+%=qk^)9C}k1myB zT*UtX6hy^Gn1XtC_ShR+Y%j+U(a)Uyr6kn87z{%TgLARP{8?btCT3-eY;2&*Dl6st zK3_{D(vf0_hW7Lzbr>6oI9EB$VUsA1jm-Lj>Ds{D058+1!TACv|*LmGOCoijdBXDVcOhJ3YGJbGN3}>~PCZp?6)KS@0o|oP+jj@$N{q=`#eW zS!9VWqDz3l8~L>R+UDJDkC}3CU1fG`TPUeALe5J}<41%CQo`izkD|W`T>a(O2K*Iq zKQB0+H;#&;d8QHxDb0~sl1mEh4mip?apM?N}OFR48M+>cGR?_O&2OO)B~?9j@&RaeH;BC?w=k5o}M zrj6D%P_|HRbrHB0*w~%1!ygY+D?|LxhTCG-{jxl9z}#2DJPR)f@x={oT^$0bikeW)q$3799e}!$2>xN~ zitF}4)Mc4h#7!?5aZeOd)69{wPLx?`#T0A?In1s}oRALMmeh=nAAX7Q>e z0KA4Bt#iMa-u?;xX&{E3U&4KQt!s-9yeH#6@r3*!xq`M_m5xwEp?p^Q-U$Gzy^gDmNbH+=R zOT(P*j!c=_8CeyBM_^gV8(e|~&if2n)m(YZXt=g5XEWw;L7gKSH%OjZcwM!dSRmD5az6FJ8}+@h1+L2F zhM8iFD=^*USp}DFpYH(ILnS*$B-Fuo8b_$~CfH41Cp?X-E>Hfo@|!jD%}1HU78qdH zEi7$f2`u_U9j&qIci3ZoU~?an{9VG0lI2x7gkkFzR*|yEqi`1Edr9W@1lSL7F--{m z%@b5gjELs?SEsf#a%w5WlSoiAY}U1f#yXt40nPgpc(Q&_83P_8%#^VV7wk&IuE38I z`}Dy)d7bdaX(bg83OuHkj+h{lbX8_O&6SV!#e9?zx_Hsd7B@O$xxK*j!c`H|7}gqU zhAg)&*XW!YLfVwLFMd>fR`E9|xKwbqSCdj!#2CG*9f3E!#@)BKb6_y*L-A3@T)?f9 zBB|l5x|u2>b#|xKt(5FQxwY}la?K%CL8{$IB=5E*ToJ_7xh8K-oK#a877LFJCMmCL zb;XFC&|)rHfb@s?yIDo{r>?g@|$ zg@X>)_8-#`n!=LSxrSm>S>FA=Se5u3qlQCDDf8bMWYWs;#CP9f1N!yD?3|s*W2c6> z>Fb%MP|FlZms^d8-aCv$F*xvoo@Xw~>o3bGDx`0RMYJh4Mi*E)l$+!E9prHRx4sVe!F3A6`bV!Q%#>q z3Qrso2GJ@4uE71$4{z&^O_twQ`ut~V zC)A^-_ygnLFU|RBKM8X}b+t4ipDE$|pD2n7c8mZoGquPAdz%*6b?b>oEb`7$P`xJ} z(s9mHms4gEiL0pRsa=(g*l1nY^d6(8IF6o&HOMQxYRtY_RISM#+74Y&+cIRq(~1tv+ieOdNvN)L7el_QEnjBw5ntnpHQ+*PJgrH42)DyPdZ? z{O^gjT^^cX!Bm57B#YYkuXsKhg0Pts1V;s4_9yVgg-Y6KmN_q{NGDeI_WJb2IjS#4 zcPbdO)(ckgqkssu{=N1;h7qTY*GMd^*0smi)v&!)FsrR;2)~?_)962^Y%fVpLo9B( zNa=CX_utzE2`3vh^#1^*1k)yv09(6|ZhH(qaPgKZxOFd=Rlv5}djWtcsg^`S$*A9F zQV-7#6pXY^q&p7}a2Q(R{b6hUsE)7w||dKjnS*{V^aB+~$s1SiEbeP4w?>-Fsn@decliCwuuUS(B&Zi7sHw zl31uKd$|X_@Wq`_w1`ANvXOD+{cz~MPP-ZN0+n?7LJjP0I@^2xgyJ`x<%?p;C7Vsn z#f9+oP-(pEH?TMy&NgLi+&{$lMR_DL{;yG5b8BAqwr)w}azx2K=zz5kA%nYGg z8HxL&%zJIu+Yt=%k_}AA12Nlm7TclsJN}rPXOcvrmrD4o4wk;w>_0q1v$}Oc!a?La zDvqPBEH7bFm+|HaxvPTx1Fxghbk6V9CUBxRZWL7|DkgJ%$A?`p0yWfBF{{UFUo*=-j?AsE5 zFYkr(h&&p$NfSd@lq0RKdfx~uIzmk3bOc$q#ppgv+=`fkq5xz`2?E_)+qNeBwyY)- zO>)M{Rf>{FsQ&<8=MbleJSKGp%62RDw_n!|+!tJFv#8@~2o69}NU%7u2gz1_7OgDH z6oJdB^ITbb5AT1TCOJ-FG_fp+I4(d8%0|P`Z}RJi1vN^QD++?=sMFBf+Y){lsGTD) z$`phG!9fSxY&zLpyB{iZ8ikVZ%@_@GZxJN!d;G1BVILj}gW~9wiRw{JvFst~F-`8S z{{ZjcR`>9CHA_b0S{T7S8?Eo@fuxCKQXHF+U|DwkF`%Vn-58Lz^02-8+ppE}q>ob^ zWGbN+Jx}(>%#*HO!Fkj^LUTiDH1ngJn0_) z0GayR5}LItk`PLf-yefx|6)RWXsEk_Gna55Kk;iIzvS zh)v7B9Lt=|JdHGj-}sGTx3KGo=P=rymn4!nqEuG$Duvs=_QcCMnxaN1t6-#nZ~A*; z1I&1;Qz&^Ejn%fXBy>MtjxOP|BVCa#EZg`iep=>n<=M@BLxn38V#v3?kk`0BA^kBm z&%PyjJH%4Px#uVI25M{n02+%qNQ{Ja@|c(ae-qbmcf>E1G>w?mW{WJy5JsvAHd3Xm zbsxy$&&c>Im-%Fr+&NLoItuz~mLc;>^m(S%Z?atIQF1M0zTHkVHS*Kq#|dOj*Tbs* z==0hKmQi~dL2<7VWSl{qPhG>@I&$h~f8o+SM~qZnz!%cD{Jn75MO{}$;z1)=?Wi5C zZrgi)n6kO2%FY|g=C7&Y#bglNM@(N(OKoC$^!4d%Rdv&}Y|&Ycl0i}VTl(YG==Heg zri*a^+gW^MpE3>#^ugLPE9#E1_ z^k-00{MNYXY(jV@3S7bErjeZ%TO_R+xj}ng#Mu1|IOm+LlO@aFKFc!`;3EQ}SS1d| z#NPS>_C4{Ns(ag}9yx7j>Yg92&8qmmjthmRT2Qb@50w_ft*_i4p8nXjvVXKwxcft& zGN}Ad;(bmzbCBH6P8i|pEbol-n%QGrA_!@qQUFT;7@h607wfh!%%hzAEt^n9T}8vy z?Gta}3DZ#{x`Lx^y?gh@46x2LmnQZ-W-Yvv`cnlw#!07A%)c-{55pSCJ403w%-3h! zsO(P1=ZI?ae}(Ajzk65k{&I_Bbuq$izkfI$zPLLn=BEJX$d&Z;ncK!#2R~tlYka2Z z^=xy-;QHhjsDmsOl_ph+I-uHHQ6P(*y>RW6)XPk%Doj~TiS7CH#cP>!o0l0biDg{k zo)%zB%Seo%`q^YYm_DDJ91kKajP>R{B$rw?3w^!!{W04v(3xk6XhEB6m0mYRZ9`+y z_K>AVKB;#{^LUgps*jlfpRasLsrmEDsz}XM ze;dOXjdxG5)mzuRRzHEqD9X4(C7LDAlvT~GWp};D-WymSY)x~}4<|F{g_whuzusYe z)ZeY~&pBVjpD1GsDdXA}jqTu&Ucet;F5SOeNqGMN<0qB54<~aj9^w4bn?B7mI(Mg} zp{1(}XJ9}s;1Hk^J8y2*#8W`}uxHQcJ|?)6fVh&Pjv6|OnkZ_dTB>@f*`k>>Sg1~j zO|~Pw?l;H2AUHdRBc{tahr%>V1qO8plkDLFsB$%epncoknfY+hMaZyTrsVee+YcNgn$zUj>{ZjoWK{CX6pog+e!Kqw zOnNINpOzrWm- zkcL)4$MSGYd<;c8(8De7;euG-Yc`VGU$)&ZzW9nza8-8@SDdzvgh?!92MpUNUZkIE zV)(4%PBo{aT!Sn4Zd!q%V}iOpOr)K>LRATJ2_$q|ZG1-fLY9+(xW#y(8k0Y(g^A5_ zm>TP-on9Dj>?{Gb`|f)NJPrw{jaehn3FEWId{vcGRcHB48q&~Hh^gM5oT+6W%ypA{ z+j{^u>C+8-y~}uuC*oReE#a)KYQD!hnPFxsvKz0G%5F{Vu*K2F{Kd?<%|$*_mU4Qp zCJYPKX1SFohZQVJcM5k3yRjv#b{iZe%U&tSxgnQNPgTS6=kd#|Q)am|OwR}>&0)Q} zd4;z5n~e0?e=gEpoaYqyDXwM9oAECY&BZ)J!&LMWRX8%iO%p2o%DXcI->+Ytu>Szd zdd@T8E*Q)*$g+8!Eb;{k zTDi^5t>z_&B-mcU-7uUSag|YKRCF9e_UNahg>=)?QS0$g3kx?uw!h20j@YlC7HH|C z6&=-I*nSCNZSIv6J8->hnXlMsX{C;_Co#nYDQ69P04=|_%;MFn<9O zq2SEYSxohA-UpZs9v?+*x9M&6>x1h!CpeS^-oh~$nGM4CunP}TIeUKZcNu(kUF98>#>ZupxIg9dR9xg7{lC$?G7RvE+hkf&`S6 zu_BgHV1TN5jluOE+hUL~BS6x^?gR{TxD) zlCn2g_wfP3c^3w8lzB%F%Bgq+lTxiuh+$*Bn2Qi?W4(_~m%|SUP*!mcVNJu@Rn1hZ z9A%Ayu{Im;YymYf)2W8CNAquQ(+>Q+%}!CpIcwHcBvCC?W#o|Q2rty0!uXy>MbRwG z`A@i%Wh%v~je@#6<)&Rke%;O6u zOOSPWy7tFDd`xjgCm8b|A))2J7G+fuA{MPWmU59_?*O+x!*1giV~z=M6(f@QyTX)Q zCsz{Ca{mA`%2i2LpD}xylf8`4@A^2L!8AbUSpTkQf5-q#T12-X1ck# z*xP$|u*A15=N}!=(MLr=M@m*0^dm`5T#E}|bJqc9P(E9oOB9ISBS=oSlT#9R-1^|U z3h3gG!Zg7FBg#4fY-Y_%9!;-?Sc}|@Q`d1{9aYn}5!6X6a86~?$EhdN(%Wr|OO=z* zQRZ^Oay6-upwSTstg)MUiPf;}+om8nZB$hiaZ=H(%bhwcdmm%de@s{_%5E%GSErg4 zu>K>O#TEy0O^?g-z$eIGQ6{e8oQk%sV62vrWQK7ic{){LTQMrcZE?5f>xexyK1Ex` zrR9xUnd?YZe<(4AHu+s}?-wXIoul&4NCOR>D%RIOFU0*EI`D@h>!r<8Fyg$zD6;BE z%#*Zr2+qm@I#$PGdSA82dkjq}Fj|o94-fF0!&RDbc11@ND(;j?;Hq5!*@w#geX$(l z?o@E*W5TghaIRGg%dAN9QGiQqcH7$C`(j7Nyuae^EXXNytiLJCX(;4-Q_<5@YG9xI z*{oRG{)c$|4=A|)0JN~bhto(}!n@e-vFY=}DNsLEki64?I7ckt>YBllG0c<-cp)w= z8(5PexVs&W-uqjwBYdCXOy?%!dSf(91IhP*_v`&XEL#c4j$oLCkx<2;5RH1M?Y}|P zdfO0gZB^%dn|8_!!81CPIz)4;Gzx#1>AjDj{Cah*nj50hEaPo7bXN;;hYnH|rK`?h zNm0OPnn86whks*6n|+gZ~og{f$e+x^~IM>TbVVG8v|`1b+#!@ep&6a(C8&B`1esX zY|s41vMgR5=Vt|I)l<(C_CJ3m~5 zg>uO!ldRJfJ{&84tl!Eye}j(0q6)TOOADWV{{W6Q%xFlBjNsXYjrx1wstO?t$t05v z)M{@*f77-L%qD_J?y8TylrHxCah~0>teR|q=NU1RQ$#Fo*RyTV;uXZYpl~HprpIsz z{{VQlb4pm1HOCL$z3h6QQ-~iK<$|g`DuA-B;$S|n(-FwF{fhFYl_h0$a@*LAeK+lf zirQz2K+H)RZUIqkICad{qb-?(uqvj*OMhD&03=2VW|vjEp*#KD&5Y@n;d5il|&V6a+IH0HE*qVTUn>CGjHERcw1$0lwG7mom%zINC_a z3JI_qZ*KnpmLs{05yDzGkZyMu`t6Gml0wL)WtEQ+q=|*CeuBsA>ug3il7XUITx-&9 zR3CHG^TgXRftsF4VG5pdE>nMdeSUa}XYWxUZ?p~Eld_BS{c&R(cgU%9R=L$K<$~L5 zuGidueelIrBRZBsE=aQ9r?JG-Hi>49;&@ye+T+)LpI6)RhG!H&N>Fb11xRl#zFxSy z282wBaXmXVL8yfiR2`u-n0ZA|6MJDnp%f|GsljZ1`b zNi4Rv-s$apT8UOjw6c7|?NliuL_dihvb{nQI&b|y#veFxqFPx)=y@Aglx_&bHkj_+#SiQP^e_S%rR!W&Do_a}HWU8(ZxnH;?({b3_ zwmpHV^BJO#OnZ-1Qb(t*J&MwK)8aqf75yW>AH&oq-=(^KhZq#}(x#vrQ&Z)^9x?T?$I>C$S!s;HvZt4^_D_pP}D+W^*5%M0m(Vx)t6 zZUyk@B%Ll9H4uEp+495Cs_9OU9WyDMTNT8UB$RwDP(lK8sqwTM<7ZEG81h0W2$St%(6 zh3#NRa4tU#TAa7Zh0{T`*4nKfCJe-X0gv=vm+>mR|WWh@6{byXO%cq@joJhy^j zqMDMjTDtg`!xUaSgLTpCKQNj+`;Fz2MhXf=K{RGF zxh1X-r(?bS0XTN!er0flcMGo#M zc?HI_yjPLO6-8?+A+TwjojtY$`u_l5!xdIp!5Nnx^1_EN;i`10sEmTc#*7s2+>Q7A zy>St$HzjG)4mnyoBZ{NRq2WrZ%=d_+T6o|j zF_A**v9j(rBm=hp04zm0f5o{K4KScw!C~>77^Ip_n`?qE{XT~?CkFE-j{E8@o)C?9R_Zogjmuailr zl$(sXe)azVK@!O(&Va~SFjUl0K2=dy1zR(nH+$S| z^|mG4Ps$AUJIdsGI%r*dHY%54VnOr+ZSg_#Q!>r?Cn(Bzi-)UPmUz@5H06e?ZaQ`A z_~EL9<3Hj8G-zP1q;%O-OF}RGN3UO&2RhfM{0gtV{{YZNT$%p>+Q~N@^GaxPnl4dP za4uDr$(K~0wboM5gs7=t+Y7Nl(9j z+&@xpvNuNB<7pBlI#g@*x6c`;sx7HjFaQ=J#8~aI#weFvH;TZ9A8;w{*7#bSJDVxk z-_54?A3naL4Q_#Qc6sj_sWTe^WKwqOKQ6c-GWwX*y3;dRu0T_9Zo3}1)k{F}xBxo1 zWdI9Yck8}7MKFyQyC1o@ZXJn_Uc+d}!AYf!zrXaGp z79oK>ey{y8?+(DDcTr%>Ht&G`WN%?vhJD02^Fc0kpVn4=m=gn4RlK?h+?CW#x3^DB zUVOS*y3PQk%&4>K$q3p>zS|v3p#R{<_At?#zw%t$Fzg%=5DJt?_ z8|Bm)WVwx88HR5eNNQoLk)9N>I#$sb;wRU3^K}-(v8bbz*-d`=%vYF`*FOZ4bhdR&rT1>uKGf0+_i9AYLYTpWk z8y3(I1E{aC0NbuP#xBC%bM(}SEDRXk(XMW8JM}mYN-zP|p~I(`?O?w?y>Rs;Ojx8v zUwe&B*L)8xJh0R&EN9ET$nV!})oou3GoC4|;;L#?XP#x`OCu?`MBl&k z#l6lhPID)W^9+wV;l3NpE9n0KbHbEW} zifVP0fBdOuQbw&N%hVHNzvqGn?D+VohG7jK6+pTq(j<>Mmr4)FTkF>rF+x#Xgq@YY z#HS7BnFk7Rofc`4R8iC=0WSpcm1|iA$RJz4>C+4xilok}GtApA%IagK@$#1v)z;Ve z2~L3S`iAx!>^Jx8iLW$%7P*njjNTd!V{=0yqpqEGtabVF_?8v{jmbYP@Y|H~Kbq7q z%Ui)Y&QY8td?t>nY`V2%Ra3dt#>%4IZhg)P?y^?|51BkS21`dsYB`}npEKUkQdP}6 zMlau5jXRE=O}cc$9z-c)o++JK;u8y^+<8IX-kV}c#+4JPb|bW8aAnABO7ELk2& zmeAr zSD@+KpIkk0UkdP#71UB^937X(lTm$?Mzn7u$nq7~$jm_(CieqjVbt%6!!+W0-2SR5 ztE+VHzmmZRt_7>(Ecu>lb>A;&4&(TdE(XmofOp10{KLS$3Q*p-1_{nA>hW$^DKx~2pU!Z5)W0E{OI9Cb>56dkir2QE*= zGR$RFstOqCbY`(OH|vXdarX!0)`D!TO3nc!pt^hgUj)gv_p6%4X41Ag6qATa>uZwc{MUs&0;X9UwU@2TcxCf#-< z{{T#N3FE#EQmD#4%fE(U-iPI zO)p}sP18h^kvt_|$2`EK;e3in(prP0G|jLb z4^N-z*mUgfUwj~Bw6zh`aXx%%G!b}d(#mbrT!Ga6dh+kVf>cv{L1c1iMtNP3iyb2U zdSmGpLzft4TgUuG-a<#~zC5>BG`r8|rrkzxVqxW8|fCamH=Eo`P?9MKOc=uX66t_G{7jH&RX0F$zV zbFuCDe6Z!1r98UVNp7K!X(t~b&frM*4-Vpj(ZnUXY*CY`QygK|4`-|+o$ z7|p2!E~=V|YwEuD9=_O?)%Y{hXl((BznAOk*ka5ms!WDrRf?VW-r(#v>C+E`qlo2Q zD3UiQiU8|<&Gy7&IiiIJ+DqL_ToO8*Oml>l5UgZ}C$IIl=ZM~CNQNE5vC>YG*0;ac zrX3aph@DiD7>r^*mat>7{IJKH(yPMHD=QJWUrxL4i3VvBhEW`!%WE*O#BVWaA&@KX zZDK&_ixGbUWbB7=4H^RN;SFSqF5q=0{{ZU{JmwO$5>F+u-@kW z`&;zH>okHT4HQuwL!*;p>C)cT7>Z}q#wnO-1~T53Bz4A)CTHbV#>rh#3@YSbZ~*!L z0Gw!iV^3#sznJg(A6zLng(EB|MY^dwb^I}xWs)&WTwDT0j@Y>tRg$6Wmi3OgprVpM zt1ArzvAFiz@ceOL!pos$MDVyVfqCZNn?c;^6#EG!33*t4?fV4eXIzLwMG zKy7e4;gLx)tdS|p>u3Z7O(L-k)s+7LYbuv9TnJeSe%Z)-y`X>nO6FjqVR$%b>&UMHF+Xy@=8duKRVvZB+oKa!_>} zi(ghba1^>3s?%NAE!Yk93*XoD#7l|!iNQQKD5K&IA*7^oqxe%!ppK`x9+;X}K!!b0 zf-QYO8v%|=_`s-mc+f#A1wb#T_di=={*n(aRU6>W)#UWC?nTQ}&0bnn6nJ>!EDL)V zYQ)piZSQPBdB4Xz9bJ%1O+&?5bwrGZO2b%(R^2QQosd=$DHC7*)>NPDiWawjXL9jmfzxfHo85Ui@@%Xefw7SCh zlhmyunFv#MEh_@u><{6NbXtUqOkgvjkGf9%uyi#LPBpdHLyHZzJ#nduewmpiTp{b5 z*`*$D$5Yo*Qz4ID!Xp{^3&(IxfE`avSiBL;9tX;JdY>)*`6P0@As(E~IJmG3EC?NT z)7*B&PZKNIn4u)^ao-O-Imdaw3Ce2nxT2Ot1PyShVl8XjgT5u@OOb9ZlYT4VZc$U^ zbyXAO^fcsx#=fSxmT6pz`6CPmt8Ap~FN$K4o&>B{b!Ci70WHp$jhuYwtAl(dcoitYvPf5R2ATqN6-*%M8! zi(i5HH^CfN!lyJjU3C+x7D|aL$!dZZRe}igpzXOQr>@P=@@pZWXkpIjGgWG~A7&89 zk@-!$#hh3Tt+2VU#Qy*z;6tBf6uC5Q zT&}9jxW+yqrp+bHm{z2-#Z@S2qye|o%s>O6z8$%X#`%tSls^ksXAsS$L~&C_nqsCF zwSZlTy|*1bPAX){l}RY8PqUO1mDyYJ1Bp0SfccF_#9YIpo#-IDNVJF=LVAmCJNx2k z@k`9!NONaDr_6a_O`SrqGKrB4vm-WPM8oFnz5U9EmK`g%Grucr#IBveU zF`$Wb>D@g~t-iY8Wlf2cEW7?7c(0UA!VotE@e9}HtE32z~b^7FHp z6dI|fs)6EQM};n10bZVkE!P9Z!x^16n0ycb?e+NMxB{6X z;NER7O5G=t^WW&uj_>7%2+X)F>u#6AfK7XIX=Y6v@b%-Qani0 zdk)tmpI`Hij?1zsA1TVXqdLg4$<_$eD@|8as0bHPWkRjnYcVIijj_&j&=^o1O{kj! zsyFpF@81`9D)`%p>v(c1ocov+Nev3J(>-H&uu?`X_fV<36VMD;!;v*)tFB3oT~)_9 zJzG~$^71#DTGSNvE0{5oLu)D`81EXW0B>L~-=Lk z>|7`eM@xVQw_I5nXA@TOUkOy#L&vVM3bsY0f@qSaP`m0NMtm?v_7>RhbBcG1bG*ko z;tGnK`l07|-J(X2+^T{_zwZS(CW#oMjFKB*fq)iYPM_BpN=2~?ap`aFKO7h%Nl}PG z0kZ9F{r>>g5HK|)h>{&**0BEocwKvf5U$qDb1XDTVS`|*T*@W#K=i3@h}T*z}s(ZVsIKjp_ae_sE~K{!p~0?DLWeu z*6Hbl7%G4vMJ&hfTK@n)#`ue}Q2W7rpW|L(tl#*~R4U3o7uDyl@`6&HHW$158n(hRpth_D&fqkgD}miL5-=Zd_ymQLh2Ea zG_Cd}h$LILdpDJN{T~W^M`ipy#4+XcUt`NmVwWwM=13c1q{@HyTK#n0M*HoCw3ZG2 zfLdEOc`ghwD)O7OYoI^=Euweys zZQ_BmT0lV`*V`T4%xVmSo!oz$XLK}FH8h!95N4=Ir8BY%7}HT9Mf-tawZ-wqyl<97 z{7sm|A&!|+u0@WT7{N%lK2g)A{@>Y{Ug)O7Z70F>H-b5*%PMXR%8q5s9FCZpIE0B) znna8nK=PGl1UI%F-;VizrZ!G5%EHPELjM47j0phlH?g(Hu0CEr51@rd+X{lB;Ue1i zCicE8E@pD?G~#Xz;aa?=lCE}(DhV^w)0em1HZ}&^e?#euamj0t)U2^SKe=th9Mj{> zzlgX3k}T4gQyAu{q^VX_WpBII$FFOVV~D3I_%n;RGl62^?DZg{l1k|$dP43YwTIQo2CPQjV-tYXE6Iw;THmMEMH27FEoe8p`a# zL=hvhM+E)|neDO@(#NmN1L=s|nV~$Kq}VlBP_Jpk%F#M>^ENBo4M9t&c!^j)vIg(hYLP z+T6-e#wvJS# z&>plMhKX4ADmok$etjVnS2D)v1U-yv^3RqRNg3vwYL3@WfZSyXVO-bWXb zF?-@i!aO*crcRPo<#0d)SYDpXVcfGF`gOgA_(ZZdHvQPh<3uiMSmi@KKZwdd5x;B! zPYcUbl=jfYz$E%#7B*``l~K_{MNK3js%HKiRPiV-stwql%Wy|)+YB_kJw;ELO*1eA zG5gzqKhU-mV)ehg~kT53>Oz}`{;HUJ&%Vd;ESnJ#G( zBf$zgf^J-sx7OG;yO^1;1#opvMM*H0I>pmwZ9r^5AP%+%Zr8QJwXqw?Dgxz=S0J;o zK3jLN`us33a=t@tvO>9=34m#Z(mnlc>4F!D7^o0V(Ez)JV{z;4{{VQ8FfP1?}&FcGHi>5 z@-VcOuPo9rutvBG>udUt$YE{YK_t!jHzPDvM74rRd-@%}#wU?YQ8uVyBwT_4HvB%l zaRK;yjcaJD!TZ6k4^np9f1UpTELjodzAQutKsV`sy|-UsgWQ~Hl9RP$`{7GAtjjs| z!*VkK8o5K2mtwx;TW@bneL~@B&0;L7>vL=PqWXuvK3IG|BGL1chlEOQ*^FaWu7I0r z`D5wa6uFjD1Y(+I`=DIzMS$#a<-F2sJZs?F@$dL_n4Dq?IIJ>(>d@p9)bT(`pyB!t6f`N=k_lsEGJMrPQ`> z=i5>3h`$pckqaf*1=0!&+UMxvNMt}X&$%sf2-H`u8FQE^rFKxt0~4oDU)KYCoL71A znkHc)l0yIhTi9Qx&wjXv=MquWH`(d{8l*l}>JI%quZiasLi(ieW7MTcVliK4?A4Qa zj9xn_+>cKG0H@bnGB1OM`q(LwFDMQVQljGim|?E_I;n~^03C|&>$$_+)d5@V<*j{- z?YQ;BMrlh5uZc{$j+3_50Njj8#Cmn`rZW}|V{ohm?d^f;0G1;1k!yKwJ7C$Ml5uid zNjvr?_-xN9hF*ssmT10m}ibQYWmeL8;W784L*`j+yszua*2FI@0mCG~} zc^dcVtk&H6VUs(dqtIk%32ui-?0TF!4v2pwJ2Ru%RU38cpzZShxQ}M^GPJi50EKQ% z#qI_rS%o_r#|v1XATe9->xN3GbV?)=S+#k!5-s)p@c8pC#Yqr8CZd8684*>Kf(q~4 z{{VbMb6Azt(bnE5^|0$=KCO!@JfWwkh~0D&*3)6rt^WY7Av{5sQqqzoxg%xLEpKD` zj9bIIrY2n#_Gd*jOkq+%P1&@4;ctGpi}6(+k~}sgK_$pf>xr&tF2&3y+Kq~v->%=L zBN@dlKiLdy(raOGN!*`v`S19Cfmj7YdwEY9<~iE^$N;kt~*vURjD(}K*s1?&MNboA@j)f0Sj zLR{J2JhS5-Y4Zk}Jg0-WbAYNPb_)y*olb@906MP@-TD^k)M7KvK7I3BlNr46@lPYT zM>Lk5L6`S#cJ&uSeym^}KjLq;{@CXZ4&)!i{{Rfsre#mcz949b*5P1wb=;eRJ&7M% z$xjFK$A$7L+`lU1R~J=O=4Vh@GI<0MHu{*@7A^OE0l!_bk(#3~rI%xFB(shFJh+eI zH-SehxPRgACCXNrZ(Ep9$kWUtBny_)8pb;fj{Wzrt_2?y<@t1NNSsZR_{skOrmZ#N zM3fV;Kv!<|Do))Gd=bd(pNx4uMOt~q%6=!xGO4CXCe3R2cB(pH#1Ivq!wayzh3(e| zaR)K@GmY~k;m!qGoYF;oa@IToo}QW;-0dZsYi`z2xUo1m<NHISLYlrJp#_;#*BI&@1%7nCro zNelMeCG_ps@4hwS>#~O_5c;)f>CT0=ty0Zjo*~Qg7$Ji=mRO|S)rR)I<6++m)+F_Lr1HW_{p(xx`G2fP zI17a7^W6R@XNXE8Lf&@v^!GRiAYBf*RP%@-c}rY?NkhHQUYK+ZQnV!iGG50;ulV6A zjJ7H|(+7fBtJoCTY(Go;V4S$i1FVtwiq{r9?cZ;$unv4!E`UZ|nmk`?e7;nVdr0bZ z{&-RtB}K82DFoTr_Vqv46O5mVID#1U@W~qXnnmHwP z{{VuQ*+%2IBwq|!=KM*}5xaTe5T0r#` zl=`8YTnkoDg{%Rk$O?BG_PFdu8wQs^GSAAmn>pfYHaRaR>oSKvCaJ1&IOHWtn<}`7 z{{Z^N#BXi!&wNiz(PuT$1Z%BBnKdvr^&hS--XG?6Qh0K!DB>O{%L%EddFty{rgoF5 zDuFyLN2sv2-ngWArg}`nGpU~~XK1IE>!?_p@3{Hkx9|+4hT3@~b|qY`n|mIg_tOPD zOvn~!%9~k$wa3=@N|v5Qk(y!Wzn7uCuYwt7M)FvLYhQDHyMJs8ld3=|ri7Uh<0;r| z4_@}$6K-1arh}LPHlcF;Moq2;#bzvfAVm-|%c+;&&p6%yOp6vnjIpWs$`+r3olh z+!7AQe!YktF|dpUw}yRn5zzV!bVnn(XUPo9m=!!p&#dm7E2fHfEtBS1!YQ1FQ*CU< zM%a^ZK_Fk>7JoZ3&w#qFUQ{m!Hx5!}u>#Z)RW>mEBzGC#VGgQqyA4}(KKPSR@&laN0@DC1WHM|&eZ{4uE$COl- zMvS`K#866$+zrX-LEhN07N21!XFl@>;rp7@xnwzq73S0p9POr_dhQ^J%L|f1mXmvK zY&v2eAH&xl<`S%V6(t2z$iY-nX0T1+lhl%qKpyu4t}lOy{!H=I93hiZS7ZU_l36F4 zFUwWsj+C86V%1_9t<;XBY)HgAm7F`Aa>In{b8Pb`tD%I|sUoU{?A}qew!cC@t%pNf zeTK#Rl|LVRJo6udvT1Yd@)}PDCG!NXibe_zi&n$Ar~&g6 z>GQu#Ib}4QJ6V;h=T+40EbL`SB&j!w75l1s0k>iKW30Xh`8CKMV{5sIvzp<0yI;854m#`NMppYa1SL7rf-uQ0JKVxsg>;xIO8i|!b zBK{#a?Y_GK_+od_D{DSIa9QQ`fOp9>V^$azVX$iwdHo~R4kuF~#*Ojz70@K4JO zha)^cQIm>@0UiW(Eb}Zt4YY|Fu0aQOzf-mBMDKJ)*q;$IZer&#=$cxFR&By63j^u~ zB0NjP)!Dl#mL^fySnf}K{{YTD{6E02!|q_RNt^QLDXbC#v84G-1RyunVd0L)Z%cPO z;XJ$cZG0Mnrmx}qhN=pU+DxLD5`X;|{{S3Jax0=K9}qbenn9AmQ#E8VH;Ewd#)H?n z+v{vua`9{io!XWrBv_zCV{fgo_ERSPo__??1}z^9d@OXnts;e0SRSB-0FM6vbdPIc z)*spX@G_7zRX+?lEQQ<_bf}g+w-y0d1s{RIOC@x5D?USfIOdtx5%a5tGHx5;+`_vs zsm)_C!wdwH7VhjvWmHyTPQU_Be0^bh8EJC(;;c_0tg|+>qAg0^5*ZQ9XjxU51Li2VzLm6NkE!x___nJq$*5Y6lAdw)T4`#0 zca2Jd14*TPLN!|9R2y^{^ZrkMM5g*&8d^yxy{L|5a6Ju5%wT0pk1#v^Z;4$ERT4%5 zoBseaZ-$wvqlyg+2zDo~pYikhI8KB;F%=io2VJ_`ZlkE%7_x7(QZC6<()f{xp#?P? z0(Z9EFe65MsZi&p&9$$OWOej)N*YR)3=|Tibo%Lno@iyLE+bY|u-JaMl-OXaLlnwZ zM;%SYy8i%gTrp=^dssJyLA~}{0k-$VrckmSYDiKp#@&9ehK%y*Owh(gkO=d47~`z1 zIFgtvvcQ%Xwx!(edfOH65l0knB1Am;h$Ms8asDxEXCWB0OuAc1zd(Kdz3~9zdI==ClHE=p{HWoF;Ymf>^;BM8zszX-eVN1BLw~1ZHH>TQI@;~u535h zcfJ~)hK1xay*HR!Z8qC}zP&LdK7g}4wD_iI=VsJAs=fZLh8)DebtOmMO^{#uVndqL zm6%g_t9=`tot{Jn)wB1Ry{{XM|!y_(el+_Fs)Ivos1+A+7A#c1f zAI#Aioi&Xs{{Suj058`M*__pozL=Wg=E}b>Y%)~9CQ=zt0y;4#&3kn}TVe6A8sLoQ zG^reIG)OgAkPClr*AR{(23C+(DyXn+TCH+#ZLwkIlqvyYz&Yq|Z%^%rc7K<%$0DR< zm9MEz{{Yva{{R@fiL}Jc6|Q|vV7?oH6o<$Vj=S&g>3>{DvlUcVRgAF%%zJ@}zHdZ| zETE~6sPrD6t|B?*Jc^R|b~Xb^C*Q9B0N=hZB(K;}TFREF0J(EVEt1z%Gkl#!^5mF?GlzxRvS+GQE4F*>6yqz&dv zsut>P*V6=Wooi*ex))a)jllH4%V7R0>Nf;z3lVPLo;89&O;D1$xm`e#!_&SkMcXS$ z7R@UoQ=>bJl^taZ`eWE*rOxQ*rjAn?Vo+S^JDYFcY&%2;Tz3_q8C zzWp$S?y>3{sq7c=-vvC=Y6r@ouFMYq0FDrzEqX#7L|<Sc3u1S!u4x1%29=Y8yk*0B2TjwSfGqW=K*@ab*tO|CD$PTrk@ENH2sftinvFQsf1y5842Du-?M3E-(CZl=UH$ za~C9=PzJ$ew$|R^E&Xuve`l}6w7fFhHIngn3DQwa2ahCD)rXjkL#= z<*INlQ6v=ksHldp=&OP#%IG8cTHS09>$iMO^RI+&ia&!644atzOx!nHlvNRAq|9dV ztVjT;cvWs}dy;Li7Q`1Q<{oX#njmYUqsg*r2@LfyQTUS8RyD7ojD+8}-3jV%)Y-$j zITMFo7`2AfDg%j&dQoG&y9!v_iz&s3P6=-|;wo z;GDNMhO79ibUUaZ?eqTt7+@LJXd1~lD92Y@*!-|%KM?1u8kMPb3m=i%>x!j#r-&92s-gVHscr5z z#v(ipAmzlDx5B>*t=|gciZQQhXL`4e8eVunM@*PwwTFln*uf`+wlCc zUoK6Nu~LHK6r6bp{yKHou`*gv)@AyH>xi!lizmH_%y6T#W&^&5ca`cWUiBQ`%vU*%t zf%x_tC*hgt_)>F zO=e+H6h*11>tdPXQPi+jB~HW2Y(@9BBU7nMEF!O;u?r*=UH9yAxj+bALdL)ZHn-Pb z&l<~3FNW53y5DuM_c&aG6YEk!DDsPR0AIJy3M`?=gBT7AZf}13bo$#4jGYK_uqsuM zBUDLypD&xQPMGjnR&fy}&5IizpO5E-(&l2$4TIQUx3(5BGB1r1FHWHKzkD$^h+GI% zMXf|}pd^qxn+^W}JX<`I;woyqrjvx}qNkTPhM|7RE@MWf7?Ae_w)QsMi;LRFY*mXf zKtn}sKotdT*yDOunnzh9S6hAWez)K7{V;1K5}UEpn=r{q#~FkbnZV3X+P{xDWoxyr z$^z^y(`ye*FhNgC6n|($K&}DVY;D%vH^$WwtG2tgvTi*G>5X-VB)!PCz%NhmF)A@~ z+`AcWiEd%cyo#%rKlnXAFKC48A!D0QGs!qM0FVFyPR7>%0G9W}FjJO&Xe@n`rTuV7 zQj4Rlz_~XT-0yr?{D#kYN0(7o{{Zy~Y0T5gsZAY40H|UB4P>~|yX~p1=rLw5bdn+4 zDz-@kk00Iy-)7w7c&Vi*8DKXg4J-2fG1YlD1aih0QlpD`dzHmb@EK`Zn=gF;5K4{E z>pQK<)OXoN*kwF9%IX>>hHQr?jS;;NjlE1e~UBvcO^fzY+L0hEw;2cf_y$YN|~n=q}XfFoDT zQ&OEJ$gtnki~C~!;66X&?3a`LJ(T3x)cJl}QCjVp<}~gEjH;w67GQLPVRAR<0Rv-6 zColN(F_JoZE*`Ft=KVzpb$7a5f|7KDg(Pc%PQ}W^(*dZ@P*Ci+r&h z%=kZu^6F=ruZQc=QEw!YK)@*Vy|(=@O|gp6EaE)NCE|`8s~OicYxB9FreQ-3S~O^` zZ*yjDrq=TM@6#16d?9~^@C%z808NG-r;Uq<*#QbR7U}x$glZ|gMj#T}H{9OC>iCPW zx+9|_(p0=wR%5cQ{{TD(AHgKjUu@_V5Nf!Xx`{VC#FRFgo!1+~X zqQY#l1`h3ZH;qltxjk`SR+)Djw0b&Nppmsi!sg*X_rWyO{{X~k3~CQR#BYKmjbsFk zOnL&xU_CLRhDBWrzydbg+XHNA<%ODC7|HVWC!oR}0g#cP1siJh?YH~F{xMT!`3nKI zJi_cHaxHG>t|xK8vQrW@l1Ucw-qygN?pyY$#$!bNV@xEt?;O*qk@qjkN($68>v z(AfEW3KWrWcOiD)3Cl*JH46Y81=nxK4V>cUj{61yL*uw*og5YrrbZZKOG6{5tuqQ?JSRIA2tq<)2O!9ObH}GZ8y5Pph$CrXD_WuCx zPNLWDaocH~Z0LCD!ltv-bOQ^@g_-ue`H?{6DP4Sjh9DI9TOR`@rXI(ULfOu)6lJ}1|E-p!1Uw!b@u*;UPk|b?c+z!|34h7iKR%xTDhe}%O*GTGrzg#!xR5Mf3 znB~&UcNcBJx7X){X)`Hv23aVW#3MdpO}F>Kl1(jaElpJ)m<>K9`uhxZkkyb}{+gzy zCPWKs`Lqw8_QW@dVyTHAS`7)+iQn@2>x&OK%V(et(8e3eZbM&A-G0C79mRC=gk_2# z#>xV*{XTdl*(G6_h+d?|sMaRewZT6=_-n3#6UxhLF6X82@t)966+sl2UZcaed^A>6 zv`MO}CGvDCdVic6<&gRqYaGo z)vyg=r;L=40$Be5%H57Q0y|esvpJ9wgpF5U$dAlnhdHB~LE-BvJi1s~_W5E>m}`f@ z`jyfmV-`E=x369Ou=!a=vMtP(Vo3vXZ*N}Ru*I9yIoA@9>^!$UkIxoj+E##(1mZyP z1}ukBxI13Qx9NyJbptT6Czjrw{$E`NEKK%|{L(4{>TlEm^|$MYZg~*Y&!nhMqfcLN zZn(RSTv&-NIUzayAB#o?lcWuky~lsATt=EF5^0f%P(JB9VsDznjjiots>B7c_W9x= zn8Bu_!)0sU%X8M-9B5!>epSda0?NT+uq|P>z+pLD6!Uf8VhH}YA^)tQ*U@>$Wj8k-9W-J^ujY zw%l~b%4t#VL0KfL0V0u6cGdyj*zu=$;td_yy4;cT!l6Sl_^}W#U<+LK-yShb0y3-I zZRQ<5zP)fQa&X;IB#SG3vIGo4(!$oaZ|#AqZ@$Oz#=eQKRLAlk`bdOTrkPkIh3|H- zI|6+%q5c$uRnDVhZ^qx(3mBugGe*N=7fC&D-w3p9u2x5L**4#9#N%oX-I#OW-G#0q zasw}M)cTLF>4ni<7(9|Sy)E1DJM_YS9ECiLsz4Ufx4*Zc?S;f@&00iy3Yza3X=M1RrWyEBAVDiKi-f zAD4V*oD8>_IkinLUY2cCGgy}!fNrc!?bv^uQ_AR-*)rt+0L7Ok_%2u_=1(NsK7bM$ z0Vq=Yd3?lz06pwa^}|0mIkj2Gl~p`#z`Q#*24wEv`f55X%2ZQtyQPZ)#>8Ju&Hn%_ zU3ovjufbmuWl@SQV{t+Ip?nCP*>8JZ)MO9OwYp!5^24@)Gp;DNZeKz(<{e6nF}Hp>lVap9cX!kkB&aZXt!ZxnG}W1G}PC`wqf3h5`% zEqeg$ZM}f`ckMDx2F>ywAEe^CjvcF@ingP{N{WcetnINj9SPjq{_!H`ufrF@rz-eP z4EK_s6%WMu)b2`9(@PY=En{Xdr<9R<5_;R(@NNT(KZnH6IMJ%JD$y7J0Fs+EmPUyz zzEgHLAR7R^1+Um)xYC@HgGpaEf7q#(QdMC5GoH}!$2H!jMx%ucC8CmhDl6QZf@~C< z4fY=Q!rW_|FA>*D$(!X8&gcZvQO53zV0^bEUvF|Z>Twm1g?O`#II^aKsiHM)GdztW zgc=L<~ao$uw`4fgGe(c$n{Zpg&^2^`1&0M2RK zLtjxtzi(elVUoLsX=t@+kpW2o>0og_lUe}M#xK=$fnY~#_ry0pN2oKYOC8A5dw2By zxLb4$q%hD?(N8d^603Qa?=65ojwCc39~ESgvQ?~6s|{WuHefzqTYflT%asL6JZJgr z%g_&>>xq3W8&@JMfUd2;`49Jiv@TNQ^Kb%GMg%#eO1qnsJqLfUTqc~WGt{z-+9H+( zY^T=t++$qAo+@hS>B^ABb^<~#aqaDgES?iJLVcSvXpM!HNhE-O0o2>^#d+jVR>8K! z>A6qf&34Qqbpv$LN4V{Y9!1L>qb>rXr3;V&I%;gI{{Z&b^&XgVr=>B#gT!eVZVBse zmL52DB&w=NSwaS2y8SPOWRzta6Dc}9%ET2JUVZj#m5+lLmZL~I1-r5Du<6$RgAI|E zYL(R-cTxcY`&gddn4e}}`L#z5)n!q02PIl4G_lKa+BHW&1;T4chTCoD-@m*Rsf?;V zjm?NQz4~7T34JM|w4_}PmCZjZW2&m=TGFJH>)}vC4K+9_Y*j(Nw>B5pT-f#)sw?=9 zh^wL*W@n#OR8zu2!voGr3tVhHxA|Y+53R;L!EP2AT@yOdH_foxnd&m^HDjxb9z6Khy?`FrE4xi|0=z?|6S ztp^jo5^)7BRc&)4PHCd>G^rxPZTAOz^%k}l&;AzqDay_vq~V;qjcI4htE#@sOZ(oY zoJ{J>SHy}1-siC;fZT(M(#oSX7Wo?;K1p)=DIQ*YCG+E&_0@S-0PtI8vr&*MAfc;@ zM}@!O@^_a10J5Wpv#*JK z{{W0C^Pk>S(9>j`D@vjt9;vQ;(;L}M#m|OH?sw^m!+?KoA2w<@x;N&pEjVqmiKf!@ z`4v4vNeUCxnPqn;$n#j{6)}lo?%2TtyyVHn^rr#YY5{F*3fBe&WO&eJ9L54Y*_CpPJ9{ znZkVJmMn)ip(e8{i&INKl;}XpY^!Df-uBbw=rG60@7ba7yT<%!o##AF&%D(#{Jb;H zGI|z&U6fE&S8+TQGsYuWqNApgpr0rU z3~{47Hshf0)bEXQyuwZ_&FZIq8<)XILYB?4!vwmGi6Le29Fhq0SqDo4*BJPS;??+V z=L+(>CeJ^3AuJuOaUn8zBvqDcydIt~+JgfJmEiOkxLRe^+$!x;0_UJBHD8HZ`PEjG0=#nt_(HGgf~B0u73y zmeRx<-S#^kgAN%5FDifV^;oHL`Ik4UaufdmA}uD7W$`8{sKS?BT1iu5wZXn7F;PXB zG{{VK+`^S*hAfMR z*3n>Co7&_YM|p=yljpPuaw+m^TI}u^qH;5bsxcvQ3O3qf02e+Q3xIc3zB#DS%2j7N zxB^hE(1WJ?@AAa+l$Lj!|91{{Rj3{xC!Kekr4pSC4xEH8|S+cO$2!KIQyByGhAj7^yoMGFoPD zEw6aj{_$32xOPcu0MeCf3-I*BLdm{uZ_jU4`v_y|CX`RS$$xbSF;30aDb<4NAxq2#7j-L)ZOZ z0NZB|ZCx9&Mj>|SH^$E|foVj{RFBsJPclhP8Z)bVu|d+^uq9noksvI5GJfdPO}{Kk zZpeKU&+Rsj3h#o>F`1g?487%G%X6}^{{T4n$;uuvhllyKl+?pf9U3gGvd=AmjSOTR z&r5@+weClJeck4dEb^Xka{Dyp?-WTd*t0rjiftQNNbBo;{jtW`=j;aLR&fxMFXx97 z)44i|$wNM_(jVLF-hi1LtK>PTRG} z_3SZ!EnZbt{xu}?XdE~bZQRX}an^x1BSb{`W zS?X9R9$&hit<$*cZSRVcjB(m2H!oD z`V%ze!PY#rsG6rX&8gK5qae5}SOQwxU#Hzar=}UWri@R;6&15aNJy5-(QR?4_x83R zUk*PE9~@kh<_r}a%lM|@PBGz`QNMae7E4hcV8kiV5uPxB6R{eFfhTgIO^e2Qsra$Q z#)gS}r2M@;yW=-lw_c^cl~jLB}ViF>#L;uKIxzXsBPB+29mBeb2xBC zjsCv2!=%b9NfRc;cDjHD0$OTnbD}#fj`~l3Z(IQ6?G4@pv~qo=O}4o~dl7GLn0Cwa zI!wbvZ{;?-U**#R*TpL+Ll6M%WIL`KB8~FtT3BRn{y~nzuJ{(3=#k4mikw{pGE~zh znHg^1c#DwVju~`C@kDxhAj(~lKsL6nzh3xlt)f`jW{MKvpDF{j?f&rhSx+H#jpWhZWNrTd6Ny%1 zBDy@0khVMA_dU1Y5&HPu>Wp(mr*c77+cT>#Zgap1aEz;FVo)(}!*pXYEG(nN99 zhyj4>h*o<<<=tY8s*46T*^hgEn7@U#fR#}Ttzy@Vp<)i_us>b#7vd^=x7ra7z-eXZ zdiKP-G^bcl#j3{Ee^b5~c#kh(Ov+inTd6v_ZGEw!ii$a6$k&Py44R8|0?pqW1=a&- zQ?B+FwU5smfU&WH!Gf>yk6)vVGY|kSG?v+$a(3;Dk!5C=xGha9yqAeK1ARWY_A^@x z{h*j9EC>XUd)pqvmip}-wI5_!rCmcvNwEj-+W!EL<7^``h8o7PwTlz_^zV&d#YGYk zYYSLz4l*6pRL+31k1dBxe94}~ObMbuQ_Q5;0y-YQM+79wN1cKnPw9c?E+Q); zk~MWfVgxe)x#T`MEeH&$NCHjEChpg2YtZ)xXUuA3#gSX z*Rys102o49q>4}p@3oEg!(zEYW%@w#NX&E-F>S;wFRI{Jdwv+;u6sN~nH7^$$YgCq zvnbdQ$Mflb&mH5$GshNLG=eKIjjlQ!#lBeJo^Rba`!Z9fNOBYSD#~nCNIUI+pMIwXSCcawOQJiM7ZT7GvGlyTZ#EMHuZF9Kk z+w;IXp&*a}JvR0CKKP&VJ3QcQhdE5cj(A!;+9f0{JxZ&nP;X!xZr#DfT&+-P^JcuX z_{QhgC@QJ5J{8aDqiFU+QAV;L*@obdHot#Q#{_c=o7q1y_-en6Il0DJo^{1_%wwjZ zp^h|$H7dYKB!=Wzf&3+paCdRn9dXJk9z}Cn6{X=XhYlB#X_n#{;-HMJhW8>PVPJ2) ztO@OizcP7)@L9>+;#`l7eipKN$AK>^WnO2`D&LgEgnkY94!Opz|zB zdmV=0>ezmMvu=3t_rdo#_$<L$0Jy7fx*NBCoqcfpQiP{+;fTp;QZvBn=bKWggHlF^$1q*k5$XZB z>^~1|6U;$bS(`Ru@_|kpL{WW@!&`1a1)Z6;JDUOdZyVsQ9nJDiJd-EM>nmKclFvp_ zWh;AtS^T2sVs`_$-o^g_jyz~;%|2;YI0=??tObDj+iy$u7?o-a3cChHYbv(n`dbV<03$)%zDtyN z^RrXPjKzlfx{M-Hb}hOiS!zd1IjA#SD-u-y05|2|6AX&FlDcFR%)70(W88McR&28| z03fyc0!_EWzYJyhr6q8hNYT|(eJ57`07LV@xo|E)IjkZ)<%Et}=GsUm#}htJvH9R?8TUu&OWJR6tXu;U+zfVu;iDv^<$HLi?*VV{d!dtXr z+uR=KZ_^cXH?`3T(&QsFfhcon>ElzT5<;HiuTk>DuLz-=I;DglkUXn!2X9O^W;vBU zYn)A)I>v@ax}b68J%`o0pOzf>20B69ez3x+uPlhh40^x*W&Z#( z>*z68i3${sODO{6H*tNij~S`;bQqiXMM-3fW!3wWinxQ9kR)7jnbBqR`Cv6P^lIjq zC6tiu;wtXMZEm(6V{BEKeLU2e(i(ZhjwS(i>tZ+e>x)x`Gt7dgm-KYCd_9zj<3K2& zpE6T4go_!PBt|hU^;=bgbp-e5E_`z9gZLleih{3&I9`s5LrWXf)Kb8RT(aNJ!_3>< zi~3uz7`H7{F|@uzgrg+i!7AentB-T9hx#nTmz+selx7r}a=cPfO=f!w-(hjJ$5GeY z9J|0=>G+-EjyR^^T%Vcw*V?75Sd1Zp0&W4+0*j9*z3;c>j_BnT1uai2@``B@Sx#Po z^$m{O`&+KqXy6Zq9una`ZP)Ws3N#MsYF%1D4A;~^>wbd!bjHeil4+B<5kHD-ilZd> zU8$hW^GU0!;HOWuRZfI>vRIb<3vG^g$QOv_;GC+WyCvbedTD6L%jmNV&ZN}U*+reB zjfm1t_F>c4Y;|YGof@~p{&dnuvPYy4dVK!?8-7^lUS8(>GfT_bD&8RCxZx6Ip;7AU zX;wtMfBSI98nI)p z``!I4*BgD&axmG-DxAlSdEu6Ej(=9wIh{^*N@^GZA+TNWpd2*BBn}d1b z%Xzth9$}rwJw|UNF;%S5v;?VQTI__l8(jL|9^xN`URQE0;;+qlx@q$RQnFJ$RPe;W zF8Y=`mLL(c+zq{Y^QSu$(n`)Z;1vWsXN_lTX>%%bqjfkAW&>Zz!z(5#6|B5IE6 zcU?%=v9{ay#{f}$MDq)f{6nAcrv^tvXy@VyYN{ivg`Jvk)*FWw8+5kkbA7FRbARG@ zhI!5Lc}d6I5%G6VMZ;fZT4`vitLKi^EJ8sK-3-jZnY@R}Nn>yX--5qp&%#$axwBDS z#NQCvnacn(XOrYLv{Od1y9NL_Mp#rU8?o^>?TgTHbcaXkRC6|0@lD4(!sck>UMQmB zxaLYFq%z1wEOAG2PnnCcV#J&FBc>u;E>&Yg3`)-!+!kL9wZ}oR zr}>LZHxF~~HT~0Cxsj-&R}f0*h+gVKFhxMCxZ7>No4zy>N;C_XB!84#37+sibvARx zz8+CY_F}0c&{NgTF}1{Thb311OB;(1PL`Dq3}n1OWO!oFvldFVc$*}ri~j&e>`M1rUe6~(FvpdeRYHZduijtn6hH|u8dJ1JFmYyKULInhknT^Tw zUihsd=N~@Wahbu!`LUKsfd^H}uof?*izypefpKwd@WnXAQ7M*&Z#OQp7O3Ey>z!Hc zG?xDW*5nh^%JGKVN)=DxumBsgHh@9Yz>DB665%}7tA^^R^8BiwILR`(oK$}0r-E97 zbeSEds8HSz5nalc5vnP24x8eF=2B)6aZK{o=I>uoAq`Vd+Y=!tR@#eox$~+v`=~f& zmPr{zVTtnWfLr;2wZ3Ym-k=`C0`l@($7exv0)i^|^8C_=m;V6x_F0%zIm&XpzNzGm zVpWj~wC)bF8Cv9J zk|N4)=RRc$4X#es7bg928Q>IZ&LN}wS~rIr+U8Z0Kr852Sb%z7<73wo9RC0)$n&@d z?|EqqRe6~$1s!z73`AUq)NF1(@#=5a4^vOFQc00+IM1@G&Kjl7pv~5z1{dvF+Jva&7YfuDARLmPbFtbmKej#{v@${P`Nvf9Qj)Hbk)##_?%wCHu)aRnd?nOX zRQxq?e9lV)k-|-u5(lm@* z_G8l0 z)l(`|P2r1$_FM_V^UBP03ACM8@|%5i#$-tAPMIbOPzwRMz{!`X^C&kAH5WekK9(4Y zSsFDXV#{k^Ykcv`vy9NPz#qjRjfKcIC-Lj`_!v@2K=8v{+jFqSu~1VYc&>FDfErfm zzUSK!R`{RzXQ0&_{{RqN489N|O|j4XpnFGaFy)@WAasm_kE13^($Fj3(1Y&OX5)XkOx=uq0UN zJDe{hR5do589eFGGW}l-^%K|A)D;i_Pe5(o{;;h)&W^%o0I&mlZ-I0^DvV0>to|HR z10Xgbj>8T4mR%?fQ4X6B3jx>Xhm%D`R?9O&%E$gT-{*V-P>PbY3hEBV_Z>T501+9y zlohsj2&Jv7SE)A_{IR`7Y)*zb0WQR9J$n5N8<}PB)WGpYrNbK%J0EjztKp1RNt8%w z>gmn3Z?|o_U~M8AvOC34#ZqJtmE4lT*Vtma&M1DzHk6Rqjn_`gZM$OC&!wcRMtIjj zDtw^@zPH7F#MJXF!2kh)yXx#cuyB%UfFku2$xxSJrH@th_qX=LMSU)n5hB{c%5AnE zm=Freq+PbT-rYXFcxo$yLtG6u+ExzJ^eTDI?Wov~f3HkF=G2hg^~MqJ(2IS4yhk%?YM5D#KbRA6y` z!=lBtz{A9}ghr+%00CuSyWi{Z#2Y)IdUmv{ackHO?{E7!pI6CJSM2PHbf)CrR&L5ehDZZ#kHjqleHjN42kvl1_0#@D{z+ZtGzT1$cxQQ^}}(a1)kZKB(C{=5FT zObDcuMnZx*5;_Zww)*LVbBIe!(v||`um|U{>49L-2bBS^1?&a-cKYp$kakj;=@yJpuez*I^*e#V^ zS~*)%-^!=c=Yc9H;xU&5Ah-NC^!x@sY^k2m5~f8ATJ2(NH|_Z2Ff4K)kVkW1ZLv7o zY9f(uhYbjICyS_8{M>{{XMo1{|`gM7W}G z6?VEEf$y;Ef#sr>o%|}eNCe+eBx(Nuc-F@xVdRTO)R$*eg4b2towW=1`r8Y_7{U-J zARoFG-8cTaG365qrrm)mC z1JOP3<4(Dh^d~FH_-X5^XEuUGEX;#R=!!1KrN1j1;k9dFr;UV<8Bjd>O}z)rsP(}aw-9kf9}S$4a_YJ|nt}}3j%PyU_6Fe;fCqD`*T-w}U*ki8IF_C&PCMhg zrzRQzQ}%v>+X6M+j>6XW{2Ms$_K1Js)zz=ToW9BPx)>ViSy>#>GXkfm40_wH-;KA; zl%YA(k3(k_w%a(%fH>ZVgQ%v`4ZO52_>GH($DdL>7j-!sBhPZY- zw=19`YV5|kqf=>BH!Wp0I~yn`QSG+&-!Z-{c$4C*fLAHZxqHKT23Z`Q8@^ctvert% z#R9UU}PrdE4ZBGR9iy`H-Pr@7(n$by8+9$2AsF6T@$stC~*4-~_51OT@!5peH zPjh1Dj!qYR6t@L&K3V?&)JgMbXe#RB5NVIVr$;uv>`O2RI&b=Ku$;Io^81*Qih6=+rHn9Tr_`VmvC_a2EX4*AC$(eY%n zHcwq#Az`5;s-ucBKpTaP4S=~jwxVo4?&BJe?JCEMj-(Tp~)8Yx8a0N zahoF$YK_?RRp>f?KVI0JO~C>{T<6sRNa$it{fDRh;l8t%s|<-PR&vB&V)7$-F^Es`Gnv6;N(3zxf{{S{Z7=^hP>9=ot9A_cPpw20nR3)S?RW>Km{@wjB zq~zl4tvNA18eGpam1LGyV7srd{^x949G&6vb9nQaGP&wfnst(QyA?|iZb;}y`^0C5 zcy6!&U_`Q~ zhZ$m5X1(@3iQg91Tfo`Z3)1JjP0UU>%brU5bufLeHI7P&+^dj8YQ?nM&|9^!Swlx0 zF{;oTqJgQ(ZvOzT5##bhPosu3?v)hdokk0rFRl=*;M|Lp&`PyjIR#TvhNwyNI%TMP z0ChBRqsnh{ZC2_A*SMYYhvRRRJX`Rkm2wM%`7f72orQ(-J}j=xrj~CKHzB+zOcq^8 z7UasdHvtI6bIUqT2jSjNW>s}O6TV-@_zyU0Zdu7%pjb^}oJu~2v1n~W8k@FzE6;uv^uh5j@1mXfxS zX3Lv0s*;_mFW3OX@#;@oV&vo}D7k;1a-WANx!IZIdDUxWaZ5o*HdJIP0t2c-!%utL zNco+?XwUdJfapFTW#fK3<2;IHrl4WNl$BL!mQz5ge2d(%1Q19TC!+LRdQCRB6u43> zW7MY`vzs~p0Ki`uygkFUG<2Cf>Vs80(*l4jt#sXDM?G`MIsYSzieeVoehHYMGf5!G-J%iQEx;jk+92GJlHf*C<+qpx`=5 zq?MVB^VAn{sO|-p%5Ao*Yz=@GzANMOvgKJacS9CQPOX&QbIRzcDb?l6xRNq1B}w6? zM!4!UGlmu*{H1|RxOx|;(#zvzP;XZC;yfgc;vZz|NpD4VUbuMj@ zQ&dMB);eZoV`gvZ) zqO}w>ax+BNa`IhDs0yravw5~qFLSsB?~Oh&A3n${_-2EG!bdD-3JQ6v!Ge`+My5oK zOr!%~E~*au^f8}?oaZLWxXNgA-XqLuBdCNd6!~UrH4&_o+$zZ=2xTZWA0lZk))yAT z-bnh1r(pV*E(>#;JY&Lq3i4zb2MW_WB$3QA2Zm|{ue)@TQyR+A>A2J`tVZ6rfmicS zi8IWH?EG27Tpf~9tma87^7^pSxB!t9G3X@}59L)P>FzefkB)fvmHe&Z%;P40BjQR5 z`lw@i>YTcsS>&p4RzViJxlzny{o;1L>C!o1kX@>*IPwyLJCk}yAyrZ_2* z>=rmtBxZ7c@h_z6=nC9n^V`{G6QY^sEUjC{Gt>ymDPRI!wzj|k)+1rlN`Lr98`mf@ zFc8%(n_{~XHtUBDGN-A7I8`)e2Y8jV8ygdIZKI5J{{V`Cw^P)oNdi$a&r?!@>MWq{ z#QPjpZP}*^1e%5#Y0OX%4w3C)zicz{G^197;xms+*k9WZ8Qhb_StPQ{A=JAIk^caA zjn}PAh|2B>C%L&Jr`OeqHit%uuPY#`IV_I_O(Mq=24P!NAdW`1+MdMNT=_sGo7{{a z#TiF2^V&Hn>$83w%TgJ9YO1OVnG2G2X;7tZ1&9D1q+eHT0m^)?mo%d-E@+f;Q_Mce zB-H*WGJ>R81&bb?ZU^Iu2N>`V6Ty_$*7F0KGRa1jH>v*s&_@eA&Vs=j!*2mo)6_v1+4D^^GVS6Wl>6`LY!hy*IP{6!Cazvbal~1T zG-Z;5QY!9mrOp2UPtzY}eg|rwGx$N^O0o^);pi9wHz1%Ruc#pYIQZW~6tsMyG*y)`u+PnWO+UgI28d|Km3DE2~%(ngrKea*n%zXgjydG*84gH zWr`FV*qiQ7Ht@?r%Or(G_O>^yNg;nTTHS5e0?L!IQnH-~xWK-88l8exQ%5wKjg(&Z zBIFDTS~VrsMJC&O;F`sTH6dTlc5gS;u-zYsTS6u7ZE>}S<%lJA7_9?FV+eLO+*n`x z<4*xYc&Y#`({A|EBH~~i=~8wlrT+lF4y26DeQ|};xP7j1%d>`FYb28LgNRAg3uM&_ z+iznV4ZS+!;8Zc>&~YUsRoQH94Asbn9hADWfnY%d8y?vG1?OBn9~0yiyg4m!iEChJ zOs=NN7!iGe$IO0ye!-uJgU2-$+>+*(4rX~+oXJT(XFSvr#&s46rBY2+IzW4=YicNm z$}VGSg*!Sk_HSkU5ykUyM+)(8EjV_z8jO-UdTM$2ew)Liqh-?2!oC<-V_M1lrs0>(djON^-P_ zifM9vL*zbhSHt{43^^64Yy?q9LrWZF`Y0hb9Y)@#5nqU|VsiqQjb=3*U6@O%O9=d3 zR_aFN9lF?b^vBMQ8{)730Eml$DotiVm1I@2#4N7kEj}Uiv6U_jx)2Aj!<772{{Zm; zaYk|b$B(`qIA1)PX_iJon`IDPZ}&h--{Ft{08}q=eZpnXsi`$c>+F|_Gd$;+^tpy= zO?^x;H`!*Zi5aO_fHlU&OGehZl7&fEWhTr)FORgvn9@|VggnKN1v>+!i8lJ}j(p{w zfBRB$PBWs-xts78lf_5&fR!~_cQCTA;6_H0`WmQ~3OCXkY&7JQi_Kwz5sMTNH~@WumMRUU&MV@7R7_7EeNF}oecqq*DH z^T3pnvd8}bBHakJhqf2a>75ZSP=q{6F3ZmY!*;Lx(;guogA|cl|Kiqr-C(ZKu>*Z~8rP3A2J3 zX+%CpTajy?{jN{X5zZx|R8uLClne+W*FWq0;oL>z&7sj*tVY9cf9dsX0mP*wrv`mW zqiuz^9>W9faT_2m#|_oAh{z<2kV4+Wd!6rZY*>$EbVjpPkwKSD8k#F^S^@5oOXXd`4^NwZwIufwrrh99hIaH=>ql6uBd? zR#DV-@4gu7;8afzK+FKzxV(6 zfs!Kmj4-NrODQZ{O8^gFdw0ZlG`S?PRwHQvQZ9Pf;!Rac6mqYXcifFu0}MIkGY4bg zwU`^7B=+okV%9f8E)j0I$ap4Bjx(lvh$$rq=^+f32~944W%WQ3=mz**wfcFuk;`?npRkNW^5j zHOnscUZ0QGTuHN0d0}qkMu5opnmY62w@k{Kgep zN=X!5Nz~p{xb^nJ6&Yrc;l_>)?i3EYdi=-hkD4n-urSh7{o`e^1Qr{EjWxn-9BNW_ zA$GnItD&i;)J$cN0zG&6;|W%Z!Wk4JTgulxFwU3{u{!E_Y7_`mslS^@*#5rb08}5c zMpYA0QEj{WpU)0b&dxj_`!N;-Twr-i#z|!ls{MVo`uX9A7tr4waCIqD4Z#{o>+SgB zsOLWEzc#sL#hedgoWOyNjgnnQ z+T?t`yLQcXRXULhOLDf;bJYEPbjLeS#Dss8}-Y%H5@thgFzd^px! zcI|Dgxc>lH)P>QBDK@M`iQ1Wbbt6%@RzKqolpJTA<@q$(5;DaMrt%o!0i@V$Pg_`Y z_dRelRKhe89c*p2+iU!fOmFsSUDVeRdSj~Gv#@x44z=e*$IxFb<@r>2Tn)F1x2W&E_ut0x@@n8xtYMTBl*bQ2aorTCB-xZ#pPVyL>8d9z%+00lN9%l7c@bMA(qv~hk_60K!?6MHyY2zR zlY@L#p!-57)NWLIcEc@BUkyyHQw35DY;^*C@g?BNDJkR7-f*e4znGo2{P4NtTUlCp z6%s6-T8|7VZNc;3sRa7|xRvt$39GoPEz8;M<5on4j^f3DxIZiJh|L<#(upI4h1%9{ zo+n(W5!7+^NV20M{_}vbTUy>K?ee!=P}gVKp~*Gv7XJXTPc-)6lYb1ixtYQow-vSA zF;C0%j(SQ3nR7SHDh!e_)-RwqRk2?}EPG;)%b;lyW04Pwwbgr{m%ci8ocVTDPsf$i z*>yT3sgR>jJ8rB@ve;Xu-F6#asqIPd7CpE%BGsLQ3Vby=iRM@t)SNCU3yw0y3r zf#IX2peCVO%_|Qr#@l-O?SJi$re6sk2K*uM4a!W8t1;mx%_XOzju~nzYU;~C!Bs*< zPe~Gh2BGJXj>l18y9o|;`x5#07F$`w**;g0BLggyStMDp`%sKAF~_OU#$Qc_@>=8X zZcaQWjr>>mi_cFybede5BaTfs403T*?AmXi>GnsL*J{5NPBGMIv{^G^m9;3V>%Kev zzIm>SFO)pA_>#_eBPio++;Eo%aP<~Wl#7^WRgy_O$*?ji4JbQVT&UQ2n+^F?9}hgv z=PfJCnNe|6yg!#DYSr`&O$4Yrwo!@e4~)QNz`*K0!uig_$FxO36x;QtTG& zgJWU7!*T%zkKljDdj9|^NhRsR5z!x{1$3zAoHU{1o^Q&@&s3k4Ukzo6;q z{juHO6xE#G%y>UEr^)$;%8nn%ye2htd1iXmd4)#SD$>Y`4)+4ei~$2y*y0-8hcVcf zQ6V5)k6ZnI82i?3N^*>^*w~Du*{2O~Hxlv1Ls0P6RV7T3F1HBULamO)j>D&W4e;e3 zA^E2677h)S1b`~)l@$K~__uGnbN9aQz8`r}#2j18rmoKTe}yB=qmCpMa!X3{MNR=A zGieqZ?dIEHEqqIOQP434g67YR$0XP%IeQJgDTC=?ud_P_BC&d%cWfwVSUp`e%&_GLSCq=-2F}WuDp8YX% z0@coQYZ`I?0Bcg^{_2%;_kZ*)EOTnn#5pg+S08acX9h`=JrzZLL|!#D6jcYtnj!xH z+*H%3um1obE%UY?IA@Sw5S$}3SMcXAYx4?CVj`5VnHHq(Z5_qgzy$e0BHb)^yt&U! z%dSB4@MJu7@g>KVx#tsQq~{rh23ti8X#$@mJa;xnWB&jJ3YxaLx(fdQnjaB-WtC^u zT(zR*%dTDrgHH7h^47}(T!eWQLWZ%kX+ht%H1J~Fzlf=fP{_MQXU6{k4t&N?{6Um( zL&XsD)xwgXRBg!#<~oQYbW%var$?WL{sqmlx<%%mLBy3b*`TfzStS-*k}Yja(;lQ5 zEFs*09Z73yxs7)&+DsBoX@7>?5d|IeqQ9+ z9W8xORIHObr)L!EqTxc7D!Q!ABONRn!&SzZZda7%JkI5k@uwYT*|v3=qxcP(=K1iB zizlL6s0UaqPOZYL3+k{&W(N-3Ps;8~aH&YRXE&_RXbd#suQ#58X(osgz9Yv=0Xy$? zQ?Fnz+=~t^@@Zg=qLt&i%2{}Vqc)C#qNSE^4wNKlH4E%dhN?Hd-AV3SsbrE^sYa=w zm0fJwN?U7O50&!|^6cR5@&IXbkJps@ufI`Ds8q}x^z=D)zyy>tNT3W zGC&e|E!5nN?d*RqOaNA}QoANyP{|m(xTj(ikWS{-A9Vgl(-)q5%YI|jn%vWjd4XFO z*(4OYBoVf!v11w}a%lu=xv>`SYgktK?rEM68z;;&x{2a~R!nEm&QdQ&?RoZ1~6YY7_ z%@~n}=S}VDe_IRT!boB$V_3?AU>8Q)cg2^)e-0lHTra{IM-lTAkMpW(yv~eDwDi=< zMynk}0EbA}ozJhKICOFDd<=^#eg+U`oSWhncmjc4tB?j zcUF_oh8Gz7N62WhY^Ro)UQNR}4JKWeQd2B+bQygzLk&D)!BG(uDy_W$7r%UbT=-qc zsQG)(n6m0gWSMF-hytlPx7Y#Pk<#5x`1>Wv8p>S1m(&eim@HB}3dJUC8{449L|nam ziS=vz6OVJ0tgvN_%Xb#N`dgsFHAvA!tEZ;hEsil3cay9_LzzLp{{S&<`e1sz;*HJY zt%cs_Wnf4jh94BmDboP0rfDC{Y;SRXzwZOXNL7r4F*m)>L4sPYmsw|>0Paf<$9wOD z>N9-4oE4WafG(ZyDBtkzaV`N`HjXWY$Ya>su)%cDvPG&g7WN>q-q-?@G|K0YUcMzz ze7BGQM_%}I8IDBCCHrMNu&`F~2H)EpqIIJ*@;Gpw5x8y5x{MtyTu~~QV%M;+9WRDj zjQ1*8d_Ue1FUn9m^!2|?dK}7*uAoAd=x^A#x%ao@gO22Lrbr`j_VVwx_QnsFrsn(a zdtnr+qNR*R^+*Zi(v9;7ua__@rSKe-W@~! zH(Ui*JW{&N6w9Mwsd{|xgr2gTy~Sb$^%4ty7+j{3IY!u0v&|=n@-YPYZMGxac9KCA z9cn_(>r#9479G0Y{{Yhuit(}+g~R$X8;?uvi1#yIdVHRqyiehzb&;!d1+@?c{=KX> z#cZ;?Y_o|aBm$L`)j!1y7frWeZ})(!Gg{ht#Bjh|^jBBdd*M2m!op^am@D?d6H?wJVUk?{ zk3IVQhu3T(l<1*eM!H+>bj5iV49!zBKp6`y#{Po-_rfx)QbFQWNxGX|MX*&ZH8DdI z)apUdvAxgv!iz;me-b#l0h2jl0AXCmA2h**wqP; z&74v?MgoIvJDb@50KZ%?<`f6PWsXAQRf#{;`eIdFsNoBt+i!Ayf%L;2Tz(d~62{{C zm~Vmvh-NWPs8!@p7_6gfl|J}msi>!7!&R(E zENln0_Q6DzY>Q^d{%;HxCcpwOw}0=5zHJJrV_~ElTbnUmN zrZ3}VERh(@hji7wwN8-*h%ThY6;AiZIksRA`q=DxWI@xb(g< z_4WX&*n?{_+vm0wimn=+GBaH5r_1a8ajj$!&mFv>jr_!1`{U;At388g^p&v9Wq8Hx zEUY@V1FX{_`=aE5WCPoOuUsLRQC7q?#H8D00lWL)D5cCHawUn_>97jDM|={OGz(TO zB`i_MLJ~l=fj91OEbmts)>FhbyB|^W!jV>4+DXcRYZSNM+paMsbuCC}B71CavFZJ< zhDGo#^fpyjJ2;G;1#NN(-|OnwNJu46bXodcdJo6&!LrdsBz___$x)<`HXm2Ob+j)k zDUA4Ji#cwGuKt*GQC}pZR3|+C8iXtey{?-coo|k7_`RMf>Y-6<5n#-B0NmSt{r>Y|f9d*S{+3&&VELC?Pv(wxkriYiE!UwP z0rj`x_+e@mMppY$22DLncO4C}=cRixF#w*drr?|Xbi$ECBudQjkUy2RTE_bit+BA5 zC!KQOPDC>5(uG5Brrj)0OLWG`s7SsdDQj(i>OVXaPaLW=HQv^?*SDZJQ|zVNPL(3y z79+j=h9Xi(Qip5(|;Kr3cj`u_md77cU}G(E1Xf5U&*1};w|uXbUk+kkpo>-E7f zWKtARw_x4I=jDXSh@9wbu?=9XW9U@daq_{<8b<(432--%oxrzGZkTn0fw+Q7B+Mhq zXEgGXsZ=0u43Tdxpe#t(+usXP@HTsxI@8inRZ75UXriZ)i#FR@+uI3p5a(e$r)g<; zmMGIPmW}3L4QeT&jpSSQU1Q2fHn`vO#OIG-%P6Ldo+E0x3EWLQQZ@IuItIsadz+jz za=V3VVb4xo`|&dA*s91Trbd-T?XZ(&?|a`4yw%`1t7;JB++R_en3q)564j%i>#~K| zei(^l+m7f3k#t94tfggW%;;{{H?_yTufJc@7LOyoB-@htCS#Y;WJ_80=o&PUrwSCC zjqh`ApH{saHdfTo_@(3^^ei?Xo)e|}JTexVX`T-P^PMaTB%`Mkt@w} zIrBW$uR4}gUml^Iqbyq2JuiR5`(cZSczx@k{vAHHAP_}`w(0#am+uH-U=Zjdp(CO6 z!>u&4$q13=h@PYp1+h;qrcvn>r>c~?L`gU^Hj<4db_QRz|+SOX7I`!H5DcO5l8bz8*Jb|I9AVzLjHsp^}gwInsL!z!-MAGP&WmyDr zY4GJjNZd1v{wEeEBJxUjxsQ>_T{L7SZ%wFpirX1fSey67O_9Zz=Cay?8j0oXutZ#& z_ps@UN0huD$N3Kz<(d9j!kMeKUs(-HJP5$9hE@bH=s_Qz{jui!#=9@%a$?+-roXX? z9!gn%hY}_PPG&6wfSjiWJRYsIHl#fUpt^ z8YY(g_OsX_$A&jRFz_FV1Dq_E;hC*OoKe6%Io8oFqN)` z!B7C#x!ULM`s3#M9X_iciG~;2jUEpqHF-Q-F~zjnMJ)98@eCHdj9~z-UA+wPo$Pyl`pBZqNM)- zO6zoz_kHnKT(152yy3oJbJyWxg5u=GK5N2@MHM^8l+)CTNF^EqGC0(_l`p7jvXZwN zvCAC5$+KD>GLwUI$*N$=DY6GS*AeDy3&~=2tT9GQA1`*bk3jq4$))H202AljtKenH z(zbIZT#!=IwRnZ9B@v)A63jHHKu)7}I_`LUBmnt25}sj&21f0y3$cl(@{YIkn9k7x5CR6B*;Mwv`1c$9bMkUNGNt8TCwXHQWkoBkIL{JjVvZGg7_@Mz zt66S!UjAZkKsU!Caeprj9~0*=@c#f0S4&4lDKGy3q?D{k2~h8-YEVw&U5@^{VO~ul z<-E(w4q+UTm8pfo&2%>L7w&^&>%J-LFk{qfp`UbRX;*oCBEcAgYg`@0jnuNY&bp6@j(FAaP~)86 zoBT&xnIysf)m@uSF$Uz=E3s8kb7cT3?W79~eH8eV<4#KR--Q$5jt($J;+l#mrG^-( zS<)sdKmtQ#b_8EamLPSpwmyIHKfxcx-buz1aFjfQ;!M(WIY{TqGS{hrmgEO6ml3J^ z*K)fb#~ztCC_&@umtQ65DAC;?0z65}&x<}EkC{By;B2op;+_|C;8#~uiA+wYG|Cn3 zH62$3-p6rf7Y`h<{h}FX1AIqjhl}#8yCRo{!KcV-q^X1wx}23(lYWS|; z{KJgCAZz5{{ED+NY{k@5id?c;8h3XjA_ZH!6JcO3Pfobd$HGnaJw3e_-^ahipD(^Q zdBwstJj>@j3gmQ9NoX?M&YcpLJCMa}rYLnV>P64|dJ{o&N8z`Ca~inYko;0Bs3|Gh zT;n#)^HuvTIX2NBimNCe{3_>bn+W6O%HyMQtZ`W`0BV4zUPIr}w46ERy|VS`CA z@3)GI9I5^p0_NNrlx?ECqxEuBd3VF7GqV#^78)3#pr!joOp<0Q=^Ec}Dh7692CD@& z7S&=v#iQ`w@ae<+Z_55D;|%wWbLeErAjJ_(kYlbT-cvg~ZpNFp$ zl}j6;Y2{*V;}$xc?|mQuHn<(;ZEgB{FJRm5TUoEe_YGuRr_TAK{{Wk3?KKhzA)ylo z6R|#3U@TorFu1q_bLKWv7UlOZxMq^5XHva!%)}lxabH(6mZp)(A}F^@$!+bZviBhE zh{rAX{{WvnN5S<2jq7BaAX>yP8PN=XXkwrVD;%(;=p)O5TdPf5eIxm+>; z67+S#Nz^C>iSVP-sFFsa;0}RJ@ZCM^PC;gzQ?=09mh#mn&Z&R!%z<+BuC8>dsm{`M ziUa`p%IgKm0d$K1*8`>4RD9dvdcF(en*4^TDpp9REg`H$ic%ZQ8Ig93upzYE*b%ww zjVk!Jiqg5HPAJS`k~tbkWJ=csOAtu}8-hr=J6!LG{-&swO02^$GRY$|Jd#RFNW|Qh zB;1|*;j)tUM8(<^c*;0ra>n}x2lM{`Uo3RKe^<(UyO*3hp7S4wYAG6{C`f6Ro)aTz zu_s`SZO`l9w=xY;!ErDq*S+>TlXJJ#vDI~)VZMq{7HWtxnc78(nDk=A`M0qhzdTTU z%;tO)HP4Y`Q$8LSURub%i;lY=o>Qjd;TBxEdKx)9}qF1lm7s!IZm6AcJcR<5-W(X)V0nO%Rm zz&KFcZyx2jS~`*+j!rYn4}+a^3!=zXrB?jUp)m6GBXk4 zz{q8fF*MSEd!s3Gjc(&{)L7W#l@AeSam%D+`hfu3T3^!raU|u>4)X_@`Tqd^JHfoW ze8Q?KMyjY}NMeeXnJgZ8=8%ZsiAhjok(EJU3Aq4+#``QwE(iKCMSoZRTPtRjQsXM@oP+jt(2Q9pJp>a;UosorCMUB=(m*W|}Kc@xdb z(MOZ;Mt?qFx=hshc&@1sY8M6CHX%jH-N?V`jB=-!-wyePVM&!`{Fur!4AP+Mj+cqE zYIb;&wVRwm>_0*xpL{$dAE zMyzIQH46&c?p)L2j!tN;ICqrUcM{JX2M%!lZ1q(H2&rSQoHG9a@-~o#0{gQN7r7X} zISufe!d$SfgO50qGpo&WnB&t&9Zq8{OgVWw5~gBrCYudFC>J1V*@WkWa!iASa(Oat z9mzZpKw0KR)blX74DZ#qCc~iAKnga&-@M+IUkoDwZMCVkF{P-@F63!P1~m9V8Km;O zs+9HU;P1cJ>w%-G{uxOKZNPm!Z;g^VQm;Ocq>ndy0Qf)$zYHTOMR6jj`Gwfxq~zIZ zF-Ru+BCRnx*VgvNC5{NmeFOq*bdB%zwiBAV2@>L4F}D7v9*%Oc7HI)zTZPsBamnyY zzhe@mENDb#_doIU`St$*c-FYnlu;7^ECtkcHv0UrvV#mH$^o#o&iA;$ti;)vEw-~? zr?w%<5%n6#(z*s{flxhxKP(TL()f@d6zl*%#ef_AZ`T{tRqRpZ79#gij|%AxJ8LSc z!pH!*`S1ASw<-u@&Jt9C#9{X*$_GL9+usq2swR_#K%lY-IO#m4MUr?~C7z=oFuUpa+5T@oN54=Wr;ssfi~@QDxiK^N28rYAYw8`DH} z8;3nu+;{xGScd0uBu7m;fvK&fTc!J(;m~Dy4V8MMV(U9t3uz1KeXz@%RmY?QBVPVs z7XJX|-(I+Tu4^WiiolP(u)om5J$*DS<|@kD6LG)K4pm?g`uIex;k;_;s^Z;`t{Afy zj5$?@y~VC>ZTezIQ$gY@Jb^(2%B1c2{#a+sXb?%cTN_zNW6%&rCH5S8BiVzbw9O!l zH?{f>ov|Fwprwr^QkqG&l^sFEvbr$<(&V-FeeZL(ar4A~Ii(UaO94~2l(%d;50j)?u|3(Fx4 zdjL1~IJE`91Uo;=X;AphO{K?mUcUQ!{Q7#zAa*6n&7Xqb&cG{(6R-4n;nhq-~RC);tDo+9Dqqv z<+$3{#J4o2saL;)btG6gHY2^Qi2iQ^0_G&KI~$L=w@YI~6p>bOA}dV$nOLbOU~lA$p{J{aIMRZ|YzIxhJOfja zDiI_yk#l7+kAH8G$EN#TIc*nZQD+}}e#aW6eL_B&<75GR*8~gy0BkjOCV!+FRIZJH zs9#4xcnbSzYUG^J;YmS%vaUA%7&!x&ac~x1LR!L7LhV#QAMoSCr(|xhV zvhl|lNh84AQ(hF2Wcy>-HTxU<_WuAw7qs}Nom@<ZT8 z7VeW~i7a{mI|aDEL-qKKRx)z~jUpi_c%LvJo$p%rzpd~80AG$9GYro%&K%Tc`GrMG z5DPU;L1S;59A3kx*!LKILs9vhdpa4Go+O2xp(5wj_CB^ddj41@3Q1C%#gL67?imkX z!vzXvecGQ;KX8%S*j_kbksrl@fCAxI_P=jWOka)rn9@xuKr2M?!Q#fs9ku|Q0x#d? zwg@7kBu!2{#=x&oet1;0WR?`S*Sg=ezFycxk~VGMOKlJ>fSL2!;f*f7B?Gu9{3VEdYPv%Mjm+-Zm2xO zj{c_){5wfmMVnF8W|=(kAejmADcq@B@3ptK{V=`pLcJ-!V(G|u&xZ2+j-M>gIIgET zua!IrD@xBhLNBuGVWChSinkyU&~JojIG2TU4DB+UlZdl5%j1~+rZG)AeXX=yg0QXG zH$6z)gJIpnFXnFtP*W_~-ft~!LPg=z(Z%90jdlY-P$N)3;tlq_h77OfR|?i}*MXC9 z8s!l)6IS!1#-((d?263W-rx)SVa_TswnwJQcZj%_ri+ehb9|;B3~DJHRdm(?LD&>K zfwx1x38vzV?>kzV^9;oU%&MVQoGQefG@>n(ZTZ^!l1>icT7DVhjy{#2Cd+ldexYgCKKtLrzjp z-rCuS5-da(az0Ff=SIY@rq}O*Y9oRfQW(OiWwCNDaaGic zlg6Ux2Cfbtrj253!bEfT;0u4o=GZrf@)&cuElpFWZOf0nZTfzm*pB8h#?`tA3afG) zjmaOTB>V<|#`+}}UBcS--{-y&IMhlxBT*mnz88j$a$&BL*0qhmy}Iq!6TVgCnFDcs z3>;UO)Ww!HKot!NmnBa@qT^8MefoCnVfk%bRC2Rb$#Zf9E!3aw_434rfokNfjBvjZdfR=1lR<)IHhT&dc4u9^9;a9UA4<3u2|gfx!m8}?~a?| z+~%P;p09=e(=?PRkb?ynk;G6xh{ICGJgaTDHrsB%W1A?b=)TmjqCqMy-^6yiUiV^6 z?f7)ZkMTKiJalIOd@$uX*CuJWlZ1X9YWTk~ zp2A$xr#7pCj-AM25dsj}AnDR>KnB~k8LB^MKLf?Yu|b$}(=r(aK40L)m&I8WlU2-H zc_m^1L0~+iSAR8)g(sSF_OBsVnc$ERNJ0(nea-e5h}2~ALsa!O5@->)E2vzPjjs`p z#^cHAVvm0X{U*8@Vw@eKQ_ zuv?G*JqP25e21Kz(cr2fm2i(6SLN|3Ql^%2j-+(b{Y9(@w?nwczR}KJ=Kc(hM`!#p zbElA8v*7&uE$2rTQqks9X3pzECGg9|rF64vDpc4m*BgVm*Z_VdK0dj3lkmL{ExsH0 z7l&j?D>`luOZb>~! zJ#lYR@SP71a5C{0O~LX~D&^qMo79Czd79g6mBOvM=}->CX58R2_^lSdSBo}e+r-N;?QJu(;EVd(Cs2*ZBw)-Bs zhS;}1{Kw87sErFYhVrKP*$TFBC(Uf!t2FDEmu$|p(Il2bYgow22VTRW z*dCZ37GAt4t)3c z_Tb-$t~JUy%b7fqr+IQmjiQ2sIhsEhhXf;$<_dI+fI;hKQ@%QXl;5-plAkxAmzrET zknlx4CP$`*So2n=lm`V$qT1_t`mK(ukz;#fnYceC;%vWxY52mjmc9x_p^{UXRMn&} zES`vDWeT@81yuF`GhAXrPr)!}Jim{Mc)OPIOGGkOtIW8ch^k^Wl~a2B`@Y>izogHSe1F3ny{ydZvuxI`hDy3K3^|rtmnBU^AcCwS49j9} zIyzhfb{k>%@>`@OxjFJ$^`8-3qh1Tk@=D1ng>@|{YNG~GtN6D2s$8fJTH|FKF)Ziq zh7NS|NB;mL;5hgnll;4`u7X&QR^~M{#xxQJyCiBZ&PBj1$EZ6H1{+Vre=jmVG0M26 zgCzawB@SIA3pQ^=j?~Pg5> z*SUVE<<~Pft1HZMYMhrXsH~4Jc%Y`qDf~F2P+YsVvtHqXM8oEWz`W3 z$0?a4sVvYd8@sS1mH->|y^lk`z8#86sSeAEbNxehVWP0K+5#=fA(qGG_343-(d+s8&H7qVqRZ0FZ5qA`gmQ zSmoRom2fv6@?kiIGN^47wG|^zLJMhSBK!Wn*sSVR^p?;;0@k;t8EP^Lt|8(&T!ki% zoYc;U)h}`*2Hs)^A&p4z102APTC#=j_WIC#*%fv|F5Vwp6 zV#J&EJ6vvewfVq*v+t8@m3X{;#5tu_U{CQyHC!-75$pE~GaZP(n|+82hR%Ccvd%1W zlBAAx9LMCeXrS`8wZR)J=I(F#-rws1Rq>A{>lLLupp{&(Wo6D@;{O04x9f=~7yLNQ zSI!Eq2jE(xQBC6r=|YbdMs_yvz>E?|{-k!rwZI<*JeF*~!sAXR%xY`ZeDcy(LmUyX zBxwLETy(iCeXY|AbBb0vFqF7O1qU5+$1J9ma=uhXl?EcQO;MUlC{lWzEG_w4z6{A{ zpv*FuCd;^^D$C`L291Vv>=rh-CDBs)n{)iF>@F~Ff64w1$~gBo%D?hDr_1vFMw%Kr zWs+&$=S)gjh0fmg>A43Gjv>mMEzH>|GRo>`PyW~2&@6;+zK|{WZ_}y3=Ev(}Te=4x zNn)P_p1+Ft2PooLW}7ZNM^8&kW0rXXK^;WfdC^&QgdfYSD>bglr05#wJl^Gtz!iBr zNFQ;eR#=U@S$**hY`(fnIVbOLxVb+p16h^kfxJgRRDy1MdtmWLWE4IFa+IZG@YFjSNc z9AqxuZ|RA3LC3OrlRqz0DE|P(NZD;ky8APlg9uUcllZPtGxzDK{?$}#%d zY+RMT4ypZ}JWawAb6%e;gO(XZ9MubN-ek!nv8}JAE_9{X0$Rnrz&QFpM^)rlY1l_) zupNN;W9AR+_DZz)g~?Hsygw8x4eeq@?s|0?`e-!MR5d(cCZ)c^VsHI+#wV8NGHc+! z<2O|IeE$H^4ht-ik$WwT&W5${q|-iRRG1p*y&H4$!cfM|puDSg05E6of}vPEQg!aT zed~+*Ul|gNz0G*ZCLt78lnE@Ljg7r==B6O)Shb5CyL}82Xo&_$$qi$BbizQ?!zPE& z!uuHi0KWKL4oxvHvmlqmdSWbpm|yE-gk+_PrJ15~yIRCr-#*^Bb2N(Q#Ez)!Z>HB6 z0;+$rPv;46*WTNYPw9Ytk_k83Vs8dR(*#w>XO~N+ zjki5dUWW*>RMMh=S4F`iM!|zQ=RWekl1V5ER{d#^_g(WAzWOq7Ubm1p(3X7EJ z2KM=45uH=0s%AE2C#e^{__K2iyX_4nzyw;`UfpkqHxkjUIB=>!K4WAXSbtnPEUzv| zoqUdi!!*H|lcce|y@%t5%Gnj83}RqGw?ci69qUAQKqX*X?ve-Ld^gJswzJynyD07N z`F~6~bSz=4j3P+VDJx<$_xTJ)vnH6;NMS}-><;3`-xB)x)?^+47F(7-kGEc!VXUW> z2b8M|S%}zy^T8afjnr#cm`W_folJTT+g}m<=8ahl!bVf*2_T-Bl4d$YhVha`uXXEf zx*phLtYj?Dqf=NNhTD4J<1Ptl_C+%U1WKYte=mGTvq%9X!yn$gy|F9Jd?iyPbk}Xy zQr_F*GnmIZl8+b)I;h(J0G2Ldc9H@^4SaJ?0eg!MfDOps>+r-|Jc3D6!a9;QCf$J` zbQbN2g?vF#q=g9z4&U3`5&Yr@(#lrk8;!4H?f!81y5Ry=yg^Ghh|Mj61HSj$wXf^+ zF%`~`G^(u$WhgIYw#1*;6YT#0E!J4MyL1E4`;XW2#49s|-Gcg?f$eei{{UFGjj=K! z8J1fD)e)esHHTVGZ1IjX3oK5=r) zLu`FIjlQpkK^p+JZNaf5Tethd6Bwp&u@0M9jfnpMtawP%Jt!H@42|}83M>WKo}WA_ zB}tcEhp6(~e@rKu<#9ZvmOuy~0=5_Hx&3h2D669_4EorUVpq7^^TSe130QQfwA$Sh zcI-C<`ri1~Ng$LYwG{hW;C`P&2>q@`Ras7j3uYm%NX8`0(a8b8H|c(W_WAb5abQpM zvbFf4h8Ex%lf=-39YJ=s#Dn@{i_(2k>U$96-)nW;e7fVW{wyni<=nnvT!tX0uqNmA z#~-3<1i}CvY)z~^F}I+CP^5XUCf}^TV|JXD0UGuIF=5-=8X04d-ALJhAda0nkNd)l zNh2U=UgX-~i`ZLiVdJq`MaHd(2HW2nN(jfBvqh84f+oed3Je~)l z$Yzp_G?n{3F=my4wyW+=_w8-5Pc^kxOk$I>=vOZOCH@a;5-Dl;V<*U}YAmX?A7_Xa zBwJH>N-TSm`r*%xJ}S8{z&tBa#yM9g>GSFcOK6^!VGM5LZ6LfOR_E^vhU|Oe=hjWY zT*Y}menr4pyom|a@wQnwRv=$R^bviBV~2h@uIFzYWf1VyR|94gloX=>0B3@Rc6J8a zv0-2~y_7Fq$?J@axHTV{z3*?wAJ+RG|$Mn#lH zz+cP-gR`BLfGx7@Y=Y+YVd^Zjp8_(^1I5bvh(`y_m2j^cRq1q8L81tqiM`I?9nXKA zu)i=fB&@DQR3Y^FfPv8fzeNrKziZ%r3sb~gQB_ecX*~nJ_oa{{fI5KW9V2bX>JA9w zJf12#m0B9vCX65gNeCeA&>I2ydgART9!%*Zh8+WDm6qi1w&NXz`!+JFX(+SVs^Sv6 zMA~F{?koW8cI$j``KyK^3~~*HzW)G}pQ3Sd{4#u0Kf~@_m?WGy`GoapWbo!xTj>_P z@3sB6>9w(ut*OIoM`@>v9$nHaUVa3p=KgqNiIv{Hj+F%3{@;+qCZ<|?NdwFeiE(WQ zU^lk-mhp~dnsMJ2*70pvSfsA0Gcs$qTb+O=#Mo`q40)dlPb>ydTYkZN;HQy zgPUlI)KW=VP-@_WfX~~nUY^}CD&ZXWEXg&;RV+&W88>#gzv0~BiTG297VQ+OiobL@ zD`0-F*S<4Hz&V$RdWy(Y-t0l&3!cd?%6THBi9TIe)ipnCzONEPrQPm#J%6?)e5Zy% z%#4@DYl$;zaMu3-{j0ts`3^^xq%oyMTB{%zf5X4y?TPOvY50DVkFr{Zt62YFNrOENAW#Y(e>Vw_%Q!?DW+cm1Q{l0!ZdG@Zy!-oY}QG{S{cp35kwU{r+$DQgj;NLU+kjh-w$&8gx83;r-<`T9my%F zUTUFNl;yM(6>KCnb3C#&MDMX(M5Jf|P`9%lr{mAs!N@F&g>xG25X$o`+cBgs95iIY zk-Um+b0Wz0PNz@?;2e3s^#1@){+wXcc`ZlcJeQl)dt&92^ws?;54(QpJLuW*(fk)L z0gqGXeK$X^_0`uGwkfvws&%^hqvVIgpC_p}2aNO14b~@ovc95^#8eiE=8%K!x9g6f_^sxITu)z_aVOhhmajCeo~pjGA>boC zjKqkeF3ck&lXl;ICe|gn3z)UF-@H-h&El3{3~5;9EU_-<iGWDGG?&S{h`zC5F~~C%r#v{uS@!2wyAPPiZYTck>7U! zktW@a-k29DmL%d>XUywM(|xidQdpKUAa5|!{MQ#2>5h@&7onksw=~UYv!+?{7*d-q zUKkYXNdl`NL@rg)3Mk!@FaQB{?Qgc|v~DRYKD(#V$u3#V^21`2`OHa8EI_yvHk-BwC7`rl&glI#j%h3iy%4z# zn#-ITDJtczuc(b>NRTb%F7DFl+Q=1BFo+GEoRZi$gM@N~$an*d`1>--@;aIl*``av z*`#wzR>ek$+EC+67Z0ht#nceDK4o>|?kwewQ_EUj8{keU&N2!N#;z#qggNYHw=;xk z(+p@Of8l_tqE-MY3wxVh^kW*~4~{xHeyFfBDN(6pBE^9M+jSj&V-pTSXZ$z9`ORg2 z5N6cbdr3g?(qyt7%F;hR>*`UQ?1DEKeou6X6C$pS@{(qtlPs{HRZ0#h&m>C%N$TWV$_ zOA}=XgM17y$}_O`I~pM7k18m$yo)q$FO>a?2N|64WD2rKS$7GfoeoGEnMl~_@ebz` zz6JP|td*y z3L471!nNsgT(2<99*&7hsc9ICj?Mh^~5 zQJ<%LmZy&-qrSK(P6FX-9xLMt42LwT@L;Q|RFCAo} z`5l$1kW^>XSIVEL!w*qDY)(jyRW4n2FKs@IDQ%-WSvoWLNjKBAEnU&1?V*Sdi z_O9Rv$@_=@0GD7%?Tdn`c$XyOC(EmH{$#B4QWi2&=g`YFLfnrG&3i`}H?ReZk_O|h zjyh;g(xm4901aiFDaG^T*(Ega#R32X@k*M>znOexM`btJihyl#aci>ht-tb&x=~3B zx7#DK)PbY#e=#7~bnJdudFMV|SCaDvdRg*Xth_oQ@UtA+I_j6PD$gXzWh_WH0028; zE=Y+BM$N1+{#*R<*HR8ByFMaoa~!)Pq>hJ(o#m=@sj8}uzD1c!AkYy(UkwiATn8Yj z79DHYI699n;d(sZi8-TNM45^-kkQam$vF}2W+kLSbAP+Ny?4Y^%&y)G%c+YNVlQn+ zx%b#_?Tb5?SsfP}WmI`xA20Ik&ZZciS>G_vT_tGKNOBbz7~)U?I*o>+e4v~)REI^h zin22;6FhWTjM5=;pqDDny#Y2ppGOnETXK$GU~si=b4SG)q;gVInHmJ8VMv-(mMtl8 zzE!a-Lg@hB;}H!0IFAw5G$~54nupLb_x=b0j>vnc=nnU__uCV$9^jaW4XS=eTA^q#4*E5o>d@$>4%QQ zQ?MGN7dBD{O^>bwl>MYIFRN2|kG-+Yy02mw-qbK5SrRQaAojiIO zQLDm=cVi&}+u0P`soUECh@*)>0B+*<9W94`CyO%8xKk&~vS>{8lan1PN3hg-ZO~hL zld$W8fW^rn&-nq#URm(=L0Qe5=P{|wGvOTaoV8QH%c-3I04zaFl`{dk8lp?MT|(Dp z&TDXgB(f?DnDXN(u8;SPG<9-P=FF1MPZNzP9FBoh$zWNPS4xr>T$)1~(}`<2igPHk zUNOyHqwI)0G!q93J=k@z^fudXTv$At;o9DQb2^)c^SZ>Rh@gs=Dp=1z2~p9n*7vu2 zZHoD1pskj%#J7F}{uOz9&n{=yaL*OgR6$J!Ueyd}p^ctHa20hpAr|-OE`71sS#Rv0 z_-RQ*@#dM+Oh~&lblZ=6MSs_}C%*;120WeQu2WaVye}4IUz}9Zr7YRDXP9`NO+}ev zjRMAiup5;vVQYX`8{RyXBl94!9nbXrFF#k=@o&cu!Pf)S zOGU(A5WFmtQ?U|5O`4>{9q(@oRsR4B-q>BV4#Ljl-zz>CxkHu=r!M$m;H=eSC}Wp3 z%9dAMBG)WNqHJ3Gi?72K51S+i&~F4(DIB zyWp!JB(EP=R{)-5%d&}OBW;C_k#pMQewdN)cg8k(%<4*hBj8_!Zfn-%6;6o;YbOQN zB|GXM2|QI+K(`>DHNf83hXmD}q~dlRAH+`vrBl?W!yha}k_$VI6pHp1u-Jobwinvi zul^vpw0W1r)U|2B*$zhfo}wZrlDo-7vH);vWuYI>r0k`*p_#sUa%bnIcgLWh9#h zw_o?R1E?|8NUD(=DDInl@aYyzwS`TJx!%_wh48g5RaX!(P6n-8d2RUOA17`=bXi<; z#93w1NjJFm#_y6>)1tK;>f-kzLC|2>GTG9oy2#o=Bm&m@9WZrm2})6AA&A|V)BIzj z8UeC3nPv48(lPMZ)lC^$A4&sY7;k<1-rX?| z;wnc)c)%_L7AiqIdwO@<4WVUgS5F-rj_h{n7yye8Po5d8s$MkG!IbyEW9jdQ-0GgC zCwK5{fE(!+BYp7{;sEJ8Yht^3Lbk`Q+wbj$#e(C=BUQ^(bVRSS-sF$N`e1sf*?|r$ zL9qpUcK-l4UoVa7VxoG{O0(^@Be{d9P$rF)t^mKkPwk6X-75v6JzSE) zOsL?nP{Bd#z5f8VBiWir>8wZz-%BYUi0O$nY*4&xg^@#FNK$w7{{XBoXB5hj>v+l% z0}h41FVB2fg|-B`Ae>Q8I_i=*+i~}F+jAsK9G1N&fTDx+vTHfr2WzfF&}6oq3ccC#_P{MY*L`C}5p9G+Bm z1gJWc-{pbUGK{WSl&!aYx3x~_vk-=f4l(#-PX4D;q zAo090qz#DZ51{qNfs*?-dch0cNL5q-EzoVb`Z&^BxRr@9g}Au~a>E*zK^YA&vz>{z zZHHg3n9NAJ*;(~nwGzYg{{S8EFQG?^Ebf{j(#HD@`gXt7uZzo&e->4ofue>HtVCQI<|&R%F`kWaw*9cFzpqBT7& z99oeS+Qd0JLEU!hZ;b5r)e@Yd?HzT)KeYFNvod1OI3|*sp_BxQk1JytV||U;h_(B6 z?t7ceAKD3?@NWr!<Ofv>7lGG`waJ`Dzb0>|(u1z>w zDUMz=%xNhzx1m8G*7VM*>u?WK_eZH8-wpiD;T4{x>arZ}qcjMO_L`c9GT&?15Wa4t zZGX^Rii?Re+=n)Lue1SF(tqk2Xv1n@)Sq9j*m=r%iBhsu4kgReGcy5UJdA;Qf(Dyd zZ?FIX+ZS?el4#77oe(IdsfdWQsJR3Nkagd-3#G28rBNip6;>kPfJORY=ZJHj8G4@? zIdPY&-sr15pbPw(_yW5+%k+q%jqB*E zrdoQ}VpyxRBmt)V0XO;s31{5i=HCNBK0!AM@Xrs)T^ELD$#QCCm&4V%i4#yBn{F;~ z5TUG=mNu0tSx~2#Dc=3=ah)Flix!MU--8qN_LO=MQjR zS5HYg(bCh+OAcjPO0u(ujT)l&8bXt;fVu(HQ+eOS)?-AKIc(H5bu^+@zuI#unB$tv zPNzn(>MlXGk6cuoG4UzP?h4_`jvwJn(wdY^TpYnDP}PlA1SG5pjN4%ArN?H(D!+)c zisiKR(=uM;&0ubCy}F&w=YM>8+#l)wjvAbqWd-%S`2PS2e|E|lycUyIqv}OEEZ#5X zj%CF%mU*?$BWVd4xC8$HkM{on7?kpdk=(lGM+H}L4=}ivu8OHD{0cgB&S~l6ZGkT3 zqmh&jz?Ek^BDIMWH6CkJjJg_4N%ughypP#i`EA6N9K+)}-V}?3W}wY#s4ZPpo7K-yk!d}7B z38D}D!R!dBIVU{zeA&*w{oIc;t6CwTtyqpKbKS|l_8S4*_gh~Z{yXzhl-^ivNz{3} zUoVa?*;{qpbZ=q7Nm(W5w>J2@mDG^(--wxWiivV`MJ}&O6$l|6q6Xm#TS^@_8=p)y z@~44w44;!N#aYJ-9Gaf0K~q(n)?^Db)6%KFh~0o>iI;npvs&X-fW$-MhMDPex@jQc z@7>W&O|BCpp{1xK-KB7-0)lq}?`{0-Z;3vCnR5#^_;hN{BH|ZHcA`>{ROWGqBS0z^ zBHEN6lmQ&@0^6c+2$&|xO9kiJdO6t@C zn=(eXw~(>9#eWAB^E-%s7i5P$W9SPO$=eTh&Q`kVvHx8@FM z$V&I0iG&moKvTl3%~log&;h4QFtD|Ny@Bbyjjv+Ms+3iw-#k9OtDo=vzAod&ku%`_ z0O5T0JinM6N5Qq3?pu}8Jxukrc?n8EE`h3P(CYDRYxqs6Uu7kR#h;1c;_fivx;S`8 zf-`K>g%-SK8P08(Ws$^_#;3~~LO$$Ep~*I8kb)HH1Qsq;)bVC#@b{V=&zSJjWwFL$ zhMO|a?X6KqkVB}DIstG2+$lTZE=W*uj&aCNAgGg=)R|^YPa7xMGfpBA2}m2Ha9p$Y z8!fiA?g+)W>NBR5Dju=Xwsp=fRq+m8TTR4#O!e{3VvZ_W9EE9;nh*f+qkX)CNVy7@ zxYCSP=1|=1_)dS(qASgeDLWQo9F(qEILXwiDh4mI{kCl9; z%J{p7D)VaE4A#1#Wbojl%41tY8jxOjS+C^+`yC*4E!a$Q4~LEks^Toqhw6Xw6sDug z4DVGN#iA(50g1AY5smg2MHjIzs9NG~P~?rgj(-ZgcfrX;Peq=6rU)q|s5SKTwt3Hp zTS|+tEKTfp-o#<=mi)ZrZz^)0KmP#fwoA-x-!tO+H>Szp&9X?c+^-{miA&VhR!aLK zhXYoXR13bnV5EB|$JxX``@$eQ$ zk~sF*A1rd*-n)({qRJ}XmR}HrsR|!YYiJ|}Ct+fHpG+-g! z{sm@ZDtNVQHHjtig#~q30-%kDnT^S;Y`*#i<37bg^CbCIPG0lwux z+XhEX;-Q_5kX+mm=qfi_IcdthwfXIH zb{OW{U<77)gxS4R`K5d7mU#@4y{&R^w+rz7XBBY`4-93`3Qb>Bv8_bSWI#_=w&ws; zQ?|Q~1GG=+cbz4&-C;{im8jdwkHdR(5YkLcu zp0_6E_*H`}SX9hC%(%t9^62sL%pVPZiQ-!(GOb13sD zscjshHb!iQYE4^P{{X!sF};sn&u}r&T(X9I*WlZWqEMkAN*QKxC63ZZVQsZoDc^ew z^uGD}h(j(tv+4f;_FGhu+200#A6%j4j}lYzLnq;^=P%4^5$Yj)#Ul#p4?&@t+mJUs z`|d|iR938hNJ{Cym@()(fsd0P0d>PG_`l&90Mcf8A{uNjt4P1=`s3>TOZYBcHW$6Y zy^mZ*NJbBW1|DR>`G*AOH0Z?)nQX}AiP#k&{+HX+A2R+H__Km?-g!-y@lV62FEhbR zvd5QFXPG7wjAu{K*yvNPpo@q*rQx%3}jR{~_sv@tQfC>TyuHhFYWT{eO z939EtPxAi&i82l_;BH!Q_D!19NYXu4U6Iq%JaVuE_(C*MPN0$kMioFd01INx&A5j? z&1O~3_>#7*km&}dt>v|^(@{SE0G=y6>)=P>JB)K$T-Q41U&Mw=)S8VwCk%1rB+*1q zRbSo-GXO|Sw(J60&3lAuz6-M651iKWkK>P;j{v7W9#hA(ba|P1h$igxt4at2+U!ok z_PGkIVx`$TyG+RCsGBw43VK4!`dOrw`1m5+u^3Zrb{eov`GQEE9MWQz*LG zTHl@((NP=eXj?%$Y8|i?!x4OtLJ`rGoPWtW;|-V7c*ybGI)H82z3}A{)5#F07t{rY zqha#D<@wN)DLa+;0fI+ zlm7rp$+#UH^#`uw5{%j?k~R$zdA{Y>e*5A(oV;+zA+F-JL%!6Q!A3wwS?9>Z0?_Y2y6DtxLJgv${k z``gn9wIf2TVpFE%n~t{h+rA7&sF_r(uB-)u_P4+3jGabL;zoCW*ZkwcLe2K@= zDDES$JC-YbyYKkp(AJsBTA50dduaoIp?%pyvt^rgnA9ZeFN*QgS#EQ`hJ+_rj39R5^Q=g7O)`tb@a!g(8i%i^1A+VSeg((~`(t>#NLho&sfB^N*!<7yjpK#Fi55!^=naqc{cs z?fq~PTnlQMl#&53Bkyd)2iW)9@czF%Tl@p@f6m{Be6o^tF!S;AsO*Q}$ z9a!7S2cfs)ii^Sl7r_V)z<@XTkIvY?Ib-`fd8hFg!trOEp?D>2E8|t>_3I2ol^#Su ztoIiHn~*;%Qpk{L78eYD)(&;PZA+Esl+)(3OJqV(*nzo6-dzlcBD7tF2` z;o5039&99e?PMzd0J$={INd1_+y4M{oR*0f8r3vZ==f9w@ zgF)ifzFL^`maFwjlKV#X{{T9(Gc;cP%z*JZ@w57xqoMCPxk0eEKt8>2Bh-kLh|rU5 ziMa!Rm#6f@zGcd;I!bkFOpFQ6LO2qz_Zm8eK|&7zESgzgG0d04P_Z>9D>enP(52Ie%}Jwusr>sRJbp#9sHB=s{RI)EZ85WJExVl*KNEG~DpD>s2;P?M(0 z(u}3i4d516OE*3T~9LA8n6hwy(;C|2%v)=Lwi@}1z`SS6?qSo=b4QKFO*Aw?#Wgf=p`?w?ygdse zm}I!~D}f;DK)vv{4sizv<@w7`o%2C+*=43u^Z>#@J-?ZbYM}xtI#s1$K(V>fE_z%H zXk*jhlrAs%kg=q>AbjD4hIvXN%j@asqku&MW;M`G=S{c%0_YnNu+)7?z9KW#tEi1+ zvM~WIeZ9X=d`vm{#Q83H#pwAKZADaLge0w%0x4p!wutVCHrnI@4exV|a<_)~GdauN zw~6xl+`?fx#RVNa{t_ks0G>t)SlF8Y3j3TT>7wOm2Z}OeJ*78Q4Zh?Bx0wBZOkmFS za-}=U6fp`ElWYDLKcVl7l8cpms)DJgqTx)>F{zoYFlMRnC_<@g1Vr&!jkXNt_ZCys zmy`0pm2{b!OP6r2d03QTWuC5CTmr#>2^u1;fja{1dTzrL9TJp@j-DfO{3K@?W>G_2 zc=1h6VDZM3>66S=Cy-rXyAayQ=%Frp+ZPAJ{{X@lF=pagUKQZl$oREtqN+r*kYM|u|lg}NaJ|$X;cy6~b2eDUc+fh-bA{r10WSYah2QBe&l%B}*ep=1VIAG(Ze?Q5^{;zyX}G_i7~C}HMz z6lL@eQt|%srtuQ1G5g_@X0@8;>vtD6H?|@CFIUC<5yz2M@GV4iRl=M906`{kB9)C9 zSwlU-gST_HbG8+u!LPv?JoAjWRZ(%}E?eeTZ=MpR$32##in<*+x4{j}eri)>6V%C0 z^XaFkud1esmTBg?s8JJ0L`W_Gc^p_9uoku+b03HJG75Fzik>pcj1NcRPf-?QOj@2D z!6oL3<(qH1r(?Cc;xQcjZJP1ARA>Bmm*&}w^D@brQo?11<%uB`gg~3^e=7Alh~Ex* zzry^~nWR-YzZ>MSR8`ZOx{nUJ1@NLh6^IsFn^-RA*B5qN;@kd1BaPVEkaD{&tl=v9 ze4B|Wa{RV}qwM0VGOVT*6*5_cqW&NM03N@#gFDf%i8oa|YjZvy7<)W3L3`o-R%$gL9wii*oh#TV6H$~N#F~)?m z4qRk>3&NRhZo_PrhT*g{IDu77Vz#i%^xKn}oNx|!s(9+lQ3X3W^ zk~8=fIh{X^PZpD^NePIM#@12P^|J3}GwxS%w}SH8?C1XgE261N1QCd->S^aQIs4ip z@WEm(NiT0}4uIr5q~MMuprPU{*O(b*TlU#*DQc^!(paHT%v_?!byKB)7u46e09fM0 zYq8rI0Oo%UW&8|cqu|{Bxu~jT)Cs92Ur7ZX$_C)ri;IJ9_!g&@eCChC%ImmSJW9Iy znB|U!Sl{gq?4YS=Z{jNzvu?Kn$ER6eF(_#`TZu9rBc|fYsp(;^MS`d7>7pc33bb(| zpuWKRov+gpSU8S~hcTXyFUq*!O*Ha0VNq9>(??RpfF2se4xoAyslZ;WI-ET z*2}lm{SEO~&}4iw%uLYmm0oF`%)2i~Bv(&D(oD)a0U8oD6Mc&S06pvtEV!ZSZjZU6fhh8HX9n|6glRakk1&&n z>61j?d1_EF><-5N0QHJn;v+N5b53jKwfS{Q&jizkNeA5^?k&Gf`uD?DPs;ufkDoN0 zBU8osnq^5)zBXd?pZbHDBgDL6`fIv44 zMex59{5r9JVj3=v-CNgplq-WlQ?s>e6U zt7%xBg;gWQSPj3J3^zv;Q`9My$WUz8QboESp^vW>{4>LuX!b8Dl|~a@}fB!KG?yb2(Y2+Se@1KnHu+8+IOv%kU%c_dPUHKLnym z$O|IoH3d}nBJa}o>x%D!xslACRc27-6x9>gP#HsvuQ8O_A}9Xt`?`j$=yOC{)X-MASz|k1+l%+#Z|RE_7DG4(;&YX`Unx1anQ(UxC;o~6uM)XG z!vqsyeg3~Fw=QF7`2PSXsJeieoCSsUK5^F;$L#{iYUAg{ODYrM&_u&YBKwhj`klXA zQ~W0mV-FN%jVkPwu`chp8pi(EL$xrY7TMFk5E&H~LB>+o!JY86J!WS~7@mCAib-Xr zjBH&BDbmK@js1n9-xoPOBl2&DGfFNXihQ;yp%k?ZPVwD9BgA5wn|lLcxZk~wC!Z6% zA)Du!wpExxUzZ4l1X2o2>pV(&S7Fh-5Pyh3?%yt(Vkz-QM^&2qH04#ft!7jjGZ%<5 zz3GsEZV;k@WeaV9ziy)kE@E58xjFZklrb$m9eqAgWRf_;R1BU-JVB>#G_n>WuJ$DL z+T>#L_ehWQ5eyA%d?0gZWOc62_b@0W#4%i%ung&s$(8!d?tc!d3i(g}Z>5Ym# zK_RScE={^^`r`tb<~Cv!0n_VjD+o{#tz7&^O*f!*g zp5B)F^}?TJNf@XE*pNxnZ>M-uoW=57!FKTR^l!Tv}H44RQ7Fk2d}py$iE? zn#f^*%T}?5(sZyOf$jaUfX(A&v`nS1upjRYrdcMG_)N_m?6>LHZLzfRq%0alWj*bP z930j0A{Uxs#Gm-skHlbUvrDd!{!zG7f#+Bi1DKep>198z5oOdh8wFE)3t(Gl;aRf) zSH*-|rM2&2>5V^lNElzG>`IH@@WLxJC#;aG#C-Z$*`Ixnj$LiRJW|XEGTq_MA3tO+S7_qp5 zIg&E7#tM%$?`9|Hf8HQ`MMPtiut2vbLAmO0*9N;dj%KPf6A%h?Y)9;6yucH^#`t5+p@Cv%5gU_WznH_J z!Ohta&Gf=ti0!Jv#`idnW|U7-4E_)#J-}`5I^t8A(>s-72x|{Z5)bM4VV<%nVL+0t zn2!7Q#k_ookrvD;nW8=&(oHvI)o;@fy#6P8i^|QU8@UZ*zvc8XJkDo~M$~M?79V{@ zy6u105q$QAAiRvaff`t{UgxJ@f9Z>`X(3OtzRc)glC7Rt8A<2>CjS7YJ%Sc2LV930l*}f`9ZG;k-Hrx#l~fV10@qXZ>H6Wou}Zo%on7=P*lHbYdvAv6vIyiMWguKwXarc` z*33&UhhoHmtJ3zuQOP3_0$If zSfZWk87&eAAlmAd*xO)ndVFP9nX{-MF%2nU_q+AJ$J6!29Eg#+!=?1F*qz82^tv@4 zNk4PS>$%F!YT}K;MJthE(MM6f+xuY&<8%b8YEpacZ?At$8nCk}mh;G`b=9%?{{WnN zbU+N3wx+NIbnCI$V$*bIwP10#i*~Wwq3M6G?TrZ$%ce5E9q!w89l`qTf|V8(w63E` z?n$?%FwjD`Yl2DG1Mz590b#JKazntT8$a*he+erB<$3m~M- z=8iPIuB&DM_1@bXVk<>vo;JO~HgT%g7aNi~cj?<5TgqSA`ODvl41$+AlbgIb!IV*{ zXvDxhOKb(?XJM-39kmcIakeRB+Mm_XQBAH2_k(^nIs27n5K&LX!|d$pvDapk&p(0G z+-jFi`|ogl4j%dC@tww*{zprZa@&|ZLp?-+TQkkgRJ5@(UgaY|!(m~)zC?}9=HIeY zm)TxR9!-*bQsh+(G?{0X6fXVt(;?H;>;?Y-`5ZRp-?DRtsbSSq@i&yhA_bWwqX}Wt zZBq2VskijTc2CPZwvzt1xSq@s?SJgB4A%&|F5Y%EHG zdiMOkz8{2M8Y-&*ZT|op-x}4vT$tLN^T#Sc8BZ^`$2^8v@=TISnB&@KMDi)?V%-7X zrTgLT4oGL2O$1qo6!8vQmq}4?0*YeNIty;kq!0y;+DkF?7@crUToYu}em-YgBhsBB zgD=Y-RlV*tF(G$7h0gu=#Hdo{Y9SRBHL}#o`j{?R5ftLbKw=b6{e`-lTdB4#e4mD^^I92d^BygzjF|y#F(6>1bz!G-x1x`8wi`IBCHp3OO+hYY zomRb6Dj=t&q*)wC(%>qEQMT>)lYQ_nPYHI3YDGQ6oDrXJ#}>mE5!6%Cf=Y>AQ6LsQ zJcQisYYbTVR$P&B?LKLjNn1*hU%|~`ret6O*p$>$ev5mr&k9y>TRvj-RTLTQQc}a= z<@B+5LPFNLVh|kz+wXdjY)E)Fmx-HasU~g38H_$87j-p?%`cbAH4sTr{{Y9Yt*{c& zW0aF)W0FvX5MFO&RGeFyLz3|3VD)wNwWjS+RIcTVo!y8EFL8271JsM0Gez*<#j&hP zndVN$LKbFP^%1~M#Iv6%u{{)<_6HWn6L3cWWF{^o%2PA1saZTUs;kTb_|jYd0B$R= z1AAQAtfUZdhFO`U99Vph;9RSMB&o`C{$*y+ypBx_85U|N z5txfJ$svr(B7i>XSov>#>>uekM~SMl0hG(Zw3RB+4I#LR#4&)UV$7FgoV3^DlA$Zxrjo7`<+Z@zn)WDL*Fr>@rB5)%Psw$O1 zQRG>*654O12+N(wE2NxKS-&{>jh!pSUB#7=!!ao&6jFVi9ZB42j=-C3-xl`>^f*x5|f(dfU16!{63D420P1~+N%Pr}@jQI8=d_&LP zR%V%XE=5_y6?GKK`eUP^r>GIa6<8LQc_i@*T-wOJ*a8Pt`Gv*wx#tUH`Ohz*&0xvm ztXQgZ8io5ZN}!+NL#f(FCws6^qu+Z^r=<99rEI4x%K1(wtalP-^)n(z9BXh4aR9nB zfve2$vA&;hYmbU z2kHnU8)4TW%Q712H-Xe}DB&|EEO8SDG2dD<*3k-7}MMcG#xt6Yq zu4P0eq;{O{*6+WV*md;7Wln9JF(R`unb}Q{$txdiu6lL+@a2)ivdnPV)zs1Q=4q&_ z^S&0Sj*1!CGU#aO))%f97n4M5sUl-=z9*d8;HYbeKOSWKuF0~lCE}R#3LoE*)(^Af z)YRHxri=z6CvhgHmf&H>{=1&P?;63zRpyjXe&C^y zl7EhJ@b7-+?{EiAhTGs7^1RVuHBUt<#unv>BHf2y!vf7^RW8kP0o?V+C&=Z6j`Ugn zeU{V%O_owRqIqGS5XyYlxfk4<4bP{h*wVL(xPqdlTDq!AD9nLF2q;l>s5>It?u(ts z-`@>ErBitrxda9}ucxo&gp2EhsHogF=d*hM09-Lip^?JLWfvRq4td1cMNVa&M*`7Q zLMcK<1a!z6xL?9V~dI`NI1imm46b^ z@tt)RSotL^+R~PnFsX);V5FNF9eh#=HrOd^Y&({IfANP7apyDZc$b8za{6jN4HY8F z1fmtN{!=L}A@yTmcOYQzhfJF%UO1AnXUbl9C}f(Nt3H+#rlpZtbjZeGqT~5Zn1-RveJD=;0YR-NkDrr6&GtNfwyv8i!E0rl|mUm$6RM_o* zHLOoyEqio0=q^$I^UnSXDe8j)&BIPF80q{i+*Df0#zjG zi8nL3^~F3Jz&t&|@5PYto>5Vhz$VP8BTCHsJC%`@X!7bULqd`k^->tq_>qK?_eop1 zVepI0E_YUXUmC|dJT#N0!u0_~btI)f6qEMA>eMau?>8q$}g!PHmK z&b-Lw_I<-#+063Xwpz-`GF>$>j#Y#D=u*pi}$oz3?M=WukT}(JLF3o6ibZ8`{kHf4yJ|WaVu_9UI*5hIW zX}eevYwdG<1H}$yLz&TdAhg+4Od)g&u(}QH+Q;r9|K$~n^I)iml*NpZ1k@xRKVF)G*G+7j-={&b%bW~SZQkl4bDE0aMcwpc2`aI zT5^%B2$3mfV2TBRyIh+Mu6H<8q@_%&k;OA=Xd`&oD8Bwx_VqZWdC&2i%l=MrguEQw z9mQGgeJpC#LnFyrSfMR)5W5h%tT*1m;9mCv@~whOXJQL5w!mBumOfej(Y`0o_&4Ij zXR~p2O*{!LEm~z&wHoOvL~@oW^wKLwG8+YW*;!plRsVik;JuolB-#aoa=ae0)nx*Buz-kLq{)g-%d? zDkV8Qx$P`dQE@h7K~*}`Fp7E-#TqeS3A!g;&8@IIpFnt;W@r(q$u3WjA3<*T#!*}Q z*ovw;PIU5!(a0Q%J&i>I&(#iQB*Hfsw*Z z1kg*aQK^5Kl}*3a3XH^LGD8{wKJ~%_qe^Ra4upZk`h^s&h`L;KOe&f46w}P_?A6TgL|Hs7d6Xg zi8P@MM@H3dn370#X;1c|@8Xc#lVCqDuS`Mso-mgkT=i?%Uw!TP;=|48B$&!%V;MbN zdYcc={;>$+dQb^=U0Qze9nRZ(jj&O6fs*3LRPi##MTuggRmmV&e!jSAtw{;KuuZIN zI`6(6YW#JoV`&PTl~67S^%w@SoxHA#a4tzzw)k{J$7x6Tpxw%`Lf(`KBR}Dl_iBM}AZbF;yhAz$+YannK6d-}?)xXb7 zFy;|SFkZ^i6A3Dx^)A$y~Z69A4GDhnU-zt z!1j@Ty)eU___1n~!F?c>U@k5BVg9n|sa+0(9e7 z9~=si6U-^2L}m-;+RoeG*YN)Uc#r2$$`A?kolWG@NC0|&OiHsleKKqDEz|%{^&f^K z8O#7Ik^mU8+QeGjZNIJYY7c-KvJ+Zg?M_QF0&W#Z`s;jq1=UkXvfem=1YXQ7zvqu) zcO$l=zn{ZrO*%m!>E9dF&kIH(OA-g0wa?E8Ka`INuVHXO?dy#mPYyLK0)c()*S&^3 zoK5L2L}qo=Y!q+h3uC{pzibIDT(S=U$8%y@$9v$4nWC1!4^7IRyY}|Oe6=xC6XHtC zu+nS>8mI=98^R?s>wV3wrG9-+>y0OJ7HW{$BRNpK4u5BSvIkEY~03<<|&T zRmM<8iY@^kg#F^2Hsz_J)dNYGh9-iiX-cRkTMoAL`u_l|X1ru6vzm0svs&0G zU?G}GWwDTk2UeBn2_C&K^1_)Vbu1aQH4?=X(XC3ciNPxNBKIA}-;MFte6#p%_)hqV z;ks@h=byxE`6WWAS{#=uT6mHOffq$WjilPwu(w}qQ_D&693yUyd*ME8a~mkkg>8Qr zXP>#sDViEemQg4JU`@q=>DRsp=XX7LgPciElV!O|WcfWCT_EwiN$Q+x^UA|xd!4#> zu<4G&KLCFNm3e$wZ3o1jXB7oJV8sBI1PlKF&PRBx%x~1&uD}d3@qg_1_(I9(lQgFI zimIi7j<`P6EGruy{{R^T_ZP9+<6(;Xa5r^d$m!#gIa87x)2ZdAbrxfpLt8C0Z@n!Y zPlmFKSgTlqK~li(I-guyd}+>ZB<7@*9M$6NmYX8TDQQh6N0ZS2UPc;j62U|6P2?(| zUryv4SUj2dGWcZU?lPz4_F2dB=E!GMug_=92!jp z6J~-2jh*%BFS3>-_3D42!{JwvQA=pG&fj;h*4P6tf|{E@tAIhJ1x$#b9f%HX{l1PY ze1nwK`IOZ4HN0VxXbz^LiW;KwX}zp`Fh%<8SbiANirmjJtH(L#sFqJAGdg^qfRcG> zBAQv#%34K^G(mH&S7ucm4%gpp#qkQr^D6Eo&Z{bA;(6tUI-*ihQpOm@n`;Xh0f-j@ z#CzW74iaZP6PIRCaQBH@G;O(0=^OvRUf5e}=RC zqc3&5?DR?F$eMoY>$nHa)Gpm`ZOfH>7h$Do$8#BYIP$i-CZ+xBT~|DFYK|yqoDfBU zV{mM4dTvMb#|27hxz|>;l?z6ZD){E6J2P3D*SQ)^m#Mzu*1q_3;r>42O2gpgJQu|u zYL$d=n!13n#Ft$yy8=nx-^*ir+Zu5`d0UZZW-QBrv;69*$PW2(Cxc84@8%v-92qlH$5k*E4$Y*ugg3e`o3J4Hv59z_i0bnBYH0XQw_x zA_2@ivn-pM@EqGEs>^cZ)bdi&M33x zRSeG*03Nqry!}WP`L}Fs#f`=JRa^e-<)uD(TRlJ$)*6!)Ju~vGcsGc6PO|sD&HpP)WMmnskt*rb&^p#MJTe zggK2@5pjgnk)^8YY4VwTN}a9Yp(4RSy~Th%1@2oFe=)M2BI151tKux9g1C@U)Hx|8 zX-MX2NH*W7=m63QumF2v+)h2`Ej?R)>g6s-geu3DH1)4xBzSb-MCX&vZ~mFwk+-{T>FQzQyxQ-aN?ycOvf-$pES=UV_dUL$fP`@NgfDh@b7CSnBt=2 ztk;dWgM;DCxRZy)3^JDR)~(s6kpcVagUb>UHoooDZMjxRB&iyhp=EatahXy?Xld(S zG?1N28)CkPr>E#)QwjxSnP3&x;4hz?!S}MZQ)N@kZ+T>dYSZm*!`}`SIrUv9i7?43A})mg03Awg>4b|N0!h6wD8BOMPc{Y^(WWU{_(XXEEPF~mGJ2c z)xz~`GB2Tv5gLam%-Wbw9V@hCZ!cm+!Ljd#_JE|J9gfDt18aQoDXVP4T=q4H%IS4P zj4`&Jod&}V+4Twi^E3eoE7fBMw@v=L;eo6}Tu}&jjY|^NAlUZb^xqg*qG#|*orUfP zq3?xWos~>-TET30Bk(xHF*HECOXj~&ZV2gruS^=Yhb&5uM@(4iQVG$%`-~e#ki$m6 zL}VfSpf1aO?3uPr&kKxkn4~;c_&hp`ne40qxrhP{p6D1?QDsR<)E!Hw1Uv z>-yP@tAdo`eLeVW$;DT~c2bQW+9z%vDzTusC&JZYH{ATTzeBa+U$d{5JZr(ZXBK7r zMZz`Ndd-}(#?_Rs8psG+Xe>7y9{1Z8FTmAezrz;{$x$Y{fkPTkD?Y0bt6(p<7be5r z{qbr+nb#NtSBRrx&{{YC`o||+4SdUHazl17zbB}^(KG&8k20W&r5qvPaEN8Wcr(X8o7SB7l&y>6w z%gXK~=U!n&Pmsn!OZMg@)HwvKF{txPBLKuAf=E(Gup~o19eJO^eAM`U%(LEI@C+Fa zPJY;#URJQ8$)qXL(Mmw-l!EdB9TIIVEc8S`2ui|(!Ki)MoFlDkq9Cb{R1WVq; zi{GIg2hhh)a#J(pzZ-J@03gYDmzvaEFPr3YMJur?hIrC z40Ph*tieIJ-Hz4_Y3|_drRB?hQT!yR>Fyc(| zj*~7<9mzw@Os^{Ha_TIk{m`QBPi-NHu}4!Pf3;?c8m=MX9N#ZSXliIAF`Xn5q=Gi< z-1X^>RLXM+wS9zmK}%|p<<(?RN2dP${{TJl+JCh-Eveyri-M{mMKV)TwIdcfg0Mgh zk5A?L9e9i*&nkceYTs{5dVYANk<^F6Cte?jpN2jm;v)D^i_T^t4SNLo1% zf#wS6FZhdI!8ExvnU~vh zH;?%2GJ`845;pOsa!J^9PzP><4?LdcM+We=TUAY-W^W(bSxgp(`K$-6mtZka)==KG zq)P7P?1>s&ljs2J-xE$z@SRUFbGbNAF3Fy*vZ9!+6lv8S$IfrEfqRqN)MBigY|=_G z#(fOrSH*uJIBSJ6E)sq-71cjxLtRltNbdzHBEmP3fDGFbLfj8rch3Q5d^enMr3Poi z`HEG;Jvx}>iLMB+1ExL`a0PW2FRALX?EU`7EDxoUQQ@$0w)g4K{&@OL@YnIV!W=Wn zt{lngr&L(7y=NWs;D`skt`w^}_Z#e6yH2r4>5q`=}s+ zl{B5M4Z-d=BM`cu+8x0rA|EY~s;$ZU3;JJu{V)rD(C%K#DOobP0*~etj&}U_z{#YA zy;dr%#le|UaO!9EImZfcf@E+>62(uK#a%SX8ZGT(D;6iOQ|W~B{JLi0(Na*e2U6D- zwkdj#+6Bu-kQu0EI}*S8Cm(?ty5SnX+7rOJtq1P9Y@TKk5H)>~ufIS%-<{9)!errG zg$-7nKh}#-O8ydvp|yvbx9NoEaiE0UmA2pzK6pbXs+y}VhNPAPaI$E(ON-m7wZ5;6 zwDXC`8dw4V)8BrbK3Ma4KT|zcB}ob`X=wB$7C+1e-$$z~vFTe8k2k1W0s#y_B%jv`%~+;IbSOsJS55u# zkF$xKyV8+iumJDh3dc`Q#JoWlps^iKr@r_Z252eht7BQ}V*m}55%u&il}#!~AV;aw zs@AaE)BEFjXrq!q<;q!ZSacr0E|$V+Lr|rrnyg5G`tSJP+~8>8c_|bC@M&*Gz4ykY z!D6PsuR(s@jj$y~aw-1+_T<=$6>x8V?}c8ms$ua$q=MHaeSI;<3q=f4u)K`EQFGjj z4e;NYfdjM=%F>VKzW%#mBQ130q+6XL`T(`R&J$>62=A$u=GW76*BnKmvp5nBNRf@2 z;N8^Wt~H-Ad#*uyY&A6e}*L7K(fmt$Kaj!x#%$% zng+VdN;H>?&#KoAzfG_eY)m6{RSH;(-0y4Q(n*>1L=l3Gh0jlJ zov`0LDu~6VMk8}ze!mCZilZ-GiFh&fU+vC{3AB$$0n&)L5ZhpSIVbN(ZZCM%3nmHl?0b#4}TYkNNrX#t$ zMi^Q!L1VBZx8eEXQ<%dIiMyb`-RWU{@fprE!6A5|4j$*sMaQl!MqCSIM>C<M$>s|)^^_72nb7jipkr$l`MQK&HQeX*@YN`=(+D{x4--~Dg; zVLIw)BOX>_)&zNpx7F~|D%FruCXer3mdB@0TzMECjjxc|WO?M%sQ}!S?nn0Z!+l(6 zv%C1J!0fm6?SxHK@e-z-i}kteJ+Yyx1&*U=)j9>=4v%2I0+w5%NYR%fz<7R}pT`4M zcr!_-{yjr2{d<9hA+3#~0>_~jKVFzdvScb;@m#C>my+NYEpXHPRnK2IQUdMXoRYxM(uzpgtNm=xHp?!ILQ*ZqG? zH_sF@Nu{G(TwIm!+w0#NIJ4x8cBW-y(qf$4naA~9w&JLXneutUsXkEw>*>?p*p63M zjG5k^x+xM!j*}Z92HkhQ{qfm6Q3(CtRK*tJ7O+(ZO~+qu*yOH0$!Xh&YD}$mGkH}> zvjO>EYjpnrtNMJeBIL%`V}=PNy~a5BmXyU}+D*lji-2&N=TOYrd{(xg8_jP0f3N3- zBjN17#Vr~ZQUPP{e_SZJ9TaGmqPe|;7VF>e-uSt;`Y1PDg2BWTRMkl>O%#%-VX0P4 zA;)oj?Y7v~pO5Mri5D}97Nvp~veQ8$GOFLNU+4!+IK{)cT+4ZBt=mZ--wVUTlr*j4 z#|o(0;ICiz(*x z`Nf(+pK-Q*lAwuID5&AgBS>LTHdxe>K-itT^xI)qLReTwU{v2q?fM_-gCnRh6$G#r zu?Mez^M(3QPUwkcl>0glmONX`9#`ereoK&!8W7S(0~vUSNtA)rv{+q@@9B*>&(C=# zX*0ej&axcGIG&tS(B!;1A)20sG1h9DgR{Ssff^R-OK8|0d!DRZT4nbDvN422G<=s%bhxmvw%sj@`yMsPLRgfh zL3xYuAIG_Ncrv~fu38FubkQ7ibg>}V*_y$B0lC`Pi&cC_^M-l68qPh;Uf*>@))qTh z+qM`umT76T>EoA5UuQNfPUQQ3c#qV(3Wn0fi=Vtnu_vI#FJ5i5%WF*vM9O}B^Esqh z6O3!FMa`x+2K{>bVN=h(X|zH%1w72-rWy>tKtqOWKD8Lq^-c%f$#X^X(Fo# z7{=lWo%s3WIA zHAIZhwy4k!{->bth}|!co|+G|JGUP3G`EcBwTQsjkyQR+*L%0m0#$K7Z!Eyn*VMZm zl(fgD2c8A{Gff>BjN4+TdwzbOh6QJm!(ulBPjAcd#BPy4mBn2auK;E_zI)4j-!G?^ z#FAFh70%>oweD;O&;4QFfinL9h<-~)FAU`JP~}HAK_yi3%EnmWb+8Ol?Ga!u5p5wF zb|BjYd?e9O*F~AdnoeR!T^VO;WtYRU6L5qZwe4U*1lwYE#e>cIcxI0-sh^6f>Q+jr zJUF4HZw@1=wHagyrb!z6Yb_#;tN|Cf2&V-mFVRta5N!VdjX0|+g))iwpCOD;Q^`+9 zM?sSfQM<4%Kr#?yxeVlhZpUAk?O5>_Hn_uzByJqcs}ZRnVgQOO83;DJF(ljAd3tT% z6a3?gI7*UQ_-Jz(M2xPBRwRuAs6J^fw_&Q_Dub(Qleke&P|Xavok68{@R^uJuWO6n zr&H8#g=J;jF$igVHdc`|+v~P8c{RR}%r#oft=Ib7{bNZBMi@w!Bl`UD?+^t~4n10j z-v0oIx3A%f)M#UZW8P213l38GckYO$4<@Mz1eRbnzt-5&de^C{imE)mI%S1{AripY z?n%Ayo>yB-9XE#Bu3yY8(-}2zOwXoGk5a<+_53g`QD!hk{{U{xe$v^TaRAIL4ZC{& zg9*@PxurByK?5+>mT;qe$5H8x#Y_=Lt5&iA2&8TJdt<`7oL$P1b=-yTY%(j5)-lH} z&a?3s+G*gX@-57d7%ilBx#)k+8=pF^Gf5%vwaL4kCf4--0K5t+Di-kx9Z|q60owln z->><{p@p@1nBA>wlee+_uw!G0!mF%?Nn7u4az4F3TxKx~v5q~K`iQ^j^1{1kqV9#=hrt?z~;%Gq($SfHk+F`_($ z)d!T8`u6+=388^%BY0x05taj3CwmU3>e!O;pCtLC%Z!9)yl=u)Idv(h6w%33A}c(E z5<zl4jhm%vz%@sG103i!qFN@*@=r&12z>w_q5K z!MoU>vwC_-z8NT};8@lbsG2P+VQ$Cq>FfQ1{C)d3z85&*YcmM=8L4wRmU(2V71JS2g6>0o6O&Mg}6oK zZd&oL9)8XaY|YF5Ev1QgOE;<#txY{3Q!5LoF2G!}uGZ|gDmS(}uY`E#hWUxYvGErU z*F{wYO+K|~*f&+~2fyLA4-#?Z_7aUB6M4aroU@r+MMk<=W6x_e4am2Q`+rPzzrr4K z#dSXe++V`^cLUE)lfh3@ElzV)T@obHGN@&W6b46aBHG<_lXcc~=w4{}fuT7!JNT-G zs+o*KKBziLxm(K3Z^HPvc^SoD5Y(RwS?3AzYlpKe*Dq>`AXUGVk7`PVckD=TTUJi4AJsi^YvQeXIY>DUVn z{r-5Ycu(Tf;Io%`{Jd4b{DRH$?7`w>Ih7{`g{WZLGOUE^K*R4?i;lO&pObTwmmHG# zK*+hR%uw=CQDn5y#SAd4v(GCFBuE&6sP(yEpbL^Q70bRb`IM5yopU?j*F52#9hsG( zt*up(SWumsNZli3*p8{U;e1=W7e!(FS1W1wvy@yJ#i^H6QO8wSvPTV4>5)Ui?PmV~ z#)I7%6cFq%7q)Yuzu)P6Yn75i7~a*iYTdExFW%H~<4n>R;-Wj7jHHrnHBkbU}N z=isXpwDLtH2+ZrV9rT0!F+)GhXKZob%$qQSTZ_aeiw7RHr~s(>SDJq^Glf1me?p5@r57};g=f0taV zqUL2waStEm8I#u1Nd{ddD>(@7u^^QI5;p1$iR*h})5-oFI4^-=HQYJHd^tm!O;@e6 zNVtJaiBF)ff~#;8F)YqbtyVw+>1}+UKShv`%VBY2ar7{Vo}f5Z9z{BA4X$r($K!@5 zCL(!q#r-ae>z};4>y&vlUPW-m?7r<9XwaS6_-Z>Ut6Hh;c=EIWE_^L2um0+6uE#QgPj{XKDY@b+voIul%Zt`>%#jIf$q;TEEzQG2LCWKdf6 zH#T0RosTig6p}-v-AU&Pd|qtsr+TYu|_+N#Ak7Y7$}(~D`d(Us*K z+aeW=wDKiX)e&pGyfkm;w^niGCtygi$4LIqihPruRXLpx8)p*dQc_ciOz8=Md`4?& zT||>%efHermbn+p<>n-HxkW}(43V7<^2t%*u@@FVLYB70jjT4`u*X+^%^aP0W1L(~ z!t@zpK(JE<5v|xD2WytxSarVl+ZA=#V;vNvmn318Y9SWA&Yz3nhm~~kiDSxjS%#GH z2_C!p_x$j_S;)>+Wc3lwye}0@vB<85bh@iALt$V?O^wH`@i<5&E>bon*w`xV_~T)g z3MK{PkYB3bt%?5tSn`fiN1%=VH3AhKVJCA znkiIxX>v9fusB*7qFA)G&Bn~4)*hHsc3F%lUYx2C3&^FIA2ThF*IWUZQ&hB}V{n&i zhtx>x^=uIwam1f!hD(e2MYb3mROSrjqKFg^Zk>NDaA7E=o5Oj7ZD%&Wujz!|m1*Q9 zE8jQ0trhrpoZGozY~FK!i~^G zaV*k11OY(W=GXq+alADLTkUb(ZF?Jkj3W&3%BDC&4_kFR{{YrC5kiV*zJ^nKX#Run z!18lU2RfEYdAwf?vJx$)++sPL#HbW&@hurT<~yj5qKkcW{BaMjQz5;TbYDRlfg~ti{)Az=rl_`}iZulQ-G9fv z94n&@04nCgeK)^fYv2P*G@tvjTw6)$y}!OD`Jy;OC47pgSVLxRQ1bg~+!)+5bMN@#JD6pWMJBle3lMvE{7#zfgh+a3M=vFD){k?7^v zU0gA<62GW$QA~m~(+Db9Y3eR__TLGuX_53ko9YBI@Az8^K4B3h2-r65 ztML3V#MKLZfz~8&77?ncHv;z?bikD!DGN@jby#XA&3{ZARZ|19`D|Dli}&ySaDbdf z-~?vA_XnqMua+MHEitNUs;YN#9NS3Pjk}NWfZH&fp`N4@{Hg}V{{ZLT4;4^S)T=WK zwfx0~pbyIp^|Z*WEIb$9V!0 z&Q*v8-z#?ce6iCUT?5CJ)FVNt6e8f-!1q5bvCSN02k&W}!Yiw`t93Rff5>$9#=eO) ze+QZJwd+Ur8tmn@q=MeW3!8Srk)t>Pyte7LaqIcx3c9qskrf2_wjRB|OfM3{9AHL< z#O_GzdmL(GiZUkb+gR{abg{8xau3SbQFVn9xD0Km*bCq5^u~&iv*{{Wr~_+T=f8h% zd~X||vpGdxmjwR3ZgCQe-N3fZnxYXZ{{RbE8{G9HzB013&$_!IzlO`QkHZ5+8y9DV z8HbpHt8zca2o6$UfE6j&cRyd#9I@Fcwv7RWqz;4~wgInTeX*@f(mhH@ft3(kn_T^U zZ;j+K#uOvzP(_H|-oN7*T6tbz@r_`O!i|9ZdSV&j686!*x?y;(ab+{kPxj2n;PKZ(DluwsZ(q*$(REKt!q&;MaE^VNF!S8Lh z+?*xL?91XGHQ?N`FWit(WYU<{oXe&ct+j%(>m|q?Jfls|bB9he;Tf{pcyoNxI82eS zgzJ^oGUrj*K}M6^hy!p5^<>kUM?$44Ipd7gSBvVFRaQTBb@UeKJ-&Ya*kX1mQan(k z+&2FJug?w~e)A40s%aE6H9=N7EE{vU_UVS&VAXRgO5~wy2LrIiWYbb*_(O^vX=ckM zi2_pf+-n<~TWoD6VG)IbXb!{7Km$m(O}(vzR)UbohF=j?PTEJ_>D2W1{V=4NZe2$M zODm$u*@N7YdTse(T#Z=OgSAUjtaSc4R*}5S-4u4;*BaK%_O}ZOx`H%nz5cKLFts!> zRLqfhI#du&qrRS<`+MOE#T2!-fHJ0?miF)0^*^3Em`fT#l=N>6C)uNGv$fwxOZMB_ z1ho?+N+)3wGj0^!*jufw{cs@7gaAiP307ciz@5ja^!zXb)l@wGGR(}xSY3_Vf3MRW zOeKv3=G77-h?2rFWkdw*J7E~)vlb3K+lz0${VOO%RTcBYecl)X*yuY!dzuVo542NF7uQio2HZW;GnA;u+zZaSc7>@Zx<6#360l zsr9!_kIxXE8p`T;i$ABQ;!1Y=D@v-gsUc9nu(>1)p8o(ZPPnrdN{W())LMDIS<1*V z+NY%8+HA8@kh9j-LfZVrRZ$g-ysvQ92FcRx*A>*f4aM0lIL)(u6{w_z!0_ar7f&tr z)2Iu9>%KZCgs1p@%kvDkgt&8u^1RE8DhkN7S#C?=)8$YupZ)5YhPh^9e8p}QF6_r) z4(I;>5?5xFwF09&%H@S8@F~)PK{C49>ZOU*8iFiWxw$6d;WVEm%s9$mBu-7<#@=Ck zWHXioFt8RK?TxSI($@mvfK}N0V|_6sMT*=vmq=6kV!c-d_+w$T(2){&2)A|`*!KAj z*j_xjToE!V{{YHTEpP4L1JKYlL}>BNr&i%q{o8-n>4KXq6F3H>+>4(vH{ZS`D=fzt zr-MfvV@Os28*4jn>wft3RJ4yWq|qv%k4gnSeg6O~U}Vb71J9{#dlS2DjbAN{3$w@y zt%3C)+vVwlOPU<9@L}oVhI8WhYZ8ry#NPM%`C(dNK_SY z30{~Kp9$F-%)pSKoyD!Ut_>;;V`H$EAQmS@kjO~^g})!yt^&+e5@{L*J|4i5di{06 z20(6O0dMzh-|@!sHlnC(Y&JGOSHzB3?HaI<4-BdF>D%$|i5DyNN5+|LJ5N~(%~pvc zP!?K^EJz|fD#S4c*8zyXL(>dO7Drt~>e|NJAACD-eAJZOM@KeenATF#rAm196f#;> zO~PpVO1ZK9EWSP__%6FH<+Uz0=D&|CO*1j- zKw;%2$}kFfuQsN5YRrof8pYUN*XjBCW1u$4<5v zUlwC_?4T$&vojHO7^mdR@byiSr57%sSZ|ted<%{9+s*RTMu5T$0 zJKUesVUAVfep7R+n;#c^XTuzso~An5YWJv=rexIX5`A%M6d`yKr{6MFC9Ka?C_$!-|pP6gts ziKc2y;r_=gfwXv5umE%iV|5!`+*}*uh&Vr*-yM7v#~F_V@Rt^GE@4}cOjk3crDm&& zI~yHDf=D}Cdy#u|Htk>8)6f29{6KK;5!LfYHAk$=GZ9R*%TM95Hjr4g!8f_LPzPWz zQIeMgIBn?p`|()V9Hyzale9*|T^u8Ed5!&zJCl zuh+Jz9o|V53W9BA1Z}$w*Azd-^%7-Y6x?L%s^>Bq0I=AoCvN9*KMZsS?DOY08gUma zEBS9hE@LGQQc9W(n}(XINjyv4q?MaQYrTR18P4E~FkyuM0Es~DWvk2#sko<*yc5i< zhK{c@qv6gVhc|fYDWmbq#8~QNj09I(7P47Jp4QoqDfpkxejzd*Xl48}kx7|DmSuhh zOOq`yidLvGk>(y}H*1gnM_>k_i$^Bn>G>P*_cn1S0_R!FP`j$+X-5-QO>zyqI7=d% zl58(+f!}ObaeQsoT8PXWDOt{hgx)zaG!z+_@ByFe$3T$m~dgFp5Rq;e>t)#V^R`>SD(r=Fb zBF(v-@JBBg=DZ7CkW^Gv)6}k4nF~!40!r%y3lrAX{Kbj+w|DUXEJ1s3?Q4EGrH{ho=xzR#C%&> zRhMOaUzf`*9dV|tFrzq$m8?{i)viDI5rD)QZI7WB%9vYVdftZaW?~S1wDTWXK547K@EFT)0BTC%(_E>qxnf~ zAOLT24#xnaj6BOMYSkjJ%03$NjN6QJP6!-H5PY@-Vs;)MDnze#?9x}R7Aarwvx2v+(*P*Racm2S-iC^LqZ~^ z5;FKDn-LB?_v#z7aI|9Rb5o0FH_S0 z0AF43m+w`r;)=|jGL@z=UqT}@00&*o>_6AwOtCU5rn19Th`2WGV}PG1H5S6Mjwa7| ze^KGg@}`W)0F|kyWYzL2eX-TwvX?&0A?EFUG_p$1Jsgrq#^t0SZ*y`^_s1a9>si$m zPRB{GzvJ!o?~bAUnHj_6{Q6G{8wqIL0`5Gds5^e2mMUvodRCYYDP@aaEBv2J^7v|M zClgdQkfW&`d*AfIMhei(lgq71y4+g=Q`c7*$?&YZ4Io;><@M7Vn!4142h`+{E`ML| zk0(8?9-0wqT!hQxA#206{LVV4#`i-^tj@p@vs+>9fl^w+3%$TQ1@G;RqmrU$@G3Xj z)^3)+Pi!D-NeWZUVP*za^BdUw`r8{M<}-A<*xK7zd*LZ5C5VSEEC-Yp+Xz$Bxl$f` zt+ot%eOvOxDSU!C!vX)Zex-U6{yeiSX5}wy|UDzqd>z^bm$( zvgridN!tFn^*sz^fh6PueVA=;m*t2~!EGAS<}|U>n9Chq)ri>Oc8ZQk8cC(G3$>VD z-z*cDQBWi3NdVTS>`kw?Yzi{iKUf05eUp>g}ldqZ~^>(EJ&H=jr=B6Me2oiCf`473z)%AJw5y@vi|@uZT%19 zh*Gu-X|e~zITn_8VgNfe&9Arj-}A&5Hp-GGc57PJ1ok~~X6ICKq>P1h_< zLO6n-O-_wxxVas_EE;SH5h|5PBUvL-E^VcUOb0bPOAAO4E4lvwi1~hacAktzNOQ4Y zy>qa@HO{LOcyFkmx_$d$u@v7UG?eO~%~KkduvpLMhJ4Nnl$n+W*_PT!>9!whWPxDu zQfnIyuhZYoZ8wQG046S+w5P#CJ5G!3`p!om*V?JDt7pW;~%!p|*dvESg~g-sn&F z$FP-Lfu_`1$s=>q9>u8QwR8c|l{Iw+pzDwlPQgwU(@fA_XF7`g(0=Ik9>41Wl#yoh zBC{%hN2k6Ok;OwUkJklO>{~~wrJg9ihZtf_w6^WBv&Oz z^cX5ea}AyX3yng+~_r{70Z>^D-Tz za;vYf->22Fv7$?9<-EK90L(#shU#$4t^86HG4ma+Z@vg}VT=trl=~1?!_)EoaGWg& zh&m_TZN0bL_x1g8q_t8XD3~w+fc~5v!KXm|74xce3Sb{LfZE_E6i=T{s9C#{v zn6e?lG*r2Yq>r1$GNl-%gjtDepWv;xyB$Py>INE@f_O>}7S6bVcpr!I%)c*1i7TR{ z3oPx^#Flx_l?}jDPz(1^e8ulB_+{X{!!Djo#=2axT+%}%&{O797IhK>t(sGIB#p~z zCjxQ*04g{OB+Du|V`BAwJ&@wipAKXc6+Agl z#JOJ;)y~w=taUY%aTbjv({mD)zna$QNdu`D#1om^aYI#`<=j6Ob5U1TqVZ+x5fMNy za!A+)xi@QWdz@Lc^VMZMy{3{WGP2YeQZ+nPH6+r|!~i!)!zyaGcDN+;Cu7Z3JjUX> zt|f4DU{Y#{H8nhHfs~WI(%r0f#Z1vm80Ur=?fD}7a>xGwE2@bJ*CY9YoA2Jo4XV;C zh)0l(&ARRK_QbB6D9Ljy%Br%yU>{Daw15S-f5ZIZF`LN*bxf3gb=7rn2LAxw-FNoJ zeA99?q4zv9Ii(^*VnR650N8zB8ydMLxoLHqd9@y$ZP)R@uEo>CLFnha8QxEB30G&=}aUwXLfA4%>F=jH}G@>bWgzU#0Fait5m;q3mu|Z{OSS z#?ZWAA0rdcmh)=FY>?EDw6eOYlEgprT=hPuZSkZFDboNk+56jneg3-PG7M$35^ewt z$8MWn(+bAHBQEY+eaY>=%MrDVNqdS!vd41aT(;K+$G-mnUbx-{kh-)7p%&MF#9=8a z6eozv0-FK=>+}BrI9X?j0BN5}I`GbG#r!FmaKdIV(!rTjtWrF2 zc$3(Juq;BV*zVmx?f^G^=RYMmMahTC>iBPuD(f>j>Y^WKmZlbDi&zgSjRvHQk~F-8 z2GU6M!2bXV&m9g`m}bI~j-erDX+L;XEHv@jJzAuZwpA9r@cYiLV{m?GlpH6*)!Zvz zG}(*Km{joMJyf%~zL6x#ngJUCs2Rwy)NEq0uMHZJ~wa(V*in3JAC}Xg!wpn~J3>4r;-)@~xwiTMTYFTszP}a9k)20Wd zmPkCsuB>|7ujP#WrD|9eMzbyNVSktFiCq>Wj9Ifvq-_wof)?QHF^OyBs9Wk()GeiU zApZbtBGGCkh#h>|SlgyIWJZ$3nQnI@)cX5vf=-9W5xiA1e>CjZ*z~a%xa*9QPU;=5 zBs-SwcmDvaVA06YXc2}T>^B4Gez?Ri&MI_BrIZVT+lye6v5OhBDyTqyqqymOD83%C z{2_hGC)XO7E`_C2vAvfYtt{=Nm>B983?nCQ$KMd7m}?pD;y$4o3*W7}ZPyFZK`fN= zXqjSgERM)a4aY(9@7ow)(2G2706X>|ei$N>A(%#KnaW&R>Mdb{0$5W<*>x6Rl|rJg zGcIjyCMyp2>V3`~mOUYEHEBLl2(_@uCQz}Jj-EQ_)8z~pSo)s3T>AQ9&Vr&Eh`cst zSB}HOEpvZ=fAfNe2+hfgkD}kQZla~RHJek&Lq`^B+C4V_?k(4U>4?7~^7*oF+C#?i zh+R@@X)gEs$4U@?Kk0}60NF0@{{Ygg@&%ObnvEoaZ{$KoA>3`ve6!)lNnWia&~dadT}YZb3~uvLX=bdNAs5}nGc5Ynbc{xu~im7 z&~`RIiXXDYDyX=}nb}iOrii$LX7L$GW+p@B_5c8Hxi{@>K{+S!rOPfC=dT^}M~Jf8 z+6<$KV?Vp->EIH&lnel3HVnf_+sZXqov(=>?55%v_?w!X#?NAwV7Y}^sivoq%V>?{ zW2k|32cW;;FJp!*v6n!~5|3v;{C?%Nbbl3GM){0!YMP}ajUz&Xbz2J?lW$v--_T-l z`#5X(KQ5}`$unG&inEIJrV>)+IRsNl8W0chT@{usMA<_~))pjNbBK@a5}-)_EHkl` zvzXopnn_+ZQ6!#iZNBU~YVW6PNdEw5CUL~PJH`A!a(+F?vSTeGiCVWQpD?SRb7<+{ zjey&Bc^KJ118~HEjVzYVP_<`NawD2`{Id8^&v@dahbof4C$Ft%%PK1blPtQ=mE0B# zS5XIlU8#14XKaE$A+#iKyk67G{z~MvXkT)ue<0hLWLyQccT#H@*@0LXMAy zvi@#TNl!^l98~gILj)9K3|S1Wqg(~rBpiR+|J`!=_qpS#;z%8 z^5{?4=_k9#8xgx`EvHfSw_Wjh^Zx)S)NLb@i=poas|#=A{{H}w{{Y84H_5JE zd{}V*04aDUjr#kXHtAy!bt7>j2V)V4aDC4}I65Ga^wqY6q41@v!1TGNfcf{`o@u$Og3UUV_ z_<7-6mRy%HTKwmTsHuPTxwN7-LE=;~X%HKd$c(X@Czr^jR_9;Erw((2I_0!8@(+Zv zo*(7iBxx2ymr$%QW>Yi9)elg2X#?h3q?Tk zMzKWDMie4=Q6fgR77Bn`!q|<@!2GOQBRur3_;Ro0uMBaQFB+Z>E3BxH@h(|L9$BM= zc}+!KLs>j#c3UDKP#bW*P{s3?lbpTcN8w5zhMK?phPn7+Dvl^zww1*$UoQSbG?wvP zS%Wd|02|#^`;cE8{L$n;4f6*t;f#YQlRoAJB}5sA71cnmTL`IQ)h`@}%oT_?sat#iGH&-KU8T6;M?HaTu+^ert?6{?AlTyK8gU)KaG zM90dOH|hrD{Qm$fZ3Qe+p^h4hKV&Cse|%;%G|~|xAsZ5PZV$KXfD5rEl0^*-LO4@m z3BK6WQijvM#8_BZ1AhH*O+sBjWxCs7$EVlnjMCFAfEkG(3xYczmI6zYgqE6;7f9qK z4w5XEvGq91!$>0pI>&yV`(bLrVVG*tV{3w>>`$gN0*Z5`nCS~`#qeN0z?va2*0Pgf za2OMROm8aQ5P$#(*~d|Z%Tm&~@MQ&C?*nbUy}dE4kRwE9f<+?NJKym3#3Ydb6*S8u z6FoVx7XsU35Yey&VwJ6LH{pj9BF=&bRVurU7vI zU$g@1-HQ#q@9BabmYonPC9X*-quSjums)*lWI9P35H}cA3k1gOHxpX<3$QL(zidS*4QhFgrY3Oe#9T7HheL=j6H&w!r2vZyX|?a~Z`Tuy>U&5*SsQY}Y)&FPK&+?6k_!@g z1N#2BY(aKG^A!T*HGpd!I}W`)u-fy)(U`<~^qrKj->tCgoyFj}XyekVPQYK^@WULi zkr&#BMm}%Hy~Z4ywQ$ao77nZf zY)fBnZT`PJHfB-ILse6-u6>L=0K!cb8HH@{0unbJq-&RI)JDF=cVNzpfs0 z7^?O7@usFG;Gpg4{V>m7)gT9D(o1?_(BVzf0exzor|cuBnynGBN-< zgMGez{&*oO*1;oURBUxMvF7pJ9+cX8Qy^A`Uowv9^sBE?9~1iff%*`QmO2;xU!w2~3f#*6z2tU&7?< zcj<^5{7&;8P-+ZRY}U2BJ%Anh_6G_4M5%5&aU6A4wA<#NW-R5n?^>Z_6ET@Y(TE$u3Z2 zkHfY6j~R|(_F*<*npdoHG^L0F`mcN2ZGiIpu$i_TaYU@LK3VdGswBx5HR66HuA=}} z)o|uosX-ibydd-jEJ4tGw}xgRaE`V~SvX=);hC?Qe^Ct`YSx2IEz+nT?$FDR?e4qw7twxF7p zC$#hGR1>&s7EqwrZP$IR^2K=TvhUlsqFxx#*GZm^BLj=3;GQ&|O-41$v%K}y_qaA< zZq~K7xlv)TBJr+pWgK0{`4Febv%JJXPbic3S*(&oX8_vhNxOhNtzviEsl|iE+|b}& zXXR9!Pn_}H4pSUT34)!KQV8w3fqhKI_V*)U+Y#;+&GM|zg!67V%j;Vs$tKN>9$%Vf zL<1v)B=~ytiC)(wM&J$Yy`x91)ar6LPBe(rY4Bo#adz2KNy9vUl*1(_5YyMYh=lXg z>S=sC-pu-L0k^K=_BJ>tmt3RbUMiLzA~dTOSd}_js#efgowTm^KRZ}k-xh}(b8CZh zE?v#S`5t{+EHEjL#j2=Z7P4TZ7SRA$)du^Ke%B`8!MQ74U!L*J7F(I-a!pS|9FtSh zPcbkffGqk6v9{-Md+m)U7j*c+_933aZe>b2=TQaN4>BQ<@1gNSi@~E&ZpeZ)F>(d=` z%DksEt)ockIa!s_=2cZOyjhigYP#v7^X`e}(_&PMAbX;fVZH0@-#v~#VbpMc4e-uW zn$An>?fjrM6aRy$i6ndpGJHCfwne6XF`yDk*I1Q_AYb{rTYppX$5g>fTb*Q8by=kRJ4FQwc#oH~{{UvB zra*`ZW26mCR@ziEJ8pM3!rZi?<{o2PSC&!I@QA6NpR47wwDj>0F?|Xys1z|Fihw%p z)*dRZ3mRywkLr~#lbC8g4tSm#l<@f8hyXmML?98}_d5Y=?`r|R4Wsxr%qyXeq7GtH zns?vC6s#41z1dlhnQj{@EhZJTRl}8lM!aM;@MVQEm<7QPOCSwpl_SGbY(W(>B zU(Nit++m|U=TmTPGF1|!p_$~n&x=Xq62 z@QamD%@sV{!l&CUWK~ZRDa0rb8H)y{2B1W)yA2h7-m69*W`=~AUo>v z>^)8Y0I}o)lF8jZ#Sa7bl`E$+_9ANQI93Ks=GY!+0lxIXlSC4O)lFb6o10 zdY`n(`{t4rjz|?i1!>BMWo-nFdVOusmX2_9B43IenuCb>PslvRk0;A(G*#DBO%y+B zn36;k>9AwmmDFy*NKtJ~Jl*2@J{id-%PMMfZ2tf}&S`?u)>h9NQlKTTqA>zEub%NY1thMOhS@R6Bjl+N!}MrU?m1amV8qJ0W?Kx8KRSZFtTN-?0Z zwKu^{@mCA;lOv_9sXVEQovC7I=ZUkg5hD3 zpE%MJtwknomOmgV_n6)I3=%@a=4 z^zkba?Gm%XLlAi!%uwG%;G0BZ03inT^^)ZPfkM1Fg-; zzAEKN*3-q1wpDv9Yov7@yWztwpDm}9HEvY1fWJlzBmFZu&`eCKVI0~nXjwF zJM0-BauoWv~d z3+wYvR&IO}M9F`$UJuQN-mG_-0#0Jne+*Z1#?uN7v2hM1|q9URlH6ROD-Da)+- zuKHJg{`mDKQy74})c_W90loJ3`t5>F(B+9SW#X*m^t^8fYg<>@*6gG3`QvfL`SdV@ z_Mv-uiXz;Pdk^u3_l(5GBqh~G$8R^|-x|fOz(SjCdxK;8;(4*F2&9z~)H$B5S&84R z^Lk;$q_qahft-6LQvfnb0cFB=jAf-bvTx9f)ttimcDP@43{OIQ*=z&Mq|B3SAy z5|94?EzNUSvYG~xStp$$hBQ!$%t!zZfDQVSZ(LiP!}wyCfcQGAJeQB?EAvdII)C0& zxm`4d7-W{jNA`&{7GZJ)lE+J0;BQ^<_Z4OkaTOg!H5ib`R~bY`(Cudy3fCG*?0bF} z7w0|eQeIruWwkkHFY*U^=%k*WlQ@#QHLa;BX9Q_09hE_nPbJw^b~XmiF09SXi=K<; z_D1HAS8!(%Qo0m)>sMObbZLks0RQB5Kr6YVQ^VQcK0epWw25O0hg0IufO$4KS14rd)L zPG*#};-Y)9!oF+0hUUWLD7F1X?k~l)1x9$DyGUOX_{rM zsGt7;T{NT;S)KPfK-op3166^$lXd(f{3mjw;rA)cGd=*~ik#wn>Q(V8CY3bB3W8E3 z3I*&*C7R@tIst4@JY)MN4K`gRPX%*ErfP`N=^bSv*~k~@q*#(YO|RbA+xBtiyi38{ zIaC{-1Rr=EsEFSADdHhr1r_ z6rQcWjij(13@WN#N0#A2t&Q!!ViR?gY%w>Flel>?J$EztRm`lDhx5$CIjO78Dk~GpFZXEneQvOdP*J}pl8kOqC}FfMT6$543-HlgkMhQ^z*eJMA3%qf&<12`%!9=h)hm|>( z0(>%a48T>kKX_Eo&_ODn0u}@!ojz401vXxn-ybG(Mp>k03P2$22K|4wKC1FZ zf<7T~&xT$ktN54C>vIg_JfNCNe5$dv)KOFTR#cP^EOIfsctYhax`=&I$MUy2ju>hj zFx^_#abauwU@}n4`L1btPO- z)qdm)8DLjIw`&dh+Y3#QQqM9@DbYaE0A>Q`(%r4M<$^=wr*&;`Mph+qtjghT7QkNT zt-bcb)j4sgrgmD0AkZwam)+aaz-`m?#F~N$DdbH^wz&YUw-{#6fr_-%fE38qP=`=E z`r^bJu~VogwD11_gN_ay(D+2*${Bc_vbwCUt-jA+8lxCp0Q7r=ZS8IGXi##Z zhn767&S}?=b1oyyYpYhTGtILqglQy`$^w9js*0c+3lq8Dpd9`1r#feU1KGAy0Hg6~ zvk5!~uZCG84oK3*IGI`Z=zxpg+Y=9jJffc};7&2iXW`x@HQIby?8`TydU|=b{{Y*k ziohc5#9WiG-?`BJT6+5)Yd0Ah`<)~t%qu9Nn_Bo~6srSk3wsaK9)y*ZK_!50q55IF zhcgAJ;YtaqpT!?!j^PiW0H1!HMh&28nVv-|81z>joi^!@oaBp(X`YJ@=}+t}Sb^s8 zQHqd8_v>x$4i)=5yDdvfz<>qEUGH!0jVGuurkRS1fpQJ*KCO*t=B!lF3S9$rJusT& zXiE@6%NsLXTGs$~zSu%ICxWGrwD23zlALsS|02tM4sS@x7z4tp`z7thhl1RV_wXEF^*1iQ55mHh3 zwJW2|x~~23L^POu%LrkmbdtVPt72?+I0k7}h>_#j2(u~a+QS*H%p#sOrid)4z%P`0 zZ~ib5CPoE`DyHXc#yEzehY0KEiTpH%|x&=NuIW$_qD!vh^1t#{XP&J4-Tu8k7KA%J#7%@au^^O86?$6$nTtTD8W*44gw7%%PB|79ojV_xHr7M>4w4 zqIdC8SOo-)OK;2A?}(;l;?uU6ob~8mzWq)noLx?JI~$UuD-EoDPrf0!icD9;2Ud$% zYUyiw^zDYH$e9i83pg%I3%1~&Z+tW7Q#B+l6j9#b`G;UVJ-^NzvkL1F7Hy9DNZF6| z!!2a!@-wrNp}dwG51*zT9zhzUmD$N;V28Ks_+g_tks)?NAoAYm>utR-^;S#6{{W;a zMX#rRzgELdeL1MR%@Ejq(oOI4_rnsWXAz9cH>Oz(QXwIFupK>j{P7>GS_xECM8S0% z+}Paz0DMX_46>iaFX4ivZDW0w_++fhXx)C%O%T?m!BlPiv1S~i8niS>usahnMSj-< z{ET}Us-vwluuE;R1Ram%k78aJw_q0;@pK~vxN99C4xfhDL8?%((i>xIU#1F46^fPt zfj(dbx5g);ryxI9u(0dDTzSayk5197lSrttAq588^%nX#MtY(^@R)){!j1Y4*u?bG z#URp~{I9k=)l)|gWnS8P6Z7wf$A&ITfkd6sFz%%JZ)P{J^v2?*F-}rdyV~bZTnip% zs)1)98ylNj1Jq_I3~Z`}uA~!d6Y|B3Y1vyL%Krcnb>?1R%vr^hZi=1G#CwjofwD|u z)auMdn#;KN{-2g6eD-K6xb}H3=Tl}34!|9V+Wqkok1%JXYGifLJg>EworoWi$EVXI z;=g0dYA@7mKsi@d@c|9dN!$x#Wo5dsHosC<-uT_TFBL$E6zT(Te_z8GSz>e|VibY} zfjF|#MP`#W1(++mOa{QSTYH|pFrfjJiYYp8p@G-~+!Ox*cu2?rQ4nU-Hn&5K=5oL( z`=ZLH*K>h=1GIGDY>Kl#;_SPJs54qxDvGMeVoLhwA{YxYfbXGMw2g_fu^?C}ICre& zjX>s%nHMiPhbDQJH8M$_XH_&No(R}8c%*8P+0jLUvjt{5cxZMJypAV)-V~;ddU=T?+qvm$TdpePyWnC+ zI@0hL5b>Q<)m$IL^$nH9<$|(x(ke*3+zz%s{6^i)@YSAhXA$JpD@RwARBJj;p%W3` zq1fzy5$V1=eh=C;%37G3yz;*+qI8gX=171Wb+U~_e1-Pd9kE_<&+Q)J?jo;PD0wqN zl=^@y$g#Y;`ir%#(0|q`!g*ZVXqO12Q+yfl>&y)Df-L(mr>CN#1URRws4FXhzUZKs z@BaYEf<=e~5OFT&PD>XVa3wZr_SB9Z8>K0iQbG#T(xQz_s~VjkoyluzB$hWj;rEL9 z`^%0tmM$sJIDx5BoNA}aa;S&|uJ#Dbe8#|Cii3NSF&^T~>2h8}9P^1G{nFsD2F^eXMTPL~4s7`+E$fB$6{^LCIMYv(x5y=t=}xx|>Il8J!c_kN zXc?c03LD8KtdeQ4J6nF3+lOU_8WwhQU=)>6e{=Qigy`y-^HrL8d@tjxD}jAG-v0nB zX~jOzE1oxnAlw2<%!*wxO@pw#2I%k z@{h7`^mX}!(mdIGH58Ib8nKbTjEiqD3(aPDWuwbBZ=jMPI2k5xd{vRcPbk-vv_9jJiSx;7 zAfC60xEN*hIeu!eSx*TX>@(<9eC|)*$4}h^-rJm0pAgws{x8n>a=QK_6%R(SElp%Fm8XwO zouLH~IxhRDvo@<1`^NL&{wku#>EAHVGcF$C@hk#2tCuxM=9NGNqVZ%=BZ0AEiKLP& z7y!nA=I0nw@z*+&IN{9SE~8fpyFk7*%!sg)Kgc150}R z1MdP$Ty9C&Pq;#Q?6WPRp*+l@rq5o8%_C*GY%tPgaWPa-Wth9lR_XbIhLYIKtg5cG(#1ex{x*7VosZH+*=e!K4ty)#OYQ0;j1Qw zl~SpZ%LvH0uok#c>TTDi#`bLW)G1F{lkoRBGgYfFta-j~QI}K3Cw3Pr1Sm|7y$J?O z+evF`8fz|f=6QBq!7}FgR&kmbpsiG$jUIR?T>5;Y1w>9aL}HvqB1rwyjI6p;k* z*_Buz1e^L{SSaV4&Xnw+gL{M93<<^@Z<$20P-m{Bo0W+bbPM!07|m91#j7Mt+(9u? zqr@^v*VqhEo8;ESl@gg`%p*ZdNd)Vr+Q_*sZ|%@wQ%Rf3s^4avwQMw@KhqIOGj1z2 zC09JE{uuJcB{%t-bov;PHwW`NA}FexFiDXENgR`q=nmFle<9w$HIMYbJE7`=-iJCa zQdlZ<+_#xe=e{u>U75!sy29XtaHhcheS2V9jtArXuS}V%R=^|xs?S>L?oQwYCsog- zx48Ae)cmF6r;cjVNl=kfHkzu6x!O3PdlFTdtOHmby^bQ;Vl}|BEyBoi>|5wz|Fw{4Vq z;Y`1i9B-TEvT)}P(nCF5YR^+oPVDar3kG*OY=9C5=Jy}~Ndp9nxZ@F3;rhs;@hW7e zU3OJdP`lsLd?`)BxrIeT2%aX<7oWnIFiYOoBVl_rzDLsvRQxyd4=TxDi@1UXiUp== zqw!;4%(_bhW6&FIdtUeHiJuKNM?=f%DRX`>$};#VRLT3XU8I(ITgr^#Ex9^D2E+l> z3t*#iEHxTLK5iJUrHAb@GAw)D!;@=ldIRZ#@=T0n*>t(?VVY7!0sVGOd|{gQHRwBT|!YSJKvh`#NSkWyN0(?LkvL0%f^&XwlNr zM?(~we-1ZcDJ4&q6hL%`a&)cm+wlR-erj=-!>5x%EAMSa0SeLoNNJd_7odS-#ZN#ij8sO@x4(p1p^* z3;mN4>*Ee5qG+s=(MN4t9>3^sjC_1CYT=ESrRJT%26Ct5wO!Fx6sT^lE?!2iECT} z#};SpXUP06KjCVJr~*-yOuiTwE&NM^`rFfdRo?kXYCP%xn$&3tFq?_a?qMct@Jm-2LJ%2;sga;;Q6iqRlviGiH3Y5>3*cH9(LO zq>m<&ip-0oJb|vBPv!g(z#Q}D3|Ufv6*UYs*`Z3IG|cP^okiOIA?{p@`AXB8a18#Z>~Nx@(=5ef3Jv-VuYRY#2(O@N>uBUfVXlrcSf9PO zU-yjMxhOH0LUbXfIb{GF_PzUCd_7TQ`EyeEO_+FS+IICL*nEe# zDxGS6>W+io3C}d4fQsVBU~OaD@c#fQ8cBI-pwbKNwtT*G|UV&6pG61<+niH0Pp?I3xs)hu zH09SEaJ-pZ_5LhkNa-p5{G=!grslwsYzf;I{{SO7+BmFzNk zmbO^Vs9{F1?!7-P{=G26%Ze3?h2Yf06nRvGu>0iy0DsdGifS1hm_~%$@9l1%*B?99 zHyuRR-JAMmo*4efMAoU08JvNma7Gf6s#wrRN2w6*ckA{2uypxsh!R=)TI8lTtIMgy zFO3-ttL7jmxEu8P?f7D`YLMF1m9e6vafSfw%y+_a($+M>VJ5+^aJ|U61aHZClBeprN(IStX#IeUmqXa%%|``xgw?)aiz z3Ur>0zW)H830%UOQ>mR$3jlQOd}~!v4AFv(aCWf=>!|wM0_`0@HJNIYbW|&F7t9CZ zKO7G(O0{4tt9A!&rw2UHQnKDMS%%6tUzgXW5H$-N<5+D2W+AuzI${Dql^J}|#G*%C zN}v_=wfD9fGhDfzoTQf|ZUWnD-`5ZIGL}9pC(C1VqkqQuZ_M*0(0poe92*g)*B0t; zzx%|eOcJ=_dI@eLlE{ipl=VBG(-54)E(!~?5(^JQd|A9m(Me9zyLRUk!PdPUbyAMo?JV z?QiYtiEU7+W;WL0d+F)d@a_8HsHz#lKi4K*T+A=e&~mb)7*8svuea3*N%YCncWRf{7BelzQbNP&T_&wa7wn$xSC6KR%2HOp>twc=d zuAm<hXxuRy6*j+YYV7jfW6%zPjfnNdnBM~Az0HPS;vsLeT>4(!HtKs| zOvZ&;GSQ0wEG}@b+M-HxBT8;M6Y1^woC#N%mZp`~TQ7o5!3M(KxPC3pMNEez^Nci{ zZBY|zwvFsffZx~U`eH29aq5lWy@(cT-=}OzxuoeQ8dl2zxkl4+Zb-lQ`ri?|yM|cH z686$b9X`LVJw}uCqs!`5NtkxI^NXEV)Y}o;x5(qt6D*e`?rz&`KDPYunp?yXI$zO^ zulaWW09f*|04z$XHqs9N0ADOwmDy65L{cnf&tarD-Y5I~@IgT$sL)NwvugIh)Z$AM zBWo<+1sAuk*Ut(oBBr?`wwAFMBwqs3pwc8<0hVN$?-o&IIp-C=OGhK#e9|gbnn(~U z5>*t9Ol_nB$}Ym}2KaEK<#i@=$MuqLnAv3wEr<#bZf<*ReeugQGfLk zSl#@#-s0C6y~k`WCE>Fa6aQm6p|nAqFC!6SHpHU9Grt^w_PZG=KuC+tM2 z1bi?4&Aw}MzOGE{@h=bL<1K}2t7FgVG{sL$th%0K(?|?85pb)!5H153#CHl%=TsS% zeVpbp*JhbLL(_e>7cR1hn5LCx8^>UDfHqrOY&h`m#r+;xUt7j`qtxa(-E>|JH4Qu> zD5G|;493GPp1cy=@l1T8KhTv2OyDlWeV$DU`Z#4779&9Xtu4P4yd{R~_+Ud^>V~jyd1N0kSIGu8Nk5Rd=b( z1u z=GP;!a!*5G02?p!Vn3ApU2>v_iDS=dIdz+6RrK)cqo~X)5tZlj0>uH-9-E7O^Kq*E z6BhpfC%zB4C-Do7#w<@I0np&Ewf(_nkht%c4MGP*d&9$v!{{YN? zgTI50OJq}VB^M~$H_mG6QF3}5&nj!OO()QwFI-#D06(0-p z2jT{YhpDnG$B|W8%|^fD<~iP5JkKB}RsR5q0lT?=e*MRxZ*{Sw-(pEPkntbI7aisKSg*k*++sT$ZKn;n1_I}Vtr)#!_!F;9W4r#zNvBkwa zJC%xhIO;0$z8}a7iYOGXlCHL6ZEdJ4=pAkpor`dl6-{)Gq3Ws)h$O2LKnK?yd%_}_PjaX9<2ixVtt&zD?4ydOtV zm~($F0VRv=(&gMZwE0=6DBr?B=f|ti74+`6wylXPij(6HmupeLQE@h9%q-XUd^I8( z8a&JF&^2p|YMp4|I*}~EhGV1>0K%D{#qTTlj(GD5zE{@?)=1)ss^wD+9V)51gR1ft z*y-}9>*m}TU&NQhe=GTc!w_(1DtUcfN#f1p=Naa6I=xL(`E07FY^n&_FW>Zwh3{>?| zy;W&Vu+`Tb z%fK8#DdP;2?J~s`JuP%h#r9A`1E`a*x8yO=yeY16)^+eZ#8s4m5nljZ`>uT#u!V9{oro0BukDRTpuD`xp# zQ&0AZSDInLs%&Z<&s^{S4YC6KnJ4&zGbja0L0 zYbagG-sJ4Ji+Qn=b1VMWWIvC9adj%={WdBEatU@Qk{dK^7Xk#D*3&WGVx4is;bO<8qqhd*+U1 z$2rd>s_GvsH58>980)JUWAO+_@h1aGk;xZO8KX_FX0^%R4x9_jEa#Fj$&>MRLz2-7 zN|_!S46Zh;F%*9VCdC@J*9 zjUbgld1O&=fsc|PMxu2#phELB`TlJARJkm8C4(GQ{{qV@jG->Lv-c|Lf zaJfpC?b7S^I)j{C2bogxB9}YNxy-ZB(`X4rlhQ#)O(+a>D3OPWQF2sYqr3TT1=_XE z@_u^!N>V|TW*NjULywoaGdU#+WAI~=Lcsvo zw*3ejekUCP@a11S@WyEy%GzZ`U{#Hd%enmVUo`F7Lt&+G#bZYi^0%ElYr{0$ImCQf zMKv`mj}AJV(pC`ww(_mCgKfP#3k*JIe5&S7OYqw*esji|Tjd#a$rKrTW-TQ|c_II|H!6TwfHmoSM%=vlWa6Kw8#6pRgFIizY{tm%yy} zY8?^3flr7`w~_qfbHo!WWtpO^6VH2Su{I>%rsQw1zrNk|%pP9yE8=s8>1lYDwt}!q zf=YE-l<|NY>IzN8uh!NV$Ig$1E>CdZIQg_VV~99oG|i(FjUv(Im5EFlm%Ng()EC(S zHz1oTwk01JkS`^*zZ%4+Hue+o&c*@@Wh-hIII`TX$6=f!*rmygvo zN%l;?YmGcf#r!PBt|XAsx$uJ>Op3?M#{Dix1E3*~Q;t3V0LrrpXZv$s{b|37TsgsB}%ZnQ{oocFzLr`U_ZEVa~pAeSZ zn~tZs$9GKY3}NG7O7&}Ody+Bo+u&2;BZht-`G;Sb@ntPbP*&5dQnU&QP#o{>EP8%E z`0ISj_M`kWB{M@!4tpyDr9#jEr*6cN`rG>A_6)F5<(_v_rpqk9O0wGh$-x<4Fe`Y5 zgCeGnJI*Qe)>J!|m7xIGTI3?{?SFmo@>ic(Gtu*him91Y5yVw&NEWr`V{L}rZTMrm zYySXg7sK2&(s34F!#TZ0Yat?;U{Ld&HVdt;5E}t-HV5Vp6Y=eJUmNj$XU7@U6m;_D zd4#oXM-gI?YjWB_Kgt0BT-@5`_(rodDJZi`#dy75e7~lVSXN|dQyi*Ph|2di{V?y4 zP;pNi)=}jAJ;U`iH8+l)D5>e0n3J%%18?cKwinIY#!WG))-FOv)BXJa04z(nLqlGi z7oYK^2OGzfoUSwWV9X21la2nzRbMMp&q^iLBnfW3EOJjZS9 zFJp$x`+(%(9!O9er;p}#&n8JLvdHFJZUwdXxCHILy}DrGW^Q~kigLBWPrs3ocvFjC zms4|DvTYeG6-!E$63C#-A+^FMRs!PWY%ku%+GqR?#vC=k*+(DeS$s6~ne4=6Ff{)F z#-1^M<7l^)E~El%Nf)sj;!DOHy~#5CvxE6;Ih8E*xs1`vT^vZQ6#~fQG@5R@*j>m3 zfOOkX7Gmkm9tYuU-<$kD$|$*qm{f57RY?p{wBbL3t#&$9H0oy>cQ#|GdJ8DC3n=B% z?uPx*{ab4J>f8O7%lYHpqC8>1yusi)+>?g0th^;}5YxvsB_tJhWmh%KKMV5 zpP3mJOPjWKW07gADkxfCviOz~2!k*YmbHrka;1g9EDnwt#Y2OQI2(7X>Zj*_;N z)$JZ*DuoAa&H7?V9$`^IGP*Uu0NVGr*ZIX`$i8G`yx`&b{xab>S!k;BSzei^uwx%Z zCfzS<{JrsDK@$i0S zPp{JoPnr10wo(5Fx0XuDtW}?)K8wEETn{WDH(y&1d zOq7fSZbq**mOTgI>xCM))V10Jb-tESar5nbDD-saNR(-N+hN;#VPi$&%o<5VUA(<) zFNvmb1ho^qfn|LqZF_h0>w&Whxg-}!O{@v`*!B0rw2(s+7Vz#5ORry^19)ktm&GbT z?H|9V>FbChff6#27MYt-9S7<4Zun9#U;;_DOPlt#{qOR@0ys+QT_6BUTy*yI#vNgp zGDrvj_P+MF>;5so0+cc^A;*SVz!kX{#*;@VU?EdwYXfp@H^PWyfQE(%JS~me9+ssg z8qF~aYh2%NudVSEjuCa3Nn}C^w*86xFcxtEbupsZSc7wY{cz_bGPFr7UwwM33zPM1 zH`d2jDKN^mDs8J*`RVJ9Nh64l5oNN-fJZNot&Nt%->1tJ{{R!@$w?bDagAEZED0C+ zjr;x>v$KjuiX(R}NU+t^fHvRj`r;GB`F6P4SmZXf*lumM859J&ATo-X0G~;2fPyc7 zf71d~wxC{B3`wwFmlodt0EQl(mULpuEI=f5-1k2{u-{b;Gm|5s4SNA#H^URIMRYby z84!d*%cr3SZ%co?G-ovR48B#_chUvH{68^s3F-m3xP75dngEqwa{VBe8y%_6VxWD`lL$Hj=pZEi8_|N!w%a zNex2GG#hSq{{VlkHNDP&Kqqa@?Z2njOlEoqrh6(e17bq;?Tj@m34jHL`iKV}PAx8v zSwfOIkOYBAyIWSBu#D?h6i=qY5HYa)FqUOc4J`!0Eu+FD*mdjq_QCZmuxg2sfw?v(-)uMW6+_3B z)L0My05@{FlJ+1QUf)|{)-;nTWLzAT%z5RTi>n+a+Q1h;10BgW?}ikWkvurizSp-= zYk!ph(?%plKs4KNWBGOI_34d5mKJH0_2@@m!wPpnZ6$o9%6V;^ zaVLSzEZaDy%95GnH2EsU<5kF@m4rN(SVNIxCW$ov0Q|P>=aKSTIpTga%=q7gIcJ+v z@cdHg%d(0{C7tK;jVRJc39;Fk`;+Ag2-6ziNaK?}hN6EAQ&JHnQ%u@XP;NnPfE~x< zj;-KN+L2qsoG#f94RAhJ6jTl1(!wsRSF;c;*55wAjY-;k1Xo-k@KMg5IHx>C#d&k& z_Kxe8B@-Efi&;cs-L1JKZZ#X5Vh5e_6PsB_61G)M!Fh#3H9$xz(vgI2N&f(mu-f~c zp7`m<{i@tV`DBg6Tq2EBv4aIQFww7Tg6`L{-)pEOdTom1IQ^o$%Fi>Am^Te&dWh2u zWf_$T=xj*2`D{5lZG$A4Klo++oprobkks=hiFwVH@WSx?(a!Z%i{@#p979b` z15H&Wh&KTv7X_Iv39GsFlI1y<15{_#arl+6)s!>8-qaq?az)MTPWIl{7<0%zEAwV* z$hc>RYI9B{;w3OFj)4ZL5AsJI?Ao>p2<^VsEMF0l!^`dt%Xw3nXUUmyJj?d3Ad-&F zO+h0_TkPv7+IbXg?4Cs?%FWbV(>#{A&$j?NVM5c-UP6{%7O2StB!sqd5z7EETMxu&E`lx+Z1ks zR=eHzCx1iJVeN}EgT4s4t?@z44Ca?0;!M68EUi!4Gm5%X3{w>s{u*j5Qo#9~h9js1 z=~(X`d>GYomp7%XlZ#5~uZ{fr@vkgSsbwG85<>q$FxEz#e z6OJ{Hhj?ouhl(Mhi|ujIDM^_wR9Kq>+V>*j-F|rI4BLvc%+o%XJj`mEd8Q-+#GN~z zF8=_^I{dvif&2jL zh00uygcDqn-dFKeZC-m71y}Jys8m-TRNP;$UzR!oUKU*MhoYbtunbBd+Q5r}+x_G<&B17l)y(vOBR$j)X{>> zf8DICXZ{#qdoJIe z6^lE}+ z0>l*vx&Htk+t&$Y{{Z<6ld9LHNsWH-9-rIO0l01@XfMReGDi^rL&!YjSe0J32Hk$W zFwIw09aV8^NOXp|0F%=W6#0EcW^Ni>wowi5p!IJ-f5Q`;ui-mE?$4q<# zbC-y?124_y;e4i&YJ*KYF#WhYM;kI})?-o?$o~N6sYtqzK_I{-_(kU3Uk%Axygfp- zD=P)2im%0~stWoG#~LXjGTuuM41I*fY!lfL|*}aEH;@oZJ zS9Fy=zvg^#_DbLF?+f5gIOEX*@|IcRfO|ARd4lU2Figu<@Sp zhc|Nn0E_5&in=ZAq2}&F3ifC02B)Y!Ox`zX}yV!EtOBOEWs)OISbU+TpEiB5Aiw-|^AMh2BUhuZdlMW{!j$TgyVtL6zk>tkr;pf;OE8 zkL9@mblhp$=H)=@MX=Y+&MuQ6<-=vkk;@0~N|7A$Jy2ze%cv!Q^EX|=u+o0@_N+1v zaHR!(RaRTTlt!wlkV`p{n?wpn@P~aTa%@Jj7T^UKGn$;>&iSiLmt@Bzp~~{yt}-H~ zp^C<7Sox)rPrV~r$}V)OsM4UbYE*FJgSFWI05jxatHr++kdY3QkyQRsh7kI0o~1_XWo1@cx)TC*j7Fc$EvK1MP9USbJg5HCk-GjiRNGLlQ2jy^m}9f2>ES{fqi9 z3$h-jZWs3iT3Q^pK#7C7OEioH@9I4V`NK|mnchzZDUI0qm>#12Fim`g>Gd^2s03Sm z*#7{0HPp#W()bd}0Xvo^--Zqf**c8rRA9EH@G{j61;?`k~8u-&;vs8SvUrpp;hVSStcR>8M|>1TGi}7De0nu0i=@rT!XgMOQ3x+9l?0Nd-z*PU%Bm z1ZC+d!D8}ik*ydGN!M!)Lu?#Vmj-O&+b`eQnO`MOB&MdCb9#Eg8CD%`w;!dyJam;J zEH7ksJ6(G>lCRX=<_7-H9(U6001!;#`MnOaKx!g zTnk#*Vx^|cW?2j;Km=b)^!oI|wJ~NZ07sM!$Cy|XZ(x0K%!bhB)iFmehYBvP{{TOp zGOrau(g+*f@At3P-(J{kgU56-Nnj82>e4OW<%GszJQWNcBH9hbp4asH`X>}F(BpK7 zw24%QNa@g#_+w>^&brH3lp79*Y&J_)t0)TwqDPf4V#eEyB_?qtFbt+euog}3-Mjs7 ziESoG;}K{EnIvr_ZN2x~0#ryma7NqiJ2nPv`X>tnu`w6EpYx3lVFc4^UoMei*6My( z2|FX$a8+5M5*Zgz*xZ3}jhc>Py5(iCHXz&s{{65llF>t@oq}1e2wySVd?O}p8<^r* zY(;=&BlEz6wT>ngapB1Nhu;HM*T1jVTn|)ICkn0#_SAO=x68Lo1vPhuEgZ*T_l^7G zNb{?^EU9o#<(KbV`r=SjkbPvH00@l5bd5lE^xF+pRrK`@WtRGl!vWUcJS|f+@+&Mz zRJPYGzWuP=`E68@v&S+r-o)D0^~V9nu#RgYJu^cjLs=GA7C)!uh(3J{GOUrvOKEM? zi+sPXCE2!HGexY<2Eghh?YS6==Gk3Jks)SvBXYjDY-y1CAz6%qnxN{871RMF5Onvs z`HVH@fnG*rAA0t&^xqELK|vz9EPTCG5p(I=5$d$7F=!i;+*lFoz8f9A73bKT>=D6Y zH?Xz-zdSW(QMrtmnCfn){`z3ap{xG@+d8)+&)4wV4b`-DY?{bs=mFG5q4jJveJ3Zt z(BQ~?DdHEo3~hg%@YS3zhR4AkZ9><#r(8H@boEq)Oi@^lgVV41!)-%XgbPzh$~67! zY{TcogyowZP@yH<7O#N3&g6z;_3+^{{V(Oy6p9>Q5vqu3^Ef5 zAP?oX=j+=6*2eUJnURIpZMP(Ehx*LI2-iiG`tAs|`rB+W=5-XcH;lcly}%v2d*ShH z2`&Z+YT%d70NuANE$N2dB{9d6)jx>qcwWZWv9|bete|F)$gHbjunGC%JH{0<&}5ZQ zDb%YS_rFiW7qG3&&&twFqd5+qG^$?1^u2}&Ek3rjwR-XcOFRb z)0yfXt4JxZAyyR)E0fVwARYebClwV}A^DuVOD0E@@P=a4=BTATZA>hqK>mK+u51PW z0Io0mxflv?FHtQZE351AIp+PjhFub zX_;dOx{j9{+V>spghgsyv$n-Dvat@@D)`If|mTvS4kfd6*BlfORb1MFQklZ5#NZugQ2ahbrWzN1ZlxCR0qZXBqf~ zlAfZd>bg^E-bj|@c!UjPTq=MsV*;N0kh!SYOi3@<)m_k#2pVtK`}$*`J`lJwGS4`2 zr-Mi-+7+k-|ny)aiJRbAyZ|m%3qAkx8cT< zi?Z%GqcG-qyzdkg*(EUhI>Hvp!HaHA;^dMF-r~RrFCBbsuQ9VKdQ7^Ks+r}hjxPIE5M=QGJ&87V+mVIF5}d=i)eG3ny5n)qcm? z!*2b(#vW<;%fj3h!gLvD2Jx_>j)pg9o+K00)JuDSyMm;ggyiL7kkN zF3Hozi3F2GvKA4GfD4rj6kUP2u-_IEd=PUss+36C-b%4WQk2yaipd!kW(P_Z@bs41 zw!ZpW-3^X0a(xGJiVDiUJ^9hY?IamSZd{VUR#eK;Ol~^sVr+U|zyo2nD}HJ7PmKA$ zpPnP*9LvX;`D0knDx&l|d-piGbMM*J#hgD&M-;qyNmZCuRl!Qs^wbg+f~Hnbuopji zQ>a=s1-9HS;}H*tPlaRf{{WEFX4!`vu4g5EOG8Q{r0}DVum0|aE=%}isA~OZab8yd1s%Q2*aC@9x1rd-;+2-@&O+h5T7f_DDCm`SE*Z{As)-XoD{ zU_B20hp6xG`QuqCrK?Z3W=(K!;6%soSnv3MQ~2X;Mv1=I{{a4TC7Kw@n=Q`UdfL_> zBhuFjWtjD05oT5N?y$aFTbE|J+boGpAp=>4JFE)(Hn~H& zRq~=8FODUp;!3uy6j>}Q8kQay5!%-8=m&p6i{~Zyvf~VzEVf#H3(01!qJg6|^=#6} z%i-x%PM!*XGiU+WfD3_fgT*MvmP^O>FVFmIo>@mJd@c*fa_ql7&$x}M1bKa9RafSf z0BMdyjfhy{L3Leh!W9hqbvbMzw0wMdx5P9&z|C?WvQuPH%bUG-k0l*C$E*r8a22a$ z)X%O@Bqq$kl|a26!`~Ww;^8`3X|j=!MNwHgNtjofHu0rZy`l~z1}N@9AvBYuZ*8$) z@@JV@=P_$@zHf7Dnekqu!KBNwEUPMs{{W1nXtGF3Dz2fkhb~_C+QrInli+SOB&e}D zag}jD5OG%x@l?EF!ueKNNkZ~vm2Z{=`v$n|v&5-oX^qM%s8vlu!%+kU%&d~Hgn2bS zLy_?#WiMUC=$SMKi%%wNSk0({N@@rRS!Q(z0A)g4xJF^hc)o&{nHAaJ7;|pEu7`%H z;)gBEa>!tsd20!{k*07I1q4}?9mbTfQ-+QzkC)tU&WUqwV{ym%KEoW8zD5sJZb1 zjkY1}PD{i&Mq$OZ6g)@8{7m#Td@ZJ%h4Px0fJ)MxK|^UTq*#dzHfL=rS%MX1=yK~I!WxM|>w`Kl+ZsF94UNe5bnMIl_XomxbLgt$hUzFsHcxcHYp zsLZM|)e=PYzF1(>s#zwH0v!cLrjJ@iRBkl3C{Ku-=8KmNljVFS`Nt28&XeuAtqmi~ zPYjIgtf<@GW&|-Tb|md`q?*~egP1ie@HZ1?jRj=FO1Pu0kyd66eNHdtHy63TKygxe znw2Ba=`{Q<3qRuDm9N6NECWe7f(~4m9~(V1DbSV_+{d`nLJsx!d&XVQ@>)pg@p$VgLf#ZFBhG zM?D5vE0P%;MuB1~0~5F>rLmakMJQ^dWH%qh+v_2`_0_#ZN2@m&7iROxlMAZ40*ImPY8hl3WFW$l59R$>g=QTQD@oL4pC?IoZO~aYH3n=A%cb9OELmfF(8l* z*CT$m9Wl!Jd}#$*8KMkCz^f~FzV{!G>yM$l=E=Fi$DE<@T$>FHZp{B1C z%~UiCp_EzGY}OzXaBN03bkN5q$>e;OuNg)$b!_Fnar_f--zj)HyNdHpZpBh;oO&oQ!b>T zMi54m>k`ST?;$60b~~JM#(A60u`L_ZMj01&H!Q>7>$VRhqX|;zvx7lAx@S4QQ6$Uq zzDv$^<{7Sgb~~j!QEq)NW82gIi-MQozBycGQ}IiKa)1blzT1*4J9=Uf!dZV1@rHd% z!`wTQ$x&5RD2@nXweEV7PRa)4lVAWOkO3lb&E8AqJgDJ;SyxdmZJPKdT9~M5&w&Dq zU74A0VSc9Cw%Kk5D?L^?%|qYg?ebF1rg1`xMew~mWHNDSxcjPMQ~2Mu$EUwc5e{AO zyos(%tBPj0)DX=Wx8SD7{a|l|-b8U{#J3k79H3RDb*E>IQZmKb#>k)oZbET$6K7f|clV87X87NX}HDu_tlvKDM)uI-f-^BcRXY^w{%fTVs(o7X8w6 z-}T_Axhu%-Omp*#+kp9R%FZOr>mz{F&au}NNFoN_B(hux!6Yab+^_=utt{8}W6en} ziatSRY?^LlZYN;BtAoF={@ChYgFk`%i{Tnv^Ew=A+1_ED=#E)F$`UxCOOT3s?!=S5 zwqN+%+@(glG#-=Ja}*WkB>xPB(A%(+FC$KjLYZY`aT+mmpXw{6Y+vDTd8 z%X1u?H5tWKL(@=CSgNx;=H!rct?VvHRwl!?!ov7x=3fSKqlfunNyHHG%oAr7(}+@? zY6CEnRkl?8NFV%ec0Op9NX2jzaLvasMV`-J%c{;ASSwPV9JVTH=X%%h z)y%6Sgh3Li0^?H`@{}94C$*m%TsfO?teM9ERb)!>&*9%Vs?hG=@JPV~x38yupy8K1 z$lnjC%lDgagDvKlF6qOyqVc$% zTaW%k3TtiF*By56YI%Hg@xD1L`teVas+yXqqXX=^R5kB!PjB&!WXqOfqFP`sI`6Qz zrY=ru{3!8HDS1Dhb0>)T#lSUkxWGQb60oVgW1Pq51y+d{@h-o&=niVPnkA&lau0k7Q$>enlECh@0zyWRl0P6`1j6lp9onOs+`fvDQ(F}C0c&i!Jb_=U< zxBADJ=pu9sSS`R(cJ$i=F3uZHSl%dChUDyit?z}KE{X{jU15~1lWv}!cfpj?ElU;} zm5<&g`y6_tMH(wgbq$p1++6qi^~5CofNsE6jaF@H%teQA!@ptw09f>tani4ZQsIt} zG~1>PYL$SJ5twNtY3x05txSe!{4jyi`hX{}+;_tW7;|cPN0AgDQDb5F{{VmMh`w_` z@JM8iU20eE^Z@$eUt207vUnvyZlks%oJ8y4>;by~x_7bpcKYjyPKbRK)^kteqqLC) z2KF0%U!C^Ec3iduaLnC$eDC_=VZ`*$b1JTY0KJdDr+)k58(%z-Z6jE<_Um!IhtC!u z#7$cPsfj7cP(F4)cjxK;u-#Iq{{Vb$I$4hA2TM}K5hA$NxE(-0TVcAmF^^JS{stfD0%DN zHP;IG22rShb{5+EpXr9j;FRcWo`Q+q=0JwqsaDwjV;;hD)wa8Mx~v6=WBsx0H77*w zCG)*Raj-yq!qy!CA1pKGx$sFCYv?W%clzJ*!<}AfPZ)TMD_f{jYy10RFPtE(oXG-c zA$+8^Jk%_*d4I8TnPFa%ON?R!&+#NtA$ZyEXp+ObZRw-aBd5WhEB4JiOK28%DaUsCusBs2^9x zBv7lNX18N-F|d%3M983Yuw|7}+gmpq$Tm$=;o5aNDkV!+#koL z6^UYbG{qPMuonmM-yTTXR9A4wY)CA3?QCj{1K9u-LF&HI%Krd0&e#5%e{6KDB+@4Q zrp&klCtSla<6Z-9Bd$n+$!Mjib*2&$bs3{T9%~P}is&^ETUZ(k-!8r$`K=mMaehzB zx~a1~(q{eIiA2jyPOkSd=(V=F(k-N0y@n&ar{X-5gL9bpyNF?icxYHM&=&=&SPO*; zdi?qe?`(B127c2@>fDMrvhG;Wr0|_ELm41NZiJoh>$ShdcL}~@**1eY8T&4HGl=*f zH{-8}-h00e)Y3pDtfQw1QJ6^N0j3&O((c5Dv$*|$a`+~mEh^%+KjH6URdsdiVt*rrR;k6RB{&yvC;krp61Yc@S?9zS zcg8g|+1)KYL|i??G*w^5l2wUqHA6ZOBMjHlKK}rO%XB4J@@K^I)7EfKU7n=`QOi+Q zxOwv_SuPVk*@S@eLLXoc9vENF&O$kOn zQUfl=_$tbXWYT;vrsvDhlfO&g8mS%^H(M|OU57*I^1*dh@ve<R74 z7)#%%1K<7rxVsg{BH*a#e}gU^tD7LqW~gAIqEmn4vzJw{WmQlFVXmQ?<&MEX8<`$m z#T4ttd5;I>bs2p{dsL6Ls;GFWtmJ4QTone_KzjY=J6fIOcQ$D_5>NbNicLi>Sv5ww znV=E|aj;}{3<(-W+DBX8+R)(t0Ew@L&Kr(uwBSmVVIGQFYFHvJLb0(j5&-IK2B5pz zv$mm#ECMC=m^2Z&IScotW!oU2GLl1yOb+vA!i7pyJMM^9znCGJZ8QnJ*1x zwfZugyDWuLW@9nDssgd`7=SgKy{rbxx@k%ei!KDqb6NAcURKvnRVo#YDzgI|QG;Qq zDiSsTY<_<&cx&TFH{fi^^WF{Rr7Sh2>}qPNT4IQP_KPSHu{&zqfJg%prwFujM`iOn zBFS=U&K}F^xOX?LYI#jW6q#{&BZF`qnqWk++#fq%Q6G7?v7kOa=HR|yaQ0c5aHkDa z$1D;obv5-77^&$Ib%c%}K`pBHzm;ve>bbNhiT?m--^5Q7qGefp8Fd{fk3%grF1aKj zhnh(kmNwI1Hc_aX7O@rYHNGJ^zs^b=yDZ{~X=BTBr6P+fp^V1@F(*`obscgW*t1;q zwXSeEbrIOo3m!Lih*8NhoUEFbda3`FTinSdcd+#(M%>0$v0!~vi3znB~+ z#_{k@al)0A979_=!AVO^O!B=$yJ=O3D&9wC*aZi3YhPjYzm<7!BmNb64a59AvgP>( zU04%&!y!K zo5ME#70{4K+^ZG6iCkIyv}?1LX(@DkrRHbAS3h|_lTqi~dyvGUNJO=?^pgpilohyF zbh;8Y3_&0h&ElsG(~4SFA8K$5%W>ocZEsJnYiB6Qrk)X3Utb+Ocf4&Y*q?vv z{cx6DmP1n^udkJsH8&*nZT|r8>!(TbAK~kgT;$<6s~(?-^IXPB3^7FvkyFH^4?7<- z1y%n5lOI;PsL~M><-#u}qPaQwxOXghY;&=1yauk{jyhl9hnHEteZ#rLd@o(Y)XPsW zo=WWAg{o(lKm?*GWsM_IyEB{a02VB9LURWp<^Ea6S*~Bgd6LbS)7G?f^FdSNMnJGS zCFvsE8!#jhxF=(a50ZS)$$4p$Pr`J(sH@@%tkS+FdU@oj0!2cmI94RSqFi46Nh4!n zVaF}99~^e9w>cHSyjP#|Ux{FwnAusGFbGOT8#xg{5L>e|4YYs(xj0XP z_;Ws^=a&{t{N$tiN>JWh-gx4Yp<^t7+M%A5+rImQE+@ZatK02tn3-=Hf7%L6xH0g{{ZS;ZyNo-B#~Z(Q00cRFiGc+ zR-+|Dxc~_pQLq4z4YhT>$UZp@N05F6;M~%On|0YV-0ZEY&a1MR&SjX=OAAyIb$D?j zIMYs`YAy!gDOM;XARQkBa{mA+=k-2M!5r4jYU}fCrCTw~scV*b^9dS7RgO7jP^yQ0 z&>twUBTyr3^E=8iekFYOmb^v6*0`KIie`f`;q;4I@Y+cBIzyn`pU3)R zq-Ep}##hE|W?!1}yWvitWbr_aPkQLX-M4CqzUDh$e+1Zg6ts#%4Yo!QptO~KiE5O?&>%P+5k+W45_%G4B=<=VbR3M}Iwd1Jp0 ztYDprt+g9$2dCxzaP?0V*{r86$+GcH;veHrVl=I+Z)^I2(4NC{Vir}zGtVH7Jhquv zxhOunV&C|G^rj$cUZ!FOUi`)&UKTUcCfa}G%pksV7|2)s=wZdllmH`s5n zJ-6%7^v}e1z+V&mGjL@e7iRo>l;>2{oI<%tlPQ8gkxpsnn#JE|^1$HRq1)Ef+vF7C z*{vYthA2Xu-&W)^)Zmo4|zhWarkjm;x#HCekZEq>``Qa?W zn(+`IyNiTUcLVFbCsiCjQn8pJ2-aO&o}S+3*l4ZDmYSKKt(U~t%36gepzwo|Y zBsRFxBXMxNQzBN?%2vvCkVeDM3uDzXQpFjD2$nedARt_h{{V@@it48}m@$oow|jyQ zx8;WF^nl80OhwbZg|@%t_1_3>o>xAe8a!?=Res|oV?-nxL2K`OhPSo?tVt^0R7e!5 zy@1;Ln|*p=;UbMy#1zUIn&SJ|dJEj)8l5zbsBgbPZOJ$lE0rEgQK!h$lOwe>?NI&* z3WZI_vBGmKWCSU0m$0?XzwZXl&os{=h#l>){{TNd@SO29ffPW(*E<$U+C{{W8O{D`@ITnAp8-q*OlzxKyZ=h5fckHZHGK1$iNnThae=wQjI-Q+C8 zau8gbSdVU}p*YafXGycqc|RYL4lYsR%JYhNdpygzdz}99p_Y=E+|orWT!jf@MTxMv zw_WXyXU%C<>VxVe-*QFR9^Zwr)z#cH%!+;l%&GZ-3qdRD6x4Ig;!G0VWNV$y`wyA7 zOmj|slS@w`q=8pbZ@1k({{UUF8jOzl3rnWT^s;@V%WvQ_gfe-!pE}}7+IZ!urOU!n zsX=tsZcn-Lf!Dq-z6s^!2QxW|nDVl|k!q#RvUUMj17qg${yX|~7w?W*_JxuH%kYaRO7<)#cK_CW>$3MI4G%w2(pj zq>q*|@c#e{Unxud$yf6BF?^1XE^14HvEn{vaj(F?G9H_f{8y6H=1L`kvZk6LQnbSC zo*KTQq?Kk2HzM{YRq**A?MC>LQP*tVhqKwQxengKMYn&o95F48cInIaxHrfojYQC%CCa%M*KU|S7v;&<=SP{HL-o3t2CA-X|x+K zggbrJB?}c6)IcO1D{714GvVht&!U;~EXmMJ=HZ;~r1$g#+p*sl=Zh?{D?ERP)czfY zzaq;9pyJa10Oj&9^52QMZ}C;m)#8tc-Yd^2cvps!X>xpZ0VI=uQ+=(r*sU1O7N0lrZ|k&Qho_)~w7DnhKGUtMzqR~(oy*Mc+9}G8N7mEi z9|e5AdT@ApscUQahOR*a=G7JlGI}L*1g!*m))kNyLgAP>10ORvj?(5lSv7pjWRjv? zddz_8B<@eCCx67^U!3KfU6SN7=Xs%M=|0cqU|XpmDqNdtC-THojmuG`6w;qOu!aEj z8{hE%07nv~E2=0*L5B_(H2w#k49znfpCkJ{HCYLJuvhE#+YYq+TbrWzk=4uPBE{q< zf5!g+mLs_X#U@1ah87ZmX8g$*T`S`fC@{6P3+d~JDR5X^$MnUoyXZh8b_R8zW)H2$H^~%y!L7@h@8Gy z*#)6uE^S~4HYfA^vGkII;tzuBVR~8&*eV0Kb`HpJwM+9tTkSSmQ z+*tI%oE6L!=AK3W0GPo~;nCDoN%lCV7Jmpem6%-EkTrW=*w56F)!^@U*5Eyj~$b91&dt*P-y(=h_T>}|2{(|(_Z14!mr zmBPCVjV`Qgd-lOBY2}Gizz(BjJMZm|PQYoP3egmihmKd(f4t0pOf%*U0hOd>EEEC( za(DIpKU?AQsyGpVV!=astjA%7Y||~6GQ|Vx4mTz2N$vhIDB>5yZv?758e*lcNn^12 z5OD&}7Dk+`v74T@ZI9R0`eMt^X;xGP29{ORuoeUp{rAKViD_j;C@$ zJTXVyOn_?TXizb2B&asOQ`7z7lR1)_VnACl*swnRI()F>m@SWprsH=10Nl6$_W9wr zF^$?i63e96=sLRne|$YU>=$E6o|TxDa(A`Eb;q%!u?W~K$c3$czDM-OuvQI7KC-c) zT_J;ZbNtu9Ni!>lM7Xz`RrbPDwNf>VU19Cbron>@lUah*e{EA#y}!mzPaQh{0Y>9y^?-F?2ViP)3i7a`Q~w;4o1PA|*?X|e9J3TVsx~3T zG)k2jse0KWFv(c%3)m}7u(wYB=k>t#6RdKLY=}=l-q_k*4;W%f*jctVAL|3uz#a5} zSdt2}^dkFP5RyQ#HZcfVO+cMvNxiOrEOkf0=Na<*E#ME`IX5e+^IXuM{Y>jf&jS!Q20 zY`|5>Ls>*aXrctMW^l~bvaOh~VpKi_E61F$=HE3rubU?d^7gMYs}7A*Pm+eJikLEj zsAUSO=G$tp3_~5dV@#*v1L7XOIqPTT(2+49Uq-Fjef==KFTplN3@cAR6=tm%VQ&p0LU-?VKELO-7&2Zi=ER&)U0=kR z?LehQ64X~#R5B_c7qS9CRUJ0i0lxT6ej)Oz+|*^cf7+)Pw~bKc6_0WOH$8igz-^A) zkZKa5Z%OcB!8FxJ_l|3wymkn6)j*2G_CLcOm~i87gnnM|v@zwMx+^L(GfXCGRLxnQ zC4G>x$6}<|lW>UihkPn?o-_nOt5 zb2SXHET>MX7;A0sayk#s3VflEQ}sT@c~bHTd_tzoKJy*1Amx;6m+_`aTIjDa&8f^N zZbF|GY|KF0uHP&4*tAa~!=kdKRFZ8^2{*mJn2$mF;nSDT8u6}Io8}H$7&92BsbDTv zF(547{r>Afvrn`BaxK(lHbYqNxkoh@5VpJ&Uw!~ zhMSZ8x{7?Sgs7s4GXt*EX41!E41rkbiC6+7-&=t1(lm>oDIrXye|Xf3PaA4-t`#e4rGpvV}*}pt_hs0HIr9}**E#atYcO%2pwG*k-6}o7Q zfNkb+Yb$ZL9nd~+%xvPT)m4~0DJm*i<%%fEjZ8@+P0fJ6OP^9n zB$Z{HWfZKnixy4ofz(yWna>?H2s$-dDA#Yq{cJxIj=kj`f5;A4a=w-dEYg-**>cC0 zqLHMQT{@%HQD0W74T1m?IBjCqv~B!GZd0Jk{{VN!l(ZpUvCWu)eLwY!la-v1=8yjX zO|vYxWi+rpeN1W%ZFKrpo`p~YGmB~^*{u|I)YdOy7}bQqxVuHqLA0&#KYRyQ^8Wyc zJ})KW+}|UkY6=*pugjA(r;VeD(nZlDp}K~)`*dd5k}r<9wnGfCzzbcvq-cVV{J6(%W(n&(S0wq?dgj0)I4yu z&q?FFJaw9%NpQPktd&(SMrvYN2?~55>QpiI+TV^XpMx$U;T*R#ek|tRS(ns63q|&7 zz|s*2WYsH1Hqtr(Z+!=2+8M%?((x1xl~+)#r2W?_03Sb=CEU5nDB!QmDWs<|uh>|k zCzP|0Bw_S(-=*h0u&3#6zR6Y;A4?^i!t~}_=@<0;!Z!~JnELVnvyu_C}f*5 z6wuTw_)W+l@y|l4bN+045dd?x|CTU+iZBwSN02B>-Y}VTq{%iXfeiiF;_%kZJ zs(5mURJ~qTLo~YQu>>N>%+8DJqs?MK)3F_SkqpIhs&SmlPW65e`$N*k$r)>Jq%mR# z%$zS%Q%y??%~di-8YyRa7yzJkB%XsCc=UK)B_`irEc{cT{{T?G8u*+GOQP3g#|65S zWYYSby8E~9-Jc5o0B9E_IBSy}!l29fcgQXv;Jkupo;YOBDl24a>A@tDYFUvquAM53 zScWC63W3czv{F+oq`bBl`2n@>_p z{{T*OI?o{)EV7He&Qg;~O(xvilfL_^YPh5~=7_=_O2AmyC>H>FTm0Zj>5VLEvrGtZ zH)a7;blGJ* zVp%f?zyy+lP;K9TPxpq5>o>^cV2L?W!+RTIHrbK!i_{WB4aw+FTYi`eF_2XkAZjPd zpqtq5h=wGkXNc5(CA(0@lK!K_x&BQ@P~xIn+!JIvwmtEgc+(^?g*2?HHcf@k`s#4` z!m%N9d%j3^;3hpgx zS(JEl48A2tQ_+T`+!44v2w+dfd+#$OtI#p7(@DJxcheXdJLV^6rgz#aPReX&;NTxXeAXLV3jv1Lh0 zqiE_bPtd@%+`P>)&MStaE3HZ4j-}dH@c{6nS0P7FGie*!YugQVvqc_xRX(s;rzI0t zLAL(@uS_xA+T14|zdW?=Fq2p8J5*M!Ev|pO#+q$k zE}QiHyW^AQ=I;zsXOh(|L~dI87G2eM&iW z8dm$86S>4qtggrDqV&<*XG`!;#1|_d;5`2TfVi@OE9$csQx;`X8N3LGL_vECF(&E( z+-_~P8O&V59L~G#RFAGCAVa8F1^!zL_7=oio|0v8DyWaLojrZV9W!VIlo7jzVgLzt zHtm1&g>9nWtuIaTJaCO@Ib*@%kg9GyPU8-!)`?a_xbxqwhpo@o_l|IU(uv+3Ag_mD zFMgZ$>)Q{pv2+ee1&FdJx23T7#VFB3Rhn5Qn|&%RkAs@_r{~60ti+iXNW%m7hLSD$ zU-HLb@b4!%l?6>^V@bjlZYE+NEP!fKO^){Kjw$$EsFyD1#|~B^tK~5ro%)?Uw{KSg_#O_G$P90vN7-J$Cj_7(d z?f&}VtbXvB*TJz@7PAkYF{LJzS#7l4u}7_!Pl`(UNV&bwd4VNsWhC35t#IUGV zH$6qZyI>hA>MUM1Km3)O>-}Re0Sgvb^*#RS>%aTLl1OKisvs5w5X;ls>(ko;-L3+( z4N+9mL8+3!Tn#6C`hScSPdv#?PPZ?ovF=Cd+X;$>G8%Z|xv+7aI<{ z0(~$J{eif?!78(gm1E(+!>B8MmKgL|gj2`-SdOx8+gu;33@m9uI(#5?3+xS!*w&d= zj$(-u0=6SyZGwv5oa8xsWUX*>-O)&$YYbdYX1=%(VLg zwqn1Xzpv|$K?Oo96_GV{H&96Q{{T2DI2OA!`$7_`Q?Ozz52+sbX|2uSjTRW`owpWd z`ECAi@tGsOhY?)g%oTmM>EFN5z*0j^DRpXgzgvXZ06O|_iJ)3kMRQuZrtuwM&9+kZ`r^UPXzExsBEJ~Q$k zWIlwd;EUV)s8*$7A`SPfEY=qO{{UW?I(Z%$Oks6wJDstll0_l`AR|e! zHroz>sUfbWv^oWm58k!N->*yqUa3@kIM9m=YA3e)?}DpDK$eVNO)8}@@Yo$9!Ts<09^Z~CNjy0uw!2^N8+AXI;nNn^I{bgK zM5O-!5VDY#>9#6~BdBR^Q8g|6*Xwdh{PF1YarzPDHLv*cH;PXVA}Q1c7Xxda{=Y0_ zTn%v`2fgeq_5HAY3^Nrj^#k+y4ON#u(UjzNJ`E4PUuSx}l6NkkOO(Nbm3I)AYhF-V?5vS#9@k zZT-KdFLn4~;XJ0Mx~GZwuBHsOg$Ic=G==4n#gyqlEN;fZN$b@5$G#-FFT$Lxr^~9c zDQeZKy1`vkl1&auZLZyR?~bD6mm5{DDj@rQ zU69bxWz-=unp*0VdaTZ3rCw%%PO}IluOnzyU{zSKU^#kVs&02yT_sNajyi9U92W@W zvCVRflAfBjrW5w8_b{Z_QWPb;IE%OF*w6K&bXg2 zHJRtykW{vorDjMJYC9ryQT20s;I2okm3+|4M3P;czM&)k04{@jei-WxKyt6)=A)Kb zpBM2z363e^48t&*7%H<#5~4LFhL^Rp*bhPGxw}{{1L9s(a^E51XmhNp9J3|M^2jyS zu3rpMG?H6!B!G0kL|ZPyVs6;0CY1Ozu|ieJReVKfRa99^<#h5iX*`3*Ad$CWeXcP{ zMOm(}OHRx*fxZ5{v3K!)X~VqElCLbwX$#qk#WaG~0NYah?l;60ejhlVYVshO7}Pb3 z%2)t4+*g40j@O3K+1#DQV5i~azgd+%Y;Jh0698x~tnQ^%Qv3UeTy zF_v{kjsygf0og&^U#Z&1raCjF$TGy!Ih&N<4qp-c^v;te>GMv_xjCB-~fvz?kLDFq-arLK@9~@k><)15S zcw>`%M+Xb!HFWB^rByu?RP$C6w<;wg#%Uh*U<#co6pbsl%-@XeG0OR)&zk(lgsO!_ zD}N0HL(3hRuA{I5cxsF4>Za#ejF~gB%uSZJPo$umLwZXSRadE%-^tRa^K#YSm zsYVI~(mgx5?epIM0FCgy>dhQ_Y>ibN%%2E93ta2q+8X{>=I}$AQqh6@DHSY2+yX2^ z9l^QW-uCK62;Z~w;yWE3@X4wbH(_4wqMDKw>m;n(B7jG(qRIu?fHvR3C&3pv z_>1iP@#Z%k)$k7pz>856OESY%TTi=c3pJ9SCb2#=y?}6zr&cf7{{U!rA!#_oa`S>7 zqFOlH?8As<1tXckBUELHc3Yn-xVbyqaB*kpoK^51Egr5-tWn)x*s7}eNAREfKKKf0 z`LCT)agQ?VsENz6h+@zO`0Yf_6y?8)_;@+uPd|brY{@M(>C4*)?tbT(0b4%4lRchuW4>9hd^lPp|8a z*W~_Ro$)^=vW&)@=5JA3H-||L1ppsxo+a?f4InJh#!0=rg~s5O+mrG|%P6bXC5cYp zGCGd7>A%YzZ@@fV%bpJ4N*OaAJEv-zyu0ks$6J`OBs65Sx)<)n5F{!aAt$4;!m(%M z^clP+7$lsz`xL(^`3J(h+4z^M;SMm*>*mU#tCD=mstMLf=T#s&2~ELb#Eo0@+WQf3 zao55xAadNAt25qQ!>$Dv&G=;Mn4pr{=lkpEI)_A>gVR zBaT92r;W#kbR8rTyY;rN#9w{yi8g8RW5!gxJsmDll~KbWF6~f9i6M6MzQ8EGl!JCU zn^%-BZh6VJdaW0S)8omHEFINw>c5z_OTo0P zkhMh^Gu)EJje`7zzaPgDDfs(`D)ME5uQr}ahOsnK^%|qdC%IuANZlo zYh}%hG?~pDEn7VqV#{Z7doIOo(!&1$dfjo!c{e{f!6iJDk@EvK`#as*2CQxitn|mx(`iWqB1hg#-;FYLZvf%+E6$UD)Z^=~cVx=H4O9m&5^| zMzP#<{IEq|5meRMDXLC|7dAHl_cq@VeC}x^l1Znjznbb#Ve9^|ndNhKT=QO6L!i+u zCWZK&vJ4Fp-X-{3P>mBY zlIL=4yM7pGuBkCdSTTKl1-2gF-yIjvC&zrIt!Cuu>W)zIYcJt^lPt~ne%N6q#QqGF-QdGJS8CaYJj%s=~6ofJ)08fZP?- z!s>Q5u@)x`RJc_GU0#U1{eH6;%+r%L&=DE!-#SYCE>mYt&$q5+BA)d zHjA&C>v6bJHX{A-o@E&v+WuvKmuB@5)@JlWEHcMQm`Uf2515xW1f78Hdz)?B5DM0MeCDXI zBJ!Kvx?Efj*Yv@BS)B3qRhiX7YbA!7di4yH=s=K?O^0$V>(;}qt%hzY6Mp)USg>Yg zFLmj)fd2r#_|lVTwT_gBJeo$UlkD-R)XlND^0n+b_V+lOQ}PRma}-*NJmQu}*aNAY z3+=x{wU6m-x3(Z$EalIGP^qT-TwA}^*m$nvP9=(?z)Z?r0RqOJBWbYwaX2QN`8!`r zOr;*|ms0#Rah*f4JWoXwVL@=xlVj7S-=FJ;7=9hI_nl0+-xb8% zbHs8=JUaGQu)Ch$F5UkCObb65aWrfS=CcbCvXTpQ>%REY#^F|vHI`HNIv0oeJx`We znR7lJnovM+)Y_N}*l&Hidi2AEUn!xglTfP2wo(>FrU6HNt#P&g09&Iqd9%V8w|d!qyh;ixAq;M@RC=w)mUW@n(5l0S{Ql!*?W$U$58n#9N6PX=0EW$y0S>ey8RA@G`65H9657;mKx# zxe_z0QP?TziE6_*D7Peod*0^Y97D3A$(=(RUuPYMzhCwKaW#UW9jp~jo1H~>UAM9N z>yD2+74k`vo=#LH2Q>J8tz{c#aT367ZCm{hTz%Q(zwEL2s^>2%@}6dJ{{S<%b0MY8 z>6U6LEZ@hLSEe9ncJP&zH4B|LJ6ip1kAmC@SN0A)%JT@q6BcI)Ad*2L!QUUFAB4}^ zk&|#|!ygFp{{a607>aDqB+4VEs;i!`l#~mVZ6d@Qbr(B>)1a@H4m_{xDNi)u_-D9d z_A>lH!@UfphN2_11ErTweytOVR8K{f11N!@LK#M=kae%1;ks#&vs0HhR` z{wIT(5a4?fq<)xRAi068D9pQe8pR8`GvR_1aiq%}{oqCZ3m3>q5;UqN$oTB3lqT`iyThN{|7p z`S-euY8LB!VQQy|%Gd%$+RJ}$Ubs(G>`M!c$KLP$F-4@fE!z~Mr@J<&locO(Y0BpuuV8tUB>GRs((;kwoq>D<0 z);{>Zf1!?PE>8NxPK1mqfQG|e&tJ=?G@2BVmDXaU3k@w`1-8KLJxGKJAh0$!JCBwU zX&OPOt6QrJUdON3&m5&;EB6Sio;hrSePDGcIv-!Je0a?oQ3OGIZj5)pGFLhe5n~4E zK6<{5@Q2$as6!J$rF3fPusw*rCesC{?hl!YSm6xOSZebH>2FL$xRgOGVnkH|Pm~@0 ze?9Raud0#bG}574HsOFb{Bar2X%K;>?k;SkToO8u>;5p<)r*zc56zk))#9+%!L-{z#V|M>Tv%6ohXMbJ07y*K>vVhto$klj4g z=0c`2Ks(*HA3x9a!1X>F5FJ&QdtTi=zbq|1I?3is#>_0kezwDO^2(PJ7V@2n9-{WR z!w3YadT6B3fQ^RAZEw#WzBbtHS64!0h+z|5vIrG~?)#w=24e4fzU%NK)nV`e^4=zhPh5=SzB z5cC8U^alyF(drrBMA zfTN98Izc|2FnwluO-h69b1D#j`-a5-0Gu*rnchQu zNG;`3O@{q?`hQ${EhRi@o=aC3Jo0PgItdI=jo3&?3v=y%^Nrpyy0@Ef)+6hF_-hrF zFHo&2GLUR`w%xx@_))7TOM@=VcQ>{DF>lmeBD%*ffzZ@b#)cSU3Ptqo?td@!k4EjG zRaDq6#Z9g2x7S=U$(XuRFfh3aMSxSeBmsYG;O-Zr;+`qZV&UwEC8@5hsB1+>Nef9M zZ`oGo)cRX~*bh-zKAeXdLRL+2z({-b`QxhjLr2YS8RY#HUjy*ASC>zj%&$o%VJMz* zO8)@vh|&R`4GaaVH4ywG{(! za@1Er6j4NrR~&Its9hzbf4whFlv}7I_7*Y9ET2kDkLCOw&%P|pDRSN>ITl;Pxh#KZ zFo|S|8mCZZsFFfFNhAZxFVS{Yz3)lA1>2^ub0 zoRBwWB$I2~raDHunEY)o4^-1}c27XDPaCY_maSZ|3@lV_b7AdoOmbJmuQ9U@G2&Io z=(tU?T)KgRQ_-0kNgY8y#dkeR1JOdL9WS}TOKMyP9CE&jwlrA?ig}9y4y{Z8ziVHv zI){OHLzXohjE1L)EAu?xIIN^dGU{P7&PrOsIN?t$DldDG%*;sk9L8wUIJ`^W%sjmY z=Kla+JW6ujbn`bY;pzBaAkSo>hFWt4MD(O2?*jpNd_lNEHXspo@|%sZSxzak?mRPo z!uhv6xZi>4D*1E5l_H9g3ic8_SMgjaBTF!EyV}dt4exV?*5@}AaTQ%19~R~fB@95b zQ&Wv1Ni0IK-^|0HzQX%rD>Vdw>hPAtjaq-0U+a7;PnRhOLcaxd&5rvG&0UmqmN5szE<{SQhrTe)vSEMf`er%a+NVe>gJy{%ET69t(<19Tlb| zdu~SEJ^S>-rGGgpb7~prsWJ+BNv2g_v@4f*qXODe_S1Xy1NE^Gno1gF)aGOdS3|vp zyI{yN`erLEm8h;RNeA%sz&Sb{`FstlVU+VxG$7Q~&UBqbG=vRXZ@*kEM_H3mqndho z5!iVqHZ8wvU__?NUR8wG3lVnnbnVjHV3;GxmC@>EF1l{0pl|3;<%;s$%eWzeGVA(< zWjSVFIs1NLq{56?G@V?Y+k5x=4;&#=K4r%_TG3Ff?;c|dM@S?+Cld4ak>reByatE`2Xc44$U9%9&etH2=}GWq!+asaIcF2|U*eBBrk1g8 ztyP>D<97p71Xntm%0>4!)VIE&cI@AlUj*vu9(D0MUtGn1mpx)Y2G<}P3)`{$_r8Rn zr^`lOJyvK%!yM^9ggU3gFD`jK!a1&BpA_}9^lL*QniQ{s+Cm??0P<~Z>~{lWjvUP@ z;gdV5G!vO(H7sFgB(o;1iN5CJ*L-!47VwwsrO1*@YKe5}i=W`;ub8I5|1sY_Vys=y78-5miJ z>Tin!Eyy`B{{Wuw{{R5Tlw=UiUr?tpudY;DdI*W=ZlDVQd+)v`8RsYdA9&M`%W$Sh zvt&7V0-kz+lyOwcq=uEqP(*rxApzBE3!bK=akThmtn)>!(m8XC_`Y5*;`;tAmXE*{ zl9I3u>@8u_Zh#vNzbtE*&fj5)81-n=k^z_a_VUA139NQNVeNW!XkwO(b!M=gb{rJ{cN-h9CutleL$%@9Tu+#U*W; zI!`ASqXe1G%_=Rf+V;Aj*m`#SF{E;{sD;(FDeQl?5m{*>1zmx1NL3$u+@I-$ko0O_mtGN z6(OaZcrk19NbA&&{{StpE_uZs1By(x$U18)-rv&^+~rnf~wp0HSM>RA#xxTRlBBSc(Yksn6bf_P=rM z`kUaYdbW)WG|z221OEUc_~El7qLflNh13OIPEGA`>F?VLC44O+go@VRrS%U@{ z<@OV&02e{%zVb^?8d`{8BHSsv0zLK1Ap3{)}}H;Hv9+-f%i zrrm$e3zepvsbni0V`5FW+W{Q(GbiFFHTr`p>Q&S#1tgxN9k#=LZ1I&a#v5<|y{s^# zfEPM|wX6v{Tk`5~nMH;caGHhmf&sDi#fWEK<_5dVtg(M_wrMQCXHq~^v0-iS>B`Oy zpyTd6q2Wx^F?vdRi3yf?;sx}mELCr?Bnw>L-E4YcrnW&)!WhU_B!*xQOiFoQ%uXQX zMNIj&L6*|BbkUgU>nkBHVY-l6MeN^t;4mw77Y7$1nhjl^KU1noE{V-QB6(+;@YZH{ zY4cjV(j4$*lmfbVtxd{Fs+H+aK^=~j;`%-vZtL6|(J&SaPYW_?%HxWB)C*gqxs zljd&>tkIm_8qc-N^VBY4hHn|&ix+F3HvNv?*s~0{q`spAQIdH(B>Y>zP-HwUpYVMa zsybO~;E^K?&!HPfn#7CrBrW@O?~3m%&f(6vhIvDia9uSeET~qJezQ!7g`Qa*zVlcY zEC5xp04ji@S;G8RoAWm#;*86UcdWtY!<98ajgdmphVo%ZzYh}SZB&ZjoXnOw zq23C5T`KQ!b7a`w!uP-37w9lj!InAqUmSb#7CFHtni*b3s?RGTlBv)_qC+O27Bsg~ zeJrH={y2m2YtmG41hPOZk|1C~>TcWbhs@`i*>wr4pvq_r(MUv5RLHt@fC$!Cugd3Z z;ys?tJw%b_YZ5BGI5uWB7rm|eV$8BBDkdrKvuwT(v&$J+$gm^=df&19aJ6zg)uuMk z1Zpm-dJFgU{V?4_n6r5uO^;o#ZoR#5=UYxjiKVGl5-Dv-x$EESz8i!808s<1b*Hbm zi_?W*`V`-CHFmwfJ+M2rwAlwkV{QIeQOApNNwR@(M!5V9Ik2{s2z1As8Sx8}fU%j!bKx8Vu6BgR*_1(I2=WJqXN~VEK8Fhq(K=Tkz z+uv_N_+r!)mq^CVs>2CWk@JH=)DFYVaC(4x+W5eQQP{LrzgrH!k8E~dJ-=gD#8)b4 zrp>rkqML|mA_1IJ{{Rj^zQF8HwZ=Iki8yCE;f%&?kBD;0ni{%C2~$l|5m$|@4w9?^ zy^am3AYrJ4`Wd9KOERcIwTkb2Gw}pwqMd+1tgCg>Hu+)jxqGIsF;D=tx_^8%=S00T z7`6Z~9^HPgfw9q&mqa%$O?k3wsMDpv7w8AS=ZUD1;Q<_4${9|bhkpG!;t@%4nnVr2 z5)JnQt-h^^z0BHPMF!)k7dJhzF=$pnS|)l-!WrX2LR1GNeDAmGkE6d2`Q>d+LqPCM zd?Q5}U`YTqfd2qLR>#gL(W4}duh53rn|gK_@9%`H!LvSH<#H=&(?$VscVa9pe{aJ3 z{P7cu_A>Q6Q!hy8sivPoDGqIG?O}eOJYzAhtP{71Gb1oMfF54`ZN3qpstr0q7=wQ) zBd^c&!dy=j#vvL?#hly~JrB>XQGsjeGvzsM4#;MDsyU*V7HDcBbvD%22Y&bbeX%>4 zn1EoG#Pv{bWBqYm^34R3=G3)wUPG7a1*|`qboJQw^u*QJv@y)GDFp!H9bycK@81LCb&FEui>@&t^46+iI!W;yD74r?R|&& z{V;7og+PhZQMm}pcek(Wgbc=>C3=?yZ(vVs0(8a*g_Wc$GaG?m2j_Bfp%oXG65>G%MW$3+f&T!wyWg(I5lJM_NHPoC({MlQ6D;{6@JvkJYh6JX2ix?-H#dRZ zWMN=bfJpD(Y%yCABsR#gmu8N{fx4Bw&Km3Wl-2izyxO{TJ+R>^Xu~Pkus?JG{{Ve| zt^liroh-Iw09Y2W>xSc`g|$O8^FU=jAYgiJk6~7Z7>}~S+!h^#ta5u5Voc_?tXYmWnke$ZcfAv@M+qxTxkL$`LyX|xw$2V=WIv0 zkH&NGuMSI^B|6H$fQkS%zkhpmwj^>#1C~u1SS_z(_>KDF0nXXXJSQ*>xKhEGY_lPTtP7RpyL=I(b}T5IzMc_m||HMQ&qU^{<&30cid;<7bXg%1A!{l&e-_PD+x zYObtfUoki8I-BkC!h$66QZ+(!^cL^a`+k`8FvSO47*d?&$nTkPWoBg{mZC6(Y!z>A z{+JDODz~U2P(S1z*8|%4N?1135b9pt+X^i@%B>rDKpiYkPM7rW`C`mg)xla=_d8}L z%!IdOjHuiYR`M|z0K8!$4;YM7jaKt0BMM0Y2ny|~M@tJ_ z{{Y_jmgxl?D7HCq#$RaVbyqC-8C~G;1wX)UJxY1aA94o3^b3Q{Kgh zC2@1|hvA!vRdgq4ga z-);2%B;OR|vo_oY#{@XA9$|btao-M7Hcgc0`R;W*D`{tnNtJc>vol|%jlb^@9tZek z=I(8qOI6Gn^9XY4a$0E3abFZ(`fYQh5!Bnh-Ek@6FWM!{dYx4HeFY>=62?*kZf-6J zxNCL9I)9AbY388i`GtI9idWZB91-Y}asL1oVouk$OJ3fXtiLp|xbAkxtT=5tMTbkn z^toewqk^(Ha_U-RrmC)Ev!>T#NWHr4d*XAE^2e84b(+OBUPV1?H5Q-V3rLm-!_A{z ztakhMxVGI+Doz37%L_ph$qnqOvJbr70mi&- z@rlfS5avc=I4g!Ja%vQp!prGu!Inti)1^x`pc$9|Sb=Mj7TCGtapl8?vdZn>$r_c5 zB-4VSlj7Hu93{(6C8fzY7lve@q5*)Cdd8YcgJ3l=BG&DcsTpL$4cnkJuz)*J}6Mvnt=zo36G{>&si?X1wBMXvO z$oOV^dp4uUvl$m$)X%7Fe87>~*jk$;%xN^ZL8XoD>3>`+LHq5Fl7*ZL4MV@LP5a?f zlxKcP;=M)1rg?x~@ICZ-k-C zt6YN|qTkK@OM~+C{{UE6WfJ)wdgV9Vplj(LKd!h_L-EbnvdKr329AwTR-$?rH zi5DkmWSfujYWm4MpS++WTM$VSC_M*H@A4!^MoUQ(_>$Kqm0(+YTk#)Te6a1ornfTU z?6GO<6KELK|-=?d^$Cca!EY1B-N!nM-8B#{mu42p5q<0UCVEQej^a^4>kGgQ=Vpqk{GIGpEw>} zDn^A-=ku08vqLsFo`CgCG1(D8OANs zeH^VGS5ozf3{hQ}+=0H{{+nR>SV^l9qAsfdSac@aZ}s}(>&f{^%MJy|X(_mxk2B6Q zI>l*ckz7L(A+S)saK__%pHeI>y5=5LWd2OEl`kP?)vBVcn05f}PW^W2`Qp6eeIB1n zz7gKn1y2l=*?d21t!>q;kpptuj=%2>IlmC*8Rb%>E);#(<6GH9_UqIA;_`~8mcc=O zPvzyD?k1C2f1j4veBiDT$avd0Id)UZtd^Z(jh1Of=lXPYy6hC zQ;*TZ8jrs`vzp^SW(JB;9E`)uBxLzl+v~m$qs!pSbiDO(y0FybHT3!po8#y|!cXj7 z$$7DsRC7n-6N{@jZz!s&XUpi~r&W}Od#~`=5(bmDrdzX%r~=JPe$qdK-dOxIb2BU9 zK1b4LGv)Q@=@Mqu-)gF^X|*K%xORDmsK>ZTsc9J*2e0Udw}?tn*3Xpa7ITwb5>>*LO2Cv!6QLymu4ei0KYsDeValH&%xdLGA%MtSg@_^&LH5UB z(tgff8G|CvYUw$%9&uZc<+9Y((Ph+1s=8x#8i|nDHi8D**x{=o_*~-d9ZC))OoDkJ ztB^$&iZ{~g&J<~Ix=R)zE!mg@zS#M*{{U0GEafGGCD+Pp+Wr3C=Yq}tr1 z)WlLXRtUQ*8xPap4OQ(|Q7crDe^xzv{{W0^W0kuCQn?BEdgHg;Qccx`?}WS};=)s7 z_pW-|{9^!ATT6>=YhP?9Qyon(Q0a4Ph6nTi0IXP2Xk4YWg4cL)F-KN6K5KTwLyQDv z!bWZf-MP6xjwR_7RfYWQTW|{Z^r`E<&Z-TgaK@J9gnTOu;*JO5#%(JU04Xn zQ($}Vz8M|Qk+E$tnu4AgB@ZZYN0_J}Z~ZX)9P!T_NL8)@TaSMDXv*b_?IETb5Re~k zzP7{a%S5rHgUY1#TN`%yVYnwhT@|%%Pa8+ScQaboTMZ!l_OP+rwXyW)_C)^8ejTRa zytm@dpWHbOb!})$t_I=i`f$-xL*#h#DdTZxm9}UUjWMOA^E<|2;y;2uNk{Pw@hif7 zv#f*0ps38Fsm-Eml4#-~!m}z-3s%Mg@QX zoqz(sW6|kg<;y%*lGV!}(73V2bw);aQb{*IGt{46_~!n1b2Ex~1BEMcE*Roblho%t zR~;K>!VxUg@X34lF_CAHfB;{hEOsMO+Ol)wgcpyOfm=DH*70i&D$u*1bq3&Ks^>V# zsVTFp=57pLBF%Af&X5I_cP7@ot<(%wU$levad2-wxGJ-bcn>U& zhlyyQc_OHVKr(+|y++Owr(%)TiN^o&KRtfJ`Ld&eRN>l=T zKmyowz9zIjGolxANlP(r<`={pFm-(4S}6sVYmiuOZ@<;CFrliBYB+Q*rPwnNPvU+< z69keUKhhaY8E4j`P#<#VWABda_$sVaHMlAARv3)cO$qz z_4U3xOW;DS>iJ%fm%61xs;-`b_P4iebd0S^TWskZuB}?7OvHWb7iHT7aT}rX0&TIn zZGOI;eZFVY4Rj48Y&Yuos2LHFtUVt$T zOk*crtuDgq$8mgVS6LhjWQ%qq%%j)8t`U%UsJexKJ6`7F*M9i(#*#tybzyO~uYd3L z*BqO&MgG)u__1^&%62CB4yWyJ5n@$UNi3}PKR)-On91Rs#f{{lVZT|Zn_V0yi3r887OAyu`Mfd%G>jXnjZB3y88%Drw>C@}C zzBhRw3#Lc10bmb*dwzI0uW@dyY+VdIK!rdfV%kDrGO{9W1;2@Y9&evpImre%(RCQ$RIiZ2tgf@d+_82X*;l*wU=! z$u5_bp1=TYeZ4X4N7PD+WPDlFMl8C$w-&(C=?BAM_}dyaL%QgDjR1hh>*tRw<{%nP z$?OT~jF_w7_6XLh;gm*6Dt=b?`t`5ZzHWM!0#T!08Z-Tu2^aT|-P zyJ;S$4T?lQjp_(^*<}C?J6vBC{{TMe<4y^g;&E{qU_iM$AFsm`YRRS|(Yews*7&bE z;*n%;83i^>xGFutVk}{c6P^v833;zI&c@+bYt+@NGzhCc!+C^qZ zAZ)}hQ~2X(OWd=0K__K*!MWus&9V?4>8|t4-H(gBEqVq zpTzoG8zhCJ8c{*M`|XA_$l5C$@}Xg@gL@lo_16nYQ!Ahmu_IOY8{kTLmD2Mih!(IN zf6fT}QuL{eE~B-4dhlSlkuF(l;;X;C>q6Y`!elGkIdK&SgT={gI#F@Vf(sJ{K^LTQ~e8N@YG!BTY^cw z&9PH*Z<$;%%BrQ}tp5Nl8I0*D5KxFiC~FTp>evr|d)pWOL;FDU%=0gjT#JDyQR0Q3 zQF2u+>uX!K{qTn@*48vJMLxzZYWyPbrwe7$-LTD%~DA%7Y$OP zk>()Tx^&oB4{Kr{n|yEc2RfmXCp7es(^bFzr$P}UEr!AGbqD2&UWTP6pSWsx*F?sa zgDm0dZ{B<*ltGu%#Vw~0paJb+zj8YF#1rCa1UyAU96*o#AugphK4ae!epvAxe>`)j zDR{RzT$_b*S6ZyX9JMb|TIdN%byN4Tb3Y@6xW>h;>^>Ed52wBnDFoJm?Ccu zgD<-`fepz~t+$Yy+_~ycKsFb&c(UTt)<S->Rp-PM2P<+3 zIA7t=RnSn;!$`t&S5?nkxm5osmnee_%4ryt9XuT>XnerX_lwN0GsZ` zO}gLLa&ceg-xFLx%pNwXui=P{b=3j9H<3Z`fhO#>KYM@Ad{%Q?x-UZnoOqW7fH-4@ zsMbjGen8SNW+zoeLh83caLP2DyYIhDVvmLAk>0B=#R@7or#jA)GU0lK3QDcrt^l~bta{sQOtb!Q za|@T1?V50`ur!o3MU2%Evw-1#@C4gyY%WF5;fQOF2JPC;%;hiazW5R4j|0)>eE$H= zb1pXH3g~=UGK{-2mX9mT&YjX(7eti~pn`N1Kz7`2etLXi@Q(>_pFMMYi-GdE^8BI= zsHr26*eV%N8|;B{pd#ck;&?mH@L5E<)~D406Z9f5A^PekE##c!o@$g&~!r ztKu5C8MV5fG<3*q<2b(OP(=P>2~}(V0GZzr{Id8);Ym3c@KeG60K7ADs=2olwGgaC zm@6rfpGKO=z`3wr20Q5rKxo$DovW%vIqgquUBBG5J}CLQ@a^!;z;e@O+%J^R#WhrC z_f|)hK_A)+5OmD$Mc{(}0M2~PVi8=11Lme-o6nwRbs4=-hB+swd6^WSM$`QoE znx`{)vo8xSShB}>jafl>z+q_&dlGtGtz%(+K&6I3GDA+odu?IA_&(nF?mkfD99nr> z!<;?B?$t8XQC_ybuCzx6T@$YU8in!!)SK#Ke!C0?nI$$}%Q-ltiZ_AyriYh56qPyD z`NPyDHBmKlRMZDD&0t+vt$-oH*Z>yR7PW=_#{U2r*(P_x@~(fzG1om!Mbj^)sMe6C z%x_`<1ojH6-^}VZARgNfkOvT~`kRRBs%hvl z9EQ4MQdMDCXyj&=EO5=Azi*P{<~KJb<_&9C@Sa}K zM$rh+$!nBRU;tf*`uD<_4-jWLZo8lY5Yf(!{1qDn3 zIaWJLhwla!1Ruw?BpGZq5$2N4L+WmYu675eD9 zGM1Js);}BqY#(54&>S*ylZiOHm)YG_7GeB)W@a$KBO4hyY9{_*H?TVk+;$;86RWSI z%qgx5qqtU%#@cUVw|q)m<+Z%SrG}aiVMYk&P42)Oox57!mMX1OGPjOThHU;M(fF-D zi&~ux!cFaJ`z%b)_PcX)h4NgdmauSzH6<2D0D>BNSeso$s=9nvW_z<+U%W`jq&H??OpA#PyoN1ZW za(9I3r;F`oHI}k-8YA=DaBePXv_i(Ni^IOFJ%<{v?_hq+x&>5pHyiMBTKh9|yT+VO7q~9)lpv zBd4g6y<_`Kke5_Lv-v><{FmC|^CZOg;Hbs%stQ2{57={Ru14}PgKOvqG z?Zu`24=v{Who|D^pSpB?iR7Op@*aC|7Gc4)ba{qNktCGhW>o-Fiu#gAf^8I+lxnjS zBq{Rs+Z{()@b${NjtHXQ*Wnr#i!6-}Sv-`=WTlxDs!EM(s|%i%*pbkYj%?*;#0GcD z-T}(1vuxKbs)iYouRg1zrFZ*CDx|?kj^Lt&TbUm0=JrI{MYGILj?PhV_XDnf!PQb{ zHFZvrQPV7D6)wiWv&ms$zRFGQ>A3mt`bDn)07$%l;f+-Mys^tswXB|{y~&gp~Ejc|Z ztEsF};=Y6$Ruvm41Pha4w{kJ_7mp>Qh_sO)OE;7=+}h_KP5%IBR~GR<9df@r&GW7< zrcq50sm&wGs7llL*3%U?iyh5_buydjr;od{W1DiO{1u$&#Gb5 zsK=H*&z)1Eg%F)!ZGNZf_;0Swq@BY$X;4TA4^6%L;lIb7&VcQyx46Ik{d-}0?58|v z+BM1Q<(f4OBBU;hdH@1l0}vTDrE= z1vEnX<#rb#Rd+T8jjRI_1|)fwW6K1Y=rY>6?8RxAqgGTR#%E!%eJW0YeZt+W2;B88 z)ER5!6ttO@Zgl{v&M4iIf)RF#8-P4Uy@5My@6q1%xtFMeC>;i6jMo} z&O8_#Ta;~&IsRcR6_QkCZeWO?Bx?Jwx%v8HIiF_})WT#Ew~2dskG2h+&S6Stf-!AA zQcvsddSR0~6>vr>VvIJM0drt|MgDj=;fQCv1M;cv+6ohiQ zW}F0(?n~GmeQ_qFjaGmYCx~?rby)Vc-oNh=IBE=1Ayl{@coDGt@gkwGo=QQjPnCfI zO@Q~o;)n53Usp>DJE!&@@bx7}#T8z2P|9Sc;rRq0?897$4gUcBQ@6eG^#1^ixi8AD zD9=|h;`%`?Wm(Y>lBlVaj({!qu(#wf@-Oy5^DZ6-_^+iIm14yXXO}zx_?GjAQlO5f zZD!uzm((2BJ>dQj=YD0)9%gYLfRwpPQ)LZXQD`XQ1)4@xwS&3p#^mS#TX1~_ku9gp z{O8k}(lWcAaf}~$0L$R z>@Be92KL(@S3hsxGbrge4}*D8Pcl74ZecEAEM$3+V^0hnx&mZB{-;B1e5A~R#Zt(j zKwt|1ZEg43-#^P0GestPZ58bxd7pxrtCVF1_aS;8$LsOLuO?^>8hFd9LV!uP70KxDPA-u{QL%pJ}+)Oe9ZBayD!b|^MJ-uUZ(*~?ksWZAthhQJE7 zC0}iq*;L%ilqu4D!T$i!_rgYRvzBFHb{Encr(WX; zndEg;`zUt4p{K8>QH`XDT}r*n6|f`a*Y(F7Dv`}Pc%myQ-E@Ax*BGaxU0SAH>@HaaTRSWpbFOiYH=bN{#HHJrM%w#Db09cRH`r~<~np+hfWwz_;KEF&zB6UHbQ4=U_ zwz=2?=zlx|Skggw(GZ4Rz#Ffp>4R%C0pSTIVeUrf@WTxGt5!3mG)=2kokyuYpF9k0 zNQcnhoTAN2(lVE@wXc6}_=a&3phgKk$^aUT{c$nO=&L1B8>uVRv|i*}f1VigY>opS zrVVZHaqsVk!Lc%{EBeT%cK!>NQ-8WU`(RqEnWK!v6T#c`W#W{8*w1~^(rHFW$kTAZIM%eZrWQRkP@YLI5^$X&_m^dyb!9JOxt>l&)Dx8n?B!#H2&$#d}OwPN8G59lCzFt-1G7 z7lbBBWDB*xJ;$fziv{ZSAxS}BF70ov@m>5v)lv=(a~i388!`S~oBo*6)Bd=W^RJ<^ zoa2a~58>)#<^(rSt?;Lm0L(4|+$d{dIHgjWG>{1(ZF_wEI$I{ITd@ znr1ATNL>qGO5IJop}(8)`C&;CV-Suv(|vS%3!Dz7=Ni86-(cs*`jg+k@BiwgfUuq_j>3p}o!vT8C9A z%2;XYSZ%fwD+)c9j|K6bVNJ^FzFzQW4A8-t=JgUqnrCQ@_NnPvK=3uOJ`{VnVHjOu z%DH9It25y*hAtuF9G;(qvKr;0%chlIHmOUbwTAmCWBjAA`?uWO;t$CVW^iXLfBod* zY7>|_aI~~BqP2)O*4H2b?bm*t#pjiLb5iFSTg{PhMwOAi33{ClG#vDn{ z?jxkH<}VRFCkgPftw77N$jEAkQ>r*odKnypcW){#qid0RyPaH9opFCKtFuh&Dq00u z40M@%I*fGif^?{2eES=gJDc_v0{$(~g))q*b0QRGK*3(%BLcm8@A>1Zcq4~+mpA0! z?*o$6RY~??;$~U4U}buZt|Ni~rD@??iyhpMNwCr}%LtBY5;Y-F`mpFdG1PoD#S}al z$juxvK5d#bbZ)ceaZ;G0H`$dL{_z(#Wd_50+*=KdtsU@=a?W@gjI%8Mx|*AZtLo%} zsKq-_(n&I-T-aO#wY^VlJ@QwE^GuVEA(J)AW`szm!xb?Y%UFw$4(Drc=yBA1iRV^B z!yKHX;m2IIg=$%(s&fi>lHdMPTKxdH@A%@e<4o^`^G;;c@wIMm6M0HNH3dXpHtGjm zy)W0_6{pWet`wrFkWYvV%BdEgFG){^(kn2zvmNbm_acai9)Oi{{Zn1&|(`)$u1|BV;og*#~timMnBMX+TNXT3D*UcwuzS_ z^ID1N6#`jN*2I&yO}@QxVex)nHeMBSe5<3Cu`P%rr_%ocGspbB7l^kP|`1yPYDrzTcK{ee-C@e+2df0Ejp=x$l z$oWKX;q&%9{8D^C@T>DWoaDSY!qKk3-#kfEEGZ?0^hAprJnni0j2-%sxy1v{u3A^} zYmV!92D+{~iKtsdv#1If+}_|5ZiHIrt*&~W`Og0UY0oU`sZ4ohb0s|#YGS8>>Mq(( zQdBjC`dNRrI3u4NdqK@kY34j#lq(8(m@H+$QbMy4Yj)r8!sMzV@#M<$HD5^&RAXrk z%y-#F!uP}P0aDOb@qSrBozY2AO4ZPbpn-KftE^oX-Oo?Q4Dh705(j&oh4<~#4!k9s z)^P6;((wfxOC?1WLP-#lb-Iv6#@)dDu%y-7E;mbteHY?yjV==8{{R3`aOP>rY|mLT=M z#~FILsIx~yDLbSYl+v_x+T*1!As}2YKstN+Umd5&M60Of23VAob&ya9${3)h2=z^) z_|nMkH8=nTTM#TPECx90k1~rdg(j}G)Y)TQGa8C` zVvSkrv_`6mMui=N9mylLg|{ZI4DLA{^lWNou;Yqc%lrp$@W^XEDrsAd@(M(tinK_% zWX!Y1ktwKnw(FX@jXW!)YZifWm_p{SYy*1YJZVICdvhXxhBAMJK;?4o!ryTDC5hj zxaO$DMv(+^=~Nc6uwI*fIHQ~Di;u}s>axmeZSsqYi!we2;K|yrF3u#Xncal)$slb~ ze>o&|H@*Ij1fb06E1_DdI8}z*+ZAOeF*3?ro|`9^ES1?1c(RAR+TCt?dg03{=DuB& zQi?iUrcFAo1F-(a_|Bd`qi?X>8FI%e$e$&lL!VUYR!D><)>l18uGpFKeqIZ&;!|71 zyhgCCD+19O2rLg)Ha9ySgMRlN=(El!53ysYiHVs|Is4+zgy9CWBPSPqJMov;&oVYbgRsnbUzQj@k>e9-59 zdClG|97V)AT@n)EnJC~0fx2uvC;$KnI}4Id_>uCDj%c_ujIzEn%x8>K(B@D}GN9F@ zEP#?0``7|)*8c#Jqt1H{U#guZyo}mG2IWsr>-F0PQq|^g%_J2yUKxq(@v|Q*UvNg& zH@G9H+-z~@_5LYqVJ}ms{{T}zRpVo<)9h=5yt2>Q9iH(OHGCQL^#+n^VHQn8G}@Lj zSn8f_z-O}qwe6%RwaXT~+u~b^^QuS|vp%Yo;9&IbXD30mg@;A-+uv+)(BnC&(kLT3 zTTs;Fa(i3Ybi>6jGF(!#Yb_<2mb)(zB)NvuwqFwz-vDPX!Gin)-=nHp8o(6 zyu;)Cv}Al!#r1VGFuu5HW|)Lmu@|-MO^vT&Y)z~Q$35pY(^Ln2X5^A@arymvW7)?Q zO;=OnvoSX}G8^^n*9~=*<1t`7O&j@ay{-NI@$`?w--qyceLQhuf>HY_J@1F{_`G%% zjwlYUR+2@OxwBXSYhT|8EUi-S9e@k>wF}&1Ne-p(no?}tEw|5nB-YeSR@%x{RG+Tj zo;}tWw;E@o$B`w;WBfMN(ou6Vo}lCq*3I^IG>tVciXf2LLj^k*v9jLeHHh3?TwKeh ztl|0-mo8(M(?|BBHhV9QpTP+mksHW5vg#LGn=u2Z101nMS)EZ;HC|mT@kp_qa==+i zgSiIXw!h(q{vmw&vXv?4`&7O=T@{g!if?mkjm^()y1ntE1r-j6z0go^C!gEes_?KiyrAYm8UPExekTB?lfy--oIm z036E7C+B8InpE(lReJMEEV6L~)hag;2}nK?v9M-_pm^(|lX;o(nF&R`u9io7=Xn?Mm(O)xVzjDQlyL6qZFl zwOK&s0wsG!Epxe1Y!wM-;kN^D8)6Sb(*FRj>xKB=gmW$uuV`zVQFd<$m$)75e?Rwu zDda!6s)R_^*0u$2^Yp$Sn*{L9#%h`?!z^a40#i)OC}dlXt+nm-{jn>^*uGXgE#Fs} zg|_zk^~5%UNW)spcRN^OQ^PYjrImu95-`(!?mPU37Zvr<8K#~VnJ?vk9A-SX<6O6l z^2wSyjJmQp;|SZc2f1I7u?OdmxIYP;=H*w#rz`TVBCeyMt*wR>GC@>`&a42qAm4lK zZ(-LT1zJafK*S9i{{WlQ*7&%-6aFzdiSV<=QD?k8SoIVyAp2fh;@M%4wwgMJ`&$ylpyEAAl&mGn_W5hW{ZeR8|%+b|J8$?Jd$`~7|-py}dZH*|&QRH=6Y278sLGrcu&p6{& z<7ztICXay(p=dK|s&$3)01uu)Z!nM0*n$b@z>IbtbH)5C!JHFO#+*-`!ItFtURu>v zmDP?_Ab}9GGZS-Q1^cns07%IX7(-L!*lK_JDrWZe)C3hu|IY_cQL5|kQs}-tOe}S zu(<#nP}zZ4pZIZwa<*aX?Sf~TNyL(pZ>X_;NbiE^8K`38!63PUhrxJ+?oE@C{ci%+x2bv$mvg@fl)u9Zt>DzW2f= zZ47mbg1t}7Z~9|eR5H=2XCK6jF=jt>d*8M-sa;~s;ORYWzt>O81AG~?YQ>gTk1(K^ zyMGS0Hza@fzf4(j_|&c98Dt}1D3rKzfh6;%LAmn;akm=4&rtEZFq z#X&+@SrGY!><+^I`+E2G#XWRXv=YCS{{T}%#PO?tv83@C!m^(w?_k|e%LrG}h*?^d z*vLBA3;s93F(ZKBI9LAwg90w3 zY)J2dDYa?Wb8A?w`ucVM09|mVWkM3AW~Xf|YznpQ{{UDeX^e^C*lN-)+AZ_#^|k;i zV6@aMe~TQ9x9G=V-_r*zFflQ+HP>QC>3;ay7~+MBFkK`Yk@M~P8{tu6i?xoSpf}fi z1yMHFViLm~Yy?2+0^vth^~SP7sd|QBZ?%c<_34ENin9?b?{RZ({w@9RqN0R3fEhv38vg)z-`@+zL?9%VLe9Qm ztJwY?{{UUcT1c9dMzS@}cDb?neRanq+Xh1}ZBCQ#asjcqJ9X`d_HjV5P2jxEWHTpq%wkhcVhV4k%5Q8(^A@s|3QU9@C4u?&7;GD|yogS11Q5u<1(=I@w?C#M^GPDJ zgL{MP)8&aSevTxxh_@oc%eefp7R(l=k*;+<_P^tckV=ZOy9Q~aiHiN3SG~1Qa~4Lbw5|Y zl@uZ~cu)m42N^0{a(khr=wgyE(XN6!-)sSxQwSm};j`VhEIoa2?N=$H0I%Nc3fpWn zX8Dnw^^gUC9Y!S(`WiDTdAh42sXL2rY*k$T)&BsEJ2~>J62RYkZ~bv=*369=D<#S3 z0PX9Fui`FQn}zHgD_HIZ*S0jYjk%^!&-xk9EXxyKSj~>t->x_NTM{F+fIef>Z^Nbr zykY#Zww}9-lk{=uq>|=Kkh}F8`ycC%NspJwhTj2xeJ4Y0a&=#GjRfl)f5J^#jhOWZ zZ%ccAxJI4lA>m>aAGpPO3;N@DS(G^Nfo-~jvF>nglQ<H0LK2F_!0?#b1koBu_|x2_rX-_PUt0Ib&zZ=g-KY*zKbt~xYnu;T2yck z3vl%vHC|-wnVxQd`14a9kd`FqOWcsQ)qgegs1v>u_;Jp7&W4MFcz-m8k1a_Se9Cad zNm(ft-1h(zU`?(8w{Z&OzaQ{V0@AK=#WZbJ%1KH@j5AywrtAf=cI2NKTpRm_Y||>? zhD4)Ab8w0ywYrU6n2Nh>0IV+h}=5LwtKMYpX#R=M(pmh(w^Ab7%Yn)iQpU0LNl|V!v_$2b>{hwM=nc|@Rb!8+8_9}Bo}cQ+yE>zp5*=>x0rgVlvj;%Na^<*bQo>|0S)D_8ta z<)V{{>3j-hg;y`q!B)h!qjB=z^!LNpC^)Y%=XVf6#Js`G88~-_*(8T0%ZG*b$&~6? zh41&jnnQoQ#`>ET?>V^Fi+J0Q^9;v{a)issm6DojW+XcPh4HoAZ9Z#BbH!hcw0QEo$zqGV<*_K;JMFw6BY$fp~ zsIq{tz=NWIyOUw+zzbuRLa|^ZY8Opt20(hB%rN|yAnYiH@+>70OTJE=Nt++ zmpo}@sFfOUq?K6G$6^<8eeK-!9k6y?$$nN-@Y+}ARCamTviPzyGK~0B3?dDRK8k-ECpk{X65S_-BzEh2bt3qW$kvk>@;1T}=&TUR6fPJvLbkfr=!u zTX{{cQ~5=~yKE-Zyt?>#myPn?4B#q=xU#D)f!m1lDku@M@lvP{gG_I_AthR7y0P5> zuq@ccvc627=Lk{c`GoSIT3IzQ3W^u+5;h+{Po4{?$nz|oB#Sx9YN*;qA~RD=$Vnai zz+WB3#to71&oZfN^DM5FDXHT!zk^OZKOEd5#t+?9P)l_zZF>T7&z~7}nT39Tm)B2~ ztt~Y1vr{U+@hT04=4%ZxskpK)-5V2o+H&dSvE7l6F6nSnku-tGONAHS*1p|7y>RQp zIX+><`P~-{<#H@?)>R}C2J($XtP2ncw*7&_6cV6cy58d5aUkW+YeAIpj$NAOl@rAT zHHgx*K2}u>4Z$0O?lE6&l*R&4&gw;# zfGb5G@|HU1dy)o{RcRSNXkS0G9yXVZv;HATp`Jz%WfaQMQ`05?0QTcuR|8>oVp!X9 zdSY4eZ}B5P$H;*DFOMOmMq6o*QPM5zZSc!qoi~i$5F$HiX6e7T*L(%`#xm+8ecKC> z*7&KGPb0G2XOBx47&~L_O=M3ByGj|@U&A|*^l%k164ZYXvZEUpC#C~}p_wl;9Y
    U^JgId*N1$Jxhq3=m%m=_wV>(w9^|2HWfz88naBkTU!4Bb#-vtHm{*h4QijEnkhJqS=x~o=iTCuIAlxaq3By0G9pDL$K_8ae8 z^Lv>*HJfpO;m#h+>FZ{JiZjwgt4&6+rL2qvwRKacYlCxhY*N(|aom)WR8`5DOG^`( zCT0>cBa7UUMT2|cm8i2yxfUpB=J97+suVYCf=dtUd_R0-xKsoiQntkS+6>mCB+Kct zuwHhqlf#xb``09DzoG7Zus%Ua24BWh`EZxSmY9T^V2093+>7oP=WC7o*hy$;t0_2I z7S1Y!D%HLWFom>?$5A?stiLbwh=kQ5pN!7hU5=_^R^+hC7UIz zj>PI7QZ4CgVt39cW~`@!!z<-`5<89m05Ep^v0vr#5lvMD<7H39jqTI%{V|pJiyq%8 zH~W8r-iIpm5*z8BCA${$Txln+Bz!d?YH25SBGy|LHeQxC`ss*sJ5x(@znb;{o15HT z-uRI4bw`LM6Oufi$lD*+*BG#kJ0F)aaA<#f_+T?TYC9Y4*P!(6jlYgz2&{EqVt=Oo z*hOa&M|GI${J-n2F(Mbzw;F-C1Fug10FErdw74-cw9s5t^+C0yj}uzV7jMjd7&4cQ zc#$dEC^&+sT#ygi7+hP@_-HaCvbhSP{qNTau?E1#p`T!G0l#cngylsk;)8sKRp(Vt zE~<+8CMQCt|xI6mqas&h-pir9bGTbdy$7;5~`Ez!CkBrkh>j){d;u7 zR})75E|E2gi#5*Q@8yRM5R=8FZB3-9u?pQsY!JEhNNMD{{{ZfQtO3}cUw)tK7eB!? z#d!L)8HgWZChXpfH?Zy0;)^qpE|}X**K&8T>tpYWr{K0$US!Gxm1!xCv#X-+M&FJh z)pBNPxW>-z$qe-wWjIBZ?qslGhl zQ9Yuo5QYT^CYz%Rg;UU9{P)7Kv?LXPg#&ASeDH{c+5ALCRV+1a*VpqHQQ5-lRV9^F zC}X+AZVcItBy0iPmH-V~FZk{HV{jT-qelV1`8W8$;hG(#Q}?zYY(Fe4rQ~Y{I<3`y zuZZj!3eO#w%@`hHHGIeR7#>H70RnKVq>`Zb`nEjNMv10ZzLV@N{&3$_QdRF7lX3SL zbw6K65K2@JnNK9|;CN)+fetNW_+Xgnsp;v};DwBZh4%XSUm8+TNmoF|b8Cf?RoTbKCpk9i3CKk4rgAHI0W;ds_{QXhfGI9MqFY`jxbLs69VSdkIve zrc7rj*z=$__H3n?0~*b2M_t;zw}6ZZQ+BSz2<=2m_$L4X@0aslvx8 zOOIX8wgRb<(Hcoy-on8BeOnHUNN<6%vc9f0xI!$}z50w+e-IU9@bD6V?Ao;3dkwex z_Qji9PV)tJ7dE|*t}4HXfb{t~7i~b6P>Xx?xA(?|nl+Ib`h{?8p<|JC_`V{LZ+nY= zhYCfc6)Lv3{D#Ne;Wsl=&Pqn6W?7IzW||TJ2w0P)FMFzh7~58@D`|%fd_Kv?k>%Vcl4p=pWs$dw zR!{)dQ(Nyh{H@qr0oxExS8?wQ@TE7}ID(7q2wZqE2t#f*xhMPjV%y>0iM|)6$)?V7 zOp%mRH=Ureg?`$-FZFz8hI7`Tm%GqsKhd%%(Xv4mwRwlTZ;G)=mZEfk^}+5lHpD^pQt}n~dhIy(rLrDT@iU_(Sao=*FbR?2`lX7vRiAt%Nl2kQ` zhMy5rNVpdRx6A9U3d_Q>JS4DX1&Lv6Z~FfLyfsGhO7X^^ed`PPNyW>;p9);S%s4pl zolKPU(aR5s4H+e-(_n5?4=u^=Z()03sx~(NJ%6quq8-f_ZvyZxQ*Yjm3vs) z_WC%^Z_8e3W%+T=bDkQ^Bd3ZZ;!i4-2)+87+kT%sN_0cExjJWzvrb?0Kb*&%KLq9`wJ2!>RTa8UmpVnX zZ)<$5>)#aAahRKOR2!1J>2NxJ7*Y`=&#m6zc}QXRckP7b&nYdn!0a%lDHJ+Ch)U>V zz59KCd=ikmNU<<1FS{#WY$mM%0$9Kz!^k=h!v)hkQ7LvBeK#WHdSZ<3&3q6|Tj*73 z;y~38s1z1eBG>l*m>$11M@5F1cwGS|`+uBzyEQc*!(m`ks(Si;dtj~x;N0h!{82^0 z`5VRds`{mdF);vKkUR7n-~8cf5^jcN)oq5zaE7$${84?#QP$pv8=neMbEk9nZ`0*$ zb@=_3d2UAqIpa=uWmFF`+$HU&^B+4Kf1EQ z8=`Np+Q-+eu;0thE0kuvL|dfJai@0s!Or`Uef=$hVWyfuCRP^<(2cjkDG@2+J@4FV z{cuc7A53Zi*abZ?UTAbV2}cUW0DIoWj@T-gO92m69+Ph>k-q!%!gQWBE}D>3l_iF* zgKnqm(**0DqNl``L@gk{-rMrUNuuUH2P!CecoweB$~;O+_Ht}jVo;Jy#7%<7Ub(*2eq>kvIIdlT3mwmydyB(7A3&o;== z!B0;WC>2#~s^9Sk?|oYc*5)$JFr`-tiY~VQ03R$);`mDVlg%AuZdPV02-FPvRQB4} zC)=jjgYh>D=R6a{H9R@QL7=RokR;I(4uxEz{P(=^~ErB=Q{{V;Kfs$yAp(Rh7Ym6#@!hpcQv;K#Q0%~X+qR?2O-Bk{+ahL6QAz>5vR>O20p^)%=;AdP#4$3_ayn@T5D{>+NN+|tbG z-d_=A%E*>7KlcYqAI{&7E=oF%KBVW=oMBj;A&}F?MHLk+l3HpCeSUJP2mavz-qyM4 z??wL1iliLqt%_%{lPn+={{Zm|Z|Hho7mqgiZ^4wj*UOIs)Z`QsEb4O#ika2gC1?I4 zs*B!M762ZBjjeHQoh~ZIU~1;QZVFrWl$EFFO+8wV5vR*jNzfmZI|%JNPg1DV;s}UW~VxmT&WJCZcXx8aGLn zoT`~FZ)FG5+W!DU7JtK@Pnc5YHT-ogJq%fXS3@kb)@A?&hyVdAaCbKf2Ua8ja&3yP zSB+gsB(VTkjg60}`QciO!nQg&Xjd?hQ@IT+B156rbR=Ha9dIz9u z+zNT74z)!4l(A*lp8o(p*WVS74e>)|^QA6iNku+Oj=StH_5JZ=WW2`6D5#XrQb zic?tm;E76H$>ay`Ucl|P9Jo@i!LKT8rGc^jQ*Qg=N(`Z^X_{;AsN119(=W>^QfZOM zK&MF{boTcD0C>qwCNm_PM3OqM6|*Q3WIQ4sdj9}S2{va-_I)wT0UKS9TrW+*b5vF}DUnh9Kj$Ra{&>ssdzclu zWk(WFWiKXQOCe9RII_DA%YECvp)JOu#9^cG{-7VAG1n_9JJdz^y zHtV<->$kVA0M03-q;C#I8o)Zviv>MBKMWti=p$1klUU!Swz2yDm^ijBW5JXb1x1t* zu-@Lkhg@5q2ols!%_O6urf(LamPPQ>?-uQ0-0g2&`{JZ5%7#z)+kZp-@pAkQ=1D`( zY__4J^A%zoFbb=+$@vTP{`iSW>~vAfjyWRY`e#KChR$o}8Kb}cJh3CWI$5_DK9)A^ z*Am>1;LnOCf;6v|C|s_lHy7*k@BZ;W%>FC+JCjyPm&ut+Eb)?$;izQ}Hesv1y?gwz zHRWeExNDi2S*plpBx&C5zQh7-JulP!4*h$3iRUzud1lOW`Lh%Il;3 z_nF94S)^FVD0b*I4!uVI0OJ=nSCi%0RU&2CVuXSv(F{O+(c9~({BXQbEUXe}!l1su z?|<)%y2y+niI+`=#trPm-2Q(&X&qT-@l1hOZ(uL~0C-VuDWI9ajg+>eaqacrd?rB= zc~p3XtVkbL#*$SAb$v~$*Ebtq`}D@O6>PDDvsl{fb}Vn{g$y$+W0@%=FMKqE-JF$h!(x`W*J{XecD z666et91*fS!&@7u4bsE?`INWY=}m}#$#Bv0YQ!r)jk_Vn8iCK$mn5K2AH+u^dN z2l2@uDt!7I>~O{Q7W*TayuKVR6g9$FC>ICkh+cObvnPn?4S}_V?tMM}y>UIw;)Q9X zR|J48cKq=R&(bwk@NPx+y7V1CrWl%`QbcB^o;rzjy(=3F0vO}i)}pb}Q>jko{c-GB zi^oE~vbW;A-U@~y32v=>TcE@`uf&b7rJSCPaqWU0zG&FTJCdMSTYM)aO*D}h<0o#| z$Hx^%w2;=qO-4dVHaiZN`QurtWkdp2P)NI6UvEq=QX_ZNgc41IDcbmK%)DqMD)(jR zNEgErrUU3y)wa9J+bZsQ4Y61JM9mbrC-59>#>9=<-G8ve@K?w~#BOfANVn^X%b&97 z$rYqxLjpcsy9{V)lipL#*QUx^O?L)18#d!rn;2C!b^sgv$n@)omPn*G9yv})C1XM$l`cAguxkNt zU&{(Wp*0~u#hlqn_VzuoeDePQ;0Kx-Ew0VHJ_}u*HrCbmIb(*ioVKHvYr`2Y55Y}YT+F$SX(L`n zk9#03vM2`SMT-&UBr1iOWc-tEFOx0d9H%gfJhCSeRG8m+<=XB|yvD!)NcYEFF@WBf5^)e)Gg;Wg1T-Xn%#rH#6q`U_hTD%`(>c~iqP zWi&iJM;3E9Dzi>BM+)1!^(6X!xI9^2qB~*PM^oXl=wZJjMUU}EU+~w#e7E7A9r$VG zs^w6cm|HQ=Gh{)SX0kMTU0OJuk~r6@fpdG3)+&p|zY;1JjiAsp{{ZPVGbrBW+TY(6 zRc3XKN=mq+ogNs-A%Ggi_wCoF zI-h|v-X-ErR7nnL#g#2UC>f`ZD5WTl0NolwFW1U+*za$Aa-|fqOIaEz(izt5I(4_M zJ03AgJ{@WJKQ5N0O8TlF8n(_AqKqx=BPjwnz_*YAak03##jY13{m6$WJ_|Stnz=J) zxz8WV43pG3rKf?4Ej)U_uGa$^U^(1rD2n=uG-pnIQn~hx#z}xfZ ztex|`?~2!ma!ky?g|xP&-$^$*M{loON%(_{d4rYDnPwSB5!BGfPejZA0Hm8s5Vvl< zMab=Lm^e#(cmpmioF7W~TZFy_J{@^}ye+`Iipnz%B#sXav#QLt8ER4cnD3}VW*c8o zUZ-8L^0(s|C>Nhuy&pAseUT?(@&L*u8)b#aHt1V zY3J#`n)lz^z96~2eO;Q=Ntl227pytB%6u_WK{{=&ny0n_MSpG}t@SCVg!gFy7q zP==96kPo`2`k!10S2VIYF4kVF(3}ffJ4qlLg53$)-EpK-D3B2)^qVjzdwqXQDaP4y zT90MWRI-5+M$PBFi38j6#P{KCqfUHq(+H0Fg6b=9SKn_-;sGN&MXi{z(_!vEz9;_x z3c_K}ejJe8TCqZnwK{FU4AQg+M9qT%k$jN zmw7g0QCt$AD5a@Znx?*9iyRRPvk`R!-osG2xm&S(Vb8_V{1{Nf#b&HVJBwQacwefp2Q-dqPeMsP;s4-ci8}0$Zk;p+1g#?jxMIWicDWVNvlF#A?%xMyp<;wy`^(Y;_02#|ZF%=Oh`H zQNTP@^@|HDRc8_y;fV!-eISc&ZUwLACw=kDe;QH!pW;J4XdRHXKp-!c<9)@ucKk7S zQy<}hh3%c9w}x1$)i`kP+kqjfmv@7c9lU*Z-Ox}bU&4(+fObU)CM_~Wp% z?}<()JZG6@TrbP#JUx?4uvTaFj1eZ9*ILpc|4P00a!4feJNN`P3~P!_eXzf2LC5v6?O z5J9m!p1;`OW|ljbMZJj^Be(YXV2ab1)F~)Hs$y`j7~1XL_zJz_o>AhZ*bVgy?l7#a z(xLpI4=Dq2>DwB(jU2F#%ms!0e;)m?NeOvc0(wZN{v>gLw!jYGFI+ItqId}#xz z&$DdKX_v=_lqEU~1G@rG>Ggb6)n%3qJf^*o7rD?E8GJr+>wwe>tlDa)gpp9%1>DsxKaojs=l#cr;b?!Y70GwnYv@8c>9E@vyFLeXnAU@ zsu@uuEvSYh04>vVigTIVZ_Cb8aeWR|z*$UhWmc1Ej#)z+#tQD%Ym(h=Y%hzL zcS{zTj`!;yzk2upZ;JSWbWhqOOpcOfsTA3!BYotm*E-!nxO})kXv*5$v zizWCxtIl}NyN=F#o91-MN~|fu$4>xlOilMJNCT~{tFAb=fd2q#CmV9R?UghfD@9cG z$5Xu0w~$+>_21n3?bLq+KN=a|#Kvu1!kP9~kk);Hr_&Dcpc;LD{8qoV^A_=EVl%Q!&Kmm?_%r|u11jmfd=Z`7P|k2^X40G4s4XD($jv~KD`F(UF> z_P=4)_QjF$YruR-2Nzc5vqainL!p2qfpdMx@7MA6$1(9`3uGCjd2q=kt(sCSBU|hZ z^o@^G?cW8OZ=y7qpt*?CnQ;bToYyT*UmGb6yI$7xw_Hr%<{M;uzMCrIO4ABS4b}+F z*pt`~UAycqZgCx|;qGZsGeI9Oo)$uCCIvp~4upCyO^)RE>42l*UNPd?e{}I`M?jI5 zl6r{%2TiqH_9pfg>A%kvnWChT1L6vZ>KW?SQwD3PJ^o|y#&|uI`b?)iw=AA8|E z=8b5)N>EY>Q2`mEyfzV6(?+vNWxSgD-sUKy|?*y z#i#I@FWEWKm-tPzsPU(Vy{-Y=b+xv|S5CSMJ+36{r)%};>5C`fPcfS(=a&yw!6-=~ z%$4D0ZLTaq_3LlzfSR(r-6ON({hL1(JWrd7n0PjxllOv(s7_&8A~D3~=+do=Mp#^g zBT%;B4b7>@kAQEA9#GXy#GFH$@b+Cn4Li%2M>)tRDjQK6MXpEv;jca8eA6;UN{Z=OWfmpnVjBHM=jdZE@_5vneXzej zI;t8uam4jJTf>p&b=1Ntkjy27Yy(`|zoow{Ju!NK$un*Ruj}-1&y`WrWzQu=I(TU# zYVzLNy-32JZw{Uy>P@;EAADr$V6o(JMy{HEq*Q|u);XLWr9ikBvBt9KNqk`&F&78R z&| zT(VpQn6nZH02^3tah9N|n3ii?{lE`H+Xd6*l>(ecEMc5|=TW&ITVNm97cYPTTRlX9 zBZqtMaz*Xme{2y$l1h`kMP#R0HwXwFuixeR;Il;}YK;_XE=9EqTc`IpDXC>t3X;n|04{yx}W_{Pm*HEuk&7U})Q7ZmFn5b)nt&8MKi%~bbg za0#`#0k#S;b^~@JG$EVJ_qpqB&wJr1X%?k?Wh6R*_zV=p1Rfv-lmY{G9;0jvQ#u3! zIW4Zit^h&T;j7>W5&=~>Ns6Sbmg+PE`1HrHR$-TDt8jE*xng(x zvFsM=_5*L&=SsrzfEet05G{e_re=tU*bo-vjj(N0vCALu&|C`wt?hs-1c8Cqs{Ki8 zTND$Mt37CshSAz-iL#FS3*jf8HU(u+E_MUH6j@#E5F*M6+ztL%Z>+DTKw{D61lr&C zhefL)ro<|vh0;dSKwYc{Tva^%N`sV`<17MQfCI0~7KD{v5-PHgt+=t=eSds3=UG)I zb5S4KX;x($4MOAb#f*7YIasOTmPl zDwU0m@3uN~ggzY~jV6r6!^xnvq+=a`9-Te^08Df@C_Wi@PlHh^7b}cZpS>Z7Y(KA{ z{4sJ~QZY?eB`i4tnGlz=chFQ)e7{t+YzQly%Dt(Qq{@_$G|}lQyZT=lnYCFmJ7=)b_}m&; zr3L>0*xQlt?HqP1OczTV1U(KUv{_sZzEQfUxfoW9D{Y@b*zQO_mK@-r>li`+T}JD( z4@2wFV!rl~qv@u^sj`|=a8q{fd;VC-?QQr}N4p}!c@`{MEjICC!qut?KTpwQ79Y#7IJ9wi_ zZ)=hFM`MJt$f)RY(#uR3$aNh+ex8^tZN^rL`J)*@#!AnPyx!$U5%RZ)XUa3W8P+@h z01ipif(SMs`Vnh-Vj~&}pH{6ZLGw382m0gcm&Iqp{{RPbXN4-N##0(rENn>#F7^i2 zxF+|v(Z|eAIN=J;4&r*;j-uqnI{~NC`+T}z9+yp-P6;#S{#!vU9$Sj~SREUvDu~Q% zKvSoo7RO4{!^HWwCDgmIE)ajog~=Y4$1_tpE|A(#>Hv^V z+x7bO$4^vq6DY{JSeX|ORL@&I9S?yVc|;8|#Ky&mk;a`{ed0}r-2&Lqif%Sysav9@ z%6=JnyT=g8KMin;&I6TD%sj+)7<%DuN^$QZ_~M%{=7w1gUtL{FwGrippfyBMw(8D< z?p$sI07$U{#1l5;*F1BsTX5u6EyYHSm5VJT&#b9E7z4fb8~Y3N#9K1vR#n7&cU{NX z?r|k$eQd2QMO94ZX=P$bF3fLsB<@Mx_|BV?X1<+9En6br5IN0cxvVfk#TF>MhIPcZ3kkl)i0{F9M9U0qfdrbwWN zRoYn%%KF#?zpah23x&w}K}vTXiN7LetE{Gw)DTiLvk*D}4Y$RQ2LCTY%?-h9V?K9lp^!k#}SHbtk94HaQz)Fmh zhlG^W(d*DyDV9sx`za*#y{=By>4Jvu_KD9>O8YLpQU1g(1+L|omH9K(XRb17}V4xfg3{(f}ln zZv8RRyixm1W2wr~c%v)LBbhAAmc;`@86)|u%rCviQ*3f?H~FEM^H+@PIO{Ng!u4)S zp>V}k)d;J_uxdBq^&K@6`S*|lE?nkDs{{UW?bRe$5l(;)r z;)bJuq2^s4ahl}3MVw~&c2*@78FK|%7>FfQdDn7vZSC{I9}+p1Uz+?FimE)SYDmRW zCx=lvl2#=(pAn7j*8=uCZaZT7pOkzLozt#)pI3#FsV!!iRA~SUZ`9wv%N1Y7=0(F< z2g3DkRl@ZtB{eLXnpBWI+o9>`FVoZ3__;iH2E@lQQb_sa7?&{;E_VZS`u_lY7fBw8 zJn9%*a=nkP_!1~W$i%s2_cpi|+TVs2e9y&F8a*na_5#)e7!ysSQw!XKYBNY7RDvgE zu{UApa3QN%>J@}H3wwZnBe&=IU^M8OW3~SPXBQDwWW1E(m&#~<(_WG@QK?(1HiZG$dIE26L5><)k}o8y zbq330aDDOI{{XVLGs-Bq2Z^gQ#*9-#DQc4-y^~54ao?cZ+TPf?r%g|hc~qJ$E?984 z7Vw^VkY+#m27knvo^J9_na?+tIM6cdlHC?EO_YB%g{^hBBK&xSr}&V~d?#Ig68OrB zbSn~W0lB@cZi9Q{yLeyXbAq_PiD2Qb6X5LPS=fl9mQ1!tVnqi30C|Ah+w!(JBjeyQ zd`RaAN8e#%wfddDK;I42x9QLrcke1d%H!F7e$M)*i{c`JJvvrONmPji&F+J5eg6PVbWbxQ z<-T*w`p#a<#2iJMWwe#?RA)IpK#U`vZF-g$b?Qy5d;Q=J*T*z`0#vX60JL6JD>9|x zWx0O8cl>_1>%J@Dt}o^Ferd%Ne8tOhOtMOcs-MM#G|cU}Cg9tq#^a~ut%6mI$jZ-=- zr@j7PENHbaF0Dj)Z@TUE(+O10wKFV`sxFh~Ro?dh0I$~xi5h0s@&Hc6DZ`^43X-2D zXSqI9AOmg1u04LeKOAc)l^K#i!EfdF$F)lS{i99QV0})sd8A~*Vk{u67ExTUQS)-ZVn z`HRPK@b+`edZ9{`;zsaYZp01ri)=Q(%k=IqhChT3LHsXeRm;m1daS~^6)Q6uxt2+a zj)=eTTbq&JYu?!TbHbec=5Ge(E1Yom5J?Q)8BkHK6knhQ_8*omABFFY9&N?Wyqc4Z z_{LeX%G$3Exu&Wsp>1<+-MVePx9g2e4;qy-&-o>&%G-nE;O~BDa$|+K%8kxvOr}$N zFau+~jsCwK@x@&7<&94%xav&HE#ZM8R4Sx(ZiT!68=%`_NEhf$yJNQMIorwD=+dUI zk8<@As3@;es#M(eC)n?}IEC@YIKCHa;#v+S!!gnaL>TRM>wJ^l2Wl|I!lHcbN z?nu_hm-8ZE1Gm=061H(OWywgT`+D>$qry6l4aG)ZX|<`{@wNv%|}4%98)SE<2{LEea6RazuqL&Ibs)h<$){) zz;^ulVXu~)8$-*k9*-rasuI)_s-jk1A>8^7!)t%p!{3h^63*UpaitD1H93}P?l!de zo+v1>G|MAt0A^4LvNx~G1tm2cg>1*j2mqeG{{W^j1#LTkk~;!M$EnAQQ^NLuoyO+l zd{*qTX?kVV97RDLdfc0K-+V6|jF8>!(!mF<`kVgjp<-M?sv#?MvqyPxiHn(%@+X>Vxv;P3@ zI2N%4H(#B)f2>6-0$q#EU3OcwfI4;^a42%VBcLtV+}Ql_slKAnfLM#LA3S42+@VXB z+%}7UeelHjq6|x1#Og$A1<*FOF*z|Of}kJa?{I&&*Ia1q!S2PZyD+xc(wz^A&e9bG zY`5Osh5rCNb5?PSM!=huzjOSfZN7#!lfaF3HViCv<7$waH8~1T@{@6dUP$5G)9MFc z_PEv4zB;~6rp1<`r6Ol8r2r}cJKNt4vsF~fyDMocdoqFOZR>)}@`drxC?##Zfc$XT zEmcI4u!_y5`+>1LoH9Ke3tdt6XdWXK?k}*hZ>_N!&nJ-UXtoM26}pT1j@Xb_Oeckb zee_$*y$&O}lyxm5g;gMrJ2>nIZ_5pfK(vty%qi>YD&67KR2u@a%l{vOFE&ubDmRF;(3 zo%X?RzDB|>QlLz`C9clhB7z{roCP-Z{4r{B)8X!xt>et|h9nNL01A(5TdpMBwB=PE zSR;~XF8XeD3y-h!i(@9qA*6vLjvxtcwzdLUli@RK28lzN_BU|H1<+*(kkZhqfB@B` zT>XEXNvX18t#ClFvYw*;xHgL~ykTB21%}tdlsRz@5P`7VoG*KPn)slX2tzN*(Ne_) z$g%a?{_y14P--Q*$-XteJk_>Z9RQ&^TaupQm&1tX)PM+ z0>$h>^%!w#Q4AhHH47VzCrc1;b*USUgWnDb4yd;}Sgq80`r=DDw$UcOwY=!o&qVKDuFi%QNr{voy9)FD3dMUBv>v zSnxzSlL8E?XF#e5AcYpVu|KXpT>N2D)6#Q_xKYLrip*GB)RX$}kEa~K%}n`R^6bDy zY`5$94{UMw7IN2@oXX=WirSgtCJMm$iuj7s)CD%ts^h~&+V=T%>8GRTBZ@qK;#QWH zE8fmP$|&TXt|J^0R0dE&BK`02j;hSKu7@S$%H@YCqoQc2);Af9Vl_u$<&9B1(vog$ zI+9q}0z>BtW&9(T&sP@-WRy^d07>iRre7XwFkK6CW=WScrkwu(>S~)#+D-JR8}325 zHuk>wuQ@weJr;E%(bEA_A`tnlkCd5u!32M3s;G%f$_>IE z;fS?|^}~G+I=GKIlk8b$Q5kkSog@*rt@gs4)PlAwgY6Y?%QUkwyzH7ILFhl^cN_lz zotpU(!o!KUtx~q6$4N|@>RIFwu7TF9F$$asB+gw-aVS7r zs{T9SIb(9*N|y%u5r(HhbUKB%?Xbt9jiQW{m@yllJ^I{#Txez~9)RT70e+|38-R|$ zCGLNhpd#Jx^|ltFCU>ZiyjsW)GVCp2Z~ZYe0jM>J8rN@K@Y9uW z4;E&*c+6-rc4&j?Gs|=r?l0Vn^y||TenWEWk1P3|lh$Q?FIhA+`J_K+nkb6}^9zFA z2G%&UiyM>Lg-<+Ut(}|kH<)l`7GF!mJV{T)bre)os3x8&vrkD4{^|xSx|o{_cj?z` zHS^c^Hf!)d7HgJpyfVsBho`G(9`dCklVeZLH2=F;Ya zsYS1Ty^Vc7qaR91m9jZzC{o1OY6oxE1yR!pJ{jY#7|?EOy14 z@a4&=x!1)9C5ti?u)@A2RY6d6pti#O&Cld|>m!Fdcgch4K4qm!I(UB_JRl1hGVczidPRd^w#r&y=X>NSz!!4LtK zD}Z%9ueR4F)L-obr=*+WF1~*RC=e*!uXC-)2X9R{>y8iN?hwmlZXn@4N>NcgG)%&^ z^r>5^uq?okPTO4i;wJw94xAD39maM1z~gwLk5LN@Q9Z#I{D}9p?}z8q!_m982aoXD z?Pg^hP-gXPw|D8#SvW7{{SMaeFElMjW=s` z`}=n2Hoh<6&lsv^UZWOIQ-ad?{NX&;{{W}FGs4*v%U>o}2>V0RuvJ7wA&D$Nx9j;F za+eKo)?=8=(#q=!C>ov5Utz!X!{;-;BJ=JwrTx>EWmQpAyoAl-JGH>*yKFyFZS%x; zE#i#wnn==~geY&kNVSKy6`p&Y#C8ZtFQ_jtU$Rv;Tx1UEyPdxumIihz5sca_-}2b{ zew%(cFEyccs1=nJ3S_A|h1+r8r(N*bRb+_8EQ3(odB3J33vyI1D{x^E%#6%kEKSI^ z-=C&5npf6R$``G`>4Gv`vo+1w!<1$7)H<%F5JX#U{Q<$eb-;P&14TgmOG);5YpKl3 zNaxHGwfhU-^xu84W)N(rJcASxDwSO< zHDA-d{{Yhp*U?vGm0x7c=nOKlD|k{VMq7YI@6)&U#fZU`<&grC;E_78B!CF%*X51T z-4Mis%1I#JtOfCa8ak6o@Wh|OIrU%w^F zsASYurJ`4mTWv&KoBk)$4pD4GvLHzeVl@nPYycX5U+)^xR@7znsb5JkM-8c2HU#ts zd{625S;16U9d>P)@ru<=OGxbvJt~5pC9E|Y+<@J^y|EkRj}PS8?-jv8o5J$IF6|1* zr^fVKi`ZXdZr+EdC;;*_Fy^_HbyVML&1#IU!~-Muwh;hGTUy+x-qyEI^@~@W+#i!< zJUCvGiV-T*B9^6w%BIHV_9EK3y~&49hT z6VP7-;T1>^TY?ojt<_v?NhJD@Pp;U|PxqsfTL*6~jg7HA=blHE@YZ8RlVwV=#| z+T-sN_igMyGkiduBSsELBn|Zrn|2+$V74d%@-~dLg#Fh7d~D` zB3|Ruf53mddQ@2<*CK&!$sJDr0HN)Sx&lOQZb-ef_ro}gq$XU7RA!l*pA^?Qy_WJw zPvLTXMi6|)xXcXlGu?cQPiy?}lZiD5>};)l*y;ZO9WkkL%v>8Y5u}1et%Gut?TBzl z-f0YkNFX4C_qQc&_4?yPr&+5al6aN1NCRA3R{M16k1XUVcLT!&g#)4Q)cV^Qq+n~~ zk)j$rbylx9j^pjxI&{v>%{mgHH6O*aZ;pi@+2v9r8LPPw%Q? zk>TtB{)4_9IA1H`iahq4HIFZxMIB^c#b{YjlHdmwfk7ukC(O?FClQ@P;t`z&G`dCSUFvk z)aIla8kIa|17={WsE=PY_r%Lf_~J0e%DVu&j+=U61$d|^l9HZ13W^pqL13yj00Hvp z+aCSkz6oY+8U?jjUjFA9IWj3pvgRy%Vxk#PlFkWxsV&#K+rPJ54-0wZB5yM7d+&St zez?UxXj}qZmm>CIWBoesjb1pw6)AlN`bGMa{;-DI9HBnicXY z&TdabeVCKq3C4h_NlN*KrQX)xY-!<`)niF~`&a?dclP;Ux+a*jEb-MC)UK2N03rRp zy5lA=&KFp3G4ko_^?YTf@u~Gy5pr*^w$?jiN?}VKo)XSYh+Bi#11d~`T77cN$`;;* zw(IGB*w&}*v7V~dHqxVRn9^sM&e9}6@9D8POjLy-9ZrHtYcWP?nfIhb#m^P^<15{}}OagB% z?iWc_um=N5(z&`5VE5Cjr`Pw#uM=vLMF67Q8~z=Cwh>V3f!1qkIzVOEpRMuMj;1X< z>_9`SP*6H~Z`1Ps02tOuVhDU#!D1{_^dIl85~VF8YL!%h=GAVeZ_m?gAvIxnig-tJ zU@Sdtjnf(x;N^*9=$m2cpN427 z1~Ez*jk>PK8PmyfmU68h!zU3|v1#DYj6B4GPxQq5fqoum;jpZNZ41fnxNXI;qSm=HoE-Z4mu1eb~;L6H$K*(18 zZ?PDDp~)%|(J~#nZHcs5Ofd!i0*mxIa7g+u>^tCkiUd|ANfsY_=ZUefYR34fRlK1{J?+x?UXqQGl}J18 z`}Ft1#t|7HC@L3wdivo=)f9(ww@#jzhknJF*r$OUZW)s54#wBPRMH0ltW;jxj<^g? zg~FOk!ot8!O z0p@HnX0yz(u#Q8py~*!_joE4u;L|9~B7DwSY{yQ)jv6?dF{x#?yC`jz{{Uax3B|RTlvL)!(_rz42Y2!Jcq* zoD`X$g{x%KHiiYXi|&6A58;RxEwfr~S@3N(Wz2dy3K~QLlO%egC8@4x!rZ|Yl2HZ5 zxd{MVC?u0@y$Xy}vNPwuiF10onBtGH<;pG@%Qy=xtm10Q+SQ%hoW6YCr^HHqM&>~t z!Jm8TI}V^?376y*`ENO%pCz8IQ<_s5r_80QW{`m1c_ephweRYF^|!v#;mqz1&82FJ z{vMMss=l<2ty&mV76dA$oyOwA-3A$`Gc31)_^NJVbGJPe`KEEULBknLAy`5f+F2BT z?MJ9-2u`OUliwO1tYob7J6uRt#Ew~0!?_aWIVAyVS;%^t$#pcZxlwDAWZQGx`genJ zTPNbI*EyPphUy@I_(0ZG%@A(}FnXvxSnL4nx!hQh(464m?jYkVhAiibIa8kH^m&AV zVa)Sd2_lJ@f>lwr9gf>d|{jD(Um{}q{`wAb@5MEnEwFB zpa5Up5}bP(8Mvw;eCXw7cam^)dFK)FO>JdX=AN2gDnz8%8(Vvhr@0;Nh7X6__bTD+ z=2~3n!ry5DVuGPs(n)(LEDqlz)a{Ow=f5U-!9&8?cNcTJm6ByN96-$;Us+a0iJYKg z9mcriM1oFX0a~9K=2(ErC`6aoAzsRFD0cF72I`II!eJKZxt8BW4KlBPgC*-ZL!OI z^yU6T%MNwT!2CZ?BU3{nC=xMZNp1R{t7EG9L(9%)NHj(EnJC*QN zMGY2ga#WH?AVCu_1SqwIw){U2d`JdskwpoLY_SYZnpqh`0uQfakEeM501FIw9_TA) z&-I>5E=;RqYMIOVw>~)cFVCyeY@0EuX(e=~B6&2Sxf+N72NvmT4{Q_3?keN%B;(3& zxA2BzEil!tSz?f`t&f?TYxmpNwmLOW2)4t7C`b*cv4KB9VT0*-z6v;vJqBGAXaFl5 zQH2L>zqjXvIj+dZGI)U}X?d{1z<5!8G=fHL#02-a!CLdqgg8vBlir=`fY__-kB?8a%lIY0xc8a;krTVg-) zA5<&;A2Yb7FaH3r7eXKa3lV$vu*CWA7N?@gk=H=@eRSTg5U+bacF!P+q{AeN%E}v9 zQY=WnaoYC&n`5HCXH2y($F=89m>MNeM^+&A{Xa}|D^$fZNTiYpBH@nRv48vq=QTNR z6!@__M$ICFvAN&BxB17#^-$lAnfCtx!&%{v%Hfmh&iv)y0`YEG(@O_kcO~$UZFQg*7EKTwJP@p;?PfVXRziHXTo|rZtC&tKI;~Q6Nw}pr7f4<>Fk$ zo5qfz!>2}DgZg6^CJ(1-;QOQ}igDZ7TDQQ*8q~~-nsaI-LO}5T(B$6#09V_;TwENy zYZLx((sPQb8^bkHSZ+W)`rGyEgnxNdIR)9ReSikm z_P6xMEK+eu>~X`VO~Fwu;r>~0XAftSXEeN2Wu8{j>ol6L(|yMM1&#aTf&Tz#Rza0y zJnCukXJ!+|T1L_Z+T>r?_3eCil|E}KfMj#HK1M$M@x`CCMtSDueG`*$_Dxsr*FWp^ z!D7=#4o%U0o=fqR;giKSzEMPEuC*Eb6q3iRGgt>ZVnUoq+%?1z_;hhRaZVh@{U6La z+qY|b;y1%C$A~HIbNpMIwfgOB6~%J1=h8&7>G|7ld|Pp|<(sM&NbC6ar#sDM&a3EY znpqSC3bt6(ec050DuL7c;7X1tX+MekzMomz<$X54Pi!=hu%MApfMdBVE%U++BBX#5 z_qMZkJ#H{@#*!*YruiOUJ+7!4U0{Vv+%heR@7DhSrU$OfD+H*oQF{Obck6%cfKo{w z%nI7}C5^!CjDo~3i%?tt0FJ}-{4muEK<`lxi#e;Eo5ph?Vgp?6I&JN26;%{^f$?|Q zbZu{a@XHjwZ{eMvuq503Vb~^^6_u_J^IN~C^~3SPD5suw%O{Y2D^|;uMV{3_^AVz# zETu0z$7>ttAwVQ`J^uh48}V^LQIhes4qI0(M53Q8jZE`6v2%Su55!!MJ6jGv39Xh> zjMFlz;wWedvNb~p)nSZpB&_VD{n2F|0}^aah8n&oxR;0c--zgQET*r=o=R^Lc!lo@ zAN{o_yJ@=-*KvLJ8Zb-Kwr0+4$Rm>3esh)ZJX}vbW>J^YOPC1hWtt-O6Sy0&{{V;< zu)T)f+rs(Z9b{a^tD0(~EVIOtNg-YLTYT?pd;b7jSbUz%GHi#Jlw&;3ZBh2J)KH2S zm7^N9f5yP-AdB_edkjSRzruOP6!Gm=X~P*VcbG#Zyn5=285qqeCsS$y*60A}EC3e4 zxTV2ew`BW)_!lhy0LN%E45KKGV2+ykmUCSzJ6}$troi0v01shfib6%Dn~o-ppq3hU z%*mNs%F2b!)cO(id~^>CX07Zy9X}W-Ygvov-sa=k)e~wofyvT;@(0&8wuyDQU7gOt3{p zkwg_

    KL0k3n!rH*N1?PW4AA^7_p4n7LkAmsd$n)fFolnQ8U3NJ!LGPS>#5orW&# z=Pc%r5^%EceJ>9r8C@+Hr=d!k>zPRdRP$Q;q+`C;3vjEj#3O?FCB|F}#V}{m=Q&C| zH`rAWMZw$GJUNroOFxfP z>dE6y!v0-MMTb*iYxn3Z)ywW5$oNAw5%AwID66YvWbomR1#-`*X#fImV}DVIlTmiS zPmTzWIkKguj)OQ#N2mKm%cWGBq;p_*0^?wR3;q~^nL*TDMx7&KcONWW{LSG^r->`+ zA>%GDsG3Fo4LndpbR!ldjfYZibLs%UOjr5OC$osACn$-f)m0+Il2{)E2L2-v&=X(> z%=%!rDXUzAZZ4S(6(|DBB83*QB<^>@WPv%7LPfsn>DaIL+~Hcd5{hXlr7Bt%D$E!# z8{1>tUjj~6JyJurl=-c{UZ1W8w<}?%V$riotW`*8>;|KKiS#`?;VB%%BUuveHnM(Q zd;NN0DRT;1Rq&$!F^KK@&h1>GDesh?{15Jo~O0%)Z*KbV^MO)eVBD5TlDXWL*QO>QSkSbq1?t< zNkiBH*MG;~^Tp3P#;CFaZ*!yr->tFabqPm2tL2-TB&C8E@D{B$gDQox?x@z=+<#0X z6zJ2jVbp8^>Fp@K7!XhJ7EZ2FQUZ1TMez-f6Mg7vDQhf#96OvTpfk*4cj`B4r(zQ>k2g} zz0Sb;_W6zRiKb}KtWmC;9n|+7?}4hT^wNY!VXJHPJAC?JV_8s$6oxE!UnxJ+9JmNP z8N4L5-GSSCf#0Xg8!b^1G=-QOX#_7pghh0XOkOl?cC*}njsF1e8bwg*e9xz)uD7?g z4$d7-N=F}yDgxSeTW$0GaGWU5DUhH5x0c6iZ-7lqt#&2Owd^g0R&Ni?(mkzU4}bf> zx&lGVG>%z-@(r}F_5PR!M?egvyV;Z;gVPB#ldRS;u=5jePQx2DC0j^lHVfAMulV7K zq`4s7WE7|vq0_HYg8tZ1YG4Ccf4sK`z43@L`nDb%mtf>+18ZSfvdU(^TE;O{uG`IlepB+Yv1L9Vu4T?Wn;HSwXc7l zOl8Wjuw}lRZ|E_}P%YSu@k35N(IEot03Mxj>Zl^Cqw%7TNdy~PufI$sQzTv&hb)5Z zEn~j@zpgY|sf2A9^W1K_x*Qx=xGm5usKogRK21GrH^8}^0lH1(9l4B;ems{I&ZLj#?>9hb0utcq;DcZ{{U!xa+Ny2gQ>EC(0({3DgouX0)0Tj$xMKWG}sFpj<_lWRvu;tN!x2-s5YMHo#Lxu2_)P18wbm5XeO!N33UaWVY6~TxZ2)KnVPg)$y$AiMShf z1avq}=0-*Gw@X{o5w#X#l4k8u<^kPRdv^Nogc_}bp9PC4JBB?*2CSH(-&hKFVonB% zsG$eN-unP{x7TbA(##SJmRSoHBT3Wuh8gp=mO{)H+j)IX4wk$Rxh2USWdo)ebNbeJ zf{mGa*x#l90Nx{;9cdyRpV$4HF!0^L*y;dv#9xZInwpxOswHrTO^us#gE-qXN;zef z$PRCIC!xhJ&Ym-+qTx!qiL-GWz7Y^b69UfG=y%w4{JLXPNUt3j-bXsZoS9X8MsW<1 z{iloL&P){$HK@WK{{H}uAv}`f5yhOxPABF)Z`)o76)FDG!GZ<*s;b?F=EJ`Dt@yi$ zIFmc7X){^oc!ZjeFd+uy1NnFBi>Kiegt=8!!};eN@%~}sPeP8FeqRW*k~vH3)B&&u z^CVjuE^iK+O8Y)r*3Xkr)QHkPXLco$*)v zIdcx9F6KNOPny$3nARmqNovvwa2Q-%gVYOrjjh`dZe&yO1x9&O#5MF)29VUU(@!jC zK>Z7rAVAl_z?K9;BHLK@oUFCrOYUJ7PB~7N=)Xi zM2l3ChLJQz?j&U)cPgwGV#ERocK8&m<>pI6o#uJ}01C~UXD?1*%4yw0LKuT~W&UG* zHdA}p^ur}6sC~-uTct>F_}%!_=4ZqRrl95z6eVqKB{I{~)5eJ$RIsQv3mREavAZw; z0>zku%t@~P9XW@aaF%MH_>Fa4CTO#)kXBGRNg^w26=fi;jg7(E62FTtfe(ldL~`#Y z_=@J%Qb>sPw#Li+0&yls!u46zVBm-|JodVj zQ)P8EAUac)Cg(}D*qsC$j+=wp9Fm3mkskO4_`3MApf zS*FlHR4l}j00Y}#2FgYoz7}|=Fw65=ET1Ksv+Z)$t1{)X6p#Q#tUqq0l!>y-vhRj9HVn8o&LM3`?ZzG?hTR_K;cI<<1= zIjYKeUBtCndq$H9NRCHKgf<Mefw($h5@duXeXTeL#>FFWTAMq)*O?+m*naP6-( zc8Yn`tOBYA*=>Eu1dLLgV^q`g`X@8#4_bwX&CbX0u*Bmo%ri>~uksGX>9)@2;C(H_bh_C; z_7sp8w@Yp?RHR2CMgu`VaJNEzzPn%!31KG-Qc10Y3Us;?eZar_!<5QiRb{)2Udw&{ zXCHIXz4)X1BjtLRS)~5qRDoji5_clQsJHjVvg(js6t7*v-)t!ZKw~4ruq1EN-D@ku<5w{abd=lic@V;6Q@~{V9ddRAY2O%o*4clvq)n2dCy5wZ%jbiz>#*p z>H6X2AH{#+s2*E@K(O1UB7YR6M<8=%LT+i~3RLy}8|`lQzA^mFcX-Udvo(A>Ysh0? zbLY&AVp1M6xckEwf5BXm$Hh7U3QU0XHzMkLbQ|}#QHm^11ge1n)-FZO@pya|hW`M| zkwnK`?V>+ynyI(1!v?Z02HnB!mCGNt*Al){}2E0WH(9e4bC zet(fgEyt7mQ78WZ2bb=O4~FUr=d{|Mz*MNP^d{H+e@q|7her^z1^^3rZP(D@r-#gI zpHdhc=@Nr|Rvo{-9eD8~UL$B_WeNh4KpR|l_3ekK@_fH{@WkPmv`Wqyl$%=ngZ231 z%Nsm_<&cFNk8fYEo;C5raD~(XBHC@a{J#t)WGgC0(*hNPYIX+TlZM79tmVj3fny_* zN22u|f%^5vU5AFci6I!=-uBxX$2&*6h86&ebOQeXt`e1GVX6si9FP~k&j+Cinaetz&5a02J}J9dK4z`}V7X_<9&#VHk}Nxw!_$*8{Npw#3_r z@{H>{s?KsA8>%$YOHBzNHk+L$-8$|+zAEluaF-Ks*De|!G0*9$t7oshJv}3{ltE%m z$QHQ=ub{uRe7f9_)hsKku7UJau=8!wsAPo>N7`-?@0ZfU_*# znlM@zpn<$HEw-Y68(R$=SCDfXjq_Nla{gS@P|;GvBFiWtqGgT<$6!br*qzyp%OAqe z$Cp$oCVnJp*055GI0gA&e$Qz6K zpxiSSY*w7tI-0R3h!P|$OAYtf8v(Jn>DLx@Rzc6Yju&ngP9w@P>S++iRXj44npA(k z8@OALM#jf*EJ+(-kA^u7QNvlhIn`%0IDQ97eD|yS%64x{5)XfDHy)o+1l9il-`rgC z!c>wF&vL$7X4BO0M+@YSNhKt0C)#9nd2E*&-L?dQV`1go*Z?htu0U5(Qr2e091O;6 zy{YMBlGlw`kmX6~aba+JHM$+_K0F+;T&7%;hj`~9%jzoWOgWBcOe2!2WIA<6uKKjL zkQi)8P&#dj-cA(d7D-Ykhne+K$f|86ELwVcSX^K9w*9almeflNQ+STJRK~Yms zN(L$}LibQRUgx)LLTK|2NoD!OIi&fh|{{ZEMEl?Isn24%Y38)MQz5ZlX7y!!-_NRY_V<%^g$5!ZHX9 z82WA74V6_%NlM^?$5Fq_Z});~XOc-8Q7)!G%un-t&(~Zu=hAtWCSVpv0IK}@;nF4- ze1cLLWUP*;!tOvN28)Y!{-35g@8GlcUGZncvc<+++u|5FYbKg66EDl;B-hu+wWN(L z2vb6$r$R7f~?W`f16b1 zd{MtWm*8+`6PEO6x%%YO)v78-cevWoafu|&si_@d zDr^WRaDBV`VI<39uc&r1mLPyEHUj>*+CmX*8vAS+?~PEjXxg;VCI&j&gKt#@FaC+Y8dl@?FZCvur>gENf9*k;$m3bFb6i3Tn<1 zlPQ7svC^Bj&kM(wMA3;p&H+`n$*?>7;Yg~ZaLQiDMXYuM>eybMpvbH|Fn{6)ZrlDi z>y?LS4e1uZDue`FgVcfRjSUh_81WD&H`!Nyp7=UvdXOSzD`C_w29i0Ez>a$r-9g^l z_umYSB|zI^k>yaVYZ|41J=eeY81bQrWenp{TMa0GF1X!l)FkPJZV-abj3B#^IpgF`us2qnSwW$UDR#`x_TTd9df&u zMr|NlbKmg9T4x8tD#kQPw%ahU+t78u*^|ZMEb-}Ui}e1u0qdl7b*LbqxKKU+03Xu| zJ0n1iB5VfV;QoDZaq5mT^<ib5{dD{;{G z>y6g3X)KOGvD4D~Tm56G2iQb2nSog3EoHrk>4Bx0l2EH2>fUCx$@=Z{#;Y{mGdN#8 z&FpRR9=)-fD2SG8kbKQ+em^XAAYF;oI=B-qx9ICW7)NkEJHWd@vwxJZ6&r_ zx2MS9S1}m%0t1o{n&(&JhC~Bz49g$!C160iSp4vW>=+kjIs)k+HL$F#B!|O^z;9C9 zeE$H}16Hev8B{lwje+hlFQb4n5M!z<3Xs42fhYRo*ea$;qn5@txfeEYEOG2tdFLKC z9Z0bhYmn-@5=F)BY;IXl6%0j=!x>_Hd#=~%VT~DNHw3W1d-OP|N7kcA-V?HrPOF}R z_Q6n%MBjV1#2v6G0x+&x=J&8SAYo4xRH)Q1euMhpXdstFELmjvfIq$xl(Pe3dUYqJ zJ>y3aEbI-(QgNZF)NLC9{{S7a)XrUqR1&B`zQeNZ_5N_*B~F=c_crUk9BNic7fClG zu)}qHXe8E%3Esr?KU?63MWkv8m2@i+<+bl`#@Iphh9b+h>x@F|bgS5sH#YB$Dr5zK zD{C7K`eTNvF3=@w1O;U~Z>V4&5=~u57VT?)-U_dFG48~j&gSE;0g3f7OC67MY;sMH zjHpRV!o_SYdzbET+niJ*LgcV2NjL5e4$NH@Sg0kf(Yt?~Mzgy0jslW6P`7Qi_rWNz z-2RfG_<`ei_-87cFqcTP4-B52$9z#a)irk#QYym`npu>UQZ_fY`NH2FoOvvALzL3h z{ps};9)Oz;yMy^+FUtO9=M@}2{6LP7OG?-}A<%HMdTcM0WLO%)t5Nc5C(tIbnT z0R~x~*94MuuV7B+t|MOwap!qORW*G!R6JIwS!q(C2v;PAQ)AQ<_-}}J5b<9GMa7eG zcM#G|o@Q~#UY3rbzx3WE_A=aE)PKg}`wo}HtCReBpYcs5VV!5SKgM0ji~ttHvWo>4 z>@a&AFeD=blhm~S<-Pk*em?o{@f}6O+@j_u6VcSraQ0ag6qzPfPvO$ivM!62U7Az5 zW;Z00>0wg*Ht@$4@Rnv;zL@3JO(Mw}%rrE82-mpN+hbxah}Ln;yoZHzs;vJ2CCNV7 z#2VY|xsGI^;--7;A|=QyyAV%80k$4_GsZdJ5L4zEzI#{W)UhorjBZ?=?oImRwGU>S zckCxk2>xQ1+>(5D^V^!A5M08d;f^HZs{Sg>)|#G;3j)GDK2?e}Q(&Q0Y%DwN+_rKT zg?NL3v-QfWYG8t*8k98;6ombrL8RG@wzk)`$4$;5Ttm&u&K|1FBH>KFIy}OlJW}Tl z;p!4H2w;~V!Xyi72H;-SJBM$F?jX!7VXJz|qQzt0Q@*VrTK=Zr+Z~xTq22BdIH#zu zVq@`b#yoHF70txp{Hr_6xR)?hwQhBq*0B!2vpbU^x~84($ENlK+YLOIpr3&Fp?GqS zJcgnalJymtdNqSy#ewQTJA>SAF$d#*VDYVGK4ZhV98yuz)C2p)ve;dGXz#kn<8r+& zHV3%rhfYAvnwrY2%C1QyhCjJ8DI-?K+Pn1W{ze(KOA21%pqk9tX>&U~o0uHu8Qxpt zQ{*`mPgk4qK5Zj2PC;gQWIL93W;Ts=G1zZrVs9w13SAAs!!tsdWBh=Zp9j#Ff~I#oH&jR5|`lT}e?{o5x#On9X@&q=P~s z)zA-5e{5A;cTlw4?19Q1VySKp+m;~vb;Q#%__Ey_cnfwb({88p z!>dg3$kBxUZMq+?^@e_5N-8*7mNqBD@Dw9kea0Od$A!YRoOC4b?YF+z`@W8rDE`OF zwQ93TeZpdlNaf!90BjEDz6g;*Fj&TxASv3y-q_Zi9I4QSNC1&;p5L$QjpKn`LTVw2 zu)hBQJU=JtbXAY)LTLIVBSzz^0dw{JF$wshoIfKoP@pkrSP;a4_fO~b>xs(7$ht`c zsp_Yu;@E4-v&Oxyv`!3e{^u^2YhSA5y5Q!0h z5)xF7_So(0cE`c8ucNL{ws=`5tH$5TJL@X(rKF9D=_hb)V}+JU4$Q--zLo2M@|Kb5 zA_4VjLAX73+k6{HRSnsE#k|9=-rw&OB$4+_G==ra8U7kA?YmpO@!=?x9}`9HK?}Cn z%8@JhV2gqa0sZl-x`{8K*n(Mx{f-hINqr)5O4@@gKqx(z{{DF5-`Xzvc&jUtM`C;; zwgadl;N!61AV|opE(OR@)c!c*-`Yl!LBtt6imP&j2Lj^uJCXQ{V4)jln*2`J{2G7A z=FFNz8NE1+0!*UBn+~H7yn0meENq}DD!Parb_2Ix^@zS$2k$y^(vsh3TL3m|pYw?y z9!|-epfuxOf}2|wqL)nhL!H1$Z9UId7eSHLk{t^<>TJ5xQX zG8qdIVRlp8V-<4+D#8(V>2a|)>5fro2{5s*Q7IPW*b;WNx?$dmvN~Ddt60UOaKT9O zwYzM39{7*b)GqL`U3(3>3);Z%hbTZDKw8;n8h7MGW%c7bpJ!wZ?NzD-psO zbd%Tu%_|#^kg>PpfUCYLc;2>P@#J|tK?m^4(=k6Uzw-RCRCqE+s>Mhhby$B~Y|{}M z*_PJ0Qf^7x{Ne6SO26n*lPo&liC$%x1W;E|z`)=5bm~a3J=`B$H_Pz}%@CeB;&~B| zPYyL(9jtaA(-3(?#u(*UZo}^jT%08GyD)aJBIl>Kr{Ru*Xj_{lmE7^>#d3e@If0pZ zhLF)z6x#i?k=y5n+KxEm3i(o8&x$K%YnynLZb`pkzTGc|Sq`X6Q_L8y;D*?b?e+4; zE_`bwwg+}9ZTjJnwb(nau$Im%>Lk+D)5zf3#zWudzvG0~u4xxf+E|zMd+mGL_rh9k z`pcFKEHwAr<1w4NpuLB6-2CtsExt{Js?6ZZta|BdTy2dR7M-=qTW~IZy7$5|zu9CD z8UQ!c>~DN#cLZ5U0fm&2ef@XX3`|kU@@uR)UlU4zPMZ#+=i3^FVL395N2q;w7VG)p z8QL=I6Wn=4fg^8hY>9AO5Jk2ZHn;l3?~GLwNs6sZEX?{h09>f)@3tGbgHU8;Vp&{j zYi6bsC$2TEriyxF42W64vjczA>%KY7P{?~1XDRuMMZ^4@i-z*DTCTdV zNtjef!qC7h5;PzFv$Jh`s2eFJ;>ox^pFEO-nVDAi6ky>Grpi1}TYzY_5FQf8ilt~$zjrGaJeWRA>_X1F9U zQauR-Z_=yCr7EKSSV3u&z61UzGTgU-`SV}HIaO4R9$gGEX3sQjXl6*qiiBGFmt-UU zK#V(lHuFy_pyQmcGtM4#h!y)SJ6puk)*untjsEYqvHnUfzgIKaUe#cJN@hqA4c})`=tW+WNf;BFpI{I|!j8sP+rI16XS7J9fsyQ>w z{GXWo(&CtMdW4pjET~F&WCU4?Y7Jp~fCwL%zf4=vzuGCpfG?Qrz+C+Aj4vsXPgy#A zDn{RolxKlB{l@yRKn|&Uo`b1BR>sjn?!;@b1be`(V^}-brw3i!&euSHTxXkj;DwUf4ZG&mv!vV=N$rCQHWE&`N zOd58%IN1_Ot7B`MVP#+`?1%%Kg(Qm%X-!)tL`tSL1fGO-7Q$1>Ff581-lW)d z>Gk^Ibve0Dz3g|}alSl{;ixW(#PupJPiy}GOaUrLjI$~hENx)j z@9Bk+*;RZ<*aN!y{cnSVbOY=oTA2&Hc58G7*wD>RV(`FlLfwNc?tjiQBv**d5M?Sh zU@RM__qGsP=pfnR1&RB_A6%Y$l z)ulL)PSJ%2p}noY<&45rVNn!-73fIq`Tbu6ouDb3Om#7ezyfwR++%3qEfTbBEz}Y> zH{9TI^UBU0h18RBeSTQ+mZ6{uvfE-52DaZUbKxCK9!e(s<8JR|-b78fxNfWsX)J&=@t!tYSI$qcgP+c`j z=gKTCz7%?o4M27RzyLoS4^>nYX>tJTa5p0zOeDc-rZoC|B(OfeTVN-tkTH^1HX^_S zalRCutdZg)7P{Ej^}rHNLTG0s4xP96$8BSyDrVIc2oTwUVlQueHJrg|<|#98azhc@ z3s+S}i(@vx^}lRKbDY2nYq+sHliLRfw1eHi?U+ur5Z$8zZE`(6c!hC>W#H1uxH>@$ zN$H29n#nvr!~m&Ny6tRNoZ`DE_$6d+xp+BX_9vnBKjRU%u9`+HtXPZN&2m51JtiDUCl%sU$zdW?d5O6r zZ70(jimBuarIIb{cBiA%*Czk`Nq=BVK`sm^Lnl&P&%k~kEDcSZjImiu~N+X?WeGAKAp zAbgi9%ltCnnQA0$Y~h~S_E-M6?%0x+>(2no18#H zysQxfvOx8!3n+3}}b5Sfb@g!a} zfk0CuC=Dg7EvS$U$QLByx-v@>6@(8(BXSRW<7j7@6r^qB)4zL$3RMtIbRRLXAQRMJ-%Dv&w--X#FP@OU@5AO!q)CDhTjcofbmpnBUZ4tAJ*7) z;O74T_mYdnZnX>4Tlu!%79i?ze{mIQ+4Mt!QRgdaC zVbdbG@~I+U;W(-D3z$tXn>`Gp=@3rBwvVlJwq&!RhNGy*{{YERWGn^!3AT zNgBNrDqVpw?z(or`TqbIJ`0(AH*y8;P40hOWlxjuSlzT7>H-HSNw&am_5T2P&;^bY zAaQUkH~QNirt@h&C_Y_>OJmXkstkk6dj_?EJ@L^_iOBwzXb`-BTXk-$j$HkrTsJ=u z)n%12MlQZcAqLFtr0jhMU9r^g(-~95c<**5!1WmCe~v8khxleX8FROhTBA}!r*;Gb zu=FP9bI=3c*JNUoJkR1uZt(L<>~UpYTT_x|>p@na%#taSNe0H_Z=JC3$46Fi9YaVp zG%5*G>Y~&Yt-0$BGoM4J3rFGM92}$qJcKLsNH8h#>c{+#; zwG!!9Ly7E;Wkn>LvJ#BfC#AtZrV^3hCqe){K^vRC7 zhewjMn5-TkaKchciyHuKg=CEwT31p-jW;`Od?l%tO+Y8eeDyl-vi{=0u zZNA^@44`hrY2tR2B019|Te$>n?bH0?_2#cF@}3IhM-OIsZdD9*HTq|*oyxq@-A#s} zvWpYt>-gfI%_c}$3Mz>TZU`Iujj-`qp7Ey_KMemUp#Xjh-Quia-|REw(1)cKYB0KTS}6w zs(OqUPr)2PQpOWT#^Z7jwT}Cpy)W~`tg%H2P7mB>nstzv{^iY>u>6Sqaio;(pcue! zErrGP4)~Nq%APB!(pN8bLIBuzKAxxiV7NIY#qz9k(`Bw66(?a=LwX~V%Bi?d$#)6{}?{4r+1@cG2`OtQ}z7d=Anf6Htc z2g3&t%Mp^H(LnQTI(;9nQF@3kbGGDu&-xWPk%WFD0tnvB4X@m7?TsW2qs1f;TW}4& zc)GIx0EQXNzAbHXu`!FAcQ*c0@4g)9{ur`#J`A<#E8hPAcGe!9{*O#4>T!0RoU!Kr z0FjhDMU*+2KJuG&9R@SBmoFac&=Ixm{(r7IHlN|AgO(;Tl_c1JJAPpL4Y1=&@bkg& z0M8ppqTnb104_bh)(i77_B+zlUF|t~S}MbNBc_tYe7ct8udmA+(@|AaI>@x`c2X`= zW7hkDj<2HRcLc{zX|l4%vpaSDFzJ_an}XqC4IV)VI&J>|x6pduuj_?8@$4moQr}4A zN^Tv`CI&UjBw)blDuk}y{kwj+CYzTWTV8xxT$*RlK$6ij7WUYDkL8Zr;T}F z@Vzqt%251bAfngFQtk&atc7fMcHY`wf_L`6>@9b6LCeHdOYv-G>@FT1^1H;>3*FLzB{+!uZrrZxH5->v;I*{1Te@Zu4!pU{-&bB#qZPw zwZ~4qv24&}c||AjBBQ4aKJB7gfCoXg9T2ldsDVm_I>8-1{{UPfK1xt_k!D&qDD846 z&x(!+=2ty9>n+UbvZ$cTGK{h;za`0Xs&|Ttf(2$~Sk;->v%5TxBeO{(yEC&Bh>mmc z{{YVF+?BFE7>+Eo%Mpp|7DBHIk#8>7y}y_ZwzZD<>KJQ8%v8h$jsF1rUq7$&h2WYq zFWKiRE9i5x>#-g4UPRTE=>_EnTS;}&^+ctE1e0pdo zL!gSS;xfF*L0>4-YySXz2-PJ*D*#(!#4gHr8{tOF-D7Jy=r-Q=w%8u3DQSoRmP=ma zsXmxZ$hs>7qe%4ONj5gO^}%z(kkhFyEobVFkz$jy+d2FEH^TLorBreXb!2$H^^l&Jw@U+H+n+@10 zvFndMX(xov#DK(Tw_*NqHrN64O)QjU8ON>q_x&&h409x8R5kRykd*7cTxF}=Mp@;z zlv@1J060!49;B+o>tnfl4gGP6 zE8&qyRaUm(^&NWQT)v>e3(YRXk_Gzp!KoZ*?3AcN54*Vb{6;d#GejrS0uHi8bj4=dBUk2d6=t*|O;PLao|sw9#HO=wiE#QSf7A*q|hSy?~; zi&*T#^02{DQC7GxF;Wh|ZLr2EG6}q39#Np3H(_yWZEy32#j2tjNbsq9kjxYlvau)o zewa$3>J`qCSIci8+x+0?%OSXp3D;{oGXDU7%L~UwV+yi_A&1=ubGABnK#fsR)XN&W zx(kox0@(H(rOBFCGDl@o&=PNtVzo#e#G*O$Fbq#!*bS}ef&(s)P!PlVcEfBl%BI1! zYpQS|pAdDUYcAS94_ZN4>1o4Fdm-@XTuVY{;spxgpC`u_kp zPwfhitlx2cy*)A82;Lnmk^&UJm5#(7qX^FI8qUnh2FiL24z*g40K||jVS(zaTI|d~ z-sa~Wu|C66VHGvn!lH&>{zbOHbJaA2KD~hT>w%=LO+**ewzCp?V0wwv5b(Gb>wf!S zcG4K6khMg}Kq^W3jfVc%Z<@Vhl&U@d0OQ`?*wR{=q#LohJ;ocVs#w37?dk~h!xT_z zbZ0QHjEidX72Mc$#Ai3J^BrUmO{_X#oZB%fjiH5sxFGL;?TFoVV!GInNE>#)8OtJ~8>4oIS!-Iw!o2r3R7f?R|y*w#CDoXRDXfJkmXtP?-Z8 z>^JZ2jv4sI=4bvx#O;$)R2GUbL!dTZzMmob;?|cE$(bk7k>&N!(`g?(z6yn_A(~f~ zr0QpOZ~p)l$^5aT$pws&n_qR>_VvIbQ>)7tmq(}d!jdzER#&o%ZL4y9ZI43;MLrLl zPIir=iZ){<%K`Uv9^SU^h3AbtHxPn7ef=;ojLhvIaHhoE4!GVrhbpWuc@D3-6W0&P%Z&P_c66X5N&_m3 z5=YOr+u>4@k1SmHBQ|-?sb&|p)@xha4m>vt!^CttrqrgPECXA(!`vLE&d9W}X;IZR zAH#cL$ANZwmYgth#@Y;V7y{+Mqsz04o0g2g&uVJxtQ>*nAAOScSm`>Nnrl3sb}zOQdC*b0xA-^?oV(c; z?}On_1`QE0)K^X0Yu^sZ%s45g(;c$dXySwa0B8%Pw%hRoTWuleqSSraIBgVQJ9bb0f78A{c#WHKsB7YuA?)AGeps`4Zh&)eKC>bG0r|eEWiF) zqoI@h_B#1T&b-4q&#I{9$t0*}fo6N%df1RJ>_5T9iSVUQBwT7dbsBtSzV_*R@A-P- zSx?JO69t4>S`|ImbU2W3FAwC|9(x1OQLI|!7Aw8)wmt=uTO7P3eXqx2%^gG=`A1ab zMH?)KOP!(twOHS7xHc&T!ZP07*l&j1hQ1b|i4{l<3Y)OD_&zNx#FNz6s}7%A;;X4M z?${*uCK!yXH-y@Pw&vcp{{UDfE0zr#SX^}-2m8VhfWusOI!BWGi~SrZT2bcLYj?L! z*knSJM)rR$lhj)FJKOR$>yD0{ z#7(3*1+D>K)Sr>ID$j_ZC8eT}$8+LrEx7CTd@54fdCxzOcZ$g$lZ_~TAGm~6>v;y3 zaBO;k>GgbLnH&*Ule|pvs8m2&PNdjdf6EuK5`q|@7b45^_rxomITY2LIMbq%=neFN zumickLpyRlQ+xzw6r?80BRtT4q_~d#GE3wcmT3EGk(e3{`cDZiBV=vA@0)@C`zE zVMt)t7hU@Fx6caE@a=tE!Wiku&@M?*PAuA>Er|(aaviD|S*@|$d;50BN%CihvN?Dn zmZhj7c46iu0j+|Io%-MM#Vf-cnBtx&o#3FORYV{Aj4T%CrGW?69UIHu6s_T25`!hG zlf{xT)e~R+TPwO+tHNpuGJ zT|AOql%=k_jgB>Zo)A9K0kI^93%6dMjvYS8mrIf@wvaD{nivCX+*oa-b^71F1yXz> z6f4|f8C=nzYX%x@$5!MY!yCmjME?N9VhZd5TL3+8-wx2wm#9}z3P0Wg;DPUjPFV!8 zomO4;EPG(RSy($0eT|Wjy*_v*?W3n zpCyh;Kp>u&Teu1~9W955>qKM32uwR0Y6IH)cK-kvt2y5T zG6@LQ6>p@TmcT4kA6RB2t@Q=jhWH{Z#zJib3?%L5Y=y5Jg}VZ&3qkasE$#|kX(pf`wY$G1WAz9A|IdZ(wQmH`%x zNJmrDdwXFgrHUUSNu=M*E;rk^uUrY2)~qALmsNl^UfnvJEiGe6o*`?n9#RkX_QX1{ zA7`VXNo6xS3t!lg`C}91@XHs9W7GiIR>uDTfbZ>%spnOS?BG7u-@X)CrFOhzn}KH4 z>@aFJ?0`nf&<_c~vv2z4b^#EyBON)zj&{FdTh3LfJIL$d5yY~N$-3(6r8t(BlPWi-x*X?iu#vO z9h^O@+#vUw+s!S)-MgM3*aJvBLEdKp-*N z;M;I|`+vMbwI*_Djj&KU+F2QhH?V)M_)&8DbVAaA8?E~hVm84sRD&X#iF}fiM}AJj{H{&=5Dq^2mlTk)cKw-rJ9_?}X|RB?z$G6*nGh zi~D}K+H)+2%_i)-gXxZ|A@pN*L^9JkI(m$I3B61z)8cry+iPI!j@S~IshlpAHnAJq=Y%WjiunPuu-n@M)K+;)pxXP9)NS*?6*ceS z>jaIqx&00n;Y@0fMD?v1G04lc&B?y_Xw0k0lf;kTa^10+>#rV}bSqo$dUnGdZeXVI zB9bq@_qWRiqeSoEPcyG1S)17JY)9+rV`)RE-&M9h=NZj2W!0o^TW+Tj+|xQ6lH@2C z-1o;MDtXjU#m(+1s>^t8a~!&5F_N9Z+ZhTjeZft<#gj==Z##J8KGI5Xd~#>{RBEP5{8KU0X4%nS~ybsa-{Z>R9>wm0L$Vo(}O+yX35 zw@YFvHcV{BtqfEE2U~-&+ot%|C1h(#3hNdI_XJyDJu#A@qiI=`5nxp9gwj=tGvT8F z*+*|rmO0nBNsFT_OhF)7NmT;Z_xkk0QN;|8tyzVR`iD$rG#WL^q-}Cf&mM|K@l>o{ zQa9Cwwvi^UP)S(qbr-sxgQrjFf(j(7b(2exxd*N_5crd;%X@F2>ev%}d5A_JF0Dqw z-9h>omemHY+;!o3ye=W7GD27xMeYE&`F(Z8_d_O%O-m6_P!bPsFHBGzH1RhPQmlbP z8FYe1!0-M0W1;f3j#$*Nx)cCh>_@IMb#szR`Yqu~LQyB$WYEw8AF2NUiNf(^F9kxB z7jB!2hW5dRh$KaU9Xe{UwlIcD8J0`kdV_t}@b8KmY0f#5k3(dgQF?F`-VRFw)T*Ef zvAz7Z{6D|=l~Ptyv|-k8K^{=H-yGkc^Dm02GI33n)(G!q8n3tOhyGJ>hZE0Gm3g&f z(>|ep5sLsX+hKpMxckq5^VqzGk}!(qJRdFco)RMgTPHUw!mzor@ZbBx}qo-)+isTXSv&!+ot`eJdB=QFY+#)=1~#{U509u*2MgBT>cO~07j0qblyWI{T8${MCcv{I`QdjN5*R5CPHjvp{1O5b8Jq;&OE z;e0?fSbs0&kIw3DkF{WvgwtNdtp_{!UoY^o;EvNQN<2bHxg*ef;&;H@QJ3)qUOdxy zD*J%V+t(b~L6u1^Y$u)cskWoi__ld|O)L?@&Ik*28;y@m&Mn6@UuV?(PPOO2oSA!3 z<@rSMhl;-JR`ye3KMvS(q2TPX;_+mdxhrsrcI(%EzLuzWZW#m1fY# z7mD*JYYT}5{{S3Y$5Une*|x`mu;zwi#N07cAj-m+hTxd`Z_{j2{8`0W-x^f`naTul z8%8wSrT6~0ygB{HoH_lDT;XbHZN@ zD?uvDp5}_hi2ei%z5f6aZkP4`*s~309CA)fuJK8Z9E*kJ6_Ukq86(Vh3Vy$)EQNr^ z8JSqIYxU{1y>OmQ!`W{SWyzK0bYc(yzMiD_B=T_C?%I-tJL)TF`cQ-vt)8z z7O}yl$)r#PKv6*jcQ(J_k0n2b_bAuuZMW%zQo%|holLe+d;44P!lIL0WU>`~K#<1R zt+@@V8)l(d7gTL?dyTJi^l+q5opygVkzrxqz6)v{7gQt=I_-yt1008K2w=Jl3A79rBr3^ZR(5=P0 z1NiOr(*~u;1|4*!gaqg}X13sb@uaOHwcPEN&MN zeT+IF7hf)&_W2wky9p~{u+w@+ce6VsfVVR>RP5$-1UcZhK*x1+wW={n?##Dp(Y&O_!zSu%K3f7lWNNfP><$!L&8&p-o71bP_!Tf)=G?KZsUZ(7A zdy&_CJPJbd3$p3Iln#dY13$~@A(3Q=je)S$xjvS_wvobVG;`fkR=^h0*59r-jevC} zEqey*umw_S(1x7X)_a>a-fHPrU2kJzx^1}pe=GtcX{0iS@{NW2Z~EaxcQ0iicE4OhX@JmEL1sn5gF0Mi^Xyr@zYz#zgw z!$(C(T3b)03|1tAeMaZ%_`r3ie*~}qi-LBx299-SVAUY$?Q$D_zlIR0o?4oMk-wKs z$s*fhlAx$@sHl!ge+yEUVY>o1x6s3Nby>Vk7*02{^!uYbo0 zn#i{cVWe&4>xQQ6Uq&h?si&&(cG3a3Bd5KwnJY9qNvIJf+wb~gO;OY@*-09J^RYch z?R;w_Eq!DtQMHF#Uj=A}H)ffe$5_f*Hyw#Kx3)cl=ZY$(5+p>8AY6-M*q@{tX3*B1 z8s6lct_6#G;~#2B{D5i}^8wclkyQfTT^n=&?lFeUSg8m!kiX4>P_=J{d&eO6%oPE# z7bfEZHG2iWhCcB+XU9d`N{ zPJF->EL>^_W&-#8{iHW+@qDX$AMP1L@llw}Aabf^$68N@`}g(_^Un z`(cMP%|fdM1RHPf^7O_tTIDuII!*RBwa;8dvuxpyin2I5f13XQJOfM;o8-Y#NX={QX4?dk zGc_8HI0UYoS5&m}%*EJ}*WUs?0+>XGQor#XMX*9Ef?3J97X=S?V9yl{fH4P9?b~pF97913D@d9^Bl)(zCY0 zqL`S6TMg{C-23BaPmw6{V`htTHHjmIz-0gfcL4OllS+z$RZ=(d+-;0_IfRPFI%8$I zwvtb+@Tz5X^(p*HIa=+$h|P!3)BWM^JP?hN5fZY2BUq_x6X<=;Hw=<1cx$X&78dvE zd=XE>nd1N@%H5Qkk0(z|61+2?G6>_#rvZonn25i(zB|`|OB|9LXE8eJV57RG4}M=BQNYV^aO{sqJ{N#TC)khQF;VmlvBop5|ywBo6wSeGi5SlqC6?hnfNU$2kk zB?&f1ql5xkr6iBLayHxgV+uh9RZYR%f%ED5Vo*6p#t&#Ep-@A4nCjo#r(72oB)GOf z1Zq6nl_JNdYySXjB3hhpo8TpmNQgxUTRV>X>N|c|#EfK_);1>Og$=hsiTy7jc%{6u zR7JVCB;Mbj_QBM@4*A=sA-dLS=L{yuL>^=7b9yG15O573mc0Z89{uqr) zGI@x;{mv+3<`0$9RnojE!K8 zU%$WgHm8M3{{R*I$9sY8d=jyfBAr@-+WLma1H(B_HG%1J*dm!@(h~P4)1|S8DRD^J zhXy2xOx`3;#fiD{jlNiM%ebF7%BBdZU;qT2ur`HD5;h%d{Z0P>-WL#>j)}20+YM>t+qOP{a#4arvCt`veZ3&NtU#if98AKpKp9CqBe5c zlm!0(iN5Ey6M~+|Zc2ha@EuMHNR{FUyXtMlxBV~Nd$P2))R>Kgl=o~H!D zlEO?1y97x(ONr6dKTJsE>s>N_8!g6W}6M9A7m8=Lj^{aVlz#+9OF5y-F#uK7$q~4^l-HIFVfHDio{je#vt%jm{qBbNBe4Gf7#V6Rn6Y!- z_5%B3YDOktv8gS40@xSH4bb5gA~Zu#3d9{E-o1aO29XQ7jHTC3oEn&Iqz7$yL5O^$_nTMMFy~nm9nZkmqAw;&AYXf!%afG3c1|~*gu0SMT zZ%)65>yHXVZ4D0KTEv@-dTI$A>I-6z0cL~EU8k?%scMEfYF`ZcX?4-woyp;WJYtGKJTFFeDDW@U(E$(UO6Vpa$Tb zzbs08@TG$Bh11})IzR77|#gb51$<~@GDwg-}yx>+^53$=jL+v?a??A6*V zhQmPH+Xa6IaC_}BDy&fuUsbJZ`{7yYQkj%C>$QNf0Qz7-mQ@+ZX)Ia1qkEira!R$S zSiEKm*Coj5j#6^y7 z?S$vd09)9q^Z<4pw!>fB8R9xzYCv`y*x+`|DkRc}Rn+Zm$i|eR&j+mBI@DD!hE~PB zNBn=z0Ibc_kaUZIYmUE`8>=%Z<74-VIJLmxITGItntO$@67d znU>9O<<+@3Mx!;x8(^jpki&qXuiR031Q;s~)xFsG9y@G=sJA4561h z6wIsS&0;c^0_Si>8eWjcau{j?z$owiuw2U`Lv@r`Z(?nHWl>58F={uy$k zFx8|-Zs~7BjnT-nGJ+MZNEQI!3iY=&L3&X%ZXc(CQfMO#Am*GlyL(gS6?X> zYx?>hOghFgrvxrU&cG>LBd#k8QaFapPXE{z=iSczg7Ur{Gc zH>szVO*T&^n;kvxg`lNWPZ-By4ZuBdG0OddP)P8jcVJdKDy_jEUsuNH-cJpHR3_FV zz7-OgJu&dZ@NW7$hs@*{yFs&Di=uwu$eajnM;E%EE zWf7&D`K&hi<7B0h63Zot4cL2N<0&V=rv+kx9caUYazL`5)Z=(*p&2e8gOV zf4~e_l5pb6x?Td|wgE-<_rf!9tV*RLurcnwTWxNb?f&E_kqSJbSi=x}fvk4k))-nG zo;e7Sg}lPo^u(HzhH583trn>7wfYZyI8$VF&=96FF1};y(;dA6;{Ax_CPLOaRYs$- zn_qk!nH%eAnRJ1;+Qa4Z!^}BkNJFr&y}wkc~hAwl9W$CILaa3v}CW?Tuxmjx@T*paEmIe|$}}KKhla^KCoKY&O;$RFF+CrHDL@y@1?|+} z)aVBkM`X%WuC_y|Pf*8u_5Rq_pDjmiVm3Fi=y$#y;fzUA(fE3I76W@O52nlM$w1bgjf1EBgCN}&YoAq+31oj`0pw!pSc*@|)|lrufSyIT7jcK)9%5j{+P z9)PC6jk*Kc*bammc{ND?0DF6N+v?a-vPg;W)E-46=k5w)^k+VXl%XCuVg<3OhFU9^YNCr1gFz1r{<0AOgJrzgz-1bCIH`uxkY( z*af~+}N9qb6-S8tvcrKpNsK_+J-V0z#6+XkwLN&5t= z02ci^2-NM@*9w|XwoBm=q%qim)8&L!BtQUPDA=2A@7EjC%HmL_Q?a#!SYkGu9~NB< zN~l4&wY>d(@rQ|0Ll7V}?smQLiK_}LNF-Y#c}Vr?_~TTc%B*flP)Se?z3^Lhknh;m zrWoYY1iocctSZ{)<$P;2B_v6~2K@;={=cRYnap(q#a^jpx%&0O?Nth@s$3Cb%Wj>& zTVaZ*10q_2`m|W60NbzE_`)d!McQ?a-FCICKO8R=YzoIx5Lk5{zMm{6?;ND5B>ApO zTLZD#!g7U;g2eOm>Fqn;BcfPB_r>-G9$ z4;*l)m1VZRt=R9^>(>zD@N$150zGZx2u`4P)G>(Q4Iv~Rw0F+< z@P~=BHYy`&4x4NS+u#{$5!&y2-^xdS?}pi{$sRIEa4Y~GyWs}S8CS;E0_yy*a{65` z8?MI+shu@RD_L*U9mWQdv?*X@xVKATy1Oz)0mPw|&575&@EuNh3PxG>Tija`)RMaU zfaK^mG0c@vmXKchhW-6cA-rS74DpjsQ*+UWU&r*uc!!8X#ylxJ#1aX zSmuk>(}X+=J5J+XBrPmN6nR1Eqns*d}-*k&C;jHuDqd zZvNN~vYOGAg@^{_6-9yhU?q~uvTcg1$iPNyMXtMlj`+xxRWv&7Z(f)l8673DEC64+ z$D!YB6QY?{RDiMAo1VDnxk=jSQnrmeHE_?W;EjnpckTIOGN2JJOYBKFPMn8BJ0-4c z3w-vr4L}($GX@6N7wP$87FfAek|6Z(okBZYSgR4e@Pu)^l4+2A(naiUPp%GRf&A$E zlhH>(^S%^CfTKB83`xEB#5u;Tg3<(Lh#`x}02{UU7)VnTK+3?2?W9`xTBaDqMb;}b z`LBFt6qCdPVXDiywSd82}T#V{0=uEV~|3T6;Q!SuR?oa zSROc^N|Hsl1FqfhQcl$e6b6*laWe)z_Ob1LxLy+FhO%sSTaKQXaHWPBSI!$%?W)~6 zV;^HN+Bp2r{I(aw#VR4Rfu*Kcj-fS`zm)a4^vAS1fC#FfP4pr`Qc8d6(P1cPe; zaIHKM%ls8)Bpt^5Qh2sU7_)lTnu>Ea?p@B)VozB1> zzQ5-hLbIzm2tWsWb~pLrwNkLv`foizSg(t zgVkfEhg_uGKTTLvrf@n&1fny!>2bZbz47RBaBfnqd)@7o1Z z(zyOLm;iPd1t%^@v~04;2@%Y0PQ;GbQkYX0WpF<3!M6OcnKG~Oq_)G?rubG>S($WL zvE6j^y|2CnWLtr*cn55eq@Tv8K2qb(y}IFODCIR4AhnO2Uj$RdS8&S3k#}?!u^yOy z;OxGeGOHdsfV!*!7PqI*1oC|}j$ARrf%1JuZ%?nw8?+P9>1&My`d@EMDaytre1uX!@jaolt$;gp9nZcBS_*kw)i?+K zE2p9QI2tOLnbhgnbhzK^t_oQtWg%SHw(VhvQVdz?AY!h8O4wVlI8LV_f?`pErL0(n z?Sg6H5Jw!(dwN^$;Huc9nU}$kD%c0NOJW?Uk+m^~e5zP}>M33P%iHU&GCkzX(MuOFK>Kunbd*u`e76wj7=c9UXD)3+Sd8toS6z{hV%3TlNRb*YIp0w1pC z;P%GN9I?&f%oKnva<>>AYCu(Z;}GOV2oeT2m$Nf~ue zKo=t25BuL9nkeemK}HX5mbcFe2*gUd6j%>3>FRpp(929}RfBnpT&sI``C!xC(Et); zQi)Z(2lDR4cD3)<@xcj2H6#W@7UK3D&(_~t;McBb&ZTfeHqFp%dSl;cH7vd@f`MQU z^}%j&T!PTu8I^%q!CM=Pla1t>co3*%QEOhP{V+SN(j%J^dPGnh0c+W80sQ{}EN>oM@UW;30-w63{+)5XHB>Rg+96_!Mceve zR;g)}%OeYRTUh>|JQL%x8narqZ9Xh&EOujUzpv|sY2GNvSmyi3x!?7|9tkY2+KRT} zLAT2bqDGhS;nV`&#B}Y6PLVao0d+NnAsSNpe(CBkojqib$#jQFZl?FR!gDekOv**Y zloQh%7E+4kg6ah6Cv*OAYmgsy7xvn6G`weX-Miz_RSyK1iSipL2d~#m2P|(Q2eBSf zqfL*m_{Q-t(c!Zj+sd~6aJghrR>%yUjZCSmUceCG{{Wn6P|M;qM~ka_0y~u14tfr8=n6F=NdhHO&^?JOK;em zAv-Lm_;=_5u($o;3W_;w3X`RGB%RMehAFRdDFn4l%>}6sLT(RlYz-w{V>-BP?{+t^ zQPb<^jcMneH*3dzUwuLC^THD86?)~kyL8*s{&?k)K8{o=5Pu(AmSCrF#>DjNg|!tV zikq(Nz+bQWz6X)@N+nQKDcjiNXPxAb#}%#y!u#L*U^gV}hZXD)g$i9z*^bT9{{Ua( z8`C_I)UZqGBI+;i^22n}NeT#p62o#j+tBpEFw`^Ct40|e00cJIwhww1*T8dEqOoHe z`BacC+pqb?KF}DQFpzFM!1X5rEn_+s&MN!!EYyN#6ee-v#Peqf(?vb9ZsjTes=g z1xYihibP%^;j4>Y;@w;4gx;oNr%(k{kf05}r_ULz%$AxUv4sl8%1ZiRTAJrEs=(f0 yzIIly`C@mZ5=|pY^p>V!BbK;ZA2{_sxb_5+S#^1-l$(2he0vR2jRcfyv;WydrXBPE literal 0 HcmV?d00001 diff --git a/overflow_cout.jpg b/overflow_cout.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ee8faf97610d13cfe4d503036a99e0ae1c1a25a5 GIT binary patch literal 305718 zcmb4pWmFtZ(C*^y?zRwYaScv@#ogV5Yaqblu8Rc-kRS=}5Y!5;7_ZD(e57Xc*|IXc%aysOVVe7?}Uj>m4>0CiZ_1|8?@euOgx#A)#QRp`!gy zlmD;cr5iwij&zE6iG;uiKqNpwB0zZQ2hail0JQ(4d%gZIAtE88prWB805C9L>$UM; zrAI`0l^OMwH6kK_4B@o_0RRP+kO7Ssok&KD(aMvUFD$k26oZ7RzDIUyomBe_$Zw5F z_RcFjjhtCP=NknJWEh3|epx4w0^#C?FG_tw4@i~_0{rGswY-e+Fz1(4k8#*`e(9{CFGttKc4;j*cinXm!14c%mU)I(2&JlDzen97*gJ~&&TMb=nb!3u)+&zjxr`JqRJ7F@cWr(8OJS(u zA7J%ikf*-#_HPhSWM*<_Y^PHU3yVFbbCBX~23rt?NYr1GUn==3Zd<{IW=iH$>Qh-< zbm1~vR%F@)25P^K-*IixTeQI*zZi^ZMHT9}RIe8-;|^N2Ha*i>)Jk=%aiFMi0B28^ z(i|_pPN*A?f_97#f?oho+ydd;(VTZQNe>^%`nBd{Cbqkg80jKc4!>XZ zmKB!rU}ON6)YqW{swISPg5Y6r?~z?UXSV#+SL-`GjN@Wr~o5tWJ>)z2x|)x z=J_3io!ys$lpCvq%cuJ_M&*Fdsxg^JDH{t&vI^4(=8^>Ns$RPV=|8wj;sq?<#Jak@ z^9cTWT4Hv&|3+Fl&m?sy@XeT$Fhl8wMo<_qfi6Uq(@9V9F;f^;aMj24@ZN-2M-MHL zU-9SSB z3!vnyB91k}p+~fXP&&OesJjJmWno*5ttewx6Cbs!U%2h2yI_K`3KmEKG34J=t;34#lNK_l?P_cpDb zwjfzusSM3XQjN%rz6m}wOX#My~(Y=3qN3r`3Ef6Ii@{~^Eoa4c` zcCBM{1pzwWFeT` zqa?vrg_yVbz@z`d=JGxykW15uO=aej%0J%%y~OOu(0oo`YC2Qxkp6c{^7*$uY3=OZ zJ9hrd63%=ff14F^UI5RhCS)Lz&E%1bmXD+`By2O=>8UHc;?S^OMD`- z@C9(#n_o6?L~FqzU^mY`8W4NZzcHEVs79SJ-l4GK>Uk4lgK?1&Gae5CJk_X;pyhsi zTE(4nV5m&h{z3|sEuvlX?X&-gE<-&dwL*sY^a5C=-Bq9QO@5Qf#!fgTkf!S&((j*vZ1P-W$SuNw#^>_vyom<`J1ix6Gl?2e6M#C0?&rVilHd)>^pzWZ9R4b@jNkeZh-yqj~vlWxS3|U-tsL- zEor z#FH#Y3BhIw(5@TS(@IaHoEP3by?*4uVPKT0@rwJr1kRQo(1j@D#Ef4LNosL4SYPor z{xJ7S`SlJTa@`V=Ez%gsyC-_3P!*vuP3m|N*qgu^m*J+Ot6SuJUiYdID><-jc=Ff? zK3C$Xo+YaRV>|J z>!&?w)F(MGJ?$=1S#2>Nc?!TE% zMjkL*nZ*X5c!vEhyx(+j`60k6t%H%j*Qr9s^-wExXJr+Nl4cKP8)qu@WiM2IG+)W; z2ZxTJsLSA8pjVa~S$}{Yt|Se_>_ug zM9XLa7c+%Yi16f=$G_@Mtd!kMUF{~UsW~!U zffhN>ZlR{3riWm1mZrS|Ca%hl_6F@r?(ZPDkh4KC(A#3iOvtB}WwhT=Tr^C11Km1% z-!uV_cdT0%tBFF@;dGgQisT*lMj2Dky)fQ9oo?n}>SWZW>*_X5xuI2$kh?0&kXmuD z<29na0A|3DrY=AIS^i0^*kUs3PaF#$`@V6(DZQ90My}8W6XoDr3 z<@v1TDxtlK@bKQpBUp&W7*4joz+Fm3MX?|N;kh=SY47Ty;32()$OM7nd52X?A34?8IH#t* zWE*$$w4v2Kty0|C-dqOM;z5Uj48&@LnQ;Uvw1}Ztfk#olWupo3KB>5)ZVb&lotjY& z1^uef@VTvxl2INkS&oV|4>4s?Yj)X`ZAf#+EE95VNON&_&m+~=EZX{x6$(2L7Jn5e z^2ez^*vbu^I(=_tK{5yIA6V?p8-ybJD&4~=e;`Qii^AH5ow1c#(zu1}&qO%On*>+!5S{H}NLceW~jzg=SlTJXH>9nE@} z0u4QEPV4x4#YcOTx%WbEUU|EKFzV@?j!i6FUjQu+mV856qSC362+3#<)^?y&HTrL} zvV`Pr4Lr^3!4gU@fI;HvS$THXc=|%MLz&B?RRBhv*`_|=Tt=ccG+T?Sn=dVx;aCD= z7f;1$iA!6RN01tLjF3P$u5>k2hhCc0Tb-@yg>;B#Fi)nj@vvi_@(0`^(}atIA@XW! zuf*8^#S2rcN?^R6;j)ai)HE1rFWO~*T+t3tSxBWnza(`QG4)sagZ>41pn*8<$}LMp z?U5F_J$mU>i+9LHj1F4Dnrl_0n#NC7S+!05-Q>RQ_(=mzFt`Gk`s--j5Ye@T)XaER zu4gaSwPcHD%NnWH@dCqpm|uuqVG3~6yh^&cm%x+OFi6oP@bQh7$WpB2Rg4gf?yj#_ zd(>bQbq((_Z|JN~--0(0HA!LWb(24e!=VTZ{mhTYW4zFbHbCjTgBb?YcSK*rqxO*E zjZ%~xdr!Qvb-vQsVYyDMh7b>rJ^A4ohWExP8y_??(=u#1Yi5K~MEX8cDZXhoPvj6B zh(fU=Jm%T>T?deh>-3 zc_ZIa9OHR06#Ppom?IK#Xub1x2xxDBT(7u?5we@um3wpP^J4HLg1*M86N^Hb(O z5weqm=y~jK!df1pOBiS~n0tgoXZ*QREPZ(^&!0`(ad-z~2Qfp#w-S~hmNfDu;kAq$ zh<|TzDIW5Iczkfg%85|%sIKdGZe90@dm0?de?#r5>$U(G&zr=2zsrZie*(wF`^m^K zyYfwO*rI={&?U5I9$ogf)7M13iBcP37?y%PZZ?3iGNRFYw88EtAuhUEc1DJIYH4!H zj5Xb^P1SA?%d+ynZwNU;T`-tnA*vLTW+0A}(v8iqhezH;%rI6)FC?V*?dPJ09_->C zoF>W@Ddfcf!B%*%2P)C(nzZ{so$W9Ib}SOU@uj5#}X{G(lrY#_;gdnr7bkkGG6N}Jt@8WM2)e!`EK!11(3|EZ) z!*M3GA{BI6k!o2E;WPR~74G5wx4godR(}Oh4=cff#tYmo@VtqvtLr@4yBt!GEW)%J zOHD@X$H$=QYVJ8z{dYJc?CdIZHN4#uoC{Zz9sG@M&<{X^VMR^Vn zAY``r+zqiH&=*~YA!E2& z?gjd5IRVShrrI5D$d4+&4mqL3nFM^9WS6wC&|afG<&*=`v3$KFoAX1@88Z-Lkr&73 z;l>Pe1tgai6DzbjIL#Nr$FRC{+_%uvx=#&_RCv#&^Jm?fLpt<%DJeyv;4#!Lx_c);NfH z>!ie}>wqEFk#K+0OZ{~QQv$EP`&7XWvjJ-<Gc+>lp)x-l^Vy|r`xTMg#t5j=AsHa$3wKq++RNr)^w-tUG|xQw8oam zQ}{@>>K*>)$9?|Nh2?G>Ispd%az z_XxMvWM#HkU;-~`VS@0?`n$(^h~LEs)0p@>Ckn?zr=~&7PpqO|rwlePfWNC(0~BS} zijG79xsA(6+{;2+H+R9U*X`I{_9A2d{3UFCx19Hd?6iK)QT@?rrF8?j-H4dz@c0oR zM%ghQdHHp|0I1e!HGkEM$Wuka2&<1pVNAG4qn}9=slvQ{H*W4WmB)A0;`0=D(qwJf zd^_08m+mdKdh=xR5dYAhgImNsAG#136qjdj>=|0o^0Q{p$z&L$J4iDQMgE=3(DmDIcWq^wvQ z=Tdengang4@4_h-2i_Ep&O`*e46cIXM<7=&dV?)76Mf$tmqosPHU8R=dP)Lb$=@36Q9>Y<6p?Kgwuv zEZ}0Y86ihYobc(#)00sGMk{W6R+d!P#c(%M5Ig+^Rm1$m|P;$5NJ*l-i{gDN;$;y z=>_A1-G^`h!H~YUeP-{IGN)9(x86N}<};RRRF2=`16pDLPCWdcJSH-B8|4x-a>yLI zHL0mqb1euLS%D7XZOtRp1&=`EC9C>MROSA1Hn}8rPD_?Sd10$4yi6^9#Z=kUA@S%b z#S_bw)npNk&r=}2JA$z`p)3zb`5`Jsd;>)aSUjo)_s_QC)qUXUzyf0{TTX0wELkev zRmwFT?|rbL7;AMhj}kJ*dmLZ2r6^blN|t+c9BqZX<-w^aed>jXq9bKmQ{_Jvf>8wa zf9|b;Pk1vp374c219I$krO>a}KU|;8CW0Q*hbFPms~)7YxU#?dG;L`eog7OVCTYJ{ zU^(B7i%jee5ATDm?B-v@nQP@MUT$*wS+%(iZvlEVyfkX)Fb_3zG*c(9D{{ONI5Yh- z(34O5=;CnfG^ST^+dC?nwB;^;_zS8D63x@WkT`pMMi|gOc8^5^x|Ax_rD+bpQBtb~yS~e0hfl$=7Bn_riT1gPU&g zoiZ^L4=XrGs^!_Ey&?CA7bD>lW>}0=%I?h|o9%txcX{Ry-7?gTgNTADJWGJ}X43>L4;<<}t*R6`2{kzr_uAi%EIIy#rw~D%DrG{g)n|Wx|U-Z&H*>)y5DA5>O z9#&PM?%Z|}Kp>tm%Emw$PkhbZ?l2qozdavLi#)%PFW|c=pG^keYNkuqpYMh(BnRSd zvl&8?(aptBQo+=O+%{2KVAKs@vbV4`-saP3wDO>Xo8ITl>+WwkPSNM%PH-@Dr=+Rn zcQV_PHP5UuIRpTG(A@G!GvMMa;rLUs_=UR*84ZfvAG3(1zg4c#Ath=~N_l(WLd3qr zQS?u*FUGRlDJ%g)#+C^HEVq>x!0S>Z)SD1mfYT>F#K`u+$CA;xJ@*HjrC(fvnSjAbZ{K!*$I?vX|0mJW1vv-l;0(h zz%#X>Wg!q^MHgH9JXTYkBdSud>d{7xEA>m{s)^P(BeAUWGb9mIDX;&)gHR=T z@`W|Ch(=2HP&@4U9u0i*bayWmscni()hH)Nh1+8I2m=z<7aS+kevxqlDNsN1KVX9qzxR$;)Yy5MXH2`06r&$HP?`d0-w8-zN{X zGi$TxG0jMJzCd=-dO)yBu=9n+U9)A7+O*xH;4<@=Fjg3 z$K{V*gC$#YWpfLAr8QGB*+H$re#C^5F949^Xa+UXuv9eB&nO#!p%(`5-lQ1?#|WFr zwM=O(>?Y>T3OXeB`kcpt5GIKd+M9 z+ijMhegP2j7hu z#HoE8i=$Lo)hcAQf} zMU;6k$>rv=dwBV5)ec35qWK?*yPj7MM4Y{+i=L}{WeAm$In9DUgmex5<(={`%*wGu zPm1y?>8-^D)j`8qJ_OgF;NabPtb#m045ik0E4|&8K3ekn8lMWd@3S?~XnGkgg{$f` z$nBZcs;xzPZ5T;A+^})N%)zv!fZFJ9IaM@U-1U;5#W}qd3|pDp`_TYcFM#g;UcOHq zfVB#2U&MEAu(GQzG#VPFIm`h$CD$Lr-*c@*FI3|2ld@VQo?~tf4kEA%l0k-UFxoYX z$F&*H_h{-dC;p+POAF_C^T^}0)>gjs2t7xEn4+Z0>1@a*>n9U!&$oxg`-Ef7V?C1J zw>*X48_N=5|48SYDyL8Jg;>pS)rNc4XO2tnJU+ihr4dSXzmighSwr}}!=2ekqrd+O zT|5e&r#4AMPInvrA?AWxpg@c$No6&d$nUDz7dG+m+r&CwTvr}&G7WR%YU!fNpxODU21#978~!}2VGlordw|>& zNW>Ja7)ny@Ga_BBIoWyPE6*@|>q26`-|J9~O3_J?i4SxioUX(BlXSV?Lr2Etf#QMWGK$1Zxy z891;)^i;fWlCdsmZ~w+Y+2uZ|@bfkgF}1C&!nzPoIOSE@ynA30p=(yEl`nvDkP7WA z1b^L+arqa)tm>JD7bhuPmkP;E;sx-rM-#2WHe(5>^|fRm*6lg7i)rro)ZSXrz|#O* zUkoY(3mGHy#P&png3aZhsTJP8dz>orww%U-FFxnpp1kW+;TL}ZNkX(#x%S^U^9a2F zv@Yp5Y}7(@1qPMJTcNlim&dZFR!AZ;IHuYFnW0 zrvrm@`sKgj`9JZB>o>lnOSpvaTv@1KZVwdGTHeTVazAxgb#RyDu_5)ZB9PkIZ(-xH zO(J_f#qi7aZh9jOiziUMTAv%Ky)qMJ(soIr@>j6ilh`%OTGmLtY1xKeMAF-5TJY{e zGx3gg(M%^b>j0%hi`GH#CU%3p+qAL7{_wY9syf;4Ww`<7n(CQ(S+K05VyJV$U%_dFG-ZU}XQruz5q1;phw5P7U z{Hs<4F2Tf%b=0CCt$e2oR)?!iM6^A8Te32l0c+`=jnLB*v{f&d&x<7d)*Zf%{_)xF z-4He1XUWeg+H?JmaY+%!7V$$V9+!u@S!D&=NyN!&Its}+NjKcVk4u(6^ChZB-BO3A zXTJaaSkH{|U^NQ;<_I+Yc$=EJk9YF0F5zq1(1DIv)9XgsO;GJ!+Y+I7l6lo0nF;+@m5Nl*Tgi8d7qBfRYub|g^@K=jAmr?n(3@J@oNR&{+845 z=}UsWegAT=d%pS! z-8n)xduwJP>{Wt|>!Yi_8QH~VMb;|`Qy`ZiHT0B>GIA^sr10dM|Fd>%pvbvO+kP> zWtOiLeMSFcv?RNajp0uFf$7fTjFvjEX2P4IVwdw~6$mv;mdyS9OiuA*nUYeGnPvDe zlxFru-}B5(+|YOPW-rRfbb3y^iL<=JvFXV*`UceDscDov{W_ZVW^bp)uA{_XWBvv$ zO)znT;ZLm!(QK`@G_1qQsmQ74MT@U6L~0qqqvt}3D96gJgYwo#Bi%61pu$7fhc2Ar zjCYyrE_7{lFpZ;l4H|v}S_kNlCiVBXeXdLnnh=Q91m9&!1n7<$;5tZA_PJA$?F{eo z!Rk8A$WB@%KPIg!BJAL@2TZ$H6fHi5{3DIja1F8NI@;<#?0*$k;8P_bDIeE#5iJ_a zEzp(sk+aaR>v%2j2#%0hXf0a`PY9q>HA>Vqp#NETq*)XNObsT+TRzQc{&6?Rv&GXn zkaQGUE$C8{=ftDpfpc`#z3&B!G&X7U(!V)6ije_(ny~0+AwfWDzN@USY8UR>k*7i1 zs)MS7QY*3gq-=Q8+oMDtr%htV&c*5BfImn;pqDof6uf;}O_UJ5jQ8i~r5JU9#OvKR z5CuYTQ+|(WcRBj%K=8jm{gR04oS(mZ0Wb@T`5KbgSg=OnA!8Dj68p1e0IA7z?1@m%L)@xP&$_ z`5TL~W{#X|L8C8C1Pw8K`LTkNWzVZ{BGr54ScjeG?1nnI$h7m%3!dLSQczO6Z)>V&&j=^o8IqQEZs1YiDPwwYKts#OBG^kgw8{r z0$q#(-m*ICmf{xxwy2bhC_c*{0A|0r-;ZZud-mP_nKvi!P``kU!bSWz?RV)z$y` zkX_^`xpys&4I01T`}6{^3#Eb~NBl#j+K9u)*iPHZLmzZ=wmp8=gqf|WBs7hL#kP6X zmuP}m^=VyU)1&jY<)oSN{0=#j2-S|7F)YRj-M69MEEmFoyLrt+pfPKbh8ve^l>V{h z%?z3nL48o4>oH#I&^Il%4~LK=q}HAU^IxFq<{^~$jMd^6bV@v;qo|S@0q#4o zYr&Rkre#z_JW1m+?x*DrxrnT!D2tLU`7IVPP^YaV2&{J6&Q5=+E z7Q-Lqc7<`fppBJ>#QVibLvTGRP@#G_?51I7RGwiKl7sTsw_NIPJQ=O1G8;l+B(q^| zQrJ`|s;7ThE`{2zZ-%rmH1;~<$gJE=z4YSN?NYdo*A`!sj+iBeFm1D$k?}D)KWFwT zF*#|fYUhLEggS@TL$!y`74YvLa!(}`aQvauNT^3XQtG1pN{_Se2-J8g^G9^CG5>xP zc411REVJ(z@j+e1xPD5cGEh7a$;q^clmDxDGU=R%0yO%qGjZ8lMEdJkIIoe6c>II@73iq3)|2L~?q!Nm*U z3okfaSPW%5;jSp%(*HF_PGvJiEL%lb3Xk#8CR@8n-GD}Uoa?|B=}Af)LkP{DIl?6B zvKeVMv`4+>M!)S99qZe@wbJEtD`Wa8>l5j_`3CJ6@%>>Opvx308jDu z4rKG&$it~*RlxEA++276sOeSp`WbA+&bf~g%5?K8yua1Q&!Y&)!cvmAPTy;sSUB{z zqJ^-R;9o@L&4|-uqUJWO@j{mCvN^VZs|7a-J(0n-3d_$YH@)*l*zyUqyAJMW=e_J; zf2Q+pi=U(dmLi+bAdjly%W`TGY%x=+rHhMx?e|#!oYqpYz->MBG~ZKSLwOZ!`T;Bp zqd@jX=Lw&xl-|OgIR_YV|O^Qoi@@w_v>pB);W#Rg$Abd7q zI&;;K7gdB(&gb;u1I*ekV{+5pJOoaWZ`6`qwu8Y35J`Rk#BATchGQM@$VK~&c4IlO zD=-qka+MU!(cSeu06A@PHS9v9_E3_3NDDJqYCE68U~DKG zvD4pUfB5Z&w55%Xi?`Zfl%Kj9Gn*y#h8|rg5ZE$*3R}OW_Uh~c;*c-dnB!Tyu6`k5 zw)#5Nn;#llbYHgf0{D7+7htI&`X$p*DE$-}8P9Bi%Q=PWdnk8i2A~nY-_WZ766}|} z%P3f(IBl&&Uk`H&HcTxV0eW>8l)HUQ9}3>R*^4&*nNtGeN6pOYF!AVG!Ks}T+N3eX zug*kui)v&P?>%8f4zzLh+`GC9X=YwVnp_3$Gc)?!{D!-XK1HcReV84zs18Va^x=d z9R5JP$O)0<28*8bbm0rhC2uHd@>p~Xl()W{tm{Bb9&P&H4{iTa*$)^ex*!aZF(uU2 zYfVCoK{~EjNhoX*YJH5 z(c-&-?|Wc1bgxV3fLT+m2?t#$GW=olnA1+|p;4HDrp?=1>s_Lj#d4)w*e)9V-)@dp zuP%Gb%Efzjboe+pLJO{&PGa9<7MCE>Nkq;2&gs#a++6ltj%tsq#H^O+QvOG&NUD)EvK_KX6J4D{K;K5%bhMhc;E`1Bk%^G)T6NBfwRohgputM;Rsix zRb;d7d>Y{(BuhH-V7Z*KO5<{_ZhaD#ltwko|gu^HNgW+sR*)4+O$lQ&3<`xo1%$M#ZH`^89It z;0(Gqzf;e}o5pL_5`pbYR!jSY#bvC&j>-c+@ z-RehORAPa1_#fIWPdKJkyrFcxO|$>5yprg$Fa;IHEy@60 z6b-soP1*XRa~|>Ekz&}(J=MJK^*k0+1J}_NHVX^_ZNUpP;;2?CX9^w-pVMNmUi@ zA8mDuJ7f$+z}^nW{?^?MXbzSeiI*F60hnZ&?Y>SKe^ZRisdq&aF{aq+B10alIpn+j*uvF+!o!r>Y zupyeI2uk=1AU)Zt%Y>jSM0PHjN7LmHoz1e}x7T=-S9i!!UYolvfG&_QUC;_cyPIIx zj&u{{*YpfOP_4U>Rpgu?!Ia+&o!>MED18<#DgEr{oTpemJ#hV~Pn8@}LswE|l&Y|t z7<|W+dJ_F}jI(r{W=@(u-+aMIziNwjKVU1cLGgwL+QErND)~8nlqsqYy}Bi-Pzm{q zgi{XtsIpJIv>ULYJr}hXeca0>%^L#uiK(3=q#DH!K= z=axscmxWQ=ul;xzV}-j3f4oC2Y7mYzdDCY-t3^~2)mv<!Vnbli|KE*PDAsb<~h`@^r2>yQ$`&{R7W$yLaG{# zppxhOi)g2uZ{$2F?8nIAqHi!71AhtY8-P4Q)0Ys=@7F6T1(Z;Z6;FQ9z5ryxe#EEw z-qLK2(*TlYz5LK20al~&mSmIOXSH-48~j^#p@?!U?AiD)VS^YDnLT`me-(O3U^I$ zb17^n5I82#TrlBHkYW29gG05@MnS=jhG&8doGcYgVRuFSYNQ0qW)!v!#84l>2cDPhHZF-Ms1_ zdI_Pu@q4Z9^YA#36PWQ~X5(9w$@aHVZ*S}jErBNzuq?}~QHMLu-ufq}1M}B~A zc2Qf6^2L`0|CP!AtsXXPA75^WaME)$c|3%XiQ)E7Fps90PhLlmGnxg>@^x}Io$e7= zax!S^x%KTHM4_n|>}XeuBZ?Nii8RvRS$+x$Tqku+e@|&#!EQPlw?PE%M8fMTZN$q$ z!}2SolS!GNps}TD2KYIfKR#Fzv6)0Q9Cxj%tc@!F$X1R+;#&QwfM9^tFj(me8U|j| zHHO~fXsa^+u1G3(b90+#T!EE{a+U~aZz1gep$_t(Y%?E3Yn3WKiA>XAGP7&?gkZJ! ze9KHFI-(i4^G3d`^b^6a1MdzDa{+st?S_VAh24bf0q42Aj)@r>EnF~a9*NWJH%G&9 z-EhOu=0iWSn=Pxn+<|M|QRZ=hAKlkj*nJyKC#x-`UEDU)`)^E0{(hCPN4JB&8F8yR z_fIsNq(^Dn-99)86q=jb5sgyO9($98KpO$ug+J8;EJ)iim6G$*=4F+riFNK*ubz1J zR5nbCNiZ49CW=e#*D&@zLoPf(_iHemvSeINyg^6!hC|-;$=F=^H~ob#SP>&V)h~du zcRGYi`QFZf)$X7Bxw5Lw_$tNNnu2JSeA9f?SD&=daCMhee_yl)NEm=YU1W;83QK<1 z5_i4ZF^rk>1SzxY?$I$Pyjt;N_?!i_V5Avnu%XA;u9e?tIN1g#p65d|MYMmqy=T?z z-(t~48H;^HFl_$WgL&LKDzH%#ddLYQ7lx4`-cneN(6UG0)n76bH(_aagQB8paKf5V zzI0#c)ciHVY(M}0I{*Uz!fV%-=xQ4!!_xn{(Pc<3d{FIH?J`X|4ZBflMZ@y=sJflO zD|gs1vnX#?C`^d+p^cl;pmn zFOt{T$>n8Vr=ZU!0n(F&3G_zdG>fd2Ig|54wEjnlhycO1H}j8{f7u=L*bsth?Vl^D z&ZTTFdW~_?lvARavt8}ICuguFOlO(E|2Q`_F|Ns3fjpy2t|>Kh!k4$PyC`VhTBgm| zTi>a8$xH>w$1k41W&bL4i+$}h$LwDn)$vL9S-KK-moAa#S%Qh~^stthn?U6&S33zi z>V_sT3Vf_p4D#fmdfi z3C~7Xs33|;-fkLLqLm5torVUR4d;rftU8xQuVUws+dNIjW~b5;1)^NdS+5FvEjvP; z=LoEYPVxo7$*lNTw&-LwuCme}tiQP(fkN0VcRbo1G~gqI zdUMsS2KsKr?r^X5$k*hf;6EKW8qa<@{d+`-J~lrx?locgLaaP#XmZQ5qCxfApY*Nc zZw72(3s|XC4NvlE(l`f4Q;AJ+PC>b=sFv_2vPO_Cg2`%B$_wE7J=GB3SY|v1`?8Q? zsL?07gnX@oWTp+LCJ=3H-)oF&Vf)SB(Z#P{%~r#LsnB;zD`I0ROQdi@f$-eCp}pwC zd{LiIbGkJHxGr70VId{Vd>|I9cNF28^02w{yI_$C)2!A@rfGTczY$NLo03D^7EDz1 z1VIo5kWWkR(9G`_z|g~5h&6HAu=Uc;RyZ0adKY#{bUy#*INXU?Nk&NC>Mn9tTi+6q zw*48HU1&rT1^9dtgBndf^@>P`BG9)8KdoZ3$?GVSHsr1AhMR#`B#!Q_;Oy1*(iDk_ z<4Q{)x93|Ir>Lm4+ICIOdU9KIkpvwXv@lpEo}zVt z%?Hd!w$^%Bjd(3q^KeKx2iG36c*1Ja*$|#6SF!QFa8Y@}nBV-pZQgFvAT3|jRQVC; zj~?&heqZh?HgRv7$j`sO|02lO-FXM1aZlo!9wLhs*bHvEal3g8*L%rT4@js{WV~rN zegJu24zcnL3M%QqMxmRQEd5l^Ln63FLIy^Nx;>f+Tx;fE4bL8KdMnC}B^pG}Hda|F+y-XU?y6l65TRK@iu1{G*gl@CcE~_88Ia<5{kw zoljCGA-etT$gwB8kfY6=byx3bPV8U6UoVaRpvf`R={Q=@1zFeI zcu)6Y7&S*p9{mPlKR^a`RnkK@rA=6$y;vzz9=Ifol3=`vOZ-a-fwKT9}- zDqG)}^&U3hZ2D~ISuDq8qdEHT%byNY;!rPA+@- zHO~~m{Y0L~2;DI1bywT^Y8gAT{XfePLo4hIX96+9P?^qwGqwl94sxF_GV76?-St6# zUXcyK#Rd+b?`9tN=HQIt^hzB6(JEW%?ltM*Okg00DV1Y6V}Rh3M`ziwzt zSG~&d=|F9{(csh0q|Vl!3_YHooMk1)Zc3Nb>opZV(fj>>J9wSH7)54ISM3r=*qMke z@f{xe<|4RX#O@SMY!(xerXVz~Z)_e!EoKQqqvQ5V7fEzr1#9Q7@yXf;ju-bZ7McTf zl#DR6kH@zeWMljd+e!)EU$xUZzzB=qPYC|jPIe(>6{`h(Rl)y-I7kIMfulE6Rg_d% z4z%Hf#H735oy0-aGHOgziy5$(&bdTsMtWIhZ4uMVqNjdO$c33kaU8kFz^{65O`PJ8 zJK|5#sgd9S9$NCV3Qp=AMIHg4aij0_-75*IX64)a zam1&q1U76KMnL@M4zYmITP?En*qPk39@vOl->JO-)}YlF&(SrI?3q4h)vMSh54*=sp9KXQbT!W%8QIO!R zVv7=Q{{Xau_w_ipPGeC`UK&PX8NdMX!2SNXnNpi^;OBdJA{|znrg|?or^_n(Ta?$! zJusYxpofHJV5aP&)mq1s$EO#HRObB;tf%s-Iw40F#5c7v1S#|?Ma~cL4VWcXys4|N zs7T?jqf`JgGdO7>u9mAbyy$2*pyqO!+y=++)J* zxwJP%`X8+_59b+nO<7Jn=1`|Ls0|wn8(YjHvBa7$4ShMSghdW@pG5B6j%r9Fn_Mt! z{ZGpgKMgs$G@7X$%reLox}&QNTH%xs6ZgS`u# zhoz5O=VNgeSt6#DM}FapjjoG?>k$$J;}ZmOPgl7114dW)jTrOpE8^ztSm=7So)k=PIBe3F54Wc zzlL_Ss|#0?WhZG{{{Z(pSUt`A59**J{yvy$>JNqft90ImlA5xIKFs0!I>)-cukBxE zDt3s~#~T1EVSir!+h0NFQ&eP>ax91qWg1H#HooNy4+H1l2ldp=Q_u}-EJ5!EmacLm zBr=qN&hSCFw?Bp#l5@(oF}SAj%F*-RIO)!#(RzFN_IA{mg zErBC|NFKOrO34*&R_Sm7>_#AY?L9_k<0GrSVib=o)_JT^rt(!?>mPQ}>B<}b0Ot}> zQ9UsWAq7dX1&zV?#&)9u!;@*Pr_Az4b3=`6ZBM>cV$OKn-G}e|VFZRoLXoNM%LCY9 zTGYt&>Le<`uX~QzMVr(NzbmM%w!n}U@9Yl%VNtfyNIB%BW*-r`lV*Cq`IKRZC6Rgi z;SIa|`1}qbRFDT?=vv!;JNEQ8`HV<9o()UT#8}wIUf}lxgZlIN3^hX)>6Kb9EVpz6 zx6j|@k5{6DSYnSUtH{=QF&wn)DnMzGW0ARF$Aj{}ulwMF3ZBUgE27nUmX!>2x{9g@#|soQkPW*ZpS}ysk;=4z!602Z?r&}{^}=?h z+T-A{3O1Bm5PoCO{{XfN$%|Zsvq~<|{RdaNm6qM9HI;BIekExO1KW}h{9(eE#HUyC z>W6xbL}XosZt+}q1Pc%E>xg@*OE(X(M(9^%z>@i_D^ z$#rO|iEXw$iC@DK9FNDQlc=h`VUbo+yI7K&T}b(hi+24nlip7@R(~$XivqkKOZ>2Q zUl3ZL3FBx1i9X}~kL8MQ!*sX8A02+8GD^>;S$=mMk}MS^qmfBbZ*hNLnZz;n+x2b1aeW7uJPdpy2*ZeyF6ywe)W z0W0xb`rQ1mF(ay355hoJBc4V1`eCLy(H&iy6wG?_vA-W65}g z<2>%YI6Y^E!g0c^9%+^eN~DGCR32E1@nh?U7=QG6OV!C&xgd1~Zd9_7d*AEFA zo}nX{ZYTX@TKxL{U*iVm^fK4cEh@3{+=F|b8t3%=`(r+mG)IaW>Kw|S&CBJp6bmR~ z3jvNxlsi*-w9FNV-gepmu9s2gkZ$pTM_ZA z_x8kF-A6Gz6_e%Jci9Loo?j?IzdT%E+Dh}~Da+(weN&5{OgdNO>rq5nCA;haw%ZIf z`E%0kSdmm*5hu^v{xC^{#JL>lE4EZEN0z9{J~a(+eb48I86t*gzSRh{g5_>4hKdZn z7K~IpL3#jei``erypheYE)f#0=sO(s6elOfy{IS{T zRvJ`#HY!N7us)ydj^+8i4rdTq12vbDqsk_`{QRXdS4LRKh9X64S}a;ezr2JmZ;lLabyp z(YIPQ2ar#{jlnQ}e&3C@LwZG8ZVS zfbq$>HuknBJ|}vB-An6Uq}T6EAQ`@SRaz)_?lCNqGlbn?xF}$^0Cw$){vzmn(5vw+ z+%fO;{PA}e<)Z3~OObz>8>Z@uuIpSA&%PGHC@cg(cOViu!+DZ9E~y#0?mxa1(o_h_ zAOX1{k8|_4_P|LoQ|NT01Bm4TRR@s~gOkBNzSu!VM5xT55xT?^WB3nj zEng_GPcqjZ69eCj4%bCl3zSUb%R5vO0NQx@@$H2%L{tz|GARLG-Twe~!b(`;^8sbL z_j?}0wjCl!0W732VZa@i42)xZiMGWQFu8r9`R3$vZpR8?t7NETP{0PUy4(K%7*BN! z3WXpZNaOzi7!@Ntj#S5Cdy#8)`QeFD6xgk*5m#tWiQ3;$fg~G{5E%2x-gx<2+SkB$ zd4JVfaeINuy@AI}h^@KJw;KlCVb7q%HIsU4p-xQX)1o<2gCh?X6mq^<7U`ru3bHwT46ti=BSj1#3G;+qeKlB#7d8!+VD2WwyO$FUX7 z`i&JGb5qksO0W92fC;(x$FP}YN?aysN5ge8igaJCJaR&g7%*YYg^mcWq)NmJvH(Jz ztVbjFY!M)ypZ@^8uWS8C9@s7_s0C9aIbHml{PE}1i>b6XR*5OtOEh)?xv=aomPsRG z?uw+S0ZsjX#vbe7r)b-8J8f?;SAD7eD1d+o&U==F?YY=$t z_~EjsH`=*hyWL2(6=!q#b%db-cK5e8z8j~RW|fpPi*iVN5$0}G#3 zJ-zTJnM*3TumJ9{Vm zxj&a3{{YRHMafb#b7rC`)h$_=YP`$-pUCHBri|Ws2l|LSj{Fbr^0B;ri%QixC=)m~ zx33>DiTC|Kr*x-IDJ!b->1%WB_E9u1BLN*fD((e<^>%v!+Q46)!Dmk^vYNc!DJe7) z*GW#Lq^7B;0U3cN|2a9uw7Gu&rsbtd8t#u_jOIssH1ua9BZ-;G-?d!N()Y$y75YMYK?NzDk z>op~E!k1TNCb~i}K7PXzh{{Tq_UKIO9S!$&}mAXDwXPrRIG&XrYmeu-pS_;}{+bk7O zvNvPz`HNoT^Tf|dWqQL}UZFJko?}c3v=qi^L&?dQe}K2h^?eZXWzo5g z`>(=jT|hsG`rtW*T4b|Hm1c1HHDVy|O)QIW7;)>yC7m;))3o}klA|s00a2V7&g9D{ zsNP*{LHKtPIKMcdJXU5kZQ>HSmSeYlF&kXifeKjP)6@09R^Wfs%kwld%VHqlJLAN6R0Pl)t!4&epNhUQhi#4BVFZPzMh~SZ}rAhzt(r|dYq2&DSZlKtQmfLt#wv?kZD}1 zYWm8Wxnhozo;~tNA|DV?IbsB4{s$H2ndygBbf%)Asm?lIpHf7xb~PDP%kbXBl2@=7 z=e92Y01n!JF6z%zGYtB(SY}xyv_5Tp9cEnqT%Tx?O`sEFWh(7^TI1`D2bkzxPg9pk zN0#`a&7xU;2U+sSuF8E(fQIkt06x6p)b?&o8JM*h@#1h@Gc5Rf%}pOtD1#&H2zOlp*r|9ZEZ?VB|P;M#(0*?FZA52f#?ZP zZT<0TO;Gf52apTgmU4Kt`TTp~IZ{k!K5_C(DRU-Z6iyHUdx8hQ`(TZ0nf-&OncY~L z%5wQ3W@E#7fJ86t_xs_mD$E{u9>A@n<8tx%e*JJ)Qu8W0K9FZq)YUjObu^UFF4OnC z<&xlzECfx0$3ilyR%Q;bX6;p+(@@g9fI&^TlyhQRaP;{P*8`a)4mw` z$Ds7qj*d8V&rNj(Lkz4;<2gxomL7^+ark}~!=SGxFNRFB!dMnPysuM5^ea1j-=|u7 zaZ%ntIfQ4usADn{luvieCQ!o++@*fbrQ_tqz=jWYA&T7m}{)9ENSRMx??msQo=MA;dfWVhkTUc{!cds2n zhbHR}P}XzjoU>f!aaOv@14df9bp+qsf!h7XArN)9PfazXjm3rSBY*(+9^(O*O_wHV zNv}}h;<_AAP?V{!qa}2;64K4J4{>_2wEwFKr|rmM~d-)56*Tere-5UJ}9o^(Ie zZHk~+6>n~S6UP`wnf1d((9lUmTU<9u5#@mkYkvO#JTLJ1Ak{=Q;(83R;%KFMiXoCZ zG$?koY)cW%fgSt&u)_q45k_dqP79lZ&+q&Eusrin(&u??If9^Wg`HkMQ+>RTk-<^L zDv009J1htrN7tMF&OH{MJ0OvRsh<3epr%c&xvG1~@GqCo&*TOSj)D+Pi{jgZuA-l>z0?A>?KHdKSd|~P~?5qMvS)?)Sv!N;g_56P?@qh)A zKk3Tlg4=cf0LB&5qF-|`xCKjkdh$DS+uI4GmBgeyz_00Fgx8EK6c| z4b8r`{{R>v;;C6eM9Rc3Ac8O6{{Z6xl4<2EjEX=`N^`rh{5?Ip;B?XKZ#u0?kiQQ$ zVsFM8_&ML8kVIVVWl^(>6MG-u_QHCIg)@L|#h%0A@A4#lMjXnt!-$2=!6oc_;T2E` zP^gD!E4kX-UdI0bmGLUsJc!Efm;|vZECP-J_qXHw;~s!REh9lJAeB&4Xyr)f2C}a6 zz6-hR#8`J9mI#$xDN7WSac1Y1?S4Ohh9XelILJw9M2*9P$bHS&Sn>V2`Qy>73sn;- zZf(N22abFE@Mo7g+2$i}`i|>@KQG!KBuSt5t2qn;|JMO&6t+^u2qS0~@$#HTE(H58FC z2m``|f!J_3{{R?x$|8Rx#))w2%9Hna?fU-jk0IxIS(9Fj^s-MTI)146sVxpn+pxM=3!VuFn|!fmI;7et>M%!3 zjx(F9bY?@OGh}s+C=|J|c2@QEAKL`gY3#udn9XgrvX{O1?k$Z4QQ_-RQPjM?M)0Z? zU1b0kxIFRN;?}?T!dk9?SE3PHlrlP9mtqlf^COHo>SJ9Cg2j=1vP_^?D9${~EJe3> zWBGIJFl@SWG^ImVBpX$a1e*&EeYwTwSRbf%c;mXgbQbE4@4Ic`%%wA4v%SOa!;H|5!xoSxTXUVRnF0hLsQE!+=$d5KO^ z?DWyvx)#wx_K1{9yQIG(=i0*#kg$yz$t-LYq6=aV`5!zrtzn~)UPT0veZhNP_%-ud z2&y1QuK}l#BaQEE&U+}ot^F`?i*k4PY!%$K{YR_ zVWuN*s_!R#DNt^F{u$k1+ObY@*vAY|yAAEJtdq>$Q;bl~(P*@Rbuf>0r zzrHZfkKYp2+LJw(rMXUWt&?OmZmzIN@Kn|*b!i*XwgS^?FH-;E>U$F8)!7U+#; zd6w4*vuxb84oo-vXytDuMX!J9p&to(j|Kd&}cOL&OHvH@g0(_x^C>308kD=IvrG ze@p&YY|FMYsFFqpcfoEy56ceG4Y2QG3oVAz?QZ`7JOkvZF>M@RGWldL7PY-_>qu3= z3mCEWW%a%rC`XwPB?^Kp&*^+R7K|drBLE}-s{_sNfr{pZK7)3l4Z5Xx7b*uQ@%F&i zU<8SQwU4iBan1oZ*`wNsp{{SgZ>_y?$z39V0kPdpi69SLIWA~Sfx^g6!y^Dqi8tq; z*!(bS$gHTPNZNS^kbOVC5Y1lEfFg_{-rJ8L92V^1_lbr`QRH1nUj<5? z^-_(X3zlGQ_k|hXWh<3XJ!*{=~3Dla3SR#4=Lc0K4V;c{AF~pDMw84sw zK?-;y8TyG!bt7NG@h?3}YQ%N5aGA-HnnHVAKu!HU20et;^%6Bf+2w!-H*hY0JbN6n z=S#EHIZpUBJv~Hq1CTjefWq9IAD1B-s`go3_0 zxlBN+xB!3$BkPYlj!JRh#}h$SQ7G~xYY=Z@Y<~=TcQVDb&LL^TFI{26gCWfX~L;tAz*t0>w?8u8=UM4 z1?&%R_``UsNcTqaFe3N4;0zYEVLzrxA&KA<+uNVR1s3dbn`m=(z1i5?*Pp$FbycS1 z$Fwr`bH)C6M?HPE$;f56jX}2^{{VkXHrHwtAdfXbCiXsxn$@!RO-LwbP`Kb zFjPp+@1P$}*r#;Xbw5xu+O0^^2_i01pL<@)rq{9UdjoHt74_R#&mNtcni-5~I)!Gr z2FHRwQTXC1;l{CJtZccAWu$drUHbxTZauG!jW!i5PG067ZAbBx3%e!K8HILP4tZM~ z(o#mE1e!w3v64#!Tkts~+v|zA=)jKRa(F_}{Rytq9Z1*SA#(Z*%AZbT zwT+kMb#jR0%(EEaVwCamaUphF5IEa@*sy#I{{R#%A>sb6kD>ix%BpKL%xod1%_(5> zC81_(fwVX!Lo;68xgzI-o^u}+S_C}~o2}_GMfN&4mo!)>+H0#ku#Hs3hYVK04@(2< z#b2s*c7h#GeETWU)iGqNT#nS$GKP607Tlzc1;xkE<5C_IuFa0&4*tbAtHlW@%3PYe z#$Han4e_l9S2|T!O`7C-s-OAnYb`*I3hE{%dbni0l%t5>f;bL>*W(nOF0#t9E`?<@ z+B+@F>!Qsy3s4bXV+4L=l1Sx)8w>5=dT#k!5B@xSWc2sM)`-dTT_>Q%oT1X$Z<7{Z zJxa69BW+Yf*ln|Fg&^_*1Kjgf=^Xly_-v!odB%=R(AVedNfjc=w(iJ3s2jQv%H6vX zKA5kI222i6oN7ykHR={ry@?iq)*4D)sVsReR`|?oS*G{J%U}9Vphicxx=5Aw48~x9svyN0(I@!vvWU z@(xz}tTx;dej|KVej{dh`s-IJMjXdIJcX_bpM_7S&**U(Vw4zo-^^~$258h>F`AYj zM#{yFZY*pgTa)uA^}a27>glrVlQYc`$!a{Vs&K&DVMtl8_4;C=@MkE8r!^|lsYR<$ z8GA7D*A@p-Ga;As!%$BN_}eb>RJW*-M2w5~`>b*1WxLOp-1NC;{{W}`1}_NfQBE_Q z%!eNgFrYWN`^AmV;ft{|X#@!&s5^q$hTbd2TwhfnIrT~sw1p?mTQN^I8j;DPJdVt-8EYl1q3 zn2{t)Ck=hTi~d~q$7D{T{)a==3-ZeNp&w>uAV>wrYj^$qMj5dTQ8+~Pn}n& z<7Ms(EO1Tvi@N@paEK&Q2KzWtKq|yy8RFu;SJQe!Dp{KTeRPksa4sp-U;hBHBkB$r zjXM*Py-gS4zc_t6(cFV4rj>yHT&_WDlF}0)J^1EN_QC!oDyG)`64bOZN9Vj*Je5i$ z=VL+)n}z*sj4$bP?nWcJ5*aehJD25aFO+F$qK%^m?uA8D_2d!%0E|h}oN7$Irz2AK z%xI;hC5`SSMnn2B!6~GyOHCQa!5*imT??I4!9O&asb-Gjg>(mxUTk|}{G!xtn)L5m zK^w-;HiFL45t`OD%$cVwUj}YiaXG#QE~N-`3A8nIjs?qEWYk_smWB_a;x* z<%<4Y9j9}QzKXh!Fnqp9^7J)uRPScum|50uM(8 zYySDf8>tOD*2Co}=Mk+LEEBCts;aXp{5xy`xxMY%z8{t;wvdQQFE6`}=j;3YaK#$vV{L+Z zl~5c;#1$ZJTOV()t^$THJzSe1^$W)zPv1D`nQ7xNSUA{2IXo{H0^D=@epvAlO>yUK z003-Ffa3i8{lD>oO(Fo)RK@m%D1;!e-W$I+ILn8h>w2w z5sscnjEu|*ITrwWcm4e_$dXVLQxc?b%t0Idd9nTc@J@nK_Xje?PX7SZ-L}M8O}qVn zAI}M7^1%c#Lh^r1k6kM2m9}R24Sw>-r29W0bg-WZ1$x{AY{$*z?El z>S?5j+=8Tvc9GeLVt`r50Y$kUpF9wh5Xi)kNg(i}xxsO(t1T zYMhc;nWD`pwtKwFEPcE2&K6bXu;w{cL^L_Kl{B>Mkj#?Vqa<*{>EGKKpD)yX4XV_! zniPLolSW^S`eMvYgAmaW0R`eaeTsu*9Us{P3CeI5JNm9{#ME!oe`hT=g(MKe$ zB62QE6&3`J{{ZiQo)yv3pt8@uo>k4e;#8S^=`cz^k$%MHm#dn_0xvWyEwpZo^M9cj zl4M%5R@6v!JQNX!%b{AcI96LIkr7y2+wZ!MPrZuDB$PHOn+# z^NP&SlbGrjf;iejNT(4<%e8v|S~J_8I~$x$N!vv{`fWTEY74XJFGTfrMW{3$RjVj8 z_FqB#-z=o5g(<1lKO!k9(V79p?5Il zrP>os>e*&lYqaXi6Cfx0QO_(Ji98>Q2Nv&T`QpdW{yw@ZoJ6xhnN%=^fpvOG0-OB6 zH~I_y9<=osGrX3f+J2zNU!la@XOSko8>0QmY2PiH)70O1yYOxLaDN;ml7?r@T70+y z!R}Rw_<*_X+td4E5tsP7=q_>_?3%`oE$Q%yBq~S$0AvOJR>JBozI1*`7Fr&sS5 zjKVQudG$9OUihVx4oJRG*m#DLe8(hT>WLnq)f8D4OIxZnPG<&Rm*v#bR94njIE^Xc zMcmHp)(QXy`1xVu1Neh$j=Xi{b557)%KVo}>8hC%NTm#q1eIHN54KLXznL!X5v}DR zRj_X{n@E2Xo}=n+wCOCDq#Z2HDNO`~*J=r=<^a?X;b4f6c~DW@ss8|1lz7|D4bOTB zt@Ls}eVoz59VB1-vMi&G&9qzRy29T)X!uv*BPQ}mZn{hAD_rx+A1Zr&(JsjRZuq6h zL5h#78JUfw7LDgWcJ~TLsPz8;H|G*sKjKm1yD1U5)~en}_#NTke64?{<%$%SKphDd zHo5h;p!C41sivxg%&jNHcE+pN`|ZzH4C3CV#@rl8>A#G}Rp;{J&9u&2P-0?tM=1-qi`exVm^dl2%4Q*!a=l-I3F?kPNg=z*7ahaFQi6`PUKYbH<6dXZP*{ZBiJ*!<&B35 zs-l-v2Xb#VR<*Bj{{Wl?2zL@Q?NnjP{{U<=4u=K_?uz7z>hjG~d=@5@@f+6unDp~9 z>9TG;IFKjV^nx} z>E@ftGkB)WpwW35nn3 z!yFlQuU=0{qRlM0?fP&|W1^a+7D(4}+S@_o0qy?SYR;*X`jZglQ;ncplYein5an9N zvXY%+a^-_6gKj;qi48j?dLLe2B6!gAA9W;4$$ ziflK$jNX^^Z_~Ca>e;8Mt1_qz54pGD{{Wm`!HH3&ziu@UxgMTD<+7dIjmT>+%LAs7 zq)SRm3vIX_za#i#(5*+53Rs(5mFzF;f#vf+s;GEt*@o-${y5_o;RIK5u}l_H7G+z3 zd#~5G*9)jf5{X0nCx&8fZEn~=6^xu4Sx=$o=Y^EfNB{(`_7)fIZg5gw!e!AU%Zu)e zv+#Q`vHkjU^25C%?_wH4Hi5UE{{X-9hJ32gC=q}zyprCd*7$g(j09c#h&{kOeGkhO zrka%%C&=YSq}dg=?y+lMpMT%a3dGTtXm7NRSdsm(k!4nlLb0-e$UBD^QA;dA*pwvg z?!$$*@AdD3j73YJ=9ESd?Pdd#8svUn_rP9R>LD^g6Dd~Zn&da<^~aWSt>uJXIp?|j zFhzZ3R{}A-6Uamtwfg`)IXE>PyoiN%S9rwBV zV4Sg1I9W?x#FpFM-kcu(m~qTg&4X-=Z+j;lu!5Z=5z4B&*b;5J*XHB=VvD!SHgs}| z%@j4%p=n9@myXJVpP#NhgPt7Gtl*B>3$3Lb#^j9V-d<-&I&$G*G+jnO4 zz)zJ~5oIg7)+g53J2l9dDSCD`z3fkOhK4mX(xp1c(T>FW{KgKXOkU+@YU8HzrJ&4U zS)z2d!o9fn^u#+q(lF()wqum;XjF3^~#bA+{7ba5%Dr(i+i z-j+Y7{xHarR!1?YVQuVOU$=3|z$DHWXnXPn$=lrb{P8T$bZs7E3r$2C32(^*;XUub z?dkdAADigB@{mg`QLK@c8w6zEfo|3qbW%*6%uiFDxs2`j*Rej9wi$Cw=(ViqHg;=w z9)||k<=TRtF(2}`Q`il+1dpZg)mM{d^Mz)nDoL@r+Qa)=buYq$1Tw`I=@S0S%zUAUo1Ifi$-?WEKVKRx}zyyyluO`Yn$gm(B#3tWp@ z_;s%FO|11TGD9YM)YHaC{-evdAOpb%o^Rjf?S^8*n+%eVEBXgK+C5BfCB|P*Qd`t* zeUt0%sOH++B+88qC2c{LmW9X-5`Xbqi`%JX zjd7@UMnmX z>@lA;ymM9Qndz?4h9R7bUAgD#KKK4@i-$yN4C_YtRLG{ub2Q4@uRau$W-?j6%M_9@ zFYFC~H#gWKALXI9jYH1ktV+mO{s!%OmF zI6kLn=G8I%b=tN(_^X!RMF=s;#lS7 z>Ffy(wmdEP=jJ^)sQgGyk@fm#mYE$AI%W#s?Q0X>-#cH|^2eRceY0O3)tjrrT(Q&i z`bh)Wd9mCu+k3>O;CuQUSem0Ir`0-cvrbf0G%?mJcaWy-rDRtZ7ahu5<=+*DhC0eL z(I;dU^Ij$*{<{Z$-h=0hC9^tM$&v{fcUzW1NAK(Hk1?6QF}3b`oN$gzNk3rSHtp$l+v&oxQf64a!|C|`cr{F-sVWW69ofHMrW~in z)>o7ic|+6{Fw3~^5!v}S0^D}D`NSiwS{qU8EqA6DEz9JWFIp(!mZ1jar*ly&NZel5 zMnma-Ire>Ox!^KQJfHw~Sx0`r@#}{wO#X3CwkZT)m0MhkT=uuWd>m!9@K(tL$wel9 z)cb{j3&68nk6&K=6NkM&s8W`u3e~8(B*2hc;#)QDIUe`<+ZFWn;gAsQwvldCx%ig; zz4<=)TLxz*mQc%adv~|geS2bBbs%h6S7)bU z2>$@d#;fV}V(A8EWrbgUpRWyeB!yabVow~4DdP}G^L3J`s-zLDl18Y`ApxYdf`)G9 z-{Te!K&7V6wNKb9A#$=()P>xHHs7S(TPPP zh}fOcgDoe*d;b931}ZG4QRft@*-aK}GDhI5MkOE%@J+ot*pFM{;qfCuG`(l)>Q5t2 zC-Tg?c6J{TFD6*$=nBc}?}|1dBr_X%%HXw#3P}0B$9}e%=27A8v1m zR+`h4`Q}HNW-(_pP*u>hEgcP2NJ%PDi3G+Q?^u<%9fv0xU%{vF^wxeWIwh?-nUmMG zD(MudmUu+KGl;1S#8?A+n|pD8egiDwiaVtE9Jwj8Ssan9NhE9wSjZe-j^uN1%ijky z2{~9|knMEezq$6gz9DrQLs(@xj-yG{($5WTG%YLB8;9HEiSBLc6Ptb;)babQDy*_MPMvc8`;!$?|d`qe8W)Z z8oHYxq=lZNFse#=cuL=NjzXyQz1WY>k7LSejvgxlGWy9{d@jcBvL%lkrS6u z@P@GbY(220Bv+*KV?{`|pD~z#!;{ZEdVZK5Lr(^Kn^NSNVP`cJ2^m$n?!Xh@k?Vo9 z_#xk+XXqhLCv88YdY>jwBkhuGwz3-!Ghp1yaCmLE1b5{9G#*;7mg(qft7S7u1u$t` z0!x(~w`-oQp^07%z`e&D;M8MW5T!*Cs59P}YL2Sq*|uA$TA03} zpq95UmYAa%V{1l5*MQBnY(07JhW@(IQP=o~%?ygWS4fwQ$@{WfXdwEVG5UAn*f&q; zIxOE?P|`uvth%nMe8Q4k=+25Anx0U?IGFbqRRj)69-kHx_=*VKZLg=VqyZBzi4ZdG z^Atz8eitY59^(kh7hD%KxV-$TH$k2p>uKvXrB{<5+|%`t%FMP5!jXr3BhBIaGkKwf zz#*MGi||1C5rX_aK2f7J4SuAosS#z%mer%WsXI%?(I6lT3$X(B{W-(NX_Gjvk>!fY z95E!_LX-Z-7aou&Zz#d*A#0G1qwGoW_Viy@)3F z_P61Oxpa1SB?9IY6f;VXxI-dEa6mgxZuU1AK~bkOq@T&2hE_Zf+YiJ0{{TNsOkpES z9Pr9Ep8`0m%y7xOcf!}=-%LD3o7854hK^bGr4eZ(gS=(7l?`k63QvFgo8JvGWci#$ zl+ZX`)?y>e;mS%bD5fG(lssz+2LSpZZChWt@OtJ8Am1vrR;e9RAU_SZ`G3>9n?Bp`#+=8 zTJxuml+T?jHeKgKb1)0I-0cNO0{oA}9x+h(kLvzm)84AqXuPvb(7hEhz+qz~n~vy_ zi6k%|>O5N$9+zhMCV$oZnoUct>nrQBkn!a7GSV_xrAsq5;d|^;>vQzD#YN+uDPr*f znHg1-$wx}a3zDMHAh^BwKj7gr$6Xa=99dV*75f$rmFf)no}Qu37zl+;6mpoOb7f*X z3;JICoL60a>Mmzrp3rH9sl6~?K2%Z*GyF=o`gb2Q@)++=vpLsDPzRVgr8?PMatJ>^ z#}V$QP|shO)91cOF~|KAZc6iTPw&$nYfq-d4-#@}-Lu!{%PDwDQ1)N38g(j#qM z*p;yt9P&R58AlaJnmH+V4X1U9BHp*S_5T1kCMP0RfrAS;TLiIT`CxEKG*wMb@i-f- z1Z&R{=@rMBDPngWqU=fTaK|eZ4#CarNFSyiv#gR+ zJ53lL8QcRkzq!LS&`TW6>VLoGhsH5LHs~f0tseXH$-Vo3#yX4)p`(l8-SLk@_+ZGP zSN^PPz!vASoCP9u1k4y%n|%HM0Dmkoq`fGX* zgD#`Yr4==k$|FdYN@=3IGjKlryZ0mMkFl8ti0+1GG{y4XrQ~v(SvF>noulVwFOSWRjZA>rda`51Dk`|{{X*FY-s-g1DzY8>b7S#x$8erQ!LZeD>KAv>P&xXk|@lq zp#9=3p+cv`&dQ^`#t(?;ukG^*(Khp zFMe&n#B0QVSZCIAx|K&IQdxxZn8`px1OsJ%eWX3n)Hr>v=Y zr+HfPpDak%y9Mp<&wrjX7LBEM$>=cZDqk?=tTU-AGb*n#q>}O*?Ki(B_CDNxSdGa% zh?}5>>PP@FxgU-j=`*&if;oeiQ*Pg0-<|NfDa$B3myuvutbU&?Ud0WnsK4eZbSkHn z%tAnH3AneX$ok+rCB`DBxu$?KEoN?wA`x!`QP{30WKAZkl{{U0=$x`xI03g$Jc}D#uP(QA&CVwIV2Ch-G}eK z2!=ub0Ka>vumE$U3NGE@>7Poo9BfcpMi zdgHY1O_fdNEAMa*B#Zu@nCv-?Ot9>vqbbkCd)$40=K+$Mii$;T>m@@S${Vt`Kdw2+ zBrU}5$#V<4qX0=ILXv(!`(PQWIt}-+V0Vq*cLz~C(1Ii&Gm>0_Jv}kp#K$ZJlEi>+ z!;pBz4EY<9OVEBaM(fHJXwe&RQGLhr!YWFbWvMjts)l4BmOPR8dSPvGW>Ri|JX1(wyLL^mttq7ZFQ38@-}u66=ytF% zE$lcJ?moEl_;-4lfud1WPEsQvokc5O*35iL3b;`b)p z@oV9^B`%v<-e3mz2lBQX4vrJms~}k+Vs1G?c(Luy8;x8An5C9QHY@4%AHQ5W&aufK zmlKdj2EybI?}l9OFEM{sDGN!r?u;1?q$jQN-N&8}BI9V|z8G_SzBxwMa305NU%$%^ zlV$;sdE;x}?x?vRJS3grb7p<2dA+d6HZLTe)>r*cg%eD9BpBREq#yM6w|`Df4bhr5 z3TSd#Z5^s1t9t(cv(;z$Op&8BU({t1rT7Ie&HXv`!k)3lTS~lW`xT@>9{ha)C&Chg@az9c7!v z6?m*GnaqZ`Gwdw2M4>`5ZSoDrzH1FkY2n%8w|JprJzY>z34rmLSQ%t>Jw zwZ_uN-+&0?(%jxFgszE31g zMr08f`5zjvHu;QwJ3{05SbdS_buoT-Ltd_O`ff>@>PFGCZ>KgV^2OiM4NstQ4+}J@ z)EvEQGTHM9(qwo%z+B2~EG~E>gXnGb6zpk~XTC)gwJ9u9RH{@eOUj`?746S%F?M8G z=9K8iN++bKr+WHoeAa4wzL&@j(v~+x0H3{LZ|%>wK6}RN@hSVF{*$4DO6u;BoJbe9eS9p?-`IlDe#U(qq`z%{n zChz;M5ANKLY==~L=KLKxp{Xs{e{@;c!VO1ohB1?q?62x2a_xJsbd5uIP433Xoq~{c0&~^J_ zxG|#?R{Qt&_WuAFQ%18iCvtovf>dyRe~`mDs*)ySe_k%U8{7B!;Z|2(?4|~FUFQ3k zb{{NMYKO^jL%gOqqDAvJNNib1?|*;S99bQ9)chn7*?xb&%LwbU38PeKL6EJk#2((m z0sdhmV|-)!WN@T&^1&e!R3AN4yF)nu`C{xZ!5-ve&`$ByGb)C3AhGo4u;=jPVI4hc zB~gk-B(OK$M*y3GexCROW)B2K5v{%^B1Rt(0RI4dF*2;xG0n3%=8(X$?uZh=pHh83 zw)DcBwyl<8(x5Ek;6i!CeXE{$#7c}jvIsA3<$E8Od>t)Y&LC(U-9F$;U55aV&|!$L zCrFZI*^6JFjk)5+H}vd2x3(XJsYs)h+CE-&>bfevxAj&? z>RwpiN##>-bhBT|%7{`ufEG4A(-f-(l3>jp*nC(0e=aSHi>)~oR$10gqtMl~QYvT+ zsn)6jY{4k9vb>Sc9G{rCdt#Xq#85dm+WcMZ$NBGn!|}(X%Ihc4z{;vjGCRmT#9M$! zTmJyR=07|`x}7ADJV`w{AfWicATi`x{NljUvE=h=T(oD@(#t?=Vp_;)2rlt%0o6~V zZ6@D4U>$Jjj$4yyTAf=*s3Vb}sX-kGjrWvtc=*8N06FJ@?~X}6P@ASw6jamIEB%s{ z+{V``g8O;?-Mu|A_D}dY(MPAhhyMUxo@xo9Et1w$5b9Jb4LA#t>uunX^dxY3`G*FS z%qXiVbS{Sm3WJc-=NXn`app3~PLpk0bFoB=z~rA!FORGK0dqLd@a^k%snf_(Md_wu zlF4A#tAkI<8QA+VE61o(ewmv2?op4sE$R8>_@O;){{U$ft63?TZE2m(``W{vRdv;OJ&vhX`ohPXm@3lQ}O=**STU(a(V0qDL)PQ#aZiqo75G; z0<=1YhG{&=g}A9kJX_gMA3R^b#)D4e3;asDqo{L?)m37jDM{&7_R8{qC7{@Yf(R>O zTKsc}r-9uW(|q!iS!#W2O4(t_a#yO!lsIOdtW(syHV)hzSg_)yOTla-a!Gw`=_dK5tQ1$*J>1f=sd~ z_NtQ5$G32}9E1I5mKgCokvquJfTEDR8zIu=dlFy&ub4$ z;eQ5wAnDIpy7Nb%UpuPHXy;S*s@mmI7=%e0$o9V$RxAOzu=KUZX>vk3B-`l|lZ=#Y zPvWDXbZ(sf5MCd(ep90;pv-fOw?@HPTNGOuvuT-UEJ(KFc((J{7Wc=@G^)#M%{E<6 zK`e8K!o1#f!&qI32e)I7uHVL+Mb%J$3NDFgoRu1=Wx9t*<+P><@8+a>TQN|;D7A=D z*jRJzkC|Ny=y=y1Y{)uqN2)0$%<~%YOppM^F(VD;f?{{T~_ zw#to(p7ckil%K&04zo|CBRT2IrH!iNi369DQ+W`xF$A58%j?J%;1O@16tm<@maKB6 zLRJ~dl_K%5zXTsn{{W}w_7BDVw2M;8jcgkXLgUyPm zNO60gv&e1#0OH#pP5%Ig?}i;+(0v`R^ft4~4LxRMru4>b40gWgXMIA7-n?2i;{O2Y z_9GuNycxwT-XOHhb4E5z6+pX@b^id-%EzC318>K+6{g84X36(JNykDS{Gh{{Y2)*kS4?OZu^${{S|dA?h!Y1ynMmRE8n&!pguP+P4k=0K`51Sd;W` zD9vbk4X5(i5<^u6oPWab?r%bP97nbOKOmb|8q?s>Eqsy~gr!}UL zwG2B!RgPhp2wanbk$B_*Gfp}Vumv=mwL$?v5-@(M2sv2?m_|k0|{~o zPG6&HH71p+)D>F8E_zz3)|J{+sH>W(m8rJyO_bR4&G`9Cd|u~y7KH0&ozJxeB+p4U zmaC+xYI_$eJarKQfY=hHgA?@n7?QLUGfxzhqUobJ>Fs}2E>B&RE@Wxx>nd5QDg3qD zB3uxs-?i`m0E{?gy+zl$qcx|?GaXemV47H|VGMwF0wi02FK>i%e@<{YGVX%Z(*|8h znnGKEvd~??`IR<5neFe!Bpn=}=-x%w4HcSF^%@j{JyS&WbJtS5QBy)DMrkBw_&`t% z#rYfqit@u53wfs|+w}pK^{cGfHaE-iO<|eRQ$d)uA|cr5B`(dd1_T3g%x&&)u0Isr zZGq%6=dn$C(@i4JmQ%PaTX0?52?UXA3_3@uI(42wmgSP_%(^N2djgENjhdn8$v@WA+LYdx8Q!Z!X9A(t8OIo4jr3#8mLu%IoywODvK^ z@Ycg1_;!~Jq#s5O4exPb!RG|)ZktzXE~9EHyo)xYl9_b{WKl~ULYw~pSlEl60lmez zx60TigP}U&rlzJEYET3+Doy=CU|BSR41?Uz}h50Q0(iEz(_4P`O%8Q#ERdAVg+oQB5DhtN#FTwY_~Y zo_fcWW!WCNs>yO<7C0$s!ScWseXE5u^AGI!JPg^d^ zlkIq!(;tE&;X@o-5!u(nDHs(NLrc*u>-l)b($ zn8vgfyD?j6aeY;j85SCvDG^BFPiy=C0DsQ`n=#Wfw0>PXF5+)?xwWnMbB5YHimNuL zF~Xptj_2_w`(eU;A@+DTEnsKvMY;SvG0sVFHZYvm0BPS$I~dj7LmnE$@$dTMvO}lM z!c~KA8_Wc4`eCZBIH4jcV#JOKBF5gg!e~Oqh|c>>?a!_bEL5#06bF7iMEYB9z~9OnH<&h^%>23<~1!NR7`CnJ8mz{?{nOr@r9YU zPxF8JewQ_!YMPD|**OWhQLe1zIIgq(HVp8Zx7S{{X}F{{VbQ;F4FlRZw<=&%bM86{quBM9%oG_@&Bwf3qWFTwfo>)Z3g-7Z-yQI`8Z1PikPa7Sw& zr}+E^(jmxQmeR;Vup149#jXYYet2@GO^0J3__-waxxz|N?P(=JyJy6t@%!Tkw5>kZ z+(=&Af5;y^1C@~7i&HL*UNvfh2p8HcZSQO>rpqW{t2Gr5<^_-aSMPiwjpSenfRYbz zd-uTOGF3@rP|j{PuP56Ak?KbXt@6X|fIh4Gck|3ts`=9ya6*6bFwGl{+)YFkO zB$9k(e*^D?5{ao|Rb)|qMVo>!_gEM?yh_)-hs^Vanv{*%0GEE&_55#aBJZHDXxmcp zNZ_5?4l^t>{{T`q7Tw%-9D5GfM&(Sq z47VY89{6l)qlW2Q%pPI_7yUpU*l5i%%i5-vABoSzZEODk&umENg(8TwK~B<1MLgjY zv6*8j8jwJ_-Tm-)CDFuo86#Odmtnb7U%%!3@I_dPLun)%ZSaHYen$&4idKSWsHasW zlzEms-`o3PrRNnX995k! zCO)=gjJ-eQ{y6#L(fD*9m$dPIDDT8y*&0hnbf;1E$HOkR(wZAlQ?6&0 zbxvyb%1uB+SqeiU6icu-1fvBYgRt{)gYvbRJOLS>UMJ;m-}?p66cjm5>oHpSWe&otIx=Nam`}gUDK`nn_kps z)c$&0(&m#)7D0deT-m#?;BikkhUQv_wrZS|s=4&PU#GF5k6M-uyI)m)gTGc&E=?b! zoD&?fa z;}!3iBZQyUw*-qd?l`_O#!pg4V;#9wkbEjY2j%PSi5FKA z7`l_11YpSwk*BDz5hCKIyEDqwlZkC;&>0?&)S13xrl~7+l?G*B9%}Yz<}bbHa1zJS zM&o~B#f}?#h2jTG=v`Z1pt@O?QK#6d3|XF|s-{V5E2l!i_TJ$l3|Ilr7e1uov+&if za!n)FjWwIi0`3`gg6fT|He4jZqD3p=OdY zqGa85l|{J@2w;AA@!F>>y6-M+?MXDf8@_*TKXcJ(T8@`=e>MKd)qV~uXM?4yG!}5v zKLp&5iu|9}!~QXGQ&)Mi0-p>>YguF`8{{Ye5U)GteZ3S7%Gu=5A z4Fzm;-_kRRIy=R<61#wmaoh$T_@HVi+LmuLC<*IhZOiU$_+w{e{ZYs>e-ydLg08IP zrn^RKy1Hsv;B{X!D$Hf3iU=#|%PH9+x}iRV4sW#IDC?~cn`kXtpJ)w6vHibJ=T*5@ zVd9_p1<&M`LSN`bwKk|&7Rxd6pYn1;0lNc#yT6;-G z?2@Taj;>XM2D-2yf~NNM9$t2%$0=v?j1LRC=Hr4+5niQ}mAOOtQBK`U`< ziw}GIhxl8Rhcet(rA1kryd(xTsNb6tgA!jA!fM^6hc$H2(lg zb_T( z*Je!*lPD%+e4@H8V+4>%c+Y-0?{aaAI)@A|l|>8@GYYpJSYh%uBj>@ym!|Z(pQL(+ zEzMslhCIO{5+ri2>oeU#upEZ9?xbIlhi6`9CcS7l%20NP@8Xx#T)(S7#1mfiQzQa> zuQANB?;>CbRuE;hkT5?mVP!tdrr4F_+8ZN_N9G!bryAhTMVRT+SyL$hDUlGCfNs9h z8c7Adex31B^qHB(^hN%(o=lgF_9@YSWX_NU6UWO>A2%qeLr zYfNMR08r}$r(ruAY1&T2V`Jz)40NzB!^d;!AiT**wr>9b{$)<0=w6}gu9gi4s_ARm zlAk~OU)W^~V~y%4gki0I3601dxH!(99r{6C7G0+`mW0k|=bJO9^5UmA0x!C*q~C@m z8`rlyoI^VMt*W}dr&4MfFSE@@ml&KqL6+_r*uBr)ExX+P+xp@q(ro)*Y3)BXR-V>* zj#*9^d63h%u_SE_0UrrGi;nzZal+%Fj3wXsWIV2NwtXW0EZWAn{{RN=iba>_$hn;^ zr_%IUic(Kvt~B0eu7_#t3ijh4KYTteQ=%iu^`tp&`pR0Lwf(TnRI7Pzs4hVZW?;mB zs0&G6!4w)xPGfLdeYBD+FsE}1mUKw2}plYi5wzonw%K z=Kb2>x8OdQyt9uLT8MZk&OA8gI<9zs>O968*|NHNeXtc36w`}a?%AnhHt)^tdz?^R zI@PU_Qq<%*=0N$a16(aK)fk=NZ}|}^#!^Sv{{Z2Q z)lARCer?okN14~uR7aO&x@Rh-6;Y+qD5TEiRU0k{A8NO$7stqd4^n2?4_dOh@{GXJ zNl2B1Ni8sTWsZ`4%e4OhsKy)*VsWg0hG&et^P<|bRpr`tEUPDvI!v;kCd#X&J7u0J zDwqJosgg$fNe8y?fC2AIX?}#~x_ha)etVSGP}hB{HK(Siqo%A2ED4xJCzZGn@a~AU zk3SWMsKY!z9P!RguV6J9qSR9KCHjNJA62xLilNr}kL-}qX0yp142?k{X%;0$a#3H8 zhDAKvxWuA8Nf6H?BzFsN*DGOKZWU2c&8(vuazddBO z%iGKNukt*C2*o4gL#VYqL)BV-zC5kz;Qmg_6su4jW&XU1#9Oz-q!HfZoAHUJtQz;=x-C!hDCf2yO(i;Lzl`dlqJvAIs(#%V=V6$$&KHsNrPsa!< zAxNc3p^=&P-Oc$^&$b*hEa7SDS~;@V?;j3@$ST6%@J0!emY*`BeA_Sno1%^I-;wmU zf3M306!!9Rwz&xrEO~{RK_dbSl|KmmIrPAdLemsiK+;JeW`9zq!|Uz&VOCd51Le|B zC}o*d*_FQY5!fG>Y$B!0tMf|1m`ngj$Yv*jYxWlO!K-9F-hs1bIjnV3H9Gt;o46`% zZruF2{o4n{s&gb?Fv++x1}nbI2;_SA=;E2TNQ=+Gd-neT2MRL@ z@`(J|sV=r%u_01!+b}8GsA}4OwmNQ-QVTO4OB?b@{{VmOj!UT2#6!~# zNFWjGe|#a9zNa;fVnkiQ?MT&6Aa*=p8H{xi#->ztjM$agz+?Dvg6M|`_d}Hw($$2o zo(2)h?Xlcjb-1wYfB3|ssqn{`X?-6(BFf-tz?0k#87d`GpbPqfe;>yX%#rq%j-odE zq{6I7us)*_`VOdSOs^@W$>WZac<7-q(|Odv*;jKm(0khK++Wx0fP1$Jl=iYOM_rxe zR6!}BW{9vQLXx}tf5s9^sDx~++Co$fw(F1u61 z_ID6Q*K{Lfo`<>Z_~J=D2S*^8X)3C-I(b$h=80*Pf_<*1k6+8z(EPWix+hw_M4D$% z%wnZo(=*V$qXzp&3P(TS`y4OIrXS)ZwydvW@}`AlQWdO2g&p|9?3YecX49DS3iPFn zsAP9rl72v9dri^ZJp`tXU1vzLD=3j<4ySV-1dLem`kHF-0M5dbL_ooPDwNy;;2S463A3o8}dNo`}$Ne zku6g*8x~+}Pj6qxgM{P9C^p=i89ByvNg*WV-k?h&?m*ygZq~K0d}vOH_?M5O()oHj zN#(OvNtHDx^J?hKlA|$=m7^=PrOb9e_ba&d1W_^pQAhT4i*36Af5r>T>Z)nw5gD!6 zgKh`U43u{+PFXgeP&DsOI(g#*DvSB_nRZ)TD5I0@bX6hbW^cN-A8|+y{>I?<lRm+x?GVQU`e>xCOqnnUHoZPwhuM?7}@ z{{V~`8p=RW6$l@I!i=XX%`>`)a{4M4o_SwnFvPo<--Fxb-1=Z;H54{2h{=+66mTqz z>}-h|Ugw_HX((CGS4wPsL;%pFD0wfvK7 zl)bq(;F0v_z7L!~F-$oE=H}#aig`0`jUlS6j@OCcnC(K>7bmdw!gi~gdSr-6wSlwk zHvE6%4poaABQm&9I3>9HVm(}ykv`&qQ||x`xc&R$w=Iy}@(N&C*;KRf>u=BV!!>m? zM(rVu#m{?pKk{(%1cp(*LV=GAa2&!m@|8Ak7Wi0upKr?tjZzaXNQGTA>WdtpkA~-- z3BUeu*O(;8Sw+FXiK6q)(A%;VB7TUKY`hoJp<+eN_O}iPQ zmbRHZuOL4+yC=Wnk6}SE-{F7Bz{juzTQpqKS3=j`#S{+rI4Z8C+VVRy0yrdkVcw>V z9`dAI4nY3^A50^yrdb!@L4NyGTbz05bb2WyHNIrALStCk#jL{I{cusQjU)qgl#WRl zHll>Ao3|q|?4#-P!4vFQmLnOx$EP6S*5U{mt48mJTek1KO~Ao&S0F-Um$<&=9GoYp zrm!swa(~iKd^c33L~2nBZenad+xO{<5Wd;K^BD>+19ip9{YE1-EMuv3jNKW&8y?to zs7i>&z$|$eJm2!e7GXtNXAIvDdjNUAH$OZvS7K$++NXC@7;rX=o_PNNZ%iZ1s$O4- z!r4~+?PKqO&eB5}GRt`u+k+eN`xt4OwwxpFeW0Ejf({=FvbITltc3M7WRFizP^=Y} zRRJHHf^B}@pFi=6-=$DTCtI}QOLQO3>6$h43};QE*X?^^$?BD8lOvOY#zr3()0|aW z3pJtB-CfDG9(7W%(o$yB^He)2V2CAKwTG}5wmk-dQjA&Sd`_2$U(tV3?9*TM7G0(~ zgIm(O$EXIpYHY^3kw@BK%Gl0E%X~_vQ~{g|j@PqccB;&?ZBgOJQ&9CoFg0Jw=+>ty z%xbLf1yPY>NW+j=hb#eJ{=SD147^8>brUn{2Tc4$xS8`6W^&2UUEn(IiowDj}vPBR70D3{Z-poSAidyEun&+M{xp;njy2X_> zR-O8;xc>l*w+&`E>2}vNLpqO?&7J5SJ61NY*|h7(!HukkOJ&)1#{45(>3wT6dVb#!c^WZ>YCxVx`SGDX(I0F==R}-Okvv z2%6^C^gZ#rj#Gu#K^nBXN=pAf32}^X8J=(>uldRo~E$U=5JR{ z(9bMv@p(o7O7Jd8xIFxF6uy-}mJesXfU z`Ks~|7b>hVRRHnS7$J3$6p*aSED0m~@iT3JxG6nzr&~s6iIT2m6-t_Qm1d<^Q_buw zY)5PTKO9^XU0R?yMnTiQtLQb=F-w-g{F<#>%B`hYO_mWMAJjlV8&BqJF$eJjRI>FD zsJtPPg?rRVACjLk-y7NQkD zPOFFfi`X;E7cpj#>33W7CQqMML!`2qBci6Kh6w2Dr!k1-*qhCWAo2~*slBmeRdwGi zr9M?gn5%uvtfmRkfflgtN4_%GhD_+@)tTg#Or@AXbSrUT=8vG@ewe$dY9fM_YARz{ z0+j%8c6wUC_P4$(c{pOJu13RGsE<-6xrd02ACqO#)@OMpZwkE29CnH)8;@XqKIY%w z3-aF(y*kgSBCnvN&Ag5KRi1%K4Sq@5=YfhVud~%w>Aa?gERHCQnY?SYc-{RuJ$*Uj zk@}o-p!ub3K7n|1P$v=QQ?BMc&F^D>kpl^Ocs^P!Ep~5nM&;d7={;N$Nn4&)M9F(@ z%V#(DBFJz~Pu9+qXLGa}cCU#VF}cLBxGFDT#BJakaB)-3UbR^re6dmxVWW}AzZMEl zKaj*Pqx97$Q8U+2Gc`^`l6)W)W9DrmlYR>R#wtx>Q&B5R#Ikw2TQvi9L#Fen6q?s9 z5)OREt5i{MeaHR1Fm*Pg>FspU*U;;XmZA2a{k+;H=KZW26dzU~smr=?UtLd>uni6EutWT|yJ}1TY}_-}C7CGIa-JFA;KkY}FZU zY`LjgyslVfRZGP2N`#-7vA<$)eKu8_Lrk+8S*#A&$$^g~jt%?%7|-cNrj?qaWJd|j zj9}jWw)MqT(al(OCax3`)y*0s7ixb1%sL1+%s^Zt$mR6&r)6hwqW_41=N0UuVR(ES!>^!w5z!Gi1 zzA>$A9YLXZtFq3a=Het^%@Su5pjEZI2DSeH*kV1_4zTruBGLJ&%k}1F)E~;`hNw-P zKIswBk)t7xAgQtU2HyB6;+26dL|JWVew}G9w)pGJyi~)Q{g0-aQ#ylD<~p9b2c@Q3 zDqxbR_|!J$*s7^*okdLFdN}Ip<)~ElC00dJ zeMmT8koAM8opI6>T^g#@(@^QHKc{MEOrDbQ)7CW{QqHnUss8}D`x9s_eV`4a(84RL z(8M8+;}MOjOK=UXabxUoSTxyVsFrMxwMl(D=jz#0KBZ;Gv96atsFqh16Bo6H%iQn} z&ySbB6wM6Z6Bpm`f;J_#sPyLvl~zSrM1etDi<=YbKYh5vg*0+V7h?;!`>Nj~e&4=v zsf`)ZYWOTS(>Ye9)p{dTW_g0cl?@N}NotwZ169)r3qVT{5z2vJZGG!*++Er;r9Cq1 zKTYW}x@~8t=yQr()+6?`u0bqx@*rF7-W9eG&3+r;usq{4WICE$l7@XfpJb8d`8Htc z)mdFjl?Fv01d+G|$0FX>1MZRtAmUG#>W-3AM-(yij-gQv!X|cGeTX)@N5DMu?b`u3 z+q4`ekqfHI>-4o=k=L4=A+0$~8TCAqRDGS=H3-16?(9e$TK6{N8aFEFE|93nGb#FU z)XcWE^6cenqt8{5VQA#?Z7fXTPr)L}+q)HAz>(gg&APpu=J|~A>YTnBtdgn`O*TUS zF~J==-(ti60N~kfNIa5$_5BaXD7-&qbni(+sx^%~6;^2}swtu=x4oHBzrp(BrGZKb zrZO|+g7w}Ex@xUOn`s&+T#{WwRM|!2ZNFq{hw?5DAZ#mOdE?f^<3_{b{{Tq!HR8)O z#;k)lhc?LR>N2_&n`|_+z|5N#!pcb6Z}{-LM4v5uspca8 z)|xvdiD$XK)ll6~IRAg~ZwRucAo?xj_PR(Wo zqiY!45D8LjPd4WfJQ*5IK7o#ISq$+J(t6I7Nd}&)r>KguZ94Pj6t!0KX=YlwxC~?g z!xX1UZDIcayK4+B>8_p7IcJ7y2H1o%%UN~DIU1us)C{XNEiG7Q@|xG3+*~b?S~&UaJq5!zPV^47(y_1oEu~`C+A^;5 zWim{qrKR3((XdoLTL$~cLFAGTCl&QLGiB4I4%52#`VYgK9B1<`2)A8&VN;N4?3+;4 z)(WASC0~}y9>X7%AwYx>2~vhK7;)TNj3)5;km$WPpifct-a%8FirmgvA);E3CO<6| zH%RUQdzK|Y7Pi})-EnDaEQVcQJL{gg(o2!#bX3ciWtl8A&a%DT-)v~+?{78Tq&|cT z*aZ+wn@ncfLoAy}4L_J_Jt3LT{KF~Da){WnU3QRcETnDtqj01${JD&nb&=Af{{S}^ zUco~)o;sInKZ-k4r7~C)kGYC z!6lX42DcZnvH9ZWf#zCNviJW0D6goNKQ;M%6Yie)qdEslR%A4KYG#sJy1D4T^+8p9 z@fzAfS8*xj+!Z5s>oDwa>8SinX&M@!a*Z8ZQA=Akb6sCgS2~;2*Hffr6Kh|~1Yfv6 zA037%n5ZPGWpQOZgG-iwVh4PgjqzB zR8;1*wDWDuJj$aeicO@u6+vK1?IfNr!JsCgnx>*8-x*T^letI>-;#Yz`2PTGH0Bg< z1oK7btBu8XZts5o0Kc9IH|iWExaPUeDKo8Yoa!v9ouj7AGir*6B6JEwa!Tx~RB$W^ z;C8_oE9Mk(n#eb8h+aU)f;sqk@5RsIf@Gt5alDduH{CtJ{oe-DO)VU66a=pO2Mz7t z{jlWt4!PvH^`$>U`e{=|rn5|&J(i}YB%rORnw`~Scb=UlU<8M7v6F8dw(xN@@cGdG zjChuxFs9Nu#%-21N|eRv^9W)BMvA5easu?XugN;TfTtJB)cN@@D6&VpSJ zk*A+aXF(#+Law$Pf~|0Uil3G)@8Ofvy+@(*jbA>n$t9$DDd<{;N~(5UjK67CDo@Hw z9)AoqD`eDI4M+Sk5~{7_qSr`-k+w;ovo9R|-VZ&v!v<&kGjn=HVHUNca9YHeUvS|1 zf$8!ehBk&{RYzA!DXKiOSwl+kzbrxT`}e~>Yf}KIR+-qeWtmkZ+795r+G(6KYIM}Kk5mg7=+Q{CumBtHjia~!02dgndectnlq6%N zQe;IcM3U9Y(pptu0>@@sdK2H9U$!s*02X?KSLGfcvdOfDoS!w7v~nSWDO_w{waQD4 zq!G=R;<)D4#7o9jO-V_jA+4#JS~-qs95nfR&dzG#SXqrnB<%>yW4An$$s~*mYL00% zXNnh+dBtqtAu$NT_P=W$-!G>)m*~EmW?Ht2vpb@whAJu|qG{@!BD1kJKu@i%e+)u$ zh~T?aoyq|q0nf#8e@|nJOQtZq+A}w&&2m<%%Au^Ml}u9wCau+@MFPit!6bqI0ATmA zh$yLY${AP8MfI+YeLYN&S5!khlcNOkCK6h}*^P~W{`UN({Wqw{wC78*fBL?XOweW% zO*JJlQMA8fK_f@D`-O{@FJXQPp8N#eYS0;OkjbfEEX!tqd}&(7M0FC6{*)O_g9Ez% z0Ed2X^U&9(8fPt@9L4_0Oe?0&4D|t0nUms)R{RAaZ|+(D05&KlOdE+xYnDo#VVFrt zP9vu^ZT5J|@~OD(1bT69F>>_k4F0R3)ER!A)O7JxoXS~AsZq8F2>YClNqe=&p|^ZM zy3vtS)Ac7be4-Nc#ziS4e-e_&7$~>3z_+EX-xfEA45Kp2wCm=%wy2s4S!t(+nub`N z;)%%v%qzCd*zxai?T0K zfLH2Gu-Bb*&Uh83R zdu8&Q5-rI9i<^b-e%-MrpRGDOJJe5{=Q$MdL6zoBSCP>qYON>|DM+1xHswJlkzjk1 zj)x;YVz14p*Cx$np;-ewQW3iReDNfx=^mjOH7;#iN|DQ33{t~P&1nib_!y|U7X%)C z{{Rd|^IXPk`#h-4W-@Gf~!Ij|msoLqSz%IT$-sE$;jbUag2sTm$?fBca8*?oCQprYg zOu5}7Lef;EOY8t7k?F;++tb$R1b018}w{)OO(DT2vCUw5gz;G+NnFf;k~c z9nb8HT>TfXrmD#4)r%=nVa50a0sG^*#51c|trl;q4pl`2DMOa0*(hPB1S+aCd`Gp} ziDo9<+vUv5!<8~rG_;0OWFbKxUzckS*8c!3Rn3CrbbgB(r!u77 znC9?qh@MOo=kB2P-EGI#*7n6i(C(U4^-o_?_JS3uBrv-Cy?wD?X7DOh02Gc7 zC$YtitAfu~Pnoz9eSWsY8#SkuXY$wvxFYukz~8qxt+}z}YO>PW7~?x{>*!Cu8|rD= zSXf9sZU|47AL=R5M#m?E*$;dntfv9NG1+Z!zUQ}p&kc=sExRLC5KdgWrIdWQ1M&G_ zBDNWnLpl&Y+qd__#Z?(;2-~#@;X&l#lQ5*667Ws5T-+7mc;k`y;$8Xx-Gxg?g<7I1 z3yYF>9^86ima?53HuVKz4-jU-vtH7BIVf_ zYI0bcI^5hSLT&kD*il;<^R~$(tF%~clGh)eJ%%V)A2FmVYr_DtDyYBuP6?S3Z;F~# zBh^EHf71f1qFT~U;JonQSTP^x2r1yMrcXMXYjb_4vkZCcZ-dc3&reO}&uI@~$p^Kt zO-&#KZC^6#c|Mpq>Xj-7nF&p%_hI+2bwLuvy%;IY*7=n)QgJ(7q%NJ z=p<#|5f)o=_aTNJDr4Wd*>`+hm)8j=g{C4P89yJ_^TXoWKsGVdQ&b!7w$gaG!&PlD z^1x}o(g5SWBz0k+m|&tI2`oozemFELPt=F!lrohs9^x(q$7An? zY3Uo87^(z33yUK$}^Ex-J zf|{Ut(FlVSxL_DubF`4wV}}Kg_dd6&e)um1YeK|;0tmwP?=+%CQbJNxnM1Cd|p~2wywWKQm?e!Z8oxt^M=QPPRd_hOQ|SzJn&|DTzNFcFeRm;oy1@f_l4QH zKQr>S5Yg7uNQdneaxxi9NajnHCif)wxxd$(OnUo8l2&ypN_{IyzEz`%b1_LsV~ExO zeA0_y{{X^lJ#UD9UjY&MQbt%h{{Y?kkJB9~D>){GQT%fV*~i47UB&J{-xF@OP&^Q6 zS=!6y==xIIZ^`p!2aEi_cf=Y9VFVJ9I^*Rkv^-7<}an?--pzN^%9(=e}h$&E_XLmDc1yLOZ(k;nf45&e^k z8i&Q^SkcExRitV>#<6mamcMQ;f7=jz)2F>MYQWUpU!@9LhN#QtPlSFKJ^O)zr0JfK ztNxuoQ?iYr09oge;|_Sf&{pG~{+7jQ;f$TKceG8k&r&lUwDk%cwr6;9yuBJ)YIYlB zX*P=%AfCjV4`Y7(;Rb!yT|?2mYn^FWDHgLYnsum&9zqOKJZMP-4ngg2aq_WK;Xh5& zQ+38&IJvboOf5|4wUJA?3s?bT$NHELPI&gjd&S05a!$Ht`E<%k#E=EEmQn;N4X@l^ z)N$V(<;5KGN{LckC)R{&}J!-iU)yX1-9m9?f&y;V=6!K`XUtBxC)UP=^vP+)$s>!bQfV%f^$LPLY|+zF*HhF`nzkd$M_D5zx%32qVtahCQ0NT03H22q zoDz(#tPoi98MTks*8Z5Yd{WUA`c2zexG%1oO| zOGgau@RfLjTs`*!FK`d1C*_Kt#vYr}xmQW5DeeOt)NTROqCY+nG$EPjCCUz_Yzm)O}S&OHHY@c4uFgwN=nn*R-R03lnByK??r9 zjDEPv)A(+)7Ii6RZZ~vQ{wm3PiO+_}a|&*tPelbfN#|*7<_pUw{rP23zi#*ZE$fKI zZA(KVDjnM%`+(!+{(oFgJRZ`;n03m6l2s&2mgaG77Y62raBcIo{{TEz^-PeJdU;0X z7E%wx_5J(f(JiecGjxd#R|?HR<}TCba#Xe1Z^;MS_wR+YQOdOs8`JLw-dhXXllOQZ z^Mte_ov1&essoZ_8-Y+Sz`gtS!kT8QLI`ld9C8nC=Kk0u&@SI$<*DXSuu7!=0H_wW z(b~tq(+eoFitNt5EW0X&q?$rUmV}pX3BLoM-?kIQDDwkK#Mposo=Cs;;NoxL<5cCk zTdesGrOy;CEhT2@t~XsBN)uttBwKYszUf?q9Cc@Vp{{X%T{)3=pRoEC7AOZBZwkKX7dWn>#EQJV2IZme#Q&YMw{pZR>@~ksGO; zWQs&A@(X{d2R9wZ;yJ;o0^CO%1+XLme~5Pc0RI4d7ab!cFC;P&#caae&(!+k!DRAG zVn%29LR#1L?SA+PQ{e5#TtboukO6lg3itKye}6n&o*gnAn>*2UQ036&@@7XnA8%aJ zrK3t}5dhmL2voPmBnHe+YYbCI%>V&G{=S`KiaFPET#(Di`jB}S{{R@eJRjupRa9nm zxz4GUk1m2(O!<5{YRuIxL)lB1oTBh=YjO1?7ARXKWRV8zd{CUrBdbVFJLWoap0=ui zYRrjCG?Gd1S&1rkENoPgM&W+gbm$gAsC6YCq02O$cbP?94IC3?nSBOe@kaAWR}^n7 ziaV-CP0yv*o-9M+kNM(tDQk0e$u?BwvCT~eMFS*oL*~k%2xL-P>PbGJk4^x5Jb%-% zYTDYG=SHneo`2+PQ89{{>v;0kX9O@(Hw#@sJ3(Q58+9&1-C^D&^!B{ddK)NRWvDY} zYK-Qt323tki$^=tGHj@f6Ur5tK<~)(KEP49+emGU3v^9TFHH|KkqRc5NYHOJJ5?0itQ3h3KCv%W5U_ck-n-2IE zV2+c>9ab`RUCpu}mo-c5U*>8D+QKwaWsccl-nS11UxV|Bv_6w*x$YZGinSnGyNQ0tmU=HG}yZ}ij zj6s(#q)e#GG~`aM6+k>#8~S(S8rN0y*QC1ZPtXBP$Ynxl1GN7MLIy+64)wP%lq~CMpw2n_6{463d%Nu5>F zDIf=>sc7x-aCkoWxw>zzHC$a8>T7@3dCbp4LzKxyQkk5L=vuHNTI_FrE_tvAp7^OP zrs`?Up|49Z+EtXBj&F0vfAfjoNjja=?FW+Q+HX?nnyUIOMM(rTF~>f~LlnYAAo_9* z#~)sB)ohFAh5jBonbi#2s=8w*%=($0{feVfP*c^$`K+$8$0bB)z=mQ7D{y}<*TEf3 z@dei0kEwb7Nk!DG(j}(O>X1g27YIb}z_(yOOh|NRQ9LQ+8iI#WL8J9VHFRdGcX zR5GD>q(@P?lyP(%Tm472D;}X%*39a>|^>J407oBDF*-xH!2N+9VeMav%Qy zsjxRY*p_QCy6>e<(n?Uv>FR5WWoVD*)69E`$w z-;Kim0CaFT`u%Ybr;$L}5N2X6ymvqEjVu_GX|Nii%IUsS9-_&bwz{l5^%aFuHX!b1 zB;M9G9r^9g%wf%IbD9{%Ee2yz9B2x~8cAgz5%dS=`r(J9`SHtgk13@C`sAd8;VNz| z^|k*1V-IrIM=ZucSGYaJ%Y$=nf7SHIts_Vxn&VLcB@a{S&#hL(Uz=$BCq?1J{cANaqv9b%LhGZ_MwKuU!-Vr+jdFt;wu zQi^q+m4E~i(hFRCg}=Y$g2{tVHPSjWnkl_Sr>e5-=C3EG-DqWHDmf(F;m1ViY`gxi zhM!$#>6O#Ko^y({We&??5Q}>D?mJ>f4yVlWPQ7K>_MOOL%%sY5no7Y#P}WL7 zfN#nF0G{}%^W8z1>P+5T;;J~%%;kg;C6NIgqtS4)#-W}ayn(@w*3 zsN;ROBMVNIqQZ$_dk6 z>6dFcs4~S`OvYHDkwXNH%3F6Q?)&>32J@V3i4KN!9+8X3lTK}N&#-_!t?PeVeDFnQ zNww)KHEu;z3IMTxO^x{^cOK;8Nj|^NsH)7AI)=J1dD@k2>FeIZ+kuWy^;2HOP?JHb zD%HT=OpIJxwTNquKRgxL4kR*uo9oEsCQUI=Sh2ACIUb)ubBkxgE<-ICsEWRiWUC^A zk||Oza(;K?4D`Ks>YP%KH$4(Y&MecwhW0+ZUjDy^3e#Or)VU60QD2u+Letc{yru87 z7ANAcw_-;Cj`&V!@5ItaMly~>%?GLag`#>_kbgVX*_?Fo09F_j67xU(sDqMzeTD99 zE^(K7(c+s}by}e1v<&%XMve;6M90jk9E;A^v-kUh;a)!R8;oD6nZ~Hpne3VNps$*) zY0DENfB>m-Y^b0tWA7cR02e;^i$OFgGVfKeVRj^O&HH|MRxAoUzRM16KFw*MD=THv zv?eA(>q{Ftmb)`-0QdAh-k6tUS;Npp5?0a(x+yYN4Kq#3mez#y)tm^^avxw&iKj{cqdd)o~1V!2}X%KJ1Ag&lL9FHps1~N0OToKy5(zisp5qmkX+bVmAd)!+<%-S7wIf6;T>8vMo5|TrnV#?l9Rs8+jX5!7KMou6y%`N;;Sfg(i;8$FKRoDyfi? z5$tdGuWJw8@Yqu1i)@Tb9?3kZBNqpbZ(;NO`eC}b9pqeHg^Mp27Vm66=Co~n;w1dg&MJBNQ2njK^%{9#u*l>fE#Ll%PhO3h(8=3EF60X-iD?( zD=mS)0!FdNu{)ozr#EJnWNK2;78?h1>-6UdDx)nZb&v?`0A=(z^x2`}EXe#jeiuQG zw92TW8#=!>KHo2FU_KolnV_o3A*qBUs927~3^&x$x0c2h3UAq4gNF~bK#rsvGY&(r z=Ki1K0Fsd>Hch-=i+00el@K#aRV^&LBukUYBiHl&^Mcm480A-z$k)A`_QJ{O8c%_R z+W!Cx72^o1C{08E09YuH4&Y&t<EnyzLrsIpjTst= zJlTB5*(&J{bDU{jqh*=yQ7jbC9N&Ji_Qhffo!=s)i;hL^J7W6Py4yieXo`unzGJ6F zUR{<}N0lsDkz$^DpEuwZ-*&MB2XT2eVzxa zY6z8K`(DrM?Xj^Ny^XhSw*Xz2UTO^MQq^VhXB7`CQ{~A`6Uc!?aUo)<$DrJPx97GQ znWl&&bE`A`*4noOdvl6W#Teyz7jDK%f5K3q$0m*mswq-TwAFdD#yo&Zm$UM{iR5wk z{V}05ritn2P%_#a*HGxZuPfV~LrEnK42-kDk97%9NEal9vx{7w*EYomq`a)gwj7#@ zHCn2P)+8)>3`hWd4aXlpTwl8DA=UcgT0IFz)#R3V^Ms|ZX>!jXHDDzbgvtk;6_f+) z+}PsWC1rYVqO8mG4wlLEwA!PndUCbei#}ReBTFHgGZck@zo2wbZOGs9wh;Bpr}-wC z$~69}%4k-E=J89ujw+!%afI8kmG9hxVmtgk_r04#r~2!vb%9+;pVP-xr|JbF#a96G zA9PYXNI(OA^h5C;NF&=3p1foli#y12Z{>@SRAr4@Bzbaas(rCNL)^GvNg_)k`vOlM zw(Cq5nho$T);^bXS6pZL&sB6~Zgoptrm88j=yK@Mo;t^Pj8YKAM$i?n&tv(g@!i#4 zjdh2rc?PNIj-RZ{D3e3cQD+pDRI3vl!a1r;tOAzY7(8cn#|G8`MhoZ#H7=8@)is%( zmZr^f{I4Z%b)`Otauo`ykqq{!*5c$VH$L!P{}@R7Otm|QB+b?B(cyM zdXU>*Rj^RT5K{L9uN*cr%}!TcB+VSOO$!7URu(bALqCZIVTT(mia)#(DQVye6-UH%YQuLSYw{-K3_J^uju8P;n} zHK_V))QTNOEA;7;Gm?)nN#2TaQ!@E7m1AbG3_Eb+@u#XXQx3(J8wr3riv8f%=hq#r z$&51WNyLjCi~K!@(*WSCTVBN5!`4qnob@{)qs^)1G?i5XDxWDpby*dZvhL$>2lKWr zKNuZ9q|*Hx%d_b(;HItd?P> zwAEQHxQeWTG8JvW0d|XC{MetL7<9<`v5{vbDk^$OrRJJ6CSNL&XxYWjDn!3NHv`5i zy3n;XCV1l@SwR80`H#fk+w{V!2a<)=DI}6P6!Jl}&$rJ7*tV&cK9lhWr1V~U9;DO# z4a@1X3UL1bW~hrboUD70rwZN4R$*)Z0IK)JTjU0aBF7+A?5r+${^r;|mbQ{v-fD_v zP{8n#d?fZg`yX2${I03~SXb>53XSK0wj3LdIrRSkYz?FfX(4V+Flr=OBO3yRyAC+8 zBL2VbaH1IH0b_)zEwua`T<|~u_xJoTR7nd{4LO7&5 zQZ?Nt0FbD_^WTs#D~DiizK3kNB&vm0ZeHa|NB3{*_4LHEqSe_pMb)h>p6Yo#+`5-L zrjoo_tg$SBu^V~g?{F``wjdB&Z89X%0Q(N$ZV4(+d;GCs^iMg+vhJ|yOzS(63Vg1g zKcSkoYL&b~0X$|>csy;tr{r*Pl1D3SxpWLwY1A|tr>$Ckbxfh9sH#&=Wt9ZXVu59v zF&^gG$u|lJ1ojvdRGM=->D<*i)p9yKt1{G4(xnzvB{6K0nX^6f)jP2c`%pY7b7GCS z@oT$52A9>Ek0N~;tum~_6`-$|HO=bsD3W<$N~oGTREAYs$O99+@I;rt!?d#IIya{k z{TR;mMps2imS#0I^^@k*lSH!pk{Ij4$3YVZ_$6qzIA92CkSsaHl+_K5@LO&{75%Vh!O*jsk{lC8itBHUcmqLx%4m3Mqs+QfV|`TAni z@fShTfgY63`b1>=1#X>Ws-~z?(|M8AR417)ruWxFW`0Z(a)2%mM0+&X~A>wfsKx^L7ByHDu){Rx@p*}hX0 z`IH%i8Kz4iXAY6c8$}}TLREu?xI7d9j@PfHr?j!n2?dl`j@y7f-=7~mDvEgOZh?w5 z$!*5>`Cy|rXIn(Gta|e())Hh?c}``SW^iO#KiDM9vwgy9hia;c*pTk+w0Yqc?Z7|) zuox?%`PPWYrqp>Jw$9_q;?6Yt(NShoP_)9C+Nz#OKImss@kbitJcKk2NqA?em_{K5YK znby=&$S6FghKfNMiV2*kFCxk38~xF9ZV0t&BoRj_Ng^za0JuDQ;O9dNnAO4aNwGpf z2HlV4VQ=w{(#1Sb7JD*-$rm>S{P7)vOS^4$1;~Yy?&u8xiZs_aD2o>5WCH zG&Xw{*C+s_=2HY0)YF0GZ@7&pu!F%6e#XM0q8E#$?`$-M$qki~Vr@;g7=Joa59VSgkSJ-0FD);%W#zHW;!gy+H`xxa|+kx=yBr$|z1 zT8L|^G{WW8G^s;O^yI^r%S}$gLVU%wM4xITjoZhq$vN^`#iz=p%bJ;d!r_``ZbzX;i{9P;04zQ&Q0UV$^*8X& z=+!)adDa<{*bDEciH6?h)&K+Bza99-RLyc<3#(YvV_E8YRfcyG$tF^bwRdnv**jaF z+z(sh=}c9$wFt8%awX2+jqQFr-v0oOK3???q`gUtSLTxc0MNDc@ziEBOBzE%A&f@S zF;!D;R006?u;&pfC8UX+SBA|wnq@g=r_uWSuAj{4vr5Xk?8=zM_Ihdti_MYMN!@mq zB~|WNfop?^hNtPig2{3Tb4-`3Ic{X}+sdG$r=1OCr_?&LEa{ep z$)}E<2UvI|WLb7^E>%yP zOP5mTZ!J|GUn^QESxSVnk_QBp3@>BA6q6#?p+M=+{^;t8STh+|thFl>8}?RUrrcWi zeCn>Dqmxlhr1bjK!Iow9QliBpmR~THR*-svLMZ${6B@~7AN3t72=|{o0ad?yjxGD` zg*{1Xnmu!zERgMCoKC!pFd{~v9P{ z0t?&m!WPWni)@j(wTT{=AOKjM#fxyb zFT~A0ST7V<^G~QVx@gv|b4kQ10B759I2<3qkKM4Yv#43NTSO|N&HTpPL#swD+qdQQ z#Ote_c+q;_pn5~2dPk*HSv6LjV)@RXUnt9(I9nrJC}mr;grOu7SGgC(C7x8sX-sH9 zReh+df6wQIuM*8Xds3skh^9N`ok!2|Y~rI-WmW36wGwWUQy4a};0xdK`C*E`Fs;rj z<;*i`$C{?1QuE5oa?DN1{QWSVm^4(@Yp^Gk_C3D1e9(GtFw{BhaMMvsDu7?hTZVgj z3w?imv7eHD<+wye4w|W+6{u0!3vE=rt?%uE^7Tqu^{bLrQ5=DS+wS7m%Jd#@N0jDb zsvuu4gZhrK^YJ6`W7vEr)6>x6uFYe4>Iq3X>awjB&2ZM=R1ZW)Up$ zAy~_Ko0>DZf%YGk9_Om<%wpD}@d=WM0>ebX z?Arll8-4k|wXo&1?a3}kzGdKwt~|s;90a4Plo63~#fT%~xB2}rWj}-UpsQ@Ax}3)M zDJrqt(rxzk{@*WLK4sn`jmIg`%0`^I;vg#(wKw*5rK8e&-)Rx@+QlC!x+$IG&+Il%U8(#f^YACd1(^ zVaKKMoWF{%T~A&r^yYt6m_<=nm(iLzB-jDq@8ZY3-G{GX$i1*H=uYG@^hS)w(+soE zsE$C?k*JASvVsSG{{H~q6CBqnjWYET77pH_wt>a@#5=<@f=-!blgTPO2+G8TdmY6{ z`dDy&cErlLUaB_7A7_%s z9AmAJ`XjY6qRK#xxZr};wmpH?GDT3q!h(6_Z~k%YUXD9npSfM9W`U`rW=+hBejZeM z{P2cqW)a#pF}Bfb18>s~QchK_ukeA`dV_@Z7X%Tsf(NIs&mMX-`#m#MHA_5E%ocSj zyjlG(`1Zk&)GDYEI6Iq$QTlxFvZ9urL=nuk+-y~kYvI1Kg{c$=LHCZ|q4vSWE|CJ! z8j5~paKRFQ-4h#~!;#s|-{2Jbrug8~TEbs;8ca)?^2`x6h9F zE-$o8Qg)Dw=!?y<*E3BMq+qC1wCx@L0DRz7YOX_+BWj)MI46%xS`g9>5VUcXWZ)Z) zZRzy(!061-u#r?a-D0;qTlNLD>PR0NaIBQY}&3R47suChP9EH~s$r#u8MGn*mY^+*}c1&*g94=r_~bNtJwohNu|>vCD<(^;-rPg8SR2@#S}>|!j8t;L6H zdt&OdWW_g4(Wj<{DMOT-7;=x{xzbFlJEzRD&ZO5QkW{3xNg_gavYpoe5r2E1Z%keN zHt-dbbq7;c)9LQ18c()niJ8jTqX(sdE=i~8R(!~1 zwKB^+TS+3t9W+V1X=h?Z+u=o4Vr;lpJlcrq{Kb*i+ne?xYfk6osB&3U-AB(fmTQ=4 ztx2Y;^MB=e+`#7Zg`HD*?%PWeE`I4Ceco#tJw>DubmvVvPn%asRyA~ah|H_# z#AzKvD#nVU_hEYzam}skhxl}+s-%}W&$Je<%yP-HO>T&k>ovS(s8a&Rh!Kx+9_ahvI?qpjF}=fvTiIM+}QT+1~l}!)@0YJ z?9I=QVCf7{wv&VKmXH6*jgSI75e+yXg^1-yQ!m@c$Gaa#!a&7wl zm%$Z+MY``Tw2w3kiwpaD;u|1p)^#+nWw6u7Pfn6KQMnWYU^)6?J*egTGl^iRaVtW< z74O04x66zw)U_>FUa7Q;18vR28~c9$08BUP)irh0>*jy-fG=(be{Wv+m^?#{Zj(5j$>hBIOl*b?efGvt0~ITQ4~=r0$;or{Wt#rZ_6IfvWO_Dq5e-SstZ}R z$WH`*Lz{f?yP_Nk`sl2h#M5SFHg-~%x3?c#et0=_QqK>bxw3ZJ%waYL-G@Kt(+r(9 zs;qpJn(|E1RJPFe-L!=O5_$Li+Y;F7O*t zCd^~o9lXCP<%<(*{-X#e0@lXyc~)j4dtbQuerNY=Kjt1)UPmP>GabYRxat%{NhQ!!SyV2oWJQiEBAfj{xc2$+hbnq#DPu%V00PC0 z{eNc#QyNzx-98W%13K8>&>yF49BG+YrO4dCZf@X?_rWPE6HTVfNRgQHrl`!(Wp*G52KZ@4#wC6P;N6v)v{sl8^d?D2BS3ki2e6-9rI!bYb-bdJG|1Pn6D%?00wUPy5@q&j93;A=pfjEYUgg z0o+Fx;Cp{;5jwpbpJu73DvaA=el9(IPty)m=pj}l2H=q)J8Vzx{{V&&S1HUTC|H&U z?t%!naBhER^d_F*7c5OAPxf}HoUsf_vbP4-9N*CM{{VxBKAGiteA@FQrOoN#tEQ7O zfK*b;SRq-ING^s#ESDT#oHQ){TdXp{|?H0td_-#ED-3rVqW;6MeTBfj-V`U|kN}B_F5L=HzzlJG%@5NSQud>R$ z4Xm{4MW)3nrdvan%0!f{9}$x6%-+N?0NUee7sMM%bxT<29U)(%^gNXLY;}@I^|h4k zBGgDOr{D|&8SkU=Dj122Z^uC`) zQ)tR2`zBIo)@d^d0CFOd7>SI0J5)&J$0kA*uDd|Sd!85~Eh0r_zR`6*2Rsj#>25Ii zrLiwza(kVKUruK<_GoHiP_7wOCj{Tohd=X+8=*NaeNmNlB5Jyajz{U$L4~*3!CKlk z_-(+x&^<0&@Wp97rJN?o7!^<$5%aLOr#O>zr>JoB>oLv_Z;?ru(C2!7Y^uJMYP^>6 zq?#EI#kBguqv%QQ1)8|%PE8adks;qo}!YAPu9ztjPa(aiKqgHodPMiE){+u&%=If0kU=0Pf+?> zRpzu>zagrr&h$+NVk3%%WOy<-wg_N@a{mBKHRC6B*p(l4{AWEE)EwWZTAXT{I4P=` z;F1ceYUuA3WD+4sA#gnzN%{~ErX_mU#eS*OdUB^vW!gg~%D&x}N0l{Q3{GT^EsjNa zsTa&7Duz@gxF>I?qawmi(N@q`CR3=Pt7yRxRV$k<_zW-lUlabPYJP}o4N;lutgHFF zLo%&;g{Pj9AR+{k6aeLk0P;aM`C_=VwGzuwIUZ5$F2L z$7A=cg>?ud&)$^|!@QwZ7PrOi^uZ}H(WVubMOB#9eUh1)?$$Ovh5rD2;nqBrAwfw= zP?5dAsLtRQKQ3?o0GvGKl$6;u476&oyv@q8fw=nfet7L{E6H<=uP2bEXEQNsl#)$} zyr4*>3T^6lGT3wU$2m5}C1hroPCWCtt;``v5m>QVl#*<0pkKM*VV^b1Wrxd0Mk^#D zSnfS8MgIUoF)_^bwHS(`t1hE?CY2hRnimUnJ6C+t01rIbR{hC7m_e9R{{Z(pE|@7k zbqXOi8$b@keJ%du=ndB-?L=yWB$|87BY6k|;RCrnhwtAOXNMhSPty*o<=TfdN@EOe zeBoZd(elEQRl8c`0dHH8V|!vNk{VF;H1d@V=3eX%Z+<)B#;SsfCs58#>y-?Bc=WZ; z&k4y>i%-;SZY?s@)H<4utEai1bxBqO=M|eHA+D=S zM5%HBx34|^cze~lj=GkY`FvAIB$fjlgc5BTCgbD}ZZOrPXg<}P)2xQ^#~^kuYgtG( zzqTVyiD83Y?3p(%OA?>Yr;PwMA~j+0@nhIq(ER%x1`4M}nWJFA<+c5Y0C8jA{{TE; z6Xq1}Kbn#Nsmg};9)q_$cEgsB%ig}4BfdDx{{Yuygd1I_CQvIe-WcS^7Ff%=kvvltGaM> zwnuzo@aqs$J1ydxJgJHF~ zE`N5!o~9UT(4b(Z+~1D>059!`#&bhc49tmZ7C-7d9{&J_Bre#&o8`Vl=0#<(LB;q# zA%^q>8;LgGu09 zQMc3H{{Y_+%<2&`f~8j0zdYgYM|oSzZdePD4?pvU+`>4d495HK$33_o^MZ`6a*iUh zW&2viZ}j93mOY0WfnH@I1VW>LKqnr=T>XyPi<)8xw$@Q`V{8x^C72D{#gA{_?)X(w zY^tt)8;07a{k!2kL`=J7L1TNV+i-o3GaICO01}I}oC|@;KG@{NCZ`I=?vY~4-vp&m z^9ZTAC%N_?k9-bK>Br_sz(&9ufPMb}OcYycz?(urJS%AMF-`BsVzc^VA+H>a;t`LRV=g?c*0FqXe?Sqs+Er0bNUrum+I;^c4 z6tc!QH#fNX-}lZJlc0FJCp{UKeJ`6=R(NDq^r8Z>vtBth;z-r z#l6(O9(`cxa7@*Dcc(LqqH4neS5u0Jj538k(~(QHjjlnky^W6?OnfeX6~41;4yMx3 zX>Sd=HfvfVk%UjEC5jkU+ge9HU4R!o{zH;+5S~Y-y}vK~`jIt@&Ar@|2DR(QOR^fw z=;%tS{MRz6$!jv)p(^N_0hc{hG?cXxIBOES2B_ircAKRN7ypdSgrHJ0P!0 z>YDY--AIxuc_W2YnQi?&#x@~Or#|+ab(>D>Ot-|Av+5|&)U_Fwo7B@+YMi2+he$6m zX*ll68UFC?+}hZ$`qz|FR#ivUoQpT9uFJIrQQXx|T54*WGc`MqEoBOJo-Uw!TY_SLc-{z^$*Zxm9nbp>7&ksQPIIu33*}+vKCc!xKSV*l#m+N=e{A@ z(@@vuT|3m(8NEGP&o;ZKsHlRWQC>U4R4m(UFJ=RdI32BpoiC-*+Pgu=luJdM#b1=x zPcl?w*;>>IBw&ImB^JKK+Q6W;>yv)t4EnaaFU<75rm52ujY~%+qokG!c^7Ph`g4NB z9zoo<+v&KD_`2I_%IkqHTe3+KefRiA-Gu$#IKDfWNRft0dV7H%#L8|*$XoIJuyr4j zl;PA^Z5Bly!@sr(su7xYF(^{a$tK;w=jDM;zu7Rmtq?$vtH^KiN2tN8Fof72B+O~x*VVM=pL++)`|BQDVzr};evGRG08Sy2_PZr1~Y+wPx! z{{UPer;H?u3hp-m3tIkw{cY)s55)BZR6SLviY=jkk;2DlwU|vfH~l&F7=qIwlonD5 zQ(zX{1IO3(z~Y;f6Jm8SSn@dg4jBSNQ%PHvRKXxmvCk+_M>}J8567bIx%wP1PZsYi zY}}k!Uy;upu>Sx_O)OJ9k-S08B|w()@KC_&*B^-k+}L4|36>_><*v#U`jUQMk;7A0 z!9qJ2wvEo(zlrCmiG2G2UJqpPHmVol%b z_%~nw0EY?srPJJ>MkYyJmM&#V`J7U`^f{^`)J3vQizmWJD%T)ixkm0W&Pf8fMVHXr z+ey@o2c$ZkpDiYp%c$2j%p_30Yf9P0WG!vV&BSV~$efeShkOgBoko36;rCQ)jbW$s ziPX7c#MxCZ@+ew5l=38!pt_3?P^=*i{(QnLc{r(ZZEdPF^%Qh8brkbK75u1cXkwpY zx5RdbQ(=3XcDcV7H*kZh`MM7>eI2Hzl$#&4WHDHeptt8xOS zW{ulX)z7q@+eEXxfLz%2Z=La5&m_~z@T6hgTWH)(z`r9BJxQuFjZ2^A8kbPI%|2l% zk>rTkQug6R_yBMK>;S_$Q^E0Xl$JIi7bKJ4^#1^Vo;uSM8RGZR&}VWvfW8MbQ053UrsD+>SbA2Gbwdr z_bdwbCz38NT*UOW+oqI~;cf|1Pq_W|#fQ>dk08x-KBv$19cH97S#3^Ul2B>oQqxT@ z+5$+*%mG-|HUyL2bO4K+%97ngrmS@FYfV*|^#?B1Pn73WG1p`?@tAXXmN$)|CMMX5 zTV!lNaJTN}*X~;SPvI}E-Cd&@=7!QUNkJ?IXzFKS6mtP9M0~RL4`)YHw)QGP=Zr`- z3*{X(&N_e8xU~*>U#I7Zrc9GCNX1-9Pg@b1l@HM5j(SKgU6!m#BVw&3&N1@0GEjy6@wAr+s*-(=0N4%9@`i)eu+Lr`g^kRZJ==9ic}? zEC^Uxxwj~mYw{qSU!%}eS{AP{hL=mlS6`V#G}M}Xsy9havPva+1dKpiAW(Qa?mLFH z?Jdg{$x|j!f^`?cNal2{IQy;?A=vl7HwON^cfnzTI?~A{f`enX?*M-@g*9(bVnvdz zwwAFW`916h>3^pfPg4rkKGK93&BG241RMSb*Xf71=zvauK?1zatXB6nDsC@+{Qm&s z1xuEhU(ZPh0j=T2#Q~>P zbE+K|Xl2YY7^R7VIVo@Hkk=!g{@1V^e#2H}lQYS+-)U6j{{Y>=#FDC+BY}uwR5v?G zu>CFRf}x@NbN!T#>%%IJPY1CBjxjNxG)s~mYG^uPp!#8>^K9>?(&qI#hK{nPqOCKN z1=>3K;8hAEZpj+*2=Bla=1tIzFX8X2x{ALq)EY{^C!xwT{Z40?RX%hlRgO1|Fxp`R zfE=6m_-$@*yHxbcIO;D!`hO0V$z-a0qKh()rb=)hW679G;aD#q*n|EoaO2@?!{)7r zSZYj*R^=7BbvAXQYjV+9BpX7RB!r6-Z~IRkpd3C7D9ZR6^v_HDF>3t7Dt5^Ac1xFM z_2!Qu%n3~&Tc(XjH|Zx+7b zNVw-Lb>F30_P?xpvc88!W>Qm6sq3VHqM>#Sty3XVpfBAZ@O_WI8mrVX(@gXOrF}}F z$~7jR)Y;S-MD-b*S(DXKL~3GcI#jA5BaEo7FV)(^X_}R%bArr732o%4C(JiWMluRx=`U zi^)5Cf^KVSM62{M*oIKXFweAKa0Gvx7-fu8vPB0j4%TaP$>1M<`NM`|PX$FowKOFY zZr*>zumhG-Qm`*2*noF?dvWr>N%{se$-QGql>$i{9mI=r4}3ak?KexP8lt+dRMOE@ zM<(R^WQ}*fCAhz>xjz2@D`3Z>fXcE-a<1a!DDCg_#jVgCC8Bd!^CmPIRMoN6Q=@-0 zs@Snp6?T?HUCLdH1z%Hc!<==$P@+L=bY7m)l#QQf+LoI#*tem}=xPb`Uz2xWJ`^6j z+}r_ck`B>Yk1NWuiV3Q-_*$m7QPb7d$0P+}r;SX3?n>B>P>T*Z_Tg_`XtG!SU6yLy zA(v!2lPQlUj#+D3)R}`DmL6(_RZv_qgn*D%07vYo}t(;H8u7*>+SaD&+`v zLWSG{#?}{MU^}0~5?>6R3DcVAT0xQZ2hCV3;VVnzw%;m4BCnc%?yw|jhwsJ5*5TbNcy z1hoxG8EH2i-qyJG`J6V%Tbmhv+|{!OMcd{EAc1b*A^!gWJbr^@{t$n|YdDTkUE%(+ z2-+nCP-;p>^xd6XVAH8mr%ZV5J?E=$_n+WcG8d;U0Vlm7sfL&8>Up>oe3ASeK@+VuYb zl5hV2#94*M$o~M&2}%ui3y-n!J+<~@8wt`HPU8kf-1$y@%4ro8OZF96{s0^2K26@}|c4L36v0)A#<^`oXUM z0QptCWl+~VT2ox)HPo>yNR>4oX@n5G9`F_RA9~lY=Zn?3-~RwDr|}HRp7gqNAge`S z3&owH`G?&>Taj+Zi~Hl0(G~ZU`SdZ4DIC zR8UgS8xl%KZ+nq{$Jg`5N6wmpI; zuB&-Zl+maL#BCk_09<$mHy^!nTQu zi41#5;O)XXsNGgI0d9S5`d{$FvHoJVP7HD4HgLUK&nAeft4|pVy2FUoNw>^`MZE?f zDGZURjHvjDAa1_n>ugW_LsL^v)g09}#^EXhNKjd~Z3K&bTHct4rpX;cQ?DZTCz37O zo;`8vbX2jVy^j^D{{U8BaGH3eW@LGrU>3MrTeq*ZzsI%SORomsEDL7PDj019_Py>; ze0m5=GYO5x(P3af?t6a!0LB!+k;qsC>~6cb7VXEkwgvD5m7uU(uFb;Wf&pt^xBh;Z zGMW%c6s1Mi;tFru_xWJNh9;Iol!D<&1fCDE=e7)>rbSTYBw|;RPXLZT90Z@r3+!>z zzi5LxrGK<+E{v!U?Yk8rZg1$s5%a>m9j>)?BngpLYi!#i%_*j2Gre@w=3CzCSTH+* z+%4^SIY#vjsUQ2q+7L*uh$hLn-p+7YA=^}^$t*y%ev81{{Yk(7Ejh3 z{{XLDSFfd{rI#n0 zuh?4l`GTVMph}96Ooo)bw~x9@g}tr^APZoR z5-+IVHlv1|Q=KSaCrTWwZKP-qX5zrh@qA?Se__eHqk@gj?(6v!wK-I!wyK6L4MVPT zO*+(hCr~J|x_WxgHaZEjb*7c&Wl_Gsf_PQ2zZX8|4w}y^%yL~ft!OiR$!aR0%<`$^ zu8BgdjOy&g6d$?^@OvKoaxiyF@|ud>24tk^Bw5td*`$y5*=rsm$IDOXs8jtwwk&P# z1+djon~g!Cb)|pu!$fAEFY@TjuImb`l-LUkfD~8`IItXJQatcfav3T$y%jAgQ9=&n zjYNT1ZewoN{C)obF4!scObsXih9lwvMg*V6{qYU0f=TLLQ}73GzMX*WZ=d|(Geasi z=%!+9P1m{l;(k;qyCk{n*?n4;3g9<8Oa0S?4M|QFcg~jV1-~9})aGrwR#!J`o_%f4 zd;&7*If_XLRwv=PAanR)Z6phHKBiHZNXQygBX1WLx2=!1CHiicOy#*jqB(?XB%m=W z-IyPc%Q8tHjKs;w z_(Y$bxc4XX#LuODON*u%tww35g*(YC2A!6sLvJr~8}LQB0QVeXg_km{iIl}T1YEEO zxb1WEx&1I9oL0!DQ8^86*1h|4{qU(ra;6HLleAyj^Qe`*K+ju0%`*y9Ge(iZkht3% zYEK-Jd+t1*?S}eF$o!!q)IwZ+($DI=pI>Wx;+jma7rndx0L~QB zYI@1z@}!7vepOY7x9jvL^~7-%Lh?>icHNh*SsgstbzMuFpR-6<#Vx{oH$A&>JN*4G zh{ZfFQsO4DEID3#`~7`z^UxX{H?2~mDrBpNI((Nfk~CODQtStQ1wLSz#W{jniW%z_;--)r7I3dJk^n3n zY+Ll@{Vk>>eql<`Hq zSQd7B8`#>{J-goj04!J0YLc*wZ{@59#lYgW;Nfk>Qg<~zqJFZ3UI2eFHV|6}E!C8gu4?mU%BwJ8K7Wd?W zN7IkrsKX&UEJe_gdT}*OZu|(Cw$@vMNFwB5xppm324TvZTy`Ii@7oqnhmMrx{ZrJL z_Fb7%W>u|~X^Ar^GW@O7NmU}$Q^F&=sR}=?SetXU!;^6+)Exup?nR$x`Yp9(bUC(E zvr7$B?GU86T4I!363_BU`z(R7|5>KtYKi}z(PUwmY5=a|Gn%2Lk&zw&*)|AOQpVK_gSm~N-%)WYT>mW&$6<&YZ({ch(=+TO-E5REYm4yr6?NQF};s}Pxty^am3>6C1N(d z^_h17s4OqQ`P<*0Y+0TXwP4a+K+bh+@ku*ndOtC$T7NK~G{mU;eR&`s-x#f>j-Dmi zQ78_8lu_@`Kid*sf^{<^>6X13vr_5WjM9R9wxW`%j-61n%PN7i?;sWTx$V!$wl*9~ zKTUX?>PAtN>CUC=Z1xJ;T}`O!GWqhPq!C9=6kbY~goAQ#D;pc%Xy@9P>&H;KtCIDn zR%Mjh{{TLwN=->y2#y@$by&hq<7f)}0010u$G14J^#1@;JUwN(_FtJ+>D^ILmt{GJ z+p^q>sybs$49v*H5#|z9nBz9T`UU%(Q~gxb4Xe6?sC8si&m0-1ZBbPkNI+ncIbaRA zzdV71+{bn!$u&n+wSKV6AdfSXP}d}~3MyRkLsb^$h^v-aNm4?BZfr)|j9I#~Om*|9 zT28Ai>N%sza$P^Cr_2_gE2l+@q3NUkoK3W!gl;ScVQYbWQw1sB1HR4}*cKPD?fL%z zu)_SmGSrz3J!IN`a~tMaWYjg6K+N>=f+S9RU*Q~cgHnA2sUj518cfT#y~(iT9(#V6 z1gOQyiY?6l0C{cux27aC+I_2+%#&)Vw!%mk7ai~015Abp>3-8BWs04qLM^wSmmj~T zIF#&<(q&?5kdlxSe$s$#F^s#}Dnr`iKM5^Mmyx%EE$W9zT*)a!3ddfm|a z{L`kowhY=#zdMmLk0o4r6EZ6(A%OeKau2P(SfeYl-a7Kl4@D%qz6ZCj1HV1~c0DF)nTHyb@I5bz{{SSQTBw!>il)HXytu#tN&f)4_au>t=1UcQ1q}IaM#hpADobwIrH}=nL!|O3{+&*6e*b`h(xT0h*>|L70J6 zmWe8-lGO9He#K$vp#uIk=aPHkE@=d|*n=Gc+g)82DT+v5IHc4QoZ>;dh2 z`{J4LU!k-XUDN!^Jh!CUYM!J)P|(d!Ktzz3;&xCi@Z4?WcE#(L)6b>rBc!R4DvZu( zB%WAAvPekWc>pN2>_ESBet5-Q;-ZH#&GZIiRhvN288X_GU?VC%C|m^x{cLV;ZU+Mu zryp_9YtZ?loTaH2I{C~D2HJ(DZoFxhyu(i+E4Hb}mw3vLvwZ0Ms!1nxbDR4YsO1CrYxNvw1 zNxiIizpe_-BVV(JvRRab7T|Gve7oRTlrzCD_|yb)M&-A~@BaXtCZ4d!n^>;l@;{#k z0+ZYtWOFp9kUKPhvs%Myo)5kms^Ur1sfY`Yh+F(x-k6hT0oo8L_inww0{0k?Rs{v^ z5{@iIkHdzmB#lj%qRL0vQ@=k7oO=r^%?YTrOO<5+SxXP>aqJDEHpI57(c$}$g%`E0 z>3{dZ(ac($0R@`fuX~IRoO}ie#_#^D92ZpcK)azRsGM8Y-2A&^E~}%^K7^GA%ViF3 zZ}F%&DrN>x{Wtmm4eZ=t`GTO_(tJ&GdvHz=*GUm&bg*`~HsMd00azMO0|Dim{dRvm}FEMsYMRm;(d zdhl6#y_?t_9ZbgSX+V|l6utX%6`#T|Vf33&U*B5EBrSK`Iw#JOi8CVm##^ibrmv2lC zG$G?C{HH9}HXmDe!BE1=r{h~+fUU>l?_q!Z;OG(BD}W@V(*JDeLxUUqxT3Dstz`V~we$r-@iOCe|uVyMgcD z^SZOY;WL(M31iMOO@CQKQ()B#9#{$%AL~%Iw{H8r>^(7+o;W7{b!EIyHs6z@C-pA2 ztup$!wFXrz6}1z`QA`=Hv=UgY{eB+z^-;Qt*&8Aq~Hz98hqkifuPKW7DW2?3M%b#g? z&!}@6Ne*Ny5#$K~W%FicHy{OKOOwTkz9IB=2DigzW4y7Bi&j!K9^h<&;i%tWPZzS@ z-N+w2FY5M%>!(F}kyp^pq-qqQs-~Rf)n<~o7WVpAzCiS2S=3QzO6@a} z>N*-c*j6<3E13n1Mjjy(%M7I3e$oL1dl7sj&nFq)U#}#hkt-xhEWEt*P!(AuSs)U+ zt-Eh7Zf(IW!0iKuj=rHb(^AbP*nPy^X6&F_=6ihcD(PNb)XJ>Vwwpp%M@v~15o*}# z+B8uZWg~ELYXi5ixV^n`ek}^@WXbw@nAhcTSEXer^N^7oz=lqHn_Pe6=YUo8!#;&d ztI@FZZQDy8ZO5-~d`w;QG^moFH>ruLl2$b@1-7->i6Yh(`f-Bi3r+)>7y>RVc(?EW z03GnRA}p_JI%TLS;qxSpEsCVENBg{7{ujX?^sLEDl@3#JdvZN*+l*K`g0?DJ2^u+L z-pr_=zb5|w0EPbmEJf>_QsgE@Br{5Ezz!6K{{YS+Gq78+rLyX(3Si}<2`RX6;^&*; zR5=Z7uN1VxIXu!X5rOZ|<@3WR5=|=kvXrk6=^_AE&s%KlBczreGw>CL74)t-Vhp*55&ii)vi?0CAU7 zjs^U=ST}LSh_UqJ3i91$ni$NLd1+N&{nm|z?eFMuUU?iqZ;~NTqH{L4+Ue$|7TTrY zZuaAVJ8|uYe3PfKPgNWhdD2q+J5$W0l(FLDjkmWJxy0j5>L@c9m_Y2pGs+eFzdz5? z*mtbW+&7CT;@!PJeZF|FDY-5g4R9UMeIKXt{-UN^m}d)Al4W(PO(ZVSM6)#< z+c!C}Q|1H~u@^QZgNE6uDIK>XNoxZimoX%K4vO3xj^8oQ_QdpnlW0rjQ&QABL=>Z@=29)R@;yJ#wgXkR zR%-!y7lsFw;P&ItkJk(Qxo_mj2Ia5{$}j0}!}sZe_$0TIX2K3 zqNx*_aEduMDx};4!1noJRH;s^DZb1cTIbmCEpOk~1#t{$;CxKn0yqtGVen-2m+YNDA{jCfh*)m+l*Jd*Hi@c}%W>WHGJD7WC(V&J>%hBBDub zg7;Fqe9zAb7MC*3^C1O2KbmKCik)e}F3i?j0C_yvf6f6t(xupOjHLJqozhttyN+*h z`Em5YN?6A7q-S=~-HUPQ_2c{EL!$Iec(v;$!oL!0Hh~kN6Q|NPS3Ep;eg|VYYqqJ+vSg= zb$`Hd<4|e5LQ}|Bk>=!1qW<3tpU&U!iWkOT@cqa<8)UgAVXOQ=q^}i_MN* zjkNajBaOfM&#pBeMY4$cr_qeQn^5Oj%$b&?)GXPfndk&i((TzMVD4iQL=_+?S(uh+ ziQ|3oNOfnVlwCN~+J7w5y6%fnW!j5OQRehnjUZqUNM(kiCtpT}s+O)2Z}E9Mth<00 z&xXgzDYPD&q<)l5Q7h#Y&r??xT}dKVz`4YJc>bdX5>L7+5XaIc3QfqvaZ8#KuX8|T z%5{c!)6A!=b$Y8XYOnf7G^~1T!%fmX9U{aQq`bfzDXEeKF*yaCD)ud55Y?J5R#N1# z>MdcZavayp$_8xpF=y2$-9)F;2IuY6Iv$mru3njFibu?P zYYfv-Eo+R%T4-Xb{{YpPl2lhIR+0A`@ zEkun>m2`rh4Wcm2vRZnrq*^V3NFhSv+!Bz~L2G(koh{CC%&N0RWOY@PIo4fCG<4dc zqESszP-LE)&QTrDnAESq4cU7PQypFC=CbLfeARl6vW91yI;*0YsU=xHeU zU=4;UuNfIOXOrdG1tz1;BYNs;nPZZg698JqcqxqefLM*el3T`i@_dfxlZc!XTntGz zK`FW%`HouIodh|RRW4nT<(ZaoTCl{CK^;{jRV?ZnOR_|=9p}@@kn$C+EvN9mrKQYs z3S6Hv&1$l`El>XdP1R=4NfRV_gGuCAuKnRluovJ0>Iu0QXv?~Fr!@vuoogLU)fx2U zS$|J5xv28U=_YZVOqnD$rwoKDs|}HsKL`#_z8-Q78JqtA^k>g?W@puE%)U44D$&sC zDt4%6&G+WftJDz~Foi}QXf4R{)GszT4Yk57Mloub#aH2Gjx9Y$s^~R)ROI!vRW%e@ zr6n3q1jY!SR*7CI9ZZBrCdK>BwC%q(W6nH0%|}XVs`II-);bz`xMQfxTpGw{l~Ol| zfoUzdS*%D2W;Rj@lIg8Etn{}<{ zHoRcB{-Cix3k(BRX}xujbdb|mb=NY~`J9?skLi z!fgV;0OrJY#oyO`J)LCQPOB@=y14q&FsIZ|eY-i5vZACUrj>|=UZig}O{_rT?dxlr zYP3P-0WAANa6w;N{Q2kK5-qA=@?ATc>Nl1wqb~RIzj@ezY&igXmQXHO zU4Db&yxlQDSPcm~%NHYfHmEhHO= zyG_svs-U2-EG=Qq-)nnz#JW8*CfLG6Kp>QIFSwfsB_!$IIS*F z=(c?*NcMpoY6u*6xyKSI5lmnUGJ6Y-{C#~eG7bJBE(N!C1M7ofgpd8mh*sj{-}l=9 zodg+|MievL7O=9Fzv24f%9+w41c)qq6Zv5kH9Tws3-Gr$uwZR}^M!DrHw}J&)K`oe zX`DF2FhZ=)VtWM_`e95<<}aOX#EXEo;|-`3TY_X6DAa@@> zW82>jQEhMm`bWvUeE12SOGo2_q?Ji4g;}ENHeAeD+R6!<;`i^^TbxV!ar{O65M>oG z)i;aImr|sYs!1(ZP_o%(c*h|X4BL|Yl58#9`eWvY@X@B}UsAf^p|qB{@cp23oU{J` zq2zQqW>K4IDtW1)XlP+&lp!A!r(?S_5?SSTH#WAy$-FaoQ;$`pY`zk@VO1kY1dCUq zsU!{o?0yTM&(K>8)L?idl%Huo-4hIoY0;|U{ve(V>L~pE7vhhks*K)sT(8uOq>wGh z3bhB~u=OASJuvSV@c{6LJZ$-Yh|LFH)bz$$kxQ(y^5_Q)z?rs@&!ZA-c*S$BdIiuO zGoa~nnEW4ff&-XA%x%+(V8Ctf>A_Gq^%lPzVxP%8ANVushNR67weWk=+L;q7mT02? z0HdOfcdf1Pt%qy=oZARgmDqOKtdEQz3c1!uYoYNmqSgZ+#O=BO8Za4zKdk`;;&-^$#PtyK}_(js1H>EV$%{3mNq+I7Pk3Fqf zt6o8a{tY2w2fzL;3D!cwGBxs>$tR6G)cb>y(@c|PBUAo|*Y zYGQIN@K`A$kIV7Izg4T!R6EkEJ%_i%4Y|M1pYw=@bz4tYPVDYikBJM9f72d2HAf_u z)ZfL*MO~Y~mv1Zq@gKZx*x$G1hFYd*%LW@q`ikGv=ZPMoiDR6hHw(!lwa>5Ti0)+a z1q#v&iP41)9k?n>>B1Qw4E5ov=5O3L+kAK70^1@8q0~qQ`C%$%5=snpNcZe^o(4Ja#tc;zqAlotf3c3$$gUL_gSl=9Je+o>o6K=MQwJ6VdvZJB zD@sHR(jHF@ao_$il&!u^+XBUfJ+px zIC#e7WVMfbdSI)0kci+RMYtE^<@^5tY#e=olH$Yu*G(cTMq}6$oBAK$&kb3anH)T2 zE)T?qn-72QgW5S^iDUCQ2a=<{2zdi;MoU=Rf%W4L#M|Vpm2hKzx<@nhXFYk*%Sl!M z9@j-Tx27Rdh>8X=qOV|h;9J`hj=RXSbzeOmVN^=Lg`U=B5vUv=O|}?=g-KbSLA8h* zcYS`n{{Y(_zey{{7xYJq)j#6DxJZb|3#`Bm#Xvs4^XZO95+g7GxI8_NYaaLf{{Sos zT6qwFsBQ(w2e{*t&%gWQkVhLzu{#yqZNWUBU+h0zT`31bvB>Dk-~n`QW#sLnV}4jzJuKIr?E+N4l9^on(SwwRIdvVRLZVKESWGx}nKBM&uGg-QOrKHTOGWJ>IhBs8&0bY4M zu0X%7&(99|r-N@1**#2o&PCEZ(rD{lov2J6VTrGDhi`Gu-o1^kJL4x)rqgAW%2fX4 zoH=r1jYU~J>d%N?tJlw2I9q-`kTUXex3E7MrKjw@Mv7>no4#ANcn7m zdsu=97X)2M_BQv$Z9bj~4Nq%#$Gv?-MtX=&CZ|tiVbg> z8MEDDX=hxx$-Zb2CRv(!ulGyXuG?RdZEn{v+uPs173Udjv|09aub0!&)K>KN zrh2+aAyg4aueHevO}SPhp3Q%)xVJ(`hC?7ZY#wx=D?$S)UiTc6f7ru~U{;JG0tj8K z!~w8c_x{*#$-L&tA!8xh0A0?$p1uCQ{{ZcS>!*U&k>q=On^=G5-=E=x?ra${J*jG@ zVH|SEyBW6wVe|h0&I+xbophv%P{?H>SUwKjX{;AnLz*mPsp5fp8{JC)J)LkMJbnT zU;-N5{=e^sJtfN~c zeSb_J9EGTXeQ@?0lpr3h0>jM)3~W>^_EWb(A9#p^7-iKzz;&KcsKU< z!?%Y>rI)Pv1XOcEvPmS-DVaBhGC?F|Epc+e$QB^n_s6vlN;LLE(%<^;PQjj0MHXvK zmDOe0=29u%H+Uyww1;3qc>plK20%H!4mpw%LTSR=ZtDYWFoAK(Pn%r$}^PAWQ?^!QN&a;AqQy!#8`kE++P;(%X?_#t@1&t z{{V-#L)xN)D}y=Jl#*r@W(ehqG^i1g6TkHjmOQm0GiGitVSBK_S%>i3=}k0DOTBRHm0Mo{{Zf9n;R@r6lZ0!JELC9Vxxd; zFqzW265q{5tx!ppXNzI^M?Y z6+i75xsPrv4sv$Gl;hhg+5Z5HZ;zV2W;I+rTA_xOXA?G^i%QWpU$=JeC~l3X+0DQA zi(CCz(=v3!r=Q0Yrx_*>X%9M7Hz3@4q-)=WR=-=Zv`_%lIC#JRl!#)+@I*Y zkDJznxhfV2miJQTwDJD{;r{?jcqZtki|f~ij-yMM={^;P^xd`#wk8N6=MYRw^)R(~?8>3&~DC0!n7Y1%qw%(E#aM6#Q+ z6^_kux8nE4ee2hb9SheEi|G!M&(hq+km-$COI*t(Omb5;TOB(<^r{qwF87R{zb^icNKTcOqW}1&Ys?I<9AEsP0Hq-QGNNZ*@G^n*Lwow7|=2KyC z9fF;<2i;Bai%Y8X{Mu(tXz#PdJqrd(h+qp#RA-7+k_SP*WFjrG+hxRt;8+YD*6nN3 z-EGob!#2>`MX2lG%_gRjpZ=SU6o!%W8j0YjX}~)e#PCz9TsGL=;BFvL)axxed9zui zVPL9k2R9$^J-xWY9OWK?;^??EpNXv(mqiYLt$J_vy+J-Sg z%8=8<!8FK#sIYO6HP?6r8INq&f2X&dPYaF*m*lCG0xzgE| zPoXqlP_)G^W142i`6|s71be6!o?%FdH^;cLp-C9nTrdD}i4RKh3J#!a+`Cg`T`~S~ ztfY_rppa!O%zVLHC7l(WyE;VYcL2(Rw>V{}qw6MBQODKzE!F+x*A<8Jy9}N9hB1f>Y;!L5zv+@ZexB&7=vmW+{K1< zS3PS%H5XN3u9~hM3?&k|i!I7n{0747$gwI-@h)6&Ikj|4x}np&(<+jWrh0ou$&tsJ z=GA$fWe#ral{D=HY>a1TV`T^)Qh6j1_|zRp(OK4Alu%~51x$IYb=7T2M>bBzUoxZr z0KpBQ-Hzq>JM)VQ9ZRIM&a6;sEib9H7GI}rnQhH;m|=!TjcCc2Vh5Hxk#Im~CjG8# zBhuH`bnb4Q$wQgGeNRuQ<(iKz$|YGo(-XS+brw)RsNP{vu6KV-3xR7&X|6%_DLrYV zweD9{SyQPpD)?52#42Q{h4#YEPQXp9q=jo=y^XtKN77vtsC4dopHgZZilUY3v`te} zeWEsuvNVvJi;tK0#Gg=q`nl8kiz2T70I93ZJ7qNu{N9l5l2odaMv=K9Aiy%Jw=8_a z#{&`vdYYX3F%>Z83-+9oI<7C6QjYA) zGfZO+m$M#Cxcq+{JdHJ(LWo|R{1OFaMC?!f!@q0uh21@>f*m69)$Z6T!jCWwt7g6X zaBqLz@h2+rncbjrj^};Ft$uIs-wADok|T#9%qe7#F<{71fndK81Jr)M-xdx{RYf*l z7C4lz1-P-?eMjgq9%^-ZV9PO8Xm=vqSdP{|-|#s0M_W-rKF4_(BvHLd1YgiteQ*-! zB&fQ2D-9(^gX+~Z&O@Gz=Zu?Jjm1qn1;1`=Pk&EeO)vid%Vjz@PI|2XUBu^^Q*hmZ zr<`Lj_;rxi>CUXhJbR=60O%vB-9Q}NQy~`ozf+Aj{{YKo@JXb4u?mtIb3CVTxE-Ua zy}9SO=j-i?%_#esk# z_fJ!fI4YKQVpYc-t#6-i(+gp$iDL{=WF@b)ug|ah;kG)Oq`Iv}&7pU>pVH5OG}M^j8fO-nRRjS&{GabUpMj{N@se151j zj(=T}Jb8vuT~S9;9IDR%+UVa~bN>J~!+u-gXQg#{wA%MjbiYdH6_qt6rmD9z%P8lb zqE>5?%2b!R4RUYZ_Z(u@Jd0|J1+Qb_2Z0~reJ6#EplRK0)ee#7ne7fqK=7n_14OkG zgG^vjo^e$j_gE9au=E(#x_AEon5V;P=5WoObW2^)2&E4z#|0es7w2k>yq z?A{;xE7mNwT_deJ0itRsW2Xc`29l#iMcvzQjdt;1Y(>E4_=9V|;N|>0HDpaqN#RRK z)kyo=b4g7sYZC#(vq2!+Yw!p5#N=?vE0bqNDk#krpZv<-!;4?&OsgQxvz>90)l#af z#hU2pBvLE|{K|Kd+z-3hTN3XNzs3*vW@?RNJ%9fIZBCiVqkOh_(p^SQTFk{5DuGqy zo!1Hi{QDnUQzQQX<>~$w^(Ef3pios-$UMeK^Qy{XgNytnnA?$Jz#ed8{{Zsme+dZ7 z{jR&HT|Md^te-s4+UqOKsA^^< z8jmoFV!@r8x-lGv1Pk$Rt+&^KsDGRIQR&X1r<&m8Ne1;KsCAx5$hjTvKU_2FfBsni z0O64}MAf=;KI$GvB5rsm%d^-%&k6Mw@-E~b{wv?t7H@~o0s1SzXH4@xo9N!VYYlTk z*@RQ&v1+=w+~m>iA)t+8McS?ZGXns`eM7(l=8sLB8-&={+xObug@0mR5Ivf)_KJwbfr}@8ifq1IUZ{Z zcExe3=wd2P<-XFSFC_l}xBTP7>Z0SCUvtvocNG4GWt|kBMw4n>HO+_j#CtQPr(#c* zgj&i$>^;5k`<>IJCSm38Viki6uK;~;%U@ARENoouApPLh{KgcYsbG&+Q!dhEP<|6~ zr{(HDTrlQp)b0!tHr8FGRPpcr*plYW<)!xWM%}6njs1TdFjhyjJn_bP7aVsOH6)2j z*vFYix)8=C+`n~4`hgve7%P^hnU(3K3NK=((AwZ)Pf-<3UH$+GHze`Ld^YBkfL+!; z0sFq^=ZV3iy~rn<+rB-8ZbZ>&$t6`75Y@+IZZ{}A z4{q2L)Ttp;5`YQ+08kenzwv_|R(Ln?!*3rC*9h6wfdDW*hWGg!V?Grm*|q{?jZK;! zL-G%6e}jUik9a8`Ax)Gi^zDP!n0&)+%m@Vk0H~hF1hj0+=Bp@S&jXLA>4{OL!40G& zH3cRSuqsEPJ?-oMa9u??c?pDsEI|Mc{r>z6h-p zk9jFqmK>HnzMj~AHMQ_m%WW9buT5^R=XB+^hn-nf2Ry19Thp75!w|}{W0^+aq-_dG z__)1}CVh5IonX$)@mtHN09;tNz`nmue;#oRo=FyBvyI;m9sRxjhw?s{_4-;+i(bc! z)$^KPa8kU;p`?)*6T=|wa(~;?-vCgk;iHIJ_U&=spMHM03rMmep}4(*jl-W~{`e2t zNIq2LgSy3jd>i8B@xfK3kf4AM-(bMr%l@H$zz?Pr!oha=Qf{yoV8_%B_Wf^!a};@l zBB&uiuv-E2_rIwJVldp7TvUOxFlF#{qTui&~Djo_&(~j2Tl5b@@5sZ zkS$d%+tq+ozBK!wu)7jC1M)cC-4W|YPCA#LQE2DtMxD#0sQ6m9F^q_jhkecFiyx@9 z&AXg@t<6?CX{mE2s0rlGj?5IE0Um?p`|X7Jl-1craW;5rCX+ACXL)Gqkd+%rxm6ds zkPV17ILXy%7NOd7TEnUGqqdFz0M_3h+0R3DwxQ9Zra3lz`3`SWK-KhFq_D+QA(5jp zp*!xRF5&V$@E(kR7x=Y4v&-@bIsg_*jfsEyl(GYGcQAN|z@Tn7zY2R}4c2u}Aj@{v;m zn|biEG;baIt<{)c(*3918*@)4o3_M z*n2){jc?E#hf&9<=FXonhN7fA*>zrC#$g%dkl6f9!uDV;0lDvn%&gaEx@V}JL!Oza zvh2c$!$NA`xqG#*@ubaN6lE->WNUd!=I!NOZMUeKutIc9M@e zsimad92GT6kweG^M;8V5fOsGGbBCDdW2DsitS2aJzIv@AR`SzFP$Z2cF)>n(ti&lQ zqQ{ZX*9bZbs4^WrRh?!T?sG#*=8-AYYeSJTxw9zQ&G;PK)Ze(nof7E?^_-O2GNK8o z>h#re)GaWO1%D<|R?Y#o+X36T_267W2<6de`r;!|05(C%zNzXmtiFzt7bj{L3L4>V$G@jM@$7#bCw+5QG@B|=>;mXZdSBE2 za2$(lb<`AQN_JOqZr0m=-1qHq&(ipc)Kk@o2o&X!fw60mf0w2ljCPJwf%>;1CPTHYHp}*jb&O@^BMMy-oJ(I+TSCG znoVa_TFmiKa2Ns=4?WLr=M>=ur-cEl)vUQUz6qW|EK6Gw&0?(EjJE7S_x}I`0;!Il z`JGK#w-Z#zNDY6yK7Gq*~zi>Wykms3GWjd2H$>uwa zC3Muzn=SDpmA$<$!RH<4Vthtc%;%aCd@*lID>Qah)SQyHTF8;*KW#x>JFAftWZ9gA z_`Vz8jzze{kFV9bWx7>LnSQy<>#H*vlA|bxP}NS=S#&KNiBk;nNZwl@m0~f-q;O;; zfxwOQ+a{^b`iqd{`CCFNt8-dt=^Cmn@d;W%w6c(HH+L2{x6c#r5#2P^xwlIys&gH2 zm}c_|x0-59-zb(UiZrN_qBzVOiQ11eE({{TSXo8^%|1d?R$bmR{2p|lqn#bDuIcts z95opxb42oH5;DC+v2U7}%60%*OH`|n00hLH?R;LH1SY4UIv#H>%rt&jq$#kv(?$<& z-GSrZ5#QodlV-YS!q!)>b2Om-SJhO?>awZm$!SqrZE~#K0v!@9r?~jnn~k`y`YGeP zqB>Wl`4)}T8E$_KR!xypQqAP$%Hl_j0v1-^%Kg*Y;`k4S{A)qt+GnWK&wMvZH}#9A zx%OqOlE)eFGx>mD8*yS(Go@CMNGKn&Wf1EpJQP6-_7c2=LWB(KcsKn@VGm zB!tbAZ}Qnl+RJ7(V10Yv3Lp4`cx0}Hqs+5B)$BnDCQl(Z1CP2-KA7KmVw<5)BoiqQ z;tdqJe~Rpax(ayYsDn=B^G1~l1Z^tU))hwtjt!RgBKJ2V9@IJ>i#Dz54!X+pX3XZI zZ1*V5a;lw01eEVtB^=UKL?Z|uP^x8g1B=DS+~OzWhpXBHt-WNU)S4qPl1dn|8hT2J z>R~Z~I@DCN0IkVYNh9aA?maOk>Q;9x4Q{KVgIsjNIg4heH#8ZeiL+XxNl{2!%fGB(4`cl5e3g{Oy#^PvL8=n!BU$^|MatCCqf>^3N2tboG$CKw@%^WkTDd z7X*U9TnmgvGR}bYhPSFZcAF;Yu5&TUGdMFE_l}LqK}{2+&npn51qwGG{vLZ{Sae6M zy%flN9prr`)LGm#`KE10o5h_~$(W>YQ^56-v=L5@>J8oGaBen+H@GW@e!qC?()7Bn zk37(sbvAifpVYRWo>((Pnvw}vK%4BPUDe~c-Uh-;DweUeEmo%{nsHE#+gJ4Zm$&Kh z!AY|`{{RkcO{x4W_=vCShJB&t%rgxuqBAsyD3#2AXr9X)F*}Qt1&G|%C067Ez&dlJ zI`cdJGc_6Shc6SFUn0o!%{h?Gp6P98qw=}o{#NnTQ&P)IEK^S}oQ^8hf!w5dZ^7Tj zJ=8ubb!JJ_jO$ow>N+}V`srGiFsFD#r~=5KrJQ_9OiOTkt-Cvnl@o)lD5>o;1ItYY_#w{{XlH$825Nw^4L6_#kwHD$$xBM`jw^ zR_l7vQ=R7Zk}WbAU;%eKDz}!3WMLdC33h2+-9oD4Fy-IJv&Ic1YxdneqiT)Gvt;tb zZS`6Edi^mO>!0yY)qXB=jJHVjx5JK)%|=^N&p}s5HB>XiTquwS^BT(g65x;YixG`z zc`;wZT$Ui>xz_z^Q>ZHGbq0lpEslZ0xbSDw`Gv zNZ?-^)A)OM^~*En$n-p&8mZBFA5Aj~*y?A<+GT8H6o&XVDU3{0E%3QlVj8ERa z7XJVi&bVj2SNMFXVZ+k>qfpLFI>*2GuvU!Nu%V zcE`7luK6;bUt`xAGorp;sMR{6W1m-3`S~_`m7_f@5wV&%q@4*7yAr!&zTywWqSnJF zMKaEpYK+58>8)#;=&dzIno;v?+cwpetxPK>Y~M7ejwO}lRk@Cu04zA#?AHJcIQ6fu zoj1>VQK))*kmrq=%baIZ=Gi;8Uo;Ju?#$>Ko|KkzxTPttR&Tq?07S`)NwJy-G{QLLr$c>`h~>Hicp^vV)ese)&QT3J$5CMe93D!Q>&WQ?n_ zkBLJI+TfYrN{&tL73v8!pE=4hNa|{($};Gyq=?f|$z1XXKm>r+MBLXu z75Qsiq02h)t1?`!k54XJm(p zBK|nn1dcAJ*3wtLXt^yMl_p6fN{Zx4iBY_@R3b)D*9T6xgfIFMY+7jVhzV2 zTEpjK-q>5y?3+8G)wyR-s51AKmn_aVa&&g(Q5|5Yfda+U9-ai5MW~YIoemLxegnHmtAec59~L$!fBkw=B`s zkY!nYVr`uvc_1w`x8%z&!tH)L1+suJ>t7a8mgy|#Ajy|dRX%G$O%jaCrk&39t4X)8 z5)zBTsJ82w9N^rCIHA>kBD0C)iloa;q~)fpTEzxNs7YzoON*0z!1w3r+YC>D)s)_a znx?NJo+qbru+}WeBB47NH)3pfAdkoKwAN~QsiB^rqcWx5KvlUz>-b!LSc&w*Fr3!Qa}Yld3AH2t5teilKovKg*ns+z!_H-|3BQ{{YKnv?o`o zkqJ%NF<<^5KGo05l7AtJ%{1&d^nFNC<-ugO+u6nWz6^ux{#}v2yz`D4cQ>;V82X!A z_x||obma&1-JDwcct3_Dkyh4r4go>hT;f+xmj3`Tc<3MkI)`DtofC6j z;M^Uf(%gLi030?paJwL=dZ>*ds;P}-dnkl$XEz?FkU8|f>5GF%SJ#?vgYhcG z3f*pda(5BWA9o6>bIST^_RaGe+R9ouHyVh1sN`E%fTv=Tt#Y>)zxT%-WL6?!scL;g zlh-XNi_BDw5IF2ig|`qd*xKjkhAy+}I_eENa=e~~Donj$R#?d1^OdpO#PPv4^*ns| zdDOi{)YWhPk*i7!Z4lP;p>#MHMuXEWMN^j08i6Jdz^PCUU6^^Tbg>YC73A&y@ z=lA*I$m?v&N!0A`&2r4ywA++5z`K%1r@!fl4sAyjRWpd8R3#XL+V>V7Z*w{M-lEJ5quGzKJ$+pulFr#xcE)+_P(Qli;Ki!Zmo>xc$p z0#l=q!oi79R>Y6r>|qJ;S?o&9Owa+ld-k~>cEb#r)O6@K2Nsmt)+g7TE1GF2!#33f zn_TmMd?T)o8mN)Lxd-7FNZK?r7A$xq18Wj}eX*AnNZb?Ed6Ja#A}Xc0WgUR^!BV+`5=G=w z+>UL9byUw&7&j$_$=%(C2%4D07D(I`D|-{j{{U-GNtY+ODj`c*bfsgAX!5=%)| zz|@jSF~49wmRwb7ms5Z3M2apr`5$lJ>5of;G!{6~xw!#Jw}0)6keZi9 zbmHUK__WcNH3&CtTicV*>|pp}rQKU{C55GgJUu>tW3K=;FH0%yZT}% zn!-UTm+b1sNjoiNC03;C{!vmIBM>W-lGV?3g4^EYXvN{pPw%b8U|@i+hvByWg8)qFR>+Cnl&5I~200Z7xp}z5;Yc zjPVgg(O(UnNrO_F+GL)ZdFvqxyW{f;v!gPCyIS`ko;Vz0J>pxYx~_(XeHB|sGR)UN z(Z;#vnx>dMF~-pe1!N_7aLFQW{{T=tVq=l?6HuPFM zHET~PU(0=cYrcTg!Z3qrb7p?%%^pcsx!zfxQf`h+t8lD_m(P*}5}}lZ9Nm|V!|vYw zh9)^apVQR(+fPTPC}sOCMpc?3<`YqQPda&QV@B<{lj2?07r5_kDol$~XWDBzi#f_G z+McE}c&3Ss!RF-pSn>$%&9NcMdZDeM*0kEqr+mu32z;bks7o)FP^nO>YYs`{*YU=) zRLu765SRNrlB(87Sln&$fo;Q%dH2Cpqb@PB!7GrbX#S6=@7VtN#i^7{m1b)tRGmJd z%SF{%n8N17Ud#lSBe?8&x6=kom+AVcjYQc6BbOW~UKZZJBmVdq0V182g(Y@^2}3f1 zOA%mCe@qRj0f>iDVaV7l0PpYb{qbnk=^Zy(j{gA5BTE7Ct;fIN`|pN|oh_o-rKfp` zFK|(19|`xh_&+bx0Vz@yBttRm!MySDU)4eM?f(EctCQxJ zVyU5zJIJyrvTwKKxrI6M<^HOy%euz?*S~JxjvJ$fj&=L{oLC#5z1!dFF(}HZa-@2x zKF+2Ujl8fF5KwXX^M7-EHfw5aGpF+?@~pC+3WqeX%JS3Cx;1A&+i%+Iy2N^R;Dd;Y zmfporbqDC}H~iZ)iz|pieCOL3mQ_Fr_hzsb=N8_X=?<=_b*IWQ`dXG$0hTPv6Mi`+ z@ip9I$9jYmPzwSPSlw~vf99VAAT>1y>Csl#C38eSD4Q9@yyE$G;4NCovdy> zt&ELs4MulRm427~(VL$XvbtsGl62B;nQI*@m{dg_O*LG!jWm);+NFpR)07T(Y<9R{ zEJB0s1VgLDWxZL|G+SC~i1kKbg^4$nma0Hc>2v-u9?^7TOXhSrr3GhnV<*>e;*W}t`RplC!Kc=3lpD)bm=geTGl&q4(t{J0^fdxrWKx>Xqdt%G# zKT{&qx)O_1<=sBjRB>iGl2m1Rws)y!s##tD>9}r5CPPhS+;S1HpOa9QQt z^2+s6Ci2t;QfF310GDDmwTyw%%^1&UQpvShPiro&>RoN7sPw*lQB^f16UX*AX3p#C zBc~{)HS(dFq2h?`Vh+R{G40%G%@eMVLwpQqZlS}_T$feJn$>1h^|e)*d{6da_Ue%f zyLl|k8*PBN7El4?V;~%|t1_e$(MG$Zkg(@ zQ`2hgO*J(ZoSIn76 zt-67!G>21s9-g-6{u^=}gD}grjSO^A!$gp-VzJ2+7FSr&j82U-WqAan9(M4dJUjST z@d2kYKB8%eGkph`>bjbkvg!z;^P!G-rIB5BEyAwrC}7Gg%X@M$V|e!I&Y9F*D9m*} zRn(fPvb`0kXzKGlE*3eXifP;nJGb=^h^qeprkp5AIPSKSYh1TfW)9j9!#7qsd#AF9 zBXp&LhyMUmPzL5=(TK@Z1hX+VW+dF3@^r9jNJw<^84l$jGB+2uvEZ9=TvO%JSA!Hx;g;VL_ZK((aeH{d=$}+H4!EHI z0O*g^NVQdceJjc;1zd(mlYjb_KmrCNl>>ug_*PU@FywK4>&(f^y8XuQ#m65j`~5I^ zwQ$Aq!}AHtmBwx;Kd1dCU1Zhtl4lfIa?s_iTUAkAIEplgOB`2YVnyVdF<=S!t!yaP zJrCE~eQR|FTV0hL+ecLz>8T#M8lXo`V}CeB{;0uaCgc|8!1ICVE}~~$HLlHbJf4!4 zqHND7IeQAI1c<8D5K3610^{(Vi_S8=Nu6sNT`NH)W`7M*S5sEX^HR)Z z+R^#2{{XFGDnbCu@URE&^B5AEQ^@%uGH_#~zMklqE3>*vobqPO^7+}| zNaA^zm5xyG`G7H1vAI#(lZd{1q$Q!MrliffbEGMys+>hh47y`9-z)CgLW0CGxdOwn zIWJQqzhY(w?ref)$#gRI8>j1>`7XjfiDa$PVBhMh)w4TJk>; zdXFyEn$K6}bW&xxja>O|S(fPvT6#Kmo}|!x#XL0oR(y%ZKu!I zidFW%UqoETB#OT*>BRAM0lH;b(+x5+_RYp!E=sv3X0?e{W>`!{FTAb2fCAjyTK5>6 z^iv_}oY^&QtjPLrrRu+yLj^`-njy_hG?BSJTe%8V6^J~wfg;_pC(_;}_5P0PereV` zr>$=es?R5mT;DRN(p2&^&lwve<*ggsZY-(}>k(oB5uF98GCr5-eM6x1&r_STb85Kf?pcJ=0n{## z^@_JL)mq~)(=N0JQ)Y5ze_>R5WYdks3{Wu#YEYsQ&Q3h!qcqnrU!)mH` z%;pkIsxNOWtIZPvHx-aDBGxJCd`)PbJCsdxDJrR62WZxsRI&mBBQ&f4VAcd}=Pz|9Rnm0_ zsG5UT>8ysIC!(*;YHBHR+Gv1Ec-j6H0c;1%00sL1EHQrc+ex;o&^mICx2PK0Iy}cs zOZ>w=rqz)}mE{sfY6NuePSOVQ;*JJaC5PT6M%Cqzr!EeRxgqoQuT*8!xn*ZfHP%s2 zp48;|a^@NKc}rC+uvJ4r4Kv2B$jiN&CVl?^7U29?sUeQ5HPm#u4qc|Yjnr*5CRvl# zW?7vzCS46mOlm6Vpr?|-;`r6lmYgW`yK`pZPoesq7D=FVK4X{lPbs6y@?%dfaiXV| zR+4(boKGp7szgP;&n!g97#*lkT%D#V<40QLTK89F8O0W%qRc9vB?B>=Ev$LzDdrWG z20D0I#^Q?~l*~H@kb&v|R8z6aRmo3vE{&I}b@Mi!Y^&{bH1o9=@?@b2<|4g>NhFp4 zd;;uEmfUs;Aj{yEi+PblNY-DDz>fa_KV$jf!(UO;!LBK5=`u;FDYHD5nyQfoOBE53 zN|C?Ij#&kmfjknJQZM)$Y5 zxbK7&kcS>($+R0^e>_^={{TeV(ZhL;XD7MBf#=OBinBoFUyDiA%@xV6y`r={JOp>QiXsp7Dp0A;Y*gLghq1TX&pg9Fz1VzH5?J8C?Kt~$p%)URCnP*m!sc`Ku% zsS$;}LR8u{HY%IrL36t5Eq+ELJt?6~kFI)`Dy7V2spBs-14a*D)X zi}H9lk!l>5s~W1mJguwgewc;xss*F+s7y&697`k)rVwNzGyedmMJD7Gcl00zK9OZ| z$Ko$IqN~hOzDQFs2D@QVESvVUg5v9bPs-xlVeoCPPJNY+Pbf`gVni6k&LjhCUiKZ0 z_~dba_r&g*vuZd+8#H@Ff}tnivM?6g>%p);htCjA7e!M&c%h@5MJr6|6b+%Qc}_m> zuY6AFKbh0gEfkarZt7M?lkV~H3;OeK#{U3JOqvQk4Vr=?rK6fp{m+Tx+x>;RTpy+W zc#bSU%2^X=Z@JIz*p%ud60DYuqTe0R04#VuzM}kMEY1>5k^tvzh+f{D8-D))JSov7 z(csI^i#6&EYP7xw$6_}72@wT$0r>f9Dk1ujy{{{Y$41IPNm)A{2h z_&0%P&U%3gq4Li}^@)?nWrh>qw~vqF8I{${>ElCMp$B1A%te9&*>4#G&=00Qz<(5& z7bN~0eM8hLZ#2)9Mvx-{LVdb6V`1?F@Q=)6^UoGc z@oo`|jgfqxOFS!etHwuJbIk*yb06YY)f}1iRH6#$^7!dxf`&J#mIYNp6w4u4(XQKh zlbhRiHMVKt1H|1Hem@`h!}~QcFRb(>8lgJz7N6qB_#|A&4Og1veMH>;^uq!QxB9{(W51WgTVG(xkGV zA!F2Zr0p%m$C+{Xi{SM>R3iUKvY#hUEj>6>fLoLsn;c*{!A z1%-w+CX?z;h1I<<4;Hn{Csd=^NPgWLx^1_@pgFLyEno%jbKez4TP|NeQ)tqIHiEK2 zm_|auAa=QMED#^xII7yKLANV0$BP{s;!mI%twG3til|!#3Mb57^+(1;kVr&9(~8l6TM3` zt0O|z*u+?mP%#V7;f7|i&9&@oRFHdi`QofvWtN?htiW!Cj~h>^_V)UJ#u#ees+Lvq zA|~s&l6woBK2JkY0oz$2+6gEx%M*kdtuSwZKIO2p4!~cLf5QxoAeRVft*eHjG**zQ z4mWKh^204>6kV?7}u6kW0p-{B6V1dtGGrtaefCF$!XsP^Xv>|ytN8;*?Wrsez;9j zDGFd!<-LAb6y>4Xwy^@?$ob%{=>(!jOGsDYOI%;m>M=a1kx7L$EmEnJkj!mmEyv6p zCzhjZj*$?g{q;Wo0AI%nf;&m)MBoy45DyG{ae^~8iU4=5i+n1|w*c|q_w9m%Z35{k zLOQCn0esI<$Q)erhRUL1K*$c$aDEH-!n%Zvi=RaFJ3p+Us zo0{fDTZ8z17;UNZe6mc+NjzM0Z(Lf%IY*+NTrihqTdaBup&`>1)%0|8dE$~*UDI|U z4Xil!TUi%LA`FrDR>W62@D+2)RX(W!yyqlpH##5T2mm$r>)s#r_ zNePXTNWs1Rc^v&dc-GP7l$%WQ{wkq|JQRIXAgc_Mjj140VoL+{ALAZ0^(@7?uokf# zTic%eoHA2qfFEz3*@F{xB!YqP@4Uy9;jO_a_?Ac4WzoD@``Wh2#shxHtOn z0Kk$7W0etj9e^U+fcpOJ?}tRo_N#f3-S64?x49>S>4i!N7dB350@zzIPJ%N zJ#eO$r^CEeOHl&_2}q${~0ZU-jB z+>SrV{IIEGkEt8kY2`WZM(O8@s++3X;u(;Qt+(Qjwd~6#;BS5b{{U=rs9j#mPFpsa z>E&i?QTF(w8TO&gi8a;e7h=FkVpcG=zdsNFTZ~ocWkn=N9eLTy>*$jL+v; zNU*slaqZg+c;KC+46;gIq{pRtTTE*V&bKVhWrRpFdCpX_%*hkhSOivY`*|&3MatUz z;>*+eU#Gfvs`_s*(DDdN=F?C-Z8w-|6=7BTLjnO!&hjV&;UPf;Ul1=1DO(Rxpv+=f zrAj(!Y9xvV0p*QX;z788c=W$viMDB%=CnOb(%Gd=eq|(8S;6w+tud1cF1#<6ZfqLd z^I`boww)H47LQZrT7fE`KFy`6kuw)i^GIESjzV+TcQ))WFHE*;h<;{>h0KTrJO z7DThtE@uYiBxf?N&EIqr!1;H#t{sZVvIMALw~bitPbX>yq-RgR6F z%MFSF7ADpM5j@+bT8F3F@p27gr(TY-Hr!yDN2m?YxaaUU#etAU=~)WKrHOI?jfX);PSoQ@Ubctn>Y*oRyGJ@14{e=u_`~H8K#gRybC{|@e-qDpk__^cs#civh zl{F1#+M~Hy4%Hyq*5mQOHmppV6l9UKxea%d7Xp>6r`Uu3_=i)Yd|~3=0lM+u6HJZ8 zK1W`X1yU&(Ah)f(59Nr9DG-9Y!MFf{YagelVTn>yR+~h_r-kVYPN`l-GSX}n~)C&>xP_`I0U67u_v%1 z*7@7;#HTXIn=h?eNg;6{h8bU<^gK%(LJ-=QaJU-CW({(#e=27LcRje81 z9L5IkC8tAfMXqgcp}82{-FxY$TXl-{4jH^h|q13X#hO z&{1HLSW&<2E9=HSUGW#jIea6;vEYJFa<<*yb;T$A+DDY}FBXoM9H#D)Z;zt3{{Up! z^XeTeu+Y;8U(Au?EhBBo%H0R zN!q@9tLXFo5Aj}u#WVRh@>_n~{{TX=@lB?Bk4@pPth(c<`Z+QKsdJ2nFw3&!%+j({ zjyj48XGtuo6#oEBFk4s>N$qmsP0}8K_)p7fbZ%9vXtEsaQ<&$~ImIeXQ6m9nk}$vk z2P7aS*RyZ75qQq<;iYwlPBY%OQS~ER%5uLhqFq0##Ni_{N|7HfIaNG>Hxp$9eEA0w zodZkO`c8+z7l|s&iDq24)mAX9s< z93H~_V$SGhSEeS{HEXKs@|A)uKbcJf=PeE4%k$*Z!OOp>mr{-B^~q)6+=PZZ%Ie>%{>Y8bpl)r^<&i6`#rV4mn-p<)TqoTsOb zctoWQ)%%vWHoq6aRk?X^%;?}MlEeZ+sP21W_16uhvRakX87`2?7gJ=KYdNUQs`AQX zEitW3wq}N#Y^>`u#UyuUBWZRk@dYHgc$Tl|&XuOfa?cK${q-ek)n=zs<}>MKi6V;h zvC+o9Z91cmhONsgup(5s_;!wZ%viHW9Gm9)O|C1pxKExhzH6b;zjHT3LTTzuNx0eV zYwq3Y`u_Xi%D6t(mWDm04?cqY*dNEA!x{rI&AJI5S}$BlNujDU7~smYY~xJQ zEYBH|BrPrJ*Kgi(E~3glA~utTjFYHc8miYlNXqK7ti0ruSw3YqO{(j3wK$V9sE;s( zXoRRBQ+X)bXCQ3&zy`9(_~TjUeHZAaX{K|1O!_KVBl}HNMO}3+c##~YkuoY;<0Lf9q)%#@8Ft)RWdn`3 z5jN>(PUN7-wIxqO^D!*haJ~==lw^|H4A1Gbkfr2*``xc$17PgDJ80c8%k#LS^{>@WdVQ} zDp(g|jH2u)MoY3C)BgYrT6bP%`a4EbJ$qJaB+H(=Fq1J_NGMvbFrJM=28r8hc{eAM z$N*RqhRWWD^!G5xGMxJ-&TCRlL8@cQX=xTj zsq!hrl~pMBqvPhn`!dyEKlzbUTO36(!ovU*y7=9JWuRA#JU z5&7AjO)E%GW=QF2)}`9vN1liYxFnQamls57^D?yTL>txHaAI${yL0p72&-mds+8tH z#8?sjuIKUeKb|D?+8ZsCB%sXmexl_u8i+FKYH6X3q@JB>Qn}GJj3trBQJw~Twa#8(1EwYV@0dzvtKVTs-+D_8=!F%6z(On*@8Ti$r{{q_GfGJY(p#cexx})R!2*kRnz75 zjE_}A6wD%&rM8wAv9SRCR36}9_KZz3-K^>!y3{|;O&*G-%hNE);>#%{r_7f0n^}=8voNRKblYYLoy+CUVv;JURIqMC z2C*QH$J6q}_JdTt3mREr5*vnwVxxxQ;QNbP^2L8&1152qK}Lqr=5vCvT#g)z50&r7 z=Y@V|VdXQiZM<9o+mBoXm5e1ij-%~U$sj_aRNW9A$7_MdAX~r75!mS?-?66THJB60 z7w4P){{S2Tv-+7~hLo!njBOkJN4Fp63o_bNoUxUHmTpDG{#Y3<4lTb2S%i63sny}6 ziDIbfUZ|%LNiN?vepgTmsVZ*g{Ug<^IKLYw{{WX4r@HG(&(%EVQ}p*U%IGSf%c7#p za|%fzT8Tr*#uQgkeX2teIOJm`_$QX5BkLR)q)izy5}s>v3?WkKcT8P0rxljQXL4HrJ3|61Y2=ax!h1vfAcE=HD zGAYqta44suiX-;5hSUPchiq{MZ3uVny+^=(D$3n8{S} z0^|B(Q)9=V_w9!Yja0Oi5KNVm6-j|98j=OisQLc@wi_9^syN7h{*6cQy*VV1Qgv6X zZC@N#H5D~Eb3(*_uggeMO8y20x<$dK8ywU_TYBJ*G}r{%{iuH&1&Pz(Zj52 zw9nC?_&9z#B%?MW@LyP=$&QuTwcCw#V z#m)RW{115Y@K-jW(HOax%TedYb!3lWxb1QWH~E|l z=A0TwD16pqUbehdolnjRx{cGqS&wo|5&r<=6~1`_!y`u(F*oPGLZ1HAQ62eu87G-n5?~f_1YtLxi(JO+o5vt@!WMp{Nhyv?x zPkZ9I)O8;bk_BKC+y_5DPtz6#uwhXQk`KOYNdn|>ct4lqab4z3_G!6;aKCfN{{YG7 z^u;Q^OQHv;Nh1shv0H%P6YJj$*|ggv5VJ7^65Dte{{T32sH{k?%?9M%W9x4CZ_I=e zz&2=%@aOHV4Y`4bRdf}~!@+*<$Hs9t8sqAmg6XrDrR220PuHD=lb9@|l6#5(Lp@q=Opr3?XsJI8# z{{W^E2Nd%GBz8jJ0tajRe*G}zJi;Ue*fedzHNN-!@rL@4yZqP)Do5O5$T)0z083d^ zUM6WQZ{Kua066SD@X=Q^YJA2(QG1&UgZ^;gTJhCG<(Nv6S7>jaU_U?84YjcpVZBdOm2iL6(lL>P2zyutgGK`N-04khk|Si-2R;XKMV_L@+r*hR*}J39ezMu4m*q)2%TXux!&7;5!<#q zO$3ap2{#ri#~0_G-M)V>$i<~|x6G6VJTOoP%Hs-hRu1%eBA!KCH^FNi{DOa8EraW6 zXrU3jG7?DJYm>K=?Tj)$3B=r zO;f7r=;|`c>geSXsiUQxVtRs9+CAs}EO={N?Y)KVYXXN$ROK4WOy=2#PUgs~4totf zKtsNj{xP)oVy|Fsr1Ay(+!!BEGAc~pQ^P|xp{2^)Mp-qNpQ&XfeWBGXBWY*T*=E*=ixKuXfIJI#1bqPKj8uuA<@GVh%NweNQ1k#BbL{=;sf66kK3Y5a_u$#Ye^#qsWb1-bn1iS}8PX!}PMO>|*x z!z`P){{Wxyidl8IQ|zxjZYfH}?3$JZt6I8<*5QE%XgiI&f5s=;`z4HOth;3v!8V=B ze8(fZ{f-siZ%cp&)E?MFk<#duh)DUYa|C<17O(@j9+$-Psvls?^$uHCOGg}Rnqw@4 zd4F_9DU)F!f2e{99;Ei?9#_TuPA^l9CFw8hdEXcDExGa1@A&tQFq4m zZvw>PVhX*MGs_eMjC_LWUT;Z~QB-BH(?HSJ(kvcyti|JDG%x0<2Zpq4miCdoarPg< z?@}`=Zk=i#u8zFTQITadG={HEXPO$PF3YmWl~th>f>{wEL{L?xLh+6sLUvyt5b^lp z)_hHdT884b<>f1-wYfjf5B8^DHTy zPO?doX#BTSRnz3zG!!tu+NF2e+lY;&b}q6J9DMvNZ*B$ooB00l-Ii!s^{Q%`$;32G zIHae_DkNuMI6&xzE0bm2zh^hyZgE9TLtEo3!cS3hjaa!Xw3WJ+x?LGtB+`e=Gan&J zKf`~8W|7-+AB`Q9sa7SuXZ=oJ^v}gK_#xr4gG&ZmcsA`xC^-4M7in&BQsqC)tAo{Q zar`GQ5{LbzV*Fagk;BVrM{O_lJhrYolG>jZWUm<=OUpX#;rCT)UX67*Cd=}wSikC= zmcJ?~CzK{x1c15+KnjKj?vV?N+*m;TWp%fp9Y5+NHk|6`NUAj~wb>0mX-`u1r(|(S zH1MhgX;dlxixNQp0AFKsiJx9PJ?id*csA9#e@@4cROj`ylFzJjG^>;sp^jEykb;+Q z=-GCVmomE(@TkR0;!m%7bHe==g3-E{rL_9<& zMTXTVPU8EppZZOt!ygC$3PJV0UxkyFB;=yqT`KlVw{^!->?G|;rl)X!-Z zm`FYqHz)32;m6&u=rP)IOHHtq$S zTK5;Ud^UKQ(!CAo9%<5jMNvkTZ?5wS%Ji10S7cvhqlz$rxNu~qVaIOgxD4UqgZQ`A z>CHDBeFM<>PGwP1MAW&aaj1UK7M*WS5;}BNLP+~2{{S(L(k8aSYvPW+9wUK4Xt62W zqMf>^xAQ-`T-T|o9WDstRJj{;UW&-HH;i0^r_a-kMfQBEvRdkvuc!|-eLP7iK!~We zEYP~`Zf-4ZY-}F_J})&tiBA^E&|a!|ebCcoS){px0g>c0r}Efo;F?h@NhGW!P018R z*;eL7>{&)C?*!f=x{=rK;z^=s*R0#;b ziVOIs>pcbGN1>X3R&-;bIzq0iR%#ZbIsIl(Dk*4UNE%y4sLG~EK(Z1_S}8sj^!Y(o zSywczRaY#MPb=@0rI52o#0#+}l5fdBjs5m7@OJC=VN=$4JRo%rjtxPfwUX3HOOoes zC0!O>m{U`=M03X!fU0jwXNi?S3}jMyQGI-MFGA{sMxLe9^<%|%Pc#oy^#1@t&QnraGl8(tsuguPShE?1mTWjPEv zbN>J}i&d=RfL1I&XMtKNo6C2Oc-zWS8(|DrXeS>)JactRCg=}WdRI?ZPn2bvVJI^R z*qhR+I&ws=N#HNAkpM?0dE}iQ3UzkUy^B60#+>VZtl#2NDRFxTgkm@NPF#CV< z>Nz1#vVuX~R@GurYa&9&;kDMO)ZQ05sgYCE%~6+8wA5K$MRsdN4q}ZqT^tl*x=~P^ z7}!&=ZKs=%wz;+)708tmz}Ee4(s@@?a{j2#o8@}%B!@Mct50aEm}I9+rkqBr1aEkD z$K`w|B37sZX> z*TX)7>(-p==5?;?Gb(DG52s_V$(n+7jyWdTQ6r?QA~7b=sN-(X%y~O-PbS#7v}j?C zk$q>>O;fG&U0j-jr+EfXS*r7SvmCKE;a8#LK&1pcDp{tJf z+K#cS3la|T$*?BQ)tLr}%(V>;iLJ`$rp~GIS!R-T)4{2vE0@Vw5*ZA;kqatDH(c3^ z54vv))tVDfR(N!uU1oW0B_svD+eejY`e?og1DHP9R?jB!AKpY&40kv!v>q&|*I+3x zLp0~?B&v2`Ll@*&kHhJPMu7{Wf(S`2KyLp4Pp%|-(vqGk;L%Q6OYC$Fdya4N?{9x! zUh&D*qHT)qHkEh% zHeXKlhF$48M?|qE-Y;OW?IiaqaM+>I?4Y`Ro9XZSF3o*WdNTH>Of$G3reEJoyz9{-d5QYyh~F5PqKD zKb|dhq02@=TV0fuc+Dw@i1`30{Er`|0!U&>nbN6#$y~~BWF=LZIUM}ITrJ7783jyG zG@!6`<8{{m0O0ZawgaC)8oA1HZ(`RRpMT%J1*fTRnE8m3Fb8$xQcdsa_~9~Ch%^s` zIB6m3tQB>e9S&ERAmH1~G&9+V2b&uae_MC#ez@e3{SgQ?&i#&>@aOzma~_j) z_NPSZ-6uU3U~?v#o}(;@XNBS@s1!RCKL}B6$_Tmn6NbEj&ty(LtHap$YghxC`3-?dC6_{c(0Fj&RDoKcV!hvY{4G(FF|L5>NTU zaaT&RovgqEdm9XV+NAz9`7HJ#pETGxXpG{Yq*XA8!w3W%?JR z(MZmB2)cmboeAWqXvr73?PG6TDdewF@l5*7n&!3jnO*Wcx)~yk0=%^J=gmc6cwy*o z#lRQ0bKIb$%rm^AlxBv2c~LEZYH6BRBv`N@1p!ZUyVoByX}^u9j>`Cr6kZ-WRb32{ zuGFRK6uw(6mxU3>W7^j@Hx?%jfBsr88U901Rro*B{{Ug!97jdeD9CvM?p5jcZMUZI zK4-QT^Q19B-zU&~%Tc8A{{S;a^BA`6=%fIB2XHU={y1mMbv|GN!(CY$JFqOSm)p++ zf;|ZJ#vPaV(D;pd88ZDv;Fg9!9b|?oeSb>PgLB{i08R;;YS9(-jU!&qm0BFgICVwHVN&8C_aDK^Hko9%CiTaTxH z{jpx^6^W$t>5#KB*v8G=b7FA6M{E5>)o5kvF0s&+8g5G0VHQ)ER7WK0f=4^s%OxyB z0Jdhh7vlB+)X_-sZiMaI$q2mr+Sv2@%$xHwV@DSZYRb=3<&w;-v68z5z!tIjV!PBT zL@fl7kic>q{@*}HzAarr_KBfoC^stZ7WNm#b*W&e8>JgVZa3UJ`hJ!;Od4pi-4Q8A z+1;uH&n1boZ@16i2qdgb!F+{njk}NsbNlte`m+p@ns#v5 zb^&nQ5)H5W{{Wmvvv}*JPc{|??SC)6Bh=zGn&mGgLbAs{5r9ll76FQa3H2;Wx_BgsSIPn~y@HDma4_Dntu;W6jfJc>n+!U*+)?#uGPKyLZDy1GO9{nL(#(MB96QRX@#~hy~iJp1oUxCLIR{Q_zM1?Tyx6u zOF9x%vG`mYj{cY^#z^xZmfsKDAlMFjVBwWZpxjd=;j1e5_jdIG$2dU(7he6r^NmB;I+bWe{-wnH4=Z5US zGboIr*mA7B{e1=<>gte00C48l0GodPpIkOqzyc`_0@~IczPN7!Wa$lJ3UvjY*sBq^ zu)pPmRRLpm+5@W&Nq{k zLv2x$$#}!r3AWO_6Y|DM)ldYG?W$l21nuVC?e+D>w#+}T6ptFM%tQ#uwY{;HHN7E9 z(@rHjBAt{o5pqSxKE~hQ@W!5vNhj=iFB_BdakcgS#7atkHOtBvs3z(MEWi$V{4hFN zDP6XpSje^1k5lM9{{YSw6_`XKiWCOtoxP36ApZcIEX(rhYUmy6Y8Dq);C41Xzdqlt zG?Qq=osDfsVq&x2=H|d3hEXxs+M&4gNIbP+&&v|ZhQKH-RQth zP0-Vy^fy-NDu3oWlPq|mT-E3mO8~}YRc<{9A(ZY0#2yLse4y~t)E~7~3e2*e8L4ZY zkIJc;5vP#>W{xYL>JTs6y~lgv$<+N%==W4=vz(t)XSMll9X@)QzE_(^P7j(=nmDD0 zWyu?ady@XP1bd{>8po&Flc{s{gE!SQv=uF!Nj#Z8Z$l)}!%jeTk||>(d8cU>Z4%&L#5)OmJ$lC;4pS_x^Sr7X!X+@Lgex!U$6d!4?$jNd+!NyU(5*>+u% zseHFFql#SKJfOgp5|2E%EwmDBvHT~JZhp0fq|^&ttuqZxlgTW9Y0T!Tq>#u~MFvvn zO^*yN4<5Kzo9oR}s;c6t)Y;sX3r{BKq1+XBUhVLx19A0YFK=8|o0C)q=^g6yH1wLw zKBG!|D9)-2sPAzj6WrUmKc*t|u{3)gMNmR*RPzb92FKd`;=O zSDvO9F$4tn+HdZCZQl&CbfYecZ?i%x#lgG%rY71xT!C-b=Zcb2NC~M)az<%nka>>~ zETZPc$i1+pn>msZd6e86SX+;uAMc0gx=|zxXr-z=T~sti`bFOEe<-DP+Q*pyefT zqJgD)qRPvEGt}|h>EH6g88cZk3Q#`KNhfLG8xNS|i~j(e5Heb7i53>Csod&520{z^ zU%w~6?BQi5k)DumM6+k($Z9;K$MGDF8d9l{r*<} z0BlI|%&#|D#Y$!MC7Er1vo_zmZaDtlxJ8s`+?rWMG@8OlrdD40bd11y@T2$6EIf}& z*X5GLWb0*QCM?(2?QSEf3IxgKel$2CO`n8<^*G)BzlCZuGrzaVZ4ao>^$d^J#I^g5ZK zrqtbZfvKWokVTk^;0ZhnhK;^1=I8Y7h2AK2K3|#jcA{K@IBMgQOXL7m>~JMS$|%#! zKx}MGZY)Ot8;kbGSYEF#*1l?gw-^128Sp%fZbF?kt}>ja8g+*=Y3FdP?Z0w{3_uq5 z;2z_>vA(lzvGqezbemjOWnC!M8CFa(H-k8(t?JE1TIJM+mMYgjVUXP3p6ANu@v|qw zFOQhH1b`pr4}TyVzZbtE_xAq)oNFCf;+H4PdS6|tYr40l8m~IirX0g9qse9&=3|<^ zQBjsERUw$dB8e+1AI?pxz@ge+%6uQh-v_@G)nj;hlpk+*y8i&huWws3<>AnAloh2U z)2~$3HTEPKkBORGt4~&R{{Sn=biQvxPd!aFHlcb7ue3VvkSy_*lq_Vc@%=u;0tvn? zKAGzb+gyJQKB?rn24H;bt5|>3v%IC^j8oH5(azpfgl&03(M&=DN|KD*cdKLPu-2CX zrCp>DSR0 zzlohim`T%qxb@Fb=}f~a$o0;S%3#x!^>pFtV=DzzO7q1Lb4;w&6z1=5z3~O@hz~=n zjW>qPCm)O2-3~H&a@bCYO-LdYUt;p35Rzp+Uf(4K%Y1B`H+Ea3>(`1tmS=QbZ`ZXw zZi6zX%(4lzW|q;k)uKo$=le3t_VE}$a8%UK8p@+`R2thNn^jh2CrFw{Ivi>Hnl`4~16zO$fmR^Ea1J5ru`!@JWVJ?!$ZWw|HkF53<#hQZ*=lt9 zhcAySY=!5mY}qG?s-%)Ojg{5d)iX*M{{Tsl4ZC)aq~ndknW>H_&bpH=rOPwA+AO-c zYN_e!C5oQ1FfBYv#4Llj_?E}<#1pC2nSDl`l8q-~?BJNtABZU+{yc&2&Nr`to|SYT zs(FuDYV}rw$Tbc}N2%#3@;W+@QN=w(xtWSeNfd`i2@(4s5JU7PghF{`St%ITvoG?`Ug2$c?qIb#`uCa?sR-at0E#@a_z_+se~j*PQf zXl+$2S!D#36HPsJTFJJdspW_QN~zjelnyLGUw$lK0-X%;7pJ^@!`FVM>e?*3ET^xl ztJFHrHq}tFXVk8(x)oz3>O|~UxU^!=WoVZgng=h$WmUtkx3BpM5OAA*=g+!q`X*J1 zWp6QVtgH_opRYH@%>E1>8TyIUZxwlOTPP`WEWb=>8QQ-v&Ecl_Dyqy)9C>;(4WznA z?l1e~-(N6^TFh1AosI zzwtKHE3NzsfAksfi>dU!Txhio8)jNhOy;z-t6!4{YF1ikqKTDU8QzjtQOk&$_vALl zI5?IRkz0Qr2&$%&r4=;6PQ=faMPwz~=Pk9r1#$R~o<4Wf%qOUgk9DY0I}i@x`}+Qy zAH#2kI{jPGO*x}HP3m~5^Dp|z8%b$*)Ckp7)U`5GLs&!s{uPno4iQ;O`7Z1LlI<|@ zcj14-H%9ypYMp(O^re66cGoMXvU6FQj<=?t9<@sreDBdrrs!=m!k zgyqw#$yrk=4jDHp4U4+v)j;&Wc2G+Rc0rlxoRdM*=NW}VreQ^;WqiS@PH7b1V`w+i zBvx-M?v^!?>=r+bcpE`AhlaQ`?C^C5Et-;wTBYf&e3^W6g)$0+nwXGcSu$jD z2m3`S*rk(p{{StV4dy-II7{ul&9Dt$6u-8+sfuXK5SJ-J@HT zVRZ(^9gbEVn#QI?AR2S*Neb2!)X^?Jq z+$=s3eWJ&c>@9|D^TXGOO(Ux{Ely9QB9kr6v#F+vU$(?IMr4qo+FW0T^%n$vaLrH9 zF1X21RkAr_cWaVs<1E+thbQ~~7#VZI8yO@;t8{b6T4v9)M1V;y;|fTu>^<0v?YQ*s z^2C=}S#gaZ>KTJ}lqDA=Y<;~Z4J^m04 zm(Y5X>)(jxdC@Mm2aR&g7N!*Lt5XjCJxc;Na1G7V19hg|uA>>n#YEH_b9B z^7tU9dF7w&k-d})kOXYTFYC!ITq(* z9X&!DXdR1)1CjM73H4@-rp)Q7X42G#O0T!aK~)?DnlUuD@_=GFX4>N3n+YPs96S#T z-BC(Skws4I7vMyY^M2py{rmHVVNuB&L}Zgvrbkn(y4@rs%aup7lqVpgV&DCgM+L>! zy+JET(op4ZA#uv(kuR^wZRy+Tg?Z10zN=F)Z{&tSU;t@AxIX0Z@A_e-IvdpJKlJaB zHTm44p==2Hem|2SJxABu22l0GH_P939+uOr zys=p_RA5Q>7wzkA2kD0RIxp18T+y8+G_5DYyf_Bu*xugXo*bg^t=1a&K%?n>cG9;F zm0O9uyKQssK6l4BDmiz^uPW=Nrt*|ioT8FNkcE*lC|W~tYZ6fWBh#KO>4o*$$E_KT zTj#G)QW`<H5!_XpMETl2u@8Xrn{Yw5;A1T?VakRnr{^5UbXNKhLAV_~_t z^&}i(h)J@Lfajy$tUR?*M^_k7vw-6_zLc}CdJGTeXk zq?6Nu&9=uvyeIgX(^(BVbtkM9d6a=rNqnvvNWkD&d4c6+;1Iwsf4hxol|uMf_M2<+8HV-4e=a z=Z!T!Z#_cnP*#)#+<*F_4aeXQY*;xSn9wwfl zJR@OGhyVuPt?Y0pvj{4a?D7ZPK<|I1Gk48)%YIsyV(O|YX^)w?goNGLUz6|8;rke1 z&m^7-hYH7Ktk><^x9NwNYFd|VJTh(^a`(5bu+gcq_Nif0=9K^f;YYWp_V&bXZSr*} z@Q6;OrJNS3l%g{?#uOWobMwW2tKKRc&ER6__{2bIS{UV+S4`0WQe3bX4=X0x(uHb~o?x9{&Ko*lL~^r&o;> z^I{2c&&Yn5T_s`}La-`tVjKNDoF}e_&54fvym0C`{eD)%QzGnO&K0F$vQLR_4Vu^Y z=MB|B)Ke5EV*q{-IXqjB)APdo#t9Kwr7FjF3I`V-=MA+}O0h8{a6T2?&mX^BNy9Bg zJqt>H*DR5^;>K57{P-gt!r-vW{Ysh*&U==CpUd0*?~h`^ePnhQ5f2j$-%p3q$O&oh3K+4=Q?j!^9zib62B~Ip5 zvHO-BeExloIVEH^3bqT zETKMl;6$Bo#6>(x#QD|d-8c6>FVaFXI5EmOKoLVCvVUno)st00$wy1BymSK zn3IMoe&{?A?SF3H;~ZIo#zeAqO`Eq|+p#=$^! zvsl=$?eA{>#~QPzU&2OsO6m<$s~)nQX^TTa9V5mjW6JJgrps%RMb9986+E%W`IswC z1>ELjuEYC1MCvZ{P4NpE4#7Qy}} z{2bHxaeTg$Tx1!hXZ-SV)MGEyK4F?+>O)0+_h36-$LDNS&q-IDQ`coZIMh0yBF~{a z>M}gjHf{C40;$@<*O5YjVnJme{38<#*mHhHm^pOw$IO)x9ZRJ$D!#VYX$7iU8V1!9 zQLKvh5Xa}09s5{u`Fdf?SaiQmX+0{H$1svC!XXiwDdke7rIJMuji;9h7<1`scD^E7 zRvG^Q>Z&?O<05fe61p@+oga7~ch<(@-=-|Sxlzt(GaS<|%;IV$s)|EIxpXPyH{IOq zhl{r3^S!ym$|;p&1$HxaFFS%QbzPI?^b~aPP!w8JrKVV~y%3w&a%@k3d`Dz&G9uGV zKsP%89R42PKiNO163pmF4889j(Rt@$>%x7`nV+ z^q)p)KM$QC)_Qv>NNY3fn4H1mkK&d^Zdmr@2HW%k!;(!*wHi5T_rbqnlR3_BB`GjY zi@XLGV|6{a9-RLGd=%P`H%ZoxrBs#!d))EI2lwc3t1hRfr&Weo7Vdy5t8x3|^u7`2 z8n1X0Ndg30Ua>$4?E_Ocl^g;DcAkM7tOd#$w#NSPt3+YK!3 z<@t9~<9DyExIdY}T1F>a!6u$18yp@Vzdzdv>$15HV<(>|x0ucMtzmP{E6NdUqnfJ{{XAMx9np}P;@gzb%v)Vtkt=mq{-^D zo}1-WacXK!GQY@Zo(!4+3{%Y21(q=!DG87Oe8o3V4e-2r1$I}CmyUZg$*p!&W{_RE zBwPc=I~I3Po8x%bX&|9t-{*cXkt2zZkt*zvFUF5+ugb^R;l6Zgb9In8Uc_;JabxiK zryY;bbTrB?(D7B7#`lt7IU|z9U)S*M`e8B6DXOG+XRs^6jkhPBK6mHzKZ*kG=wg;Z z@$wGg!RO!8=Z;NJD{Yimt0Cq-W*$q>C|Vo3C88Mv~Whn^koBmMpfLKhzUu2|~cKQ>mI~ zhBZ|oeg&P>8yj1T0&cfiYJU>mBKl#RWHq@aUz=qW4HYq~lPQ7|Nh(N_?1pL0 z%Mymod*2n}Zh04N`Co`0FZ4f_C;A$zN@smHrqX)Ts98o;O9rf#wpc2%N~)@rK~GN} z=-LoLSq9~0YY<>fth?J5Aq4s?McE3h=tVBB)^$>8{Zl0cd~{HZ$0%%qVrZm_DB_h^ ziz&0Muf>gpyIrR-=ni{Np3`JCokN59gv_2qGGui`%e+}g_-w%5++xd@Hpw+7P_@MM z{X(OHk2?EPwq-6)F3R22@XU;mrQRaNM4L_Q2G;IQ3#YBf;_7~sqR2XfL^ABMmIzL3 z{H~%H>D$be=2u5M-*dYXZUGVj@YveiF~o9ZX{bCq=h}4B&7E}`iU_2Aq2$Qw410j& z21Ou!1&F_FNb+9``NVl>)Y`{hNlBJR9CB1nx?;A;8x`8^bOZx&^}VlrK5ED`o=MaA zw9c051qNi2XRTXROHY(k!zIN(mm6=HMZ$u^X!Vd1TK@nHmtAK%M=6h2>P&~LnPy|0 zXBk8}c!HZw)St8?JwKimn26A_#k+Rq7asuNTFQk6oS3&v=}l#(H9ZGeyf$>1vr0-W z8<%Bmsb$mqiFQgy?V;2_5NW66mZfmVNn%ERUElHO-_$H#j(Rt z`EUS?;29D=$BJ2+X8w%PM8ZkqWHvjLsV3d+&D7f0xZv}Pmm%w~STe=X`h@f=A)7Iy zsG=D@eLkD16*=6HQvHgaGboe^0>r8|L=-#3jKPYKT?VM=y9>x)#FnV(E^XpBJnAiP z{X0#l>GK+=uFOk4eQ?vo#x=6)-({O46rLsdyV4y`FhBdUq37|5{1O77*^ zJ|Vimn}9&cq>Ffyaw~khqNAs@&#khmsp)H%Czn6d%`RI^$x%TSe6$ZFfIN#7!f4ro zS?m-rVAdi-ru;>8>m|tY;hprg>+}4hA~|h5-^~h9KGdvGS|FDqLsn#!cl>V>ZQFB+ zc3a`kInlKl$4&B@>WZwwwP(oTIj(r}B{Y&%z+8aT>VEw`+gt&^apW7;-Bs|r)E=8^ zjMGg98O2sxof-+F$tdTUr2dRkEWAhXAz9HEkVJQ`S?= z0BGe#D#}N=U_te`BM9e*dW4Q1SO9%LwBKVuUt$0$s~X(HND)Y*ri`s0HlXyZ`|kPw2UlwSV;zwe2P zXk>UqYc8nNw96emK7Cq|AowGSszgEf1BEf>+0;vA&T97$iAz@3xLE}cLM&0@%6TK7fH1CajofeoE;9S$TBLOO$`v#E|t5Q zm8wKRgp!jI?oy|A)87q`LsLe0moU_I2hE={s&-;;wxP{|J%GO_pKIXg^KD5+38c+y z>C`X}w2)@iG1Wx`wJ_cfC=Q5m^-0}1%083=JwqPOaKVyr-RNNjtF4{ElBQCuq%blYAFa+L zdVi=(mFd;e`h!g6x%CYsk0lg!-fF!oGhKvoM#{lSV>M)k>w7g14A5gD1cjm zXJ%_#+>&qe!AcR$ADl{V4}r5?brQ2vQqtt~c@|la<(YfDl(|Mv3#3A43+7DTW4p-g z5eD{RY^tlZRX~l)s`XTgRW&tDq&rAjhX&r?dyn|T-A0*-AXDyHdq{>g@$Its~PTIVrSGj)H69;_NBKq ziCK?6ymQ7EQD>U3Gk2%{e^Mg@;zp?;anEc1Kb{q38Xih*iyFvl)pAXob!Q4pEWoZi%%A+?@~o!YBQwI= zxd&56AGr z$S$MJux@OuM|1u$+2|U%MMTyse#||4{{Xfr$}JjkoPCC|wrYoIWZbvr%YR-ye}1@X ztALr>XnrM@&9cWt*8{ct+ONTUj>EI}3`!`rtXK5)@bEYz}IWnvb^RCXV`VOC*N zQ!O(_BP>Y0{e69ZECR4)*%r^}>0fm`RKY;D<^%!>;2&&OnyQuvRm2gpy0G|5a5?0T z$I}*`a`grh7MR=*g+SuiuC;9}!lBv5<{YSQKp(sJ!&f5tE4#k=wPUkp!xqPP)CAdU9PB=j=fM70H>AOI|Pf=&MbJbMtNcG6`_ zn#%-VW|`FNWBo*KAKLafRTTdKYC`e4Z)3C&c=~hs;F&VC@`);1#2=R&emE(qq^5B# z5cpZ{6cffMU~Tb6@NG>xR+R=jNWASPz#d2Yet1mu)Uq*?b0pD^!2bUL#s=A%H2zG8 z%19RUZuY+;+a42Bsu1d5bGGLK?d$veabl1Uu@!i#RM{Bf+}QsBZ+_TJ_3TE;#r_~v z?d|FQ_+3z=-WQ_&^-<^TfxJwnHd*m6B%bR%0Dx zYM1*vD+ah><*C2Fd+~fj(xdvDTrymO4$v=e-|2~eiK8R+cQTeq3Mml}EDLS;2jg!4 z0G=SQBGf_*$Ohglc<26k{ITmaiFr}`9xGbkpD(zpcoKr8O7`r$fMif6DaPpBMk?rn>nofJ;O(UmUq7HzjN zuVwTX`QbtsONiTWZMzDxkKga*gh3if#ZKTAZFOEY*!;V9{oe|H3WpM*8&<%QKnwo- z-}lZHDzk;ZWma3p#kU*$L({eY0KN(PJBs5Yw&k_kcctz7`S-!CEOR;gGOI?aJ`%s_ zwZ9w_G=bGtGS_7WdO?4j|k z)Vzl>)3j$-X5Ln5mnB5Ae>asD7Bb5ji@5FOj!$d$#UqyGb$OL~&{4qk6%v4vMiHHz zkEMXQKTm8;`f<`uq3Z2DU8eQNPcvFtIyhQtEZR)6MS4cCvfQu4t=iu|o-5_Uk0d@} zxZI=BuR2fT2RiCwM?utAe2Xunsg9<6$1JFGSu!LNCzP9+V*#0ikU+6f-q_3iK=Iet zY`dx1z|cKs)O6Wr^JzsF*|V8MVm;&lq#J|-g|Y2ph&a<7a;M4DCwe_dX~M4LYI#*{ zZ@ar_J9zEe4e|8vS{OX1(bdH50ZULZC)?8h03b1&rqJTUCog;cb?^531Cm)k+H4&2 zSby|)>5hWWYITlfoYuuGNmo@>n9Cu7wn7_gF(C+%BsT{C02=!NfOzfc_e}al;&-RI zYp1%^bqgg#GQC`qth1V6)WsH7Py>-8RU);4+Z~OFILUf*RCOn%Qphqa>oUoUzV?Mi z#oPzp+Q5s8_v6sPzt8D#-;EO-oBCH^16sChXD2eiU}KxBy`A zXlpu>d@tNRPOHqrv93lfZ(EpTJ#EaU%Bo@eRVJsH(pUfl%P}i^@%Pkxy)gBydmezkiOQxxG_HglJu`5PY(mgg%(3(d3SP2_3xfX`ui9E9o*Twf5 zGKluG482FpXOM%tFQax+x8T!4dwba7wlrFg>Fuy2aG+k?{Qm%dD`CS`!l|w%s*v`` zs2^zcy(C;8dv^Z-j3TG1vfW7=9Y@8*yLSEie6We5xCH@N>y^`W$Djah9@n`zy?klC ze7+UBADTw0Vi_e&I{~;3l?+KD+*~!RJr@*26>yrxnP8BE#^gg|!R~*)ES|4*s)wom zAM|HPX=vq+r%KgT8R{NI*(%E>^Z*V)0f;B*>_#)QLT*ZfgrgWqKU7tDd{b4#vNhQ3 z4SUgsWxV0PH%xd1w=VRreQE$-+5G-~bu*gAUd#BHvVXD4t z#-^)q^BG(2s<8t6;I&NF1Rit-&euSAvFFp<1q=y2%!q0ExemHMs!CeaYT3W07UJUf zCyO6p+Y{W5DHa&ym3|iZt+)#UPk(WW-yq2|%;L1&gh*rox1YK%-rk<07T#Y*pJj?A zjHpP!5-A|qf5oscmvb}ZsUwKMJ+8-tRPt#q^H!HgJqCNH*GW{o z)s^oAs_tu5e4t);4i4}FkP3r*W@>thb&Zfx*ts9oBakjF&HhL8`C|L&R!x|7J5NEC zMXPn)A(`rW_aoRs1y~EqAt$6-0vrMBIMfm`Ms^O=hHfyFw(|T zG0QU<==|4JR+Gw+qusO%3)=j9<7a;lFA`b{MR;ZZ0HSlOine-;w^r2FQkrCnMwRD< z7iyQ0c9mG<+<5>>JKo0@Y8_E7tY5vA( zB$gWXXiS@l@<=JzZQzhQ56=wnM?H0Gqs$TZvl2y(#m5)*wa3!<^mMHy6w^UcVmGvr zmH;rg=j+>#rZk}sa-A{H?G(*PzC6xfF6^-|fPxMFzW)GBcBYg(AtlUhD==t(^z5d@ z+lC|i^l|#0) zlL#_YO*QM;x6vsscox^5Q2ef3E0V_dO??FUMKYqn#{BWCXXl+X0l~)>UiU%%c)XW zSsm)-f!26J%Nl?{^E}n$a&W7}E^nrE7mO-RMVoY4vPd$l;+nHJ$jqxWS&>Snkj)*G zMm*T|3ohjI5sl9y4if8{?3RZx@m-)b{&kjW4MPCtKpDT(bklY1t3@-@N0-&mLsPb8 z5tzy(ko+X59$X>S0r*6cgP{~!{{XJ~A5K4sNEU%Ib*#!Wtiv&YW_9(aHL2WaP&qOr zwGqiYQZt(?4&>Vw6Scu&DUi<>ZIfnNH%sbjtr474eY-5AOp8|L2^ZQkiY2CHm8*n1 zmO7=7M##4<<;ca)3b>i-uAFGf-kECsW0drMvJCAsRV^)C5@xg_nP&c1K~o`$0NeJ` z!4y>sv3$mor0?Na3jY9G>McjBx;K^Qoo3d$qfm)++}A9ij)JKqf}Wy|iZ-X5sj#ZM zR2|MpA|PzUo@ZG?L)5;a#o?E!o*-$mZ4EYEr|OccG0Ukb^K8mmiV8Vt;e;v{d3Ttj zXC;V8)G=bp4Vbh!M#oPI8hq=%ljU78Ju-(kO-Ywj{i`m_b1Fz_sxtWVL`m~FNMAi` z1Jornd)O!bkg|{wfyjE7Cd{&GkL3B>a(%8Miz${dy4!9E2ms_+t~emvaB-)Sqb2C4 zha;$Z9oHI}HJ(wYsV3ABQ`OVRv=kC%gL*((hVKEYF_Mc=Z{`;A%CiF(py*#gwRcdo z$4vCbYpd!j>gI?3q16%7LnRGlvgGsDFo6VUVJlG>h%0W~f!rU2UL>xGaZJztR_gUr z8Dr+~6?i@Se*Lkc^p{(@b(3ekEY?rgO-W9gdoIW#&UC|NRV_(EXfoqXDMkC(|sGM{6Al)vJ~2%$BCLY4a-h>YkIyuAUDqrHV9GaFNHoY<523 zbH*kq#DyAR`g^HeKF~cb)w(i|TxPl2)yGknX{?{@62}Y1Ya)2+<0eloFEJBytcn`; z0Ni{}xmQ_qH${9%bi=Iszn({sXuOnWRJm&Th8ZKE`!un$UukdvBolkK(gC=^+Bd;2 zt;{mXGJ2klbpu*znmFK}Jjt|1UdAms6w!vKin3!1fmI@ga|(;bn|J(1*h$pi1-bs0 z$Z4k3T@mUYfvc~rT0D-sT*9J*GOH;gtrE@T#v_^5IT<%yNkX?$Z-q-HIZJJ;_OjeD zWlM5g3iS_;9T!v8n!cma z&`VX7Wqnu4(8pM3i6NF483aueOt8j^{Hru!0ahaCg=2ou+S5z4)A(zc!$t#cx$QTgBfp!Gjn+tcKJtpXuqUrq(dDJ?rsw~QU z&E99I)@w~QK3alJ^`-+81F_IXkOI#syV+NqQ`+A()EUOL&9j|YjB{$t=6SPqb@G@_ z^0UecTjBWGxV49FF>G|xs2SE(qcqhFek1hem!+kltp4%9ME?)3&@n^q+x{{ZaBNhgjw-wisuB+>aj zR3G%7u590Yd#9@YS0yr|ZZ<%&Sf4^qVYpbEkg^_|O`&Genf6cAJzJe+@J~@h)YN%B zB1~$ekIoyMJ0SQ3hSzXEsK&&BhVG!zbgM7Ra`x%Qd7IYDCz#o&&8ZR=itT9jgmxW) zCd_;P0H?4u6vIulM)KN7YjY}UJl3`8sb!rOqOD>wm{70`;Xwtg2|I2Huo#?b-iGP@ zEukaVnj>2b>WX?~pD;?SxYElCNi2~g6(ojMc%67{-wo|!aUbc`4L)hqJdZ7&y(to> zIHis1o(Sa-t4g4^mmnzQ3!SV8J+WhTA3u|*8c#pU^O{WSEKMNcpr)>`h2j-0QRID{ z;)?TOYyx<{JjjSgd=^F@Uzb72IFPHP^Ws;7v)*u!~%YOPEt76vHT?VMId{OA)6*9By9h?h!`j;_O&}1+f?|%P3?!SSdCs za&7baj2m5Fm}cecGkVGAnUPiGtCYKts1yRt$s0fe+SbQDBShVe6*Tv{`OMNWxC%!D z(0u!UY&mG|p5{8I?GaMe(?cC3LQ1-f!lh!4G~Kik&F;qEU#1f{6p;i8xGLO|wzaQ= zvW-YnMQa#nWEUA!49XVV{jMSS_x*kGDm)C2Bk~qM+`L8332J8WA8(6$%xj%!9w_H-`C??ezWYXsG&{bDwI=3u>o|Nw^ zBgj^pcvV051s(bK&mBMOUbyLzn9L*0DB7NAyvqUVuk{3j`Qfrzr>dQ*q*syW zH+FXTw;ytQoC~tvJW5NGL+4H4!!g!1xhGBYon;P3lI1mW)oL8=V=`uyi@ho7XyAr7 z^3qmcG)*%Pfn8YuxuvM`%*+FA_=sO^#@6=d4b<7)He03SrKguO7qZQkI#BfFIM;N7= zX;0;4XCHGU(|-p&DJk<4s98u`+#j({3m>NLMeWHv4@1T*j*Nc?Zw$1$mX5EiePzxL zTM$807M{w-p1>Ye8fPmQ?P5dQpS{L31T@jCdr~d7H&DXBdR+1EgJVfzMGD171B1=| z{{Vk1S6L@~vNk4KeJk+w{5QHsl2YYaF0ATyWlaO~M^~Ccovq7?WP}Ub)1Eo*FK1=h z7x34sJO2RbZ9oF3yt3z6vjgq-Do^&dGYYiG0~hXl5pD;2eJ~=?7&i_|k+cDOA4^;N z{IF_E*?z&M((KciAu(K|4n2p?e2dWMFV7h7_;j$rmi1bE!Pao5h zI?R~y&ljs8TKV@8%K8hVs_3by>6BH}R8+|9@{(G>NY4BMF3jETEno?#XE44Yo(D`tW@4wmA4;s_Wf^hk2kHG$(g!J zy^XyToUtckT~eYh$l}_h{A7#q-@hL$H_}#*w3wQp+i|!yAbZ=_4|Oy$G)0Rtuv>+< z7sP6|2q`5oiHIx@$H4D@`NnhX&|Hc$##y2awIDO4?Yn>v)8&XJrHYz{o=N`D($4L2 zTj+0zxTvKNvdF!c?*Vd6kFFuwq9{a6QVqwyBf0+oJ+N`=g*9bqoW=IZJkuysel9LK z;`g>0E0xv2DlDh(0b_4(&|*oQQ%GcKqEM<^wfVpA`(cYPiCG<0TaWua@YMJ@B)b?Y z;&~jcI{+KvKH~{0>Bx3vK;D2_A;w6h(%SJ$}a{C+r5n$gmv!Gr8uat9;#&JamQ zGrJ@Ub}Rm$QT4=&d;+VeK=<4aHa|=8{y*CZCGzKRk=YIIc(E7%0MF@wR#R6~hB6(- z`@F@y?dne)ANRw3USU#}4z?WJuLKT%OdL^HBH+s&D4saFy;x(HaPp%zwd>+xbd_fQGRhW@jaN*$<>Ock#{hqhz45|z$4S>aR7u)#^8e+5>yr(n`6{z zV*I%Mj~lFao=fT(3#QW#$8EO<*nTGkuH&>7JXl|R`H<9hnKK}r(rUtbw11&(2ZyD^&ZP*+7dtp+DBZSqF7PK+_$FmLA@5w(d z-=;WffnPpFR#E=|aqMk>PX5?LY8s|fS17q-d#`KX_3w@fw3NCROSP;oeh0O=^}y}m z;&sveaSnjjd1knmXj%z`q?Y2~cH`3a?ahWZmX!V{5aiiC4HWR?(Is?yY2`AsYbu5c z#3^fD_a3~P*{R_E)7O)U&PNy{?r6-PJOvplAGKbCXFP-!>$eg5#X z9^aRxOt`o7=yWEL^y?wQParAlZR$^VhV&(1;{6v6Wozs zYySY^$EUh^t7u@6Pzd8gs->xzLoA8Fo!2 zH9YRE9P;d7NFc4*Z(@1+aflXiHfN}F8FPH*x=MxoRyDmnKJ90$0(*2#zY2 zD#{OZ2ap#0_B@k`{z;r?J#_Ll-%zu7@@*fXAdI@v#c>&D7J@K&l37_5OYy>7Sc?+V zX>}0Ps(gQ7GH1h+4Ob+0s@Xj*L)X0TAjnZN&*C5!LxjjAd0PvE8|N<8Zbr9;a*SO?%W_&sl#jN_EU?{Mexc zuvo%?s!yr1@_k7*;=>R6!>n3&SL9B#`d(P`I*N6P<(n~SDd4q>`3OKOyKRVKZMgDp zY-sUp%afzMQLFwoh}8M)NDLCmGJs8(5nwIz?_=}y z#KTv^(ye}(HhDKymo2HJ$SCOQC}zs#eX>OuPrSDWuou4~*kElDqfz|C>n>epv0d3! zPXHfKQxzt;BXXg+^YX5wEPyDxfhWXGu;D|hDXEcs$)4bRA%GSK{jhFhrF3RjNRzi# zvZ)LG*BNx<=xwv->1;DgljymFH2q;iG$3i6u&e5xLDWuY~OT&*zNDn%ij-Y zF4MH*;p@#Moi2+eUnnB?;NJJ_4Xtx=fq1K+;|L@($r?OBc@+q|uH~U3+;{o*{`lin z|%VOwRlgXDA5>r)8aQi?pTi4g+`eI2IcxY*jG?h{ZBExKV{4d-0 z^TJ$*MQEyc-bwoJk|1Ma{{YBSO#)|*dNT}6j- zA^!k>(-ks8a%Fcow@8lv05_~CWNO(;Dfohtw*$9t-?k-MjON)El+u~&&@efr1w}+A zc&+9_DjFc-{18l1E$#l3&K@#t9n#vYs(L)f#O|32_fZ<@iv7dlxhg~eANjz%Mrxe} zUy*1H8PnZ!4x(K%rCyG|G^C=M2#nHZHFJauC|A0qiVepX7WK2SVwG|uB|Z@j%W5SQ z=8kQlB`oB5eBABeo=+Aw?e~wqG!IENw7IuTtLn6mL1^4txoP6=1Iyd40vJQ#S<#YIt z%rw}`rJgLqN#)r>eXh0;rQ`c8L5+|Xl1D}|vV<*m%2q*-8y&mfFTQBWg|10L+> zg;BvI@Bk+hUZVJD>K{vV{Z69Jb3Hv#6)RDS*fOmC3c0D$sy{ZKNh6HzM06@c8v+@9 z2*Wo+8z|2@v7>bUenc@;YTUjW+KH7N1v}M5u2?uI$^mb@Ep7-S7w1+rC0|kcah+y4 z$69jTLzm_nzbIUnI7(VbYcr_tQ43O5#~Q4LYIxX42tH6yNWIqX$BH{$jEoy7zJcc2 zV@vgyN$1-3nik2Zbu|r6W@;kyBQUK*Z0yNnxBv+v-k+V*OPXeyzK^Jycd30;(R#l+ zuIao+8tp!iNX;xckJ=-KDWIoCc{cgC3+W^(PUQ+Yn)o=%sI=~y>a7iIwOTh=>Pn40 zljacDMrF&hG_S3tiWHX%y+=l+Ny`DnZ~!!Sq!gh7QTS=bfdH!2l9bFcr z$m>{33=A0zUQlGeH*LYi!xbZonAB!iD${(W$JdYKGB9n@COt;Wbx&4$ZCz89>pgDO zS@&1+s#RY#Z@as8YpEjBugLxL?tYO;}#Z|@NJQ3eQyS3sye5f)MeT~ zDxmuYu%Oh?Qqdak<_R2-)6B~urxfof0w=ROIVWH?#dDnX14XxrtwYitpzGaVn(0jb zsdF5*u-4D#QRXR21I1ABJjBQ%jbx`#Ugb<}yWt}a-YPn^rg|6Ey%U@C{{XH!-&f?Z zWbwtSjDLOYlQ+oV0mZ9q1 zeI`+-w9cK*&V8Fp4Ly53MGY*}w9#JCM9nOP5V0v3TN38Mmi2!>&^kMy6}rcveL&Qi zT~=k73G-~StW`JLspnyEO%3W2B+$H1=L2fYX)2^oU!(DWDQgzED)_UVkYUI); z43=c9dZ7Z+UP#~*3WU5)VO1fcV2djiUFfV&9;z8!T~cFKHbZ)p$)-FqOVjOTCb7wC zq0S_t)4FRi)lpT`%#`(xl^$d(1a^WpLYs>+yy(euoOA=PF+NY%s{GQAGstLVinlnf zTFl97rjo8{BM7lnqs9^`VXjZi&RaoxG!Z}!|UIF|-bY6QynCbehL)C1>d``Qob-utouiW0}qOT)R0S*b7uNePQa(U83tWE?d-`@}DQo>dj7LLNi%b8Ru&; zcLBL=z&AbF19um~zNBlOqIF`fi#68z+dkA)l=*QwJQ}p9Knwo6>niY{^8H91vz$Ka;+g4)C z%&bFPg3RZfYfh!+6twx}es|W+rixjTvrT2)MV_{+D~7Rdt6AmAQBblxYVEZ^7cXLL zNI3JuKg2mHB)2E^HnC3dsMn!YY22Tz8pEKyPSZUSOx~kcRpvP~`PB|i%yVk}JsReJ z%p-|Y`qIPpxiXiYHRXcD%nHWVb*aia=b*ob%|1ioGcV1u=We3Y)bzV6)goB)DqOX4 z%Hf+!3QuVwp*0663Kq!I2^mGihZ5-{)H>NH^-otiXVfgGR^;;|(d#WAl?bZpzz1)h z9K;e>&9==Qn4v010O201)Ea-MIjlWx&+>kwYOPaJf;^iu>U|O#VNj$Msd-G&y<0*r zvLf3-Ah!wuw6Q#yl$6yce^4coOACh5yYViiJVS(_Q^TAw)kK3M~#^p83_)FieOeW@c)DHm`+R5s!9D^)qpV_BZ& z)tT;jQBhf0TTv}VRZP_Zx(7LJ+g7fW;=o5*N-mz#A8l9*{pMLTG1 zLbR_POIOs02oktJER%0GyAvMWyBl_#Wo)9c(j7Wg)ZGE2^gLAAuSc``?82Ud>`v6V z1w6F%k*!T^(j(6y-oA037~Pdwm1$FHHrt94dRp3PN>)a~SQ~7DUJmei^x)qA0G=zzZbL^Ejk>Y$TO>IR9PwdS7JSCAs9aWg!h8xm9C_*->Buj}Q^wPjsw z^#rwJtnAYA&Ak=wN$z>>Pk){m`6Wdmgn4osZ3;tt1aZLncIN;Q?%pH-GO+mV$voI| zfy{{;oyO`G-~q`$-@Xa*+9wYu#LZw1>zjX!b9F>DOtgF}Wb$S`L93*bQtCqtm2z5|IH@8=9|HZL z2_$exEhBPCHf1`o@ZxOQslJNxr`7o$)>A~UOF19uF9hu0wj3s%z)Sj*ynIv zt=)O9Pf+Kj)LH&f40*z-T-P^+?AevJ?2<&ago4FO1Mv;KTpiAX;((KAj8YmkuBSE0 zBf@1vIz#^exv&wtX>Kk>?QnVb#e(^-hjr5$icE(m)QOr;laJ-nXVX*38Jq1;6SPFP z^EMeiBgW9@+Ynie9N!BvDOKI^cl`nSV3}5x;Umo8uvIKiC%yjfx4*st-$03)HG4E{ zpaXty&u_yXXr9N*Yojl(AaQ;z^TF@r#~DOnaMxhWK=nS~JU(=mnujv#mY1Tgf*D|w zJ)>HRc^7<w87|%3_Dg(3tWBEf9x?n zq)h#KML=Sq&XNYMsEH+`(iF)`ND0E#k>*rAjo2G(;BpDP9MZ!RkY}8*emvy}!2Ji~ zgzmFb6gVDqy(-nyODyT;Fp+?Aw;=F9zptUj)&3lrgjr5ooykj+B}@1{$WsQs;X+KJjwjDn76}b0WQ%YBE${7$pD&}V&zmmE0^iP_Man(B-0ck zIEsa)40jK_#_jF%{6D#|(5ky31U0`4Uf9E*!~X#Ii+F+SZ-}Jm4_E1Q^+g3nPdxc` zW?7fDJkYcV&$aI(2U4qH*p4|i#^5yqpq3kk(QpXleE$HxDP>JcnM!?vnn46^AdnWh z+SfkUwlhbGzZP9>>8^X2W%>sr(NsB20#@T!0#5tabiZg*b(zJk2e z-w||n1fEZsS~ULvQS-mzx2N(*^)`8z=?snv$z+ZiC#9Aw!VQkmvq?oH%TS6P8ACiF zNkd|6aP#4p!rzT9xl*RE@g?KOCeb>2wOUGCzb(_1d984eebCUuPLQge{{S6U;d>Fu z!W}QIH2qJ9Dvf=tHC-Jo279Tatz5#Yx+2w$I!!c&<-RsDNZYS+9I!ltYrCO3`$trC zM=PDRmRO`N=+W~iiz@N9<98jv=GVoT!(@4Oc3DBL6%RQFhfbMv`oB!q>7KJ|%=0nR zw3QHMwK=|74J|gKd65CAbz16@p=3sMO_7xnD(l8AAeWZQJRGmz2puU4s-O;stN@wgOJq1vcH0+_9is-ng?BEjq9d z$sYoadG{8=y7-XZBD+S>x7&BGt-S{rZ<3cRsm^QRqpqwKfzhQ|X^|m?3Va1vIcXS> z*9B8=7A_WkjP26*b-kS@@i?k4x=xxqQCQVM_ROc()o1e0;2LG1dfeLIPS}@faXc<# z2ui8DgYzG*A=N1?bp}*M|F@D4AG;*r5K#;{M-ULMf$@>D3U8rdtB-%KLxdf5@EpmZ*6;uT?C} zSoslL8*ydtY(ps$B}S9Wvu!pvu|HgTy(EuSmG+K679!kYu{k zzGmC{+W3c}N?0UHY}}g;4kq3qwMI#-dcm9LT5hn_Q8H3Om0?&3nhHo@c@!RYvqt4_ z{*o~YlB80o+aPxb;kf`}{F{`W6lb}yaEb~_K+}+?jii8Y$@M>%z8JrH?lNH*S7iyI?M|DOQp~gr8D4{o4WM+vEhDhBS=P>~t(Q8zsAQ z+mnt{Q`3M}ZHjp2;1l%x@Dn1o_-WWWl`FlEVQb$10P&AXLJhZ2_OS{%x&Hvh7_q7x z3Ru(3+ay-90s^1N-`AUW!SwM&BlDdN!QlQK?tjJ$TBultQKAm<*;HBCNa2UM_r&U>qxvH#)A>W{ zEpIh0ahzq4=5a#QEcB^MB<~-^A_8}T8$GSft#D2)tqtIMpFh;PyCDAntLr7C(|N@v zTC>q*=+e2Vau#=1WmSn4S(?P3h*%rn2$FAvB3qe3<&@cMnT;l0S5mM=B=N^2fCqbG=IZ8; z8AeUjoq1p3mo~}jMqioHBv8=6xnss^)9iVQ5Z}_4H7g^mN8UHLY$g6YMGc6aUA2OrVRoTTMqs?7foJ1BSP*?2>I{9H(k$!m=G>Xp}x`f)s z{IT!&V^#IPDb+RFZ&&0sG4zI)QpKgJb1he=a&0jzd8G|9MIC0rF<&8GGzjceh9OSd zk_Ivyb%|0!MoAn77U%q8WshhwL#otLrqE?#Oq+-z{B!O2VcxC^XlW_yVyTWtnm!@| z#y&wGUj4@%{{RTciT42Uk#Tmp^uNFBgCj2lBs9!IABOE>J8&(5QDi7KNV3@|D&dk; zsI#MT2<46be1XZg)9}FzHb_=98&5A|Z@~VzYY~Vn;mdPnbv?ffX^#y47`k!QUYWtE zbyicTsxykL?izYZT(?kA(}^gWQykU4RO(q`fwn6>?9Cwp+ZxA@6S0wnl~+jf+@DVC z{WYueXx3VMw^2~l)>Dw66o#oJU`zZW;Rrjp=bjEPuB1Mik0#Ys@^nsot23>9yF0A@ zPgh3SJv}hYQaJ1Ar3_h&rllZgkd#gGG3Lq%=R!L4xh}-F9Bg3Yvl$Yo$Ta`0BtkVodnFQIxVhruS_-WW2iJ9oXhDm-BkphLsh0; z;(W#zjIH!1N?_Ak=Pl|5ZojLN zp?brXQDwRx?4&HT<1`EAnHoWB0b%_!xU%hH2{?;*U;hB;bzh$9?1NiseAh0b%(N7= z^z}ItGgVDd_SzW|IMG2f5MyZyfyjjNEqrN>QPP~cJkK!e9*fGT^QO|Yw3*Jbu8SzA zT%d_~jxKHu_Ve73t9ylhivORKU9O=r~h)jEnT zIU45`Ntk`26(4MvLSy(>%P$BLJY-xumc84Er-h0wGQCQlJk@#LWk*FNJap4OU6z`b z46YB|4Squ#aBsHUUltFFipA8`T3RHYx~DD4>K7}itJHb)mHE5PH9@J6R7oNu2-GoH zmuU(XKnb}4+CGkSqb<$#Wj>Fs%HaM*Q<$2H3f$th2|%RJKbFF#MVD-@V~|g9fm9bA z#lkf)j!9eTu(83ZSyc64={dY#(^ctQ%P_3U=`%=Ag`0vm`GoXPFVAb$_%nsX$nXBf7W5JwYEKD(dEt>2FTp(Ymo{ za_r|e)%A4^S6@k<<|U{tByp1@%TTV;v6fB1_6zrjY&cW&E2i4sZ9P$*^(Q8HGYpbS znEut9R!-S)64jANpwwMSk}^QJxu^_oNxNYLT!LdSRgEUl+qTZe#grp8tT^CuFi$}t>86VCm7U~T<+WrP(8wyJ%Tk9TS?HxQhgi2uzUYi) zflKyZGqt(F+EYvEUZVA0v!j}eRa0eoRTi_4ENbmhnbyl12A)`c(9r#?sC7`+Q2bnt z_BdCV=^YuTygp|d@{3#0*Uv^GcgtEOq+pHMTi~E#{%N(dOfUk9-Z+8 zscZ6mZ4OfoZAF|>=*?f7Q<&E+s-Jq%SIf0r)7Wy%H+g%{puQyiKGS_O(;7oF)-?Kq zOVZ|aS-mtAxeQs3X$4P}Jv6RrKc$&RW4;eBi=I~P*aSW;vB|No@6H|jx7oQwNlE2kx%h`hhYs$} ze1lHX!LKt2jZA}8TQnHUae~VFxszAXgt!ZES`zYHC!<9Qn3Pz zV+WVBDPM;Cf<9Pdb;uBp%ucGhgOz5TI_ZoVz=0@Z$*bw$o@!K9LUS6qH?@l|CSY&R zZb<+Uuyg$GuRqY*S2?Mvl2@BAbuMIP^8iRbGQ{#&?_>49t}qo%4E22%>C!s9bIq4m zvP9o?V_eRzB_jL)e6!DMsrln%j-hFf{)HMHsk5qSWI1fVX+)?~vce@$*6es-J?)G~ zj&Zd8-}82AVN@*5W~wM*GZs)R`+)?HmLVP|VVw9#%Iht7+g|ONLjns3D@go6Yagij zVcxf2WKNbjq#O&e5{@~yH^g7Bna-Tdd@|F2EU%@SX>}^k}G0lGfvsR_Lz(AHx7G_e|@og_{LSxFmq6+khN;0B?HEvj0&iN4tDEi8gaN|;vY3+*g6k#UM+TuGJBn{LUo z6H^2fG>{p#xDvEf=^GW^6p^_}1c7jG>xUgt(!QhU`Ho+zbS`g~*=!oGE|M<&mykaX z_`?gNkWT9?Y^;XYdk>Yre%N|UOJjXVKt+w!Lb3E0wm1?oebNoeF4rM#+uI9ghBrev zjqX=*0D=kP*82Sa0L}`AlvFtL7^2?Yuk#)F{{YA1h)-}5=nOPzG^tS;WLVf1+`B>G z8xL-NTwCdKyi4pqe*XaH7Vk~GF4k+!3_7E(dWn)~ttT~4*)sgkI-2j8 zN(l|*#HVv2?R&8x-5S8zw(MSm_;KhSis@EWK|`hVzN)FHqmF9K`fR!iwXK=dZgpl> z3YN8$9smQEC5xjMLgakir^*@Q^J)=;Ij~TK4&&Hcn_v6)$Bs`plzEaWi{9lLz+2ak zpX-mQ72Oi)PH&nt({!6fH6l{kUQH=XCllSb6DGno71}mif)9I)MEbAcd!l-WrB7i5 zg^)?YfA?~edEk&d<^IPDwPd91zx-BD)46r*nJ#^-wd&1J6+Kj0c1cAX)UlsOQ1XCV za3=eBxIXx3)Y4^{?}QA_t0>Gfs@R(@tl3+rN`0uh%O~I1SP%%>05{v;w-cWeIhyEh zrhh-veQbwI=9SqzF=kNI8TZMZilv|~8SG_6;J+`wpUYg3%% z)VWS#m6JUaMfRGaT1gm&0jvvHT#x`Bx5F*IOL(H}$=M2N+4@v>(d3a;L!4B9QoB^o z6^9;z(KDCNTT@S&YDr+Hf_bJ)xglps-|XOA+qH$y{{UD!Vo#VUr0X>E?K|FN zkS^O7-mY$aEO!sU;9FjVO*C8o0K>IK1=uyYKmXtJ)vMo((}($iW*BOElW7|_TUcXtU1Tk%J-1e7UJ8u zRvocJQrnqUX^W{V1c0qm60B`w@mT)=z8l-8ofXV8d2_C#cxCBcyqvG@LN5$@pGZ$f zQ|7}#rnQYWX-MF!0)`CAfs`$sxK;#lw2h+D*J_1PDh1%5iG|1Ofu(Gf5ii1SIBnm6 zx6=)b-Et;OZqz;>{4V7ghMuhGwt3X-vZGDa`Lzq4(&ecZcNwRaHimgAW*nE1CBKtk z(Ti-jA=I1GykhDC+*^V@JO2A%mgIACFTf+ezt;+34)7UnHrO4u?SPl%65k{yk?I>- zW@K$B^zd2Od{+if(~*wWB+^vboG4*+1-pGl3zk`FwcU9}^AbuJnL+1mnT3bXpVtl* zRLxSCSjPMAETggG{(l^K-EaD=^gbBx0{uiXRtj4zkdwJ>ydM7mzw?4;DGYn61=Y>S z^at0y@MOz806`#MyK8_s^uN9nR;tNPej)ub4T~N=pNGp7%|-c!4N*Kh7C0a*p~l_A zx&Ht-jmuF`36RJ(oNwMUTEpM(O=sFm+ zT$0kt%)}km3U?Acd;VXhBK6`rg=A)H8(DAaea0nO{{WRMDoGlAKTZ#B2kdi*wqZz# zw0WCS`~k(sz8eR@URPr+PF)I1{Wb-UelO|t!$xTgpuAp34fjE}zk6asTL?(^puZph zE=cw5{yD=IXlJZjqiwp4ruHCu{V~n96h;ZCA*z2c%vQp{DdYQN*fsp5c2^Fj{e(pS z0Bm~^XgXuGxqU?G1CD>x7l@qSTjJO0WQ~-|zFm zvQo=T{#8O^6dXSReVp#+bQ;<}TiH`J;k4 zDSzFt)tJ!G%KL?z*pcn>!=%}Qs1QH(Rhy9@;9(wPB57xw*}S%T!Jk+h7B z;%PwYyYPZH{_)w<_FV$i~Ef4%Sk zKELvK#eC~BmX4hWCx#?`efas~(`h23jU&iwFU^zsgtbEeXP0u_N~dmp!MCOoR@F$u z$amzCdvk6({=fLb>V%eXOrH+Xdme4``C&PV1eeSkPsMT#iM{b|mDxxxH7=p9yMhas zCysA}i>zoq1Ie%~zpcN0@#K;pIpmT*hnw7v{`lx+bufY40ujAPQU1gC+D-vVLjYaJ z2XFyLKb8j@$1LE?_6Xb?aeJQKho^i672j!O``v>2bMnE8u(=_Lw--hhx6u6m0L~&^ z96IQXm;R&Fl-hnOfeX~iA`;2kFipJy{{YRc>5T)@Zv>gXMNu}lO@ES4U$A|Gl1jHo z*@-;8loGw}oPs@h#&pT^nyi~Ri#5w44=p<^h^@#hK7@LVTUjrP4R?_A{dFW6Y%)Aq z!K9n-S}6RbYw$fuZcT@8o+xB_BJK*wTWgW}xA<@M12B$k>bI%dSM4)OWGJ&pFLt@? zfG;<-@P-fJz1B$N1ZrJ7A~>)Kn37w)xGTr&iw~qeAt}0_o6^ImHC|Ja<&-jsvnqz1 ztmZF<_ukuh9k}HFSb=rh#-5~Jt7dc^57n$R)?GJ|msV6#3)F-KeB-;Hi_?MFAEkTD z<7i$-i8?z`{5U%8k>rC_=hc~24n`#?`J&Vr6p*(+b#8bd`&-ui%hPl-_#Y^_QbEozOC^4MbP~@(4LLw@M;}PU!B32Y8A`kNfL@kQlb!oMPu>1 zO?``g6kLKp!!*lLHuu#P@~l+0l)Z0;%-*Y5>YX8wYAEW4Lz5FFojR!q;jMM#Y z&9dD3Or{+AkEeMwSyXxUVI?e7`HXb*jVw&@uqx_;LCFH+gUG~h#QZdxzf9<{L#Q%Z zyyaoc8#kxPGx;j%DV3&-!)KL=4(JIWTwOrF0GqOeB2<>x_E4F;bkogtbYk;@-&tv_swB(Ronn>i zQYW0K-0Kpt22vNeTb@U*9dicG z)K;u=)=H_lqJd8`KGF9^BH#3GX0iFEB-%*Wv9LEJdRx8`IIvuzTD=m~IrQ7`#6zB)-vIRt#P{70@%8Bc5Bpq7uS7GnZH!D)l?atn8Hc+JQxY|UdT!KC$ic<4qnR^a|6r*7L zVst;MdKz52FzVK`nrza8C!?)gzcI?5ibkNWsjOtK8?J?=sE%#S)?;NSg+Y#WH>Ldm zsmwZqtn~L>Ms1SN<@u83l)099dgRVhP0$5a7OSVIa8yczfaJA`hBLnw`gc-m4J)2% zO;@in{MxRAr@CgBEg5vm!Z?m?S{4a*Dg=1b&Ner{m<|3RjI$3%^{ssYtftFl`!q_% zN|jbIuF^wt1&3pcnD;JPD`atusMWnq>OP&#dP%8tifgQrOo~pOftKpwt|?zM&ZbHj z+B#{e)kKz-WmqIae(vrpMX`)B2xar5xoaJxz59N+meF)aUDajZ%xQ9SQA8sx_Lvdb zSS`u93u_Ih*4$xMQ{nfh*|t4q>x`44 zT1PO>y1${cs?+8*bh=VW`hMBnbfIcQl1S4CU&>K5`&C7agAwbA7fgC-)jphP%51wn z>#mg3Q{3V$m%}qs3Jy`oprf;*imcDb57#m4ZxDE}8K(Pf9oXC1@;f9i< zO5|};=9;gtBOz zXQyY%H3pr`b(Irp?3!G*8aGJuOuegXgVd<Xuk^_Br6>Z}h3`mb)wG&^vu{nR zb9$+IkEk;G%`1~-nSE4xPBUE$$1jx1LGqfS(trR%2EQN5^X#sYluwuDV?UZi%cf}@M=d%>vY456Z7{J$upqGOeG>YM zTIYGjW3K9YYfDF^-gnc`EX;Q#GY_yYl*+sWG}k-;m$i;8E{W-FWtisqhG;sYQLJ*@ zmr-j7tLb2;qk3$?(!L2G^J0~@8ER&pTD6kaSmG+26N=AIYuUAzR&`#5*VP#Ye-@aU zwwEr|a%8mARpx>7q^5>Om7Qbq*{d2-YOIc4w(8(ib6D<-Ir2!d0GKJZ?#YdJeazGXSb)RcJ8P)9jKho7zG`Yli#T{)V zkTWEa%w!IZ2q1!{K*Qz$^*For)=5#+9=K>ukZSyzo?TI^@(i|@O}1e}m%&XGa@5aD z0{MdeRpx?F7RT=bPdCJFqo+D=RBO#qto4qg&36okG@CxFlD3iqM^~2AWw(+UVvXS_ zQlSt4whFAkpN9%~p(wb%pJR4e6!9addhME5W*V==Z5<6Zr>)F#3YMm$ikc)5y&hxa zNg$@Ws}+XC8#R<(++sd0Y7}M; zz&FIm01IU}kZW#)^-+97#iTlAuC+3@wwo}YRTY6Cr>BeU@zy@sUNEi}brLf#aAU9m z?TD5+sI8Uy{{YW$e72cdS9C#oPfw9UswgOuEmt{Qk+UpIO&lDd@Vb zs)YG9c#|lb?W1%Miiez9_uAXtjlu5c*bEwv0}`ZL-~A8t7g+V1bhjv^p-kB4^wee@ zJJY%dW&3Q?nB$FRT*RZHkT&MN!E2HSI9o46G^U!-xyM)*3aVVAE~uoZt^Q@9^0tne zM|&bg17vc}AJv3Olsti?kG1P@XP7&T4Za&@=C zV`RP`a!qTeD*Bn0%b1+HrKo9TYCRd1#ENHzY3bP`lQ2T8$pp>3SYL1^=Gc1iJ<;7o z(r%j#BQ~stNb<`3f=tIJr_GBc63r5kZ1s?ziwOI)Gr za-B7)HN7THQ%wWY<*TTX7AnZR)s9oLEv$;N$f0Zq+$c)?FGmA*fWS#8aa zKKEaMogLE*)2I37QPn=a=?x2*MVd~LW!i>$qmk8PiENgPt*%OwyMTm{0KO|f3YvO@ zHSrq~XF8WJ)45Gu7n0eobYx0uMjl?}a)`%w!^a$xZ>>qf-IkL`ZPz_Lr0KU=A?cob zsj~d$rVPfOo_yma%^GS4^Fn`8Iko^%T0wWUu7rH?W%!EdEgwKLY^x*7I-#d^Nv@(Y z&pw{bUa}};S0Jl9Ll7JhU^jL*wZJh?6S@YTFB9?QdM{OFx%D1dno)|J-zkts`Hhq0wDq$n|1XP*hY@&5}nbT5Gy0g3_oA7>NKY z{{Z0H_TufwjRQF*i?8@%WchS`56`s<(rvDvTTM?S%N3Zz9TgkKw;gpU))IG*2zu|aW{{Wx{a;UqT{=2GU#max^ zGW!eu1MtRs@!=(ISK|{?X7p7OK|BwX&q+EGhB+54q+rTz+%Vz22*##27(MLMiA+39h+{VOP-?wl1#B)}3kEI&gT3Q`N(JYrc`+Y!)s-`@)ogR&L$o_Ll@V?T( zEs&PHg5z%D8vHT!qb}>`NizLpkj9yTX;NrjWPvVEF z-4g3=n$db5k1DLob4;Q+>uBq;=bA}b>L!Zu`ED7A3JRbHa{w&Ej5VmGU`V68y z=xr=LMd{pA{{YkVl}k@oOwL`XXK+Y6&B=|nkT-Iz!6$IWZmjb|h+u7szv*ws)N$+F zclOqd-_Y}qnh6^%no4r0EWa{4TlepT zxTNd~wMLK1bsnvu@WIi29i%eczdbsKG0x~~^xkDh6qNPTHe`IL+D8M)ka@_;!bsV7 zf=FACvr0{_I$N#YpQCxTc970Qa#ZGhEY^}g*(%W<OB5yL9Ct%&Cny;%iyPD_}&B~RB*r}$aPH2Kf91#YU) z+KQe-l~HO4o|8GFfm*~AmgoIJRJQor%PSKi_nov#O_>_HN`p6=YbIhDtjtLu-+*@$ z&%ZcTq-L+A)pQk*OCe>hgf8c8r1^?(Z(hgu#x9=|y#=A^zLm;4zoF#K=`u|9#A%dj z803z=f>uK!te0*^)nX5OSn>!srYQ65$mZ0}vpcw*810$K19z#v&)44-=9Pq^YTp`K zYpAulj*^E@iW@vu6ZuB)6f|+0AC8oaL}ryh`_}Y`z`J zRih*axw5IVbMwTjsC54T$~x5yB2Am<^7(gd1gLl-fA084;d4tzs`Jd&q-It0#)Q*I zi%T$3D>0B+fd=E@{{Zoi&hEWZNh0eN9J3GV9G@+Sv-85y(}8~8fLrN^wnX4gJS1jD zFCTp|%_BZvovG9R0B{5Fuw}8m?8F;i+=4mB*DARcn6||Uy5ZPzPd|6_$H(r3O1Vdj z&X?wJBVIjSLIRtBS*BG0U)&$pA7P%A*0G$Y#2axd*pI{e;;w6Qwo%HqpoDVZpnJDr z#r^)6I+~zKWSMR|-EYa~oB}jeP~09YMXho4$0}rwD8tObYzeS8w|{IPqbF=StVq$j zD%c;rbLcQ!2@+it*p3&TFVFAS0eOU;T+vJ)YTnDug@^C)!fek`W%)9H>#L~f(Ub4h zRV;j8{{V~S{qfDDDW)!*O25>okyiYWUun0tI}Gt8q9DLu;tUw_JqNJ=0L~aD*P0dN zik~ypbc^TAi4*;#6n7*lBDZbK!~i``A7l~0sWdUK1AxE>5y4s1_g9Ad~C*{{VbBO!A;9BJ;M%y!YefhP>ukAnH9kEQU9qC6eySJtbEE z06o3`07buSoP$kV6;It=t84MavFEk1OCvY@F~2AEVjR{v;X^7+pn^kR{XZ{!HN%-y zAC95`P(R+cb8*}9{ofB$g>QzUn|d$-ejelgFwdD$NldRb7sjkd^xxCp^aB)9r)I+J zZqB5psz#PpF(_VDR=}H{-@l$Bl?~;{!ZcRfb9s9o$LZ^dMrl{%#vqCPD|DMcMl?bi4Qn5=jf!y{BGO4!L!O;vUu71y^H_4#3! zG^>)GZn0l=?{Go({`bVHR#+h`B&TpTq#BA1>0^vU*Gq{e>0$_ zjl^uFk8-7WJYNotm9m*v#8q<3)rz99w&PD7fxjZhl0KmRL*EceW|wQW*7%sRn;V|~ z{qa2U4@(psSgnQG7i>|RlkpBOZa?~?_*>TyNue+TSS5(I+wukY$EVUpN)h`b$LiPd zrS}0NDgL0Fn+0v(kERQfuVvkpR1xrtaz}5|9f297yE>9ALXr63Q#@5O2%UD2JB7a= zUrup$ofMj&vkGrkLA!Ggg;W5Mr!aA9(& zOi|b^!8oXG^JD*L-&oXbJ+3i=sVy^HSDs-Vnx)GVh{ZH!M|mBRG8A_ zjs<|RS-S*N@eX*n{IM$N#){W8dWyR^ z%reQL)3DvMaa~019ZY1Mv7~nXtcRNg*Y$MlA8NtEZ*IhJ50^692oB`BcWDF*v_ zzi!yk+9$;iPclss+4W4-Ye>0|*xSp19nzTh_9ua0c|Gm(7p0PNgt$j--@%?Lx=F6H z+{-b{beB)FSh9mvA*G6V7?q26z@(AM0^a?-d*Olf)}o}1zS)u0!E1l+z`!4uKfli# zyF&GwPV4%L9KT0XW^(z*bIR1=VuCPjzkkg7SROujVe5yAew_4EsukThYB;m^q+r!G z*)t=+Uz>zy+D(TyuEU(MbnwK(y)cI`faI&~zZcVKGfI$bj#)Z*dGP*(3 zE{#=aT|d(*ht9JM*%p?(M-egnNG#h)VSA7T@7SM95&T1=(J^>m(s_<=l;k;Xe?Deb zmWqWPYT+P@$u{Ch-xzjYc?A5i*z@Wmoh_d&)q^~7Q88aux__-YdDII1Gq0tU^HgC` zB~+CjXWE0E%Qu;Gyf@z6&F_C>h1q*DWt8%H?Qs$icXQkK`eI$c4yJuMzq>rQs)hpr5nXe0yvDCX#*X~V-Y3x0zf2KoG#EAoEmns zLufrS)9p^Ru+~!QTIx!fX;fy>#;Sbha&D-;TNG9xd`H!R!z9DC8^>^ z{U(<>&GU@PdWfp4nJ8h^B8n)bRDq*}Mg_}DclfGmQrde=xOO+F-kUvWQ`$#RaowkVzt8D3;f0;*%aE(Q1y07sk$J){nY8yHEBSZ z`^Dr^iQ}ACwL_s1-Q(?HZUN+A{F6#(4OK&{I#mW;oo4xdjn;MfmQ|Y2=G4^dC!DEF zt2DC%Vof?(kQP4|7@6q2-!aO1jiz%Pr%$xi6rC@RO6DOm%0Dt@-z0)q5#5!PJDV#5 zbpRh+FJur=hDmeih*wm4G1nbUlT_-7^whZ>4J0x_nH=9(#W1Xb0w#-U?NG?2$wDq0 zcnfPBOLSIg)J->Cp|u?P$0N$}N-mhj!%xqw5}pjkWuu*JYY%!BK;j2yb zCtOwOO*__|6*EbXLz+9R~N-m4Cqea+UuJ+~lok$HjChAhW$40eyC)%{1G zE9m~C{{ZNNmE}1WNv57kyt-X>GWu%c4P|MM&VZf3$oEIGI=U4AjjRB?I_SR*l60F$ zWg5FpWfhsGXO~BJuA{Gd+SiJrf93)`fQ~7aA1KGbd+z6h?t}jT_N~_Ro+)!(Gm=YP zmRfB7Oz$JAsGb^s<}MxOc&-8(Mu`wDm1Vg%3~*{Hh}j}P;Pa^Z3qfi8)|aY2Br|ND zhD^GoQ)HP$^%2hm=1O#0#dRAQ_V50m#c+39;W$Op9}{{9rWs^fPMVBig-_++&W@`rWRaiXs1}|f4q00a@sgyZ zhDBB?SB6&X4Y6bNyHV%5TCYzv7gVXV#C2T|%HYo{Gjk$SO)uEwLKAv8_@N>@_Y9}v z1YBl~N@(IN`3(QVlHX4%W=ks+_PQjVO^GLJx3O(ug-F? zi9?zVRVqC^X)DVb#c+I}3z7n#yv)E0;%%lpRc3k@AY7xSnobP0FV7aiJ9t5;w;ND&!EgDS?8KE3Z$35_?E|P zcM2PyOJS=~c#YIGXD5qCWn)f5msCAutCnUp0M*txiJC@pCiPR~rK1MG3|HAcUir3b z6$h9il2$6LPaDtO9De@*%f@A+WT4BcYoo4LG=v9I55#vy2nV10uue|64P!zLVW{f$ z#;45nesoLK=5_N{)wtnH$mT{rn{o03+X!HSHgmZ*F&Eg}exzba{{YbTXwoTTt4Sba zXOO72)$;cPZ=Y|e`QW;(6IDq(RP-|nm#9M`tZTFg?kv|QxhJq6-x8#Els9D(P3)B^XUm}K%npjyDhB(OM%#Qny>-+q$Y%`&uhLWLPXsMZ=Br<$I5d@Gu zE&v>RU+A7VR7Q>sn)U=6ei#&poW_f|2Dc~E_iPeR)MCL0=uGr)UOJJ}{JMuE(Yms} zhMO&tpwUssGMLBO78Rdzlp;XlnhWm)0~jL4d5q2eyB%Cql8NkhHsUTdUmX=CbRV9|1BEM(~cxM-}dvHjwHXL5$ zqF5Wu2X5e1OIpYKeDNa`5?lsS)>q|qQ&rRERZ8^{%@skCPcXc4h7P2j;O=97P0jvb z{XMF)-5#=LI%73zN#~Y7Fh>d!Lhd1fWjkzp+TMWQj3jtcL*=5_?L3l352T%_=pA5z zrj$sc!ZKHB4RgW0_XYIk=e4kLN~~5?;~m74rFvUc^*^fhIrD27a_YKjEXzI3^U#j+ z#|#inRZ}9OSjgp7?2Bub^zI%y;iPk#9G^?|9;2#NTDK?8psJ$&zRM(RZ}jLrw3H5nGRzkW%L@BT%nN`l2v({sTdfNBr-d- z#e&}U?yIeOoa&tcr1e%;(etFT2FvTI^!u))sLX{^iOllC##vRNF*Q%!*crU_b9G_0 zsc~qhDZb51{44S~r|3p~6m;tA9<`Q2>3&PlQ60R2djJ7GS$0`dI%V3Lo~k{cX9mv=U`1XUckx2-!C9>OczV^P=&Ncooi}*1 zl%t9kX)@3yV>gJ(01H# zzybxnTvSdgXGvpJNgCzRVj)!Aive!Kk4`W3$JYM<8G0(GJn+e{;iL-8a!09;%b7v6 za-`NCG>0U$DQM{P9UMY77L=s!X;+WJD! zLDtA9U^2$WGb>l5(Xk_*{$+^2AY51+TwlY|YDqdlt!pzHxT)y!-lFEvwMJniajiWx zbaYPJX@>rHs6@Z5vW3CM;MGZUmeXY&IST zp_x{+>7wOYufyF=gw?vYHL2?^Yn$boGexRFN14av!vt$pC?(dJObzhFrMnVT3*orc zplPDf>bHwb@2J^DeqZ5%X`rE_l*OL)FH=HkvTUj@+mYoT)Sf`f5lWW`%2qiHic*#7 ze@``!SGA=1*Fh-i^X$rMxfeL=Zn&X;Dgv%oW?2IU^5jvqRGspzzyh5{;h^+Cg8gCC z`nSN1;en{1GUxyd%Hbs`@RJ7TCQ9(^b zM@WkuH6Af6~03(JL1B;wQw0??{D(Q}MM<-DA zE_&4x)H$ovv=uSbr}U9bYLonXM%(*c&AG&j#RWc=sH)O>f2x_zqRO;ZWkEA$Pms!_ zO;Q#%hACJh{1z;EM+A-s8DuDPv<$7XOl&q%?_Tg&00fIB|66#+OF0v zh;A=ncbDK;t|c`^%u(dUdJ+txt4rsSX0^I?=StWLu5qX;A*l#fTlrALcE~qdb2lnL zw*|2pc8JVj%F>_85}m0@E4p#bfskI`K7SlebPOI&oYZh-l{%a45mmwVk&|iKTAERI zvYDrphl`T?RYBcu{F1Qx=xY-*sr zGfeL?IdxuSvO^s^$sS!Y#I6aHA2V?PkC&~_e@D)(Ls3iBstIf9wiA}oBwLh%+g23a z$G*XGIN<#86X^h^In_(PYnW2j2tb%V0hC`vw zgL+w`yBk*d_H_RMa;6xknl?*1d!NJC4u}5$^kK+)u<_B{j0i zx^5wF#ku@EHP(;mA58PjE7UD*rm|e(vp=<$fYz~(O zpA>#sw=b-lENXnsuHj4n0F8e$P-bv=PtAI7ne?Jh4%vl&+p|$rGd)Gr8tET$&b0t} zR58&Y+US-5o)>8ac){sg6+~>Xs;ZCsPhspY=sErKhz_&q$A~_g=1H9E9*AnpvoCv8 zE@M@Z%qhIP1GvnAqqT@9;uZ&yiEcq6Nl)kPCE73~0R7YJ$JYM+u-P|8Ml6!Z{$)cZ zsji1E>JC{uUS@h4h`|I{Z79b4{{WAE*24Mgcc792jJCRxgWI=!H0wr4HQt|=Gz?~X zh}?jCv}WA@0QJAG(++H5jH(EO4&?AhvBoa7{{R&9J{kU$zW)H(1=Cd2xF{hZ7UalB zVaMl!tI*X!u{N84LpA>Z;|i*(srHm&E~ep0wXN&7_a4sv|eRWTmtoxF?sd*Vl()zN@LcfF0x?f(E6Vx1bT%|jJI zd)yu`^TK7=rzI4I0Z8F{3)_xx$nqv#j8zcK(FkdSv9axj$UGgG?5P%aA#h2VR0hs8I^w%E3=vP32(0lBz)T$9baVI6%? zWihZ)ZQNX3{PEfANQqT;T%I?c4iX7t+7ek5T!XcR?mfmBl>s2LA(7S?hn5w4f=}i8 z;1#jRtL9GIMenYAdCcRj09K`^p9GE$R4TdI9t| zS3FT{q*wT(Am4XjI|4_p8>;IPnxG_LH^X~hn}Pb_UTL~tGifJ%z7jiF^UfM-BS~2N zL@*tV!MGOn?}y`(bXCd8m^?;HEA>{r$qRTPW-GuXF4Oz>#13U76j)T21Gwa3ZQ>1H zqpI1Av(5~biBVkr+aR~EZv0{h(Xfy=nemH*&FpR8zkc}i`dW@CzoF!{QT`;p;c=ia zqqrfs-azC5@;K>RNT+bzR_w(|-S`gw0AAP`jW-CLi+n&9FJO5i_wvAG^WLeW4BJ4m z8+|7F21Y(a5p{Mw^Y@hhxF+e?QL(8H@(a@ZQ4eymRvY_)3x_XcK7KI93<* z`rGG)a-@P7=J;GO_>ZT2MS|6XXH~FiOFD~KH>ml2aGq&ll4VaQKL>KRYyMwc2B8{B z6^j4|-XquLf2F^D(|KFu45Wfj#mEG9=MdGP9|F2+m|mn(#}%86pf@+Sr>A^Kx;svF zMx&pl*}Vj{S$1ty9ptA!9W07Clzf7c7#j<7h8-`LQO}=JQ#=0vPFj>#am~f~wf_JP z{{Z6}Yo`4U&=BdI#ySaV;FmBPDr>1HRhwW0c~>_ZP3}i?@5U)yN-|m|nMOsR#%89Eg>Liv)3p2|zmu6$m;!o?1 zzoL9SbaPBmtsOR#im_Qrfm~JGwfG~O+z@yiepqYN9tL_unv!R!&1>>zEUa?%c!-V4 z@;1L9dfNP6zziZe;Tv>x@wUv9q_cfdrfQQh)48o>9KfqIl(Lk+JPruw*2mlBi?73; zS4Y>*qR*K1-&EIUH91~T^*J_6TUh0@s))Yj5<8u-2IBswfC0Z6zoVWR`dQMxkI$+e zvnb4{=<`KtntaMA+F8+p`~t%LfU)_XsI&h7jHgF@Eocu6IS*9)FKJlvQ=C&%e>kOz zl%!PE3tJhET5~FiHvt@pDf_43BkvpxHBM4ZM~kk;WYcZOZJ#eX@2+)kQ96%Zo$H82 zEp<9aM;=;~{!Dp%Q4Og~sCiY4T}Q7UL4`R$6J%7UfW|BH>N)HO(-V&yavJ?-p!J4r zOouSk9V^On9MWY~MxLRisfp%m0dm3+FaH3-Juw{VPEC?(Ovfb3H6C~}$_l9?f~zqQ z85W)+Aq?eLlVNkm%NkBR(dKpWZ6v+KG}P6#^$^liKw5bnRwM(LVr&2d*7((3B5d14 zbpHS>(^T>2dPh2>uAJu${{TsSt+OR&U7yq-?AoJfA0lta#eb6Yqog`oxN5o%s#H`~ z&{oo%wvv3BNMoIiOlaW9_5#tfzyAPON6!;&W1Lmx8rwb6wcROf(!V#I4vQ(?A z^xkzz1T!^=VCwcf`~vpl9l6C>IvpbCd!e&JXpKvnc)NpI>CFvWljW6Ksp?^ls*7ZB zL|l^FM+yfgXzg$>#w1w}PdcrmvW%-hCrdQ?)MT)rnz1u#RQpVlDkuv70C~s}4}{v> zo(aW)qhi+C{F*dH(Nxn*k?M$RC(NXd2%Nx_6VsGtTS?542?*xq-oq7ic_z8i`7IYt z^B#etW;6&Y>T^<%{{URbk)U;*kHuz;v0cQ1$B&5NQ@MIsp@SO77MH1MbweTQw^wwI zYno~;GnG@%OQ7={wi+zEHi}at$zMxW2vy-n^kf{c0{0(!!a3odEc2wjGJP4TbXIK+ zX;oKKAg{~iqLB!aD1tc>Fd?9Ha=pP4HOClsk0;mq&m^rCS}!ihsXzK2XBjLhK{Pk0 zsi=aL0AU#Ti8R*)@TO0$A(?)y>LpwmEO~c^3Mv7WRLs-V*1U1aQVgo$WLHbrs_h&b z0zI&4ylGMg@c5j!G0uae8vg)T>JFi5bkg}|O$K9{QdZH^W_gq;3T&w(Y|R;DiJ4uR z&=YYpN|_lUC^Ln=z)Ljv8ob^(#j`H z)2V$?%e4-ssnhvnS+#Wroui@2WoXu!qs!_Yl{X><8zN*u@Qdso+%@#?RCtQbbqyA) z)7>7*boFLkNVG7)Bef@)wj&UBP=Tybf!;Yb2a*ZEPBEO7s~w9RV+ygS#Rp1tzOK^w zHlU}}875&>CUt3D5cXBl)WX%b7#=G zO*-Tf%b&!PQq{vGu4XQrn4Mh_;cKZ8gSzD6O{_E*S)ywE{(Pe>&2>CE{&T7GIw>Y* zr;RgHmmchvZL&t-fO|E&lV>iRYL6OPS6Ei{FD|9iSxr`|)&uQ^Ggl{)@>Lq535hO| zF_EKSK?NAEt%EK}r9vg8grwzk$fr-!sX9wd=`5=|=_Zk`%<^by+fQawYe?aCh*!y5 z3q-LwKNPZ+J8T{>s%|;LUY6!vY3XJ`ol@jlL#C#)Fc!@-dc4USaF$kWvkx?{mQ|es z*b4!D*Ef>TP<8L6ji5jJ9?)d@g=UO`jVk1>hNY5fifF_?3W&wi;N805w&B{?j%pnr z;+sCvdEZB~nmoFTBhTogp0_YcTBh@)RR}4;1BL;MSgz7gSl+;v`ER7SIyz`qEURmL zCu`NsYI4c-CYPtGhE_E+v1X#w_K{;MLW5=BA}2kG0QbTc@ZGO6`XZ?mi zBSyJasFg*#*?V`zzcy8r=h>!?(Q;3u{yjTIL80pM?N^yZ*|bKksyO1Ba`NNe;F1GKwDhfoN7%YoOMaHj-Spo zRE@u;Rhdy1 zj*hU?K@g3VBZ@j|MU7W^Ccy%Wlg|f>;>^^QJz>eD>EBNmM`?=5Dd{s>N({d$Ss6iU z$z!aJLL3Cz@w+in0J{bs2^g(9i21VWs@e?bQ!MoL(n2Gqjg~moY3URqhp{0-1-ZTU z+;B!Jw~?b`uW5Q2!7P%akN{{Y9Q7#%vX z46e2|A3xvxVN|J>6h*lN*^D`@ImzMQRK)p9U`n^Y%GM|F#GPa66GI}@WU;($8S}%& z3ZJ-l8y-#2bAICx;PS;FWs<~lEY`92{J*v&(^SuF6#E0>>&zvvQnyzQ2&a>$$g9KPdlt;oNG6mQN zuv7SRh`wkw0y#%TTb;`_O0C)`+G&Vu1X0QSy|BM3(OQEvru$q`M6t*}q|-{Pyl@WN z_vhOWS!PX7Lt9yz?tI28_oq*h9>{>JPQa?;L>vxuISZSDfkW)b%bRaF&P$0Fv zugSmp!(bN68f)^p%(_`AGYWGYwC}b>BE%lukA48bNxeWD{u_;;pv6ipJ!6oNS=M{)hX^Nth7(pgoQ18+=1b`ysH*wZwoNoGHEfPxrzC6wRN#8}^iF|OIl+zT%ku;Y%_ALj#`F`APm zn9#BI5!@Lx8`wf=&z`SG}$K48k14 zF`~<9>r9c$M^d5&rGM$vM=Lr)>ia=QW4Ud(OT1R~dr0+$42B$&Q|8&kw2xg;njFVY z<(V{6R!(F80M#l{&ncQ$SYt+MPu+-ZJe3sgTR~Y{K@_oOS%pIwgpmrGh||fPOsGm; zQc9IExNsDn+eX`y@_e&XMkb27yUh|t%7ErYwlN2h@o+`^^V{dcV<%K)$s4=)J7x5g z+8T!)rPj#HwR+vxdhp`7GfWKXLv*F?^XOv>AtP>=Se5XEb!u)6_$l)XOOgFjtUy4G{!4cVM=#7>@q{1>s#zdZSUCx=kV`o=Ru)Jk7Uk z*_?}#Zb=+{I|0VkubVjgeE^`Eg=x~RLz^X35=kVGm}CgBvdE%05}4StD}tb{mf(xn zmgUJRDXu_QY3dxoWuu%$Q%x{v=7L9Jl14!ctO4W|xIXvC%0C=F8ud4Z-mzvmK34<_ zrgNr}yGzsrgUb}G=l=jtzC~NSBpA)j%7k^{^RoZ6Q%l6y`=Gs?<exx`-Xn9AAp;d+hb13UTx@>p?IlKY z;R9G!(n}bYPMlTL7oE?Pt|%H(PV27(f2-lYdklPxs>w5%3dJi@0dusaOe!ttYzVRA z+tVLdx|ge@)LsNL{dBa{w2ho}UZ*pTDhNVH15cAQz(zUR7kIZk0epOrsLwODj6m7V zV;cd@Q=Z?CI9^^FB}U8N!)J(Yl;{qfzd?LNbn<-TO--v8P*7%BEkgeQFv{~4iYjpN z?vZ1W3}{q>MYogjebKSHU$6W)=^lh?4;MW<>9%i2mS)w})%n#!Q>59od0fIs4)>O! zz7qB#KQt<>xVSi?e}#sB;ow1O!FEc)zX?oVHuahs%DUy;1A9<=t+No)*$hT&?C@Xa)LA9H{ z8;;r|YZKIe7C#K?c2j6hph`vetL52x*mk|X+He>Hr0U0C^fpg59-ERnET1fxQVM7)N{H8R zj>61RnmG#aR8G5;7q%MJB2-K%;u|Ng%X-hPWuA@vh_#Mo0yvEA-nCK&Tc4M{AQiiz ziHsA-Ji~pfCl@~a+vWIT((y|!VM*c}sTzJt*~KvGE^_S@u6CCd)2M4-gKtsE1PoRc zsPY}k6^*&S`o4S&P|3uH!WL*p{v$>mKMqA*&`iFwZ9H+7n>ZUd0dKSHwSMgq2cL86%<*GY&20#OPerSWs*RFLKv*XTpkMFwf%8QPN#qV$mYbI*0Hx1IB4m- zFu~W|AsYZ9lU8M`BHqdr)y4_>Tc1wYR_2TNvC|l8b>^JN>N9!@zMs=q)Kp4RI=YIv zqc9b4cLa&RbHNs1ZM>0UFxQu6l=+M=P?>C0futv7Anj4d!*(R`f;C+?Ax*9PLYO6&(f3I$M(HT~XAES{&!?brcjrs)l%JW%Fwpq6<*S zApon!g6=Fuv8&>inWET}0xT_dx&8LX$9|Gj!6!-RT4^SVIcTJcRSw$%g6c@W$a-;) zrx|~VZkbU+l=+To!l^(L6^Dmn1>3OoCjS6VZGg!aR(Tz4qm9#aS=HhxLM$r5+U3g| z@qhQhntxI19Uq#a zZO45Cl-6QEHv;@|Y(P(pZkj^Io}Veso!KuMiUqhngYTcv91%Z@EgLrZf9Xu%~l259Nk}vx6hKi38<`4Z%FG;m5g=`qlMA3!sZpwM@$F03^6O!A|64l~wvT4)| zzL4rYJnbZ?(bUUjNk9*Hp2|V)FU^Oj7*f#vovr||BWo7D#l3~SF<-&etxMEhU6RE8 zG0kY{>8nH3<}%Z{L|YJ4zQqaa@Z6h$#rVa7YGJ8~R5ggT)cSs7(-=DEc@gOR7IDpr za$R5cLQ3&YV`+WT{j-}Fls~gF%8wNH68}WtyQb{)R@dkUf#rqEY;Vp7g z!DyLVb;BMwJbDky8R^l#jW#gm($v$jTFP*4Zm$^tANlRx-LTv6ZyQyK?zb2B{r>>t z4%wwon=3@d$Pce4<;nao3FCpH9#I6Ub75~x1ALFJC}7ViPuWzYR^7?w#2a?M=ZI!> z8RG&u&j4^qzaGC#P4hY0I&%zy!o&sw_VvB}d*T_Jz@KShPq_2m)+XQi{eD<S})#db+YrZwI+=U&F{&>QO67T0m{i6Vl*9h!6Gv-&K~32{>*I$-h6IB=12^ z;H6X>9c(N|H@9a~q>Qn-+7#b-J^S{;I=K`m0uo3T z4xQ|HA6yw{DRo1^-RcLwz6u<4BO>F2HTZ$Z^GE7kWc1K9 zh+>Oth2Wi?zf3}gLzut7*>zQcCgLJZ@ASs2u7;9X*woS^mT$czK=6OQ6D`87I zlW~8qvBNm2nAp6mR31WKkN3f^9!O zH*VtJQ^)0rXHGMEO<||&`dMG7%?(vvXB}QwSy50#Eix;ol;r*0!T2||?d%3CsDN^^ znAnv!U{3>%{{X+s3JNrH20&H82Eg!r{{T!2gkNMSnjfcCJ|((anHlo#mQ>be6opb8 z!dRKWD`E%)oyXSy0G;r|)GnX+y6XnFt=C$QNN02AIfTYpX0brTlGg8GYxeZ{af-S1 zj0kZ|nrR+cY?nlel3GyJFqn<|l zW&Z%v{W0Q;Md^xNKT*;un&pnP%Cb){B(~51Cg5_u*5~VsCs*gbCi=^un?rS*P38Ju zNKw(J+q3-Iq==|#qnT%7pAiisGFxrFGS(cCY;7*L*0oM#msMs=H+MDiSbXh&-TrtY zqf+Me>9;qpo~8@%l1$7L+k?sapU(?gTn>Ah{(smh=bMdLKk+ry-7(eef0m60lg~$| zw8opI)0qlZzUk+rrFofVl-PW3)48>W8%2g6yf?!XeMQnSK1UvCkDJn~Wv&%PQ2Rjs znZ1GC_j%*uH|>h^qq&0Sq5OlmW%mp0J4hK?AkwJfyH1kfm9+{iDnGodnJ#yEyvu+&xy^ixRYCI zzNBW^4^c8bZ%193nmYJef||M*V_dQ}t0gK3;egr%cTiOCJC7jXpAr5cx}B%GyPIkK z4Xi%NlG80G*{Er$VhAlw5kKkV?ks!W=i7`r^?I{ZR&-xHhf!)O(U`<56>2J=jcTfB zp{kE!yq6@Q+>Ab8f7^;vsCBI;QY)pZ4BHGv$oPl;+S=Fe?e)T^EvRlh394hXo+*4m z5VEILb9n9oqeD;-TIa9;3-kKmnY>GN-m)Z$ey!)yqPf_=Z+j0;EO<8K5p7pcw?z9R zLR>Q_c(#>Z-PgVC-}W2eX!e70-HQ@A?anK?NxL2RC@)w0lT`5u*4UiOP1T(CKP>PQ zGLdcX>&Ji32S?&Nt@DSLZmMVEO{2@UX(1=I!RP6SJdPDlkxl?_x=UR5_5J!_r&WoB zQZX;Vzi;yZ6G800+XcE!60~r>Y?`-Ty2m4{zgBe>_xwtkyx&d+>tQ^-U+Q&hnwq|< zY9@2swskQ*um1pdVQfYasuE=?R=^H@53V`os32K`i|w}{51uEHi%Ado$xTmJI+d8W z+3I?Up30&j79{7!m3+*LY zTF%GUgZupOFeDGW9qc(HZSDGCE%qFAc$ZiEvGP4@p3|q9{{T^D3XHt%t#rSCW6vLk z2PU!94HcU_%o@hr)j2$Sf^gSIl2+AIdE_KNiNbw~_V3CeYP&X_4nCm{Yv8(yct=G8vJi1F=$9*MoZ; zTiFkYj=JVjWj$=_M^AK~XPC*Ozm`yD`Oa*Vo<$?p)PL$y6mjHA^6!t$P%dKt4c+aI zrc-L28Pn>ngXf(q&tT1T=T7sC&n}v~J&sJizA0gg?fJ_{go$e@k*W`rqu~G#a%m}O zWvb}ZG`fz9IgXZtNYT~i6%_fjO9e#}XVp-^@+&~+%=>5xyBLz8NfsoF9H4Q-Z6SkE zbrU}6-6oOpX!2;K($VG=i56E}YD=|QX(}R_yv^wA=8y>5%g3n2d7o>%$6V(V=NXk0 z5i`}4jyT!dY-&+zjV2(w&Tif@1 z7`|a8C75gi&Q$jYuoGYCjS6V z-}8eqeCj;EF3c%rrYVGUnE3ni9^v1KS$}-x_uBM%4r=AB*t;dw*NZdI2@-OY%3o`vx zmWXIuIn(mh&q!0qs!GO)*-gT*0E2IT{NWrl@jYa!&;lP}C>Mm>pqqF1z6;8=6qzfq zYDlMDqy&{sfw&-#rLb`eV;pq`vVB3Drh4=X6pDs6A<0(Yfx#RRyXS`N!#n|wOaDQnr8LUHkWThsmUHFB_r^EQ#*u(hKi_PE>4vE37~&`~lmxk0wp z`WqfO`Qdg~6?1QjlByV#Tg{4M%#w=`Rg`zXJa->agn%$YtoQ!_;y>RDatRDGNXY(O z!i%HJ+!Ox*;JLTV@q<>%neqPs4YAZxM6rlgqNW6mLdO&tgr!to_X;7u{xGvHqH3cg z*?wU>jACSTmRV{xn{Yu28A$J9zJr#zvT-$8Btt7aYPTR7^Z4;&`e68IDeIf~=` z6*4?yk=oX%Hy~UaHzT;*rs~z%ktj(UYxpu{RT)-i)Y@FmcXL-qlS@wG8B{Nn^~(Ip zsxSRy;9U3izBIR6{8@A-!{)K2(7jEl)G<}pWa*hlHd&JWt`vo(njl%DlHs?*C&O#n z$JApie+HUrEU&4$_L*2bwV4$>+Y`%=YNbO1_g&4eDu6vMadUX}{vR3Vj7?#YYkfDb za;%J#dD%F3c(#DlFe6iA_9` z09?WZtsD896u#Y;Dy&7qn{UtI;nj|S>rW2UI&-7CGMh~tjucG)03;PDB|}c!8tIDN zr1MDMy@@IaUIM7ZH!04a1nlIH>wcOI58$6V=*%^9*41R48>z@ry`xkWPfwFQFqM!y z6b-O7u6FJ`n_nLtYpB{9sYIz_9fQXrZ7a#(gUCN4kGS1Z%EqGUo~X#44?247Gha(i zoL;4*rjZ19Kk9AP2eH4VJ|iTFoP?pPnlV}wEj3F$l5#sn#Qy-R{@3;3AABBO(8UvD ze+Z7Q={+M?ob>W+lTg-YdG2pY@J*0ui7O+Dp59;nqH1u{M1jwD0u;Gxf?2U_e+^wn z7Ej?HGR<{HVw7~59;nS^ud1q^(bK|^!91?a+=WogOE)$g;)nhmz9cF9Ht0QXsC9Qu z^*&*yV$Jf_&9h9Rs-2TAnY`HJjj5(+%d?w;$nDr&NBXYM;f<7Oj-q%?*1C5v%bI+P zIM?~UT~$FLFc~Q)%cvFNK2!eyOqk^(;A?_@7Ptow#O(s(LH$P`!o#V0f^A)|Gyb2| z=2Z+$MP6T?R8ut9{{ZQd3P}(<5=rw2EN{s;u(~VOj|*AQkEDJc^=6-S%V;F2OqU{~ zcw&YTA}h=P0Jf+}c@pO3n6=IMIInu2(I4S+(fr+X{{T_+)|$%m29I&e=hWGx)5L^u zWR5DAXn`D!;9PUhd{`X|=vRcTIaYeX;m=Ow-}?FopgF!rpH$XD@H59F#1=`UL?x0k zeh}km^y3F6njuAcA2obo%T-b0pQy~v3XON0fUG;Hu9z>Uuu+P#vv5Kw_py|a!+8LL zJ8(uWFB;SakHx1^BBi~STCi8zt63{ zc+JTEW?aXOv8mvWDsYa(hTwzR;m5_UFIKHRm>9ch*2@shOpepRe1 zsi2K_8`>zP2rtRtdfyzmVb4*YO&{U9WucUR4(_PSrm}jPOq)8P)Dcn6+KlE)3Q(k? zR%nepk(QB%mLuhnPU1)w=L|h?()wDvTF0l?NaQ+WHp+5(T5VBFPpG1jma8yOLr))^ zP@^#To-$a*`;?z*^Dyf#SEqxls>^zDKq%=0Ou49>wLMynRKWgA zd7*#>Y&ib_Rj~)vemuIXrE^I1wzzn=h{WQ&TV48!pRcsu6(y01;@R1&1>9N&S~sE#Kb9g!lXH_7BjF&e&C3r< zTK=l&&tE1_F}zAm7-)*f)VU->c(u*O+Z+4y>5NO@b4kO}{{R%(ZcCQtwN(wa@Amw* zN;#3_qLXr%-{M;rHovvce0?XSUpfuTG1|%p#c}|*KjdT1_?E9%r_{K{O)50}H%Fw- zr@9H#ujHTiD<t%+;<-R?fV!>TLfZL=0&o=AB^+=03S?cf82Gcwx-dm z{{U^#SNd!ABf1+w>TM-6O{+S^skJ3PmPI8kc&COWVo5B*DAlAs+n9lJZF_Bf3r`~{ zhNQ+yle2{&ez&#_RFK&oplt-$eE7k2D^DB>uP5EGx{-7F-u}OqD(BQ?&n?*`+xnL< zY4PEWLNvaDaa&Ow#t7<(HsM&?_x}JmL0O$tdFcaFxMd-EZY+IA=YwHs9;N46P0O^F zBoq02VCd=Tt7a`VD(@V5fi5mM^~Gk}F2*Sh!jfvK11fEgi)}yegXgD^LQp3bK4EWP zo*t7S4N6cDjG)-u3;giiBsKJNT18e>Qa2|yKVMOZrghOAuAq@&c)kS$*|!Up`3ysK z3^CKoxgC+N00#Es_uu`oKh9RJHT!y^lVNe#SbF}W^u!-k%NX4>5QGWX9&Qh}@8yTV zIu#-C0#0k?mj9De>-WzKe? z^ArUfabauv4t?%;`eMxiNNA~98OQW{4^OT=g5;K=ocwaFxc-T+3-AC*4i6)}&lnu@!B2b5b?g{^m*?9Fs%xnc$|QsnYn$~ybrp}dBQoWcCo##O`GAh{{VjYIjNFG3hYY*v?%T0z7XaW zO%%$n5n`s-?djhfx$GHOMOw$bOy2-QYx;b?o$$w*QPMF#XHW|pvlO?l%lqNeFsy2* z)h}QT*f7Ivv&pbRR_V>i zU@UKc(-c2mo=G(f+W=4fI(%DhTn2B{^Y{VnjRP$xk@v#;g~%&=5#JO)hzdr7Qc9xi ztpbhSgOmNSqobATDVTmWB($+>{{SRZ1|UO9&|b&TSdX3tBT=(+?j?4z-;jTPxG~{j z8HoD7ck~$SN&RvbFtQ-y&=3dt{rATHIhqtKi>zM%I2kfsX`FKz=gTw-rv7$03s};5oRfCgTXv{{{H~R82DmaXz57RH}D4q zuj}*uFeH{TqiWc$-ba4q{>}eB}9j0m!MUZ)E#Ql)%k9hT=rP(HzW;OKon%mzt-oEhnDu^>yMzGA*x?8tI4vh9j(Mu zQ|1(}on#VH!5vJLEt&1}l*h2wZ9)ZF7G%4%}_ z<28TEwWx>OFbo)`PSYDns{V!wl zzAgP{nQ3WqZ55$%T(+oZG@8PeO4_8XM_7?W;#4TBxlZ{Ijl|eS*r~ds_F4^dP$h-s zyl^#|z+1{u-xO4(HOX!m;`$l&2&GZ+1(o$5z8Ky@LqWhTq#~r!t`kW`EmRL^uRb^#7lqZ|>>FM7Bl?_4$`+rKhWEN_gb+z$&h7u&@T} zYknTSxKEYI{JMp!BO}e4O^V7nRj@7T!Q>13Vd6+B7Am#Y)Q~$s&ULPu%%i*U+D?3(saW&Y5aVT_CuS0m*yat?O@0ESo;dJhMSY ztr^@MrzD-^3wrb0oHo`<)7MQ>A9B01Mo6$fyZ8BG7h$Yvfu&_|HmO^+!TosS^2NX5 z227as7gC(okIsa2!&G_d2)e4}6)Z}EIU?7w^tl|18hsU&7D&~2J&7jWiN&?x`!$Cy z>Rx9gnXEKTQ`7BD1TxOb6iC@MU_f^Z8@|8+2XU~sHp8I#KuuC*`P5FbxiV%7ND;|Hdfhmxq|7q zqiT5Oq>fmq=Oxw3xQxgTp&*gKu&|`0%8>bpS5&g@py}MclTGU3LXgW*E@2!L62mGr zOO=A5iy>xK-%J5UJXjlZa|_F<#T`{_a?wT|s^Tkt>13Aox2NyZ8X5XMs`|04sWg_l z(pl!3ma3AvzC6o6%bucK%2PaTGrORTMcP&8pNaYV!xa9y(DXVhJP^=U`S4Z>N|;QP zPXiz#r3$ocNe1Y~-lG2iTqaRljSLX6q|C||H5-Y&`L+4)d>p(+n}x-JDi`y|mhUF; z7RQd?UVkHjl94UaFi5?=zn?#+`M@-hZZHj^No1%3l}Tm{Eq>$I>BbklIi)EuO6(F* zVgR^fU^wsSa86v5w3FIr76`zx2c6Nf`h9TbA*7m}e5&wFa&FwpY(WEoaDA~H4N&Ay zO-Y+mPZ5m1=@(+66Y&rGZTVqAq_3WyM!k_Hys`P5+*_VFKVSUd^sS(RWUg4m(mR%8 z%9OYRi~e{J&S)e`jf&Bhkoii>a6u?;e~blr4%I`H|cW(iS6*{2O2AgmX=o78L}@ z(jzJqDlEVbKSA>U0Dc&;iCZq3IHi(Ef|%nCAZrF&k!yZp{@3)uwD~O32u!m_3Q8H5 z%wzD2o;c@|e@|Q+QJ9+Qin-;8C)yBstzZBpgByM$`(aEuwG~B0D6XgC1S|a&;P3!z z6K)Uh(*&9)%M;aPGf`8Ssu_0&+5rTP2~*9!SVakBjjX6|!7K;Y_xj<%^9W2%rgxFu zcHOOQg@bc`Z^jYH2$n)shzI>dSX$@42rn;ynOrGt?%b%bQQZ1s!0@M%Wm=Q2xjvoE z`hIm!+m@o8bmHdnW$Rlj(@Gb8Oha@r#>Ho6;3$NWY&n4$3}>4VdleiG{B!ihgW+RP zP-y)J-u!|sJBY;zL4q8ob;zL)zjsRn`SwsQtB-nnMEx` z(#K5zk`lEOyv0(OBNk#%DB3N(O;MX^H=2v>`Exv*44cuwE)){hHts^3+pz<3++Ncq zOv+JOb@mAq8?5mje5vDWI!L2(I;r-@%x<{!i?06w!~3t46s;Ws1O~V^(PY0OG6Q?^2>onrh7ZOv`KS&aw#!M;807M$?Z| z%Mrlm+ZGP9*S-zBU-Z{B>27P*eI1?CRKzEhXmuPARnf?nSgUEoggi4w@~BqZVqa<8 zjzMk3gXOi_CA|si6+d1&Ij1%4Moe@tU0bQ+@n;S}Ep0mGuxXjI?9OO62Q#F^EN-F7 zkxss0L6=m)6I=r2Y$InD z4pgwUzz`4Ni_&ddpY)?sbw@>Y=RN8ErL5LJ$g@~-yr(UmmZ6}Ao>+HBSp)fUyH-e} zV=A#Cz?%Vk_*BfgiJ#PUW2YV=bMdHavVD0zRBNj8$mt%YhwPB7Tj7W*1d=A*U^ork zfd>{a@PL$gD4vA)bnus_`VFP}=i-$UQ0Lu4%=UjY&8n;CSFEd&SB9BVn2SkhnVwkz zK4+QnfwW=cr~N$2{3ZDPr0BOxs%3*pYuz0tXH}8bRJ^}psEh4oSs9qK5%wY#pK}zM zl#{eJ7`l_-OU0gzrONt4(;a7)YF?b=+R7b4r>L_m!D*|q#|CSuE~*#>nnFT3R%R@! ztjsZ?*TZIqgT(G{;)ZJa+T6~!DoPx?E~;C_mk1330qj11KWq!hkGh3hpNti`Jlns@_|}=qbfUYeG#*^)U_Z5_Qw2kDJD)y-McT}P)gSR&Q>T;?y62@J7TC0TbhrP3&; z`!pq137^Ka}Rp zp*0?JS3YSHQ)W4QO;{*skC7V7Je$=7lDYU5q)@`lLW8k|0Mjss&J_HyKNYwhYA^ z#r|;?YG@ASQdzqu&;s&F=G=Gf^T6XoEF^1z<{yW-xB2gZwz;5bS*AQGbylaSek(F< zK_*=d84^PTbnwq4hs#*Jp>~mrF}1G5`~5NWT9-4dYI`KCs#}5Ib@B4+!1VL4h`fp@ zKn~f3I1)Ix7nMN-9`?V_z47%?cVk7+h{ho`wyNSBBDVd2BzR%>c@li_Q1)6nImwF_W*j1+;V%5U&j)YXKrB>f>9as z(U2&*u{XCLE_-3SFnMD`v^ydTpF{os08f@2*EBG0idu8;qVOeSI*+5J>K4n6&C+N@WTG8$$DO?}!ejFvjQ1jcgc(3)gbA9vFtuR2$IWjQx z_WI$ToE#>aL=q4xl`ZJ^zt@a=3gF7j=wvJ}es~|}9>Q9kfxQG0oDl>md7h(fui%_AQd;Z-h1HBD#4mqcn0>jfA_*#py<1ifM5QYw(o`|z#@4k-)R{R z@(4CI8F>YJT>XD%4b_ayg_B|s zEYcruTMxqotK1fbYV5&;qAIXQ!D2|gx$J%LhN@*?1TG|QEKh52Kh76bBFQs2Lf01L z@!!3$-%ulCy)E}_;@o1yRS3z`3u%iTyF(5K!`yzjqdY;x?Ws*jl^iW9umb0swa>5w zdSdYA7)+{56Wk7O{`_K->+DcVoJ}zV5kwfFUJr5k@P906XkygcJlBrNM@bd`0J#+W zk)x9-ZOea)*pF||9aWiIdmAdX(39W&@NGpDNQmM^aOw%!{(*8VZTsY7l5@3O9)9Qm zf5q{&kAn_CgxisoQA;`AeTeV*cEDF`hVQqv*LOeP9yH-pqMIG}3&p=&D5Z>4%E28G zmv?i;t-!hUy|DDxs3B;K2;XALw=LaB#|<>g348Jw-B*w~zkCcdL|S|C#kY6j*z-*) zCf_e=w+EhW>(8(IU_o?`M8TZy8&H8_N%&8G_!=YCOThSS1@_4LE51eJt3rem)4ybl2B$abwX^O zYB};nqNk#ce5$BN4%t2#*Ub^~*Rv0Cd{>%xqCHIMFF|y+j?=v?(~|Wfqo{Sc&R>@1 zd1_Bwa_W4xqA4W-O`1P9V@8*`D|;QJh-=v7k&LvCht#^KS>>8fEvG46nb*TfMHI(n zjwP^$$+#T8g}@ITlMh*`=Uw3mmUfTv~Nz)Q_b1ciU z4KtH!wa%xK4F3RB!IVG}XAZAWvq`<=@Nz2E}dd z$FInLj0;o}Kme4pNX!<-`?&bOOdKOJsVnhZ+^+7}PFlq*i>%Bc+){(jtcVEKe3ay~VhH3$u6Nc?^?5Bn;Nj6%!zg0BfQo4Pp zx|vm$=ekECt<@RN{V$YcwX;b!QyWv{LJhK@RcN9Eb8Z2oKNa_z;)LqM($eZEGWvZ& z*{w^_QMFEDW|Bpf)YdAxw1l6EHd}$fDt&K@>n-Y5tgFd2UaQFZgOcYN&Sg)T=9%p! zEgX$Vw62+5IS&_-p_Ts1BCr4e<%@7mDnAjLMhzFP^6aNCN@yq}%hlkHBKD7TlQSsZ z0}=6ydjdXqT#Z~1AgLJ$7jGcm;C#8k@w;!>Ra=7Fhatb)08fOF1+LZx;^cjQ932un zCg_*p1F^S1zHq16ZZH+)Fr{T^hM_(Xr0oNiur2-V`C;4nWdxLoEMZnjl}u3Y<#{(Z zx46SC6;(|X!dht7>UrJBK8Nf70624+uQg<5XjMqDv5Lf4b{?Y;J~+i~me9)4Ng_K~ z6Cg(W-_i~*?c9Io28@!G;HaK7Vdc9R)rj3;ZQXC zn{oT(<fWPeYRS6YAr;QBJOuJS>c96GLB>MAv zcJ26+7FLax!!yDI$yTb;f7&iU7W@e~PbOToXWfzie(&uHVL%;ZW%0tr}1fE$Gevi!j9bM1uC1t_*6@i(I^WC; zK_b8pTnbQ3w@}5K()Yd(ZHowCgc0!icJIaTNwiOuz?`Z0p_R8K{`a@b6CQ+X`ks?@ zb0q2|4pS9;`8`@yr6kZhtbtu_0YG*=xmO{AuvW3Pj7i9U=|<#}_n3~{VA^UXuVF_V zlB@Y+;)*tQ{{Yia z3OLd>G|&i@lo@3Uc9K)(0thPA3}Qg5yxpWBZj4A}`}ex~Ty7hCT)NnnTsCF;V>P6! z6&rIFB|ae8TZAj#&CSRkA$|cC^n8Rm#CZ795+4?X~Y~+;_1e&I*=pa_q*Q zD(KUH!e=RtFBN&r^wlbjSJUe1aTeQekk;k$+}q((wlaMct_t_sB!9-vhNR_PE~zbL zimyG5#@^+ZE$9CL#5jom01H~>r}1S+Nfl1tx9Ph0nOrd4{WX@rsMv3^EQMd; z#l8Gzw5?Wq(!C3vK^L7aU#Kc#MGtp%mYrX*?th>S$2L=YTbsD#mN79^HD*`qpMhrS zFNM7c;&y|nt(ixxDDr8i$*0QvmZWO@vFkutgsdDZAy2xu-VOLSkLynfeRSy$Ms)Xx z+~Y2xI%7~!(A3gZ(&h;)i5+b*o+O$Ev9m|R3o`sxIM&`Q^4HBjgy&8G7Bm`TM@&NZ z7Ryo&KmF+Zuy2UiWzD~X?vs!yXQ9$sS#DID7?uihdtclW?R*~8*wpP8NASh0qpt8_ zplWF{$mv6WF-E3&H^l0>y<%+yi|t!&P}eE|7bBc}zv?DiP};*h$s(CeOXd@ZCiKl> zNsWrw*=P895BH7jXW{;s{{RZM%;`K6=y$B0OdrlOIcTDelq*uF+UgOP*Ua58l53uS zt!``y`L)(4{ zouq-b{47HPbvs?;>zMS}sw1Ju>Z<4IW^pZcTwYU{M!s~is-Ly&r%m4oQy7HzVoo>y z8k&bM$$UoUd9G*E4BuB~(P;XZ;7o#!lA=hM)lz@!L8_K0kk}Z@?+kqIFLRAc;9|C} zUliSN$a8*|Nu++?Uy@WskX6wnQqa?pQM5mj?F#N{p(u?>+9qwSu>cOkB+(88P-ws7 z0WY7GH&OJJ3RBG05D6#Aeh{vbN9 zkXPw6`&aW^vV>82(%zO;gsFmw4-=T1i3Pwny~r`lldm7dBdnR$eW`i}(v1l;c}9Ix zr|NU8&XPJh$pt&K(bGt3Ywil9(cBfVX#uz-fi&pOZTw2B`iGOy_=3_JM=sL>t&Vdp zS5ZYI=?{?hRS{G>Sjpv^t;o6KZN?hirgDq37k?BQDQP@oY8fOWb!J0(Vz7T_P@`!0g^qfcKG7mOU@}em(qIL%$k=vo=ROgK~bKH z?>>4=yEbapidtG{n(U*>c-XG#7+Ha4+HFYI>a9yPOIFruD%PLN@|sC2oxTCtZ@ZNR zLAP!(pnP=H^cOMdhei6!(ONwtmS%POMe^-krE&~~VM;ohDYnBcYDn^}MAOG1yEiV8 z0^pKy5SmVb)xuLr#yoIWz8&bZX!0#ax_>Uz8HIcmG!oB8rKXiDWTpn8UulFEuy;#= z$KAcHiFS8YohMl`y6m?@b@6mkWB_MbWSNF&Ynw3pB&+5_Cz*6lw3wv1EAS(Lrr}8Z ze`j7DdV!~UW!J8iXWCWuKBLnO{{YbkNa@`h4o^vzS3==edO!qHw7Zy=`^6(d!A-^) zymaRt8?&08oAqa-wK`gS#=ApNKDEd)trHemm(b?%$mQvTX&Of=6ey+c#IFU51Bb>- zvm+!Ye4@4S2lo8KSW(qx?Rn(W`Ejb=U(VcVV%#Qa31*v{NX^N6gMQ|~%Cr24K29}0 zNvU&aYjV*%36tk?K$0i&^X00rs6>xs+esHvLJ`QgF8(%k2Zh|%S9J5L-W)UPY|3hl zGeIu6%IY+n6tqxgkxE0tu$U4VquL_`S(NZD*iGX{seT^yH&-;DSb86u&zMb9l4UY# z=re4Jju=LB8*P#}VuCb{<7NmpkV`Qj-r$my)d}+3eGtyGazY z{!^ok1ton&4z8^7>n5(1SM71e73N*bJ2|sUZ;e%V23%rf!iuU{;DxK9yA=a+@JFSrKBpP;PxP0;4t>%Y`q|J9jZ@`S*|GxoIO-qpFPYeV~DV!}r@BTgNawIiTC^e?tEN zgx{T)>$U#?P}+&A1VRP{xwhs!t-gPT3!CjVO3+0iw>yh3uWJucg5NXyOkZbIDB{GH zH&e~H{@6L)D1x;_g28TB9tr)7dD+u9;lmQsOHoWrQorEtKA}?F z^MmTM3JI3bUdeDAabhp|;Ud(XyBUE5Sde>nu^3qRid54CFv?keAWIN^#~;6Z3VL{+ zG?F>gD)D#k+r9m}V7O}*DNHh@&wfX9@AAN_BZhDNz*wjRY$R>@3=-N2(v=czXzBdU zExPylcfu^rkyEf>Fi4rcL(u?eoKabk$HG5jd3!*@Bx3 z+Z^pa4jA)Bh^a*puv2a7yKV>1o_pfH)GJfwx)%jYebsJMdtVbO$=*gWGVNeS(0IA^ z{{H~m5$ygcsu7YDUCM0V9nHQ+xWUF&#nVI^JViuj+P55ltM7b8vkE}=a*c6r%lQ5n zlIG|`Z;`fuK08~FoxMK{Gu6ndL>^x!)!1LQ8kr4RBlENgCe~AXbGhx#J%u%Jv7D5s zuX^go55n_SRUQE{{Y9X0}V`V zEu>|+Jce&ecfZou1hxKe!y1OM-~-=}mKo_s1y#!#a|Ewq6!5;kr|*n5O6nB3iuY1N z{Jk);N{A;cXF>qDV}9RX?}Dm?a>g1J7XJWJ{H@0ris0d*RbUxGB<{L^Yz4=^@4gxH z2;LH2RxHJN1B?6d-`HXPwM`Q>l}(#zXYM{V ziJ@4?voQDw7O^(}02jh(D1cv#lsB^w{MZ49D(K>-F49hcFWi5m;hMKJ%b{YTu07=Q zcOR$j_`QxTB-u|UMN|T*UI;HvhT&}2ZU@g4*Irabni&BjeKET;at+vV_*;*s;cV4v zDkgo2SqQS+0M;Yr&&%tIZ?2i7S%zw{Qc30?v8LUGZ8l@b_C5aqE8|m57r%qfc;i`g zi7wQUR650R98Sy`6_~K~=HnbF%n=k8upFuQfF9o7m@%m;e%G={n0D?jZr$1`E5RJOTS!(l-po0;Qag4$+l)6>O0`nGTybm?IUoVs z&kt7hi{p_6gHpE;)PYI8p;4wAQS%p)mUEQ_%zTP8V$%ZOs1NS zS~`kVo@X`%*Nbhizc=Fm&nsy&1%?W2vM4;DNodmBi9NsL>x6&Eb7es!Q6Ii+FZ1Tz z{30NobOuo_etqJJDHrn zFwHaCdU_hr^%(SrJkNg5l;GT0Tm=|r==2+dqND0wnbKOTG3hsmjSW|-Gx#+QrfjGA z2Dh$CnWdVVXjn!h+*C&EI0YMpJlujnzPiJzwGN)D*U+U7qpQwnG8wZt@_ha}Xy|gN zMCe*rO8)@wc{c?}vG*j4<0N%U#D;ezc9znbU#GOXHitmwlGWu|G;&ERt!b56<(Z}% ziw`LjC9F1{`?!3Q#qU}5u8qvHjQ;>QrjavADcBp z(G;`4E<2eQ&id7!)MrOi!=MQF9#m{>hotyokF(q-b`7v<^qVuRiAt@n-a@!M48uhHamv&IT#f zGg2~*iguP8lUa23P zvANozujTN7e1SY*nqm2oOj63;TC+1SzpHQv@Abf;b_sVk1oB7*#^2is+PYR(5M}Z+ zdlAS7*Z1%8!Kj&(@;XPA%nW6>DvR2{_pt5z;{w+sBPg}Nq%{6uc+_no_P6P4d*hKa z1}G*BTeu+e_+V7@xx|q*O5_zq11T@%5P9w2z3_b=Q>o<+=StMS^zNl$Z+_jsf82Jy z*j{WsSVSgKCe=R*9zzb^*f7TI!0a1tJGH+b_``%6OQ;n}(^F_X+E1G0@|*oEwm5a9 z=_gnxrW3=`?CGLz?zwEX^y2$$K4;S%Oy!m%1aRDJl4D_Qqn_BfyamA39xAl7OJ`#i zW&m{z5B~sjD!>FD{q2ZF2SK{!Un0jz)2zuu@%?HyKo74Z0s7-d_#^P4*1nr{?@skE zsG0(%k0zvwvRQK)mX0|5vqd`mH+!}27S_Gn41#J&ojmba+AMyb=zS-c}ObMWL9O8jzA)4Iay;qVv^3ma+gQ-9$}UA=`xJRUnW5|%MM+1}WFo_rA0W@&CB3QQIJ}txbwjE`j2_O+wPi9mbY)L1-9C}*cOe%dV zMNbv#HC|V+pS-iVvwjKk!Of)CcrXuUb0I?m1 zcJJHQ2Bu%r;95bO=1xNoF=M1aKmI@6hC6Z_9Ag5){$iB_ZLPdpo1asIniy6apaSQ; z?{D9HJhcvhMpRnN-bm1kflP{TWB&lhZr}OAs&oIeFx2LGYZegrL0H;`(ykwQNh#d3Z{NUKApv(%vW>6BxWJX54 z%U;X-9{3cItjVzk-Y(pC`P=vHj!{n3l01YK3aoDu{8k{`gXl-Ur+js>88E%9PbCJQ zMh!M~Rl)cfX#)%XG6RVYPdZmZf}W(#%0Q8%hR(#;8?DdYB@@}{{`@x6z#KSY_msKLsP<5Xq>7Jpa%4LE%TDr3&m1yOPPlZpIP$Q$3K&^eR zxpvvCxH!H{oVb0OS;>9tNkl%Qmzf0UE@W3v-~x448Jt-fueItI;DnL zXU$@sl=+*ZAId7)<%e+J7cFo~9pD=?eI4shNOYfF`eT^%tEh2E`F(I#N}6E4bsXq_ zr%@4LLb8%aKj~&u!(SJ%rz~`4Wya$p6LoLJe?zsm@b1cb9X5F^8rq7JDWQuhsisv4 z9Tc&!o=JWPukCzIw^8mnt2S*3TA6n z9ZY0y4+q)NR7tpiM$^d{l+*tJ2R%huu5#7Sx~rB~X~;7VGO9{?IpNGq5!3=>jTu@c zc@*q&UuwOHQbnDEtslZ`tl18~mpkZAtfI}b7MNFx6LFuY+_W?FN_ z_fwjjZr!bO9q`E^aO=)sFDN)7F`-u;aL?m!X0wm*khvn-p&cTsZ;{{X7` zV^vpbZ4;7avdg9r#Z5>covGueF_~p$9$F-d%YE9$K+QJ+y$BJGenQ^!A;jvWQ0xKvXkGL__QZiduq+?&R>r0Eu@(ET^c(=^3u8D-N8%pe19i>n~3NIix_(<#xsM9)7eUCaCv%^n@>YZDXzlp6h zCe*s;Fwe6p9Z9S*=xQixWk_O{n`x0)h4O#YFVAmzUPIzL!}h4nDKx&G>-LAu^9tIO zq@bb8^))3`MMSTCkuQ}YyB(w`VRkkgk}s4quMC|n>Xu*PSExFpP3ND^wC=FZrpP3q zrkV)L2dJrxM*-Yqk-w&g-F&jDH(i!#F9>}+&^l+se^`2LljqdBlQY(OT5VdhEkR(e zVyUTlV39$mM3q*}U^Xy3jzgT75Q3t={x6wzG#z}?^{o??IvSnhmD_M2S5^X|O7|y#eX&kIU*3EFhbKcj#()iln#(E(SSagMQMexg_$af3(q+05de@&m3Fy?7g z3_|L~K|Rl6Kh^r;hHGVz9Z(4NEgO2$~o#1BL!pU z%&3KKKI_<vsml(n=^7YFQ6Fe-g)Ik@eL?psYfI(g;N$`?z9{{Ta1cs=n= z;iIAbOx4<#s-6@y=B~(fMwynHOovkG`h3cs3c&FQWQ0t2!ix}{4Vvs-3AsQ+Z-fsO zy&Uk@XrNIgYGu2+C&4Rg)Hmg-F zbpE>$at~qY>D%Y>!dbJ-=WUKe>RwYz4rfeg5~4a{u~Z{$6C)MeDqUEpxiP5**WCT#Z}^FIqr;C(I+xMC1(8KZ zlJyb@#a>Ftv(i$yiJ_I_ibUA2Bz{Q5O zqsv+7=~-cp25M+zW)Zu^1R^-d zbsMM|)n<-VXLqs5&l33D+#Z3}yR#;M+Ds)?mfqufuw%k<_Rqk;k z35#wdf$QjO z^f&t8n$>9#sZKi!wf7&-9*U%in3rWvOlVE4ZOP{eszZIG%L%+>?j^fp$;#3;;+2Lq zF;x-3qUUJZdHhGer?xm~)a_XiC584e7bo^V92WBJW(wp0cnS^fJ6rO{li+e*W-3L# zF5|cN!iEBRNhL<9Mmve-D}CRWf8FhcbTY{(T6(*HgjtEb&AGY4T6C6a*qI8B#`paF z@U1B3W4&YtZ!T2+{V~h18ssXa;-si90p1DNS&6@VBFt)@rV!G^#1q{v57)jF*Ff&7 zXo2tL&%Jz$Qal*tJeynNW-o;?R_HZHp$XRD!&7ws@i>POyV+wuPZd_{F4 zlsh0@#=~-gIN4Dz-D_NWeK`Ku zWOz7&Y8pvZ;{l9;jo-hwZhbk#dofr85_fMP5*YBln33vMLdEIi<+(**cANbDKA2#r zV^ER?3Pq0~az7jxx5FcKm8!CUKsQis7@T_sRU{GAFd?i>*NgFwVTyB0iL`Oqsf>|` zT3;}mZg6=YmJwD``DJ2pxViXA9E>ccnluJVc|jHdhXfvdN2jg_Ja9=GyC_p|OOt!w z-249kzAHgCYe;6Ak!O9f5~`=2qSpK{f~J~5a|;WT&Aah@KFG2}r2qw6u>jk-9Px(y z$1h1(1$z{4yiLDf#9{oTLQB+WdWjKTSuETg#C*HqBi2Z&#T+RD;Q{>c<6Ta!0tYW< zwe8Q-4OQ@{m&z^oz_f*|NBsW)&Ixiu>`hfuH`xTcj_uZv5!`z7>*<7bj*d*>c7nFK z`F${DY!wQpY=zgp+ne|Gu);}dtN@$^Aan6^+T8yD#v2uE8U9vQJ|wfu+a=L()Me94 z3%zm%kqKK3kA8i5x8?ZahZ?6+$c09GJGcNd`J`<;U!PoF{wS(s{{WzpDrRpjt57@-oVI?qc@fScY5iz_;(~ zi^>sQ8Io>Yf|fHU!6ao_&CSPS$LsUJMHNSx=B(RnETHmu>@V-m1q#aX`4rNJSpXoj zTHhmn*b=gtS~#W#%Wwtz{{H~J9Teyds1%h|R4CCyDZ45A$FS$0k-~JXs*Ht}MmGcE z+)1_jT=D6HB&T^COc*iV1&9OoFkH&el1z3`)@u{q{+}xx4J3%zk3Dp-d38pq>{y#z zn-2E<`{PXbUg&1F%ryMnYNqM+7Ij}ml}9#{rp#*MSY)X4)-N^Hm|P$w?cKKa#%;_g zn4?)kkm_&h2f6q4#n;h(rDf6NHMu@nl`T$hM@<=_qG^JCuFL~~Nxi@&fCA?gvdbc0 zAz~Qe>S%tE_yy{ZQ`a=tek1z(E?QD4tE&VVvs4(ip>3iV$+rp=COe zc(Aq^wHJ;&#w^j!nbI`TQIdSMsU@9{Jev_~@#%^<<)~RLvGd2L*}&=09|_$@&9g~Y zJ;{FCRaFm>Nk``IXAC>vox5yqZa@d*aVP4JhYBv5Ni__3nn%RQcUToTGT*7egA^#r;O&K|J=b{BZTF{77{(#s+ye zO*(Cm*H4=2YMa)Ope(@dX*f<1E!>b)C}2lyR-AlFTC~&S`B3~%MI9`ZmA_ANRjkKL zb#`~q4zl&bM~uQvSCHmV>V?bT+S!FgHA$wXcZ~(y+@}4%69Hf@1|r>6@Xw+8SJJAj zS4ph%Nply>ph`TuFvw-1Ng|ib-a(XK;n=pZ{{T_?VneUIMQ9%n{X^*7dQ)sM1d^n3y4wJ-gI-gsicH zV_~}7axsl)rI+oC=AwcKHc`*lpQq{gV^?Mw=6T{5TIw#L^lv)PD6-n43+B9=elrH)$v+Er7X!X$8(oU<%o^)sI^j~kKhN`qU ziA&VhLrR4sGJ|hAbiIcH=M8zcNIFwNsB?^qAm}wUUSE*rb(v*eX)iKMS5HwS3}Z%; z#xW#qV%E6!xEOMeT;!S~S7kjO>LqTul1b|F=@yncdPStDLsb;fOSmyChDepJrq@$o zN{l;oZ>M=yW!4Q|nkQB3m&s`LE@39C`vb(3>0kEwQI-{y-IPw!F5(9zw%l6-B-Ag2 z&72a)mTfOVMvd^Z)4I%)O6m;HK;@Zr4zAX;+IKCC(nBP{<)*BistPL*v9H+K4bAT& z*jo6G<@$e5>Mb!#)O|hDT|Ysms|*7yfUHYcWoX_)@&K&63T@zaCfwrn>F$-$*#$RG zbuD#%xSKDNT0u>uXfu53k?GSilAkbSnW0aNM`}u>-g~nS2qcWP(j6VAx{Z`)T|s}d zX?-oGMH0$0y;oRbGE}>yFvA=u+pehO5A+1&_Q!t~SpHhD=PWp;%WM$~aoOB%ZvR^`QLzRT_M_#~bhmrrV1460p2Ntoo&MPHp)(?XNx z9&ukSVOM(yfCxm~Slf@yZkMgm-9FZtrkd1xDvvYDYdSlcYAQVEEYGTHWU0!&%_}r< zdE|L4=8UInfU144Skj#~T1fR4Z_wQ_RY{oFO1VWh{Y6+xM2d>ZIJw?QBav^4&f<$&zF+=5+LxlVL~bH{8-{7Yn7YoT2? z*BVblbl*nA)cJOssmx@f$|w6hOp{kur;vWo?DHfhBxHM{lPrWNu)H@Q>3)Ey&vG7= z*3C?sr#aEE>IidZ(Ugsp(?u;K)GgX)W-+3VEu^;$uWMS^DJ+|5FmmR>k26j@dk#PS z5ORsMhI5`vsPeq6q1Kt*4qcf?OiUGUz$iSM2atkUY93AvfE){71El2p_tQki8d|75=9ezrPk}^Fp;(a zZ-^WJ0H)?PTil->!xpM58{m!UzMJ&>S$`A5)16U}W%Fs8zNBU}H92#($X=al>I89s zqz#-PvXODet}dMk(0wzR^$Q`NOmsV@T8@@|15KRkZ2tfvp`NcP5GG+-sRmmFlWuzI zh~Ze6G58)M@QbzRzYbcLr}X-!w=LG%D=W_Ptm8e(5{AD~P(4I+aQS(IR7fAqjZ$Wm zQgb5^Lbs^nM)d_eJm?o~9gy_jNqwaCDw`pv&Z)G$9IN&tr>JXWM*y@zn!2&dn=#mo zz3$-SIBn#;ri+{usG&8tPqbD^KT5TIKT7Dbx@^{qK8k#ns*k+LCDk0uOul24 z<|ix69-bV>Bv91z#D2)>wZw7xfNnhP05M=LIW=-L-)82X3WhMUf+a~$Cct_Q*i#sc zsIdTTH(S^f+X17ejQ}sXYyh>#I2yv`JhriAv0i(A7_TOgeh>u;ZI}`b_uIc7$NjMJ z6&6)Am6EMrm$oozL3@{e`)_YxeZ4Tq9@wPZ;ZER1yMFk> zs^~JO&Lxtaltf%z03yKs>tWKaOqfYn^wj89Xh4f(U??^sz*~-Oe&2Na<0B-*PJQ|QY zwUC{n`>pSP?So^9Sx5rJcL0BHZb$2mwb;doA>z0N3v+$fZr>|mUQbN%RI|O*FtA|V zg^$SlA47qN1G(j^)YO!%uGzd zlz;1-+>m`f&u;v8;~MX*nbxg%`ia#s*B+eI)#lm`iz%hh`F~Mou8uA7<>FU@j7Gjx ztdw#EjI!*JjmbL;W4ZLLe5%qSQ#y$WKq}*1!1m;kZf*3wG|gt5)|Fa9manG%7P?bM zN2;_NQ~vo7u(Zrf_-WOQpTn+} zf`1ws8&zfU{gg*Nd{tML&aWm{Sc!9Q^+?JABWqvY;~Q`Ij_Z8?07Q6H%;>UOrlprW z&8c##siBm{=9)nyk}6xcaSxQrNZgXTf3J)`;7+?HtMPB4wPiI&^5*_=_Imhy)?Q_X zSk(#t08%qLkBfu%U!T9Me~T`or>pSWNtIBnty7crDvc`H>4}Xb{#R2b!dk(@MOeMB z-GSwAi&&=owu%$MyHN=Hm{&|`Zl~veY0q>Pn9pm)Bu^c6W=%^xtpZ3sF(bw<6@rWI zBYxlehcn$9<5R^aOC)M~F0g0yj?+fcP-^Ljt}Ts;{4DcI z8T>EPS7fOzDX7+&n&z@W6{Pz_ba60nR2G!&Fo0Wg5p^Vupg8#4%5)c6{4{F3&Z3r` z^L&#aT;_)~t;!^Zu9+!C4NJmSRasCyHF~Lu{^LkN0g*})$0r+1PFN^rajuy%@Ppze zzr=?{DSDTj(q*}RYy94$EzBsgxMf;sCygR?LS%O*dPt54Vl5(%yc+)iH$RBe{jbIL zwW|JGm=>2R~;EtLhGYjd2tQ8rXt{{T+rI(DjvsfIZv zSfgeHF;=$VPV8#$2wC4t^*@h1?>_0~was&=>-sMpT}#*MIdeHOiQca*ccT?jv%Nrw z6dQ{YyV^Fs(`=XEt4iwZ{{Y2KjLABGon=(j`ITK%RC$JVmaS9}p`xhsOF&o$kVYF~ zk^zv%@c?g)AKFZPlQgc(DX0ZbXQ+%*By}@JEMog6>o>sLZ*oB7 z`q+Ld{CZOKMj_GOmQ7EbYpTg2f{u=&q$MU#Jj{s{lRG+~b}}gu?f`DxAywhodL7dq zhV+j=t?QRwd`0NpRn)xVSE)R8-G>^D&n_3m-=*0MM}^)nV^W*%Ws6x-MI z#azwq3s1@rPtqLwOlv01a}4_-ktuUHP*T;iZ+2c585xJ&ZWsgW=y2J-S<^3i zi&{0?e&njQ+j@4l>x%=ZFyw2j^PIYyL{a6@WV)X(i!G9$PsvMD0!c8s)H7Lu+6w;w zQtVp9Uz3OgPX7SYgvL(Fm%9}_l1B&E(-iV5XQk06<;i^so-d}`R zkPjmM{+KeSPs>gO(@L8}MZO#G!q@IQ*}L}dgw(TD&_J=%$mlEs5h1f5nIF60hOVrr zkxfMMGVR*in2}+B-LTj`3>=u;q|)~n{{Yf8EgQ&cp;(eV<(az+F|hO};r{@SV9TSX zf}yDz%^X%TNf*Qg{cY>P?fvj9$kIym>qR8L6bfbYq}&67N5gOQ_P5mGeqgyROzZrq zB#^5|xi&f60c-d5`?mi8>VCMf7r+Zn#tMx%D6@F7*vV9BmeXz8#4#M(*S;2HdRiLV zxSp-%2x?j>e6Rxm6gL+=$u_^HB$<9+lg8FLEZ6hVq*F~M+Y+PwRh5b{kbhfU}YxFyHB3jSnGDz`s&NPy-!pjq0UGYjpAo5vJm^RA`TpIrX zI<1aqB!(&{hsbhTJ%Y-&Hao9=*6;24PCO%Id9I}DjQM6$(7KMIv*faW`M(yjmm_6S zEF{hH7M<$u(zhp5L;9Mf!b+!E7B(QNYxzZ}XXf9Y4MPnwnImEd`>4j)CcZzlD*4Uvk?`$P z+w1%7g@zqf7^E9RZjSc=FyQlUeLXOtB}!;kR+Uiu8(aSXCk@L4>j_&qvFGCB1wio< z$k9u%f$*ERe*XZbIXXD*WmOx@c1W8qaH`y3i7L#p0-PSlk793wq@{{C^CP7IH*!VD zKQa65f@KwPIWmw?uwZuFUlVMKLtcD#Dm3m&yAV}Dvi!*Wu@}waER9!Eg@)1;f!Kck z0JbF=wQreBVo3I~fG)n?qvwVS%DQS;jWx?LU%Gn{+n<*|yJ4yN0_cJ2C~4zO;wBN1 zWp8jfzsnI?#)=z+W>y!!amTf-&lmkMEY!vcBq_V#Hrhe30^Xm$Ohu}G&PLXVvwA+; zobgt-U*0EGVljC%()6spBhokjft=jX?KdkT3Hbz;c;b4wJ( z_Av*EN59Vo{kFtN&cZ$k4miT%kv%G`F##h#ZY~FZuWTgDs2E0~F>vj2gdRV}9Ucw7 z7#VBiGO9ayTznwgxBTF`$%_lIP=s2-*T3)6<%BalrX7qopPzBg1w8chs?j5oTc3#D z_^_$68ukmRf+s4`pk)J_aqs^4Z=Rg85F8sd#^vpWzH~+Q0;*fkcRuF`Ys*C&Y%R1s z`5lHjF`z_s^wF3=FdeK9^#CM;?0$%Hd&y@?e3{LN$1NJ*(lKHU8Wr%P9W*`n0{{Y_ja;?hpT3n+qsi3cORVMGZBdH36 z5wwDP-=C$wG*d+#L~gEGSS_22?YFPb8VPV`NdRXuzm{QR<=ufCU;a5b?I+oiW^!4# zMnPWP`0vN>^*u849NVI(8(3{!oPtNw_r^U+h=i?5*@&>-Y%hPy^TSf4LP+C3H(GTG=g60EmOJ6a^$GC5Zy% zfbV=)y4OSMjR#*ATd1grNr5!A3an$9dlH0>dk{ybuetgIrTVv#P~?)+We=uBJk*8> z5uky5r7A(O1OPxL$KRXcve#4{3)D?ZO|5ghqIRQlsAtU5>RXZxk2m1`N7EEBWX!UB z%YoC=MZ%Gpx^S$e#x6Xcr&|92!cMi1s@*cxG`WR# zo(%QWQ&Sz1Q?UywwGyi{9Wiio={{O53hYM$z{p%zM)Xp%=sHG<;$vRCP<}N*m31EN${<{ z5Qp3W1k$eaWh`IdDG)%9{$tGegkP6Kv4z?@o!|T* zp2y#}usFZ^4buI4)lUo>k56ezoU&Sf`o@l;l7^*J^! z&-Agh$|h-c++0{%sQAEjMg)SYzFljJ&mb0x|2c28AJ1PCccTSptnt4%MOeirjp z&n$1e@^4`MtMu1Y^Q_}qbuU2E>uk3p&9w!6esPdhr5~ImN~tPpmNX~KWJ!#ScKy%* z=Gc%=pJ*PZYE5s`47)MR>uU8boXG064sE1qo~k6w=w>oc<%c_jEG2?4Z*9uf^uRRc zQ<7xe7}ol;N@=d64MkTjWla`wno!46H7z7=%Rblx@$bIr^)lhtjlS$eC~=0OuDivO1Y5LkT*blx{8(xcJA{C=Zja} zJLnZYmg&y7=}%L7h}kV>dsUJypEPwTQA1q}g05(1k!(vv=0p@qq>N0TGDI~mhTNv1ls zbDE7)k&L%Z^k#=A*Hs#eH+kr2rKt001uSn0F#<@unF6RP49|AHAUU3i&|N#C%leyL zlw=v6MOCe))Ac!pCaABeiV&!-YCuaDR*aTa9Iy%vgIgAcajCPNP1W6B(@ja!$R@}# ztqnt$Yue3yn5J6Q@>5r|@m9$!LdBX{V~#Z&i>zs5+YDJIS($1cn$%@|Kg#-Rw@&No z&1;wB^tsft{kl3B7jg)i+hAybQRWn9+s%s{3sChUIWl8a7es@t+9H!T>8@*|G|sfs zv?*QF`mJM_QPkzt(dHF2d0F!*qIIc}ofbgV4x6@{Mh&dT6AqX3SEQQpGG3v<(cM=0 zc1hC2k1)&Q%O0YiRzdu;bn(+~A*rQWr<&|8tg)6+a!x5~9H*>WFRR^J)LPcBOzLez zKTPWDbBQbEmY~(sR?|SztsGL|s z^U5ZQl~sJ2$wY|T2;^*L__oR(Nj`pZibka%c@j7-eUzZAwFaCa)`ikMl8=3 z**=ZQ{5xsNKA7d1MNUmFx2UVlt1>N74rxV`=918^{JxctZb%(h&jF8c1-MdcF9E?v zik%@&HIT`Ot(c1Bk|pzirj(jv=X@X5{{YytaD3Zyd|G}sYUw5DUYeeLJ^uhg%@(kd z`XQ<^;T1x?7denxL|Zgv76KalO~%_Bi)(3T795hr(Ma^iEYz!-zI_b@Re4@tpX9RE zGe+i0c}y9hg_meMRIi$>PaL52#oMbXs&ibLyQx}dN@nSiQ}tsmsd{Ioo?;y`Dmao= zkz!K7G&3vNE~aS5rYnyNd7KHK>fGr%wW+cyERR4`XI1nXGf*uBUT|p{El#pA0?8b+ z%xOEIpdYtq%oiWaJC;bVYbxFS0QBg@&A%Zoj04!pnC8&@m zmLLBBM&ix83G(CFzoczpihhEArP0>wJf}127M9W3zFU%>rsb8hKeJIITZK?rm* zMvg#|8C0FT8G_uAiaW0vG}ZdEG1al@ima-JqM{bd=_=xtN~%Rhk`d;GVoUCJ_S|j2 z2Np(kSJ6FHQ<3#HmpRTT-n&HRxslR&uDzC^EnOv7l}xWywG2XaN|Fv}{z@FULafaXJQ%4B% z83O`F;BGC)A3peV$+Q-u%yMdtRUTFjT}vmGB~0?9QI`rEXfDHhg&^%)kO;WsU|!b;zA+O? zV%r(XA~&$%UuzqH0l|}W_NqFVGVz&Z63aAgB1=h_r17dKH)d$nwy3e^wZRw{x~#Do zA(A<0s$?%CuI2m0i(LNzx9{k5b5p1(_PB!H+&A6%{{C2$WStevEAk)un=;Sx+^Uf) zR**}Y)77T=Sy_}Rj-A8q2_P0c`ESRn{4w78q zwH`&E<@7lmU;66#vNEy5Dy**YNF;SCLQ~Wmi|#R41Grd=adBRRA5CSII=efAOzHX= zCakPRXyQ->_Kt2%f`9=fiLe0S&JLO9lGM_gD3YofjG$FhRzWN=tZ{jgZ*_YhR3M$! z`@nl%OQ3Xx4@$g8O{Fz38k)S0D5Yb8FPrw=_;lw7PpGx`4jaZrgxtf1WiBpMsTD?I-IEuSHV zIO=L>UY7&1t#6qfFx-i} zvlUW&vmjQtHyn2t=5<+<<(kt-(`gEf_8M9m(dA2<)5MHe)FCc{JCBnRTka_AEsHbw zkXLngP-Xf`v#GhBe>|jDtrO?IdVv{gV*X#4005KD-}_X0D8eNwXG;riE8I7&o)-F# z(e8`nJ#nDbx`Q>y^L*ZV87mV?W)w6Oz(W+&@<%+tM=DIMC|#o0go~1RvAier6Rw)4 zqk7{x@b%R#ZJTE=r{<>AI$J5wZC{quxf@=RO4%kWPbb-@b`l8H>{(aua}?@D2UfG6 z261bA*)=5D3q?sk+iGK}YBrJOP%Q8LGQ_p`H@QBy$A5=ro9hK;i_R%@WfrBHllkIF zR&s+-VehPBT9XTC2+io|81wx!jU%7FLse4X?$`lzWr-3}P=0 zlGaf8u<3`|0?LWiJwIUf1|ROapB@YRZfj2pv^kcU zrqXoz_MFWtzS}lkEl=6yr*C_x)awa3-J;=iMHmqYaqkJ7y+ z&gip@`y#8$jZJNQKWC#8z|hF*%XXB3C@XY9amgL9=xQLLUZrhLi#AP5$sU^<{{V!Z zVVP-NDb^`r&37dppUX2^RC|<3B3#I^0GkW^3Qrdn2I9jNAMrw?mnZSRt>LGVO`>e7 zMOKu#h&EG1ejA&p1$YCu<%=u$M$tp6>bkX|WT<*&&NMVs-h2RWi*%C2WLxoZ=GpH1Un8GdN~hUbcrU`$(skb3-c7!x)T%Yc|D3E6HVRjz-d~vwlT~3?5## zyWp$5Ho?;w{6J|`>VK!&vNXT*DhX@ z=K7SZEi=RCqW=I!1$*ri9`@V1_TY&RT$lKUYkheZqwuw=bp1AluFL7FGD@ZU3{prM zFN@7u*xqD)#r+jXDZnDw&;B;Lb>se*p>L@CNOdPA&NRIqb4?`KURbnZc~63C8ql<>aR~A-B~Y`*5QTy{-VTsVZS|%X>}YGPqNE2E}=(j5P#?Ci6)_@ zl^H%TRt(0XHBf%(-*bFW$v#hA;ks8VLik&B5jYlIg7-Y0+<$BzlUBu+RXooDa8Z$w zPTPxu0OPSB0zHWyxKA7;#*Z6!8-O5t{>B{WGC~keV%o02ZWq0~;gNKx&t4xnWoa)^ z+6>IR$g^c&ym1u)oHu@bM<0i4o_WHGI`K{6>G=a}WRmv> zo&oNA@G!%gW>r-oaPo)5J9qjM&!!yX z%wDw+WSQ#2xDhC+bwOf&L%%1tac)K!owGMTJRy=?;-#|Y%hr=(l~4*RS=B&M+mAub zzvfNh_bt^K&sFF$O*@h2ImA_u<>$FZo~SX_*X3c=s-cX6rHe$Z@Po~}i(D}0`OE#W z^6DzKo&~bWvM?4)8-l*H6sG<_rJ?}vI$igiO&>E4#kLQ^mZs{V`7X4A31*(cN#ZeQTvUtCX1Z zypU&UuIi0NE6(+?$pEI51z8wV-*L6~d6uvwV%h0rFE3B>xoTltNZX4^5Ak#~ebyAo(q!l4iV;>U|Nk2jV02u4i z$p9#1K&r>#zjAHq_>30DrZ-8@vVv|v91mO-C2P$$ky6Y7xmE`M0JG`)7)w-0G?ua= zUQM8Xp;fQ`KEI|svP%=QgKL0n!q+~S?3FOAK^t+sf-zC~92e(A$YN$2hpdG2`}V{f z4XP&c3QD)!J}$t1L;L*u;F+^|Ac(HeRk-Cr1Y5U1=LOH0y()twyF(jp4RL$&FhrT8 zQ%IoGkQqQN#jSf>bM^eOF+jixBK6V96orjI zZf#~av9>1Ko(5g(JA6fKydKxF#4j-rN_Ut!xwzf+atdg1;icLJKZNO1+ z{qgJ-HAOnU)$?osw=Mnw$FVlop#dR4Q7T_^E!O9fFrV#JQByQ>!p(N$Y2+Ip`N1hQ zMJsKPkzr*6k^2}>)lgO_+PiFfwYfi^fA536%-9>p*+ha+{{VS`0FL7Rx9x(esL`co zk-iWC1pff5>-phrYyzS|Bb}g+pd4WZN1YVU3{QQ>a11VeZH8Yb4fT-J)3|vEKM~q( z+tUeUs1GPI9^%_bup<0_ar@&A6HW-UyfD8WhTfP#Q%@YQNo638LXqpu{{VkHF?V3J zH&)LSO6)GgsaztcmQ~a!}JX1u!^dcxM$ZJ#L7X?AwFa5tWjQa?bLUw;qkHVy$F?#r>sV}19ZQE4V z#+;t~Ik(fkC|YX?OwRk2N5TN)DgOX`dM^)EhL1Jk3bKb8jAL<=e0CrJY)8NNz@=z} zMo7>Ph+A>7_4$1;TREAmsuN(ffUqX#^W)bBEs6#ybqC~a$A91aV^wx%oc^>-6-i4T z3ZIvuztbI8H4dUj+)!|La8K8odCuAp77arl1!02y*f z7M-0T4BlI^?KUmAT$6#Yid7KD7~{JGao*p*$KM5|IgF6RhuQ*ydwjd#;Nee@4%-W@ zjfMN)<>`er z{7CqH&(4gjsddY<3aqKt862ysO&hq`BSvoFFYy$&2ejwHmxS#1CDp5uWqN{;W_gn= zYhNun+Z=1Sl?rI-VzlUv6&WvBH!%ekvU zuvue}fAqN{EQ(XG+_tdbS6XX7%ksRW<@Jzxr_3h7M*xN6^B-JWz9Vv~+Fu8q2J@&& zO0(*=QpAAB)fssP-hhIBR|nS=RXUlJsZT7a9F+h!*Zci3bzb8;J{aZVMBhnNRO?Me zL!@+;MNwUvSJfphUqcLF$vnK(C(D+_e&A#0U4vi2CCG$7q7ZUROrl!Gd#~N %$^4FJV z+9g>9khfqPwa){&y{(O3;=exYR-y2psuwruwojz;OtrFF7KybKnT2Il8dpeZ;o#XE zO)mG4fX*zpAY3&%h^%@{SmkLEZ873^rh4*+SmixLtp5P$bC_#NETFb+mQYDNQdQB; z%`~N8_~J5#L$!V#+sPw#9CCjV{RYZ9d91Vix2<}Tw^`PGt1r`Y=UH5{7_zreSwoYt zC7HKf@9+m41Gt#3jQ$n=C_0Ox^8TP!>Ma|TB=i)ul(}xB)o@Z&%}+E*G*T=^+k>NV z&F%;`I4`Mx!%M2(8LPT$n)Q2BY0Tn-TWZJ3vsw)^nVO06cw%}h$x?QKADFdL2+u$L z?(N96qB#>q?06AoX1+iA1EqB}PNUG-hPI9Mj-rb*)soV|Mjt9g%cZ6e)A^g0awd>H z-Bn7)06no)bz{fgp#K2q)koCcf~?eOLy=HW%U!8xVV<`%mYtc}rfsBzEXz(m5eKy6nN_QQGA0oL=7i@q&2=c?tRyzJl?^s52c`sxva- zm2?#4RUoET2zSPXL%Na#tXpsafELVsKFBEKGexI55kqlek!6z3#c%8#h4}U!-nek1 ztI{+Xr8NU)&S)$eji&$DVg@<<~OoXxwx6E}MS+W7iQ)o%cq z*NN>5)AOQrrj6B0LNg58H_fu@D75^d7gWAhRigpw5=a~?SZ`TSjsbC{{5O9DPvN1U zH16*bHCl&NXSs$zB%;fx>#Aw#g+hFDD3&m#N`{0D%$v78gInQLr^v^KFox#1J|Ih) z=DQk2sC~zR!H*vH{CNEEI!wm0Ni8IRe@t#FPaIqFu*I$8!=(BfqdqbEh0=bQWm3>- z{F_n94p&1hNtvlpkzdI!d2p=60(sg6`MJe;l4;0h-CJDcX=4PpBzc5#dHQ}mfWYr# zBN(M<1qM@{I9i%Y8ks&nh2xEl{cmym=L?3N)fKXXE>}{`dls1(Hy-5sbAijNGdSiS zWJnezKL+RlHuU;&=s33+^jf1nlAt)LkB{}N3433j;@;R>o3hMc+Aog+T1Tk0TJKY3 z**1sJ^-&EASuSIk^&}7Fc||HsOwv|AenC}1aoR(EH)BEM{Wk0M7g93qS(fI0A8PdG zYttO!noUQOdrlfgA7?UAZ7CueAajkl()z1$!-B-$Wbyrs^w4!Nk4W7^% z9VMUAO&v98hbWLnl!y&Hk~G!Xg1UjWc_^+=zyjI8{!45xE}0#n(mhwqx`(E z9}xclz&~4C-xS{27ht7fnQVKJ5^$^(ff|(xP4CaozaRJ*wlp0DE_>2%At6Im&2WXe1B+)S+&G)S65QQdCfazwJahos#q&LcH6)wzidKLE_AiZh$Ts}#OtJ;P3dM$uXOHtM^~*gs4^-=k0_>=n=_Is z38X>215&7!WAh$BL~L1rQ}GJ|#nPQ~tUTH&d_`!ksOoIrEcYEwfRKgGpzO$!4gKM9lE2 zgTAepjt|1Vgx$wVYmTR7PaL_vz07j@9W7XBk5;{y$kF`iioAc-R`wgJjSP0V)) zKk7{>9Rbtxcwn6>7Wor6iWN2%t%{=Lf1AWiM5TfImqj}mz>e(dOo*M z>m0_rQ)$_=9KkEJ=0_Apibwz(LFB%k^@3Ng9$N&cm%YlYb!wau|+()CMC>O8Zk8G+M!PbQ06 zY3)Uq<^FEA%Ak&#e99M4?6=;*LE76?7E#{c2@6-zucL-nTUt-+L=UB>s(Qcpg}qjV znx95$e9Kv8hB2Pgtp5PYy!x4h%IUPMk}OgVv;5V04HQqV%Nrd@hz;fZ1=<-rPsYT&za*n zkf^52=wx(bQj*0ZOB;(Y0$Y?}Vr&TDgNV+3)J~x1(`C8ld(=GZFsz_h-!7@lGl}Mw zjzP4mM(*Us*8qYncpk$C=0-PTe+!a*7B7g7ne{tP>-{yE>K$3BwGLsKnFdoPs7A}4 zoSG;qgz*-38)FixI2N>!vT|)|^Qk)j0Iz-}^IW!*R_8gkdp=!H_DrIhM>ESAWAO!0 zHx^@S_rB0QFx8XltwB@OjGr*iGdc4gEvhNvma3tp*d36kzRgI0Nd`sq9 z9lCQan$(fyGD!ezxuvWztZ{DH50uPNqX%PcWh696MYiHY((amghR8Astrw=WSfHCQ zGE>Jd{b$QBK^8r&kEnhZ{7h&s61_Lev)wtHQbnE7 z<&dtV$jqf>qNrFHNc&jsXc>dDN!YIj%&Z5`4;NK+`M+Ht&GS08o=TjjDlH*9*?@@_ z{GNUQqww^_oz$NQy&&n2hJ9(TvK+gqU(Pa$9Hyf$%yq_;mnBHqtCpHUPNXlJxhfBs z7`DQ(pN953nq0DvCN8&0hf{_MTuac?eMkNuUKskJTP~uXO3#y3SM8p9{U=tm@zn`$ zxx{58hU_kPA}!60&mhvd-|%zNwGlL0Z?Bn*C}bd}W2d8;l?pRka!nXN6iP3&aOaPQ zoVlPpM)mtap#3pTz2i_F4PlbIm zU!`>RtE$fPY~rD&&NE7iAx;=ZEfg`v8MdNsmc+9bF2{jo7}NN$B#+{TpUchTsuF36 z83YkT6{$%w0TU6+6SvLWd9>hmQHf0t@pkKsIT((sr4^5as>?<}QyzbmQB`G7!1XzZuc2zdsF2TQ6zYs-KM&m&J*{#^D!Bgu zh_`}Vu8t~tUa8cnl2w(dTTEs<<6z*j^G*S<2arwei2i&0L;MzMLUQceP*zt`It6oE zrKgsk%t1E~8!qBk%vJ|!8;TG?P)59RWA{9t;WO_1tc(8u6UvCQj|UkRaMURfqUuIp z9B@NU@T#fHD%Rdxg?P&C+EIWiM$#@Z^M#;liCRg)C=`&(>;CxJemFd2^mnWs7Rx#- z(ur#GC{sSC&8zcy^4Fw_7lt>9VU9K?MkGv2Gj`eq+`AOWlV#>ghNosc4ipY8^0(I% zby;HN!#6xLN0+9(NG7M#brn@Ywh0B%IOf0+his2c>s?cqP)gZtMMX|+nU$qlctaYA z-cK)RRkfJ018C=-*pjwO7VkP*Vj@$(VSD=AkMa8A&Cs1NS$YEfXUetSQOVYhpq-rSh z`Rk#grJ<>0kIt57Rg8va3ALHUn6O^tn_&G_qqWA6>RcTg&h+r5&nmMDY8rYbt$?e| zP-9q!ny=;UJJ9akU=7ItUlIhStH&l5v^unB=L104? zrI?G5KJnaf?8i(|^#i1JUMV$ySo0~h?KY*77%AqbGpoZIP>N|xoCw$-wJzI}wkUoZ zHs(0FFmmIJdvRYb=la!G?n3jDl=FplQ5Gp4ML)30cUAZSgar&<+j`?CfDN<$TXgv zlcQ63h0Z#sm{IGPGr3-dSYwf-c~sK~FDM|zBJHV=732>r+*knU*&c(^^T zrB0{Ks_Hz9`K!FcJAX)^GKTY3MUENY%-kF_iasD$Wr#Lm=F;MBSCL#|r2e;5m)D+G zr7{UWO?5PVX-lWMP*xRwIm(j3l*qO0M)9=T>(?^Z+AYP`}& zsq<<~$~oewon@8fl6Ny2m5{?EL(Cw2Ld39eLms}!ygxsO9EVxb>U`%h>PBf_AX1dbeCJdcJ+Bi*gSO`o}Zt z`SA6e>O8WKHH%W_xjjjvV^b|W)JW`RcyAfYsz^gycs z=6RhKezU2JJj_JUhc8Y}_?1PJ5eYuT&b$?W5lRmT+7mL+dB;nt^#H2Qn=ykirq4w? zMhr1VVKKBVay+fU_j9_|;9lMtDybf4#A2~!aEz3z)phzBx5A#M({Xjh@(zo5v(`OI z)3rKnt8>a-Ut29{%PYl1D;viok)epltl-1}CfkcP-Y0!BaYv@$r&zZ^6;(t*Oq;yD zwK6DC@&Eyh*Gc?kx@o2sYJDH!1NnSYP3Kh#Eky+|l0)~TaTO5WYzHTs0gL|t!@jYj z>OV^3VFySIoykUgavHt){*c0>h z!Y84UGGrrlxw@Z({Xf1I)r=am7p>mDQa5Xu_8p_#j&Lekn8K_TwC2pmn^=NBEUY5J=oF+vW8AaU9HQ9=awhSsjPMRjupp ze;=*zHOLK8A=%|`op@>>kV-vR_ZP%RHiaaSzo)T*_>H^!{vW?gPBYpTR7Uu2I5)M= z&k)S-?P)glum|DV-2VXP*mM`5sf`jwcakJQb|%dkC%?$!*eb3`47Uo1IpRP;?s?-L z!S}Cxb<6>A1o!7vNjvH5$U)aACbf2&JpHy9(WN;**H6UFCPB5WU6r1h|0uX z_ZQ<1^>RfcY={7)+#`CPdBaX;7>oLmM!{LVM?BvaAnn+h{#H~TDz;0ZA&p224r3gO z_vh}g{{T3lX=U=}CNN!z9}w&bKEI|fe-rQ%qabICYMjP3W@CRsZ~p+|kJl7@5y2Ym zsC2RTgCHRH=bvxi&mMw#W-YOLodLr zxA*;j@qnd}IVodcciQ$g`hFM_Hu`}Vs6QVYZSBq>@QwtaG>*ksZ1{qM&px1jnByzT z7DkVL<|?hW#N6?Fe)zzZRc|3sSRJIuEziCTca9WB3ugZSRhU?QKA(mGE&@x`#9Rw^wd^l!S1F$UOx}g*Tw$;;ith|I@>>=U3IS{%(WTwnzuR2a@zQe@<0vd+U!XU zv>m*2YkK0R@uA_HOZ2~7(B_>P74=$gKaN?b+a16V z2_KKHH)o8Vgm@d(pTmnM>Mu#UQB$V+laLrjv8`gOPcxcnWb)9LVqbMSy@G%iNkz?* z6CBvoxIncgx$T&T#~!@u-k|F9=`Nt@HPbX&b`e9R^vxt}l+;uqizk+QKJY`C1^3?f zAT6oMDUdK1QM-l(xW8+2`{NhqiX8s{G}e9?x)rLmO<&t{7K>BR$(Tw3m7u4pp%BL- zpNY1K9@~!_jjhe-S<#~c31kFr1x4c`Sm4anS3d^>J~Xv>`~aU z7XW(P4^nZVyk91i>6b(^eJ`atS*s|2>a4>rIfiMS#{h^{LoD?#S7_LnDrYqkA&-Uf z)vaz+xg_Grj1jD}&k~(Bs?wb#k0Z~1*IlQ&d8FZ*tZYJOf`%4wg@_l9ROfIX5|QXZ z%Kjz%Vd&1FYwbakF?H}s@0PDR?XX1-4jCdf@ z{{Uu=L0H{RSR;7Xa}<2OxB6fXNiM2w&)puq^NyJ52UK;{P|Ea%g4Nl@L4~9=`A(m! zs$hffF%hXMqT=`Mafof%S2VI@PA{wW9=u5Fx~~x(eAFFp&2qHMf1X!IQBzGEto~V8 zkqz5u`^9&5<9DyD6Ed%Q=?xtsAG1W(U4p=XYz@5yyAPfZ)EY)zDW6ZKwN*B8mu3`= zva*7{iJ_Jj;DvbDhEr=B5$%BanI$L>PxhuZySKszJ^A$K5|}u#H`rERmbA3VTUSz$ zM92C;)rpeVy@k7c&m7@YR9PHvzHHWDZZ4@z4%_f9Z}P)^O>5Q7=k7dMASZx5fIq%* z*d5Cr7y)ay9N7NH;ech>KzgPB0H@=SpFX+9PzP?-{sYoHgSVmFvAzqu`d4DAf6_1w67OwN&&K^1_lb z2HM0S4D{q!L{2jg*7_k;p%$0#=PI z7}T8`BUNVII@F#5GhJCrK9kDz1Zk7jWf9V58MOJlq)3p@8$6ECD0P_1_HX`?ZKbSH z2Z6m^(Vc&gW;$c5GgDDhQl)(yCXo8oVHCoi&zqi!spM zNKCj;wJS+JV_l_bvsqQ(XA!JXREAjF<9qrL4ew!ty2-Ef_L%Xjnrl51EXzx%H9KZs z%xW^^ig;zO0!pJ4NWo)KB}GD_i`f7ldz>KXo{Q7^lf`ajm_e#!T`?wWTMFkiw0nuC zX+adGSBee1eC?>>W4Ky1FXElS@Y(Wo=lFoJUa^B1o=e*vu@3 z>ckz^;Hd#qoeGY} zQ`9W?2cp`SH>gG+F>guUzvYK3vAk~yr^T6mLsgil2g||ll zNSQb8Vdlt-Zumz0l96X0hR08eDodyD&#z`+jw1ABcil{lxtRKmhx}l?%}_}UDuf3y z3xF+t2lu}pJUmq7brdTlK5b7mJe1Dnq!_*^nXI8&{g1%H#@vSg0JNNTyQlj0lQ)Ac ztI#>rQ_i5#(aDv}C7273fi~`I1velYSd-fmbM+a9F_RedZd*N8zti$m)zebMGn#QV zU0pzqDAA+~y`9KZ02`9T3j=fOiN8Yfy3H5jE^NOrmL1<|mKkQEsg8JwGN~aXjhP?h zRFHi!3V7>s`jbyk%^O7CT&U<(fd<47*0Bcs99z00Kh+vrB+{Cjr`f(omPbfvS(N+<}fsvvVFiS#UgazI}^7Fv;s>s;EfeZ|TeB17l;f z?Y};p6M@oc{M6RcQLPNE=hnj((1e)@lnV4w-AdWUy+JqA0XTn2TLD#)iqbNE_6dGTuSypi+ey*U+G`%KWyY2a1 z7|Gr`$Z8lWnrqcb5{S1mFomAL+=RV5Z*pK|(|AreEOAqRvi|@jU*f`8rs{?GiFI?t=M7S&|sqwzb5y68t-7@ADS)1J~=cf2#=$Qov1BP0Y?10_McsSz7l0 z0P4;Ct#5l?#Wxty=W_UNKb3d4p%zUUcQAwjosn(N{{V!HTk>Dm<%HC-JW&A@RyjfBu31aHx@qQ4U$yNE@4YJa7?lzHpI5(4{vTeU)tp2 zRGTAKmMD3DxS3&u)k9S%P_xm;~A+<;nf`d&qQy%8n{SBAwmV>^CF!{6H9qWZ=1=@Lfisb=UmlVau`^>S@a`U>(R- zBhu7mP{k{Dh+$i#2}+t16^*2I!nX!6XhsVL=SWHN)ljf5)LT>Ad_#7{XD z5+i1)mx5-#@C}O}LOne(3CX)PXQRR4@=a5a*I!ds@s()-MkIs;_PxmZa4?E|%QuHB zmnNsoDiV@sN2#Tbc39+fy_u5sa-fh2?Q?7yRXQmEqN)D?;qCSP{+K3rjp^NRvLGv6%z!$;{ve=?P4xea80lCvBGDBvKW{ZkIXFJ74F1Z zg`zFWQT^Zop=MrK(^bk3_GD+8k{{t zIf}z#1_78G@;@`rVROz4YN;Yn&gXL5YL4B#Z}h-b)>c8b$8bpQ+>U$m@9c3qn_L;0 z6Krs=)7j2RG!SRm6>S65Q$I$VY3E55V4%;5x?d^uyGdUyzKQ)`1f_Mw^{{Wm5 zDXL^Dl?oSV;@{`=$DDDs!L*aR9@a%gylcE$;Vau|^&c)jOeB#V)6SzN>U%dM@$~tB zoF}BOkzo|_&1Sg@$a{Nw;XK*3OkdF~2=Bb90}I;1Ngy>Mree{|c8dcd$-xJ|rLagt z0A?=Cwj7BFy^m}TuC*qJxFEA1fvzmLNgX5-kgX|JBFsY_r{`=+i6WYM&G3g2?Xy{c zTk-Vv$COS#tq#>&{2+tx+w<*?OH~eE7+AmuXtVkQ>&^i6bD%X5zU3g@2R;7)UjG2w z5YtB-s}nRpR4LkdBm-gfzwf>ovv#AWhyntb#q2vU_53j7QJ542ZeYFKZ8rzlUkq9N zv9JpB4WRr=4ejc0?f(Ecn2`D+nf7EiNlrs|ur|NW-q?g{Cy;y#2mpigu?O+_VrQu0 zY3f2j8}7fr2P@BhPw$LE^SJAS`3|Rp-MtSt{V#)Eijc_DrsL)<+x=f$dmfw=kgHRv zw_ z`NLghah4W_Lh7q~Zd-7Fz8hizR25XHe<>49DmL(zZ4WQf6q*rKvb_fh_ldx7ur!HTNQxfNM2a($0}-23A|&T4^0ATks-7qaam zvfs7+4}X{V~eZrl-lXeCZ8iQQjI~vx~^UeZWry z^S~bchBNGPLm4}x8<-EeZ}so>#Gj>lCYLf=5f=x^Qr?#sT?u# zJ||DHMtg&gjMVxbZUgT*U)5V8HG@Vmri3V?%&jPeHFs4`{XK=@Y4Zkz& zFv(ft8>0Sp<;gROsL#Y@dU&|Mf4ux3_Z;E_r1~q>uNxgu75Y~wqN0+i;iI6YF0p_M z5fCiI3!Xt@MeIER#4p5Whd!(LSn3Js?^JS6vQ{-Z%?l|Evm*dQsUDvY=h)(c!-g{2 znPKWOsNU3QzMKC55RRQ_EmJ|C<-Iemt`RobDW`a&4!i=VlWyI?{{T2>JyPn=j$W!i zNBVC}nLReB%$laEkjrI_5lLokycIIvFCysu%;A3z2fc_X6r-CmHS`)_~M7%-4nOEji)YW4yrC^m*i6k<~xTq&|Ot92P zxcn~2$$GRxh2Lyz<9gZmXg{8PqpmtDrx62w6##|bEUv$r>J|(hUH3m_g>$tO2)ifD> zmYzzgDWHq(2%qUP8A~;|1#jJnIr2!U>f;f{!3v+et83en`}yMaz0_89=s)zLt2w3W zPDxvlYN}kCx-8NLgEy>{Bvei2EvIHT059Goi&%EW&DS24$)dbDjb%;MT*Fw^>Wxn$ z=b4sCn)&%ub3{(xJU7QA>>Zpj9}UlYj8)znWntG%EQ_F_)g^PtHtFe)! zb8c~N__(0f{VmXRdMi2b@uoD^Pn_oIn9=5$9a~nFmM=CxC`;xQL$`3)c_D9NKq0BU zp~W)r(Ek9!W21U&!^TtAzO+^6nT%SOPGz}-D&(qBBxi6@5_wyA1HH>1`)!LmG5#j` zW_i<1A)qT8H(EUEcvZ%jKm`aaKWc1@7S3 zfA&KaUsyCIVOO000MFKc{n=I*D@Z z-mXxw*AI#Z5s2it;2(h89&S(6%5+J{vP_+3)miRZl?=v8h}x+v7QVj7Vom; zS~LDlk!G2VT4nUvMDkAd5k!#^cN1Z8Zr8?#={Aqcx>1(X(&`M>70k0{RgN?FkQ;m> zn-hCnkCq%D>IX;ihh`eLEkZ%RmntUF>vBl>ckSB|9-wBOG1s|;6=q%3ifHDh8zb`L zGH+6QSw;K$gM*51mJ^aj+33GRw8vevPMXQJmZ++rrpPr!ndKE;TU4>S)WH}?$YaPO z&2z<#!kgS!?(YshA+o-JEx^GjF)X~*x$tm-?kf}XXQX@iEZS zZY__CJx=itqR&S3XHL3tsdFXFwDx&Dc4wIg3~|mRjYiXZ7qcR8%2$rqgvH{g#B4y* z)Ae&Sjv}EvsAdZu(Qp7jHrQN%Ex`F-d|Q5AzbAj-jk?dUk~{f9UP?UoXXLcE73diFKW zjU7BEbdS4&N2UIplj-?g;)^q=e8MN8ri@d>*Z8|Ck6VH*Z%?QmyrZn2?b|Ypq%V~Z z1O~7+=H&YhXGA7*OS>bYt&11Q#Ry zX8eFJ+tcfX!!VwJqEXg7U<CsoZN}SEhZ`{nPpf&C8 z-@9Vv=|@C5N1Ak^x2xS@&$S!U%c`j~=35S*@gEGYMnN zCW59!tpcbOi^_^8<}d27BqAw=4N$K-+mQ3GDX==zTbEzH* zx~b@L*Ne4r`q;kg{+{hrKS2O(43pZM^bdgXVV>JsabQ}iX535o~Ja9 zikha8x6N1fHfJkW|M} zlgFi5sR3-l8KacXA*)qCYmt~aXaK!1j00=fTQT%AK*{0F+@@{*mhKC8Orn6_t-sl4sc+1c_A! zQw>bftzAU(%*{933bRER;UNK<%*ZitWnCB1^Yzm}(2js;T8^CNl{7GE%<5!?r_Lgi zC3$KZMrZRX6=V-7XFy|;o-i1T1_ub2anCN_%*IZSXMG#2=th{zI-@q6$@09aHW}2i zX7sCBnARq56p+%(LZHgi@aL!eOLW6Iq3RAzsOa*#?8;or zI)-SoG@)vWhk68(SdpV>sil(9#!;FW<3hxe9JKUTFzL2g;fpS&)_O-pP*mv_uWbJS zQc0O*EnQzAdxzSkL^kQAAAeNh%? zlWBa~n>e7OppK?Dqn>7gRaMK%?D4gL@^>nmS*D{@%Xoa(JEue|T=fXY(+;5Moo(VP zN^4C+K2@daDC)A>c^uZf71Og*tw)m?sVfYzNMw6h%d>FUP9{3%teIACtRFkdGmNsQ zQ0kxN&{F0!+G9KWKl0jv6p_Io-}Z#5atUXp^8{iLpanM(9-`|_Lsy}Cfu;JD;!{NG z+~Yjac`{SxI(E`C&r6#5=?|X;G6y07Rtq16Ot2{;;}iXF)~=OxS3Ah_t$X5QD#&vT z&oX7N&a^zayiHAzwGcAE)bq50j`L!W@TboNo6f&JBI5XLBvz}a;KFlIFY1>dxR$O9mH)n z0_ThIejB(v3roZXvCB2*ShMX|$0@J-RYYwaW>6}YnUF$?HVM`_SbzX$bp_lr8}7a$ zzhQ>2Fly*lye+PHsE$JwG2# zdsq`F=_GlMXIMbHf{V0qdy)SD@p}4md)n@)M%66!60k^9;sCAx06%`;iQ|9`ffWPS zMrx#HNKn~SkVzN&-`?lcn_JWcAiobOzJ{Yo584+pp3U%=MIzR}WBFd+E=~9hmU!o= zQ!J{iyagAvhdd9L`NN%bGF66=3AM;1@pa>y{cY|2utQV3H<1dlw4SG&NfOaZ*iV%S z;6|pAZUy84ZDC}8_rYj_IG#CWLnBN5HFNiwREvDQdH3Vj4it2A8mWZ0RgNZSA&5{c zLG<~L%MH1N%UZ^+1yM6Ad<>S|+?E5j?m@N|RLgwk*dePRWTcWhbrQoWjln@SEG$8{VPb9WPq+Z->EAb&V4a2Z$tZaBI5{Jk(qsxjV|Ca!L~8)(+93b-bC!4a_lNSCu- z_wG68<>`lvlOTGtQm%j(gf+IEtaq)r9E;!d!_^j&aDd2``#jEMBbH!7+wizQvxTuw zNlc~+WJETzmG$Sj@9HovX3vNC20TgR)N%bnDCu(imRMWDgcs3b~hFz?KU4EJ6q|9?s1*PMM7xj zoGcQ(%L1m%Jul6m@>~MbVvL zBX<7)++8zRcVD+6Gw+Mf_=p0FVkbpK+Pxpca+!?pxBSKZn(XT zhjGCo#|+gAnOAK4R&7vSN5Y0?B}LDVhO#)+A$ao0$AY#FYlz@);SyN0eq~!zd=%5~=WGpXKyFV9z?s zpvIjQ7MXBF0E0WqM08s6Q7L9%NB*-1D?> zdw0g0>KBE6kZFq1CR3vo-Z=|QwRz1>+WCZCw*?!W1>cQsvJC9cQi z0}VFZizli!4tL@cr5b-Bn?20GnM)lqlGN0hV@orr@3aH7@GouHjp^STUKDjrP|E7M zsY$2mPk6s^9`?k^2okGJa-b2_~SonexvoTD5IgtD(Q4hOu0=8(nUO)Q79fz zEKACA@3XB$m%Zkd9!4#8cD?Z%PNVeVvp1vC{e9`KOt4qDEm@Y;!c`;PW-A3+g_6MA zYfQgiTw`SL=wn>jr3lHZX6?u-@;yhD!>M$pZA+Qu(+fvmmQ}4p4cg>75~shR!uj&L zX`|d^I|_oQ+tU_R(&za6n8(_h5%O=}$9O*c!T+ab+T8^xAtig{y}L&w~BnUs$F zFiU}PehxY4bH+DZ3v!3CsHC7W$+0IpTy`D5^MH(($qer57Ble$2kU$KdSZpjyk=^e zTCjB%jG(BcC<~0fNg|bR{-M2`Fz;Y($sFS7&>c_F{a48bd!w^KA}Z{wq^bfr>D$@X z=kQ^5JlOC+tvqsrrbCiKwx_0*_eeJa$7y5R*5AMUH0HT^f}|9PZDVCZE`JYi_{5HC zb#1Y}; zPv=2Vyr-mxC|Zmg9WoQRFKi`&#;Lz=R(Bt&1E0BmsCQdA9+7E;96eEq+D z@aQX7>;$_AnsFR0704IlpU1u%s(G8t9F`Zg{{SKBhZ!YzjFBC{BwXIzu!f>!Wh>rYTgsM&NB#Yj^cOzW)G^racCQHIL|d&2rtA z!rCQyJjq*@HUN$-$2@%Td=t<=EmeS(FJJ(D$GP-4?5JXo%v)YJ3KWaqxb*eF zFDzev%ovY|`Wtco0GxUS^9Pq97q$Iu{{S3fIk412A>OLWR9slt9^Svc*wy_6>GEe< z6YO;qd23SF(!{liB?7mdv4g2&>H`nVUl~Ra+twy`X5bgMVexOOA9Jejd znvO#pDgOYdjj9NyiUIf9L+C6|KYRY(*2PUm8D)({(Qi*UW0lQWxw>ahm-P23%yN~} z83jPhGU*{n-j8IItX9HU$YHgX_XgjU@n7|0p&1WZ8!De#YR7ppv?(0TI5RwJy;rRDKT~URj*x0iN19S0NaGZhlS-{1znEdMzn9I2{{T`*xHyAndaI~8 zMq>F!eV%6URK|A8Pg_wY&V+x~H%9X?EQX-ksSv+3VE4aw2Z3%w7@bClD0p1Dd& zD%sLnnQALyhyu5;yKpaicjJ$q2=PhdGECd0mA(=*Hf{d^P{sVvP~~p~jWC7<5}=6< z`1qxefIF5q=NRXwT|DY1Rh&bmspqa}*;Ma++?(z0eR=Ke+uKQWW5d^oZw7j+On9N{ zH$@hsAqiUfd*+cc!&b2<6TLgK?J`EMaD53JA5O*OEW2{dMAW3N2#k2l)3bE5q(^6EK*wp!VQ^zuOy72|fwa>RG|m(brC+^CcKl5-u!z~G+WzsDCZ zjDDEq84h!l^{+{5y1hfEdXBZ3hF4vgO}e@&h@?fSf}-P>Mv*z}LwvZWqmRo_fky}k z1X|vp_QtRK$|SR(daj$M{b$o!=3MGbx;(c#rJhRYsl@F9tg2bU76I%p#l`u>)#CpE zsc}~5EZ-xp>kgLApv|hX_%zm^(g@8-MM+H0BF<6yr;&t&6~5wJf-E@9<)w?7i?}16 zFJb%s*z-y!uvtqmQD$z#pJRqA9R!e$HQgBsI7iN9HDswVv;)_ zVU4BH%_*nTrW#K@eJzqtCSMBHY9xb2Jxf;7h=COFu~X*C89-(i7cIrR$9g+amFfP4 z>56>Dsu}>JNF`5x3({lR>-fd%Uc*kw`EW$=mQC|y&V?W)w7B*9B z_Wb$5ky2GnvN&lZW@~IBE(tw{KKRy}iVmE`t{#h6<~eqUhKz}z%H>GdR>!!=SiEtQ zc4AlIBmrT?uY{6k-6XGV36^z}K9-LuT``td&LV}CnxM3sMswLos*0f~%a zfpcXMp+ATJ0Ez8oud?o)u9M61tjjWvd9visvv{eNtVGeQs~Nf8%jTgV4iw+D?D;qF z`0CzY)QvIIDRuURYOP;QSNwv$lTmr}wN*6Jh$f?15jmM!!oU&97q}xD$HV@nlf?F^ z)?Om?29}E_Y{OjY%-bo+moI{9m?5iNftHdL_+w3~Ra!u&`XPtjYnc|h(UWLz6j~Bl z_2p(kNvFC;oWqvsoU1LMi!y?yI;c`XMRM`)t7j4{i~|&=aBX(l-!3g%7aX!oz&&~J z$DecuCdqXbXHhh^rJ~O3vnmMZ(|L@QDGf~vK(oamXwY04&<(qI{9#@YTYx)&yCbS9zG9Z#D-rkQ%@xPrO>sD!)F)_U^~A_8eQ+1i-(` z7x`ojda10ldTk%}56Iuw)87f?u${g`AoCIyz)jmyDqP^ZI>)MsXQk3{wIG)yPHw|xIJutgZ zX}s@HW>lI_N@eoq8Ma|M#ZOO0rKFZeHW45l$vko2=r4}jCW|=QY%YnRqDG~S7E7=X zBA>nAi<8)M`CkgCDE`?}B?#7AU5~F9u;Y*Mhb>#u&3n_GPf?@#gQl|#n=zuY)KX?t ztsAIr$7@>P*w~H@iN6N~eX0mmX{loyR>Qd*--~|7_QIX?J`GEREdFBD$Wn#cH6xv- z-#~x!`r^&#mtH!#(fqQyi$~@(GE?SLMKtvJzEKr&!%+}n6+~+)Fpg9X`&fV6ZV9!j z>M9c*s;8Al7eE%=TKD-I+xKjGCYE`}l1}4dZ^f6}=s$lk+|wMQo9MY{{73bxEX;Ji zXOwGtS}AmPUo{R%JuX>K5lCe})LB2K1mU}pCgF0&yAoB);%BdwYpA1_uKA)Ss*&W> zF+p7%X;4}ffZ0&0#yq4*td<)QM_?^e)9Lxv9q*VVYCy1H#QWaX!py%Yf&f|bMP2Q{ zBystX$818Bth0(S?ABTapP*SriqqW}tJm7Lmo3%$9!)`>XOz816xmH2{{Us2&aaoO zC1!Z0r1HuZC5qfP7Q|zwJwoXYUDLUGq12ie*P72b)hm=#ok`RR`bVdu6wy2_{U!}O zZ01#VcPk#q#fI^D1$tMkdY7YhRJ}RtT?U%VY4u%1l$CSoRIX_&(=Uoy8d=&Y1sn?$ zaFHRf+{^{e)ixWZGa5}1e3lOnnn$mFNSjXNkm_8UP2{!jqiA&vJzZT7ofSngD#_$J zyCTMCh9-9^%+QiHu7ZKa1I-~AEx@>RpJw=xot;PWzw47EiIYJs56?Uf)$ccQ%YJkn`!|5 zKmos{Iy|0JeWEMvQW-&0d`Pta084tUlz5owoAp1fnx7}tx!o>dLnUr?nfaC3IEqTT zV;m1Vl@U%E#1h;qnM)u$Lm5(3UdG=X#t{SVc+bytV_>MbLa&qofB2d4BHNXuGG!ab+$ z0Ys7rVvVVHMrB!i#bQFb;vM1}q#A!p_aQmZPn#*VJLMOS7WL58^J&__j0Jaeoe zfBJOtvIy9#4b}tT3{fWAbZhBUCAFCTEasJ4a^xsLAbd?91HWS#Kb5fMPpILXI>(hp z9>4-3j2rN6!VB?(DYHzBRS=mINp;#=;r{?2@%#6}`V7XAn`}CM0-)L2MU0z#kC%U* zE4g1MtALW#q0%%=aax4$>-b> zO{3E3G#iyr#U%pPN@6z<1qH4EEybB}_qGbDPd%91?;!@_Z#2vHsaof!?q;pOtR)rJ43vkr)W@1+0^hmUcmcv zg5=R+7Bvqh_?|z7xeCYE()alr93o1%G4l8xU2bZw2&6i4rm}G)G4U_}vM(1s{{YG7 z5~(umzKVq?W2f^fqqsE;pmA?rE=U&l?dgSbc@$KuKpZ23Ws}QMetS1=K7T)M%{hWg z47+MW22pEv=KlcS3UWxjr|`Weg~F6>f6(-)=8~OV(RmjkV9$PR4 zR9JK0*A5j>q*U!Bk+TBBZ^L^Idz0(W>w;r}vnZ_dDoTFg92V`t_T$&r*WB2}CF*(1 z`gvPO(BHzAyEK0gnxM3-Ouaj(_*HiDGYr4AhZYw+d;G9hiTOM<>8`0%>8_CV4^!zJ z+Je2`F`p=7K2Z&6y2xHPJHs9kiV%Zswv`7AJ^=HHw0DT9ixygm^wnH2vivNpB!WV~ z_Xlbpk+}zpgD}+kCtv5;uCCE}KB3cf8g8KWx3Tz{t;h*mcy!CMsk+^#A2Nwv6wEh9&Nx{W zSjI=C!-co6Y->KCWmyyS4ud$$r<3hewQxf`Mx3HaP+%`1yI*lvC4L`-0KD$pFr0Ig z^SCcXXO-6cxgx8#MhB$H^(8d^W@BFNt5Wa?L!IT+*n`I&g4o<0C-6z4^)G?M@dsT+ zRZo~S%@rk02Bof~26U}xN>m_~6Jb!i#c^jsT^U^lbMY;wbk0YXO`)l>Ou~~`<$2td zT7{_db)J!Nwr(1`uWyPDUeO4G{;l*4FwF$MMV`hbvj2V zzTvr6K&&s#h~V-$=M0WW$0t0RIA?}l82KArmVuibsUPp~xp1AHCi`eF*q z(?VwzFq%}7N{RIZ#7qj@+{pfFgYCb!0G{|_>Gw?hFZ2eNG^*&QPAKvi^JNcJSThN# zDk>uk2u68icG-JaZnE+K8=C(BF*3g&9ye+!DKuoBExL%Abu>XKVgCTE>xh97KvhCz zx_||&II#A`$>Hgw*D^^0HCYdE3HOw@Uc@VGsad@3b~TU zX&X(>EP1ip!Qo$lc#QM>`BRJfvuRb*Xnkih(u!FM`6JHXA-bOG;Hl&ed!GE;)PqxL z{W+KAEFjGkOGi$^DCCI{?IgKf_YD2bf!uomU@eNHNp*6MMNWq>D3uiy?u#8v!Bw{- zD7$y{`kQfM+8M9BS?8IaM^BbTG!sc1vqc#KHzMSyk(4VC7Q=&kUy*Z!%2Tu0XZRCY zrqf3}8gOd(f3HOU091H_$i)P?C1$0df^5DQX@r#u()maki)<@$p+)WiupfYobf>R+ z3qW-NvzYT(Y9g=G^)k~*13Rqfq!YBK1SwN--FBPX(UZd8LMXbWU0u~)q2@W>MVJ~o z%I!6)>N1v^f(ppa*db(eV%e|{{Zl&=@vnxvfR_9`ko4$mZnW> zHl3wfc?5IR)6_dt(A3E{1|qcaJWa7BSYU1}%Yws`Cgmvl9&^PsIdl+G$%)hYeg6Qu zXvT?AmUye`0_k3k8rd}lX!6wM84Njab6pBUBc0LwI|~jM(PbE zn`xY{J<78RN$N!5W2>fQW*eg&nN^hBSg98b2=vAI<1fXhgKbIDtvRQBJ#=3-(>k9l z&+7FXXSC5p9UV%~Qb>pF;ztpY62OOLkeI;%N5hQm;;;CF_?7X2t1@`}K<0E6Nu%=z zIV3cBWOVOM8%celZe5h^77WY>rWvwRlX5HgbY5*t8;wT!`x$pPuBn$g_#LBIB)WyL z01hlaENq|QyIIn%xWk=2isw{oL`zW zvuBc60ls5mRM>O={C}KGIwP#LCr$c;O{y{~(+u?Jb(bnUV_q16y}FBsYU_TNwWfXo7|zQV{PY?@RlRnpO?=GlgBq% z{LxYW08v#W>WgWjsc6XC+wPHm1}*K=K4|ljS!2s**gZkg58=JzqbJfnFg2H2YWiuI z>%AS9)#}|Jq_nKE{g*k~>sPu(f<;CWhKSxjjKE|Cyuxt^>kozB0$l*nvGp6m4~dO= zp6Z%R%^Nkz^)^i6pE1jdvds?^RK_P$X_3d7c1?s?_a!(N7j9jjqt`4g|*jta^(+O&25y+ubp2P(lkDtpHS4PJG4SeYX z8i)9Z_-sD!uY4qyzwHju%ErdPoAJlC71ZpJOl*pz@(5pVf6CZyaSH;diZ`*bCgZiQ zhQqoz4N4~oPT@%$?Hpgf?)Yh~gvrQoP3?PwbM?aFrYS<1K@0E4<$@-bIAbq=Uxvfi z=k0Iy!;;|Pnq{hiiA8Iw+RXO9<%Btm(QGU!h$LD-Z-1?=hq{nF!eK(p-Or#t*lDgt zng>F+y~yBVWAd?iIw{{1>Hh%c)eP}McKx{(oVB^xC9n8?IHsa#Q3@)(*+qrFU)L8u ziK;0e(^QW-vy{vf1vatxBNDP3c-NN<(Epp!em;=ut0C|6VlrC;h@BCv<5;NFS zKa)P$gCc-ikUQU>r>%xMU8h~Koy<JX-{{R>qSCkYM+#G^ezwev^3U`p7vmv_Jn26;5 z`(X8dfU!VWSx5tc$A9hL{9$ss4F=JvT7ad{axL|}t#8=H>(O49B}YtkHbs|bveMV; zI(leQrW#2Jr-f!&BwgGCy#pcd!*kpmP>m@yK+dmzE;jeJG(Si@SakPCW!ZM0%;;-S z#<5e)SFtRB059I=*5}sWhA8KjbsL9e{Uk6={{R=GLHH@qS=WZF-TJH3oqv_VnrX@z zDw2yk%8Zd_w-;!gEwzDASCTD$2p|y`{x20dPm0d4=$G;KeWr{H}}8#Vnt;WoX92G+l}C_);_<~GYYVWV$}@Kyd2`f056l_qSH zWHt14zH~BBZh?~TdlPeO4tYHG`QvDKrSV5u)NkR*mH2h8Sc2)MtErUBQm-tPEd$$P>sF@EX_{u9t4`!uOq9{p5=rul$VR}%*K1r~<%UN^sm$7yB*afP7&R5jT@k+! zv;=rI=tVYW;yHZXOFA>h@fc*NrP$u~C0qXhvBrLqdF4cn#{o!E#P%MayW(-z&k&lU zuX@#WK4ly<8GRKp(BwG{8WByE(IGxu5sUg)=y89>q?zV=wfhter{d zrl1;wrh2}!Dy?X|x0;q->P^5P-B<(21P~8Cn03=WCHnWGwD8ipE32A=Ey|+>BBG(M zb&fS+OQ^BBg4ZMt2_)dR$JA_7F3z-ca=u=)M=wxxo?)Cx{J1nnC5C5#?L9)u6@nYt zk?e#6V{$uT>#4pS^LNU+WmbAQoN5|(>Hb`k!$(Or`YMEH^CFXJ2KFo7*BiLwjOUVd zbE+}XRZ)(mXYo_PMV|S zZ?1=Ug7D?i1*W6d+UrEen9ZDKS(aHoOH(C&S(XN)%PE=};-*zDW{wHMuwYc61KcC) zpNkIwRocff)tY}Hr_QRYx_zBwY4#mD)Uig@6}0a|3K>-Gk{AVAnxMA^KtLqyTr*px z>#{gfeB&z3DPnmx$0WIVMUlWHfw+!)pI+Eb&6Frt6!VY`{_qzax#0TZUS^{~M;|5k z;&-fG7&Qk@^lpXl_L_x1>G|_1Dzgnilv71NlTcxjI$&XQ=7{B4*gIH{Hr=sG$DAyR z~0DeY~>{4D0x`nH0) zr%`Gdrj|M!=P;#MZ-;HU;~)5{X=>dS7J~4>RaDuIX-6EHmUmT8V5k*Zb zf;M$s-|C34v5edh%te~aK0wgZGAXN`C1}ALRDXY;{9|~33ttg_BenRh>8`EG>op#g z%V}OO`j)<^y+s7* zZZ0ZG7xZdyZY_t;RMIl4!M&IQ5l!q*zAVoV9R$q!_o!P(>FsN#a;(}-f{v3u%%rDk z)?n;b5RpFep$Q_x(E5y4l$6lZD@jlT%k(@CQS12o{{VYW!&XzR@=Vgdulg@Ff}Vb! z)n)Zb9JI|)^_7)1X-`i`B0+K`W^h}80JXtjMQmwKT=Z!q>e241_-~u1dRrsb`FB^wxW&;>fbCNt;k-83vTeYAE2Q)CuIxRP579BTxN0iewBQWMLXL0AC3_E_5ry z&ZOw}bJYI;5IJVJm+aYnU2P2dPdTK8O4GW=&={wsmhuw8!QP;U0D)`bGcB{ES;Xb# z?(`*kW5gea?Kjh{8>V#!Q+mHsKAX#otCr=NzFkX2O_@A0p^_O{gyCZ{6BvEUzYw<9 zWo2Fua!PuBl4@L!BZ`i%P-dBoH1p-Un=s5_%B9QWfJ*ANQGDR$2^vDp{{XjS06DdD zn01T9zgK)f*Xa!{)lFw2>P+^kqa>oB)>SdXOHCw?Bxz`63KBT%4;%y}-rTL`ijr-Y zuxV$X6O?#gQr)KM9+0K+7p?UUdExJ<8P<-g(eFu>QpJ(yg(_>z6sjSLQwN){NV23& zH^8chPXSI9=RFzDw7#U!InPggKj)g}Z8=9*7I^x%OV5|ZnNz#kmYSw1kM$&lT0!P0 zK*3?Pz#u^A{3P|aT~?aNI@y!w6$n9;Ccdexk`}VuGO1#=;{M0$hZ#Hpbpp8(uRPUx z7nqkj>L;nFVh5lv9mzcR`Cq;n89(-*mQ6MpRPv-c^&h1@b?Qc&rqe(Dsah*aBpH*{ zwPsG#)D<$bAwrVRSzCD!ab>>+MkXD6@lT+%wpXe;FRJuLvZSk%O{PyChOC1pk0Z&a z@*wi6AP`9;gvMhMM!|t5MmGSdTI*BzJknhu(!ceGQ+k(`<=K3d2}=)?r=*?doz>Z4 zP^_lqQO(Iv0-kSc?Z4rv(hVo9I)|+MOVM?5Z1zEy9VPFNa^I1DM|l zrPCQSnl>o4ercI%oXx4|p`f9wr!t8hV`e*@k`h#LzTlB-zZ6uTC(}O<4-fEM`o!ZI zLJmpJ+-)usNv7@6r0<>vPO*3|>-UGOrh_}`-iD{j^8C`R>7bsUH>9hy@hD>(m?UtF zRmm6Nf!rQ3T*IflsR)^fW|S<8y4NI=Zbz{H066-q){g)hV_NA9uczG`(%N51={mi0 zU7hNvC@QM!DkIbtaVUC;4Dl<(zDCo_t<$GVmAU@_IzDjC zle|$GtEt*O`~e@m{{Sz4f1i9b=J{<~%{3Fz%CbgENEr(Gb~dvDIOJO0{V}loT=)>s z-WqaD!oMi%{28usO;Z#SY1(}=P^lV{$nI(!vZ+wJjoXr>01E+(zcy_oc|*??M(;am z5zH(I+r`wLI48d&ccu}S1XA4|kEr-m`~%{j4E%qFNxWBF#!-DI!jes{dsd&LA&r$N zlo_D0CxBm;1J~*M_QBP8oV7#j^TdrN(xU2A$Uy`iO}E$)e#CdVENKnN7wz$G_r1Nag|dmtd6CLjcOEDBjT zk0LsW-kupLKvhRuGL|C$0IiQdFHAKQa2*-|p)Y9&w{G9R_QTw?Xovp*RUO!IxCutE9@%hteSgC~EWAg4C@O2!(Hik5me;O@qo&St z5mQk{)Z%)AfA^#jY-u?+_>SiHCLELBHWqB#g~}pTtPx+yRBC$<0Y{&nuod zTOkyB(=(;drkgl1RQa+uoYM`#*qf4WIVYa}{{Vs3-X6R%_z&w>gS|)8UZZOXvQDIB z+5K#JURy_*2;r!vT3Q!@q()KzX{4!3tD`VaU8G|<)MPqVSp{_K6vfWqFUuS8>uZc9 zlD9I_&paAWHLszLWCB>JYT6*;-|E`HFy{RG;@&8z%X3y)hFv5y(~O@jaZP`R$J(FN z`Ule=;h*3WP-q;lsTF!vs|{{klUBz*udG^FBy|kRq6(CeRY|(;l$&kbTjJcaBJlCy zi>CDXjXy@TK2J{b!jU~(by8M80j%D1?rSVj zNZCwD4s!cbX}GYt3V6Tx#e>l=;#uN5R``n3nHOI={{Wa~+GjY;BcskARoA0I1XD0W zFp+@rHkJgkl@=ct9OC3uTj=@5r$ORy%RGxZaqTO2_UN&?$J6@FF<&-ulIArr)gl&2 zY38v9VGp<1ckSNe-p4=YRT=#jc9pGFF<2VLIhd8Z63hnUdlFCMbMK99;v%z6Wi@rM z<`pOsIV2HE>0rgLV8q+hUjE&$>ySF7)$JQcI|yThNY)Nx+)I2%xw#x)xV7){7?%q) zv_3DY^3*a-@zCI&EIQHB?7KYDEvRd1vR9yZa!jmMytK4pNxaW8B`5l4aedoDlFq=B zcD-q?yhUrBQC~}2U7A!gQe=_9g_!OaKshAX@;xosdfy8vy6K}30aF>8HM=mD5N~to z>FQ1#a_|8Q{^tRZH|;&G+RJc?(9#d+co{Yh8y6_8mBXD}X9f7XHOl#Q+wxeaz zH@AV@+8m>xlvNW~h#(;DX(uXxi6yus@!gLH)YxLn%{sTEH11PVsj79JT`JSUWJ)Sp z^m(U#$r>PH#hHjv$NGUb0{G2+59w~X>W4yf?pvdBIjSR$qE)JqTm*~>Ty8fYSo~i7 z`yO$lx-;Q_FVWRhx%R8AN~+32hL(~Qyiq!k!O6G8!rXQM^U1ZbHCmi_rOzc8_+rfW zxmB{=)0GwSWOUMIurgFM2-OR_?zM%$J3esM+8j)T%(& z+E;&}zZS=;T+W5$0Uq{1Y%lA6Jw9Ie!gAjyBW5sAsEk>((n$-d#;O7PtbV`a5X{aQ zWp`s9)(vh&xcu=s&LUYUnNTn&FSm89c)xr@^SXa0cbOWkg~hu7J^q+mO|nRhPF+&- z6CGZ`>J9t;SoRB_FeQWXo0J`@o2~gAdjzq?p>#rCmYz9$=!pUNvGn{ueeg|E*tC(# z1D$D>eXqwHhxXNWzoD+nfCG z-BmadG7G2&?|R`~QIZnQN5U6|y{vwCK~|_il~`PT|F;2R&Zt3Zra0KLLdGwJ4!ia4Z_^O7MSw;|7~JOEkV%5lJypSb%*y z;T>egoE43OFDq4c8Wpcc*^~rb_zwg`P=1> zBoa$8m7MTEDiqlK?mkBsl$|co^ssT0hCyP*m=I5=_V(|HA6B&1Rg_6gGD=m1Nf%{9 z$y;;AG%@Pok7!4~9uW1LC09#~VlDB)L=?s@0;{juelT6d0l z01SCx06x5ZMhht%Ai~b8@o=DWYKT5TMXZvjz>BEaws$Qwc4|)>=a*$>5`dD~mBz&9il;lA3TiW9W#(*${SAPT6oV9kSkQpO-%@UpY+_JwXSYW$EGL`5k4tq%sP`>r?u8? zTbNhX#T_*>$iisgZ;#5^wTK%8fCeLvrzW#$yDvu+qPY)?G3XXqt#j=y)BgYm8BTW& zTbb0+Q_nSRWl^b)DIPH^1YyIF4Yzt+5yk+zJ6hIFyG(d1(zMZT04+|Kb_b99o^=E0 zPp&GRRn$#!q;!T;7LaPXNGfv*zvdOR#gUn<0 zPEW)3iAym6Te};QO~=1%bIO+pDCtzBSoFGY#E+5|lcn7})8aAk$TCcx0;AM_>O9l< zgU`0?`#C_1Tkq#~CZ}omvE|KdNnACZI%jo2)2`30% zD=qJ9_rEvekJk+SPCCY~RAss5kC4{W)4Go;DHN_K`&ymYd>}uU} z;kT?kaM0Rkqq?W9`cqS8TA!#?nf6^RPNbGf9JZWN)YD5xE0AT4mHswBFSbCy_qNFJ zz2J-Zd-QWeQMep2u5Jw;JUF+RmTLnI20cWH0 z7Mf~``2b~kH}b1!;{b~V2tc_Y@@@`J?5&m)mNuc;3jQg*I?);r#|EL&oiWk5Zbg*I zmgSRE<+(j5N_flDA!3Rw1?{-o{Y+1-g#}(In9Y{KPKwYUhElfOYl1$f`;1Kb@z*^? z*AAmpbrVvgf6Qm4h6z?3DJmEr%`3AR3pq|4IKG? zT4qX!_bh{bO0uvb%nH4>o7@w8U^m~GRhp@ZW@ZXO7YC341KXb1xjGrwo~(Fh(i)zF zrg^&2 *ioU8ix6MkCvu$|Tf9|B{9=brZ@@_GLFbegg#ss6>5fFn{%6=7#SpdVh``~5Ht z^JR=!nn^S2$AC_<^be_h6U(|;(TZ4deBUR^mK@tn$sk#pMgb(MMm967l6*!>T}uTZ z1yPTfU&~IPm6Em<^C{1kiMN1Pf)$wcB$7i@6c)-?X?79nu<(`-Z^4c z8w`cik1a?gZb)8D`0p1;D(fXykrCB^vEFTC_@9~lJ#iWG!g$sC7PQ(|y|m8d(#=;X zmKtIAt`&!G_Qj9UPY^vs@cmy`Q`3yzl0W7NAKK}w=u)PhSB=BPBS!xK3rQS+NQ`+_ zP(kl_SCdbcL9|Mw$UY_=yYO%S06#llwWZNE9#9J)q^#o*7f-nPGw!6n&~wn!5TdIk=@ES+8<#H z@cdoPu4v`TgrE9z6SA$W6-OrCl`l!UpH*(9kumFNe+WoO!XSy#me7EfnmQh`2CMn{{Z8<<>5IprQs(#uIg7_b#_yhX#GC~@Dde!JI?FK<)d^&H|E<~*6v0R{vq_0m3dcBwA|H?_Bxun z>Y9ZvZ8Xs>JZM_o_KDut{{X!A?}}!RB&Mf=HHNJfV_#Pwt-Wz$KCXBr<>Mdl*TDEZ zb3(jJ#9*ZZFte<=zf*h}_;b@bL!=#B&1q_7&LxLAs)x%9QnN!Gj+;u55J^iLE&d^E zUc`f6bRDP6btM%YO>F5VSz8TePc%v&Z9>YWqqBdeSi_@R-AD%b(taj-7n*p7%k=L` zG|qdF&}P|&rcso~pXNrEI>~&A{{WzjvAx`_+yXmB);BgMFa1sjDXVXfy85E`iTpXI z_*=!a_;F-QOO`T}qb^ihPTyU0*R!)8bpyrsik`G(^_fpl`p=zL!CwH1zMUCjN|XS6 zE+SRlECsSdYslAwY!_%O!Z-Q!aFq&3w8dH(8b-^4iMm zHlogp1T?tTC_zFgaFV2#vP*<$=po?RjS`=S@l4dzE&0wj^pX^f#5fp88pK_yEmbcla zkIO6#vHn*pR6I2?xMzDen7j@}`1bd;@u@$C9Ch@bDr41|Ms2AesMDHDIL^~8($1f2 z)E-Q6kL61ow@G~B`@$RiRKSg-2V1x@M|B@X_@7A``~%`D60hM)wS80lAEI^gx|gB$ zb3teNZ&+k2s`Sd1r_3MCX`YRtXDywtopn75M!rO69^#1_F>u0?(qv|hE z`fr`+sU*!3hxt~diJ_WH#vPmq5;8ymjmnR>dvXPVoOMG-WYh^+K6y;WM+qEJ)T1$D z*jOBCW7J|%rhWs}_*TCbp~uU8w5#UjcDF}|R=Hdm-}sj3j+@i;2T|$_&r9W_GJ5>E za@we;cb%$dtc@RZTXukBGWWjjY%gJtjar$q&pNEqI>?gM$|+tkb#)AFYuJy3`uaB) z0@(Vc{8)OYT|Y*3cAm?;P-%KN5|Sv_MZr$9)Mj!t$Wh)D5O)-No#7N?sljTo+C9)lEOOO6G zuKB(bHGX*v6zoc|Qb=ve0PK5n+YNH+Os=1Fu~M{+AljvY0Dib9IV&XMSrI~%y6)r- zK7TJvM{3q;a;vx>Gn629C-d~@=Z`;@UoofR-Z!5PSv5nYPPw9jDH=LBujT+3lk>NJ z-&_JMcRSLuJt8P&wZRsUA98yzH?be*3{cU3F`778qXnf@C5@~JweR@e-!GpQKDF?d ztMY2~o2 z16%PXvU28BipM90<@yVU%bFweA&EeOl;c)Vgw`%Tabi<>sl+wf6ykm$<|6;$Ef(ug5jf<~crY z1DM@%OeKz8M=vn>-ZCaD!^lV|f$mtnL{kjztg7qGZnk=R`RDvo_KzCNNQ;@&k^ zJnWPI0Q4fzlGEQi@pYpaUh3b=Xme_UhW)m^EO?Lg1EQH)Wf^T}N&2%6u3GHa<<(wR zO;JL$lX)g!#ib{D6b?zS+h94r4*K`1dY2&Tc%{m+xM8M(v7v0To{dyUq4uZ%0kydF z^|z)OHMVHkK3}S6g-evnx``D+$wO1+IkMC!bZ`#Rc3coR;{m7CV$@{Vqg)x;{7=MW z)1Fr%8=+&(DDwRGGLoKVhD@oVGrVk5aF!#%^{^qVJuGmBlCHW+$Ylzm+qeG!+(=S= zdB3l3*A^bC%xP+L#%-6-YKpAFALY``NcEYbRJ_sbjraT`bblAZRk`Bi4@#1oG^vm$ z*`{RLE?VUMPyBq&9g`l`shy8kl33bue3LqQJli-?EERFsMoO@d9q04o@xW`_JIdK1 z%vJodq^Fj518ebcK9~C8n;_LkE8hi5le?B>QDA)sJo?)U^G#m0nR8OnMmI1208C;? z-R*8aPEIW2aA?TOhH#UnC#asPO@^4tHn2Mj8}JS7VT5&greyT&4AQ%=#Kzo}=dr?S zjLDR3m3*k?;_J=6cemke6k`$vXQ%=;U%ml1Uf1qFX8hvJX_T_>T#cE;^D{Y~O@y#E zzZ-AJ2iFmd+Nk-7Bu;mdLT(miJac?bB-8Y@k+P;>o?swGuN0flx{a%1J9Bb)^u8MF zbZuO7tZhmjQz1f%mjdMXC!2A8aQLTX44G5xh1ONog!?+Yfk@}r@Hyaf>BbPxB}2v{ zbvso28;=L+?TacNhV?&C0Uk}EGfDRwp@xP=+%S`+3)bXJw}&Z;8a_eJ&->4eDcgqZh&4*{0IU+cytkJD{O9E{b} zYJ=`8GpXZ?k}urbw||xq=6VvfBM8<4!RYzm0gHE z&u;#{_)$kBXE8@+J3+9&z6)z9Z(ErvjMG6YF(T@KE&l+2BY|x@1con!i;bj!eXWkf zW0n|^(&pDj9{&J8o$za=Iw@~7ox;R%@Q;`nmZ~NmX7`^*zlE2Q{R$Dv2J<9@6K;R6 zFC*KZ$B~UGTR}}wNsIz*%9Zrs*#4h9WUt}NsB>L6&`NrozaX#7gZZptqKTQnS)?Rc zRf*)-Uc;MW-_E-GuIU^{PvO6*jVqf)<>?98S&v{DRfF^G>-5K%)#)cZ@{;u|Y4b%x zvdOK;R;(6S*;rq20@uH%{NXijRalXEYQcvh!_$v{e%Omsbt}X+mo&MDSNtezyq6}b zk9f_WO4Y`c@ogu}kqmbW!MWgz5CaozO=&aCvofTHyDY7Wrjn)dJaqB54wEg+Sazw_n@bj(@n;vt%9v){+&HB1Yi21$NXd19$DMt?pBp6UmFBb*jN?g@i<8|^F<<-OF81#BL0`d zywgQ1m5rHH8v;%D51-|PRdj7BF6b^)1!3Ft!N-Nks;!|6)$FnPGqGYtlx^+v!+T86 z@wARgn|vg3{@(aoEd=Zsz~tCk-p8Mn@Y?=tG8p5M?8IGISl_q!!+4SzwH#(el~LnX zGA`o2p#I0{f@;iiD>#jA7Qiw2+Xl@nk+F=DEx;gpTL>zpB4W!YUI67WU(w;Cf=o zo~ourF~^Z(H{tjsE}-%NI1#bI4+V>j~#(#Mm}x2Jr1NMez<$8|UOSFtzt=a2Zurc*PR_l?Zr$FV#fFgcL9jwN8u z0aYOWzlR@|H!umKs`TYmygHat2R2N&P#c(b_zwgrnbxu^q zr<*GPKMvo%{jtc)M0UsJlJ?xI!*}_QQ+zlE+XN$Jmwa|#^==RA&$d10M9~I?n(X8? z`+o1vI~Z9*!W@QEU|4qg4_??ZDlap9xAMqq?Izp5PI1Hnr;M~@M%KEI>41HGZ|}wp zB6#DpQdkBXl=isof6oDFRyS`c?;|N&sj+4Uu>60v6h_VGn5AC81|fY92?L+=fkYx^F`I{9X76r3U%$@^vZ4KZGBNtZX}*9CC{p9)`wfQZX1%cK4F} zZntcCrn!%FR#oG9J?-jGpzVn-P&y;kPL#n(H&XNlZInluGc6>Q)U2y6&^G{iHI?Dy5n!g;aGB#;0@>NVi>_ z3o{Yi<1%!%Ji?=?x_&I5QPbr$Q|DBxO_|l(%A}jjP_nUZ0;#b#gwXK1`%jJYN zE3~D_+(T}#wYjL6*Ba_AZ;`!4M*X24!I4fajTkW$uA-%w9nXYfz_r5dlUo>86 zrS;U=(<_=h&Zo|TR$xZ<9~vG9H@%0p6DcIiu*TXWlo|eImqrB*bdZx`85+bNaqWw% zpt|EQ)bhMa;ZEE{#` zszRFqa&NeMay~~Czfb%v_`vFdRKwEW4Vu3>dN`Tt8!XZj%jKew3mZq;IP|<(Ze55=$uKG%&|(OK;YS&A4xCT!o#0!)zSXF!O%S|MbeIxbz+(s zjSWH1jdfa8)m5pgtVs;gN*sv-q&GG3{Jn9V^8G1ELch)PNn_xF9Co;4ZV3mu^&X!5cS~-~ zZ7!Bm)5k4-WStGUURMrF17>-I1k3*b(jsmwNbmKxub@8mIM83i%fxq1`W3IW6$eK6-b1n!N&My_+*TNZY~c3!MkFS^4_|pG@deFa7fg1&wGBI{;Z(3u?Brfpo}I(k|N46?}QfE8BcpKohi;&JAbCBbw4+Xo+=(`MZ2hw$|9 z@8WMoRrM>uzO>8oTB?}?O_xH&^7eLC-mHLmv}{edA(r9ns15N^^c(n1b=Ok$3a=&V z?p)eqC!hdJTK-*0uXYDybm1D#I~Q_5MdOwrV*Buq;ShLG(~|gu@fXzQ$mFD<6%yrC zRqT*crv-l2SN{OMWGp~f7T~_YcCi?7(;vlG!}hV%)p{RT=}gxr$m@Uf@n)5hESHUy zgRIfSOCTVDxl0psaJ*vQ@ayK_tsE0hQNi;i>tFK3`sc*QhmQi9Ir=N%uOp?-H7ZSN z>ZF}ykL_U?d6iQj{-YxW+X{Rt1+J$1u)QupNt8k5I{@GSg$Hge^SJ*2Z()tI)UV;2 z)7^KJ{{Zws@SoH=X=0fHq|0mT#YHU7XCy;Xpt_bj5mrFob%}5O}3-&CJ6?7UiPV~A8eBVd(`z_RRYZ)IS8YVaae3dEOZu zQ1(1A1HKe^P3q2n(=LnZEkmRsc_&)Rx2>b7iE1gTp;)NdM3n9sWGdT;W>a8Ik0#8% zD7v50&3)6!^359zGSlR`>M9*8Ee=Gmiaeq$xW>TB6kTd66{bZcocU#lUc#8jx`C_o zRWrk-v!hNV-R3I5j954d!px_*02^BoseeCZ-2-n#;Mb|C{7}&3y-_(1SEs8fG~QiP zkcld3@+dsGYo$esYt0{+7DMI`-0-DEhXCTF@Yzk9PP*9r_ zYy3yK`r;d``qkAfU!7)^IsUoLDh6yKT*94dnIU-NF}!hz$HIt>5*1YdF2Dfd*m=<1 zP|+DLSai2jYjS+T1D0pBHCd4rVo=aV%jSsV344{?M>oIM6nRs&Z|J;7SC7JHrIeeC zjFMi~pZPUkRP)}8__nu8v{z9iO-YnbB$|4rqCK=#ld7LGAmaOjqW7|sv0X!|kZNf< z1L6XjSIcWUMX2SP7YQTf`CPRz6UQqgL6wJNaBxpWsQfW>kE*M#WbqD}&R3W1sLJS@ zPgkW++7W}Nn#~HvN)g->zTJlF3zDPwh;%zGZ?n+<06&>RZUUb!h=SL@`sPvvt?BFO ziyLt2K54zL`k5Mk8u5<;tv-hovf``xli|MU@%pcE29VPfeiSq!^{cD-Z1QFXwmNK! zPmQ7Eosp$eGx8!9ibm&=d&=zPPXd0#@hXP_#w?7oXP{$pR9=M|ApTVE(ikpq~cjX+T|0e##ej`uiL zqa@*t7y@Y9|6ZPl6= zD(hW7W0>b!S2_?%;_m}Bc&(L^9qVOC(nMvP%`l}@H}jY z)3Qc>I};%aK`I3iHegI5xzlRRAy`xcXu$U8k8fOdJoc~1N?CvQqiw3I@f+Ckd*0mk z_vaUJ>lQgKEcJSi5QeM5^5|gdIU^{xyQZt@{Y}f_E3X}4sOS!9OX8zU^w%TR=Q_({ z(K2YcR!quzYfmkD%)>CPksx0#kleZg#X$t@#VwZjx9a3Nb#m#oGS3W=-g&01B<~>E zw)ZwR;B6iK`JhE>TamTtZEXsUDp|BiZZcFNJ#Sm zHaosAg{|7(2q%%j2Dj7|C}Db+Wh`!hM%Fg;Ao>rM5YL&T&mja@lE&|Q5`JEum~E=A zoF8i|Q2HNI_jZ z@Wj$nCFHRy&-%yR{{S4~^3*;ybnd6?txkQKbl)zZ(UrOOX;)uOr*e2{Gc3s}sVgYs zo}tUKy+TD98R?%$f?y2~2(0Mke(0OK`*BOqQ)wwM#Hf8pl%95V2Pfc2t zF}gBB@uFP{m9vw$_O=S?ep42?>3>-?S4ebjeNdT&E=yIGWUwP<726=pJf|nfiFepc z&BAb}n}Ar-@l#Km*GsxWUF9KQjYj7rF#$mD&!E5g#iilOgGuB$=CIXT!$(b9YK*;}Q<>0H{8B(ffCZh~ZR|>qYlp1$HD{I8<=Wdr{!`MvspnJ?W}3^ug!$&J zq-f19tj*~2T6rY$>?3N5c9|(^Ug2(JjF-2^zUJ`VPvHLm#a3~e$*VOshp98oRMXW> zndfv+v5}a&JDF!*OI(x9`NaM`eWNuLE1GG)4Ek1-Ru&Wso@=bh8noSmd22RdAD!_6 zw^41ti;@m2Jx$^olOxRPDC6+qpmO@CqtTEKv;Ep9o*Evlnj zyGG}-YP|O*s-H2jg6wqy>dcDMliUwg6O8y~3J$2v&qBCN?eU6spL zvB)H#(bUnf4lWU&%Ch$tzqhfugK8?w<1@&0MnkLe>I#W+r_1DmItmD>-F(S*vagnk zNh)p4`8;vP3r!6kIsX6)wpxsHrSpt5y1z^6Do4q3T{SHf)S7ClnkuQQM2#eC6A+EH zR1<&oSRatY0-jb_Q3ODhwUw>%{J(zKu;?^4RhDN}dDS*uozpDhp=l`V@`tBL(n#g_ z0}{lQe#hCZBqdGt6?l`9e~QYkO9h6em|Zhz0q0lJxE%TiX!mrbqIe)}54GuuwDAQb(2M5%LV=#AEy411a?Rk@;fC%sgH7ig{&@ zZC{w~W;@*0xZKydCz}#Tx%c{Ui`q<)ZKh#(-W;1_EKa@6z0$7+x(lcE@n)31Fk3CD z`#E?X9+p$pvQ7n)+V`;{{-9#@(>g~k$+X5_{Kv*eUhC`Uh6l~)^iGkkoAO1E7}bohrDu@Ki&HM-eUi-jf2SUrOwTFtd*WuSeVG3MM>y1ZZ6d;0 zlC9=b1uT|V{{TwdbK8Re*8UMZKI)nT&U&|<>dj8-98Fc9>rp$+@Q^G=qPN9=TMqZW zK2FKjj;4YmI=3-t1@C&-zax>~wgDGbx{QitO+_qVf$=MUk>9`eICeSWoN9_vhG;%n z^t5H! zUn*K@)qJmrgTBMzAdkC#LG`%C8O?g5ud@;V0IJmWZ*D@Fn&RMgH@~3u?l1I1QLFVO zLkE{Tsb>EGQ4*WmpL5$2Sdl7OePn3t!@@^}*P2>a*Oan1oKi_C<=hh27X(>YUf!d< z_{MYV$3?Vmh0YyxhM*PnFLNB_MaRTJ?QS~|et#o`a%xP~*`i$5ds@;dZW{gX`eB<; z<`r{~v&}8ouF}oT{=eh($2#;G9Fj|xL36qx9Gs8<7Th>D90P>()gn5GQdu}>P~6yq zf1mzvw>h+q@y{p5#_Vi(A1pSAjCFCza9M~2Km>w)O}pY6OqVxA{xqjbVvq2*ACGHW z3TYszl3@_q2HpeQ@ooY2^%x=*NYXTTz-9|_SZ?_aJ72%!g%il5a16-N?N7O5`~Lup zE%K<%%6;zt0OdZLDm@nJG!fLPs`GNI)3D`GNthB;o=Du>{{Z#A;~!ib$&Cz>6$%B} znXCZ(arvqK6`gj?Iy2C0&rwp;I;-bW)5_tkdm_nTFU^hdqcd+GdB&rsmo9@boS(!E8L$&u%p#~_MgFHCCdU?w;8J6X9G z9FI}PK419U=@yshUy1z7PiU3P;mLJIS~L8%hcB2iQq1zY)gmIU>T4QdB1yiwfi4`Oe(I-^NmrebmqCuvx=IjClxdgJk^ZM2GJs@ zB$5a8&jXG=WZ@dgV%2Ho87{eBpxq9twGoURrab2h3~I8tb9MM*zj54m?}%2UtVg9b zMs5o$FC*5%{{Y+NiKSacv2A$+f-F>@k@fmwDXA%5-}^VIx`EC{j5K}8uL7>WNjiQ)4IS5lW(u*j^yFB>X1a@ zBOg4Qp5x!Q?$~Lr++-la2xPcoMTf1we)wHcO;SE0q+A|K@=hD-RJPM9vp1+?a0Si4 z{Qm$B+RbAh(R*q@&t+rP^R>UOC@7&Ldgg|W9izww6}iD0u`E<%sEaMxEP zZwpBq1p?;9xbKS)D`n_SQUDiH2tD@>ab5LLN{<>UIZ+!eRgI+SCmg+!5D1RxTpzR#~G7xc-jmSOn3n$X|X6w-N-%Hfpm5Ad-*p#K1F z_%;Y5RaZbk0>MrWsgT1|V{?Bh$~PVw*dx!Cu1UKWAhG0H{{T)0{9|?r-zGC@q#X*( z;HyFjx#SVgr}?+(fkd(0yvXcsTZQa?zm4sJDdmuEWRMNt>I1r-c)@~SDmEpzD>eJ~ z{{S}s0Bj#b3u2;KV@QW?6|ZCa?SaWBz}qelvwx+qjcVSKHkD*?&6SC;fF9+9I-3sHL&>^eCkN3ZQ{|9 z>IwKy*Yas_q#d##H~5O4J?IrkAHwn)Ae#Tr#Z3De6{AwbWVemya)}$RQO{MiPaJ4>#d+yb?%L&jAUo zvrd}pE`VvQ*Fw?t2O`Qfp110qK5wFCqs$}nl8zBpW2<=zm5n9yR3+?78v$`_Ncx-M zRy}#FvW}N)y=T>&-j0J_(Bzf5uA_n1-Y7C|gT}2j=%!KS=ugad9wnmMuavd6(71dhmSC707EG@J#McD!I-%CD?@Q0zg zb*tpAqtwS(J$_|Doa!&;RaL6AZxdFDNjoF+nWI?w@ArvZV?wM^H>+=D=Hs{58b8Ed zSJJMg_*~0;K6*%FeAViqsfFk#st(N{-R3Jq7mFzlO|E#)V7mdh zZMm^L-`|6Z*&ijCLdWiitZCJqGyKm*OQ`AN&S{!`KTel?#XRM`IQK7dyz$RGV)F5G zn{{JIbmp5wYEFTsn#r??p=`dsJpOv`EJ3=|!Pu2s0b;ydDYA=kP4p{NNum0?rgemQ zq%>d3v)Wp=uBn8`kqG8j-c%4=3tWL?$I}`Y#iqQ>Ds(n`NvUDY%*_@>d>pGkUurew|plW8owEQX#S zS=twYyw{PI#BF9JY&ql*csNbeuNFFQRWy}4YAI#RD`kR&(aT5WMfN~mMw56q5_yF{ z8%Q9Y))>tc^|7GXydi71!*BST0Gv>1`kNS|1<`$V$5CnxFE(G5 z#;+7x-6{-V-*OeOJltGgkCDUPn9JyOE{lw3FbV0TB#7%y`wwD$c?6Gc2R7z_q1M^7 zRGvh6jLjQaK$!1a4hIDLTecRCpz4>20_T(bDe$aj!2s>};ZiSSaf>t_nd#<&$!gZO zE7SCFO&`Rp(Sk#Kw|d^#V(NrB>{^#E)L8`7(?bG*B6;HRI6N>Nj}{&HBL19Gi>Y&} zweqTSs<#Y&>r^(}9<`O5EGA|IT#d%Z=imMNVQvYrzGCz=R=4W4MGrx8-8oCIE0)()_Qc$=yjl`=D{Jan`9NC!KGg@@yc%1I}Z zLFQCQ+y4O6+>(7yJ^23ERFw5hRwsen_FJvVKR?R`Cu}`QK4+S~bD94DH`JP*df5;J z6IN7tj!}747bk#8uU6w-${Q+?$_UejkPs(&aU-L@?94f2aU0W5>At zFy%`HHcLnL>aYy%2}sD`A8tQW>FM7Kmn^%AX?lxek}Q^piKbt*O1xN;wQuk3bL+wU zF`@qehAzC%dVkhEMW}SAN%LK2nLdcBN@`rG6%0-Gns+YpwfwXpSvLSlE))-o8GfD` zjPo$FNwuVFoAY7$@HxL2y*wZ5Uccy$sMJyTSkuwwx`5=9MO6fp;$;-u;w9K!Kq16- zVt6(k`<5h>(>pBO@wMIim!DhwHh&S{5_*DM+h1wQc7S`nzwANi&?0}9g1;CS!nAUV25+LK*PU&KX&c!iC0Xu7Ld}Jx@Q`@KvQaJLmZ0PT~%b%>EC(li^G0_$}){ZoM$?dKdYnDP@v8#Y4W;wK$w_NtS;3I4W3>@g(NE#y{u-s zC&Eul^-n{ny48?TYA4F`EcQ&AlDex=Qmds{q@JK3v#l}SiqHjN<|+8at^sAGEONdL ztU86;=!EL-zI5kM^h+`6&YtNuS*2?y)iim8f6C^bWtKSU>SKQ~=aI-@WJ?9G_?qL_ z6&+1X0y0`d$0!(j`~H}`Kl@a)lpQ?DwYF!S>PWwt>CCG=s>}|bjk4I`tjr>$`y$Qe zg4GHn^FncTQ+r>A?FZ0Kn`%Cw>OBpfYAU9lgICLvW%SbKF;d8B(WO2oEsA=Ul6H*E9EwO5+B=c* z`EkxF&N7RpY-n{WG;SjAw=&Bb$*{Mk*5dxZ`NFDodWqw2RXeOtAHRGcprTfe&IaIY zejmrz4|!!Zlsx>{a(#Zk?Sx4ic&bc$%AumCqpL|M7OqLD1ay-b8AU9)M50x_?>HvP}wT*}aw?5wZV0_MMqC_Ev<~wej4|9W|yu=}LtYzho zAc1pkey0H`QZuzaIXy$$7g1h>vo3?}SyWYNRs>BW1diw7U`_Zxi8l8h_)k+`G?$NY z_&)02zq#$)^Nvxc*>bZ}6Dd@aX|n}4vYy?*^xoXm(Jm(ftEL!7>kN7{4 z!%(Dmhyf^l$PLLPEs5`i)bmxE2cvnP$u6wurpo1aDzP4<24R0q#@+A_P|~1q_`H{> zDDxO%VmqjFW9&HO`+ENS7gtnV{{ZRKIk&aBz3=+|?S%CR(W=NI+^kK=9N!*^x4Nso z?s!my{Q&g;0M0w{VC3D@JETR%xNyu%>ObF7VLiEAld1zD-&l?v`glWE)8nJ>+-g4mQ@`!7lDJPH>0oovTyL>2aZhisn$11ZbiVUheqMo)!hAG}?;@(QEjH;~4I|9KJ zAANuu*kQBr3|P{HRkO`%^3HN>*x4pg)4b0!)O|te#!|GJt1i>}ze{BD<(W-21zD}9 zrh=-DIw+xcQzTU8cp{W-k)uKy_7|$Uvz7T>Lf4;e)H$tnWq(1UsjrJs2)~)o)W=yu z#+I+iRSh~M5t2wP@eRd|*yg;;GLlNgs*YJp88?P|?g!j+-}~DVu8Zs4HKg?hblpQt z#!R<4(zW?S(&dPcI5VmNC=xQMv0IQ&{92B$9#orDVarP?OLKNd^=C=CpVoM?-AB_} z8cfS7rpaijYLsTQu^I{Br$}T;l35qZQp(Z?ASqdQ6LN7E@h_O+@bTaDwGMcux zE1k8rQAtld98(#pD}w!<_9$@SZp4mk06qS;N2_y8n>N(i-aRQahKg*W2BQ)k%&Qee zGOq1{#elyfi*rA-N~;%|k${ZE zZQKan1(=UdTAG~}c%vIODjQf6V`FP`_@B!TT1z?2>vgtRwHc)D&shv7%$<$ba0&@0 zx3(E$hBG9vO2J(ifU3RD?nfQJ-xlYRGUz;TQDVA-0sHXnAnhRcIP3W&d{4Y=AOcPJ zJ?uXW5frS@u=2?u*jt+paBzUVJY0rr@<_M>{PWTt2=BviOIB~RhU%K=EH+I+AGBE&KKzrWwlULP|&u6b=?b4Rig(fmfh zz3usbd=|hk5wZUONE<_X@-XH6y|(`VOs%dr5J2ul&58Nj^2L#%JQ;YZ>T%tm{6A{U z%snukA15K0EU=Nppt^ui*x7*~o(0bsw+OVjGqPrd@>AwCkRYBA-wm04?fd<3MM5b) zWJp5;-Fpwv`+Ye4t=^{iI`Kc!N)w%RTcJ9yCZ=O~D{FE(feLWlB-$k#CCp{vRwP&sC}qm=N5H9n3kmKA3W7 z7VOZLWdH`={=KeEu!k^-DWJcZxtQ^BUisM z*_}K-So0cXKv?>lcJ%!4vpQY%22VF@0zhQ!eq8qaeel@}1g=()o+Y;E&u)IdToQB> z>dOGYB1<81MS^Z?n{$q`K@~81huY*{VI!XZ0PKHE1`-jY^L_w!vfG<~ztaU(%osFH zOrAX8#};0TKb>EwrfKniWQ4nUNG~7QmaOdxRy3NvsrtSi*0i1 zaWa!b5)z;)$q*$){VnshDSzSk#;e2DuZ+sbD78H-gJ=X<;3J=FZ13~M&z60rsy{k< zu-;JIf!_D^{{SB|iW#X&(dKo|^EN7Ip~&iBe>G!8_@ro7%GbTF4{xo`EUhy+&U8*o zSrJ(hmsRa9c>C0{0!jAg@Z%LWYVTa1XRWSt#ou7;1-KsGpPnqfns0-p(LFJc#|Ec` zlqs;WiIbD~+TXII?KJ(L^b0}%0PRH5a?R6&L-;dw;#BV%Ep=O1c9thgP z?;F}F4&Xo>-oxDDQ4gVqF@#gQxF_!3;1Tb~Y%|IxWjf|4YetXPnbzQCJv$M3nq9LrSaHButUQy?lA zf_OZ7TGsVAjq1GKuB54~mR+lLKsgt;_x}KlYvIE^(X$W4WVIT1jd9Ttst9Tdm1R5Z z-wy=d{@5v|Vywz;s-bSf*2H1iGR&g7Pd0k-66^pm2Zr^vzf2WfMUy2cj)t|}g6?2I zu@|=o@$HSgZ;nilDaV1j3FMi)n44g@7d%-10L~1Jsu=8M3@_Z9i*w)QfzwmdM)s1N zxnXoo+=KoH`(VmArm0qDjhg=ebyYi9`~LfUuug-9HW^J6hA~YWf~?&3u(zoFe|%v@ z4J~pxR*kum$XM7O$Mmqp&ESuu{vSGt)-1nB_?p*Qo|n_r(a95MS!7X5EiFM(AYzhy zCOLy81SC?qqqDp6Z689 ztY5>`ia$A|v0>PmR?EiclH-mVG2D=ax&jTM^2Sncg@$F?V(FYue6Cyw!R9AVP61_GU*_27%>LKf%#%74wCuCfQqe}A z3dX5*3aY9W>;XIz!NpV842M$bsA+RrKBi|mwH0i|Y9P$B8CmJ3RXd|%SdU|I-uLvy zNO*>tTAwN}pXK|OKMggHeQ<7#MXx&LOBF6-q4W(VK-Jo|k5Fnzb%#*mlt%DYEpORr zl)l(d%%I$YY__*H#80HUkHn6Rq+Jb>^{b_|l@F}t&vKlqvs0wf#ZfS*4Mv1F+>`AL z03(Jx5xAUV{)KeLZm3n%%U8LnXadP9@4oWH?H51&OW(b}99p{jq?#)-(6sNTG-~Ae zlzDs9P}WB~uIG%m!0JgGNFBw9xFgt>PZ!hR%jLBBrGARWV$kNxi_BC&{7-eGshY1b z{{ZMatonDBX!vq0)=B5nnNC>Lsw*3CX{1&pvu$z#?PhCU_^DFmfo0<3y}|n9Xu+T5 z{YB}gM0%0bT`eB1)_FFylP{{GngAut@|eO%kV`8NC1qeNT-*m^*qgX`lJL>t%9o@1 zx2ZZ$r25L2P*=$wVNaT7m9(awnn|l8X+p&dk+AQPM;5UhaeP{wWV!S!#!8GnB|%WK zM8e^BZU*-K+xvX*X#ThL=ax$)g5E-IK11;DgEK6KuPUAC^BX6}@Vbku3-Cet+V~-o zNZaB2tj6H6JaR4SeXy2ZgzKPb8pD<=$`q(!woBN9?Sav)32V8x8$u74@6+1{!<0`^MI7+R zrN7k|%IBZ2@7CBgau#U=#1N|??H~mD*aCUDu^N z9%D%m^5ddXt93vl09yWr-(TJEikBv<54K4{m1{q82h`!wwCb&zS*%QA6uxHqB$hQ_Y`QyVstD33gQ6H}(vG(uv?SMyP_AaKu;-f(m#5HkjamE~pa;Zudm_^z4Wa7eRty;L zHFR8sz1rXr#ra`-cg8--p{UF%ourW24cy$4Z_na;<4=DLj=S{dqkbT2bB%SLQ)M}H z+G?teD<_57OwB9~6ckcN!xMAG?eiFR2>$>TGqXv{1fsiWllX)FBYr3{ezWSW8>DrW zHc6IcdV;Sn%i+tYpproqJkJngB#2p=wihRWN#ei&D@@PF4~p#7no7?Q8jKeg@?fqJ zCGXzRg})!ZF$d~CQJd?ot?Erdqw-ACGo{V7HFZ@ZQe~2sohGT0L_1Y)au{*n-x7Ln z2%aP>=-{f!`Vp&YQboPjPgIbt#ew)Z_BXK}xS8b0=ff^xT1;5grC=&NMs?RYXPUdL zdaay~z5f7ERH#0{g?k=7@e^r{Xajq(X871Q2iE@pkLimmDDV&B^Dqk^{{TTu#uh3* z3hJ0?%XY8=M^pLy@msw$ev{O7SypqFc{LO>$xT&DQnqO2jmol+Z~mZ1rTE2su6@YA zhdwEB3jGUrSM^7xIpo=!Wmlat&Wvxg~t{c}*5|sv{H-RZ9VhrD}NTz9VM`hX;Z$$;CNOoGmnRQnfh- zRzKAyex>XYh4fE#&r&tsqsnM>UUjT1>avO?jL_w?Rk4a9s=zQy+Taoe?_fw4 zz9STkytuo9tze+o{`tY#-Fk|I%zTDn9WuxfiBW%1e^0I^Jv#9H*FATY(`!0y2Lw4) zQW;>ZuFYv^A(3v&xlK%n3fvw=$7^2rrza^sPe-AuRly(1paw;h!*kh6kPW}jKDc-h z(`B(4s(ui{>Z@aObNc@Pwj`2xf7Mwm#k20H^xq|s-GoB7U(mgW&0%+LK8NtadftX* zb;V}QJY00dsXWI?nAX&r9!-RFy!!Av&Gs*)i^hSE)6{pt z`me*~1w9^+hopT$ZA#k5Fs-AdinA|VuVqa)k-2X?wzCNkf=KOd{9-2;6zuc5zYx!< zpUj;0->a0#h&2Cpa6t3ZnEdSLra=zokdfpGs+`P zS(H{wO_|b3#@XVK$086tnOGZq{#d1zxbXc-m^IpV4>Nd2 zW+9Hk52rR9dfNX0OmaGUnN?@Z2{w)EOelDv?V7q*rMw8MdMgyz|0<6bqZ15=q7mt5N0KeuW)xi8wll z!}fXBoPN+YKSE#C! zFryGj6i9`cytxQ-V5i{Cgv zza02Z=|&l&D&vVK&$kb=%x3tzNu|itvM+ARmRcE}kz{xq{{V9P(Rn=j-?!!U#~`Jj zC*5C{7{rz;i8pXhs61NVU(*+!yy>Q4o5f3=&!%c9R(P77b zYa_ZZ`IWS^fuB^_mAO^*!>vgcCP@As&AZzPDOW7O3eKJ-&$Ad>hvyj&1U z9{l{jOnR=Ad8q7m-Zc0}HoA^JU-`o{D{S4Ey7t~NBFpA zo>D8%=IsHEG-m5YzJMj)Zw(`xlRwWhIvqLU8naPnm0m?HH%|Q8nH-i#;fk@{-oO*U z=D-tSz`3?8pkrGQ{l?%x?}{_TPF0`k4RfC9jW?1E#=kb{Rb3r5GgSyyLoG{0ZtcQ= z9``HEvwH8;6WOr|MjR_12H@uc%%-lUilU;FrToOC zELp=T76jO-y~sDkS>mJkV|Z}!%hISi%g{O+4JWCyT)sTREvlfW6x11x_``_mAyH_V zt_PT)Z8t2fdxG?iwDAMdJtm$Q+p66uhN&i!icLkBYHFD(>5<&4ffEY}7VfDVTH8P% zTH{vqgFdZQ`MGHQt_vl<$hiZV)u#@8IF?igGUK^FvK0h_}% z;|PdYTfe=&y}NerkFlS}U&37mw&_(jij5tX#+l}vDMhH+0;^T!@(_{A=HC-VCdI#f zlH2_QA0G2f>mQh2&26M8?0Z}N{{XfTk~>-KFy&cQkZk3m^UDif-xZG+{{Vb6I!N_i zaxICJ+qfP0=hK`$>MB=;v7?m6K~Q7(yMR4ETsA>Yts!Vl+Q99&{hTScWyQ={Hxo-b zK>q*BqUkI;wg1?-E?!k$!FI^%z|g46q>}p;(s9=q>B>^};#YD8QOI zR>ADR@Ob2(>_6KQ?ur>#xvxKmF?o75uju5M7~sq@+JMHufL3dd{{Rt5{4sRU%?JoRQHUJGO^Q z@GZ@)`}$&*YP&qxs5y94Z4TDni>~ zH@EKCVb1dBK*SOYgULJ(TYmkqZ#yaKu?C|#J$hd5aM--oziDd)pb&HH~t= zoRFzy;mF)~{$umThw77qptB~J3mPdcZ~mu?8)GdQ2w+q?++N;|?s2c-a7z=u?8MhM z8LA&@^*wb+E)f)fIk_Z$`1JX6gp%h~HO`Q=$Wj0#bNcrG032bu31&xN>$xp?0X&am zgA!Rbd5aTVo>`b*@csH@W)Mbnx$GR3azv~I0IuW0r*X%x@A1G9qZ6u>01hm93w#pW z8PUtxi9dI>zklBbPqZzb#G7s7llWnl2bU1ZzSIDb0CU+?8}L2x>Uz1BLeT<@FYuCi z=lA(zf}qA$H+vsa2P@x?-#p{2qDetg+i&qPU*EPTaLB<5p_;8^StXWK-C{{&?ZE>Y z+rsvw*EBjxn!1Bf#}sl?fWA~pB_ihgn{Ok(a(xamJP;`-V=+;4ao_7~Tb&NnJzvzB zsPm>lLspM9T-_t)5n%6+& zS>Bkd%_{3@Gt8=@j;@5xL@nkiQ*`Wm`T%`Fzc`_Fe?xSRv_^+MtE(^?=BibVg}1P^ zuin=E`&M0F>W;YTM!0%jh*#G=4qrzVEnRgyh6t*sPrpz%AL*-HSX_@=bBd!}W}Rfy z`Kx65uTkdEzT1VGu0R}f&wDqv;f$<$CkbtHR*f0!G>th!8>iW`x(Ctjj`Z&{o}PVO z23JE<3X0it8uSQ?ISt4j#QuLQRvljI^qTjmH9aNhr8K$SWo=VXWz{rGxIAv#Kux6F zu|4|?XH5;FHFr^SwaW6xGfYTe&g6ynB%Z_j;_1+RH|l4HI;uXpbk|$u&zfn;ns=f5 z8?#vl$MBF9*J&VtZ)1Clabhshiq|WJcp)z7tz_> z9(SGS4NP?=e^V53$x4}vs@{=Z7@0yS+T;)kBG)`5XRSZPA7Axfrqz8j%d{>{k!7`I zVyKrd5=k+q5_z6cW@`(`ckbJJfH)VsSBi~0(!E`ybl-_ymFc>yfpv|2Z7!h5B$Z7y zE@Mt1l36L5<-DmyLbk(ghTw6)qY_!AmA68hZEw7JH|M`=jxn|7Nm{-P)RkE$$}?y+ zJvwBW;XZHOf^}@QW}0g_$)tH^rIG2uznmjJSr@g5><^`|@zGsFru2_g z^xmP?S!dgF+`l-csI8sa_s2r* z*wZ<4asXrnez>t{JS2F7&UEY=(@b=8Q|8$XRbZv3&8RY2=7Fc$8_C{@ZTmlpZ~hqH!#_dve@bQYiW~%%R!GPnRmIfozu)mzuAg#@w7YPk-`;qGAmfAQoVt(HX|AOC74QgaHQ@Ec=hj#gTc37bYF*F zvPIM_b)uxtXrs%jAzCQJMZ}C3Yk@DqEJuH0aLjG2i;=TyYevZ*#oIB?BM|6M4msXn zB(kJQnlaiyvEn$Gcl7@FHjBrfjV)bKT=*DgGLM$i6?pT$pDL?z0+Jmq;CZys5eiD6|wN{W;6 z^y2)RjACw}!K|OC`Se{tq%mi)=XFCnhRVAt#Ledqgl!)l?gt*&`Y+2CrZ?<*RW|N$`{A)D>&3&uEnIH{__==jQIE);Y$y)ftAf&&Za$Hq2+P%w&!r ziu27QNh9qX3$qh$);7gGR@l^R)$r}oPFraSo)0LirPk~55o?j`57QEUm}gpdA=Fek zzN*pG`i6!ohcjgLwGu-d!K2!{nif|UVj94U+vkYXZZ{MW5I*;A()r$7mnMQ;9hcD@ z$?AmktvQjQP4OsL>jN{85165E$i{Q&#;T#v(^S`2<#X3VP>8Zbvk1rn_U6s%-rtX= z16ZR8t){<(V|nq(%h2ClOCASvu7Da%E8!ncW!jpvE1Mvy&7*;)S}pOe>dJ8S1bNB0 zDoE;F8j>Y!O|Y+8xq3s!Xl zP|p27sHVv>YE$JyTU|^ho}odvnBdt~{q~F7u_wM6{0tG@nYHcpDB#xk$Bt))4-1@J zx!mNqU03Va-&f!!hfig7dG4s{{E$%4QAtx*R~Afd6j7mR3I3sDWmez=*jtl{FN0o( z_;TtteUs)Ho^PD$stmg^YMM-sP=QZN5#LT~CS-x`Kc*E~S;Du%PT-|W?JrhpvuvI6 z{acu2daEU_hDuFANlid$>QuG1E9SjlUtiIGFnmKV#C`)QVDVZ~ zlKvc`mfyN{+V*Dj*B(2%1DAClJL#-FQ=yiNB_f6gtFBW`Bx<`3$uDV5z~uX0>^a5- zqUZ-o{7&_2u3cHHIo^LPeN)dQ)6}|WJ*1>sh$<${YT#*Jc$^63^66klTiNO^ZmPs( zDoPMaIu_HK)3da4|{T5_$F>Z*LKN9H_%M814% zWZkr=*vrIZa&3=0hff@Namys!=A*XT?R>ZV;-B5K$;(fRLno3M8*L^0?f&FvuDUBn z^zW?s?t;-3k5`vvwNb>LbwqQ?6rN0GSXxNHS9qi{M#KTkWO`h_^d7nN=DNx?G!$m0 zT)Q!bt~zCHqEi%s_L~B1NCSXQ4eENC$#yD(I{s}jLK?mXaUgGx0llZe$7-=%bKUMsU{{R%% z$>^mg-G5{1E|c)@r1d7G)!N@qX}wK8x6ZP>>Q>UZuCB_+qH6f$S?vupjVy~Fp5XxG zKpVdmz0?~pLbL9Ye-AA&s=6DRWqO|@ijPu>>S-u5NhFl2O96ONQkMj#;11KlDRIHJ z6!>MV@Y9!4XPzcPGBe7xnv74G8fk0l32BxZdXR|B%v*@bZ;amCh&sDQ>TI)D zX_`Gvlrc2*uq?6e01Pi{*-(J@aM$1aZklH8}e+)C5GeqTTtHBZ;Onr zD{@!0r)i_(v&Dlxp}e%+eqJ3b;#F3k6@RgWx|PuX01*8-=$@GAr%Yx|F;xVal{Rx* zE@?|d*_5qV#uF13^Q2H7z>9Fp!*P$DJygiEEfbzsZ@m{O1iWN^#(~EK_OKnD>m)K0zfQzHoiRGv%$3g01fR=t`})$+}+0-MFGSaHd^O8LKJQd*S;WmO|g#iavw_V35zh7YsU zRX3Fqd8DDcM7@Wv7#^Y3QV9%!V%u^pe_NCI_xgWqF+;C29K9X#`Jz^oY-VT`*;m(X z{_oU$`N!IPFHNXem9Me(4J zRdrcPmRr1lebx5|+x_t#&h-sqC~vj6AL;?V_ZK6aZ{fk3t)l+P`iiraWpYsh?l-Zu z{-X)0{{Wg-Rf>2NyFbE^$H~3G9{l=ZF`MT#74k^Z&9H6+3s|WGw?3CYt{ysXL#=YH zXOU|;vTD!e$2WywuUJWhv5+8TatfO_!^bzc?lF03@=7TtTE`i5${4} z_{0-6t*@xezR>lOhFL8vs@(7_Pae2?%QdZCURm~d9+pW$kvNu}BxfADC@H3Rq_2gq_d=e-z7*wCASII)Waqdr+%%a!uQP^@(I@%^4e207PZ zRj?;;!J3(9&Z*4SM=`j}W-0;hHykk~Y^hYTxjRPdh>2@rE6&1`D?$BZF>OL_aBZUbW0;RGLv$* zK48D^{NciuMr%BPF}b~uwf_KrjsjTK@D!7(9puc>D0ifHyn_4>&>zQ)I8|0E)FbRH zS_rt^{;i9DmwWnRGgVNAm1H#Cr5l2q+uOP1VdFHC1ezvDN-x==v|&#dMeN-R!}sHvO5@axS%!QZ>CmK7iZn z$5Fgb=>D8)r_ohBafV){J)ugQvM@#rfn5N zNl_ky5w73?+V!-h`1;0}`hA}DOQ(4bzRG%Inra9?pJ!<#lo@I%X$)wN?CrE4Eo~E6 zy@M67x#J$6M--#M^52LjC86F4w6F%#AR* zmS$BP-{Axii_1joZ8_8pA3?12ty0xx`HecyOEQk?K<+z&I}mvU5Jm9|Ydc2_DobZ+ zP|MeC2bT3mqnfj(*{v$nL7nNk+9!#Y;IxoBA-AoVsr)hdu+>!Z&{fStQ4vD~jIGc5 zfw3PyQIFGZqs-~DT#mCk%nz4OMM))1M26N#<5JAufKq=9er7t2P?@H2TVATo=Tyll z-^I5vH~nq+VR@CsS?RQQd=>VmYG>V$I*MvHt*Ua;@waY=EgG2#ET37@DM0%(yil@ZBb-PW3h^ z3j(w`Q^d-rZ~~@PJX~?{lZ)Dhs6kiuSfvgL+^hxpx7UnNpTkuQ^xaHJ;#7`3J4ndo zxH5gD?H{9J^o|u;fXi~*0o?ptU%%4-0NWY))g#Som1RAjR&S=WCz)sXUw2{Zd*a&Y ze4qZTv()>o6;52tMec1|0Un;KS=Y=D=`G0I%JqV0Gg4A$q z+Up$_jpwq+ zV!WehQcB1du*0=mRmR{?%76vJ@%6XohMdUx$k9B*YYPHEzazJ|t~{)sIImu}uHTG#pZ$FW<=wmi>9m8s=@(iFY!FUJ`0kW5N5 zt2Mnnu%@CZ8Xe34VtWq%09-d#(t#0VETsBg*ElWlTr+Sku2qS)%;nUQdtog8TLpS{ zJHu`#^|wF1a4996x0O(^ZUE_P6i<02tr!`6m|9hp+tT<4H0~04h}4_BR**0M0ojWQCQ87hVA0+qXP%fUP{R z8$9b`2_x8GF|d_X$xZ<*cK~=i_qXr9HehEvu<315?O@32PbZvDAqy zP}rT@u6gcl^S~q#GXVp((SL-FFX@94I(2)=H^$1XYjz}juo7Ga796cTGo;FQZX~Ub zVd_6jXs-&nHj$rAW|3xtGI_J?mTb1R;Sw~B-B?Kzj&}{Cxb;6PXAuxGs{`=yu$8wS zqy90Vx*68)p6J~vOOomptMkikf+%3!d!9M`s)}6FuBqvnYGL>oLgGk>0JLasyISM8?~L=}Yf2pJO=!n+L`jK^~S6@_Uedu{Y|ch>Zi%dFb-&*DI~7s;SGPsWdTdGC){; z*+Ug5*5q7#{V@s5Ja^<&5EwGcC2_=qhtc(a}s&A z?|wgjTwT68v~N*i*VLU@&UIZTq|(~1m^O~jajK+tbF+o5M>P)qY`dzPAKkM^^Q}SG=gwPKWd@5b(^Yy+X#Dzl83~va2+^;XVyw%w{oYSF z$NeI&$TB{qECDb~d;f5qdaVn`;p}mea{S{XxN1sz= zkS$(g1!J$;0H~3-N`UN;DxINC#kYI4YH4dcB^Aw)DGN_%5gL^xcAQOJa6x)^CG%?2$rxa*dPKM5^KkII#YC8Ia z%`-WnOuH?rNSp=O+nJqMSneEHldzlf#O}YQJ|A@d0F_M+g3Pl+Br3vrwFkn${{W<_ zMl3v(v!1tUZ6BOaWfAmyN6@VE25N}({Bju9_5*aA&-ff1XZ)L}TE=?F>gDP@^p$ZC z=+Z*ISv|^;Yd6dgaXQoWi_}P@(%nL(kNJ%t62(zX!c<4X?@|qcy{~Q!#el?aRblK^ zRBH^|#sJfO8p?W)OQop}Tc`73MO1YDQBOrBR7Ob1Nty+XWwSZj&vxYeM4RF@pMMyv z$2T<8uxKg1$CuVgHeF3TvF(HzBq&P&OGrGzHy>X^V|eC$rs?DG53Ta7tv0PTV?`>W zn-bq_rw!}KDsFL+pvm(p>ff?Z<@FOwYix=lcXu6!KEno_QnHM(tjbZ5Yk0ZwYo7S8 z)_G2?&N7IolPAcRDyKlK9KzT5uo`QzD+pUpA} zmY-12RME>U9&`~^)4CZDlVSlKxNd*=NWn17Bz=KTJclC*n@yH9>NudHxi|t{^1O6|99-e)>$>9e#924q)OkDm2Kl%$&_=3uFF1^JA z>FPKqsCB8Pl4wlH3mCVeDY)|j9&Rsdi(ulnN{7oX)qcgH;HUU->Mpq?9Zc%jtjKhQ zgp}~iOjUW3j(?}Te@Z)Fbc{Q2pqrJo{7iokO%>7|Jr{@n00y*lG>Mm9nr!ZZG4@(O zE(%iee8~@Xov+Vg%y%ujs{DTVD6Gh;a%|sP4Bm>S5fw&T7DY6%PZ9WhvaX7Zo||vB z-yw)F@$=v_B!TJlUqxq%Kmb~tzeQ!##9I7s^A+rD0s8PUZz7B_)Md}PRyn7WB6E%X z3@b9`*}UzUSG`SLMO3C)y{{Xh>V}EOV$dV;gQ#~vx8pakx zJd#d>U#Z$isfBOar;=*(4AYi{potRy1+Sq55a@*K`jv(hXA zmh}qCR$qz5)DFx$dS9M#jhoMN`CkQ?YH~RD_ce~Tl4@T74>nCxIB)tki4?}54=;Qx zC9Ub@vx0uN#RsF;E2PNj{D{xQs^kXma5+Em?TgQ+y=v%wN6{REr~OXVG{!9BOF;!? z2w5ai43QYvyCSfQwfP{Az1qhW=AiJ?)y)A|XfjNWqf+FuJ@U-YD}YNWjNGbA3IgiZ zx5NQMaq(b$Urnjc9CMAdl{)wR0r)e-F!+9axHHRRl$%ZPpQmRs4F3T6R%=gNm!B=t zbZD6v-*v^WZf$;Xt(o%b?wV56$CrhMqb=NNBDW#bl86eB2gKGEAaRWA(!UJ-Mbvq; z66)-o@;V6IH0LrNT=0$qGGy&nR2A!z zB|mX(Wi|t9mMnfo;CF{$S}02{SyF1bJrBkHE04sq7_^#sd6LI1@@b}$s;l>Z*te>G zgqQI8(pqwlS!*7#^%<)3YFO$rO*q+w1vNxrsnymQ&)F~M60$kA+YT-87Bp{#&XMHW zU#-1e$?~Q&8uv;(QE4vhrQF`s0YW}I~U0tN>b9|PM zS!Y!>d6gX|V(Mgqp^S|Tp>_#uWN=l6-gv)!SI+RpDS-rei5@zLWu8ZpM3ot349rRMIk(*pnh!jc@@C6c zX(RIY&AJGV4*HQ zUO|-P6)$QTgvlHhK=_KSDq^BqqR{K>(-qsekp!r+3=keTM0r<~_ z>ZPAUsL2VgoFw5Tcey7T;qhzeeCNe}5!Jl$&xUPDTD1KgabKbIPNT;i7Gb8VPP^AS zvYRli&epoBuT8;7TDB2S1Y#1?6=>C7Cm<-W5+3B-eERWAuHeJyxnxl$zzqw%<4F>wLHF@7&h-Yr*xJJd4bh>Q!&Q z*8c$GBU*~L&XCRUkf0LQw?4PSB}>eVYAMCDQWsR5QrJg|*3J*+pf@WYGuJo@5Q(Vms* z?yP5-#Ch<|s#7P*KH8Z+La_a}Fg|=ykr|ue3X36;jhNXDfy6~Dv3Y4y#em?EU_Hsf znoC|}8ZS1guhjY`k3XcIl*aURa>)}$ccTYMBO#H#9i)!x>^*U>j}(1NFC%D`*Yvxj zV?CqFGb(*nWzIE>x_*XPWQvjL0E&#G%@aiB*gaSu3#zgrumBJ-0oPf*ewoo4B22ze zDstM4z_eyf=w*tta3mh%l@{-bHn;I%U7u!>X3=!>O{|NVjKeRUe6^YK$vlXmkH`jF z5g3LdragO0b83SoV+MBArWCG>%(RjYV)Xn}_fzfp;gV`vhE;kOF}Qn=E?uPB{{W5u0Ja=; zvscTkE7;6S8o3az&7c6}06*;jTGs7gI2bEU>4`IXd9ygJBoWBS@gtwTw)eR1EDAtFO^?BQ-!IH zG6*s&y?|xD;k%20aC;cYo;W;|-FtQ?G#)taYl5YgHZq=0nGepdUt!Tm0g4;=iQbCFuuJ=`s$DbwzbvO;eVNkzBJv%?!SDh+=;w-9rHyn_m3h z-LV1I&Zkf~YwT!ZVyrnAL2t}h_r5>IpF zGLM`5@$_F+^;1K5bMX_ZwU<{iipqF(Ej<9pv&eGCs0|cQEN@7x2lU*?9rkg%;WxPB z;onR;fvI{~)I67{x>CGTX4x)d6@FPM7gUxv43`~+y1Tvs>%i7n)I|NTCoA2i^3xDz2i#k;m5et<=+`Sz2`0GF-&9 zz%c!)oVh!GA_8vUbI%ykPu%gjbhCox+bRsBsa_~LaiVDYFGr^Odra9?40&Y^udUUv z$4ZbSVmRh%a!i4h0BxmB*m5j!eE4_KSvO8PE2lJOL8+t8AfU@p4ru`eD&!+{l8Y7r zOO_x8HUtZsUl{h6!v$AY^>r^-dIjO;izCtbO*N>ptz|r_br-~cs33jDFj2l1Ewr7k z8IAVr9*gHXZ!YMzTbibghelz03ZFwcBR#|xV5DCd|LQrs69DD@N zYox9XFOmb0H!(M3^(6Da#>4(Co;slMXVx^*+8(7}Md>*nn=~{`5-li{C>DvR?P)e zPH5@b@~9>X5Huj7D_Z{myZ(3pMp9N-fnaXLlh~iv0n4R9lT*`yw1`)bFJo(epV!|8 z)iRijP$MzDiz)Q~0E`n#idnf#)4#(`qc4i1sI>J^y8)z;?4svl)JM31>D+#O@p#ok z86JAgc2e8k(Tg?hBI2>$HJzqT=`M$RDm1fp5x} zxScGv=U;MvQx!yU2^ATDUMUkEp+>i! zr|TuHk6?FWUZs;eB^#GMU^^|k!~5fsW$8Q~c^6P^Vt(%*_`}S3bJHSJN(Sw_SpeW4 zY}p$cjN<`;GqqOizwns8G)k zRtMp~BHq|#)O3{cMS+WizyHmvkTiK3&h#8C~URr!v#IA-f@N z%lP{C#_obKihEIuu3tK%h$Ui4AObD%A5UMV0WB-QM9SpfmH~JI=lo!63#5f(QD8tk z6VJEL16O)!1G+PvoPHSDg_&|zdPLg6qnHtH$G!!%<%CBWQDg9vYySYb!4Dju>_cu( z!^tC$Psf}bk+QHd7Ip&a!GQXJKhMtw!dx7cWQI!>WU}4Og^9tUMU}(fVA^fT-cP15<5-GC)iK-_vou&u;joqLr2Rd4YQkymvU#{uFe)6}n0+(W?Yg zT&XX@o=?AUKefH_M^&Bauy2ng%|0cYB8Ryr@WJ7Ws2ZnT%bDsO5tr(nMKq?QQfFD5 zA{ok^@3^zA$ph~9;={iTemy!@qN0wItD1YKxptDNsmFw~@Iti;|z0OtFR+voJgUY}Z@GflVbTg~Bl6uwrH`m;6k9)~WAIjPU3q*}S? zDa5fr%ev!wg2W$dk6t_Db?H8|=>D&N4_#Z+PPpc@-)6|fuc4{ljx#Mgyn*T!B~=V= z$rr%Ik!)OTWK)V?ruheiuAb_sGdIn$nq`a>s(PH%HREes5xdk6L52>m>m4!Ip1Eb+ z8|enNqslbiYhMj2R7)VIq*6#FuEYlAhy(piVa>)a;&RmFX-D_}0G@>`*w!SYeR~o8 zbwN_!!;eVU8Cg~itL6}V01K;7M%M!Vg@0D#y{I^D@r!^7H{;ja@aMN|UcNQ;Y0_2j zcvsTeTC*h6nlh-lIg~qUb86R&2`k+3@HpZiIP$`t=ZYeEVF?+gk7-aZym7nOThkg+ zy~cZVI%y2aD0NK*b#+vcMGahXJc$r?K&%NNSo2|iJ#B1lE~<3fCeFHfnrq5_vg=Cf zU0b2%&!xQsl4tC;UG8{ntGu7Q52$WHfYBQ$0l!=h?zhtz2=I4kIos%r>8f`@2{i zF?g5oi`5SbIgJio)q32X9LlyRDxk}4;y6E~WIlUT5FVYcqOE$)KYV2^%q4 z8^6GZ;<@JH-krU%N_@+XjchGPvOT40Oqo?(L`ba|%p586E^TGz_c!a(C zE2J!LvVTwF94PnWweO2JD6XZ`I%asPplByKoQffvfWV?2KLSo8eL>NqS4aHgDS?)* zo)7Hul63z7RaR6Ac`}(0*esrM?H2z4y5|-)RZ*4n%PgbIrQ0J_N?Lhb4?(u} z^-=Y?`r=dK&{CSCN$75w=e=t1+n;p1P5EOm&GX73Nb^jw#*C6jDJ)yR+ycV)E%LbC z-VQXK2ZLQ5mFJ1my*Z!ix~dm~qcYAiSY6?83Wuj;P{_&vUBtER&h8FAc;@|X$vQ*O ziFMCR>*J2Dn^MuOE?<~J&mCl&S%|o0Vm7HKmEk^i#b26#5x*0tkRQmiuEtwPf~PVI9WlKm^zgm;V69Bt1>*Z&G^4T}fTk9Y(c}M^4ew#~nJxu?9Pu+d%Gl z`rH zkqm16h@OeIG-l-dNw8ep2gM_%e}*@S3HqKi9)C$gO_ZYVpHxFuvQqh10_e69G>4l; zRZkZ?M>v;wHt+$gbaWAQ>#w@PvqR2%+g+8{PEHj4ra0GnSD#omK zq||;Ce~0Fes?wT0Y4fdSXh+&rBv~tJWVpAU>Ob_b-<^Y-1>uMdj6bb)_J7p=p=TXN z)UJ(~=UIf6RYsY1BD0^mps})nUumSr$ZK)&|Uu zjsF1GV>?u`Qi@y~9vZM>Zzfmsdocvr{4fz1dq@p~GTYnR>4(0Bbz&})b(c?dGQKIR zAWzs@@(t8jU+%K0{TH#MHQ$O(gwS-_T?f+LFRUsmqtW#`ZjUq6*@ZurX)33VqG?_@Mf}xq zB1SK^%nM$?3PUE<8jD6|YnfyjqtjG~z*!V>gdR9I=hPog4kNUWQ<#HA6Oh0YxmSR; zx8rZ$d>kVPZnh(M2vpwx0EQQ+obt-#Q^#2U04XWXI-}Hl{z&s2=cqZ=Y>}Xj^Ja3n zQRucU+T4Dfh74V6OI@U()7iF~r=D7NoI_a7;n7~k_5=b$07dV>;0yB>j;Rm=)9ttc zMa`PS=Yh3UQ^=liWsLR~`@Ozgdg7$wT*lk%u<~s${KlIj)UkC#Af;hX9X(_fm2c-# zd1@4riyM449|&8X-Lb9mzZHE3(;9m($l}+AsywGJf{`Umx}5 zJ+HOmrbi419PW`%J^uh7d{WsLQu-O7I*}()dVi%g4OotIQJ3V|gwVxJ48r7HlXJ2u zulK?=dYMiMNeYG-Y1>%sC*L8| z5{YvOB&ZCnJcXH}Ta+Yl04KNn;oC2mW$J3HB;D}=b>xqi&kgZQL6uV)iq=sjtRfxl z`EiCi{O+o*ZL>>g@4_Imt-eS5<00XCgwyCZ7dA;VtxjrK(bB-Egzyz@`QUXf*==n` zNmE$`N7<^RidiV*pt#2!8I7N)6cr(f$RHUz2Xu)@sBpwdFL@vhk< zURiyw>5lvkD8WW3wG44ff<;fLs$-=43^K5Z)gDOhKqW(MBz86oz#p0Sz$MQobG;=S zm$UA$KP*S7a~McwVs5}HSaWM1-S9T84B^Nk&G7^6Z%jx1wk%u021sPoGrcv{%DLf) z7X5yhYRoH|p#YY4xVIML^!$0kIqI4yhTD)&7aUu+7*8cfz#dj6&u^mQVd2ok{#8Kv zP>(^i5)};6I;#*sKEE!1eel^(%8~iX<{24n6~VSMR@GB5wImlETnKr#8Qi` zx(6oE`7HVFYBP-6E{iQ%N`Lxhni{$mr=1zU^@@DSkVK6d1}EWk-EFwq$A%jdY=xgM znN4(5x#xyl=PA_GxkYxj$=;rSv_SQ_ad}prq6BAZc@Tvv`&Et49|I2dyleUPXQsMW zmwzj%{*V1dQRhUwEXs*bA_lOz7w7(OiPom;5@uaPU0<5yiw0j$Sy58Cep3oX=RAt> zhmf&5*oPPAz3c_e>+0O9lQZdMMJ`X6Qz6T>1w`@E)z%`+@H{bXbz6fW_$)vl={D`c z<+A=(YGKOVSly{48NF+2e7Hy`vk9HHOy3l85=%$G9aMs7d^{P|cHN0HrMih8kLRYk;aqx%DNFC5gEtoH}&IW{)qP3FG*htdZ(| z==pc;`|O@<=KW)28m4Y&uX>?Ib$baMX@`Dk30)o-|NnO@gX#`WK?ou9B#Ky2=1I9{&I@t`3Z&KKP_d1K-$Nzsvq{;w1*18gb5=7S!2+ zMF5)uFU`NtbBEWOMatW z(o}&KZ;@3oYFx@CYwVF!f*pP>k^{L3Ha08`85GPLd~eOd{o%;JukZb_*JcCEQf?HI zS+DE+?mh8;6r5_0HK|dQT75I_hlcOs8C^ViwzQ8&XDonCluZoWF*$t!S~~=zr;Ji-$Qb_>a`zQ z@@&GcC37B8r>d5^qGlJmfe9$4_ZN3j$9#Oh{vaR4pQ;`!Xev5$p=l-3dL5-^moBH6 zwN7TC?u}C_kQe|jR0kyC5{V~ry zMxu$wq{kO;ktIQfa6$kbu1(wL>4X`CNUBz$_gcqrHnIJjERi?ZMx-;22sSq3pV#+n zAj~83Y1v&()wmx{*1zF|xo#~bOo(RR4DT{0!oVAz*7*bZ;jNaTKtln4{vWO#^Me~& zZ?kRx0J#a^`*!+%m}`P#8EMoqu@?b(0D5pv4K~${P0=sOMLT7Yn7~FT4;{(1`{3k& zss|DU03U>d@muOJ44O#BQxca9%7Cx~w{AXs;KmZqF=mY0(TL!4^5Xuu=G{?OFGi65 z8choI3tS)|nYwXXu|U1RnxD7g1^)oHFLj0Kr%5W1unTny9m1YPkG3d};gFOub#GP2 zRu#d}m7tjH7@t0z5HH*Q1~0tlI;3jDL6t{4sIeP|-Y2&s>54g*CzDu&r@lOd zub1^b{2IC;Ih`4L#@r($aq(E6*B-;wLsKlKDwyL8p9lumwejo+9>Yq>ve;z*0Mfj` z>^}>E-ro2F(nU`QXN^>zRD<95&K>jonrx~itE6)1+!a0TZ(K%eb7+;V7y{fFNz!1m)08NO_Yn^s4;AOpMf#6LNms%l+4 z!ME1O^})qa!*sIC=51*>4{fI0TkC}Kh*>tv5L64;jxYW&D)j-tYgvchE61)8SJt>2 zgI>fci{AeL?}tGoaF)7^MnH@xBb$0*sgd)N+5u!eac z+vag(UxYJoeq;CPhAzd;rxAxyK(l48!8U@1z`d=0#~D&fO<5xZ3W3Qg!o^Mh0DSvn zRB9?`l7>iW*xln|6t%_vn98#)R5^@>q9xttFeB7*Z;jsz-6bTNgAeKX8xb5TDz3?d7X^=aW02?!oMkx5L|v4sxo%5E+l%Htc;b_?!cLCjePJ=qR8i1dy}6IcC~I`~Z#!=ePUw zPc+mZ8_!F)R{hM{VJJ+4;<80MR$2jTZX#svwNu)>`tKQW}wpgBVEyFxz|tW zDky1KJh^*m0+>kBCH4dcd zCxcyA;d+Xnr`lst(sdCt*`oe*a|qurl8iv?fzXZU=1(kI=G&N-^u>G7&kbEt%C&59 zX0T<3mbrYH<7$hZdl7ET2n2Ut_xBTix@GyNhpeifq zG75Pd^CF1}AhpW&1Oh?L)5dbz##)%%Nf-vq))qa8wlr^Es89jyh=w?;{&I9mgQr+~$nNvZB7Bs%DruCz6)#2XB?FjmFfbXEw*3 z4XG#6+UG6Q&`y#4lD;WKvPZd4s|yxU+z$5t0CA0l;!mj4W?Cycs?6rmvFSY9BA!gD zJi4sa4A(HIyR6Ek#E~>|AmEFom@sZeF(eg-;olbEO^CP4{xI{9%qGdRIA?HU*fz$) zp2XZAdymxpFm6dIWN&M-J2cf&&O%hU7vV#j0yykX)4mC(o@r_cja`~2+&3{d9{&7x z#mmxv4H*Wz>4@r1ah~UNyR#_hq^6?D1h;o1Yp=(4Tb10vd_0SK;oC!aUeVc-YNX95 zbNt4AJ(*2SN^;7G5o?tQQ5pgnjDP}7tOn#4B%3MYE#sRYBdJZTGMH%fmUSgPO$%O{ zrC=w{LI4Uv`hW)~o;fEHEUT-!Lnot3TA8Y9qzDX>K?fXqd`*90+TPaeAE)rSrL_jN zZ5h*=nW`$~khD!xT7@B0^24JQPl>^Eb8*7@p2`nFbdz42M3`+K55$PZ>Q>mldij-fPs7b-v(jBW*SR)zMU~U(59ONED$6pb z@};dqHGbEM6ll~lc_kZjr+RbZeJ$8GEY>|4JWRhoEV>-L@*Y?_;+`Sw(qu4@)smP?vt?M#&wQUYU$ zJiAme3g?mqk&pULH=eN0x-Zqfx9P1Z;n!D5s`SksmeNz_OJAufBa#f}DXS!|bbm0I z0EuFa+MI1Mrqu@Rh-ZuHyowDolxvMo4nbR|v#z6LS?;o2yE)7=NQ^U4)g?2)lEVNH zNdnX}M;YC@m+s#dV}o>eGOLrbDfKtOzldItXzI;*uk@W223HKGWtZ8KfvfE7+=#`&BM?WXgEvfl$ph z6m zJ;Av+<5ilodXnXD$9tblH^)%YN~MnL07*mG-_!U0FuTo(5Qta}y^ZD5XY-`HBf3 zUy;E$OrSAKo<)+GrIU24dp8#clk~yRB|?%RP!>yEDC7_AfJadz^BI*1J3}}N@ALHj z_;$(K7m@CU`$d^Y7ybVLoK~7qF5!@63cCUDkjwW-BwECOY&a*G3Hv%A-boF=pTpk? zk;1o?6m~tqKEA_`_``)HMqR#Vb#w8k>~GuqVyycuOE%cjVB@5DWIGnh2q8Pz{XV!} z`MoYvMX@7hn%t5u6#l@&W@(*0Bbr)$p3lI&&Kg?2NnC~>2qdv##qhr=$?_&G$?`0$ ztd^s5$vG_2UGIOVZ{N!WRL?Y_m;f(e!N0%1{yumjmR6cyv!Syzyxq2on-5=1Dx4`L zVSA~25_k+RZ}!8MB!?v!it@UTDNL+Q(A+({^V|GmwN=ECPzWTB#CGq`%L%FEW>FbD zg&dCLpYPutStI*G(>#Ek_aff7k11H_w9#VtSn0-{>jzwNKAvkPtW3W$shKJsg~Cbw zI%y@^tjfS$kORRsCfwsn=iLbY9Ni_<^_owp+T%H&Gs~JWSwWao)DXMTWKnurc)|E2 zR^a;Ek~pAz73LLC_@>cwOCSp-c94RDh2I-QMZK)nKK}q-aj5)IWj$rqJx$AU?wI&m zqONR%ROOlFF0PmC=()Q=0X{_UCzt&&&9Rl7pXdW%EJm)IOO9Av+OscNDaSNoq|&eM zSH3NJPvM4>!ggEMj)Lg4q)OdMO%z$6sH%6CoTXa2vd<%J9%M>x0Q;TndC1ihZjc0% zZ~z-H;`aUVjql?!r>J_bz^iASRLJNujP@Mjnta|$d4B0U6X|bz;bgEWfGQR#&OC z=A*65>73=77HVfTAhL?UD+F=2-a%$$91(ECpKCBlu0h<}Aa(sB)>Rdixm|oQ~P zMRR5qNfdFHJ5UBa_j9(_y|}|wZbCGVPV+f4yq=b9)pZ-?lF3pxv@wcnorPOyZOX>u z{9@9Zt-5zrWwbQ;)UajM5mQ1OrdB6`OcPXXjH4TK6ynC_#2(icg=@u^Sm^BXeJ7I2 zY1dQ5Rpdn%F{42$?jddz5-dF~MkPT*qSidk_CfW=XBK&${{YoFac88ikTSTn(n2oC z!~G<4_3k*rzMD@RDN_TMFTZ#s+k&82eqH`}Yt@wB$tvN~bQ{7XQA;DtL1&SW7B?M$ zy?`F~!J0V7Nm5{$nIvgYnrdy*A+ApLMU^klb|ZVzp$J{2eR!e#&h9l!?M zEr|!^kCN>@3d^;zN=+Pz=0thD#~*le&G97wQbDc0hQ3MYsjjZS(h8nn<$xq#^go^< z##uuuDuviXDfPEMzt0j{Upk{Lrm2*z#3JkmergPXZ3r{g#8$8Vk* ztgkJs6ERgMXLPU@KieKaA|f_@Z-S_PQ{LhPs%SdrZJ`QfWFh&tG)8yo#ru^jXJ_rk=ej!6O|mQuiWwTMNf!>$9~*%-v>>01C0?J^pft-O1<`jelO||>4s+}DffkF`QYxcwZHL)eBP=U z-^;R)=g{$EhAE`}t0PDb_V{?`_s$AE6Mrc*$mcDTKE8lPzV6-KBOLSt)~eh#$1&OLlVBc_WZC-GM-mvlKvOs@_!za?9sIe&*Ny_QtbryJ#GYk(Bi1e8~_WII@y`FKxdse_Um+;Ug;3c$umZ z(ZMVK0Mk_jMN>$VLgi#}^AHrLRsrtvwH(!)>uze!4Jw^~GH z45e%b!|Q)bcKPB-rn4@f^=mn!)jFdf{!x%+atZRxreJ*9x`MV@pVUT*Sb@dZt9Bg8 zX!Pco$=`NakWo_-mPUpUt?9r$#ulfZ$>-BZSb$3*PDePWs`!UKeKfH`3MrR#o(~;1 zP4knJU$A=C)a)WS?yP<q3Mv|fSTbTdikUZv0;pEKmiR=0)yfqg6Mf!0`{7uXi@Lp(uOW!S zX&NgQn2V8iZ$pEMZ?Hs_($5+gL}P0Uf$DJIQe=>;M;6<1Kp(pg_rlqlS&4Y$upf5( z++iHDyAQc$1n#-y{J*vx2Nd}RUzBOin{*P!(QhRi;a|E>sQ&3oP301+GGB{r4dHn_$cHq$i#P%{9Z97Oj@;g{|$5vJn2t2hbZcm`}{rz#s zuKRb`lA!Kiz4iQY&;X1Xqub~h9{&FTFKjMJgdY(k3$>2YZmr2->x+ZKe^Yf1ns{{H zoye!Gn!a$c#S?`VzjMc>?n(6Z#WG$<*!fr5Tx~bwx#0Z2eweqsFXy>dn$(M_a|q=! z!X7aKKv*q;D&pI7HJiC<76n>U_?H^du_=i*R%_XK)-U|u>ih+)X{4xQ=_qfM$S@;va;+Xq)K_Bj>QPDWO-vDTexK+ z_r`SSO2?P$Gndr~VKp?Z95pK&6-M-aK4)$HFO7fEj;7{b82VkSt97nV9ZY(QFM0%$ zt=hk3`=Kr4CoRg?C)CNP>9TEO zl|aAxI=SS8y4F+Nv51nN6lTKad%s#OvhJhiYat9Me}g{^M*%+o}o^$f%S+wVA8PA14 z*^)F7G;CCG2sgFAcIOW|Za5btt}$D1LQp$@@UcHL*kOmyg<}w0+jo8q{{TEc=;{3W zI@)pv5&hQe1&KeuOJZ7HqUC9DYcB`+PFI_BYb|W1zMR(xD7H+yWc4}aW79{J(9Cmc9k&fMO5E90a*R1u=Kijo z2=Sew`bX0|mn;7OrL$Qx?LAGI$CpDvSdmcI3c(mJQ){_o*Z=@_Rp-7E=&u~#^e0oZ z{;K4C7}L{cnY~p+)V1}kIjE;HLK9$Ql~AR|@436W-`J`ta$LsS5$h;wH6E&e|X-n^ZKd6piIZ zNe+s{o*3{C1J>gS^3N7DIQ zLtE48csd1{veN#Yl+X_QmsbiG{E6x5Vs%B7>D&S!!+zyymbFb9)++V>>- zoAO9Ik*B;IX=rj9#-z$KocB&;uUC?k&+}wTc6y_j#LpCMBzqZ5Th`178^|DlL4EOp z`fFY1`Xevb9dONI$mz5EmW!xb8n-j2nesNMh{)1KB{X(_CO-_7?+hO(Ft2M{{UR5 z{5WV|3>oiAa%!DFPpYTPA26e&)0(=bz80tcYO&KKg=K1YkW%g@>%0h+i3heyr)`d6 z@=FCfkA4TI(+Q$xnx%p^&}ywTFhzD3^6Yk;SZZ{Ii~X`_pBnH61H>cC$8uk^wxYmlAc*dFY5 z{dmP^8!zt1g?&XewX#mbd#ZpBKK=V!*8c#eJ-$tgwm?_ZTE_{abe0#4o#Ouh7U6G~ z7*Rr|YPE@_uyPlBAACc`me2DRVx!c#+I$60RW8eX6#HElJn~G8p{TkhQK2g~+(XgQUIfgv!c5+#@yu z-kO031LsKLu#2DO$BHtG_1Yy@C28vfLP8hspQJmjx_$TS>_+h&!hDjnx z++36KHTeVa{`hdHs#r*r5L^#{cCfc=;+9CcGVVE4M@l@QC8|nvsE*|F(wh!1&HV+i znzuDH!dh=Is6u-l>@Gd{=lgfW>%x~qYP?PLN^YK2YZ)?FAj+i74NW~6o&Nx)@yD|f#2za6trQKGZrKOLfBi=zps30j~Sj6x&hI@54wA- z-5<=enx)P)B{dusRe6$26!g;3)y^C$#GTDB=hJcE-x-I`nq^t4BqrkOFMd0I7E?pIjxSjy0tFM2-VrYR0|D zkcK9S*#q@Jag%%w$} z*4Mw|hN)^8Iyfhlu|+nw`+ojdT(hdnc8=wZw|W-&+wj0`RysLV7Po{d-?I3T&{E37 zY1Nbw-_?swiE;R~{{ReYzZqQugFL9F>o!bvj(sFLgDp)(rt)0J%ty;s(N46mB3wvq z8=r{t<~IP6xW~ea%NL1mp2;zFBVJ^xpmyfE4lUew{rYQ99Qubn(F;DJ(|#zkT$Z%M zL?Y1?6<$-kZz)M;UoKU1#oLuP*hcFR2DXL`Vv=TVx!!ocpx@NpHJ@oO;g?a9>KunK z%4#X9gt6s$wf@sj44LyhD>mg*yUBDW>JL1D+ZcGuy^oe#hCc=UJARnnJ#&{sPvAFI z(scK!xvsFwDQclXo95MxQ&A+NF{XD$c2%~};E#j=2(|Hp4R{PfScXzVTY|#=-TU7W ztBT|7c7}f}zUQ}9IdqkIU@ddN?f!A-q}eXr_b1qP{o4gR&ow-f`J?Xe1;2m!!d0w! zC3HUt2rR_-h;MdlB)&OMHDzuh}ALqbK^1 zZno3%x9{nVZwu5zPppKpbeebeDQ9mkHyHNI%PY!hCTex9t6FucfDbdsX4qI8Z`<1T z9s2`_2Z$WDr!3MYmE=~EJjqr~r*Jk5E(jcsFMprU36EWM9!p6jMp>3dWb*vG%YJNl z+%4R4FaE<39-ws#KGra0@McvTqpDLp>b6kF&5=hv{^%TiG4Fgs$NV=whbAaVxitL@ z92!kVElQlYNM*=rX=-6f=7n5xJ~4kw{{X%b=Nf0rcdVg=GPmA9E<5+XueKj(sbR~Z zXjYggD|Y7+EfJzls7n0WUMaHJRpm}@<=7-(So)ja*aPk{^VX>v&m-K~R5+R$SzZmh zNhsdO+Ygx(?G{}W4;VY6;ez)0eJzWJUif~X)Ve~GKG0fXRIC!JOpP}E;HkOXdF~14 z&>yBNtdlOK2#K4}xJYJIlp(Z%cUbq}AKkFXBEC$jYk|H-^5hDUd?Lize_x(GC?QOj z1mCkCpX_65bj!fEgs!4>LW@r1y-?Q`IUM?JD8*)#n{CL5mFU)F7GG*+j>v9|(c=f+*Yc8qjy*D0lQ29oR%Cf3?uHR&$ zqgd7xZWVaFho#9p3};5)fnM;%lHZoHTi~kD5fu z1x!ZF$KoA`KTbR0xVFiJ-Idm5rS)7?=~P-Yj%Fq@&1MI&AE&Mm>m41c@_fB>I?}Z? z(f}T&jrXia+0XiT{62WM`jXl^DW;{1BZK*rG(XgORB#N=e(($jBKP+;w-~D^dZxL) zLz`t)@g*Zm0}4+qfcQza!+zvkcJv*v6Wpf8CWs`F0G5@B8?9@DZ^sCl6l#EusLBAd zZQy$j*io2mYIVB+*Z5Qc?`z>ZJb~0s6rf?p`j@xArvvGRz!^0}!z1!rD_Mk}368)H zEPo5(V?@Y{8z;qMcL4M9z7XUHkx(cH;Uj~8UQh4$b^@!n1~rF=zZMl*lQ)M&bssWU^QRHf zW|NQ|WHtgRTm3f3(XR} zN0g))e6Yo~0t?%1!C-Opf`8$={46xaoy`(%zV%;GW`ilHN-D}aN=ixzYML^!nJML_ zS+*+>#?uRcN3DiFyA#VQ8G}`i4Y>w+$W&Cwm%5uF7e4mB2opwCLNJHRARWfU`=6iu z;xW;T(^gBvnnbCRB7_T)qmNz= zJl;roa&dl!wkby}Q(mWQYl4AM45X9Xi`&<~I7?ePR>qGhx$J%rdGCWIs8v>GOQ=2n z03P4l2;?SZGD0jE0btyA`F?m?RzGS(ZEYM6IsX9GtXAV|-2VWPk6_7Z<*aii#glKq zNhgbOYEiM61m-)gPkP5JA&er4= zVm}_-VcxQV=2wz0x=#czukQF~ucoP_l~GtQ0_uN%TtfWRh;HIH=Ba8|Xs2t1Vh#Pi zykj!zvi|_}EqW^LBBDS*?|Ywa-o3G=b93gwCzUV6P=XJxGaj(C8mlmkSo2ckjkoN3 zbL)HK(D+nd*Ao|C(i;Sjmh#>L;>CA%{C`YxZSvYWU5o~+^I}FjINCm)$NXTLAoTHsm(NQrh&-)<$6={qCFEiUsk!In^X=armEmQPPU7W% z_Q3S^ts99rb47N$K_-j;DNy$Yd7ERwR;C!w= zc&V2cnHLwqXBJ0}N=aFH=bF2w+A5}+r#C|cl~8%mwDqo4qbzQ0&0q;Xi9C117HQUg zh^(zWWe#665y_U9c{ldl4f!5}4LU!hokHptQ>D~e$4gb_GrdTT3P@>gL~7p(qN%uB z6JgjB?~4=0ZbP6oe?d}F;pZ&S+P_12FxTc3EhG}=F&AWL>S@>;xGXlfDn`<_+h8*z zr_;(+2Y;!1Ca!87B0r+MNpznm&moH`({oI*vb;kq%TZe9_Z9$K^Yu5iu&2Z?Q*{rB zeL<3EG+hbI=`{XQU|O1YRt-_HhVi%+RotP6YurSapO1Gxp^ZFvWf}KUGRhvlbn8DEhfZl89HNUSjZ9MMYKtAzQ^Rrw<^-&v zhtJ|A?7}v)?F)4ivoRQfo6=jjpe=((c*uunQTk≠Ga%y`s3&fnlr&J zSD@pm%XHp_siSyJLPe8ia?P03Qi?QSg*?V#H`)w}Q~_YUhd256L1(Fs8JLl{d1c4} zN#f@IpY8L;=;;1cob>lX()DUCpY*C4Jg+IeZflWelFMIzv$0U^xW;(?5829&9Yj2){=^!DXOcKLomE*#bXK_^Ko-;rV>^3!@?e(t$4E@v1_d6BE?a% zeHk1o-$Ok}Bk^8u>5iO-sJ&Xu^7=fUx;mILjItUC>nkfn5Jem+QGPiMf!Y}D2IP}q zaPFQObyYn|QtB?H=_)04+$VhLr33!}-6^^HcH{7w%}1fo!Zy>v;MR|NQS`H@`Min< z4V&lm6j3<0dq)(EK7SB5!{$e?d@<#8=xID3(n?oysLplWWTgDag(LcbdtlSyw?NUV zK4an=EU4XW@Z}k8T#PUCc#t3Mhe-Ne;ZsXcM_H=6$E>PnECGU(O;aK>d{zbCps*Zw z*~jED3TcmyFLB#QR`hqQnparprSRFLGFf2I)tRQB&9a25dV^I9qQ`lpm9O8mxi%iS z)gB0cfxm_RwDeCR>tBeE5gM;eHDgO0ns%Bh3U`uZ7dD<#F4)Mmn4+E6zo0eePVz4d z9V5~iM^&@}>dIWlDy?aA=<~T~^B82M5gC!=RfP;pJeDmRtEu=%BGxZ?$MJaS%}JXg z%W_(}e3(q~MI|;><;^oY`G<0uQ6mAEfET#9_^*5g%2Hf#Cp}0w{Kkr^ZAY2IP#Nh~o9-B(hy(p%Nh6bSVQz8^wT6~5Vo__^t~=WN zV@LSp@!OI3s?ge3LS!0#s+4KE+R24wR1u+=P9p_&qsej%cfH3XpQc`#rlv`hhO)KA zm)iZ$uf94_Xgwxuk;J$DVA(3IY3`$OUJo=^m%o z^qDPQn8@jKBdC9#*1;SXo{k|-NR)u~l>xB%6UFgibf^CSwVN%}vru$mJqaFUu^Hi~@PJLg{{Xw|_+J>AUo5+hPK6YOff2Ff zI0Z=KpROFT^@uT4j1WN|5w|}70N)58hEl>shSz<&tU&E+{$7|_8!ZfSSqm^X2b+KA z6!JaFk#`%mJR0<+rzs&9+rY3l{V?A?Y_$_^jFa#if_WT~`}*M>O>mVw(!h;_d2Yi0 z0QtcR?68*%E=9@pKO@cp7*+B=bt6uH3vAw=i^WV763HXIZk)^^kC9b;~?vVloAJi5Wz91jNE_j#5 z7Mg|Btdme?s+&!VTP~_krfYN=L9 zp@nkl^i*;fhHl`Skb8@ZV->YzUt?NDqfsJB{{XsAzo+j10IoNGk4&Rn(0C8XsCt7} zls<1op3r|V{{W|=2}OOCOCOTER>~t}Uch?u-xvlPF(tucyKdl59^MD>F1@A5WuQTl31@cumf)&o*QJDr6BGoz+H{`Cfr{f z6##rux{Ix>r@8dPPCKqNa!8<_A7f#)AKd^^`mOV?cyJgv0Dta8WP2;{9~ zL=OCR=kplZ{wbx<`6q~44OQ0um*<*`Nq^Czmn!~MM$^Sln5}EHf!EAMQetJ~k#H0X zk#*D2No;mh)k||+lMfPER)eDObE_cfr8ZLrPc~GQ6oVs%HKmjK@iz4ld<2pO{eAhz z%__=?ND9W_k+53igYx?0c;wncKGOaLI+WSIjjhONGf6X?(@$ho?*49Fmq{E(C|P9X zKxCD`Je}LSwax>Yc_KbkmMR*_$0qmZ1FVXhi$rNMZH%M!3^ibeMcTF-Rct{Xmic<% zhMH9(7F&)1_=j)&VLMgGgK3DCHURU-)Zj7HJk=%UW#w$b=lAW5>8I#iSveI{N`VA% zu>^}9hqe++FxobOyp9wp?eqHE0a}8QIk^XTx!ZdWt_qT2C@m}Quq+ihHuksYg`^jl z4oPeV;z25T`CHT5z7oeyMYl-SE6RgzE$j5bkv`a7MUd_vc!Ah=BL2Vef|jmQz&rd7 zMULaa^at2r;_RO~fy+DsFs@r-l5KIv{&3S%HxBmsmWGW2k>ETJ%|Jz1`yYeDVdxGw>B%>_WuAlA{wc}7_7_#a*P1t_aEaAi?jwE zPE0vepz2GM*J+~C@mtnzk9BV(%5&`zp{X)WMJbkTQzluGK|@VZD=c6b)+RRqqZ?U3 z-(Wp4OlEAtmnd+yWQNP_Z#m8F?ajXob3FJ$NQlKD;NsN)RxVoimQspsGE?~6~M6***@H$0?~{x__O zNjEmzqJg)$x#xf7if&BenTcr|(D1wtf46KZ$$EiZreu}qDv?rX_RASi$ZUHN?k#)& z0L~_NC~zloMw`jJLc`H)i03&zXGKMsq*A>SPevz0CATjP$Hc^pW!|QBD^v9cTGs2# z%QPufn^nD4RFTF z{6hRyXBmw(U3RsCvZ1C>^p#n3O$|W52|n9u<^;Mft^u*@xn~tqv#rRdS$?uJqQUQ0UyRJ*mr9ioUxqr>Llu>3WLZgL14TsPl$G6KB z#pYQwahBarF$KviS#jXq=)lA--L{cq2TS)R&Nm2t!5m_M{ zeL=nMYyjWruvi8S+ti~ zV2eA@$(!YsP_;x<(r(z{0cIqr1a0-P?0E-)hwV$$O!qO;l$CmCN7H2^CeBu(l9Vef zAQ;(N$VIN}FCzT+#KuvIJuW>)IpU0>?KEQgy(h1dIxNlVWNLiIM-e&N z{{T3nh+J9ZxplRNa$KG*-`^E~Su~!ZI&zCMIZ=|9lCD@Jtb`E~OJ!o0_p<|T-Me3& z+=j;_Wz#B|lVoNYm*QsP!+<@{_rhbcq_Z$UJb+y#m6+l()oI81Bhs*+^l!rWfmewc34 zV2r*p%DlPW3wHh3et2=Hkt~O5?q2pBSoZIZZ6s63U%$gvxMhAPVzrlkPcXO_H}hdO z{7ycF<~iK5#?(YF3kJ!j94{c5U;y#;{XUr9$5_+UF^la{ zfCklbZr_G8vbE8XsGOsgiJnIYRBFmtz-Hmtf2^ngllWMCt@6W|^JHZ)s8UAa3GeB9 z`u#pwiuBU6GSv0irf)qwuw{@>6%I<$9w!K;r<{6#(z%Y-u@D1(P9`+;&rCxJcSW|u z1IqD_JF8Lin@6ee^*CwbrPzvg6FH@81|x+F`rxqwpa^5!S*{6D$Nq4ttV1NJQijGc z@fGiH{FC|P(kwATwKBJ6{{T=OzaLyy<(I}PsyO4Fglk|;?Y`E>u&%HfCf!aEg}FOV z@3pb)MI(%>E#(CS1y0b{as9B4XY&Y;ZqxUG^f)xo)I}>wU7P`6Eo1b-6=qIDT>M`M zK7;&W5#dudF2s)8U7=)N7RKWD{oe>=ZsF7yQV38#x4sn1C=!)LBrj`r_qGVkAhdpK zt*>#oemvo^ba0i-FByy=C+02B&j~6fWkDFZ90mnH&IL63@B#1mPIw>ISFY9yZ`{Nm>T<(ii<_1!%=CO$>an1h# zAAD*`xT&S5k|`UyS&0@l_Qqi9L@~#$^E8QFkX11Sk3IO~=l(Ib;Wr$OnEoNsp@cG! z6uB4WtO>ZW`r81oEa(F$xw!|McK-ke28P`!sErGaw_YqgvBhLce=QW)I z72N?zK^{#ywb$X~@P9u`W44i-WHJ(<-G%uW>}e^J%x1mMARaIHckSzd#Gz-i0=66* zSp9nsTVU)UZ6Kzx@%3l`+t)BIsV#}sq4Y67dMy8HhB0ME|^k{$*qwm6mHQ`<$O%!y4&N+3>5XBT=y?TAEi`ho@o8Cr7YB|F{{ZoXWZdA=L$PFx zB&5wLr?Wj}qxB?t&O?+@5lK)arK_mT8-ERTVl979@9BKKK#2D zmn5gZH5DbUf{x5~i=V`gTQq)2qNMQU(X7IryDyrxYV}sBK%w)Rzz4uKpZ$b;vX53I z^RyE}6=aRwegm`(n+d;vU&|dIW)hZ`KZuK55%AcHeQ;{itoNvrrDG_^Vi0ayy}Nr{ z{&>)eORkDid!2{SDqa~2f>D)^m^d8X{{TFFBhTrn^G=Fs&WYyTI(l5R>6&_<`ob)N z1j;F-dLnLFoBERt-x>HxYmL{vzHCq+6>+mjN#g1o;x^{@#>3NE165Y^izLW04-Wc= zGsvp)HL06X)aP#GnfDi^(dYjyI@x z`$TF>gYbiE@By*(xW9Zc)^%&BvrJ3s+Q~$bm0>i^C4oJ@EBwDKOLU)y4yX0NJ#R{~ zI%?wNq;N@?)6-q-J`iS+06c?h-1=PchaRba58oAi7U<1KUOJhfV9Rvqlm}d+jl=Z5A%f0vquyYB-uY!>N5%5GTjeTR|=D9zSbgp z9>&E;_3eL7_<5GIC+enK_8hu*p|7NnJd|}~<6lGD?-j=%$5eV|kLXrQTTRk! zX_aS{24ZyPU{#B5H(a``0K2|zYo6ER8AiUnst1yi7@&>X*L|pYu{Znx{4ugR4MzFK zRjD#aVRx3ZCYqUc%&Ip_43H^e#Z+@Gw=oI{7dYF0!`r2O0`Rxc`boS%_+07Mv(yw$ zQlmet()o1nK#T)?b4vrXu96kz_OJwZSkxud3&h%r@l^U#BwXCDp(!|xcc7& z)wMvW3a}w8yb!={9{ut3&-mhJ-96Tw5Yu|&L}?s@EQVcuO*a#eP{l*TODdW^Ek=%ip*=O=tTzVUNlkM%_9H*=i5wJC` zHlI>E-u}Ig3z8x#9`ZlL-q!8-V~HFHJijmDAd}A~;QYVFB3$+}a}`fl8aR{zZq~Pd zpX-NAlgfIi#HaxTUvG2IrS1E+8Ra5CXO*r`Ybf|Y=jn$Gwq5lwgnx$WNGG|!Z{NNG zG1%-EB+sZmCvweI)DH`K6C=pF`KNR~S()WjU(ROFvnQiW#ulMN_BU~hrGu{B zCg>w(T6P@gCd#49>a*%PnNcFxxm!oQ?ndjEUU1t%I zw5hPJm{{_8;18X>J7O4d2|!x}3vW;~|^gT=oeYyx_dJf2$YVvV$ITkiEg=L&>)G*a5WqNt}zbMTXJqQz`J zR>yYFRU#??fTsrb+PCNF>-qffm&`L245|wN4%2_{fk!!ro2XE?1St6%j1?rvdzqm> zhP7kS_{!3^)ruI_rj9(Y(8WAw?Ubzfp&(5Ah_I!WG};wPx{=5>7&O%~Iff|I6Yf8K z@$_HDms51_Rr~|y+WSmV*3(jE6;vXU8u-;FJ97x*U{zR-;f=vRcaHe^yoOlhH|}^O zJ&E<>_xa+kvb@Fi4;&>fgM{kH^Tr5b2IJ~S7w6l)9CC_bRJJd(jm4PyVWJj_Vevl~ z_-+3HBl}?LdKt3<>lq5j2?dV_<^K52oAw-(g%VB@&mGV+8>wEy_um2YqY_=Mw)Z0D z$Lof1nSlxOXFEdO6b=pW2hSBCScrYWt_rU`z0W4r!qcb7T+w25t1yCts#|EG_1gd%c{{Vb_Eb&RyzYJP7vn`9nE<;C{)**Q^YI?k! z)s+_^`3gW?zyhpnY&hc@npB({MNFxtgn6x2Q743crL`Yhsp&*n{ z{4pp}b^xdt{{Zzw@qB#cs;P*wOB0(bTFm_4*N?=EZT_2ecTe?C!#`T)(Hdth%JSMA zt2Ku#hc3zK=*fk$*^F&6z^bx6xGmnm4?=N(macCw%!80gvtITj{Qg*tY^pKZP6*Y| z@lfn%630D&Vi+Ds{J$T|1xG8Sn_0OA+zTK1!8El{%)8+$@ehc4`*y(NFXsk9@D}5N z#}@wpY*BQ_*nLd)Uoi>VY%D?ek2n22u$f%7nnbbNVy4!)`D2qvPy~I($AAs~cjKPd zz-lTK213ox+_$x{3MrlDP$+85a~fKdHgJ9*PXhQRqwFbFzkhM`_v5w+s*;^x zSwpq%Kt1`lKA0h;R7<-O#1aku==vVmhYIKilEtqz9CeH!VgqssUc`5~{4ic~Q%gLH zH9a^002x5xu##|IKokN%8VGs|&XGRvw-B`QZAUH3GV%wFRR*5pGY<>4kLjB@uUqnMr0B47LG< z{(jaNE~dVsck^aM`jg< z7dx5RWVvgF__#L0MwQ}ZV;jwkmr#Cu=IP0NpmR+5+xo0^f8>H6MzugyhQcW<5{J44-#oH6mU;{s2Y0 z`u_lNkC~!ck0>)vSBswi013Z;#{rVMW8AZHH~cpY1@3L=KjQ|h!Oh_qGG%hy(tgI~ z>PL)U3woC=F=tu5Mrg1wYIx}>8C!TC>3zz}e_xfs;Mmhu-FWCj<@tV-%O%!T>kfSR zo$Bgo*X9m~8z|=H=R7fIaIQtrTaMN}$ilp?G+fr5pn#SWt-v69j4ExS z&J7%Nka2{aeOau$LG(K>>F+@FS6XyVoz62_TKLy8)a~|%k+SLPAc|CwC>%x@y7R)2 zIkCih!@rDy9LXZCdrzoS8d#Hs)k9+zKd-~#t@kRVKdVAGrYIT=G){{t+B!t&V6!{TZ)*_YqH@_HEHQo{%IN6lKW<`RnFS-=Osr~F`v6=5vwhVRDP z*moTMm~hQ9*UD=uC?z}Io?{%h{{XpK*82Kku3NJJ2`UAUAM}nt9BxKltCU2eNRw%V zXsNB{5KkpH3t0U9dBeO>!stWDITx~?-LS={7M*g~tqJArzi1!d>OVX_G;qS(V+;WQ z0Nl41umpUJ4`VrgAzq>;dGRC$q~UN}`_e!|%Lmea(97^7kT z0K!LO?0Nh>af9NMo;s&P^iYhaCfBeGzA9>HW6LP1yqIE8sT%MU4#0fB-v(weRGC5~ zr(+8+P(CH~zrU_LyBZc{ZO4tkHLcCQzxct@z3B|Goz}9G#~gR{wlS0WQK<;iWk_O}P+^X-Og&aw@{7f#9X z+WcFv{c$PK(Xz8GX(uvKR6!aL2?lFi`kpP1VJ%EhPRj9y04;#uYjSbyAIfo@!IO2* ze|ucvvo%6?m0ND_xL=$psH|{90HLkPK8Fdao_U}x8vw`D`u_mVD(zESQLr=s@jJUX z3vv{+#Qq_MK!q#BPHETRs{vfVnOZcg9KuFl}XulsIzSJ^&gG|PAF_|iC1(RqN-Tp7f zt^r4pdzb(N?Q%h2Nn|4Yd;b7`o(yCW1(gDo?!`O)KG@_`i4vm*BEXv-E-XDS&$r|F z;K<`K!UTk!t?IleAK$S3an2STPQ?@}bw^$0Pse+o!}tF9F=8=n4uOjc9jB5#dG`a` z9eD|iZixf#1jxRJ^WgT!pjnA+!uv-9-1=V;Ne4lY&6l-mK}SO+It`*!mA6~ew(ARn z-rt5cTw1S6bpHUP+GkGZx`U^gc%aE?vuuV$&Z?xXO3A6%w6jL5wXb#f2G9ccZVoY} zJ2aCDinTI0Wi8L8`~3ZJwKR5L;hrv-Y5bV!tveoZTar>qEe2<&XQ<4o9fSR&hh3pu zZSvd@qTE{t7i)sXG?@hOZPX0cOX&Q{e3LOTW&=2BBb{vO7)CBH>`nQ^pTsX+GJdw{ zJikus{aYnt(9_7ZG}2CxmU5~IR#9f;8*aZGcE(1puY9vKt*6NzrjnJaU&?`GD8Y9S zp}FLNV0rF4;UzUaID_Jzb5OEcB`4u4&F$@OIQjk<&v0q8Qyk*bFP%S?>8!I%)102U z#3m)&vrHe$Uy9uEZ&UTeH>_G{W{@VS$fOeaBg?CfGh!42W*qt+_xJf>Zkx9lenX^sbEs=( zsmn5Gs@-J>j|#2crbcPECSz`<_=)OgSTcmu8lyC(j)FSntXfK1RgDbD zvLd+dcv)O`{{T_F&p3g!i+7amP_1EQ{Lk;(1CDnxnQAW(AY2r={{ULDr`;ec91>&F;$Isr?l6AeG#ZN{{UF^K9I;_)AXWW`bwfWYis5T z+aO0}_*j$&?^ngJ0Ed=mk!QV6r`MVP0EwK|w>7MmrcFDdTT)9=Jao#k5^Ee4 zR(l_~s#pC)17Ny}FKWdZm=hO4Wc@%F?{bzd+ zru(_KsJ*c}@tYNB@mbUWpX$|Bu7y*D-!r)bpLQU8u?2*gX#^!fHz9?-@$~JCjM0pw z)tg#ZvI;?_p&BwJJ6w)A;^yDm2^OXpDi{eYq}UGJTfMzb3aI$qj{6SW54-pg-vrl5 z636DC0E=$07aZFa+!|aKOPaF%rzBdEE;c1P-*n3&g;Vn;%O(6R4Ei;_u58n zyHpMcV!8XmPlf;vLGix+rSyNqR+8#xQ)%*zIh4^(YAT;O)l?Mn2uyC9giSD;yAC$@ z#r4^haCk<}Xht92u-borKPR~#ud9$Ts$#GXWGgKE<$U;st9x#s8B7qDgi8$xpF z=NZ#nio8;lU?#QR*aGIWro%DV3pxznQ?oC1q6(A~suq0V>{@`Q($w zA}lQ-^IFzM8*WdgH@8?X;n0E>@^OgL@p56^ZosCw6!mUog>w5 zh~^cVOCX}8)cIg9Lk%??REY$L7?>QW+~JnU3vRI>-@WlZdw6A%DT~JO{4yh2vvF5lx$ldgQ1`!?<&BM;1IErw086b~mpRBWbe9KB`jMB0Q>nznVQ8|qYI+nZG z0b)h@;~!IXKT*0_lU6-$q}Q5uoQ7tJt8=I$a<}9tX9V-;K7WVHs=Ca-A>WvPHmZ*; zsb%t7oL}PgQUd@OT=Db6-jeYJ(S0G3RAsfE9x`oLQ#DTLb6oE=%wdu>u)Ka%JxWE~ z9!i$85x8&$rjIl+<0$9BDLxIKuDXliVB0 zY!yPA054*BEsvjCgDTT`UZI;U$+bLr)hsNwuc4-rC41PAq3lhGBey;KTNW;^@nc<` zK`UT4diVTC z7zIRPo-)j(m=VA{`g;1}Ri-pgNpl@H4sEKstyM)?A#XD&p~zZyj>LuB?<8qvnQj3G*8%IX%oD7dp|hx<6{kL` z^Zv=WLe1tex(2xlc;J#puWU~=j*!sWW|KtGXPHK*&ND4x1a%pDWtH*6PaCyKi^)(` znWRQhd$0hqlVNL|amRHEo=ysXRb=%VV^!w5K-GED_UvqRt_wqNz}f@f)(X$z}rkSOQA} z{-7~9;}s?glW3sSJbPHiL0Jv=a9hy#{qutDwlgH?NL!l`#ywNF&tR=^IU9*L1pE5n z5=j_=Oo&+TBWXMvpUVQ{Z0*jDR7kL(MBJj+W8c&Md;Kt6s1>90%LOagjysM!U|Yhe z^CR2<;I}sUes~Q;>|_lmHf}iVc{jnuP^ZbpmIZd&;@iFfeh;bo{{YT9U4;9`DpXwh zZNW=pQYCkgcMEQ9`QzK!(70{31LMC2{{Y+f_?4#~1voRsX;rDWFuR z%<0-WM!_P7EG$Pqg}r}FCR-})Veu8=eZ}p6Y%imTEYQki3g%FDlg{8r1GTxrXBD>C zxhji3wRmx)q&)%Bax45Tky8tve$xVOSRzL=UyqN0h$JamQ%FG- zd2M2Z1n^Xewl@TKwZBo1sPq)7spZWFt($)!AC?t3mv-X_Be^&4=z09HrHjQi7^0U9 zmxX$tHuTT%!|@lGh^b`Cvg#554r(jb!c&+AJx4-54=LF0mi8)0fTI|8BKOfHo&siJgkSXiL&^5pYJ*%{uJOhH0DrC)!A_ea zGsLp93vxX>{++PB;EtUMjS2Wh^Z5E27BXRV>)lu(`LX!u#!dMZ{ z`-~u~Gc-0o5GUbv;Qer{b80S)r0oLon2Sx%s3i0M02l>ClEkF~?Izn@hktA)`*f7i z?VIlV;7A#l&E5#L#m(=7jku=4eTZt-I;JS+Yb$rZ7;dOZ>6PP?mEUzf-@jZt%M5JW z*o&UwaNAVdXV}OkLw%q8C-MAn$l4%nXr@|!Gno{hhFQJUfilQ2RhBoWIusl!4-uKxhiL&B0apeMi25>0atky0T`gqw=lA;J=cR?YiQ}eqoVRA_%sw~MJoUcU*q&& zOhCwrGK{Kx(zZHkWk-@(f(wuol58$X0DW(ZT9?8;sBW*v(k)G@a@q+hYEp_k>NJ*W zB+ObJ=}7Vd;8y;5cDx_qiM&UfAAR2Z>J#5GAJu)VqDsWmZh0qoh3WWNBU;l7%AOw0lqCC3E9qfth6>|Njx1un@>~J zRJLcFR8~_*O%zgmPvqVQo6Te(v}(m}K^$V7>Mcf`%{*D;4+SQs$}-sHqNjp=MVLHw zQbfN9m^%e9$G3n70572@1xhP{@Zb(_;p?Q?$46?ql|_(eT3&P&1}U?;kn!)1+aqzb z5+q_kDlc)x`Nn<{LXs;qrscuiZ}!H`)cRvt=K20(P1RnJQ_<;KB&uc4Gc4wMjnN{v zl`@u?sVCtemG!r%#xIZ`wO$1qcMu5|Cg$IcE4U~M~B?wQ0796#Z>Xv)U0yMts@iU#2IC6gc5k;@x^`Cstopz zsCAV(m!}6w)zqwWwomp~ghmRBFh)`L$SvC67CEHSA?0P8;D@C;i>P{zr#hm}wG9mx zQB_SfY*|9b+#9+1;&1#xGM!{-a(c;_V9K)@r>Ao&B{7*< z9{hr)-|6R*gL?ZGTOWq{e7mOIHK@{=234+}n!7K~vlgh$8!-DJQe?bnv8p6x3fLXS z=KS5sDP!Z%M5i`KJQQZSpCa)Qrt?iDqfUKRW|A-EpKM4COm7n-g_Zb2X1FJSN44>F zXMG*bI%m>dd#n0k;r{?q>A5O2xqJRl&{oS`F&6PT9 zopm28)|qu@OV+(};V_FX{#g@AJ4+|@_M#{yiQGT{6VKy~1+M=9htF1W&kYoPd7m=P zKbzB0%U7xN)}f_pdYV`j+%%CF_^uH{lxr~zO5VT`i>S~P*_ocT=OQ+QABj}mxl!N0 zw>|#=-v%}65rmEw#^4Zn7vNv=!rcp|q}H0hEz%S)=QEBBv_A5 zdHnEpQ%?0&u~Rezv$5G4Mo#N-ZU#PrukfSr%a+r<9&N5QbzNLgmSkMUnj_7^Nw>&j zYlG`h7c%Cwy+rWqOHo5oe$h>rsD@>l=gf#%PTOCQ0Jj$hk4#!!BF{9gsL(lO zUU`_kQr1!hs)R<4B^y9t$>bhKJon?*9*;@z#!XgQl>3U3rS4#AykGFiwH~ERebAcU z!d5}h4KLCDwe>4SYH9TK8hNl#NOMSh<#`=he6(S}kdAHXYlDXjo5W9qeFFag%(`*X zO2n7Q08OR3Z}wMs?|XT&MF3!Si=EfwoKb!?I+Ih<-18$|na2eT6?C$O4MiWs%E;Td zSo3GKy#>2mVvE-PD0;W6WP)nk=_C6sG<4ClR4_){6j)zk3!7T(L1XGq7+$+eEd-n< zx;4B%C*yIc$EIGn$4ojC;ybT7-lLyG^){Y-)~oGxQq56C4J9+O5~Ap`zsIzY4Xu9u zxOK}qE2jKEROQuhWU=NHRdp(rbv1Ct^FsUEXjUumt(b9cOkMNwo zY9y2GGDhz5Hsb69fLh|?fp6au4-wuZ^W9I89Wz4^F)d7QG*HB(h7JvZ9Q^Oa?moD` zi{ZTvx5Jisci;a2qPBk#)@k+LL_aS?U&jwcGQAs|WnD_sIV^N}erOFgWUvdO)PP+d z;Tr*T-NnVscL3dvGrnTtC{5&2ZDY^n+Z%^NP*M1l@a12ka|KaR_FpOqjkiTQo5bSn z*bu6DsS+mvQlMZE?M*?^3BkURzr_qZfUe&0ZU3~%0n>PUL;(dhCC zD>r6z3Xw$0E>ma)8u$5;$LG#GcDn=JxcisF8F6OPJZ*CqXub0tHPG#OnN!y5%`His zO-BWxdWs|gJ%KZ0UTOm8FfURc|8(+5rTP3FELQzpg8tN&GjmEW=UM>I|o; z)ijdTRJ^jqm}SW#0?b%|10e&G-sk3VMeb{oIfIEK z4SSua09$;BVlCgkA`c^cqV9(VlShYQiAi*K#C-K#Y_6-#L{Uea*EiZ@O90I0cIzJJ za}$65ChxnNv-p(wW}ic5%EJ!<9c|tA0TOLoo8oG-?(#>VloZCQVIRjNtRb{flEj?wO z6?PQ^>N|sf^o~IooOtyRmkWaz$Fw-SPfs}2F4xhY9W=yo)#|z`Iq8-~mZ?yw`JZo3 z{9!FcbonM>4D=y)APNC*ym{yQVP23)BGs9EEhhFAh^@HtP3`!cH0O`9vAoF$9e}@X zNbl>5u#0Px!!;pwKB}`PSGsz$F*g#w()a&9`+;G^MvFTl~F4tEYbk#7>(o$kC);`71h+$1|(Fo z2R|3Yd;HENuwbRZ2uEeH8IvF|$sFphw2L2z_iub@9+mY!CtiZk>0L9U zwM6=!hbhgn+F_LC%JlNJY_YkmF25g}9BR;o0^}$gfbDYxV&<&M)J{#=O?9rMw^%hS zt(uC}T=uHyC;#fd;;5)gWBbbt34a>2iH!hX5B!g=%%2{==2{ zj$^O%eRim?%w?&UNz>)JMjG0hSmUZFV_9YlOL^+<6-DhL{IM9<{V&kE^H^7FPOtc~ z(eP$fLN=+3P30AJ!0n76*aAj_gdA@F05~s9>+Y9y%OOoWrTj{DE?Q`6+GVBFIeat` zhGH)0Wu3M$Dz~ut^K3^Ee35LcyhP+xxd&WzK1G^pDKko%eClvpuPnV<*~ky-^x&W9}*8jQ#I+CdZTOiT<0_y&BUxUoX$~H%GLd zOfzs_B!;SnY}#WGEWT^3(-5)l3Y-cey-M+3GrCWY#+^X)UE=AhJlNJ(_gMM+0jr!?kPCW5kR zYuZi0ueMRJFeujAM?SFbp!k*R4^K5T+EXW>(fM2+OjFQQY3(~skX zeF(j+a6mdN{{XN0w@%P!dh5h%>EWBJe1wmSr zdZOpigDD-u0t&F;h6Hd%F0EOd>i(Ve4=(91O!C@a zn?TfSk~f)9uqdn%tS0wn01&Oo_*D1AbFEsmYW*EWQJ2wb`Kf8Mi4m)H4S$-gD30bc z7*G+_Tv&@6799Torrb8mwzh39p{xAa>W>uJx5%puh9N)ie zdF3+QLMgB|YYq=Qj(u>CILs>*7Mc>J8-ruXHud%WoO6vJ!Xdn{gLI z!QlH0B&5zOQOwm4M97DfPDuHmZ*R{DsOjNVGI?CE0bkhP{(oF+4+X!%zpH*M^yBN= zjL$FA`3a6svt}8@@}&zQsJGJzsWR%SYTvV0LJ`(cbSrIy9^Hk#N4`G9_%{9%z7%>p(_KTB zc&pVqvV7Mw@_(1NPiram(SggmNm`*ChK&l^HDTt;q{yrSQ2r=91N6sC^p7^`PF2<# zO+fiRY$<1<%qhOfnuTDVO-u!M2C$IFBrfF@pkk6Rbr|b%g;7rF8mdXA4Ir$x(%zdD2_x zZQLF~+gg)gz}j@ zwm@&s1CY1l2R4#KsHzi}ou+8vh?cP@b8>$R{_llZRWzw8#UhU}Ta`98U-tg^?p;ed zhMglK)69UGs4*fa9LjxX!m2xg&Jyu?`x7H~s+yWqBS$hk2z?;J0(Lg;PC z+T8s32OhyyaMe`GBqIL+4Y`uo_9mh$lOv=qu#{v6o=Ei``0TL2%0Xa+cH4pyp`&jt z4VL!}-v0o*W0UNai>DF;$Hl?LWeBc~_^};LBomXdj|bIw{P2oMpD&EI$IL?F4p1Jm%qQq!cUWWUPG{jt*J9U+(P6Utf9`1$*KV2De!rJc`UTaTao;Z1S! zrn};COCq}y@eACKQO*-f6jOXG_F?LvU-82Cst&DX zLJJR2PredP$twWJ0J&ZF9QW^zhUCC+k&iHjNZF>9NxvbLK(-pEhs$Pi-<4tyasL31t?`L(zQ z{{T4j7+h%Z_$Mcc2AC=QpNT?BSTSw)9=v~?bs8~JuIK_=k+hJ1f8zy|2~Gk^NZ;5u zrMqEN87*Z=MWLj6mg35s{QWTd=7*=j%5;H?gD3*sl-!Zu(;e9fZP6yf+yZ$v`40a8 z`N7qhZf`*xX1gk$mE}JVn+qvxU$7&e!w>pXq+MFqG;dj=`g@yZktCaBo`!+s3g8YH zfIzn4>*_HvO|gklA5^K9Qyn=i<}H;}l6kd<&k~&Xq#Z`n`JPvlW_n(u?RnJx0(Y3Q=dB0S(cA~DNVwI1k@+e-P%uXFrSCYj+xDD&x z!uG&gYKADuqL0XO!&8{WN@rE`CEBbPwjwZ>FLh1ZAql^7of{3 z^GRqaWXxeT3Rno5Xxz0rZ$d6jfwlSKkI1?K(O$B^(0FmxnbkI^%iW36n#t+lmoSVE ziK(SP7tB-7mfVnT2)D&><^5CF9W$lNdMB0F=DkPORFo?Xe04g8r|g+jJ1i*!M4H#ni$dq!L8uzfHK5I3D9@*)f|a9`Dm%kW zZy1n#du~Ulu(8EM;(JbMQ{p?P@N4{{qbsVd$|)q(`D12tRT?n$a}urQJdA|IRFwqS zaz)7{MrGG5`=nF<059rShrK;PrSo87%@a>lN|h)`VYp0_7DB%f1o!8VdBO~fQuMo{ z74l}D9<@Q%y0=j|{zX}wQLDa7PQuORql-M@u7H35ux>a6R!C%q43) zwdR(Gr8>JxQ02AN)zx$9eLmLe@^xxlmsd=I7Roj&Rm2%Y(#FAxxl-5eM&F25 zTyxfJt7OPFNolTu|9=D99y9%B?$aPA~K#7@HAVv%q!`eQ?QH}H|+8>>}0 zF1+!x*LtXGHJyCpGOYVU6F^GG<;^HSTE*n`+MyMVz!ns5Uo+@@4EU1N`5%SNZ4Psz zs`Cn%sD*NUqLVPDSqg&aKv@>yO0gS&waxE^`KnT?q)oCvk@#rQojTLGF0kvzUCxiq zvv^jwC&)6qidy>ksrWI^GNY(~IUfbh$QQRbwEBsz`b*-cK~nS;db-eRRjh)ti%{qK zT{8IdinyX^VoHhDS8dFVwOp^FkBY+#UJ&|&(~Rpn)IDqQ1szUzsH+(N04Rn{f{SIo}KDnMEXxZPjf0fhK){3E@ZW-T;`%^rjSTN zvJh2x*c9yC0+M$vXOf*)AAWxI{{ZYd;>us8J{o`e1!f~u_1i~g)%uxgSY#S2C(W9Y zX#{Sn)l<~R@k0>jX2W+P;D8Ah=CY5ey6;J@s?U56`TAETs8N|!=eqYZ%|6KtqU3IR zgXNY8Y)X(0-sFsCPYs<_&l9Q(tTm={Uz1t1C!PV??|k{=(m$H6M+xqV-Fr2O#P%O||w>lb^T$04uGBIigodBbVb6 zZz#o8Jib6Y@3{nljZHk3+Y;3}BmN%s&aBb#>lwP$r}ZpdL8?gQ%|ggIf4!%u_bWXX)x~TgGOE6Sx5FJbediTaTAEKS_F3uC)Ah`4iZd8{)>BtcG`?l35bR^X{-Ta=*jo0+w}VjT6?Egvt7b6* z7Bub~)R2EKZut7Ag5s835Xhz12haR-Bg3kc68zkeKCt*x%X;5Km(%JD?!Ja>u_ZLk z2F^q6zV&c_>>Y}p{{V$>KZdO;)P}3-#Y8dg21glcZ&B(25+9c&9A0zhwK0c=H)Tf~ znfv_v-1GbTl^$|KlzL?{h;t}i7;cU-J}Yy$fp5?B?Tr=S-dU4gv=$1cCipLF zw%#m#M>ogIGNm{lefU>A@nyB@Zf4i{-0`_Ni(Qyo@rGhza@X!}{y%);gToclK9`3(mjk5v-DJ*Y^X0n{ z{;!e$0G>ZwB^A{#VJYt12CyJn_aCkbiOQ-AZ4K$ze|&l4Xyhh00N8E2AB+9J;}hkf z(#q00XXHcx+zqZj9^bAPQA|-Kj^1YI*>1zRw{P)=wccEBQarQC1O4#lLKAY+NQkQ; z+QIu+`~11YI3>YDCRsSN&yu-7RO%fiCzrNG&ekw?*w{;KHR@3l=F$}8f^MyHM?J0Y zg+lawOs7H_O6O?6FgHQw_aJ)v+X!_`s}^}x<}n0F_xbX0af0+dDV|MEOX|b&YR$5J zn2UTQ@%eIoxO7>E#EegHOCJ5L+YA{jf;x?_7*XYb#`oinaPQ{Fwe}OV1>?B=-q`0K zVvOd{d97M$c35pAf?Lzl_$FDzq%tk6EH7?8ckk`#j!!g9+lSr@wU_`0?)U_*s=+`E z-Mjw)Ve5k0k`Uy;$5OEy7*66j`Qw6C8=598FMIue@q&P7s$Yu0J&(*_*JP4HoEE*$ z&*k;`D@doqW_CKFc+aQ~Xs_OMluI36O{%lGVLuH_rCJ!` zidHODhYSZ{VsD7mPFS?j+a{g%mHz-{CK1P%ElH4{5V}`5>CR=9z@1&I)fD;GP?>~T zl(M)MX{11^OtN2u2KOI$f(5y8)EcKh=|4&|l`ese^7*wkR&xsdLlc&1n^0|q6hNzxru-SdLli}~)-iH#d ziBWj;>5iAH$TSv{)L9-^R>h`|I;Kh}u53#KSW#5`Dt8MXgmcCcp{>uRid@S5c)!2( z6&#o_Wp1q%uU_Gqb1aKD&vMx5GrYqfhNnEIiZ3el4DxM|r}|ysSRH`w#f^buhkESp zUXbWrHwK-^VwX41GUJ)n=JP=u+hR2m#F0c89jpBk7;;$N=EMb8nD}JsRNd6ee)K3NR} z+0LG)%riW;5LGFrm7e=n_eFI`jHH~Z{b@y)fJkTTlF)jTAwnllsuBr>UjR;($Qp|J+qxqh-w^i zxj>9{cUl^0o`F@s-zl+6KvJbqyXRum1pKLo}f`CF(VG3n15>Q`fWVO)JxFK_wip)6&p+S!}Xe7-5bWuHj1VDHvnT zu1_bvC3I8xv(q{(=(>$T{F;aC#*}|G&FN{Sj-B{0i5f zDyu5#seHL==2*;0B&AKAf}OjpE(O};Ul938-ri{pBw`5q`ux8P23aC_wm?)3+}Lw* z&%P~AlvN0my~7vFsYd;>nappMx<4Yt0K3-xUd_83te&aSHrHGpyh+$sN(kF9s+B-B zE&#bv{)oc@5k~+4+?FR4=&rfGvC;iJ&>7xuUn^wMh+u%ENev{QYiV}Gu_$I%{*ieY z?<~##0B9|y7fyA5M|Gz~Yd*DT3F&kOyOhI}=V>pQ9cEW$hIzq4OlBD*4#9%BDjR85 zQq!o8o*63_DJ9Rl^&hB?Y>L3D?T{EgM@)Hh7U%JsiGBrHt;}$iZwkd`;`O z*2jxq73YGTWve=zl{#}>F8$EF>#oq3b$YAl~Aps9+Y7I|x_XN;5Z62GhA zFxp0m$OTX+ibR0$Zr>2VY9w@$=*Ho!!qy~?2c|pb>{V)djjRbG=ZpIOmi_aDqDlP2 zXIHyek-_<#PU=Kb0X*#_OD)&}PY!v#{{VkX4vQ{#n3t5w} z6Z8H0;8Qed2>V1T%fYaMB3n{tBa}~%>qmr|RYW3BGG*FG z><$*j>lA?Ba&|X17r|MBmbyBr?oQHd1{nsEx)$!ZBh@feMIe>~Zh0fy=Z@4)i%I3X zs3cq)e6XsaA#0=$cK-mf-SCGpqhLgaA8pngTMcb61T&`Q1*}jD@;JT{tno@bw}{*h z5Ch-w!SxOz4IIi;gKl{Iu-s}HgF7Jz7?mLN{qX#5(GR1pu&l)-Ac9Zy$HimZVY@J+ zm|mhgI*>yf9yvH#&?AqwM=(;yvkTbv=N@SzNW7UCTh!aQ{o59C%bp68y^N%KiovT3 zM#WWX8CPk)<8P=xo+7a-qHc**_JQ$t-G1P4iHB9;cxz>wcH+}Dq*nXDvA=Keh;1s= z!yeBn%WLi3k57x5k~}sEN#dvO{{X4de7J;isSV$893T0{pzt|7bviRpWSVCqk1pN`9>98=<4}JN?F(J2HHB_zTdegB z7F$P0AKIeH#JeiKE2_IMU=5ACk=v1RZV-!h$0kp@*^$$AK;)ez)`vQ&YK?a)luZR5UlU2htnB3O_-so7 zeb&Ea#UZIQCr)%`uGO73@f#tHNq_v|%mQBNOz$Z{B{gMTQ$E%P*SRDxw*(#t zC({7&XG^AYsC1uJ@_j!`lvHZ0h}7qFIdTQ3dbp}3h3Aex{+H$i49j!P+q)aB%4zgw zX^_-(=R;M=n&#D?(}AI)Dp(Le_;1Gqli&Q|m&H|1o5-?#A<~SiJ6h`9F_Y9mozD>d zk*JoTr3$A10O-2|^EUUzT?De^#V3~g;`^e;syL$7%InaPcz@0+H1CHVr0NW|v8bxy zr_113;yYYNJzB>ih8=^eZ6g*ZOf?NwN20Rqrz6WUzvZ=b>a~R|(-~gm%1QL(00*`G zaYl5PIjoPM9YV?w7K&;rCXzH$$VtHjbMDu`^d@(jWqJv-Sm-NEw84!%Y7^z6TeYpt zi5-p+;+l1uFjvBT5AgiU3v#QmcZvE}$o2I;bC%S+b&^H8S(ZX1Ykks4J?(C9f5>7{ z;LodBK8DoQHQBVrN{JDqkh^?ttk-6_KM}Ye{gK55s&$rgpVgYY&oY`?hrOkiLQ0R> z?}zS%{NPg$756cms|WRg~ARRAakqUA|q%p&4Y{xi-~5j4rigT@!4RGS}LjwDgji$+E$m z&XjdiNKiRw)Y-`qrGo>sjxBSHYp8SSGYs~#G~s^HR`P*kVeygx=aI<9?Ee7rb$Foa zSAuMdI_a*Qk5FcLwpS%g8e=b*HFRQ}G?D;>3%8bx!7p|Uw&#p|{-{cZsgjm>B#q;c zr18rWD=P!pg8)|DtaXx01h7t{W;Y6<8=$fHl}Qo zOI1@<#Y-%1Ro-C(G-mcc36WJje)0K{KzPOJr7uo8(O(WpUX>X}VJWK1Ck3u!;^^1x zz~7Edi01f0ah9c}>=xR`Y>M>fSZ7%laSb#z&S08!yf1PI4Z~l!Bc4a&i%-PN6@yM> zm2I0;G9yVGkW^G|xgapN0{;N&{hTfEDbNm?bz?rS)m>TDQ02L1OA<>RB(sR#woUff zxA>2(!i(D0L`lG0mm1)FOdl5c)_ zz~y^0MSF!9?#H=wj8&B9*~U#&YO;L7N_kk=v@Szi@a{3E{4!*HOX`0@wLEz?MVMDU zQeIk`;v9vJSirTx{{Z%P{7=smM~2M1r}f=d%4F)7oVg?wi9TZ#On}J>oz9E9;Us`S z9=@3RF_!qw(OOTY`M!?m23&IOIh@33sv@5)jyUImHnIS>ZLF+h1P?~wo8J+rxW|sd z#GI8QAZc2-pzx1D6-y@Seyl?%XJs2x>^+Gffyxp-n7cf2>b##*YmF;S{{Yasr&U*Z zbsx&8TDV03Vdb-y1BUE5Bn~Z%^-rE6_O56Z%vgYcQ^=!%{{Yo7`g`K* z@j|*%O)HgZZ0lNPISyk%I(>$GsW;5-{{VV5jF4L6*_Oa}wehElO+kHy?B>YUjp{C^ z&Gkiogw$OPlm*^cJE`yd*(N+@;bQkc_0{d3mXRe)|-$8 zzDF7dp!tr9%%#mUNVNuYm}gZGsjYnZEi)hk?sp|Y09=xJ9k|AJ)TuQuRP#4U66u}P zZMxpa&2B}_&A-kp-GtZ5Xr6`DNgr3Tc%*%xRZge75zK@O56kc#*w{2B7Mvi6rt%1D zTKroW&!hE;2UoI{l0+!g@-SfALEO#&=hx!K%*w#~8u`Q)97pi-dt2DsA6ocr#$Fz% z`TqdKFkg&KdjYO>b`e=NvViP|k8fXI4m#}`De}O#a6QjHpOy@)NTXnqpxa;=s3hON z<$x`T-6Git=Z?hsez^3pTaoA7nhanZe@g4kg8{)kyZ->=1yw{Z@d6J3oyUWI_VxN< zLj;(Z!vMRisxN!`AD%fZ608Hyj^rC|cDCd1^~X&p#0&X@a*`EGp{{Uj3>3pSQ&0@9WN@Z)F-*^j;Zv1!s zaiIJlO0#ti2UY=$5)ZlSU;zWz-x>U#r8Z<%a8YsgyJNTY35Xu(wk?MbvubGB7dc zGTF~Rc2jIKKxKwVritQ_S#B;0yAJ-i zpTpyKs`&zlv@V~~`gK`3{z;^%BW@Pod7~vwhriF~iaL_1p71;|nFNOJ%lAhE)PH;^ zrevNXXCNvIF5c(;@aS4berfY)vULZGFAV|d?zM`?DYxCTb$>+Wrnq*}T&wHD2#NV*(k51%nQxH{C@Q`c| zrO)Mwd%Lo`m^RF1gqdT00`0l)&nNKYVLX);El{XsB?ur``}&*)HYpJ<;IJmjNVypC zm6o4!fEfS)_P=~BB#zz+Wosvv{{R{{`h7s}j>jQr#^bev?jW0$9l`u8FrNEKbsRq| z0Q~T1-dl-PY@`pLAMOqrX&q;s+)lDAR~&%0CjP&N)BZ3EN*$$=eT)YrexIfa_>vuq zea1`M<;Tmm2JEvSgfLa-a6e05{xKJ92Hl0ttAbeDk_E5(z5xTq zjO%c#Vi|?5xBl4j!i1QZsc*YwxWCfaQA=LJEFGfcZC&5$ z7ai~0j3SXFhNtaK@ReX}4UNT)7EB#445$M3UF6>X0ENDI6H!!FzmpVB%m>{GZ|(c- ziF4YChDfhdK=d0gt;}`yMU^H}(@!N#RheYbM0dJQV-FYu?^;ed=I4$vq%(f8)#WUSe#Pd!{fwmL(%`k%Q5;#JdyDP4F02Q_%Wy`}aiBa$X}o; z*e%#xix%$u4h|7HRBbXmLsgqm7;WW8wu+-R)S7p$`i{FyX)d4t04YgxI+?QEyi^bU z8I_fqo-%+BA!TwRR=GuBtjt$&zWQz8=d2n6rd|5;M&=L-c&aI+&5c_a=Z(D7MGH>* z$k>W6W!~%Y1q{momrwLhLgzV7py+N>sUVb5K{j-{shUw zM$ssdcI_bXYY(4%LOMmGYr1WqXmrk@&4q1LPV!Vtip6)lh4zisV{6*>{{R@3M^{g< zL$~5KP|Q7Uf7>2oS(#?fJePL=0FmnSQIv2)bZOT|q6K&Nf9RHf9-fy;Dg5DZ7?X zNV9tSW7tzQe9*{Mp;q=PeLp;V5TP$ajB_SlDK|+OHsoB4a-O%7PR;-$h9}z&A(Chm zTVYYaVa=_E`Lf4xyb=%0dUwSr&9!LUN|2hO0O#Te%2$jwO+vCUNL7uSkU7JX)6Gjc zmD)#5w@`g>2v|%BKmjXm-LWu}n&gQMF;65x=)&5^?=`vo+X<&C%)l5j~hO47a%+xKQf#2u|@~ z*-7nho)a}xfl5pi5TsZR2*VI94Vjz>^ybFo4~vf2WU7uj6csll5N?Qld)nB0nn==1 zCw3MJPjl=rlCCJHhm{_ifnQu$#`e_#E{3{wd3VW7YyyqIo(JyOJ8lPlDQb0LAz4SqYpXA}ZvOy)!igzlLmug3m83v^2jvaOAo}}$V;0t3 zy%rQ(F3zL%`=*rL6tC##Oeu9GQf9fF6;*kTq^XVMv`h-B2>4%$$KR9n!#0@lg|0G9 zDMh07mXXuCvYRa%a+$S8Sk)6{>&4@aP2l-<0BmcEa4akZeV6H(^U8HfT7;HYwWISu zJRWQhANaz}YtoH(qNwTftyQL~>1lHmX)^k$Vj(J(eimrkvsmzL+l%+)R%gE0RU}vy z9yfZaJrzb>rnJtN(~;E0FpHp zb!M|pRK*Q5tu|X8Lh{xtk{a?zQ*R)UJ}xdf7Pbw`bVuWUf)1DIQ>XMdRJ8qTLoAtQ zLnrkja>(r*tF_mTM}MvTeQ$1r^(&^d-U_NZE1z`Y3cT8@HG0I(lEv9x1y3o)-c%J* zJ*<1*jQ7(HqUcF8eRrfXWlW+CRg+gzWD!-!8W>(eh6ve@APz;%`vKhZHt@||nPmAY zWqRK(s99*UAd|%)AJkE_kfz*=4&d9K4l+DNHGwtmYH2n~O%#uajTe<^ja3z9M?z^J zt6jpLHY9K?U2b>;`g@Mplz2qdLq~N6k6C5%1k7^Um6D0W{82ivD#GB4-pmJL7T7;M z(Ambd)b(1Qt1nlUX}pqGgjH0=BWch%DI7tqxtiVk0B`MvoiC;|&SkGCwSPvd9WwQ- zK~;4Qsahv{LX43 z&6EKn5~k`@4%f3-cD1`3V=i=?S<&?SsJTwFdN}G+E3S^C?DcR5NeYnL7#;{Dat{Pt zb|)7{RrGdSt7@pLwLZGa@@&2@f~)OyL1lRNE%ry=Piqdvxy5a*IDC93OBoIxKrM%1QUg{aS+5IQkmDFY&+C-9KADTY71# zs`T|lw9~T1m)FZ0qRAUX>Z}+r8wnln^Yz9z%(5MI)ood*^~Rjibycw}l2z8rNeC*U z{F{I-#PeZx`EiSHM#G`0dRZ1zn&x?hUQS?;HBMOqtjvdTV2b9zjzH(r@WdCWU1+Y! zXy|meLTR%cmmr}cLe5nxYp1=8vy@__TEHhDaywWB>Ej*plJ^T&j<$V~FYxWu%}c52 z4wtFUXZsFWLefV}CSa?~Xh`(6n0tKi->o!0dDgzF&8J|mNMOrRWXv-Pl0-DH6jIF3 z*+sb?tZsB;;Pn-=XbA=aKaz8QVl_JzJ)xT(2+GZxt)lDVjUoK;6&6dw;ep-mK@-4HpYh*VRP~vaE6l zBw^;EUPD{BzdYllqV*7LED@{{LuRwnJsizyVaR$FsB;z0vbNb#CUH>`N18C;$q5F@ z&o*ud^x)p*$#tDgA4l>&mS?#pSp{xISyfRzeJM#Ae?QCk8bo3kD2NLK!7Yr1B`BJ# ziYO(Sw_Q;|Cga-N@o!9A9VDrmw@gh>D!QHEZMg)JTle)iJn@X0k=PQ9hOVV+%&HjT z=~jYg{#6EH=hoL$4~n*^-FN(zcNJ0Xa<TuA^z}{{T_f>0M={#Uo3V)hx0@S_vLR zs6(}fc3XlwcHrY3^+QW)-4UI~mup=Wn&fp=bgN%UO`7HM(#t(Nu?ae?s->ePUu%K1 z?LUL0ekgj_lrhjYv~`LYglH0q1^5R56SC)zUjG0*U&G8%Rl1u-qs%m>WIBmk)GWrf zpURUiS~_Z2QpAnH*vQAZ_;~q!F_1N@sp@uTQ!6O)^J$q_uPj8S_xbVuFg)j~-Ac{X zq_5X`t6s#~xy8lr&&B#2G1AF2xvX;3I>^FMNp|o4-|>qvbi#bbjVsbwBt2Nlyr`FV z^9~sEW%E0JBIA#7jjfhQf}LD|+>oT7yX*V!+ZcbO(xe?#%Or@F4VeI?Kv1jZ0mZ(! z+cabU06+sUZbir+cjbS}A658Gr{Sr6PY3ZdRy|B>*aWjXR2!ocyMem>xWbxu^9m^z z-gq{>><=dY06)(7M$;622gIjoM&{=HoGzqwjuaCRgkNdD9P`iTk69Z>mb*ddn}KNV zSySO)I}er#l+Gb4U0JsRe8JDBAE^9B4@Qw;9#h;BMaOI46%MPp9CuP%c)|$8pz3yAD%=E|jilHT6yKkn?an?)kv)grz8RPCk~cnF;J{AMp;wf* z7r~1vGleRw%jicV2{P$QzzsVCxbO!6pMG$1N|MdypNW-4!B0_qQlDqkaPTcc_Ypjz z*8c!c7snssmDVO=KsNa8$EQE1hVd1UW?cbfyx%lF#}AAb9ry}-CCN$>0W{#ZVZ zWb>@0Ydhkmrcw7p%_Y36QIsH+NuGgWU=BmqkDEExQH212UMOVXxrKGFdzLnYEaZ?(`Ndnj1+n(c(muybw(Vdm@ zCky4uWSBP9b9GiEUf-rX?4ngz?7p@weZ%GNg)w9q)l7bG^0)NvrEU58963?+_f_U~ zQKc;aXTKH%liRhgdwX`kd6c;-OEx(Ap^A5iKM*i;_pVL7yM9M)akeOYF7&pNnM9cpnZ8`2F$T8*a%p?j_DIm4a z0>kjc*COzT*6l>9lwCi~!pH*0G0UY$*!ym96n=l)V|!>VB}pBnp`eNgn!#d%5P<<4 zjz6|6T1Z7SDFhIx9lLXJZ(n>=$*#*MbXdpXxvJ%p_Fv?{nrrxK^}jT$M5@bZXhF5w zw=#`Dyk#YGI$us<-~Soz~$MzV=xNYE0j z8pt>UxA?-yDtE80+Ey(xuXMC$Urg?}aMT%n~W@z#BmJ`4ffDRi1X#pCe)6+B|>%09t>3f2jw_JUjH0 zAcxM=JuuU>?2Wt6{zn5yw&wfF7W{9A)zfj+(~35rr*w?jVMrHf1X6x)v9mK-Ff6*76Cu(%9VpYQwk!PL^cDojx`0murr zD0#R(;{!J5*l8%LLI`q6LGo%4F$1}4U**m4Wj0iSQc0o#p>nnz{{SD)3f{Szsp6FY zUAr%{vAGxH9ELA0Kt!7tgXNaC;y%5`0#cH6&Ol+Jr-OSugbkwI`+HmWYzm()hB}sv zDZ3l64RQPQ!L!jvDDz`~iuWD)Q|WAaH-;EeS;<~V+-NnvZ7bNJyj)bSddh9c|=wa0&N`ND}R zooEZvByK$J=u53qPZ&B&TuhRpbrf6nn zk>n@^%K>mbu#P|$HDqnJe%|NYW7Soa5F*7gDUf$|Ur(R!(+3oA9q_5Kr4D^qFLU{0 z*l(9JsNc9TP2BBKarkkMU>wqQWKuIlR$QV{BsBMQ;1F<2!#r~Nb3nmQ`q59r--2-W z8bdMrJdnI<1;Hz8`hR>XS_YLy*v~wJ>T#b9uzZ`OQ~6U$Zlt>cej+=JC(Ja}LRxf( zU_6HeSf8hSOXSLe#90*a_j$Fjj%ZzJ9LS`FZY*#53_@*i3*``rR!9&2p;!^c?ZCoX z)k6=JD<~kA;d#T}c_eeOi-0fjC>B4KBlS>J#->St*?(VbHyXKakRx4W329mxNFhmG z!;CV|Q7k4+!uIZOi3MFsJ9%;e%W!SYyJ51fmO15R5rU%k1#w|gA-Wi*luRs~`xP7l zdk@zD46(o#rX^-)<#&4F!lsE385QjJ^dG-`HoVhcV^~;{%*XS=#_WdEKx!5#2xNeQ z8sGtIemF}#3dv@bTy425p!$1p&wL*=wJcSeZGf;IzMSK)z2yk0yn*q0e*T!T7TTh- zc8XW4wCNpW#->6Ep5=)$0cn$1l#WtYqhK{qOFFfN&N z{dHvm)>P#MVp$r_ib0f+4ZXOx_r5(&43UPGJamr-rpG@!Bp3cq`j9#-lQ6C;6)hvM z-?lXJ*s(uPt+6-KT^!CcZ0?UJ)EaX&FIWS>w0V2TTISpV%O6V)dA*3XHSdOh0$Ij= zM-F?O%SV&ZG6_<QyDDu3krn<){&#EIbL6qj2UbdE~#=N|N zOnZui1&IKFJNx1};?Kg*h<^(D2TMuRy-h=@^mceE=E+km&JATe6RB9|gpNcLkASxq zATNES528LA{{W9ai8wXxMN#7Cry4g{W%+zhq}3fgrO7Lz z>VBBdDRYWp0Bc@vr1d2>rpvW+Yco$D{X1Qk*HWCYFnG%e;As5EEf|q^EQ_?TJ6M1* zRONHVXOda!L^C+}RFlWzKA)}>*XNQYiJZ*V_?#~-^6iN7+sU{eIr&>X1yohKT8mH9 zQ_< zX+y^%G2Vvc?hSkQx2L8c=C}w0q%6<8Z4J*Jr{#m-&$Bd=^Gp%f?iB;Ihp7Gc!MI-x zg*qhNVCn|G>Yif;XRo!ccc^M;=+=^!qdCl_scLu?nU)kXvlT$h#3&$Lj~K5eRe=SR z9pHvH;8>CV4j)@G%q6HVEms8d&po@`bMN`#qOK7oKw~n4(OpB&=kADSdR(N|^eglF2X#PGb?+pz-lWZSUNH{&BeS>Daigu2geldk?NXT4$1#R|1&AJjciY{8!pQ9CknB_s#<(5dzYXrHJ?lUflX$ z=6`;sMHG!26@-@Ew2^LY>-7HsCjs)~Seb}xa6uP13AE5L>@7fzgM?6Rbte29_WbYZ z&GC_ci9S(6-9gK$xdYSi{{UrShUpK%(ZxCbtH0-?Yi`&e4vh9Vt8jpNnz zMg$9T2vxs44&T^+oNHdG_(!AEy-L&?mb+1`G1lib6C_d6@`2p21-A~@#aq|@fJdV9 zST!Xq^VCsH1a%JMgmAXGu;EDkexnf_@wz@Ks`DPBB5VE6`jnKc>!Q91@1t?ip-{tjT%KUD*FOW$KXA%f?LGF3_$z=m6UpmTfeR?!6_Np6N{!Q zMe`NP$i%SwyS-1o03;%$%H-`u8mvrYuQ6=cK#T;cG=JewHabvh1*nOm-q^DCI zJO~w-22?;S0k;4QJl9h#L{>3{VQ-8N?}V$8PdW2ditSQ&SoR*@zf4kjWYt*6VT2dT zs037gYlh?tT|n*+vHb9(?1DKzstFh4a)W#=q=ZGeXG3y68~XhJ0DjmucwqBYl!XYQ z#{#6{S&TRmeU_0}Nby?zz!tynz7B?tArNKY4??_wgMdh!NU6JkTXEvpGAea&Sy|Th z41}9~G0Jqv@}k0GkIN=aip$xJ{{VlU7E)3DvSBSeoNT|nzaK;Nz&x1{>`0eysr(PW zd^>5HUA08A3ppE=hkkANVjfl!#H^EPIx2cNgs{EtqkLf6;@|oD;raF;5u`(s7&m+2 zK2uEvEiam}gd}=*7*NsXNESL+LEPJe6&C*7dSbM)GQ@F))2s|qC^v3GH#4_CujT!) zY*0-rv%<@{i3AqogO4m;T796};=r->_xWH^ozg6kmtnYBqbI+p^!a}6h1;=<8bIjM zT6vThijbf?4sHJcn_*N^4e4nI-sCmz*7oB75_w>QFx+4Bu>SzYI}|}k^JQLM>$SV~ z>_0pbvz%x%?ZPQM$xhTQ{W}gx_xB(9z+ueG4=O+n@8y>S0DJ!b0N((sr;Nf(MTk-k z#<={j2!_cmKw+l)7) ze*-Dpp#95&IQRU=Y$~N_<5Z2Fz@?V|0Ccej5+N+W!Dtbl1Be zXr3dq@JRL^-o5_-To&pnYXqCDO@KDH2aebrA7h(vh5i?N-uGWm%L7mR7un z%DMPJ{{T_HesCF9spBzAF(iHKAMK719&@;mBlQFK?s4hpolfyT#k%*m@6`H#&LuBm zEg%~Vs1i9{g1OEVy*b*6|W3u+$@g=+ZdgHs&6jEdX4T!Mhe)z#nJhQ?C7gZyc z99aAFhQ=T;6*6y;qh&WEX>0R;d=oiED-^PyOW5&#N8y3h&E`10go=I=eMj&2&Ip;N zm9HHlle>+k-oKt2qM5<$@rqKiNEv~-Vn=a*%LK_ZMGTI=7jSLC2OOx(qJ~YcPOJ#Jk^$o$!4u__ z^=li;AW|c>fIj5mUm9P~?Ny5(-#ZJ;5#}08z zB`l&_0d7Lt;|gG=rOrjwL~;Yzj^psgWLs$587t_-u%yaV0m}h#f5#2=%}w@Atr`CS z>V_nBv~5WnQ+ZzqBz_o=XE2nC$W8e8ZF~}^ARh!9P(-UUv)GjvR=>{;5Hu)^YHSHS z2Kr&+Kayb~XOFq(+YBxhqam0JdfV6E4u;mqx(KbMqjqpZaoC^jhNDe9Q(P|OZtmWn zyWw3#^V6wcILHsgc;#>=tXgGgn35zMm%kq_JurOOrpB2XErljL3;zJ;2&=OA9=~7L4)rFLq*)#-2Da;S^TJHhm`Jk$)M?#{1 z!eFYS$!ckcq04Dv*&NV<%3rV!E*KI!9yrBiskOB(W1Xy;4=$drjiZle+aud3mtvU# z3Qqyc0DV9Q*A^CM)ZG67#4d)@{Ry50uddUTlYi3FQd2M5#8a>{Qn68aBP=|{ChV-w zV`jE2UZD6|(Vh)-C3bz)3DzB3SC{Ra@S+=r{LB#{1b%&j<-$!IsE|jWTH!)oOp@FwPht}UOt{aWXM9c9E)6b4? z)hk2;_?md4>Mx656S|M9olc65n=q-&B`{_AYDl!yQM+#P;ttGJS(&6^b9a%?H^y(8 zXWeK?K)-Y;?t5b1@yC)-X^y#OIbL2FR8mtsqn*M>g-a4Gz_~X0eQ{4xZ!NKHv@hOM z`}M}&7^uNgrSvkeTf-Lnq1#VKK^%T;;=q$&p8mLSdg@AIS_oP97TRn;zpeat-Xay}NsTaDzAB49Y{6 z4;T!q$F0G`fv8nO8@U%2Eq(?5UA>MTXnJR=brLRXmE`qx5wcjADIM>BQDI@*`(RY# z9@!F3h>9!^4%Z|x7G-zs-`DlQu*Q%$SsV~r;Mk{XyYNSV=jSs%lud<8?v3zJUn+aHXr$$0srxl(zH>L`-AW`7DdEK&XM0w_D=c zrr+Zm<0p*;3`cm~&9R>RX6`z-01$n&hSDMW1WMw=ZH;fjE#xZ~4~aj$Y^gh3fe z6}Q9>#BObF-`^iw_%A#&Xl1qWXMp&%Nop~->V@zrfg@A*6z;e@{Qm$CTsl&O^3b6Q z&N#mSpMTV0vH}?|Yq7WijfdsV7s0r~9%TiMfVj5P?fdq}u~%&JS4dMFFGWyg*h#;A z+#3$~aiD@JWb-AN*W$RcT=wm6m*s}kdrTTAiEnb(v9+&%_rki0=^&3{F%E;j1Dp5% z0BkQRZY(HCZbJOtf)s)@f@hTgy0pWS-+(>8e_X-ii=w%=QT5JGnC0_D7>g$I*Y-q`|540i)WhJ{3&tu;e*IlNVW)uaDuS`@ckG=7+ABQI%KjQt^J{`#` z^}8`3oS7g4DtsSIUG z#NG{uJICdJ_r;wZH<=#$d?T9{wXNJ?mOPAQI{yGI&1s=w1x(5vuYIft{D@CM{ zd@mav$s7dlar?J?TaB~p_?k&ETuf%TyArMm*}-q$@6!q{1v=hE;QF7J{9uS8W{-JY zio^x7+>SkdSUiRD_K6LF+*@_+f6#y91Qg!~zS&biLqb4seiAvo_~-J$wDnURoFoy4hQ))c6%DY+;y1O!g z;`mK$hW4=g{qY^uIzuVdxdmRH&1rAv(&lx z51}O3;l7z7fF9+t7af@sk;-$?|-ETTf9s_kYyGT~5(CmW0nLwDxHjEfl0j zCf+7P*?{e0K71RF;Nl}3PUsjAS9QR#x|{pr@A0`hQ}sfcci=Woq%PhG^QQLq{{VbZ z=};k)n|W=`y^c3@(oGooqU6I25wfU$S-A~w^#1<x&LZuS_R%eW4Zx4wq246h-CW&aj6l>4NI9sdCPA&AWv4J*oWS1KU>5PC(_Eh*H&o@#v|S3yK*B#g~ep)6UH z+i5$w+iuqF?~O$rJW@_VZn#sp`-^|$3ezKb6v(vTi;FevY;nVsRehYY7DnI`x3@U* z`E@eOFPpOFJZg?YA=*~q$Twi!+TSb!u zm{ieJ7G-oM;9Z;U@o|N$h-SnaOHmPZLX6+`jiTSb#~iDS{{Zf(CidK*cJw0!mofhU zRGScN0~^@jXze2WW!}c>SFk>#+~RkAN!ozDq=(B0F5ClePgC;xVMJ!2M5ZSsfAq$+ z?eZtOy3s5mK>?z58vg1a!=Hqs7VQM+Zlc!ZDD>tf9Dbm zhKz{`05HDhEy3^U`|W~h^0;o>LEbqn{{VMymL!=zR~h9TXi?B!Kx~g20cv>GH=>QaXLA%%ly+jtS@Y_+cB>1+}Csz?-o9q~Q8`*(8lZ zx)N_|_Z)xD3M?w1H4{~lz102t18(E}t&d4k?A}}t$hq82jrhJg^&!ufG;D`w#cq3X z{%|8sfkOhS(Lu{bqPf;eEsWY-exKFp*Of5QhBfJh8dDh=Fh^!B*)!6`ItF+j{8 zu#eZjdwSrxBbLo8h9t4w&5IBB`s0&JfLfuzN+woyZ;43W6#84Zz5^hNVeree+}*qL z_4UFykboKsR zyP$}GT!sqQ{QLgK16Lplv3x)Q*n(~d?c3OXm<2pOMK|=&gL1~lgZusa;yq3jlUB@X z;$RREKy~GXzfa$M4jjfLP^C*S7b*e2$xaLVO00j@a==?^DD*r5Y$sibD>5-vBE`M! z`~5KJB|`ZX8mP|DMTuX!K(RjDe~cE=7*w)O8Z+p7uc5+Ks3@wE00r!lT<}G?HV-(RItVt2?=Yh zfw16xeeft))}JaUSd(vwejZQvz>_bIP5y9evi;M+zq$0lNInpXc-RIhBQdxa1JnNi zHpeB)e}8-jE0jpenG?0x z&Y<6r0+D}}#~)043)Z1jd^Jc9`nMn^`1TV201)2@ibYQGm?pl@Gk+z4!L*V|4N906 z#4W|R;|VFVGSUbQ%Ug?{e|@m7F-<%ob99gZr2E_a;*yf9HbKcW^9M?o3xD-n40+aC zh7uxj#^i!eKcC}=Nu?4*5>mih?^@rE7_&K=G?2#kxg~kE&HLa}ZKe!}E~llbr<-=` z@=np~h{bbMF)oBHyAOOz^ZBGDjI5+?vl4rAz{GZXaZwYL_;-FkzSz5oG`SHgVrmI+Nz3ecLOK`M?>Naufs4+&r8x0Tis{dE0iC zHUs5^^$699V3a69^c>-Q(JZUDDvjyLB-~i~VI+W4P6#2vUI;w?xO5we;0cYt%crFV zV&Rdc4AHkFAAgn=BYX^z*oGIgAEpiSVRb6efqNF*IUTX;swm81fM7y!M<)LO=ZqVa zTBavz^(h{(*S??EYnzu+B9R+tdsu}B@ElwB%$rDO^i|rl0z=bPN9RHVTsUq;t%$dY z8hX#Rx7s2xtJ3a6u>3Y8f1E;kajUAF)8&$8LXxJP&)8}O?nQ;st=L}VAAT{l3{c6W zicirPnq6Pvb=eox(zz}{oph&CMXogdVLc^eTWTpMr<|ii7y_;cwT-<-C*5fAPt&I*0pxQ~u#_>lrhFG!w zXT_O2#E!AfdWY03!(3{R?^!iVL`;zdXNo_8Sb_3Y9OAkTf<-Y6pb#tvZgFGktp(Jc zpy$;Y-A+|dUUFFkaFs;__6OV3zrTDJPvOU?bEF;X}?c=)g~_9b>0 zs}ms#?Y`vpy}SDQcl|JOOADhSRDf-MeeZwqf|h4h0NqaF9gn9tRoL1Wzbq-F5^!4bh ze2SHD6?wJoYx`pG$hS*P5*CiaB|Cuh@9B!2sa~}joU*OY3V8(Ezwg@?R#To*Wi%-r zW@k?oq62kHg*W#k_Q%;j>At2vhRcdil-KOfo%~^i%6O!gxg=H+fYFv#+IS1wkNn|X z9KcwxxE=m4!~iiAr0OP=sGTUF&naY-5L`njU_JR9TN7-nC8?}LnI@;afEd)vbI-4D zmOh@Ow;mRFvPZBG)0rgPrM9^?KGyyISTbn22XO!pJ|;Id{qu*cvq#mufh#izpieMw zyWZo}fI0QU)pmo*23+z|*BRf-Br`{4Q`q01*X4+lO;D9BvgEGXwH8N?Bn(J5BhwL1 zuSFeL%b64|$eQ3-T;C4b{d4^(9J9eRXsuA9DMTZ+kH3B``F!yS%(HyYHmFJS%7>p~ z+9C%Faxcf!W9EMzc>azWS@>qxz0sxtM>Nl+~kx%7EZKQXw zunG?eB>ekk>kM zQq>r#>zXL+NqJXo{kXRujx%&RLp90s2dAly<}bQcd;5O=n6NZsifC0x0H6hlUVjoj z@v@~D#Yr>j+8tZX6>QS^PFa*xfQg>OciR3~>@Dlt^TVA6mB~!&1i7S=f<7}FTWRm? zaZ~8r#%3|9%;2wczp(WE{IO+eoXwOYvw>k|4Z$BlfZm%gWs^}BYt0WpGLJJWd1;w! zLJ12O9``){SfI1K!#PaWYAJIWm_+0iX%5!su^0FGV?=75M?*=HNb*!{W>(r6hs10^ zBL4t9Qq`G1^DM;A<(tiSk#}~vH|G^K*>U4(C&}Twdr>M_)VU+k!jlmazr_8Gy?(e< zkVFGrRD!qM2>0U-^x8F0rH?nbWBd8w`fVpuB{4--Yj)el@2BC6iBFynB{-ytQ^bFV zPyUR#1QnSi=}(){mJv$8?3G9-%VIfRO~Z3*o;kS3y62@`N9n#`X*GtIuA%|1gmyEkDEWU4pb5&P3Dvihx8vmo<4}@9uj;$ zXW4+O%=%+NyOVl&j2GaZOhtbW-Hplo9Qb|8D!$bpOX(Wis>xL}iudP`?m-_bV*LD0 z6>2Q5@W{kk10u~e24fCakkHiDRLc8eik233k*p1@pp)yz>5Y-$ucKM7Px4vwZC3`| z!nFZvaVFzW6~_!m8;KVnb^vpG;?dImI?}pcnW^;Fl%UI^e~iUJMv$puJJ~=Un0-nL zcZ9@|u#(_1+SdAFrlZAj=Z}{d)JeytN$zBRDjDKCfg3orlhf&kN?J;H+vTDvxCD#Z z{{S)j;|n98Kvd5Y0 z&yLvY>DYYFu~aRE?xlw(@x#y9jVMF8h$pc>F2^48VW}4}8}4s}_Z9=b6T6aVk7hbz ziK;BIrs6t`Ef5Yh#v-5PmeiQTXhx9NZ1d`fBZ=aYNg6m2cBk8$jO94n@yDvJ$+ z0)7yG3y)keHb&G%a_tmkK5T|fpX&ENFfjiBN2MT)H8G28c~?uG{>Syh{5fqZS*ejh zzxqYR{{Zqk;lLt=_|Qm~0_(v8>-bh5l$Vtb4+d%7$)j-}VL!ltCgHS%JF^pZ5dp$IxOehNwvST+u)rSov&8 z1N|fS&N&uYElMZ=soZV6TfZNbyJ2_+T2Uj5t*mWvVcP& zadc+%JYSE?whL)QFceu-waY5M7X(|6#GD1Q1#Qu6Do5U1_a4^660icRQb`TMs-Eh% z?}0sCJvxPd!HG7dAyVJbQ~{wGt!5(zGOyq;}+Y>@V+pF-L=h zbrf`qDIQ_Br}Zt5V7Z^1xHq@T z_W5GEsM(m*y~diTZ2;?-qX@~I(= zfR6k(-6V^fi+qRYie4Icm>P&#t_`e3x$SN~xb&VL_u@{d%g+|3=svC}92$~XHrv@n zu5a7x#tX}4k|pxml=5shb7Aj*d~nPTf`Y|(Kab~vUCe3`;@aT+Hw2JvZ^P+>_D0SC2bBiw zyaE3FU=pe{>WsJD$gm_WzqTXgLjYY&&d(4Rx5POl3xCczDy(6aA#i(w*ChV{ztaXr z(d{yCHdZ8DTIT-%rx*lpwqpc{3b5VBzrFAdK_m!EQRR^w!ZP+YJQ4E8V%`On>}|kn zcjJ+cA*h-k`H5yKmAX7%N_9xv~K-iX@4(pp4lj3r5aF5aIkdZs9& z9!GW!VQ-|t}rp92zT3i*zH%JC3d z*CW@C*T$mo+Yy(h@S1c8zI2uh4Tu*10LC)~Jw56S^yQvY_k#h);Bl&aD#nuZ(Jn2v zq4!*ypIZUjvA^St&12ghOPCX6?6Q5G6%<^Mt8RU5`xxVWq7ouS1S0O|)SmXj`61aT z8wehyzMh`gIVeoOaee?c?>rE3jgj(QOXU&42`jjEZovB<{kvij)Et90TLwgV(1;ij2XBii74<8R^b8_D55AhNY^>7y&eG;-DH zo;2zD5uFs(P^3~YDxrZYJvjdS;^5J_&3eE#$aY%bo=N$0h&3ipNRxSrqz2;3NVmD? z5}cZ&DxwiYvP)z?5ZHL)-1~pdKG&i6yTG!-<(WnMJ`bJid=tc-rH#L_Yw5hj)+kJh z&M)x*ZcY1J66#Fh+K{M_?CeypueH5@e)y*;b>3A&x5-+GHs5Gf79QTeJSfaMp`KMy zh$vK3#OwEz66e>yw|_x`*L-jA{*D&1ZWeesUxeVY(_cseK}%q+6P zuB&e9H(2_N8$*!LLsGwCr91!+tC>;0y0FU2nNa-^?%AO_xRU5Ew;Ezt+ ze|!@RC@J?uF38wjNgnNp=o8{3KHCN#Tu0*aKT_sT7x4_Rr;&*yj=H*S)$+>hNFNgm z4mm&H3I;}!#}iZ7us(}>kDuv<)WdTHni~~A0V9*&0-U^4d8rXB8s&QqPy6Dmrkt&r zlbof?b|#^rF{8;cg331SYhLFI7L8CNQx!eQAlMK0!l>a{ipFrgKm>dv*U*0cSRL$JR!5GVuced&5!y)$#liOaf5rzbEmbp# ze1Kpi57QD!9;0WQ!xFbBNBH^-6!ePHh4Q$+#8=b+aBCwYVi_aM<3tK+&4q&S2jFkc z3{NfJ&Ud@mSe`KHUzgC&Xqby$l@qfoMnWk609ZZ0Odl0gbn+yVDRB4QxbQ&l z>^=VgTn(}sp=8m)Q%sJ9fZK4gc06|cuo)<6B>ts1RUv*g-F|()^X@U~s)d%)!WU>x zKsT`Z3>qt9rUH0Y=^*6aw>SR)IELuz;0!_}=W`Gi!F>9tT;I@{=Lb{H9B^CW~UgkN}HPT#xWaKpI#TN#0}u^{fS_Q1YbArpxz z($K3D`4t|g=Y^E?{!I~?%QG(@4X^m%sbQ8QayI;3y*)eocEPVy(gvAF-LPx$E%N!| zQ%Dw=I$DO5nCC153xLML-lG9?5g}t|us1w^Z}`U}saA;j$VVGn>D<`;Fhq(}iCo?` z`@r`fKi?Q9%HR=GnF_1Z@-BA}cp!G;x&Hv14iHdzgLTc%mvVWxxwjs;Af!x+QP?vr zg`1zJ&jqk#qIqcQ6^d>6OV|K?vC92PPJ^bZD&gUj4Ysf&oGOO8Sqn=naww1zV=PU* zIQoB_Hu+3xjKV^$Ep!dQ=l8}OY3c;D;4a`u0P}8rF$(N4R8 z{qT{dot;fZ{J%2l-rRABX)cZ&qN|;qmYjTDzy{Vg?ZC&Ruc@bCV{v;HAo~73*h5Pp zZPQ6O+@ye@eDDeCWJy9rA!Jsz@-K78{%~r5lH^-WnJn#a%!hB?^gZp*SM7-up4X$J&m}*CuA^* zC0d3mkH8-af!yEM^1)HeWV})V@ULb4{x};cDhe&oAs>71eqO)rf~5}DU>QR#g~uMZ zz8K|9DANdd_Fuk6!)$ zSVNd)@;JOq!HvmazL)~6* zifTz@;>M2TLF}Rb$QZ+QB6{q>;O^ZpsbCf#-szD;;FFbqkhbbw#T#DN4;{gpnkqHXz$-fsELoU~lEX)Os&)586bcj|(XxWKF z%HO}w9H^oTGdLtM0_kr506St-KiWuwN@)NJ=y~iw;G7vXJo7}dDuf{2dG-2!`{4O0 z7Mc*oTkYqQ+T$HHNXQaESZn|fY%!^K?ZFf#i|ryp(@5J-`dD|rr$6HdOHS4h*dL4QgDN1bSXGRc4R4qu<@jP09Hl^{gy}_F1DQ7x02Tz{WHph> z1ag(!%5JJIJ9oj6GBgWhQj9D~H@Cmj{&1L3d9s(1P&qaui}T0h&IPsp(j6VDqFR_q zB}JRzBzjnT;W37)nM6{7W?{8}@AduqVQo7+FXh#da()&(*x_H6tpSjzV0ktJjC5tn z1;wb8cZ#4U$f#-h?>v2GXKQrZI2@TT^;TabGb-v=m?HX$u@ za<{POvA_oJKg#j|4#1Jy<=A}iq|(hGRf;}Z%BgGLkME5}n<+J7W{b(Yx!QdQ=KZEkq&P8yGf-+J=e~=WQnUP`Bd#xK8U+HA@JiyT(5FJ&(8f#fwMbx2pAl zS}iPNyLXm#P%r8%NyFw>;V)iP#=p!n2qD~lJCaEE^!k3zD&*JTg|{S!M+~O8MM7pW z&F8Ax=E0kee=HddD@i}bN`SjftU31iV)e?r9E61qW2%S)YdDIU);IO|jlNy+B+9%Y zbpHU%4_lgM6A}S2p->4P;@`iP5kD2v;#|Ap`1Tw4e2dV`=}9;SPc?)=th;P^9;E$! zKeh)IF*7VBx3dDJ525ed^TxN!JT&xffmzO+6G(&NX{BZgPi`2H59Ns-S<+6OWb-4^ zXxarTzYh9v*T2aA7+dj7PngAp9W=~cm1*qKt;88BR*h7}- zI_3l^S88bhH}*Su{{WnAT1<;5pe9K2T6mOl8aTi{pDu8?Wf0B+BxB$Q?w|yIIL>}E zC22#SLCa0YCN-n*>DA2AV3fLEMA`smmQ{>fzxs&2G=GQv51sVyL`RV7C5Xz?86z<{ zAT5BmZv1|@pG}s-VM?(b+k3AVCP@Cusv?VgYsnz}NBHfE`FuwvcqJ;L#w|Q?zQWu8 z08-o%y!Im4Hl7BS!R^5Wka;5m@|H-^lIgjx$iF_=G8j+=1pqf3+Ta`^dHMAC49I5Xm2yw*>HPI3HXb za#up7jWHZ>I>=*$sUzYf{Qm&)g<=wsv1`eQ?E)Y+{s+wUAB zjz^8iTS%Vp7v0 zg>%>w!SDGT6-z3hCC2^6`-58Dy)d!~C6-l70(XMj0r*A#0DqPg=7z4t`8G_`R0$f) zr2Jfae*JMRiz81hCTLjL0H}a|ctxkE1TguIh$1{GRs9Ymk;e>bN;?5z-rw5@l$#o* zLIIJQXA?r~HlK)I_P@3V9XmiuxeXf&-7YQpTMpslnC(DrZFXKkwY%exLoBdei;1kI7rDvr}ZbHN1_*(bm91zs5p^@P% zFYz$7!R`APDhk4%&_H$*w#l6vhGG?fX+Gi+!yjMBVO>3VCE}=cB{?Y8xExx?1m=acOjl7;@fiUlVF8tg zf8RJuQ9Q1xI3EvXzx(@s{c+vV)W{5ghf91n;Bo8u{_le4mQ|2OO~-p5Z?ENpO)y(X zI8-QKF7}WfR@NL}j2838NNx6V#PUC1-?jq@LgGh7B)1A!*pB!~pZ&gc6&XS&n;Txt zeZ{UvsP)8oiYt?yk;C{BEIKSs z^KMvyLVh3`!q^)mO-?G<+#75Ky$_}m^F^@6q=vCgrH^R?lxZwQy}vvx^X2js#*7M( z4aWfY`ritqiaNO#G-8I=X1&7k@B8hJu3oUm>9q&mKkBiyuu*VgxcP9k;k& z(;SMvsep_<$}!uv!;5kL{{Ws8NteetX&|O;%z4^5P<`-AQSB=vbqt%<%2@CT9mxHE zEHO&m9KNE6iY29Aydt`*9s&7&xHgWUvk;NoH{MnQpPj#b@P(kvB4CwVi;I!>e?LqZ zvWjUG17e)5-rRkDzvBbDkhYF(wit~?GxF{gx!@1Sk^V4DvVEw$Z5U9$bhYjdJp|&S zm`NM1;%vaT7C-argv~ogBS##60>8y!?}Lj3HB(J>Xr zR=!~J;U{n2zjO5U!8J=GubPsmu{-bRKYzY(Ze1jiM6pQfujmT%+x>~aGe&DQLcjwM z00)6?H2Ffo^TqP(&@T*el9L-cpqO}4jP$6UTf{MlBfr3 zf!hgWt0q$!ecp;#dUqI^OXlq4z0TEEui1o-0sf_y&11)@9;4R-5<@g)=0zce*3H}- zVH?v*+(jkPyO!*E=lfvA0xF1=z5oXoJ%%TB9OFTDUcPFkKa%l!s~x*8Rf?cq>;wT-T31F0KWK@Cwv0hKuZ`x`Jd@L^KZlbvCp(W zoo0{Ww&TYl*1(!M8LT2L&%~CvQ}6j>!jQZ`n*(bD-*0bjF*kCrQD8+vND1S&FX(Q2 zae=e5e^l5FqV^lEZS}!55Q=z))ghMKn4Spw914{;xdq4|bAHz+5igXKah6G6d@p1E zu*a~sd?m~lRFna@k299NzFq$S5ATC3U8$wr40jCQ51{Saj288eEL&bgE8OlpgZca6 zwXz$ZLfcK9qInja#1%s=><_PRpRNa2IhHkvzzh=L_6Lj+6;cu+nm>-*I`Tk1xa5Q% zh1Tc~#7f@ZLyn75<#HStV0f5rnQX*d^K1P3qsKS7`i(#T E*{*PZx&QzG literal 0 HcmV?d00001 diff --git a/sum.jpg b/sum.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d96603dc7ec7818bd1e27462015fc5a9f809ed23 GIT binary patch literal 313305 zcmb4qg;yJ2)NOEgE$&W{QlL1+t++cuid%~mhvKfq-HU}50;RYFEeWS4MHBe= zeebrBqGF(-Vc=q6 zV*T$W|6kQpAAkfK?F{t_4TS}ON`it$g7P#3V0iWu=hO^Dhdt&_xZdb z@w4}+7|%XqqGO;vKf((XR5WxF00t&0GnNoGnY=EGtv9)FM0(j74lAgi;_d9_IVIaG zDm^<~YIYF?pU4dTat>hY3!2xyQ3G6}iUx+6ThGnXp#V_P{xkdktOC%`pUnWCEkB=? zBmtnJqM!pXP_Uj~6Dlg&vy-SKXy~NOLKtK$^18N|;m`!Rdh-ZP{-@0sW67NxQb=iw`G^g)9cwu~ zh$77sy|Zb1$XQmzM_2t zgrIx(jXX+$3Pryu6P(-EOMGEAa_SlG9leBKQ8OdzY;nJDd;!FO87<2s*4sBCXFeYboX^>9H7HPTy6`K1csMI1MaWi^2RT#(=wok7#sO{JSg zFy8R##ocD5!|YOxPX-^g)y-qi5(wOl9zNax)2TWp27>xU;z_BNQg?L8!ds{wx6g5gZ^P^ulnVIE7 zb%ktCfJuFZs~zi^L^{d|PkhDE{tV?EF@q@bT22^M=}YGrDu5mx1|#~d8eYcv989? ziI>0033XBxLc{hc_Kkfd5*h|FGMLl{0X{Xb%S07DT`hVy(9+;8LbBa#3*H;7YkC4S z&)@qquB`)d3!aGsZsW+XIiR`(|i%!7vw40J5SeS;#_`N zR|QBEO3vvE5!|hei+(GQi}C1pHIPi4+_OY@A=jEl!~n$y`gF9?sE{v`{{unDcPbRc zY56do0HL}6O5Z!s_R%)~%)H5Y0xT!-6G%(jvjp@|o){lW0{i~qFsB4e)B&)VOswbe zmFBbOkvDKnApy4Lk0YUb}1PUa9~N=6LiL9FO4%peb4Xp!CM%koa0s>+!}zAoi_D zF?sTi@<(&)^vg$hwd93{%JqjGF(#6ulvR%6x#ZtlO4!!I;wmg#Fb3~qX~lGn-Khzb zQO@Q0-BldLwi($hVw4U%Yw$e09T!)PM;A!RR*;6}Y5v?*bYH52-->)IdF3&KF@}L8 zx*nLDo#h)h;WDDjW+PMr9aQmD<|@TvJOnr2ZobmTc*|$ozv71QJ$}r!Ly{+)IFa@n ze*sKT2Y|gH&Aq2^f}=WT7hRW%TvVAe7(s({OOtP&;rtxW+}!svC^rsFUUAll-6~Pn+)^mk_7X8_ek5Ql+D&U;8T7`Qf7sLksaMuw z#C2K@_O?sZM7o%q;E2&h*#ur~^t|9*TO2vL7ZC?q_Ydfp1j1ulQA&UgwIS)l6XJshpJwg}@l~cn8bEBABXVkRNO2 zg?|+>U1w#E`7230LDw6wm*gkA-wQ?NOO_&EM}3iEWNMhm%LYQ@kT`RTV*b-hv}N?g zjfZv47)@*Kx&Yx5)dwmCJ>I(Q+EN>!13}1Ru3yPSo;{cUb&FJ(rv5s~eTnX3XzY%q zLUrckYlHS&id82CPy`mDFDlG8QGJ~mi5fQkV5wvCbOcG-34w=aKaiV16Wkz@4rF5tEgT# zwvDM=uW&zQjK7poxCB3Jw7s-45)c-TZk(24^u9O_e_Qf6>+=52CQv7^U#dU`vtYDt zgZZ}09G)bU?7l&s+i-QEq=U=a7)wPRmR{?N*bc%o@ng8Xrig6AJJI``=skMBIZVMa zuTJH749WD6UBybUj0j0(iZI(GHyh$VzO((P%Bo|+AerjM7F`Bpf$`rmh29NZzGF+4 ze}_#6eV!&5xM+hD6msjuzA&{-Wkrl$A(7s9R1R`kEigE-`&#M*0WP@>9_e6P>~?H2 zU9-w{w%#~F;qhO0q~QCkH05GdUvd-shoyf5A6xWu&9XOPsw~$72Hzm`#cx*|y+T?V zGWcDwxWQ>SGKCvWC4e1d46nSjaCW*;p9RS;GM6rvp~H4IQnllYJDYzZIv^RB$WjxS zm)D@s1hCF-p)|ZPsCFYXmkrpjEAa^~W2h$QfVxra9y!=gRp#17ZEK@6cLrSa3fG+<_3f z(6(^SPZp^CDy;rFpt`If12aJ*xr(ka8QJI-5;JA!P*Y`D4a`v&;d{ax)U&ZIcY*p!)p0@)dwsT>kg*$VDJLKMWM_ZfP zWb+4zWn3E#pEdjE7OVDWqHbhpPi`R2B{8W-X;ep0h#&>^cjwt%Ek$Av)!Ca@!CI~s zkH;~2(I32J9=KV%A&fnOjlm}k3?gFmO<0~`GaC+yLZg)#HU9X21JE!=P9twOPncYc z)D*ZmUA<5q3%4s6`hfU`a^E;+U2SoQ^(&|s#9aYOqnxYAUz91Bd9k_8=cuasuvPdn zk-T^)Ro{uoOp;F5s3nP-fD`yGx$7|sovRgUIlG3lQk4sjktuotFbFM*5T2~Y@wnT3 z_6d6_j`T80A$=GaAW`jXeJoi<8(-bLdc{D+NuK9l1b_y*Vmi|^;BFr zhdg9Rj;3a|*qi6_+%Dt|J={A~a}9 zLU%@xv8Hb>vs6bbGSpZ*POuSy{Y$ke#YOlI4b=yshzC1K9LZ+wN9&6STTj;#2%d_* zj`Cs)x_L2_L%dc=iAS{}`SVT@eZE=)Me1F*_Q|cQ&a84Zmvg@}L6rZAS5li>vopQ= zy$4pLOu#==xZ9`&lT75zU_g;i#Fz*-$|cbkf#F@k>9OAX&8-EMZogvn#Pp!&Frx$w zTW?d>TU$e*M^pcK1g$mK)IV`V*kH8qHxiG27l> zr>|D(Q>xXi8137oxSA2I0?)7JorBa{89Nb!L*!VWV-ya>#qMp9d=t8O*mteaU?#Gd z?|H$V?QbT~`glE{0SnV=v?B6ZzODr!UPJF2qDz6SVLTf=;TB%f&+(r`x42%@8?;kG zaSjbV8Su#W+#L)=^{kwhwBL|#Ck32u6LiAz1sdEF$+XTh;M_yW8Q3sFEJ+>5y@O7sg80v#O<~Cwr`wU_l zsmUFBoLjWKI!F|DJ#Z&3w?Brv#EmcX1V|Xy;Fqv6!n|RGzqsvhTNABKj&DJ|W(W_> zoi|O<($3rYr=xkTk5|S4e|Y!GRezd%E}l*plk44j5R{HR{l|up1vWdXes)OjgdFyM z!RCiLsCw?qiEGUu{OA^VMWTv3|NV=(2m0m16ChYX)EYm5QVe(MCLj5WJk$Lme8uT7 z|C4dJh-N#RS5NHfL8_jZT$&b+7dg5YU#Q7Dvp1#hqf~5?eN=$4aSaoD5~vOGpzhPl zp^jxvhJ4YQoU>MU*?`Qk!E}XQm%z6p5D<9Zja^HV*)K182>~s}`n7iUShQVSGS2!w z$$azFP4}JFJl7vjGGtLG*L443SeTS-xlz45pSsd$Cuhqw^>y)QEwU{42c`C7uxBt= znlum3&=BE9BCrUKPRPx*him_!GSDuSnt&jSol&lOi|*Q6$0&P?P$r2ku5_;vZINt_ zP22U6tW2i0TDEKMm#Kh13K>-eXLgvyI8~>GFJth91RO_RiJVQVIuV-OuOJv9_4ONYEO;gA!{5eL{DHo^%+#`iD`5?~>}Oc ziHM4mbnk=yn}NL)QR~Q8KW^BynI8P_?0{So;qHjIL6d6Fhq(4vi9FDi{Uzu@t@Fef ziy{u^wjm?M6Ci9JckEsHYjseNSIUux>@v=&nHNh3ZQAetPS4tY=kms+>Kx%+4RcS& zW6#ExksrdX4eDB_>#)la>}mCErARgUafAwTddB9%gg#nyj&QxIs7yJ+PQu|GTWN-d zfr^d5V9eDc)Hi2gn2fnT)f-TocK^xCGsS=hmcvZj!dZYow$#?BL46(g&oQZm2xi_D zm9>aGB(yv%?ZHnYKlti?=Oxq62*IO6FRgB@Ob4oteTH?&?$S31F;ygRq;T7%`N-j7 z4Epyu{0_JZShx3OWI6_}!v%7%^$buC)Qn_v2iBV0KNFBg{N8e{Ao}U)<-PWruAOaM z{?xCx2Zj9*k3pAb{Cz2Y_>^7WxyP-5rlzPh&(MunFLlaJTN`=CNVX8H1@Pt_{2*Rh zQh%{tH4~4UjtrjkvHa}|H3p}g66zDV7VredK^dmpG5xP!`!jHq&6S}a=YQHgH7P5y zM*kG>x5e~_Vk3l0jaNk$RnAnwL|qrUpjb#rZHj_TwmtM26qN%iCEG?@2Ae~7My zKXO=@H_>UxQcgIkaKT7dy+?mRDoxP!x4_vW9jc&^(ols`m~*4v5lNm zMN-w2vJbL?SdDmSr!jEiM$eG@K0ZDv&%?)me0%|!DPx;{k#AKkkiD?~+aaK?G=8CN zYPP9nX%9IaY$h#o)L3V%?)tY_;s=f$xDeR+R-1q96ykjh-5}B^kF2j^=y@%g`2@gu zD-ZUNC3z74@i8UhPvrvnCtuUPJV)PLU8y@mm->275Z$?r7}=qKo##=CJEtc!PSn$S zvr}F^{nmD(&d2PuO;62QpI!1R47>PkAE7G!L-2V>6`QtD04u5%90%-a4S7*=tL+S1 z)x1Izp9@@5kkC0a)k_`|y+|S!Us0tpAB7jix&n3hLM;-$VWRmHK+ln&jepSV!+ndd z(xJ&U38LN6+`KdyiYc8MvAZT0DE&XoJ_lSg!(x+{uKEnK9f0UDhikpdgv=Qg0=j&h zA6(>ZJ(MYo9_Wc?b;~Vh3h=zNn*R-)_0zT9X`*Jp{1Io%{FCL)E3;!h2BiF}M1QVn zvV{diInz%Mkb(h*sA-!TI>gM`d48AeYiBCllXmq#P(AoRhFJ*O(rEjX;IuWk37!XssbKC#+}TW&>aqFgk1SLY%2A?w$2f8iSy?2!Y;S{LKIXoQ60wQkOv>Q%Cky3| z)Zo+OgcI1VCT_;*p&sLB?pCH4^Ge+a!D7!z2@t)3r0_w@)3*i(Io zb5l>zZa%%=h&ShcIqY*Ewd=6yXbP8|>rLdz&t*d}g+n7^zI@ced*4 z%zLpH1MB^H{kotMkTy>l72_FGxAGM&uPZ` zr0-|nd9>+3d?+$Y)W#`O2n}DFrA4j1Fro1%`09Slt1glqNazh4;S(VCw1;8zoW6dh zj`2a;bNMI+G(cmw`+_2Mpl5#`yaWYOSrDpF=MV3-5Q=7CZhM}_&d#uDwyF+gEMtT; z@ZZ`F88`|iogw7lsSv{bssv@yADEm!h{gA@AR@Q;<)Se{D6hFD5mp<1vY^N-CMq)J zO5RYpP;qwx!3d3d(wdaL8$_@FV1h4O7_FS~HrAeWbFkvwpKtj3yT>efV$mFZmq$o% zXheDIqz6Tyt9KX#TX$iy%zwzA)}oeW>-LlAq||W6xYlpR<<%>Q5-gBsF`kIM?4{~Q z+u<(oG|sTt?`^#toRHBM9@1WW=9M`~(fqEM*W&6fegS{OGjJ$@eUx|G-LFT*$m)Zq z9MiL@8N(8zqPM>~lYrmy+qU1dbv*%^Fv4PUK^klc>c|OvrpwmBeJ`B9fc~}z_u5v=$`M? zopg(XvD`j+nii`{vSVALBL+e4C43&834a!c)e8(L=C{KFhFNO@s$UztH}`>B&qHsJ zUdEhXE7|1PHWQ&mkaSm@;yNC(5|jk9mG|Yp`ItwgUWN6@+P>i3B5-J8`$RwV1kg=| z?&42*J>%xRwe({QvPEiKsnh&SHdEA;XeIJtX^`s9+*}8pf-aIjSfR4>48|bGi;b?I zkuX^vukg=-R$8Xejqp}V7*3B=5*ti;=TJdfC2iJ4s<=E|y+SiQWY$K7>Ctak&?YCP zsWLk9|Kb6G_>2SxVusnrjRYZTzs?9 zYkvB`3+eL;f}ZV`U{bmtj=t@xIH7!|N{#>eU6_)&vg3bnceX+HBRbW{%wCJ$28iBU zAX<#LmS`(8QT)2Id{Ywqe+!rG7~$eCNJ$x+Mo(5p%#>>YhRtlz zrnthX^{DQ*a2&_0!3gJazV~%cfJ+5dl1F>g{qU@_ff_Ht{K_|Vg{=L(9BVX|t z`BjE(0y;Sf?Ig)2aDdRLRqzoUZ|y6kOWrVf`Vx0d##>W28IqId#e&a|Yo!o}H4=g6 zzVjL>4iUL}FD8X;U_Nu<=JnlIjTO7*9c*Q(2cr}GJ-0~lh%JaUWfJ1rnu5|Up&r&! zJ`Z8Pq5b0Hp>~bD2j+>%i{<3pX1(q*x!-i_%s0zQ@a>#W6X+L@Rg{5T5zg>@tHaQ0 zz}erA&AOO37}5j6K~lCqw76v*)2W>4VyyV@HcjeQ^0i>&MGIU2LYSR+#zw>%1^P_J z>?k>k9o79twp3ZUt}LNUa3L$MA}dh~W``)aIhbdhhXtLOE?md`-;i3@ZsD8c$tL`C zqR)xq^nH-M3%0BVm&3Ku)yvap;l1>2Gv`I6PAU)W`}5c%HHx?7pOX*9(7ghBn^?1q zG}UA5BlXl@pAZ}$Jy0`K*uPTMQ{gey-i=Wv#pAW=50rUaV(ox@C4=(+-H!GW2-f88 z;+nHnt6*W~#x+!P#rPXCf;G)?XQrGo=qa|neE&Q}gRA}B*zARD!O@v_236DE z!31A?-sBf-uDC4&&@PZkG-4J-&h&=*i%i!1ctmNkDO45CEY?Dc@*Sd`Ef8|UgeL$V z*7~w23oP_Fy0nHZTG=uIMrkG24^+YMssEC9t5e~g5Y~iUYe%k(`}x+?AXEXx**1eG zE4Q(+Ys>nbhpZFU9~X)~e=!(J>pktwu#fxuKRi^Gg*?apv~g`?Oe?p(sy3IAtyYL| z6?!BxEEM{-yktslrgJBl5@3CFYwOm?p5V#RP5)%amx6RVm;%8H%3VDljgil_?S0$41J*upVqbH9YW7T;%^edR-__ zK+l$`#Vtxw&uuqy{TBn-671bG?4w4(89z-gEqFFxq<0X!Qo8qIXohcJp!%DLhOgZ+ z6PKuHXD1l7FWurJ>ute@<+^UJ1sd9y6FdjJzDXJ7DKF}?FckPSzwmpzVs;PTq0M9_ zv2T%C>{%Kfo`f}D;@%Y+@13#$^m>Ns)3z|r)-J;*#m z0a3K`^@!e{O2NX>#T#)*Q*7&%N0YgRTCtv?U}(>*z!kk137gR#fUmu2BTBStv`|CinprA&}}=c&gwtqv^v z1?g^#2uJvI-4THZsNMtQicqYj1hXb-OH|Dqn=V-NNbBq7#nw&K`3-?re@H%a9E7T{ zz-}b+oPOTYbTRLfRkaBl?z?_AW7g-W2;R#W!_i4)b&37wT02XgJvuLV0LSuzzV6~XCf<-{b$rw%uAh)z z-S%PcZ6*y&J^YH7j$?$W3}Iirh&c9pCrFps&04D1^`;ne3yD44f(j+`o5W1kR9qPd z(e)M-c3MXaC{H9UHeIk@3%dn|?*3KX$Bf!2Rj!n`evyVe0k8on!zcqU>O-U}F9Lb( zDPrpDO_&X7jrc)zj+|=^fG5CV>azXv3sT|XfneIEt$2x zmddz{JUa=nNyu7~$jO4$i0wZLa!%CcTOLskSPiVlVm}m@Xwk~**P(l$7r{TIEVB|F z*pe{CNpxYZlm<7w6OZTTm;$pF)Z=*{1rtwfB~v&$bYg+__k)Fq)XJ#!=o;DPSbpa0 z_!xRZHdmeitBe(uDZ=%eqc0^hV<$*KB&982se4NYy)HW18ktIL!i@@SXNOsNO&T?4@!+4j`l=>Ilfc!1-@D};BA^6>kP${r-j;PzlH zoU74(!P>MvtL;X14DNgiI3cFs*fdhn&lD`50n5-A?ySf2fKM&pM_P(XwO|^04SudZsqy zu5S_LGBTsjrjNl4W<*YnP^Hi((L4c&dqqXBAvW>UU(tL5#pr-n^D4bA>A)udc$vQa z#~YdeXcbb^!HsPNGoz@Xh;>sz!hs{zBy#5E?oY|ni0&Rv?os#$IpA4$ zRwON!{I=Rl9j2hf*3c-_+So%2;PV=xIB{?sQ$fXT(-9Ru6K~zVCa9e#)k&cR(?vmc zydN-EuShQg|DH6z4DNnp_=TV?Fh7FheAit-!xIxDxZK{2ywLj) z`5wJ@s)p85sUbzJH&kc~NauYuSn00HsPj#w+aO1;9V8vwyBSW?^(>O1pa15do94j! zf!||}aQVIK?3 z^tMdTl)q=}{N+fsXg=fctnm0wwww;;4CXHS^D3c98}T_kuf{X(_4sN#s)NWW=Vg&+ zSV{BgGKO77J&8lCw^a6>%_08xb@YvwHp?|a@FYe2_gJ#>92hp(PDFz>g_Emh$YX*w+zqLw)nZ(6yq(Xob=-i3 z$e8%E1g3jw(?x+xf>|gzY?MwYuQz<->lAuYoN*M-DpuIL|29k^yIc0O*;*rMcB4DM zTVbIcZ_8M5t0>;khaW#|(@_?SscxqU{&W0y?;CHR^NK)|Qjo_a-H|7-cj9dD$MZYy z#GN}|i?x&A2^DR}m|H~MvJvpS1YnKAiR$WY^GjLB#v?J3lUwMD$SsBC-|DoejzPaZ z-;VlNjCe$PYX>AuELB(y>XT6l&yG+RzoD~0hJl6~HB3JSr3`+)LftNbFH`ti+25Qp*92Hd{#XUkPamXI8Zr$s(Vl(;gPW*Q9W-$_ zdnC_{3mDzJjn!FM-jAX;yZT5=+LBj3pf1wuRP6#Zz#;9l-caC}P0ouK9OxEj`}1_= zA{ApA8GxO}Sj6LF3ad-}rs^KcA9=Wko2>TTuvwC2wfwMz<|KQOPIxMvuU*b`2ufG36;GuyLkOJCA@odPUo~GBl2r2d6 zZPpnMV==>g{|mp59bhkQ&+7q#oyC#X+=b#HbFk+;uC52+x+hEumLC4IfJQaEh^2a% zavf3-6v|0>;OtL-ULbhIS@^1|N?7FwtCPML`I(GGSUUq|R!cVHNmU+-%zAE@Ru3UP z%lAoQ&Y?n6?b`h$?!Daf2tA@QG3s|YI+mOy8FFoLTTg%(dQ%qa_JTLLv9t&H9P~J_R^_CGE zPw_Ql?=)4yw+1)v&*h3KI$eS2pAcP}s&+Yrfq%ib9w>uRTG|tyAWTH6_P@tmCw*4^ z>||4OPp{?Mp5B9m>H@Bn5c=gY3^&ebjL-wAXRy)WY8E1hUqUR_4H*ixo~K{d5XwFE z$^o$xJ+qKUkGFfc1Bbdhgdw!fj}ZJC2R0VxK~@YDYxjO$7@`{~%g6o25eJXWXZ~!7 zJ-LbC8Q0O|y%rp5ot&(^@b_b=N&57C)MQ>2BOj7^69EykBx8uob8|Tj*e86m;T<-8 zF?d@^G7`z8#xb}*g1)PIH7n9i@JAzPW0#J^P%))3hzl$|eZS$kkjOdO@S16?gOw){ z1M7Ld3??0~sA+)VVr?A~d7ehFyk`5__Lnc9E%gN6BWTPXzg72HWCu3fr$!(FJBH8HXmn| zF6ejg`ksxx4C5ngEaLW}H#1%QIW=KBa&&3?2(6L|{z%D>Hj}X8!qb>-x>F&L436~c z@D%h4U@!>{86BQi$gSMm&L8@W-G(jpqP9Zn;2y4_M=ty+lWJ4smkgLeprUq zt;{yP3$|K155*TC+$)X5ac^V^jIeDt_`W+OHHFv>H4q1frQe5yn%syN+NZMTz2=q2 zQ6D{SYrd-Xa*Y6D|Ew>hlc-~k261c;GtXk!736F@zA!m16tNa&MylFpYV%{G()$Y`GZWpYc zT_N8w%oU8Vq(_hcPB&m6qGQx90dGglY162Dw33}9YLGve@1}lEyzfnf)vq6pY9^`vb=oC%D4w_5zF{#!3>G`yqZ}{-3g^6RT)o?BKee3wWpC8i7Q-t9<^e6Gd9*)})5=-7{OoqF!Sum{c5-Qnk4T?ot00g`C| zAdySoLF|Nol|I2f{pZYv`N^?Nt_UsWvuK1Zp+ES z50f#;H+L!enjYy?A-f6u9VpahqC7TLu|NO4$65zx?=-I7=)B5Lf~KhX?v{Ub6Y~{v zp_Cw7PlXEz$*wkSX4fVdJq7k@>wx?TU`Vi2|1rKiEx9J#bL<|t|Eya5RePyTY+x$I zOmcyU!LEj3!#j7<7=q5(dr?kP%f9thjpoJ71u)$}CK>5ICGcx+l^7VRAMW!tk#kXj zkw5qpANgufr{)-Ec_;PXJWyZrxfJM}yGC6Onwhyr2M?%*`VlTaLO&V|O^Tr|a~#7q zgL{%m!aulA|4ywY&uZ_Iw#vuhkxYH*@YzZO6V%p$@Y>5L!u{M~xEACGx*0g1Yw&Cv zURk0G+8h$$-wS?UR`5KiRMKUL?=A53_1t3)<@vqvntSV5+v(zT$$*mqN$H`GgNJK~ zLE%t(9W6+uMR`f9pDfszffSEz_Py^2dEiBA>8YQzD*RpUN7%+C#ZIG zypX?5wR?jUtX$m0qtr+|!#?p61q*45kfRHpm`8#||?+3n_9}L5vox{1zY{kRx-fjT+z*Lj6@sMs+>yr0NtWMU1J!Y~w7X zC$zUoI;JeqZM{;0D579%2)Y3uUc^o;yhkv2O z)U_wf#7KF(wB`%lG*jFE+Qvt`e*9=PR02Qx>{BG85i`lD)w=pIXXzex`ELl!8I#EW znVlBJ3y`7QzCC>cwG-!Eyl`@yjJe}b;Fwu-6XQ*!*gvO#0!T6XzDt;05JWe3oE_W7 z-^dryyZnX2*|N92TmlacypDHDBZ%np@F&vN&UpdBAY+wLhW>QPEX|P!#Fl>K1zywX zwOj7GXH@bM;wBaVuW|Iq;qrC)$}%?Hc^-1oy>ueb9?L>>_Z@g!%a*oiwvkA(2|$Kj zIu$g)AzmMQX{kWD*+g*njF6p;y_y5N(dO@tl;s-&gS>&OyB9-YA}+bqIh{(~eb10C zo^Sj+pf(>Rw2#T0l!z&m8qfS)#`w65kf#-79y6hbmf6oZ#3Y4tocodo=$|5Q7lLvk zTaD^0l4Jd{E?(J3H)WkqNN73GJCmip$~jIY)dysrZR7cHXD3$8O-Une; zuoVkK2K{6s+T-6@>aCj%eorjwL+Rh}2@R$ru1;Sc`;M?Ey9=`A%TJ@W!&a4Sm{f61 zqIke7$H9F9Y_nW*UJF%G{;|K#E=uE#u=8l&u)VS!BcKnzLYsjT&`?B@(Qr#)>JZ6v zAhq9)2d3-~ea|!lA{1j5S9Q^BzWAO%P8XEJjUfXKbBP zXz?UgFsCpv#a5#F99{tE>AhSk`v|w4eH4F}{0L_%bMC>$XC;)87iWh`Qd0Zr@z? zLCsLneUo<*g)@hn{|KJ**rkXhGxR^8JZ}cc#cvc=FfjBUW6T1x$5G-zy^o$D*+%E< zhP~`6&-nLQylAVLa5&k|9PI5nLxHwDcL>Yl?dOjvEGv;*Rkq#SZyzu%hprLtNT+4Q z(Ri39*#5}J8!(A>Qg+^e-6X5?Tn>kfbVB5%&!?zz>{&{QmwT421h>8kge!lWuZFaM zaAo*d_E+CdZs^IZmYch;K10tM5<@l99j=$&L671e)pB2y8x#hcDI7@58Egux!}3C4j9f2(&$a^qFhvO+uwNW>DJJ zP49FH6fyIf{8&VN{mT{dVasqRQ8fsi%6d14t$Ao@)fovV(i6=;A2<|cj01OfZAx(4 zHb%X-Nijm42lHd_lK-ZLZY={C+`S>E^Q60D@;fXlk^@llf(tcB#@wWnPjb5kUa)cR z=EHF`x66*+)wh-(-alZ)Z}thVai}FF>SRBp%6rJ<=80A~&_m~N<0@i<`%eBEJulqp zQ)kf*Xbo?h1gR;-^dyPGmT{N#aHAaLJ1#$E?oEe`cCF zeKCgABXM!2%(6SMdgk8rR`psCkG@>wr#ov~u-XhfjUoS@RKTVR#?ZmRL_BOX=?bmw z8cc$YH_bg+iwVwN$od>WeIf(PJUIm2!;(n)uVgJqCmfSrDf+E`Tr}|k_h7wk1vJv< zkNJ#;EfWb}XN_1XtqeENEHiC*JAbRXeOrfbAJ_tuC^qiswM`O37&_Ny*5A0^Ec}iW?75IsG*XzU#Er0 zKF5GHrQx{2TuVoyxnNG$p!y%ELW7JmJ+(W~4JhrX? zd86(|;GdAjxHn@zcYH->MrD|;v6c&n?hpY3$~omRl#Lld*r%Ac~3cU+Z7#058 zJD8)!_&YA6o1-aL0)y{j)J+K~ioU-DFG|h`{O+@^y~i!KgPeV!J~u;5zpU0i{8Yps zD?lE`V)EX|WJw9vfC=h344M)d5yuCrpiiMO^KyNI zZ@9tuwS=9u@R(MsU^pKa@7 z#z!BT1cC@}1M$zb-cc%esI_&a{_AI*;l{@Ol<)#Y=#&b19!dV9!AC5UC)|A?eVY2c zi{<(#+9wRo%u7frsexbyfb{LM?a0dvjmR?MHezsYgQ;9ER-|;x*#eFY9Kdw^P%mTI z@)CEhz}a&=1%(RjD0WtY>y?~VJKl+GPteBsv%=@kWVtQgT90|xGY81~-i8z>ixAN~ z&7ix$Q}SKncY6UWM6j~0*p9XymZB>C40$I}GQsV#D7u_~9mCN~J!CaWV*)BG;H0!A z<~MhC5_8jJ-<{oS=AHn}|N8NNI3>lRXJKt!_h9FjXCF#T9&U^u6t~vDts_0nSh_Xt zl#P`}g3kAA@6(RxKb9QfhBLTLysoRWg*#^$1Mf?2AdA+dD=7tyw^^ylTqsRo4=Y35lRnS{15x6#XR?Q7$PFAub>_P|K28?U1_Ob-)e_GQpUQ5 zH|!x#k>@Ir?+Vkp7PhrPol}m6X35tq!%a(kGP(A5iERq+xCLC-B1@(Z5_z=(f*U3| zKQ9}DGrb&q&&mmRauC|V^oJ?(UFL4s!qkmCPw9kT~eO`ewcKh3j7$lTRi!f z-(D^QeRV9eGWX*d##qx#YGK$dj3u5;;t8Poc*)^LFN1Z+{=Xj9^99YLHv1&nG`~co zTz_E`_Y%9qx(g3ktm;I)er=Ur30o zrr^Z3JTD%m1IH2-D-;e#cXoCnBc|C%jc)WjiX~g4P*q`DmFJql(#I1S-d-&Vit z`)g{Jls)$JE=H})A*(HAITf@qBiUr6_XDM9>K~cFuRhe@?*DG($1Mcw4(pVVu}2r2 zCZbJO%i0{#@uq!`WS_7X~{F`#eW2~o=*&G%B`LoVy?;JP^Lzdjf^j0l4wj7}Z>V#iBC!(d zzT06e*}S(c{6^YiVF!af*wp8l2h|ePId4t2N)&c;9HUXZ45FnCM!Lrpt0;c&Vj=VR zD~BHDrt$jqr@=4UY~$p2O7eIi>ygiylH%1Ro1z9CM&$ZNB1#NMtlf`mEpc; zn#RE-|A6UvgQA`1Iq(Mxhp!<^(~v3NYPxs7=v6z(<+>6Zi~!QPo61n!efFz7Q2nlH ziOIB5&;8E(^mB%j|F=&hj(4lelt1KPv7yd4l(#ZeRK+!)(NS3&n7lJkV??O!& zVqNOj`?Z?1IeSG1L3(L2@K@apLqYhsZpTBJJT*B*Qd1AnP0c6LDBHv`_2P|--f>Dv zA_;@9`b$*t-(IytD&KR;&@jjc&U%*C}~Oq8ZicC z>YJkZnNIvn9uxvc4R6`-YHt&t6^$v3iVJ&Nr3@;f9D~rLKxuMcZWAz?N1)z zJ<6%de|p@Uz^=t!-DHz|r`}_GHw+zGJ&R}~2BcVitY?Gu@1w+U`BzWEn@{DMxO*(!g<8kZ%^eG&@p(dvh8R>lEhzc9 zpprYvBA)_D%TWWEgegvg$tG&Z7Vf;u>svtR*1Na&wp3*f-k>-m@H$oZ^gN+ay1w^k zycI;Gw9soDNqe!gm%lwmf8P7uX8#WW7(wU0uBcS%~pk48+;kfL5M>uIi zD?|`K-#h?F!}^MN$XOVGN%(#{+~OYx9!Uz4BqR%-9^8}Z`r&G6#lM)$!oU{4r?=*C zg2}!nhEytUY&!xyKBo!@-ZGyNBHRKwzpvrOEz9Jqq3i5uz7@D-1Mc?Y&|qZr%``h= zli^WokPXMJu;w{jD=R4>hpTrVFW;^i)K0_)g$=`4pT-!3mUQIw_k0C?~HpCWfZw(9G%<1vhYE-uW#SB6rEBx{#V2- z0aAv*+x>A9O`JcatV(^Bec@Djge`&N`e3aUQckx=Haw4Rewd9ySQp+%7B=R?=zk0l z%cV$|NU|^`cwO9|%L8q$$xM<{pj5G2vFtYTN7L}W3sF#t0_v&8ywhP+lck_^!EOrEEb}I(JM!^ z{377-_}kmJ<%V>!w)SnCk;v`-U!EM$MAY-$O~ZFfTNGRp-Jqou-)C*E%*tFhKh*o; ztMTWhS6X;)%~qC}%RXH+RdnHdsRv_QgZ@|a9EG>i*QAmeBnf?l3jl5b`F~sm>b{;$ zP1B6~A(?l5t0t+8Y&NkmwU6gx_+Z#FEP3NM?8Nh1gGb3_Wlu5&g-{>;_T+nk>)hfo zG7q&nrqwFUpoh6-x%u0*y)iedq@#*giDmfXzW)G=xfkP$?fPOL1aUrQ^T>>>CYUW zuk^<`jPC_1{hlWb%s@nS`SJDi}aJ8|py;g)?xED;~D5J_(CeL4Ah`;Sh;1=S;xJ1pp4)&kZ%6MOUXIBBXX zQjaW~&Xv^=jfktsYjNJ+o(QI$mx>A_u6E z-Be1nv);ptd2B!S@JD|Bm{Lwxz}z;j&#!$f$)m{hPDhf%7R98>sp9g~SO6kk{{WZk ziQ>`GtY64t6e#rd{64si>8b-ul_WDT59KKUb^s5T(+14a(o*edOIb-IHOMyO9v*i2 zeWS%gqBQpco*xLzx zm7@5P*9VVGH<(Ro;SkLQqGQPJ4q?p zYm1-H4A!oqjm4rSJ6w=&hm=!ORPJVBa!sy&csxo-_1y?C$e@$lTKIRDO2C9S0{qx< zd?XMuk~Xlll%D7J#yX~ER#lghE!!5pD22;2{{Znb)$?hO4)s|r9cm-zS&THV8(ZUv zZ!7v(3&x*5N6#NOsA?pYCXHMIz*rIJF|@yn&aQ>0(mDnSiFK!*zG&2OvD?dE#__k{ zeSI-RGQ$mG?PUpTaNX~0E0+C@jT6}v$8kF|2w2~>th{mQg4ArPfy6<19QOP$AwV;T zfefs`gJav@1!1U+BA4Mn7bJf9?S;GS^cbaFj{%4P?;~)x1xIuH;|nflP8eA`k_kQV z#cGD}7$VI3R{g(yu;Hmvl~O5yX=M0~-w&;hC|4$0 zLrRRyJYG~Ib9e90&$l@ChbyS7HmOG3R5GsLy~)4#!Ad-q3S}zLES{yV3B#zPcLq9- zy|miK$MX7qI3lgQ8F_ULu}r%vnkEs&P81Lb5-{K!U!MKPY)bMJbecILP3$f=I^BDN z>)!w<>FO&ss>UJm?aZz1>Bn!w65TyZOw{VaVJDP84Ya6ZizuT$c_nm7a-mh3C`qJY zPfkf@_6=ie*mpPnn97;%aZ#xC1li3~29l~x!J;EZ%xkB6q^#0et$|^cwo_#~02Z9Z)Y!=I-Wr-(^4U3fo z4ha2>RuGS9Zcfl^ES7ds5kdodhF-*9`OWUyM3h*c<-UW{u<^+y}jlzIbmm z@0qZUvSA!{CP|efYcYw+vvw>w7??^*RwpzzlCEP=W2dGS45R#npUV@i z5*Cs)ugvHql2u#6jHAm#&57pC$3EB@mBUFH4NnR%3u|A2^~HtLogVs@NTQlS3==R& zw}ZMrNEzF7TN>}steuKxgo9)2ZLX)X-6eW2N+&}u3=QMFjT)Sds@RB(bPg-#Teh7Mep2x&KgurBe4X6eFw{o zG&!onxgU!is!6{b;v+X)fSiM^Ul2A?Vn*IiZ^sM8R~17>i#RGxi*B{~#9j=_BL4sk zq0pP1zdmqElCVh{Mun^|wEEuz%Q}YAszk1XGmed(Rv;{Cxrsar1O2}oLYAmkN@kJQ zb1^msgw6#h=hWZQKD_Z;9VDS>lC zDJe|K86xz(t;sn2GU(uRtBOLSZHf*p^0ptr3e5{NQ3Y;K-sF8he}*}nO0YU{xc4M` z_c*TBm_6tjEIw;9C;^D>KfWAOM$mb5?ANk>~jDPgIAT3Z`gJ}%?a2_y`XY?r$Ypr|+J)7KoqD$z_7Cj@tX z!v44-ki_TBNeKl(z4*QV0N)o%xjS+Zq~9!n$q@xWw%~ma9DCrDF~Ky;1x(LyPSB)t z?td@uhVfI>x|DY)LtHTy7;_COC}PqQ19N5^8)KS;osi$a?WpLbR!=JLZ%md7HX z1rXFm=!yWl8> zFJpnVB^}K4k?v4ELAw6=!VN1buH#?<+HZvFt6qw@$1@g)3#zI48(~HA3<1;%xU&GAy|5QU+Lc-K-B9iNjBha6tHU$ zY(Lu$e%O=J@PIfLTan+_@c#f@Uvq4$O^mB(A=!I;M0_Xw%iH_mB&(Lze>K-?#R}wYhXqaYBNk(Ru#>3FmSEcN{&V ziaJ&W;QRjIaqs|_+e1s_y zSk!rO6zpSbkKM5&$!i&rDe8FmfdJdz^zDHZP{O-nVQa6$bKm8MD>B43O>$HLy?xL8 z3<_?l%kD&`hA@l*C@4*W+k^Aq;diFm>g1Lr4W&r1^}p}m0dic))-sPFAc1mJ^K)wq zAEj|piqT1P+QmTUpWpAAu1Ia18RUeSLY?c$2h@Eq{*yLYX{L&-Y*&G-q=>0=BAA=87PmjYOjZ3Krb>*TG#d~y%!H2j;jMGn z4o`kb2Hmk?^p9>`)QqCK8?!BXBl(TxsSZlnjm5Zc_rlYSs%6!uXW9K5Skh$_KW$B~ z_Gqr>vjU>T8w>q^rVU9-^&bbFWIU+1ID>S{Fil6&{XJDYu4jCvoX9tPQMZ=27x^2E zNiw?X%(8gk%)>lavbbxDeD9ALnI$PNsq1lDN&N#*g@PbAkXQVNpI>Y|gD^>BD9CQ! zx9#oyaGWqw)XFAJr+S0PzaLTkFv_}^MOAuIO^-W`y?%ebFeKkW_#M>KO7Q;xD&Usj z-?6v89c6M=#L8!ki!Fovt^WWRLo8o9R^M=0dE77fU-iK$CXOJK;RVcNYZ1?|{ePw< zFLD~R6Qa#wjslVr;@==g%wcFefK9G$y}RNsG?lT&?G64G__qVc%k=GnISyuyf!P=l zWhza(+n=Th7O4~pRZ@t^s8H(XwU7H_+`BWH5^1W|;PM#p&&&G$cza1aGr`=m1-E{A zAJYtKXrz%3HYv{|w;cYMDOm(*Y5v#?DOS1r!1uNniD!yNje!9{+SmTL6_wV@l>}y8 z>AJx4bw0-jR<@e5B~@L#bAErO2&%!zNH*xmw{yS(*7=Ng4GfiF>~NbsdvS)cLp*YB zE$}JaJ#EK-*B#K;%PWW>bpZ0x3;bW{gG8v}j)IQ98qdJ0)0sSg~4scoj`fzB*)<)1u%iUy@kN8)C?D~h19WVwAc8mcwI z9iC$kk>4Y6{+P{TJ;QrQ%f+l$zAPUT`pTzVb>~)Uvtu1@e^${2A%KiZ!4w}`C|-Sg z`r^JIG1T4_}d$VJ(b%1Qlx zcv&gus3KAcJRUy0_x`vvZiJ^h7o?6*ZMY5ADha>W>4_duAXPyVkO%>sp4R69vg%ZI zkIWXi1fXsR_v7pM;N=efbJBncT;x`hL;Z64&@^5}IF{Fyg(CUUoYTqrDTowS1=e`fq!jpya<`J;rfM9Sg zhJqDjs`AQ!*03Mx`~0w06rz?;Hd$^94}aenDninbxuJ#8B&d=$vE+vw8(;P?OE00N zdN{@b>w7TNh_W@@lDSKk6#Y?3D2T03sls{j5C&u7502dQ{6UYZMG) zl1>VtKMIdhdtbO1(b__a44+Ct0`7Xr;#ZxKzzcO2PDSl&^YzAtpBt3z^o&hzWgIOo z*h;Ao&d&8ENk4Kx6tAVMMn7YX(aMP;(3iy z(V!w_Bp8lZim>bA0xYhUohCoZTpQVo(09^eyh;NnM3H}cj9&?qCiwf7tU0H^fA z^P6ns(hKH!t7a7fG7iP#i-O;mZ|jaI;+Z68UdnH=`M)^wge6QKW65Hs-115H{BMaC zU(?-DU7}A==yKMZCQQ`j(pALj%NMlVkW!x4_ z(j>UG>Stk(?|TAXj21O1onF)~;iE&F=d9Dbxnr>FB zEq>S=u!>P<@3h3hclRq{bJ+g?Y&Cdgf^Rt_4v2QH-%rOLGRUInzju&^Kc{{VmSgru}) z_AEB@&wP6GEj)%;fD6F8a7X)LjCq<@=BrN}iY$cQG_q?kO?Gn$83Is`DXFSa#Ru&ToQl3Y)Vb8%DJQv zR+30R?icQh1KjrS>+-^xd;OZF5S_`i769}6{{UQjLmYBKWDyo4i3^_N@EBSBP2s)DLAP>+Vgk;?k|{y6K++h;s(Ha+|C`}{t54OKH!t33mi zVpO-NBhtYB73eOuqEO^l=KP z#PTheToHaT)@LF`l}{lo0z_Sy5x}?7-`AWBg0iliipIu7YkUWeKDZy%OW21>xOvs6tdMgB-PeCmR0~TW-eOe=0NZA z#eb3po(<}|!?oHs9;9~fdtTT-)*9)h&tl3WllfG!03ifzR^;6A=so?gE`-XE7LIVE z%N%Ut_r0y(zv21g+i2k91!t4gJIMb4F-*ykv#Kjli8n~Q(6p+Pvvp@M`dSB{iS253-*~)a026idwTaioN?O) zlBP$H#3BKk?`?2wZEnWizuNROm8LsL|tB^Pa-dt)bm3Vx)F@21rnGOn&L@fDU8V0jxvXOej~L}F|{_pPsu zVFe7-`=)i=BqM19-}`pQjMm0b)XhJe{m)UPp6qFkM3U1=7M^=XZb!*Tz8=RtJ$#DK z0ahd(%fP^DJi@2UD;QQ)J(+H8`2IL4OFVT~CLp$3k?VXeni>|GrK6K--3cUI4)|F! z0vQ>>vF?4&5Q0y%0+j4GUy#J`e`gC)v}in;z}!2FUjCoIrX?eX(bPuttg=K)GjqKE z093G zUSiS6>E>-y+%UDURtMPEKo}4~_9N;r0;Z46ywN$`?xw)}zg#$`&5a3;maYZ|Z{O@a zJ@82M3SAO5q=IqhNWXMg9^a-J(?L-MP*ekBJ&0p_VcuChHR=3`2G9XN5H`QK!rx~~ zVdlDyEnr3s8sHp|vyVQU(L{bSKLQRArlT2je{fhe*pch|{+RJ*l*lARehJvhzwd`4 zYfg<=tOdOX2lsp$Jrz9i)usTVg33Fe-@oaBdhax!OX!^AJPl=|%BpH_w}Y}KYk&76 z^TE25AvY9(z@$yftfV%_i9* zI)P3`@-$G%w% z8cP=*_r0*ca{3xFROt(DQbT|^8&A&;soGe=w(ZyDhaZ*_mD)la9mSAbuVMOp@VydB z;F6)Y^Lt!dzxKp9D+J`|AR`jE@?%!na&7=N!5SLCgnyMuzXO5(o|qXEG)x+0H^0O} za@cheJlw;y-qs-VZ=b#yOM;{eAGH59Y4I*WR`W3H@+%k_Rl?j+P`xNfvcx3-P7Cr}D$PUzosoIdVZlaIG&?X^jwigg&ebixD#P>cE3KqpXY~E%M?(f1Q#vX zj!Cy~>xAG!R0mJHFZ@oEMPEO0r59$`V2I4Q7c#=DAqR(>GS#F z^(<*k{{VM0?br(vZ)F_X{{V&=gg6zP?G{%-v~T#XfBqp@jv}S_myOqOLD~ub0DoU@ zZz$yZHs>+SE|(9!!~MtC5T1!v&{p*JgEq;<Y-{PUY>j)KUstGkvfAknBF+#4<~5Gv*+Kn9uaDSdbH%5$Xjw(Cw%iY= z%O9}T*?bAxC<$p{4Y?yK$Cg)X;e|}pvn%&A`A+Z**x4u3t30k24(cY1)HEq5 zV4aU7Tlf7i$2F)`%jOjJ2WbS3{{ZWUFs7JAE=X+9%M>=+S-C%46v)h;Ld09K_OZWz z?S}K;?APaQ{_q#K%MP-}T387L24iE-7vSNj!SA$aV~|9c%!kcT2_w_E!lG3YC{hQZ zx%|FZVDgzx(PsF#1OPzCa8-qjLZlOZ^A?H0db^X>llV^=s+ zy+K|MPRGUK6$b_pZmT8B?c7e$!*AY!!sN$lI zN0y}ms3o0^ugSqJSE#76Jhn{AzI1{p;x8k&76aQ9PO9olYP`+m%jPdegoKSb1Y3jp z;*L9v{JGW9AkKAzR{sDdi;-{}n_B+8{{VNwbOKt*SII*m?RFL*{JlM~9?L5-`6pG( zi$@Xxc^P)t9_0ME#gmidwE0vE6-+=lAl;aQbIAO`$28hR!f|TF@`_POMqXF2EqgzH z-@nfU^2nATW_d|adFQtt-;NqXPb0Y@z7`vn#{SqLOsM2U%2l?DmhEBR>Hh#2O~uOg zoh+$vK8C4djhG$DZaoP3bM?dnp;glV0P|&4xXV} zJ%xP6+9lvMiEeIhfjubcon}emii@ZpZH3~^CWa9c4~+;YK7MEm?7N;eJ%b*`z#n6QSt~#IENZnRu#HO)-1F~$t|Q%jt~GiyCDZbJO+IDzw2%(# z3@n>S^yZ;|EFTi!$jc>mLufSzMxkBucwf_zJeO2CGe<_pzaIl30Cxad-G``X{-$FIw>R}arZfkQjY*r$OOa$Wl`LuMCa9jKof_Zx)fG#7{r3EM?gl74uRV@w zBwVjDc$y>e3OvF^AP(e!{0`rr&k~^?&bcuW@=;c{Ub(GAbEGWWRs6mIBel=a z@$$neg;5%^%1y`K^}jyYVb-p;^-gaW8snnNsf2nzDwdADa!7KzSj2TQQNkFPNR~oN zw6YM*V9r3WBxBQDTAX>N>&Dd$ZgGj546sXM_WqvU%h=b`>KY7l*f0*yRj~x0RY!#sKBh|`D z7FW+Bl%Xc_)2}@Cu(kUh-q?nXtvda#nnX4(`{LS1rH#Iz5%R}!(}1QCDmKHpn%=(= zBk(@F(LMc_0h``G9Uet_hREN+D9RRyk2_KZ-06z;1AE5Ce)~uEUjkT>y`l+l{mth`& zu;5>xr_5kq;khcC3;r#Owwd%JOlGeff|8_*F!)%n$bP-?Gp4TFPqiO#VPSqYAK&!H z&FquM4_fG*A*g)5Q@9c4#KC~zf0dTq?Q%U%F24=_H+6qfdY6@S^E%T}B4#qWikN3$ zP^50$sZ-6+uipH-6*G8trV(@YU)VMu62X>fD>b4`cUE>Fsq9Uy>3-aEil@f@woH$r zbHFP6nR5!bp$td)IdVRPBQ5*#4?T^UL01yST(aAB?oWGqV=I3WO<65Ipws$lI-~Ys zM)S!V9xuOY{zGuT`e8j1mVH8q)_GPoW6fn!`KF|*t4Ptzj!wl=Nni)9zL&qZe10APp8)m zBdLjOsVGJ&LEPV;@A~>Kk`|37ChVtcT}AozupdkrP!!q>Q@Y7KXL`FdWP`Vp&%fjQ zwj4oB$W~`!hCo1Ldk_J&kEhe$(~JV9&R(1~F3{n*1JHwh-rQgF{BZJ{H=R}CH0xy{ z!pm`U&-TTmeU`9=RXK{42ylu{)F+Pq=eM`dpT`cOlBHXCE5Hg4-@4p>W0Uka9}aYk z7*o_EE5;ZXTaj-|dU8klVZ>R@3OIIUZ!+6}79`*Dx4(P-9A1+N7s@st@T%1EW!*xP zPqW$TOsX!sR9ZEX#DTy*Q5^b_^0(29w>zkTk_^VgleeEvImgRC;nAC=KVNbxN*UQ@ zn>1LNT|fr$I5!91a0lgK?Qf}dSzNU;gXRWA;_5qN$@tbk{!(k>`?Jz`beqHxhMJnH zUGSxr_PF`}f4{CgtU&{mgO7*3yW7+Ku+ll@$$YpZ01ySoCjOWG{{W^7QPa}q5MPkT zg24Pk-1Z=PV;s^LK~*gzD8;@e?oGk`a7vzd?Rx`sHM`GkNheji+U zUqte-m3uD$SduOK{+Jt*)XtSaNZ=4}w1O~p2}7}r$7dq@&#ns>V49{3rfJ!>DK=*9 zZf%OM$Na-C@S&)ju^wx{oSW{vqmQ3%-z;5eK?1mA@RHZC_r(|dOJ#;X3OTwh!8wH< z@TxYF9k2NdpTh*&q^K?@{$fYVvoDu9C{M-D0FVdQ9*N_UMs0-#&=isS-`n@?hDj{4 z%=;w|aqtB`oxdD7w1P$6LXy0KZ_lO&u|(0AY%5DE5M$sKBXXl22dTs8W{E88aq(Sk z?|-HRQOhKZV~{n}d_)fTBS^DIp<_I!3{R(S;}KTHX5EiRnI{jt5N~3?KlsN$gp7*C6WLjV9Cyy3KdTSFU4+>>xXu|F~SW3B9uzMe8qeZY3-zwg@z zt3uLl_!W_&j6UT7u6{Bvf8Q8Jk>#*)DFlwhf0rIi;Uy~`;=~IDx4)<7j-qysL2taP z#`|}-=Yqm1BAcWsIkoOd-EM8qf0yr^bxR^nPUlr#J~r$J&*g+BF~}5&PT|NTUf(f7smLo4JO2Q){AlTW5&ep;%Ql3j)9;-*B zj-OBUe6h@B7lOM9ATp`u++Vk2g47FUS25iI2Xn2h*qkt?%UM+?*ud`vZr!~Ax8;MB zl+jc`rc=t1We30KhjFV1m83j{HmJ0w!uvwMZ(mMt^TPBl0P_{Ny_Ji1Hu>SaRN)GT zzc$*z&!Ig0{q2WgDSV|_S+DNHx7X7QZAlr^cEVJKNeY?Rjt^ts<%MOEeg1S|cCEm; zzTy3_%ATPV0L)p4a%hYgC(*5?^O+qv}3*Z%YYIPvFegDGPZ`r{7?Zh-}%C|4wZQt_#m_Iad z*FqV91Rg#vM|<<@+xp@&s+LMR60#`1;k1t5jv2=4RC3{hDr;j%#^>L2_d_1Tj(<#b z4NNh|<{4C|VpUHEk6Z%#S!Plu?8I(v$Dh*<5~XZoS0DwkW7_`sb`oukQq{1O+7U&YW=FA{HPHR z#8}_rwfVQc7>b;!BQrK?ux`1`vp%Tmm~xgWHfu`e7)v4oQ{g z6&VFKsLE!NifyvL+v5?*@3gT6`;Ol}Y%h*_T}hecal>6fVNpCzBoaoZ`_zXPKDH!+ zIUU8ZIn&-Bvux`t8Rkh04=o&pZ1I8^fw-wYgz`rm?P2d@D0-YsZBC=hPIthKY&t`x zA7rASOuJHM)yw8pEOHj6qmI`AxE5zO1Qk3LYk|e?ttZe8Mc0oFS@(*~7t_rCe7{K6 zQbnyKt*jG>6eGk8P8dn$DhQORQV<0@l~%$#(Vq;}z9st2m2}%CqK8e^RAt5L>pslw z9A+f5$WU02!FO@a;w|3T*&oAe#I}d$qy@}yPXs!x~-5Wuz@SQ3u!V>Rn#yR!xOukiQPZw-An(0(IyhH9FVru{@&>GGW3 zA`H1+X#+CUWp#>FEK{gL=zi>|8It>0g)%)G4M$6Io~7!Zqh&cq_|-p}uvejsCnmr! zBwoOF9-|r;st{$J0?oR;{4~0UkWD_5*R`=#X)MaNv_~#aokWh=WpI$kW)dq$PctsS zKnw@~qf%L>gU+Mrr%Y?Iy1hR(ZI#hUOf=@8h&45OOgSo~ALb}fPy^W9k^u$WdVM}j ze8=OHH&Y2>%jlfBhoM`Tx*J?PbGd(Y_bna_QNI&kRp{?Aoj(KZy{$AF-hsy%I zJZt?i)E=s7*VEaD+C+K01#eF4VdgEK&H(`20tmSLF<@xi@~i&l?%;1Ss}GPi1Gk~VddwPp ze5kGld^LVFslTX>Z{-@NOvPq(+iCigLuJR8c*jOGB1QUPE=vXjXl*AR@OFq1s-i%km3 z%}td&Xsx>JrW$pV>BQUl9P&PRLmPdu{EyYZ-%;D3#n5mR#)6ZSX_iB-_rf@tmw5Z za#L@7#ziOLC1m2p+h}G5?>E--e6y`@GD zEX6@vi}A<4D;Wc6Sx5M!%L(KbC3&hqWhQ8nlx|8zf8beZKG+kHmU0tLrvpSe5sop6CRRb!^ zOC>ZBvg|Af1Wuga)V3|G{{W#HuQk&388%E08li}5*5fQ z*@{SR6jQy(=jHliE_gf6pHFqmQE42)>oi$YM=~cMG&KsXe!%_XZ;{5A*Od{}XdOlx zVpsl}%nP6Z{6A)LYysrsnzT~r`LEQSB-DNuTV;B8t{VRUDa|BSk1f>Ies`8dJZob|B z060MF28yZK0j(bqH$%w>k^Q~#o2k;}b8?}K9x37YmdQ;g^(M_aygaU@&jX)) zSN(FQNU~}++E{t^+8V(8C%@x|)VljlAYgNNQC`N+B947IC+GhF7>M=LTVxrAOPA4Q z`JFvWwq&u&5_Dw>RD*k8w&Cm8o;`)9!<%}Gi=z4U`bpw$6HoOsLL{)%I-`j`GR813 z$@|;CTrTo%aXZ41s$2k6@-2RTxaNu>MKq!@=E`sWTLJ1tt;s(x%L^n^Z7$3#$V%Ir ze23w0*B+%Md>&qN8_ko&RWF?kdyI;q(A=KKfn(Sa>Fe~vSEZgg#`#L~cjNK=e}60y zjS=OBNe=Ye_rJf__!3w_6q1imUlqS~h zeXaTaeB%<^}d0FQpy z9OE9!?`?zR5u$D%34ihu*Y!N#pZN8~t>CaDc#6~Wa1WVKBazqQ1MKp4C%Gcy6_}=x zxHS8uTkar`e*!+g>Dv}}gXx4Fc+_4t7DxQ{5Wwe!b6(FSHV^oL^8Jg#h-}E?%$)Ym^iA-_Jq5?P%Z%S>J|#ybbUDu(dvAH`$B}P*qfO@g5j^TmC&T zwQiKm>B<=Vq2?8_S#IBeABW|RS58&bxsD=tDBEk?a6N_!vc`(-kdo$AF$4CNLmLj^ z2|k~Vt?%-}u;u_MRE37-vK^!q`rG&UAt)+i1s-I@gT(Gz-M`7)6^~3Esl$z?q zp`M;e43D)HR`w+P`97Aw=bc0(F*&}?GyEs|b*Z|a;@eN>w5dALY1rk=GYYAclopBB zH0IaboDZeZRI5rm8C#ja`&5xl+R4hxPoh?=FHjrUh;;Nw(A9 z`(Z^E#@vz~&{k8|D|wQ9Xh_+Ai}L#43;zIzNUmk4Ks^P8?fd@#Tmdwdxn^Lq1-K-Y z=Hz{S@gmBz2wuzP0N>}oX5;<4;IV^z6?qQsrkeE#ZmaMxK9|FaY{SWcSO6HP+SULc zZ{N!fB+C}2fYe6c6|J>Tp+D(?RaCWbIzWSZx81+5=ZkETDdr&M6Dh@0}cl6SKkIDf;_^V zNeOdq{-1}Y3kFfE3yQ&335~`3s8;RAt~1~9H?E$W!ggZ}&VQYoQly7yEW>Q1EsuUh z{{Z^i;^Ns^l}=g~J1xjJBlq7Ji}<1Vm!;Mfl-(NBwPEP8$^7ibb!()RKr(xce(m)@_yQSc7-zs&ycfY8Xwq;0rz zNV(_h>;9N{l_bWl!tBP@y^X$CI3ruRW0^R17Nw|EVqV3R{5`v0kNN)qd={grj7C3} zc9tI%xcxhSz9Z1iyTEcR(p{Nb`;PcEmV5+xq?9enpL|uvp*e&%No~;^MjGXb?rmZR z;er&(yH({>3LY`-~M; zPzP-eqmicEy8^K8N$<(FI)-J7X|pxGKxQi-GCsg04!{vCJdORhDnO7nW8af(SpqrUvS)@*_ggQ7V=L;QGtoYI6+M$d-n<2_20m7o=4IjH90x!rC*Ofr%; zer}-e7urDlN8yJxGBe6aZfrJ?{{TKabN>Js=^%{CE(>$Tp5HzQ#A8yDJq}8-_E@hY z06js%z76spy=Ay3)O!6t93IQ53~?#i3Ab_GkK=*a1uIOy%Y}gd051OkUc>YJJurSM zV5ekI*v5Aft@f5p!I_(uaMZGZUBD~G&8zGCzt;_FDorenBcc*{0E^q@hqX?s z6c;M1{#9F^*W>lV`7S_fT`MKKE$)|3pVN#PMZOIAx)|lu*`9GKMMD#Sd3STiryPFy z#LFP*Z}@{OnY*-`tiD)YJ+OC7zij@XcTl#X!6BUNHHNI8 zaQ^_pwr?>rW$aZ$3x$!7^DkgH{r4Di6cl77N9^*V-mYdp1_c|}>x zy$BzTxE}V#rKgdg4z&~S1C-~Flkf>t72da9(e$c4ZuCFeaJEKxO*E&%wyQ<;b2}?QMlX<+iX6V{ejcg*3&qMP&pR(NJGfS z>=V{jioI*6ittsjEJzKzxIVvz8)g&l<`-T4i-w$(&FSf#Gh>haKdF1!? z_rQj)dSW4|i-FyVAcK#JO;nmbswD+bRxnPx40j=i)3EozU2?BklGJ^g63-la>=&C3 z_P5IhD~&aE)c|G#gMVBwd8#PYpsT*q!j^8w*x-OP;Q5vb24r=5!%@w5`QBlqusbs3W*nFPS2FxWvL`}2RF^}}lH z?ngVf0Lm^@_9M6KoDnW<0Lv##Bb#bSDIsFazjL+we9kkESv9UdnMHW4VZ8-u&tVxrYrPPEJ!cn zS5M}i9W%JHuAfoPa=iM!Nz9NT0*hfo8t!Y70FqE|{{X@-e)(U)r&nsURW$~2mTG3A z%<|gC@+#@ceri`5xsYe!K^V_7okcYx8;F!=c(GX@~`;X&Fh&42tkMKg6#q=d0qb2=vk zF5*IN+Iw2Va)Iy0C;6{Tbq`7UC9Yu2>$2CY%reO&cFSqDL_DfP7+?q%+(zd2Jd?@9 zEBI&So>x(tCyQi4ctKVqo*#}${{ZU05IbWBTB7j24POuAP>-Z~IvC5x4DzsNaDmS} zk~@BQf_Q(br_^0+)jG`r?IyBVIJn&;>)AWW{Ft^!MHyO zKi~d%#8<6dHm>T$c14+G*}OE<<#La-2_1xto?^Y!fo;GB7UR<7j@FEo@(re0Idy9) zET?~!bMW@R<=>t^JV~;dB$4BWM18xIM0=Jb_O>B8T}4ba1q{W(_^l&c?!T@5?fBmk zYCpD3ODtJ(6F7*qI}mtp^71`FvG>PaaDlZ&IpKb?4PoMATI)I%CYE~o`KjemYsWoV zWWUuurvAJfYD#tsMnm50wqQ>^t?T&XICv%L?xN7NnXYN6vWl8Hr>KEU6_o89-^)hi zhUV9C_=D_mp=#tQOC-dWwb_?<-6ysUIoq&CPSVqjEBr$B7F{&vHLixEachnKbTL$~ zrx!ecI|KE`k5ka-U~%%f}+?#s2`)8MmwynTA8-?@ebh)6F2v z;iHubU4f0#N=5{FS))Hd0X$<=XDo-OIr7ZA0LUuM$+du|emEBvA6!{CxdM~o&ywCP zr*-i=*D6Yx7_w@*sL;qtk>%!bDv`Ur)E+e{S4y&$c*~XOg5WGKn~~yuxpz}u0) zzkg5P7);YBpUG!%Lkj{(1JGLk08dZP4n;B$WMBYSw>)DKI4r>+o{QRQIQVyA7k ziv#c9-`n33q>0?l>C#gRDgZpP4aKfYUc%m;yWusVj#&h8OLhn1zdNmK3tQjV{cyZ7 zG#imrs{?XW@Yc7t{{U6}ansVfL9vN&{{a23;VOGr@$%>XaaAVKM(ANtKq@0goIcf% z?oe!PZaMV!x6kK1?jE&5(a4n=mLs zfJwgKN3i1k@sIuwtWl^W{{U0b!^Sky{7I87Md7!j+KLvYeIo=n0q;(`MZxcG!`k-# z;}kzqx)0MmE!DiUA<@f_~{eRK@OzO1-E>VzFQ&v+n5!cB*I1GLCnGLq-n@%*CA zhooIT%vSkUrlydURW9zkZ|%T7=bxCvYOfAiVUz8-c419+YeM_12gAjPUuNxYaUIDw z@@0%SVoM9#_uuoxqc5qCDW!V)(=V2Q9g#)3hkF2^3!eNBanH9$Qz&rRIXoprI-ehq zFJE|SpC;*)nY|MwW@y>4Mx}vFq(HRg%Z;jd+}8IcdwQE|z%PI-`%-KAZFSWRJ>_MY zW2EU%RT%#OxL|IoN2u?AYkKxJuYicO{&Cja%TMMMQ&mw^Xxf7$6~G@ZRh9`Az`3&p zQ^@27Vc48)D#%ig!f6<6=s5xC0G|| zMY5zw00436hdE_XtoX=X%y|qiYkKpJo|Oy%!foVS-{yU=p`=Q97QT%I>^Dcc#`gJR z2g`6q++`4g5xrzW1&yt1Ullg0@$cb_LG=eR>E@^EZ3R7US5ma~M7Y|L_bIqva|6Qx za;Jl1dq@0yc($YPztBpY`zV=Cr_T3L>DpN%G6kHk#XBv<)-mxL@J)vsu0B80W;u>y z4B5VQQ8i6vRcw+^S3Hc*Bd`oz*xi|!f=M?doAZrr4wdJI`Eb32by}r~((L<>@K7fnjM@iOBnddV{CPA)p$z95YiaOZ$F(8{*HP3Hs zd>d706(#c<%w5Lobp+h;?t6AUuYYVatkzlnovV(6C9Reu7Y?zxLP6%n#CEVF8cV|C z{+SA|5tnTr(3VfsoT|2`>@a6Rx#bJnpI_=Qd}i4_d;&^ZxMX8*D{F#Je!zV({P1bt z52f18;<}xChhF^NBp)#0SMy9!n-qm350zKq?@3UCvh%O+A$5ha-*&VHsqyZINYZz8$g>eh(}Q-{QI8 zTG-k-d=gX*^^&WWvAwJ<-yaLVhR=*0Kj9x;=X#q^Wfc{)*~VEFB#Q|E#OWw~_9oZ+ z!{~ALC&Kq#GS0sApDgO0Qya%ank%(3NTnXi#aiRva*@URU)I>m)@ha;uWI!B8X8RA zWI246l(8*8#Gny-1tju){#<%tT3p6TR=lTjjy8kX``;g+o*J7Z55eGE`f-J1%iJ#3 z9}gBJeczw&+Y5V+MX53|*M6;Koh|7eajmMVV_Bl6F;Pb4emUF_ssZkMw;qdoSR8zd z>Rzg?)LG3|YgbV%M3T(UGsxH6NhbdQzTL64KZ-X}(6JB80Ae+jNUdwN*%Tgm z?0Eg07ns$}T~Q<=K<4(^z*rlf_3h3W#T$I|ja@t=95?bKNm%t!38C5!&{Upy{@3JS zg*`xa9$8=z{6zfzr{(%#iKg=7x;@KXq$Q6mPdDecd^u-$)O;WX?dfuRdj5DlAXz?v zYEiO9NdR_X&&>Pw@BV|^lN2(Eq`Z^cZHl$W>H7Xyk3moiDvld(aBLL&4_jdNUWF-? zG?DJT(3ktXd)R+W4|TCQ@)n6i&*j8U>j1=?eEknTn12+XXHtzRAe#Yh!soX;Vnt%d<0Oj{&9CqJVK=JSzEvy=Io-KQC6D9#;|IsbU?iQN zmTI+~gq^L+FtEM7{d@Mukuw)*XJsTFz;pN;{`kXL>gTDb*ci&!BEkL;c;o5%VcjJO znsG{IC6p53c(A`~9^LV2&Gt?>Ht6is!6bXZLed*3-GG{v96~_=8~i{H-j=tf3)a$z zA}Xi=MX(m_`QRR67 z3MDc;dq^aI?f2vHzkD=Ry-$|SamMCSN7MZwEj^*Mg9P{JllbSRS>LDsB*xS z7Pq0p(RR2qaRz?Y6?_-sB%se?x`zy$D^VU`SE{Uvuw@oA{XPXtbY(nX&|U#JR;%z*BJC z(S_W^-~6|*BiC@ZY&(sn%*^uiwtU3T>!+@+db*h!S!9rxeb-}q-0|Pv{c$PZjFJKRV~t`NVG&SxIbHdfa6@~EkjYA=;{pGqhM(%6v#w&EI{Pi_80!Y zEMuIqUpACV(jfC81gf_j_aEzx9pTqft!{!y4MR$hNw6=OeYX66cOQXq$+RZv7F%XD zce>Ll9+s>`c@EY0wd-1fvur%mc8CReMYdu|~K zBv_7l#lrK%rHY;Ed37hY1m^U#^E1dmm4XHRvFeql|NQ`NmiG?axaAxWbvB3PD2xNtpJ*SF6a-WccSJ7<^sK$63b zpK|`pW7k~0bXm&g(RGkh&jn>fD%8IjqG-J@o8(ey?Kec!)l)%Dn&)w(+a#24L}>z+ zjb1io3~mF9UvVZOQQ`jpQRdK?sdKD?yfc8Y(`VFg<{xki@;K}{_U9YPFnI{YT3Ob1iwI-DIbOH>VN+c97r);@n(|bI;T1 zkD~ZwvByV^T`D}@u30Cjci2CubkSDOP*OARkL_EYCTpu$+nf7*_!k|qqV;yB&NAur zhK8%^WloXHDzt=EQRgC@ZJwb~0f@01MUdZ;FL8UDUp(iP6k3BTf}<;)YGX#bL$>zZ zR>X@~di`yURjl)^R=R&G%c;6cOQ|vVrbx@jAwnz>y+8op{{V}E4aPjbjZJ;} zb=L-#i8U2uK22+@buWi~Zx*W0;>_DEp{#}md%~lGAj0Pg!{{VY{8;--94oNF!`R;F?_-@wmXBknTN=fT!si@Kfj8j6WmW+8| z2Dc{mU|8P z&15L6!neg6Pw61_cAkbUa_$ff&OTfg-EaR|#QDWwfKsQAGb0Nd8*_w~W- zi!@7l$Khu?P`LHy`}&Ufl=-kyNSsi(6B!32FjCGtjt^`Ss#Tuq#8{9BZUY|uzkRV4 z%dPpzA61Y}Ssu}pbuXFVG!F^3t80p@oA_SV+S21RZp23(?NoCz*V0&K1-RyA866{iK z(ebavTv0{hGq0d6oBq4Z$0Onc{BHXPHUqyN-z-u^+(@!Jjg88i9tG{{2k)MH;_dM{ zTr&>6b^g5(qITE0q_5^U9rM-}z0V-58LO#Zq-NhGb&S_D%hZG2jo7C-k;10Z%1V zM9U(NgWxFExwoagKdrG)>xpHTJCRM>&0@)7eiD0+&m9)kf=z|=HEy8Pnu#=~naUov zz2awz2!+~3P*{Zv@&x>V^zV+doZ~jr8PwTcW0E~BLO2prN${|}h#jstvH9N`tN1xA z9asJ#`cFI5dP^$-rOd@`Jsl8Qc5m>Sr`_eY&}t=0wx^XuQ;)J!6%og8<@3J&gph6SA2Ga}Lnyb8ceh0=L93`{EV4&Ewi3G+ zd$9E+SX#r{=eN|RHJ(Tar7;y^*57TS_u3D*9{&KJY-kVRL(;yOb%*$YX^kOYNmEy+ z>!@>2v}L)4Iu|}&1x(7f48q}~QX2lBlsov7^na%P9P#0+x@DmAb48Xzo+Nb;R8l&O zxn39@T-grgCPt9#4aNAmvAl9ztK6Du8@-C&pD?4VQ%_4!9fiV%wTKq6=KjO=!+Eos zMV*>z#ELr*UvR&B0mZM|x6J!u=>8cV6?DIe?-T9OU1yon&z08c%*I&iqlzU zO(&4mNlRCeqwF(>3X?`%{#y*8@Sx~9KR)l^o+HdRvbRxcnZ z4`6osDFhyPH_#LV-}AaKmeE_es`f9>)q(;648>IPdH$YFmpl#(;b`~(B^ zUVGm5V-Uyuw%iZmJK}HQIw&)ZeO;W(904+n zrdhw9gonM9FtGHw2e;nh{Mp<|HZvDUpY%^5`xa@ECSTLK3YaKaVvjqc%!Hfm-P*#& z);9!^arCv7)}0|ksC47M_`PK{d^GYlU-o*_0ck+@1bc&f_w^m|R`g4!^>o_Omp`P} znI#fSnaeEsepz4VEU4{s8z=w(JqO5k>@05-nrr@{(XOPW@eJNG?b!1Hf*2gJF9}W)OlW^$~-3O*Ul=c<+gJYQ?_MNvpT}QMae41{K2jCziVPG z)5LtgsB{%|b+N5gbxjPFLw61tP~01K1&AE``{G%eXv*z1;iFQV&Xx#h^Gw#C$)|%b z^VG*^!F}NKy@C7)z98C1BAq&&k>=}C)ltJ$1hoVL+ad%L_1e7m^uoHwCbp-+rJ=zo zf1J8Rqo}#2W0dMl;)afS3~iXohCAFcESf`4#CigLp1z;)iH^F< zpwybKw=~Oc)U~w|RMX5OHj)=&!G|0JxPMFvpG#&GFe_3+BNl6UiU=$X#~!`^033Ps zDYr=;npv<#+G~@{u{JQ0TLP9Ka6Nk;d`L2^=9wyDYP1Zh=u0PSix7Rgfr#}bEo2oQ zWYJ3)aku%x#gDMRetmHHV3r4rO$Ow>%!|djJQ4?P-;N_>l&$s>J0}`1zX{sDwmzxq zEl*B9%~INPI;f+D82ENtMlslSKM(|i+n)W5Tcdx(E5vSlt?4ujt4lPsQy5xFsfvxu zakOn=e%84hcllv&4;kFK2DX~Apfd;4nXCb#Te6p-nLq~M19Au?fyaMbS2_zac)IYQfqKVJMj`(I7m{W& z3n1JAgULUjBcGNZM^$!do;}6B6jmguxEz8keg40u5!9lmFv_8THNA>LHEaCJ&qGB9+sXU9rU&U87^GSbA~W_xRzMpJR!ebcV*;K=?;*p!67XT?^7i zB50pzJg^o7aq0QtqEoin97nq2g2TADu^+GHhNA^kQREedpxJoQC#|T4o*8zrBg{UEr|>o$ z{`thq8roNUuaLHmkT&>?G7+}PV{iwr*5G%?+F#)dR+*229EK4wc`57b;$>$R2klZY z1KQUgmpJ&*K}4B!OG!(~XS1+n{Qm%d>yMyc!)N$~bce&&hveywxQ@0exbwN>Muwg; ztsAUI{L%xsHT!#$Ve5yl$C2Z-x@Mk_QHM`0*&QS4wH9GllvJ495*pi@_T>8$iEdR{ z9b_vYZfp<6eLsG<&YcDEfzvM%x&0=Z>6}@OU1ePB62tx;;O-}pxpyeFk8^%G^*FwK zO5|{OI_aigu6og^Aj)9PCs^Q)c|wwQmS6{as2rOMdh$i`VT&y7*G9%H_;NJh?LLp3 z-^CX>mZ!uvWlFPvVTLqCy~6M~_4Oz4wlc!h2wmb9YR0}TUQly7A`gZSz&`M$Dt`^oHcO>@Y{V#`GmvNRtc(sXA zM;H0_{rcdITcA>vj_4(soN$jF$PQE5=KOrIAj(#GBUx|8?~2Eh_5J$dKbOcnrSn^F znBNVF0^eJIQRsc}dX1VVSSb}ZEy>({J9qpsK3cF63$)E5-sP=-i*Kj)?fr1-2~13^ z!EBbfwe8PhZ|{bblQ6xNOK?gP@e%U>0AH3Id7;Ja8TiK41Gm!u03vY|(PtWtui7%!n7 zm~IkvL(e7lNHS_g31Yu^Uc}ffx&Hu9&jf06`zP93UBt*$HZK6$_xfQxyu^_PDe*TX zy854S`QgcF-J_1}w}7F|#l3worHXP(fh?}rPfNf8kg7PbQ)`|+e_Tv*I#RNSmO!ir zay#?ex%L>0Wm3fiDEqDeyC^ob`LV>OAg-rnODeC$bAH#i>xZEvA&{AA=pGpc^)|Km z9-hCCf7!+y{w|$HlQ7pgM^ET;5#>=+d9^7M3-5pOliK#R#|M=+=Nk)Ebwf||=S)@V ztwEVbOHD-!$t=>nxwoP9B=%n9kTLR`#Gh8@>nB+=ZEqa12xgR}EM`MxRp1*Q-%q9R z)+%z~$kw+ASIGqu)0o{9*sB}uVYmz1)7Q7|_*)~cmn_YosJfpnp;>@#cs>6BJSPN& zm&q`)mIC_+Du2?%;i}cNZ)l-11o*)xi;hQpI~8UoPEC=a^Jw!uFGBGsc>IMMmALE& zzXy|xyTd+fAd;&oieVgUwnC(k-+JBc+mX-gXD)!}shQ}CILxZjs{BMAY;C~&@pkk- zHIFUT%}2PPo)9*bz0LTyrx>(e-VlV<8Wvo_RU*{l#9Us@`s4NleX>}IiU{K>rq^XB zpTLZM$9a+{0V(E#EQmL7cc>s6f5&g08C24*mGPylN5#9bIFLOxJFzPU*6yIKzf3Z! zp{AADBqS^IYj*m4@$wYzDJ~DFw__OOo+b*Q5w^q;>Fs~R19N&b%~xtfpzhoq0kQg9 z1f-#m9g#n7<=3_mu8u&Zm=(u_4UQ+SOy3O~J5|{Cy9;Be{-T@CB-+m^-rBhiiT#vG4g{(Oakn zNqR;VQHg0o%AsET?esVG#Rb*XF=th=Mo9*u!soFf%gw%j^K)zG&hug`P`e3YEJt(f zF`s&|FWIw-r~_OzTd|J!BWs>Gx2LW)d^a0;o3qP!YMH?ftRIj#VPUXa*6wKhet4DBwArmJiKk~MJ^iph6VYn3>Ln~~dsnHZze)k8)nSGjn*J<>by7uchiDk;=;1HqR~OoYa-H#u z0c+TEVSisjNyTpiQ}0(MQdZk|93OjrKA4|%ZRLKKXsDBMXmaX?6yTczXCQ%o!r_Rw zslV2~SB|1E_B>eZ9e^U>e$TExhoscGVNCN{h^LUZJT>P~wr<*eql?RylBy|Vk#1yG zr*V7#0F-?#a(+V>e^Irbp{Uk5evzuywYd!&TB& zS3>g~$1T*km30+?T$-*|jHeb@9GmfOI6nLjmL<@5tJl&;PIJ76BCj;cq9Zm|%<&F6 zQ@+EnKY;Iy?RH(x!|DAc!d#3t%@aeE!&{rrRaHe-RZkC;lk7hgM2Bb!N&|Lo zJ{yy6M}7*reEm1^9x^(t{{Sk?q?NnEoFC|q#)mR&r!PuKZj*msp}idUG{j)c|4w4 z+ik|{0Jh+pwXx;A?Ad2Kr4?x}cV6eB(__OK!M^x^xwXw=ysl*yy|*3KHn*tv;~dx2 zMN2T5lonOD9Nxqpe=gVUgwU3#GqT-Tij{lZ3;TOve>#SiAoUh;$wI0w8}<+Hwrr-X z){{|ZbhFgt^-BI6R-iVBig`4SVr?l>G_tXU%6Av}__d~xvs6ep}5elgO= z5}kFKig@<5wtv(j$D8oTPbcujHjgBhjf=94wqE}L3B8H^`+MU3@pq;*MwsevQ?-_( z$m?Ut^}e~zzrgcIA|{%7Df6jI!@lHi9#n?Mk`y;)xuIfEvZN?jZD12+xd8tFdv^Jp zHw@61F?Z~F?6H()(+g>RB~hw!s`bmOBNg<^8H$qs0L-aIU@W%d;pCCuk>31Q8k?oL zomRQcGQCeC0k1nG`GH6&#k*Q_fBvmwOu+BDzr zI?`HC{{WhYEzY#wUs+2n6!XafS2pLXr);M(jieh?1>~VT^SoGaPAEU(VWxAfRs2Ev zyPnf#5QrD38HF``jNm0F+1tuPApZa@(lmRHJ}y32xA3Od6jSx5M(U1~Qs#N)qoUJQ z^jW@`5WeW1Dhc67U4rhrSx(`9{G)dufsj03YKs1#_^j%7wvv{ni~Mt6=CjpM%OkIr zUba?voPY4O!3UdzYYSs97Ow>SLnZ|@=M8nfQ zCr@=3BhRU-sdC56DXWP`LOkrIuqvovH(ZO{cG_`M{{R;aJE-$N;#t)QX>zq`Dzj*2 zjoD7tj8W4dYo6VO{W$H71N<~9GERbZOGs;&^GvRMmond3R@8C4v(v=X6)+-$8x;)F zNJFa&iwlrO(V0Jpe^;{(t@!NfGGtl&gCouLZn zGs&!yd{X)L`3WqL@)TEgUSGh6rJ92!@qd$b0nv!^LgWJK2nNHj zH@F`7%^p0deBT|tX{Dv7k>yUR>KlL!{{a0ZIo)CP`F^pokT z&0CsPGf!I>rcL=&?+IgYH$Rq0YaQE=eDRMwXlhp0K0JE2r}Uyx#U)2nbMO9o(JL8d z312usgcEB3Zo}^jSdPtn8KaXl-GAyWIj_4V_5T24PJi-zwBBQt_19KCbS@#U>Ah33 zPX&v}xxQE|FYK&GKiK2v{{U0-Jw=!GcUM-XaaAPq=hg65vs5{1mGj&X_cvkit%1K` z>@oGH_$fxedEKcHXM;07`O*W|7bg2G0opBY*!w}?YLi6jF9`ic)4EGd(9u`rJu;6$kURT)q z-xNRa_oR8ck)Kn(*`zvdQc$PB7)=l#Cghv_F~271PfqmTfKIDv4v17%R?;0AUtd_Y zG=?`^#$2v=-)J0=-b7`8%q}~dV+MZ@TAZsX>gIJvnbyJf)XFQ&^*LOC zZKx(UP}@NP!m+s=-v0nxYeKA1%YwSD(be>x7j@Quo8)li)U~eF*7~Ep&#$Wo8sw02!iVayaQN*hhRK>}P zHQoMDZ()9Tw?3WkB+B~v)$I_kl~HR9!KvFZ@)im9&kDIL`xPY&qrV^l-=6oxb}Zkb zDVWmc8DP|u6){#pEN?V0JV+J85?DwN1CeDnw{KBrW}QyxmY~*kFjjQ}IijoT)K%YV zs>!7hwqNCKHAc#I4dBEb&EBdp4}-2VV_$ST}rBa3yIlXGq^ zdAaX`W5EbM0&09uCQML+?LJ@A;Mbjc(mgY;)?ed!UsdZetl1@&N~(EtaSEvNiBv$x z;TwPiTm0AW@nWz3A-P^vU!yc#a#lknRB@G*3$Y)|RySfT+>cwIUrbpYPt?92x}`-8 zbXwC-NjX_WH9u&CO3YA!@n3M-TK@Q?ylQ5db#{c(bh%b#4P;bO7(CRJI`J5|Byd0R z-@kM7!)nHJ0&$b{78t;%Csg{P>zyqwUmQ|LOr%L6 zlHr_-bH^63_wR=hX4#|~1Aa>bJgqA&qEb%MK;w>2-ueOb#0I*8wq|+isa)LNSCagm zPZs=fkAqK*W|ZH_x06{pX(NZ|tS$clU86{#<&3KL+)M7KkP-fmc3 zQAqe(ZLP?$_4U6#{qQ3uqNvRCWTT=e$A7{HZrry$g|2x$ukD1YDa3VNTo1k3xe4(C z*CT*FxYmqg9#NX#A;|OHH6jTol2j-!ZZ}+x!}c%uVHiK@IpVVBGO-|q^H^W>`F?ox zXga;CB}?s)rP(chcHe`=?QlN>+X8cpsd89=5)I42V!WSW+nh?AB=}|AClzu*1q8x(VaI2 zSvH=t(&h;gwAFHju#t}&NZW8l&CjK=Q}u_%=Ulu(=3nBSZ|WX*o>kE+B@DTSV=VDS z5o-w0JAW_l5n;gw=bRy>a_X$dN7HB3GqlZ6o5Z|)Uoc0f`eN1akKqM6!JB7#yI5y2 z<+%n~Ok}OAsv~JaHx&Zh9iX2}aDG@r1~G+DCc$m6s2248 z{{W^Qsu>Uw@P-5790Gm+0NV-0Pk8`P*1pyr{P1mu5Yk8-M}3Xk;pf+j{{T!Rn|zKe ziJ@vHk(po+Znpz(ApE)QgSk|4h|9AZf$=LI00H{O`}tf%ssHqnwcJMIbLSSQWBZa4$m6v>&! zG|II@EkC121>Cb#hb8!(RQ*gN~S9fkX z?r|B-3P!~wj_ys8!sPz{0Jbw{D|Qk*11g{$qjg&^#BaejI18Las6!Pyf`fl?&HkV5 zf|E?p7KZmefZPwB2Uo!rQmsNswY@LJzMSwed~%}O+F-#nk;*E?_qm8-u#b$mIG!DJTkYLAHo1Cz!C-h$G>bOxvSH#SlAGbL!RVd$u- zQqwKUQ^5Rx^~UD^08`il*b|2_#!}!~6y#vEg)&* zU9W2>2lw*9DYJJ;2Ic<%^@X{=(*vvXSe5N63xAZ~p5))RKflF@AaYYBeh)TCP5ASI7GS$F0SWPVve%%Ezi&T5Qv% z+Inc*LNe_*vNUW3nO>>TFXqYzZsQe1If$mFXhc8~goD@uP>pNj!KNSS}B&QDGj2i z2Z>eVR@zho>;Pg-$pOmuB8jN7IpN!>^m#Um&GZ*iY3pkAeN9wVvdNda{jVpl3Sox2 z0OTyBtc?_rwS%D+WhkWB{WI0tYo=M2MWS?^^ts$sd5O-ljag4YBvLe9T!Z%C=}AQly+N^Pt2A+_w)L^FvkhzPPpZNafxd3M+Vi}>HC`^o2lJQlPZHVl1!>- zssJI*StxQWYzgzYKY-@^d$ZM^A~jBi%c-+W^F!0sMDwfyNNdbnS=ETRDEIr9?hXl1 z=c*}w!&a-Xw(YDvyPJ9*e_x&=-X?_A9U-8bPtwKbzI_W6M85S{X0R9ay94-J9ztFu z)Pl$kZHYXT)Xbaqk`=a8Hq&)Bw|?FD#dqQAg1tI%OP1>T2;ixST4eHS z5!Kd5X9Zmg0vQUhAfEvEKnK5ttZYF5&xxGBPh=ek{{R+BK`ljYZ%s`UOuWWVnC8S- zn}oIgXC0{VjXsYwaZ8sblCQ5s2sR5Mi*sVuBF3w1aC;n z0th4T3?Iol#nS5MH1l-}DW@@kZ}AG`jn{!-M2xL-Yuoh2CE=$egH&k>Y_3@3jj1Z8 zj#ZTkvVl_~GPrI-D{cfIP<`$I^=@pdM|h-zOhZ&k(5_guzY3zsBb1PB=aN=L2mb)6 zB#&GNFOT7R&$}*WmA|6nzuXV_?o~Gz6l=XNuk@CGA}&>*(^ry0hM=jAQcdg!!gx3S zmc+`JG%yhIRBYTy*zaqLU!HM7LDO~AsZQVF@zldn8kpL6LAoFQsB!b{>x%DR>1ebE zSwHA)Jy`i@dK|iGzr)-`<-D?@05)4~(E|hDbgWO!7=5nL%Quy2$tLMPhfn!nas8un zp2@@ZX5!QOf|68Phje8m?*X|D-|#;yS-D+CO&);G#eGVxJeg$Bm-9AVWQ?xR*A`+} z0B$}a2Yh_$$~13Ub4{sh9xyix*&afKZS=7n@OSu;bu(9V_dzS-z;c&;r5IJsoXn@v+n zzIngkiT$P1tjh|E-2VV&`IX;Jv#mkZ9~WI+@LjDbROFh&QEFJ}mSlIQ%kyaGo?2YS z1#4_%aH(Nn(YOt`V=4lZMNOE-q;H5ig#ZC@ar$$OORT&>bWcF$Ip15lYnWykpHj6Z zLrsPo*RO01t|mNzBq4)XWiE$yFX&hEhVFDl3Ak-D!F&616#fI`2z925$XRM!D~k=}-r$?_^X={15+0t_<#A7# z>AgXiB|ScMl*^a>szYUlR%qTgbH>yRfHD67C~Nv#9*Pr+T$%2ClHUwHHP^i;()!o0 z{VCS9v~{_DM?*8_S!GkwO-mJBOa;?&o%@3=j^Dqs+$&oY_f@n^FP`SrS?+$B%}raa zWVxBBYJpQM@vP=T?eP~rXy1Q{UvRy~G^a*-<D-vKZSck)vvPa~V8@l4$ARn$I9c z-lPu5w>B2W9+O3vABg7E$=k^IM!VIS_fvB*1X+f6omJ*_OGgk`(dU)r zDv5uE6{NC`Yyceh#<9|R^TRg1=?DEa2C<;XGm42I&uX7C5Yo*{nTT2`=%SBxO@Z~s(>@$Jd8nzP z%`&}Xm**5TRP^=I*U46~(nCo!A@;}4-H41$t}ImBmFF;JIwSt2>Ry`D+0!%>`Gro& zJjMtegltkh$AP`Vr@hiY}WR*Em6t#9| zldyW3OsyLk0>01?7=!G)as|5#Wqm!WD|CLUHTtebty;%_@j95E?%Cqdnprgz3nJcOg72fL`#t2>g@4DTO3{UxRC@4lUoBS-cDCWtUF^eyM4SqLi zdLPm*tHGf&N2iZg>6s}ai#E%VT1l3gtq~*INZkSyw^&m(y)i9C`g-qJ<%+smtrk{zFMkQ5lrG7TyCo5>(p! zUl?!0?n78Lc4tFdM;x+MWel)yrV@FZYH5sx0aLlQ2{=>j_q&S&q`E&g(H%_CnPo;- zQydlAkO=C$rby=`h6R834~YOA+lzW({-^5KMfIf}Mn@Xcr9^pNWloEoWhIPmXlc?B z1c?&`Uo=>6vAAMDQ+Cks_+f^b)3o{npDedjxS>gOPC*A!WuGR>tLSw2${H0lxkJM* z+CtdK&9Hns$hvOe18ZLsY~r1?zL1wZJwklCu9rnpRK=7W?x|jCr>1EnD;qfr8aj}~ zsoTZKu)AF?s`@ou)9kA*(;2Q?9-v(|i9=4=q;#y+f{jD0jI6r|n41}ZyI*GE$?Cqh zM(P=J=;*bn%%r5Ms~LSHdWq($a~zba5-r7%qT3{jScV|j{6hG47UNbezR1R|>OWAk z?vYKF)axlFn={jMO_))=Oj3$z1n7b?<}S{Mcq&KdjMY9vTMawXnsjMe#Tc^Ot-%(z z(EfPR_1!*>wmny-Ga78s54-p+P z%6e;=WRv8^wJEclxvG*Xu!3lSozao@8x9w@7P!AR#pjNr*<|=2^|X=0NfkXrC(H-q zat-asJ^uh)a*-re(M?Mp)m6KfTm5bI!kKk?OrnP|X(kfbD{niL-=0ah?QZ_KnbLHR zTfS0_29mCl8mNr4)sfQ3(Mc0>3N@@pZgCjXU69lEA!zeN;+_J#wlflha&3P90D&I= z0M{KuHfbzzw3Rn=DNtT8*7W3Ck=po>L(@G;L0HsP`DDpb#0FDNZ5{aL*SCA*{S6*l-uR(-Fy5(yAtPx@xk2eZ;TaJ0}_2c_U>(o26duxO)~G8>b{fb)DVb4-Ynua7QYP|k?L+p z?Sm~llsxOfgOIYA4x%gCE8+IM|^u8yu z#nGJdrD-y`GxeHT6(bW+#M@bp&;ds#{{VD$?}L?o9`yAjsYhF$OB{?zEhb+uQUdN~ zED(zY1ezF3cHA~zHc*#bET@lsD!d4&j2H7;PAtXfPQ!-q%}^V*Bau2k5y;nQBO2&R?-zgv0Cix zK<+p;`F7_K`ne;X)dn)ETFOgzxxa5~Tl@Fnbf}7&m+iFh6@A1PQhy+C?~1r@O%-!~ z$I?EWOW{wc`Z)AMqFH`@nZ=aUNhJEBx`rs4s0Q1;5!gtm<@XKX6*m?G1RucXShW8D zOtVTYF{{m1Q&@b|%MEieaKhKxhRnb!j(zy{A33xxwbpbp&TZ87^$ZQ~>CdP7;osScI7y}5W&{=;fEFk6TkvsrSAh;CKC-et1fH)(6Ux_5`w!z~7&7^2gv= zr(q~ILO?>_F8#eRX!v&U_g&S>iSw+=CW9%Va3^!{nOyP|TzZT1$oIhaMh?QMas=sj zP3rT68oM^7yb!-^$kD2<#`U-3hIJA|Tt`}{x9EiqkOyLVh6}h;%vFH9U3o%I{Pz+{9 zE(!G`lZkC?2`1*;wj72g!21u+0W%sB5o3}j*hTo~@cDiiBuY6jEm|6c*?qR;9j5m0 z#qbL-qlh4qFsOO^%ir?)Uk9@|rg&-WSZ@xY&o%&$dkcOYuZUKmS&%ZuHpjTwllPDNb8n_BEY)66(9<=n zmnGNlcm03c5M2I|wyECCq;jXT9(d!{*nbPE135Ce)hM!0wW1|$W;f&ukMD?ubs&yo zx-|gEHiCIJ9ln2DPc-+pMr-jO0 zie!@`nVl<3Ge{w`MBLdeZ>|3Tf2IejHs_25D{`veh&Q<(B0oG9%vsq!&U;8XVoxK# z*4~%E*NtXkM4<>aU;yp!-{t<8)x>Ay?B|JCOw$!BZ;80uVccIGO&fV0aut<@$Rym` z+C#`<5f`2B}6evODQC(*qIH81HaGYaglU=T_pygrKpl-R+6DE zOK?>2_zT#6Sk+o`wW~6^Y{G=avEo|~M)+(=zx;EHUm{r%dFZV}HEx@xdFvHfBM5d_ z17JRQ{fI7=)Dh6-D^9XJc5k{DzXP0p#na7PSgl{NpdZPQGt;PIPYBK5*N^Xp)6!Hr zDoV<($AkjF{{X%^pDL0w{ECue+_0#9x}zwd@%fJxFKRn#aH$Rp>_hPD3yAI8`PnlyNWS9Ra5*HA$!YLckOnRjAlxEWtqCW9q}u-xTz|Ei~)6{{R)zwaTmp z&$F_UIrX^!{&;H+EZH9j&dr}5H&S#?Gijz2j`EGWQtW$N{(OFZn9aRHPb)JE_Ms$( zLdDB-W8B~0_587G=AC}f`JDqs7OpcQS$ybM;VcEOW;~DA^~F7@^B8kl^p={Sc~KGw zYm1KH5PrA(ai`(@!3A`AVMkQpQc-lgyV9QyRKjb^+c%0&IUTa4xFs$S%YMRx1uWry*&e zQm4M<7VX7{=YTx0$h|JX&NGXr!C+`gIHu^yZVvO9}&b? zLUj{Sbdy`tYYe`TT1rw&$14!8xfw_{7s5rwy$!iHIJG*e&H|JRZW<_0Rq%^zLD%y8i&F zvh2>0siluFR`Ohxk~MgdloU2$b!B@H55?PzOFR%OH&beAC7oc6XeCcNVyJ+=)B%}@ zUUw)Lx5QW0*v-}WPlsx8$2_5PicLSQd-=@;Zu>- z&ER@!cSYG99oAk6BhE&*ZVj*c_r5W89u48xbr9CZFLd^&@RuDbn1 zF1$NdugrNSn3~5wGUe+>*ku)%@#$T z*3#wmHIlJfdW3~VI!SiypJ{;ze}rup;4#J)(-}n!@Fglzv0pF?FyXs;+W!E*mNllQ z(K$tDg379Ivc?*pD{~v}JBR-OJPVM$y$K&r&mLZXWBfb8{6p^;rzY~fuIiGLx9#$k zS(?*~+egqWn=Ul;aOK7?GANN_JKP3FpmaXECo0OKSg5kv%!-axiXclA z5*U2e7r*(*@6H`~h4H!9j}lrZD9}AY$n@kHYGo}

    l(F;CoOqMA(8r&`{=u12Vy zmnNeNQBr)?P%Eh71TnumejDGl#rd&}&Z^FyZwllKUyJt^KRzw*#wDFK%b<^?{Xlk% zp@$%&{{SGdCpMs8%y$I$w|+(-!c>ig5sp_$7#Me_Zg>Z^zuO<7+!SPyvkCANF_`v` zEw_<`?B|e>Y3`(TBTpjIWt~LMg)Ax(Iy#)q<%JX;5o2=7sxM$IU;yKbVI1>V z>n%~6)9Nh8J*qWSNCgbx{IdqrxOrg!3PI-L!uLJNBznW<1v3sdShFEF=k>++t-LE~ zT!Hee&GUTK=_~3fY0?^cDCe59&ZiQor(ZR91S}DQ1vg=1>xS{;QeBdy*;D1cS*VjR zmoU}6Ez(tzJf)tpDhc44bt3G|_qGV& z;EuM0v^`%)vg${QdQDkDP3MO+BDxBwhsV2sRu#3tKX;D&o8XqH)%qV>LoS-m^rU%a zMFNA7R%P^I>$3S25RyABjNtRU5(pOAZM#|?^;1Xx0F<`SLq?<$w)gz`{{UQbJ!Ksw z9iCNYBjAlq&G%a4)Z8D_<%Jxj%0zjKt1PNLX_;ybFD8rB9w(Z8KT$GEUr8=kRU1wd zpNRdR`HTT#0e_79@o23|XdPjzW3TAmSr$Q`W$-mTR62_|h8k@4jO|idNu3rsz9M#R z3RmtO(fm;-iXE;h9K~`%?j(@MlfdTY#C|8`i4R07avC11DjM;M1>`eKJ8%dhKFuSvQMsJf|@zNW}CY>pI$rKseCGtcN?oIb@i5lJAB z3$XM6-oTsT+)9-fgKx3`GyPweQ5mT-iu!tbvKFLM)HOe5mHko5IyIEl!C9JDX;sc% zohlS0A*GTsfcCx6ebPbP2q&CJ^@oFgw&=XhOrJK>f}dq#)5P*m73OC^z(hB0dj<#L z1b}U8;L(zDtK|&CqM^yfEOWIrR1GS$Wl>n0Dd3KH`hUhP&bMm)Ym>H#lS}GM-!Fjl z{{Sp>S}NIN8`Y;$cA*0N9zYx)U%Rm+R|5D56JKBm_1X?~XL z=B=a3a{V`&X?-`I(a}ZrS}*Zjk~f+-_m>JJjHH$Y*o9_q&w^#bEybHd_+sXolc*gk z&+~qu>T106$j==FnZ3NioZ! zRtL}%YoC9u@r(Lz;`do}PoP!$%SY%vHBUW8xQj2Inwp-WVwp`0k_IQvW9EDS6T7fp zF*xa;@fFwF%SdW%S*W^cMh2hC7OmEoG<8`Cni#A%s}&ZIoAP+Iy+c^sZ;;TYi%+Y* zA9^7AA3n?nOQ_(ggDR*r^FbLx#S;60jk)9t4_kAL=}nN0dD6^}I?_7tQqt4p87xs( zPn1_dJF_~1!qL<#jiTf$0Bykr!q2n+0OD_z=lKR>lht(6vJ+Q9G$_zlB;2~P{{Wd* zz#H81M}AKPMugSfH0bV|i%P+p;Dqk|S*m(p9$ShC8>2F>Iy{(Hgp*dt(j%S!tC0tQv z5alwQuk96nKoSywm2g+$3A(_Mf1hK~l59&XRe*Y#L#MLpWL%Rd%xKFd>60)hZr0$X zt@&H$>Oh_89K$=J>8@P5DCH?bCR$|7;mj%|6M5W+BJ2}su(7eVfyX`~%o&c2ifp?u zo~fYBjV%)#%+4MpOB#=jz7ksC+Q5$3i|I{L+4VL-r86@$4^0eI)elWS^5sBoicatK7@mB%Zy&VZqivseUa2_ z`V8K(NMxmsoX1019Q5u};bvx5B=fW1tbn+Nn`VGFc$**ZR^~2 z`ubs+qmeSLjTaCor+l$-fjpyKV#=SDTA!<3~&p9FDC6C&L)WnPi^W_7Uo5Q!}2YR!~-EQAbZbCS0`V$wL@>7B>JA0O$R2Bj~<@ z(pt|i&8syYXDZE08p9M3)go}}*I~Vso)*>z*YOZ}@`{QY&$7)-=`u(ZEP&lfHa+fn z8@{A4q>IJYHJ-v=rbBp#x_!RmD)iZ-u&3!*vM|jV{{(QdKj>0ba_r& znN-9pjNDZby%iOhT#r%!^zO&-g=D!ER&A-{$a1pnG}E*SWozG?T=Bpb-N(1CCMT@g z+M(;J+Jc$qo>^q2sFD`FDR5NzVXeilVs}`MZ_VRfJBvS$Uggu}RI^mhl|cDv&zhu- z!{u?ur+a$a6;)-bNfKI*5A{M>^F2FWlfg|J$ZF`MBzz|90p{G={DMB6gJ*hkUg%h% z&NHf~9#p{6+y*aYzZN&QsrLMFX6s#dP0@H)PEqAE8mfh9q(`1MAWp}>0{gl2 z;0y)Udh4g1PRbz5WzOWvT9^ci8DMr({Ivv~iogI?*Y-b%yGfj^sWlEmtMhuvGu+ma zrGJ(sK6FF`j{H~!?d|(GnfPt56#2vDa?21_ksD~Z0`^mXZr`pG&N`YadSCwlOv7Bw zSk+!vog_d=)ZhyXgZD=xx3{qvXZ_wDkx>xgc3tTew%bd0*YR_02v zrM$SA?DNUZkpSh1{X3fgPAa~V_=DBGV(La=J#;d`M+G+Ax{5aeA&+-MVQKXVat!6hT`g8RkrWn?xJNyx#W5C=%_BpkcVWx|6`%c^$8a^V!2!G9cU)1r&7*^61QUqI>>^}9m^z|1%>xhjsOLPdQqgj8RB>>p>w;#XV zuq!sCvav`=RsR6TaqDmP!AYrRrJOZ05xchQ*+?C}pTB%Ho}g8zFCr<7+?$&L^c}Hf zNt7b_od{_yBEI2aaD6?n12afV`En?Uukl^EW7^+NZHcXXMOnhxp8o&|Tlpa0rPL)x$YH{PFGk=MgPK0>BG~P^ft*+yQRi+v$d}DwQISq6Mk)`6iTk z&*khF4Z7mzi~fU*K{F^CNlw{8V&pRv{YES-?s*-UG;zJvkjQx+-~;Jz-=-mYk{V`> zBd3@bG9B9q99ZAC)Aah|TLidP25W*dS4d!u$rn{|V7~t6^8UW~k55asp~FRO#FPi+ z+Y(IC>BjG}#OkP*ZO6Iq{+N%}G}ANHvoNvZGS?md07H#DOh+Q*M%Iu=7&2RyR_>om zUkbV{)VmTHNCkV3ZvOyZ-+UzBXi$F98BnYN0Y$~TSo`pQ3@a?cICn=d0hk0=BoXUx zOM2mpRxKw;MhWAks~oky8#!*k5Pb)HYA%yzuUn+({D`Cxm{5}?feKVw-Md>ET15)x zLQliP*dK?VU&#FV#)9Y`X*Bv?Dp#xK6;uqZed}v{h&)^0wZ3rbgfcf}uN1k25rl%V z6-c-YMe+L$qFJ_BNU8?_Il0H|Q}1~btNsdFHN5U;{!jwo@_Pb3xWa6)@e{+r1cU8pPA-f;-$H-vcN7JQNBUeon2m;7sBzCpW?$~Kr zSv(aRULe;T5J%zZg7!*>xP9LbE^Wshjv7_ai4d%Twyn01f2J8pr9h?FFxMdXHmK)~ z*ZpuiGn^|QF_yr5AbXFe%MUB)n2+Vl@qlj4z&?Z1_j7?2)YU*NQ(07-4~X!1IA_avdg*LSSt(NeJyXVf7wO~nVzi{TBadTmjsb>>Bq0P`eVnCMHA97A*@uD?R)FCUuvP_#1d-fvZ=vn&^2VOUc4W@ReS6YfNc(}i(BOKNE z3-CH+NHouiSZR^?3pRh1Ra_J3QdqCS9E)*;BdWm+c9Z>!&q1FrHotO_=r(nsv_6_T z$5dx^KWU;$nrEe-E2)&nJE#csl1I7~E>6~3fT}su8Xyt6a zni%P(XS`8P-9pq|?ioW%AKv%pqi+hc+?P zQ^qSIG9V&EWtJyf5K&O>zV!ves?+42*vtO_e^e)zO`8(+nXkk(QpM6-i!aVKj$51O z3!cutd>PoxyvTfuw6VBV`#dboo8-Db9Ps$u&i8V!z`VbtYdeB1J54 zM-kf`jP5rG)rl-YEJ)ysAMk_XX1BtgW2o~P`dLA$qt5b_p)|Gitx8}kGE3$w7^MdL zN|Cppb@h(aYY4S}P?u3H0i;;6SZE*<&E`qv!%QjEyV!0e02TmP1x|K`!`UKf$W*0{ z$NYvBHW%QIE_-(QU{J^DP4+L79ZAwGOoS#zdh>vX(5rOY4${?%i_#L{{Ut% z(ZNk`G{+v{c()ILST(%?#QP-iU6N)U8n5Yot?4#S4Q`iww=B+bwq>3wu^V|+atUTJ z$#McUl^H{lHx=6T`7|{2RR!|sRyiReQiY@Q6ac^*5y4@8#>4~Zh;C(6Ak&D^AdwQX z#SopX@S6ehw>SJSC#A(GZEKH@$Q!_#4drhRNlI$#XDha43lwJExVO3V{Jyu;67`3m zT|w~atg<|lROZ=kW}0`;rqh|xqly_P1q_Cqg3?KLNl1#|hLu(~qFU_eJH0*Zw4Y4#3L2d9f;vN8KBiij z$}LqrP5i&JD+9U^yh-Xw2Z4(De$WU{eEA2LuK? zIUo+ioA&H*=6X3{lr2R(w(W`d~%5>FB-A%|tPt$uCo z`|XY-3-+5UAXZXYiQLDVcL%o@Qu!Xk)Sdv0LnX#NcH>= z=Z#ZAq`G;Sf6|}&TUn#%G;Mu8h19x} zE9y?BiAH$RRpnWRby*TpEhwh*YGzWhZ-0(Af)^<(Mi#~0NX38RrivJ~mQ7tn)koEo zby{MYdR)#*fb6%Lb&*2YYz9=3fIk(ST$6+9a?~iirj%@}DPyO05=`z&e8NW09U=(qet*6Dt$bgjC9l;w{}Q7A%0L{s{8_lnL3CK03 zccAl;sI=s}WRj7orH-bZWQpOC86G!R_()}I8;g%icf`3lPje+s`5*Y1ug~>PoXIol z?P03($`_uhmYz*fPa3@=$K~!oR-$59uGS&8o&~NuQcq7KM9B#uwgP@GNxAi~{{H}v zY+C(T>lU}_RB+Pjv6sgh)U{NnFU!&iDyJ%_C6dGAAyg>av{;j3NhZChr(-FZVZZ+X zgdCH}vG4W#@WdpTc)NU&TACV~ctg5{M!wto{JHvm`Nhl7E{F8vsQoF-tNN#$4L1!g za6iNKZFNjzFK>Z2(>hDEvw(N+QfxS20J*GlsD#K-5N!i=YWBF|-|zU~G?{Hn#=B{m zm^SE2#tGnCcOaYCfyNuh(0IBw*M_=Go2LFX=`@{QVVErzN7Kg3GWwkAIAJvilE*AY z4S)|M-NN!u2Iq_5=ZN_FS=TX*)@r4k9Ypq4iZibcpkz0Wo*tu3eVE3C91 z_{BVW8fodPa}Tyl=e(5lRP&l~375`;Xx!0QTW=Q@w>PdVQCgRg`=IM0QKFsdPFJC_ z{d1mV`ZgMxstO#|t~zRkDS4q;SyBEQixdmngU3Da1!(leUE~sGG0H4X$bqo*>`k~n zqTamr1obYl&Gjy$&UH0aBoN7*SJYKc6)OJ#DkCh7Aw<7BL2C=&fsM=H)55Pn`iKbL)HJkJ z*#lDur8N-D9hgfZn|U_2?spqr_Qu4|e}`X&Jm#d;!Ix)LXx3XpTM72$47U>OtP#-qWzR#D062z3S)J(yjZO8sFd9d`g$t3U#K2&Hn*~J)T@>3Ku zNVQS2i+pj&2@Ws-mDRJxY4F`Gx_bICqkLrF4SsUzBeJcvP1 z6>e{PUz_1A0n^V9-A~o@8WT!u9Z5-=W_2kABss>Or%2jYKY86M+=Ul6BwP+hYh#?( zpwuw`0Ebj-F0^DcnU!{3PdyzzWc5xGn3EhEnILsl*qklPDgkgYDizUHxh`2w%TZ4) zQcpwXvwg4OX%qre=WfU8*qmD31k|mO=GE0K-)36Ou);GFmkN&ek9>9U^iH@SrDs&c@qSHE8G^r1rWps79 zn=EBKy~^cdRz~C-^KoNqj`+S9-1#Xeu#Z}Lp-t3m_37y-=$4KtOHx!rm&XLMF2zy1 zU>D>Qb8<@)-w~XWv2uLE3i0MdB9J(3yN=;&u;-uoz}655iD$T2lnbS-Eyq3Y{+}!k zsniokbd_!efUpAMzyojg^v9ypRyAy^hU8km`mLs__O)(dAY#7?y*mpQy}Ju{KIDUi zDYd?sm70=F(ggC*63-wU+$gd2B;VhU#}wU8Vr8y*6*orh!no{h@9Em#U#=&b7oj@; z09y2eQ}xb=E~H&QrXDCW3W+Nf7)v8YsDV!==0xY`@;1?4G3CeB_$&8CCBZ(S&1hZG zpv`x33m9kn!uLG?0J!gnW>eH1ZPWg|!LPboms5<#EwA$^X=SD}ChfaO*rf@*&8%<8 zBKE`|B&?^BvRI&`bd`xe$~X9X+mBoS08gGBWSwNqG@hbb{W}FR$puo+9MuR}nb!6n z3n|-nCvExT8J;oXD_I4FdvYfoi>1nQHw@TdFe{;7KZ~OoAF%%0~*5aH+{E02Umc$DA*& zwT5rh{b4qx&m@VeD%nD;5fnvR1zr!mg~{)J{->8q{qTY+j?O;h>I6QDY#~r)kVUzf9(o#m1lF_Pf zkQZfOLAPOjymAj8?}_G!q-1~k4wksLlT^?;D7Dx6-rRQW{{RL0Zwu%Q{w+aK43$W+ zZjL3eBk?Dm{Nq|Lh0QftJIP6z#ss5jUJEe<{389Y$NS-;_)gRHS5T+z(MoA%}kO)sD*gSVz{JvfJ_Qj!&5Q?JCZL>^NWwXrYmI#}*)q?_TJKT=l z&u-lI!95)fMD?vrEXx*EOO_jZ{IB}|0KO`U`nl;UJhr{80w`WT8{ucz%61N|pb<#MMBid8NVz=zzL*;| z94jB2EDGjA3WCv&kZvd9 z8*RsKeK`GbHm$IYnN7d%fkWx&mv&d@_ z7ltx{cXGi;0>sz@{eNN+ox?DjWM(i>5=rdeKk4~#iyKhV`E1X3kwTKC0p!~D`t$3E zMtPTcvy%cUG^`9uw2&@%2ixb{l5wYoO|p-o9ayN+JwS4|7cF78kAKJU=KwQikz@=I zA^3>^Z8rq-{r>DpZMCPu>3i08{hEwijqiAP|QP z{!0D(85n?jU;R(#Y;h=w9ilDwSdFIt00{Tscjox$%O>LzUhnYTm|P2b-?#f?lOu&2 zxdigu@-6fI_rr1J8QCPRjw+^FITeUatSm^s1p0RO#lhjHH{O*L<=WBBbasEbHi6jo zHURPc`KRh!)I+vaA&`S`ZE=1+NBxJsCte?OVV3HKhs*#pWTD@Fdkg(;Eq`I{ipe?^ zns#XQb5nU~rHn2A0M7|0{{Y4q{f3E{REXE?(L{pZ5Mgppzuh?fgk>E~@daO)y)$7+ zO&pdn$zm>dUxCjj{jiLbk|xv^3>V|L_(|vY{{R?xsE=rT(#1(6G4K1nItqn+*x5@j z#qkc`Ki~Uf=6GCGX`fPV*djWbfhFVk4aVZFa83OOz8t1$NMZ9tOb9>b>`$-L_xP<> z2bzFW*+QR-*#7{b?fKw!R$3-g^`hwj)H zQX<$wMid7Z{5?m@j42$lz~Bx@-~xFfM%*s|Cf^XXtZ!>u7mwlxlIWp4 zax+l$x|$@F51*T;hRtJtCTkzx7|5b!Q0xF;5Q}=)`VsWM?}4v8br_$gd2DK|Sm$Vg ze4C3HXXG1LH?TGYUgsG)rkYS2Of{ZZDTyIiE){sn$d$c(vHea z_C_zX4#&`+PuJfa<+QNJRS-zRFq43s-MKe6A5Y5*3TJ|zLW;^}a6nK+g{{f-_Wkke zBgM8#bPQ3pxlw-Le!O?aw0bio(6q@>MtOj{D6;%l+I>G#KVu!kb#i3#z} z+xnb){K*LOnlg0$jbSwiB;?~`71bFSVKGuneiOX2Do zIV&iVuDoS&W-(6m<@~0UNl#h56LBDg78eI^7sN-zMFx(~b?&OJ@I}?mpi^Xd7DqN~ zO{VqDeQ2m;iWHWj2*pB%B@@nd-d}Kxe0+jux-+6b!_u2E%QbGB@gBKdZ7yDEfA2NM zTD0vG7T*ksGzql}JKSzkq$vd%LtWd7C1CGSSDk6>x1}sGK}>TB zm|rLRJvCiCR%)-aq=^wP8Y?`4F}ur0kS`WpRpH0@PW20?U1H0%e@%LklxCobDQdF) zQIbzb4q*jMZBHa@(XB_8N=SCSh*k@@I+j+d{6}j|6XBz+Ik!(nQCDA|>G7G+(dD%H zbV&pira5WMj`thkK4CjmOE$(+upArjQr?C&(Aqk25v z3i+aygcMZp)xgsL13~=0kOhh{obH$Z0Kr(?DBIsxGTN;p(`^%>GmqqEbb`LFzKKXJ z5=vkZZ(Cg%ytX?HhyY)>#krJcI+siIYfWm*zb4OXXH1ewJp7waeWp5Jv%@+@YKqjS z@g*trq0@Ml_c;Q#o%)b(TYsW&J_Sav=^)QpIAaH8GYyeRni!#n$YE z0>E64TY^YUdE?m`542xuzr$}(KLP1}`14n^Raw4?jVgA8(2xzgPsMutz=a~`<$inI z9>C#wCPGzI1$B(59%mqXo_)E;NjUUEj9Mc)#=c0XWJEz5&l3e9jkyNaBgq(Z_@`gs&7VXI|kf5=R$Un56h9s?e1{}N{J$?V^26L%nJZX z9=G^U@3tW>IVjShdYW}Li%&@jA|%?Z(OYvNZ)r{mA^`(`mPNMK15aV1YJ z$oX3Q{X6%>R--!=H9^*nB$18Ws#%?nd!FQ*8(;PBiT6xptE$NJiuvkQ?o=d<=XJKo zPo=xxn~vXH3TlBks{!S@ZkeTIqOPcoM3(+k?ZLUQAai5={#YvWR^&6mOG}*9NURAB zG2E-j9By0t`u-Sg5==zZH1oJ6Shxxejk|jR&$qAE_SZ)GS~d1dQJLlxu!MrCQ-~5m zzXG4U%G*ajEFqd(Re+QwCrgo@T{M-na8<2C0VGkd5Acv|4fyx@e7&$|Cd^#R5=4G3 z*RdZl+l!CN_!SHvWD7CWh@ zbrwleRX$@-vE_?RNh4CHnF=yXTH)NRVWMSX#gTDQ$D*?dRf5pD5-15C-?{Ejx4%EH zrit*=lx3MGKxNf>rzXua9Ir3Vvna(5UnMQyGL}56{5hIBsiTdf^A>Q$L&&@S6!`Cq z{Qfzoj+M(C<+)ejYjTh(1FT@Ip;KFi-KNOEYY>1ty0 zU25Q)YNUj0b#*a-K?Jb|z{7QxT@IVp8O~ai=gP}-I+|J)^EZg&j%Ny^bj%qU&z)?Mme=z5Od__HIFq) z`I5I6Yu@+zdwjcL)EOkM1w7`zMjXor{jfkoSEQJB}`A{fB{QgzC)4o z_w~U^s?8qS2o}i_*+2u~2IqC{e?l#9t_ZbopQbE_sEgtwXxr?o6m+!c8*SWP;DPnz zdR$;^^m0uRssM%uh6`nHUr(R){4nW~IN7U7^OyesU|z!Zxb*)3oO_pL`HrK`pvW}b z4K-bB+8SsRbGx3v4^n-thH%~fWt8oMC1X)dXwiuP?kyO(Jn(Pnf9r;ol+eh&ZP@Yv zRyGQLR`mY>Y)ZB7MtYN=^2js2QKK_lwhE?Cv()AkFAOp@i){?b0}>RGVr~H=fN%^! z1ks5jwZS{LFE%$8xcx2um}hfNn9Mr@W*Jm=-q^gn8fa}Jo@sqRny*Fa%*J_AXtD@%JkEMr>fFo7 z4IMN}k|=a*Uf~(8-T1JpY9TN&rm6&%j={Fx{lNpU@9XpS#fQ+%L($za)2%^A)}OQ2 z<#W`Jvt=~-NdEv4lA~tjmI>QvR2wYID{bxS-xJs*+VuyEEZ&mzr$*3tx6pY#PLh2) znpf!B+MM%F!&xMTo}e0lfn9>go02sm`%iFqz2-hT`e#d{@{GSe(0wt;^IYFO%qfOs zbr$IaamP<0ENW7CpL+#ckmltHxwWxWAx4LvM*PEnk-ID%U&&Gw`- z!v0)>Np>4BvaaGt9}irXr~d#EsLBf!KFl$z^!-Mb)w%9VtujMHn9$bBl{9G?K^)tg z-~r1Ws@4MRc=b5ceiJ{4FG#vK(QJpMn%5)LO<9$B`|BwwA(A2`WB=r4%pTDslQj}v_X)mj&(eN4)dwQ_-3RW@X%7-6PKQ_N<0RaH*k^LJ8In^>G> z&W+@h`s<)t>qP0?nyzfYvuu{CI!IG(kD1uEdkezidPnuBDY5Hopt8*-hYMG-o zH9lQ69Bl;Y<|Jx4z3dcP_O>%qQRMImq^8W?>R3nSMYNx5j!5o(dz@=7l4Nu>T@A>k z(^`Kp&1mxMnv%AaAk;EOjhUK~CWIhH5tK{4z~C`vUyA1OnwJQ?IWnJGbp1}3>h^i1 zvnc6e`wnX)Zyhp|8rg}mgYf}lz&zU5_bGL1mo>{Oa!lH$QsR9(OCm8+r3TYsWB&lu zf(Si`?SmdF8!m&cx{{ku=2BJDQ|6LHvr8zNNdPA0oN(76x%C$MVWwx+ysJLbxn5tY zdU=-BK2erOLmgBV5=8Pui|~fN>$SNoJClM(f>OT7J!YYjL2)F%5(a3&;Gc1Oo^Zi4 z5my%bd1SF9m*n>!zpf2t8ka+75k&-gF0P%Ng#tXqU5{a91~F@Uaog~~ywZl7&1kat z9_Vbbu@~dL?f(EcVQMm0EG%G!SIjZ2UQ+KW36-P!rv2}NG}?->XlK#UXSFo+vMBpa zD$2@}DzdVM7Xhq79(`0EAO;~qIMw+2~wonuhJ1_^gH?_NW^aqn^nwshS zwn-Ov!x29jd`ca)6){>qf zdTC)MSs8eE*+~Q*PsFCy=HEOk*M6-oxTDIlSlVY=BvB8U{{XR9mScWdnf<#iGn&rz zcm9?UQbR6{&pj0NwTPFFMny*5ZNy!PA3$+DsnzvHu9AwXX$U){EI6?i1Lte82H3B( z9A9VC(^X3ygz}Q46Jx;del5ZL$IAtB`Kqg`(Pl@Hgh8P{COv?+YY*M9{9nMl)VEn@ zWxY>KprX^w63Q-1JNh2>9>10h#n$@x_Ee0{W-3jIkN|{s1Rf8ig}<=HaYLr_TGrbZ zT+K2lv5jmZJdEsz%mq!s z=GQj%{ZG#w*JXL#1a?TI46I7W9opyezvqcfKFe}mYvPMJ`wB@c!F;BKGF}yJ0;0IL>mcy^V5Cu|W$v$0D-q0_Tg4 z+!JgB@Wq(LnRtPsotv7aojEoIyrv`RYk&7RzxqqBsj;56mO_g#GCB*Apq;+_{{Ua_ zj&$vIT?~O^q>)DJD}o6k_rD^;)P7h>vVyXEnBW^#ZbiF`clNdT!nBkDYgAMH;cctA zj_-SX&#k);(RrrO#2rz%I%}2b;} zFUapwQPh2%qA>hHNqvUpx#ssDzn&`XN0dhUw-Nlu`^<*kynB8)yR+I@CCiCr-V|`W z-|qbF&ClzK$57Ho48d7@J%`K7FLZ0(!;ACu$2N%s*3(5( z{KsY`Naa3%Kf@8c&V*Cm&Nw3AuO+^}zW)FriCnOV5E-Nek9IA4`g?PMb?^%rQb6Ya z01EEzy|2gbz9O%Z>DdmSv>0T#Knu^G+UP|BMe0daCTx3@TI z4FhV(p0I^yMQBQr7>Nj8_P?O)e;-fIzSI=O*h3^&wUiP;+fBF54LN#SORK_4`VSS{0DsFB)&Axch)5`e)J_sgtO%nW17!r#Wb^w3@zXR6h z_=)GLW`;+3m@GE<2_tW?7B{!k-xD1{5=tbAq6-;t3j=;TTI2A)*V7Sv&W;%2o6Hgl-9li0)Vol8}sA3dvYyABQ{H=%JXU~>(Q53#QFa?!>0IB2~@GtxH!H$?$ zROPz9lBr6K(xP9U-lX5S^tJ?`n2sfFhm;|&xct8(j(X>STGPsr)rR-i;jK$ zG164145-yqHB|D_M%89r+eM3dkHXmfhI(#E^L&z;whE$((J#Za+;BVmLfn0E`v}S~ za<>Qk{{XO*vSU)GX5OnSv1AIMs|(+^)3!KSD5WTDR#Hh3?(gb&{@8FT431ZA$tL8l zui=F1DPgB|-4{LXc-wzo*!hGyv+9BY=7qOL2x0*-vF<&);k1>TXWrztQohD$!k$&^e9 zgUJ0XpuBEWeVa+-wCrWK_@R+l4ciz&B3ri?Sr~p8%Qdx0T^Xp_rFWExRD-m0enG$2 z>FbR#MjQ`e2Ky86`Uc zDf@_~+f>+g{6-Mm@+!v~l8L%Y`ht7g)6*KJV|I57fH{n*UxYI!q3j6#E`PTwESIpU zy8~bglXGvKvD&0e%G*h}9$`QGea9alZ*z{Lr&WkYBZ%3Uvyn|%IW#|CuHU@~0pgEOh8Geb*L6w=0sjvOfrKvwuk?kvOudmi`{ z@WWSB+p0)y)@vVs%Z`09BhX8yWz~6X8rnvOC~EkVGLkXORNKdx-*v1)-rouQa0$uN zNR-*2x^LFM2enyz*+#nPO4P$NDVXLlQ&5^{p$c8L?E=>$;p{OB@fFeU z2mMRbdaqM>C|v%JAj|Sc&M0#2N}|!n1R?5a*t(WGRV9@eI~zn0s~H0&$&q+!{vBGH zYJGQ;b@k{bhLlsQSL@ua3TI0(@}x?NRV{J2?cTq0aIqJ}qL;#7K=b^AGSeLz@hy|( z>z+|P9c@lmQ4t}X7P^rxu(IqK$nk!g;j z!>ThbpVPseMO~T}EtyhBMHNG&V(uBEjmay$f0q*#CBRnET@3yb-AL*0QYdv-M!L6_ zX0`#?{&h=58#qwO7Un3$R0A8?>fTL4cwN74zgrgB7-IFaKJ~XD z${lj&*WE zr5U9}^m<|9YB-vSlCqdBL~mANk(P3-@+6HqqnJ!&gR~dKPpx@;T2DpkKAYxQr8K$b zOfw1ds(5A$DB5;_q%UGXa3Upz_#}LZccprDrs$}svprW&t}^#rq3bFt;>riu)&_yf zN|U3P@=91S*ha!skO?DJ61f=9@dNWyP&3Ud_W2?au8D}3nAk02m-BjF>$@lu)Vh28H zoOx=n)D~lN=WGn5TebbW{IO>w%DE(1dZtIr=u4QS5#Grxg3EEh7ajQH@x%hJEZtN@ zk`$1EcR^(YkH~tQeuq=%Kp3hfj?s%TBErBAgq!_;JSk18a}<4y`-b)-a}l?aZO;df z>-5DNu0cwE;#B!IW9J7lqwiiAgK$TK{o<59k1?xt^IJmNwx{i zKFJzoQK^=ij-Et~K|2`^-PiZ#{{Ww<^*Gd?3bg)QI(eHmaZ)02nYWqdNEXrgV5lXS z1^BmeY&bpflVIx6-y7CZz&rVbDYotp{_FZ5)3>QQUaZkqZE-~zc;jPXadhPE_`e(> znjUm%@D~=M7^S$gwG|Z?{a0Spl@U)5_>ApN@sYKYd+lIUkbV*k#mCnQWt35pUU#?_ z;5Eqrd_OPu@7oYM9;M~-q;C}}qk?V{paoDbep=px>*zi4D$`o~B{fmElRCxbT$PX; z5=RyumgC;|PbB=C`UqyrkC|C-b!vra^6s5d3IeiI^v;zhaD;iU{wtc2+pqC(c(=W~ zgK1}nEi+H4I+c>;b(vaLQ^}jti76a28P%8uP6=*6+6vpg9Qdiyk?B2I)2x7IOIuF8 zA;>FfU66p#Ry9?6DdV_Wptl@cA5H{|!x}^X0AFNP51GqDYWjI5uZ6j*{& zaBv7C;kn1jKG67vMc|W^8&0KN+VAx|4~y#*G45XUUVVA!R-wx2D(L6vNz-{mT85!= zoZ)g>_zcwde=QxVUBPyZ75@Os$a?{~hCg_8)EY;lA}q%{(^*x1XGU{4=&3Wxs>)h* zdZCw?s+H*}DqPDG(abio#t55<0lmJBbg#jDeL{TeGt~NPLC|E{i&#gRW)-?eFKFk~ zG)XN?vHhY^Q6h<&c8;LB02yT>%FMwog$^_)?uzXBa}W_n9#xu*<(l^3W#@t z;WvNcaedbY$B;)i9uGzKTkWQ^?7mMG$&LNqG2ZS@GjUP5Nhi+=-*(qAyGBooeV_Yl z;hX$JK^V>uO}IDfbldc;wD?K#)w@e|Ch!KJ)4d6Pm1cTJO4DSSMs1wuFjq%cFH>@{ z1V%M|j8dg(Y9S=bZ)IWvgMaelDRt{lWUJL}ZJlNFK|Let%+6f9>~br>^zBtEM}_ztyK~Ps#^2b_6x4m&$ysG6w|=JO&mA`326x&20JS-Ma|C9-7tpOCEUkH) zar8xB)cSF2e)vx@U}Aa1EIuP*gb#=VV{(1)R=OOrrG+r4@}<9h*1x#maDTQE&1K6J z#~e(qZSfz5%jyXukNNo=eSbjPbk}FO(@n_(gbh%4EHWsymhHmx$n+k)@JFWle=5xS ziI(Mg^-VN164eB&dfB{)LWO1lQn_bp?Z2eCXGJUafU+ zESpeO%|)l^l6fKnIugXsA_$nMRaTGw16!HvETcu$>MXxf z(8rg@q^YVY%P8~y)eT&K07U*&Wl0MtZNL%_!`$D*)5I*Ye_UA zonzS}owDr)Pls>-MmB(|qW5c84N}h^E*8E1pHoi+F}eQ$nRWCL>aT)LWztTgX{|f1 zH5OcQ-9spb9N#SxNfc5j9%3+bjf;iY_5*3$EZh((9b>1o-dj^oCZEn`sg78grAo>l zA>ub2H^jq{>`!lA=Go8uc=Z#kS%#a3s@fYYmOPg}OvCK7b&WZlegPy*CPJ>eBVDRR zfxY)!SVxJ9LC?zvWu47y z`PEIh$HNH-+M@peJ8(JX{f8JGS4&M+m!%hwk`reey@9_y$9yx1Nh%@{l3=lv!BI~f zbrYh*%mLo!#^Tl%9@oIt$SF-t)m zSa0voxa>`_YHEI;RdpM~R*I<5`bxU0T&FkAmbvLDWRuGdDK=cFHXj3UZGS_?9K($% zSXf7Dp{|Yak)8EAmZvl6$5C<|(hRZ%YS}5XH3k50V%x%%BnGv|HY484WxfskM9q~? zo@7l0ZeJX1lSx6GMI?K6lE}d%u{OQO^(PY#3Y{71HgVF8HY9SyVpAUx0aWu*_@F|43U~z2ay;P+%k(E);7dvEa-1o zzr&Y0gF@#~LRLWyP@$Dp>cv}YZ6J$+4?iw2SEG_=G#zBqa+sy1{{Zijfw#t+O1jRL zB~IJ&1;Ma5)H-iJ{{X78?DIxYNt{PhK^|$9vgy4+3pG73%^p!WrW35OOc@H;Ez0~# zej=c-P)meeCSd&MEPR(SmoLrfrl+WeKqZDthEv~Z9{rE**l7k@m2C0(+!S&FU_FKT z?dgf%h@DlJ>wc=|I?A4wN;xwMz0%T8V>lr~27dnl5!-Xkx#PAVu_MCF&V^DzwOYs5 zzpwS}iEQpzhE-4GW^}oPtb(0t67Kw6H(b~+xCi=SY_l>6oYZC>TFDQYb+Nwjb7DU` z{ut!twH4Aw1dbVZSv#;lJC6MS0B-oTIz7{EL73?2>r*MyA7x6{jbzT|5y>Qh72Q<% zi**-|l0X}Wds`fxx(xy&l}OrLO$`(~=aHt^5lbqCUESD|>CfkhjbHt3S(nWo zp3){*-WXazK~N@=C>{_tl}mDVvE0Cmn^<5rw#>Cf9;A;&HlC)Uk1nbPT&A7|F-<5R zean(qdvj|W{PCjv57t#>U1D77wi;<_>oUNl7BEpnPY>J&b+`ZmENsj>6NEK6o(S$r z>c6OU?1&_O2K;Mhbg!#(PYs%{{4TpGU+}XIo~f2LgtT%hf~wbIrsVQ(dxhf>EbGGO zUbH4{1x9_*T}MG7B}>)hQ?18s;Jkc`{JFOm$KFnh(NbsKG|4l}$1hpsc%)W&lXEuN zWK|bGAUmI2SrBBIOqp+yK(jzV4DC+k2|cbzweWSQQ-Vzg*|&rFwA2}9sGyOlrO`Fh z6ic1Z6|n?dd_Z3P_&?K_1$La(d4+G=DzbW*n)V23+yz5>eir;O{X4#rf~=V;WJ=Mz zpC>S*hNU_G0O6GwcD@j9jP!pnd1^DRg7ot-^9vaow?t7fVtbNAVBfzu5Hl#8>l**;2+l2Pubt{{Z8&dbHX-z>-JW zeq!HGTwXGYIiuhXSJrB(ILF#H#{N~%Dw0%t@-2S+e2u;EUrqIvmpZKwOEPSgjgngn zdTsfBKF7X3D@`x47#PcGP_aFv*~3}E!y_E#g~=ZWOM9~kW~7&D&4-SvXT%=fF4Bv{JY$7Z(q*{ z9;NA6q%@Pq4M5+)i~<|y{ej2W4y}LkdHfc23o3$58`k{KBdvl2N~q~_HVZo{gfc3$ z%p-QS+iBZ-*eEs-%1{1Hzk`~6KMf^Uh#gqDuP;$Fc@#3!al#=&$jWR1Bo_pp`0q{j zPim<6t>SB`U*i%(lI1kUBv{qhv+iO@Yg*R>iw|-5f+OA*Ba$As^Z*mN5 z4;SxZNC%DwuQ>ZJs{a7_EIlIClxr@Y@o_R$)Lu=Qrc)I-i<9@i?j%0G_x*7l)1Um5 z-mmE$QAewKZ{kZvS4&3c$fusBWM|^nJ0U7B&t~p@Ey)y>6{9>!mB{sR6{vM49nvDG z+PCK1Thr_5j-$&=b!#Db*P9am0OkGK_|{qf0Pqw3BzY{7O+hx7&6N}wOc~0l7W4t^ zZ|p5^r>$G7e}t#;Owses7og&}D~nXq%w<8r_=!a~w(n1JeKAQ(fRTQpSJH~4Izujm zkU@Y0-ruRXA0vtGRgwAis?jGM$nL}C-=E)XG0;94`svd>376&FNzzkOSJTsl%qEHn z1X0OyN~vM-0C>OUiFS|6kJ`*^k}+Xs-ZxwE?T;6##W>}5tCM?AHMI-LNl{BiV5zVH zY%D%Q-@gM8O=XnBQ5!3>Z{2Xf_qXBA@oZ{Lkz~uf=!hkUZMVPg+ZBGKo9wbYax3uW z#l5fG5%~Vb7wB;`$W=~O(5QNV%ogETe7{j}1_xKv3b4_lpaYfoxE`SUANItar^(C) z@j9ZC0l6T0ThkG|)`F&*OQdIE1jl6t{{TQZX|cG2L8U62mSFH%<0WDF-wr7kU1Mit??$Lx2g5?KeLF2eMUg@9#-5wFaq0;-{*;~LdF%^MN*+p!*0gM_4UMe zJf~?8OA9TR?-Dr!o^VLMc_pSntD}}T+fl(QWAPi`<$yV*A%Ep8vDw#k_L6>NA5MRK zVoRI`Y29CQ5aZ#$WABLeZ4}OdL0+uCP zYS>!W=kqv)Y6^&?ky4OJe~6O54(@O7{`jzUJWgr+he-k3Vo13Bz4*7w70z`?(Mu!j z0k?cdZT&s1-;dv`xGS_Rk^2!1LVVdg^;QbaaHY5==bN7Wu@cUnN$I6Naw!7HJA5SD zx6oo$o4=VcrRQ3~zxMa3?gffFOaH$+58nfZscOzdK{~8ZfQj0Qie;E~Bw0p5K@E!>Oio z2iZfpcCcNC;seu<-*2WHRMl)?Qc|oA?WxVZe}9Gxc7>&7_0!j;&9s#rT(zYe$_l_p z!;lAiFc-({MtWzSB`%YO8EJ#rjhITS=s2-H_ZahPW2v2};f_5GZz@$FThW0DR%hEVWX+tF$*Emex`~F8KX5 zD$L68$W_rSRgZ7q_QYC6Kv|stQW$RIgT<_S`e0Q~;}f$pg#(2nkVp6Dz9co2&S7a< zR@}XSHaGtOlY!MVw`Gzj_ONS<`kpL*EEgMltY0JanLEcCOH-QUP|e9O%;)Lk&9+U+#hG{WZh84%llfx$@vtJO*O_fHN~M=8XOR&I-+5Zi+y4NE`eKj! zJu!)bL1$0|C4jYu2G-=*${zry0fvv7GFUkFfB(Is<2^O99Rmv^}1*8aWj zZSvz1uAAkWLowFW6uQcB229mU_Ug2eV=%*iiG#3f5TNs8^gg1LsXIAKnl5aI#TSEm zS@Qg!t9~2KZ$~7t*4EQy`9(b&#TaC+Z_8qWwzlVQEI+vG2kZ2zjIZV{CuE^IT%IPbnm2M8!k{b05 z@ddF80rucq9&>~xaBOO8JmFjzZ==05rPR6X($d$p1wK_pEoE(WEsW8@3SGRhalP8X z5pDr40U%!%2Aj$?4B3`rt9of$QA=5)Y11>y{{Y6HeDyHEmw1B&Q6z@WRy!`__(5*x zQEBhsx7IAPJ5$qMu4IyZrCyn%)LCU+5;Dl8SsCF;fCL^1`>kRxVTwmI%=)9z9c`$( z1*ZCO99n}i%j>kpVDQMbkX7ud9%QJk5M^QI$F%$n8wGM~O0x6MnsU5c%Z~W>=lHQ4 zi!L}+kyCZ6N@R8Gk@Ytq%Nl~4R6|iEMp3x!p{kBS=A>Ql&j%Ac{{T-> zOVX^TG01w;p3-LRl|=OUbxx*bfq#w&2i5GhNXv&H%aEuEBPLjhN>IDNwN9a%Owq+8amgl*rXF<4 zLi{t-IX#OF&G7Tsk$ZQ*8oq^U!6NOJiPMI%%-<0_3k|&D$BR|zJmP~TsESO|pE}F( zwVGdnr`8l*=#92gb&BgBA_Fu2##wIj<9Mc(s7BD&hmtz#_HUZoY4?O*F5}vAaR4OHQ zW?~3!!uA&QKil=h?;+L_<@6HEPeB}}T4Y65kgp-su?ENg0AUvS`rDlCPF+l$sR=x} zq*wXhO{u6eSt6j9Z7$oOKN>O4gmGt`~2}G$aKa@Mk22c;E4kg7+jxAdRpfEeES?t{{X>s#YAZ&Sq%}p z*wNeN#vrkbv@ z38!XZX4kZ8m(^)MBjr)#1xr>5iS~{OXHd>O7`8tv#5*1$?xcK5U+rjyd*23PNSw z_LX-)cZnn<$OZoD;rEGe6rCc|y&=q_$+hNFUzlc8!U`$~D^aA7ISRzB?tlVr#8?|% z*!ktv-w?fP)4B^f$@59F7&3vMJilYewZ3U2xj2HosS!*PSMBnnsaB>tAxeKbH6bie z8gpIvjF(#FEe%dpm(nq*6U$LSS6s$xqpMviDyE1FxhHBZufh1398CxPMf*{Y?4S4! zn+!a+iddyLyLxWZaj8=WCmWLNel9sT zW6k>o09XudeTVQ(PMaKCUk8r+6W|)34Z#@X=E$aPm{rnNnO;+Mdp|ea4Xi$2@_q2n zH<8Riq3?Eks9x2I3FB__6wam}>_5nF~6vBpY9V1;^q0?T@)= zaX9lw)UiP)RC5Gz(#N(Pi0-`Z;DRrH-TD6HV}kL3r5)9mYX%NOj!lRopUbefwXnRj zft;z4c#U?kenu)9{nOB*CYMSaA|E(e$hG7Fcx-QKiXF*v! zRTQ-=EEN$kSB^y?mC=VFf(IhR5%}T$vFZ;|_2nfMHnrB7zHbEr`E+A8&8D0{paJlQ zVgVovpIZx)fiT+70+JwJY`uqIIQsfy#*=$)*g`9TyfD8Xjr;evz8H*t3&C+`>8M_4 zA25O+GBS3_yNj{zqmN_QcHBM28`0%+I|B4`Dy*B7c}C51?QT7Oqu%(u`WMzs3zqbz zPLAeunax&pnN()xnv#Z-&!(uBYD!q>_` z@f8&^0!_OEemzI7BH5-{m&sOLDVNN(oFdWA;zQ_a&RjG=SXHc^nNW04y*ja2ADXBD0k{wp2s?)s`&ggU<7MPNjXe;!(G_%({ zbqfsp+AF9Mnqp4=5;q`Rt~yxKl~6?!Ay#E@&aEXhWGk)iY*(K_Z_AI@2qk7=l}Ib8 zYo&qI2DDSM*{x#SbNc#X$m({Hhe7IC^!(J19G~EsbxhH^a+A+R46?1g0C#U==zC%% zRj1melG=_qMHOyv~ zIAxZpVGm-)z;6uOZGE;RaKgjQyi4^#w5EU4eOWyPZf950RMlBNWl5Ipj-95ZSzZYu za_YeYa81Kl+#A~zZ-?HYXFU`D0I4$=^k!M8vfSe)dQ8_Z%mZ!mx2u=9HUtGA@JGdP zK>*;EU*da3WZGX)>D-5+D-LU@bo{w8=Cyi_>RO5hjH1UBZx0bD0D-#VzzYsA#AyeI z2(0NmOZ8fnjI!3`^Gx7>J+z)$uBsa2;#gFI4`K*BcjVrC(cNROGW?S<>I{Az>oeM% z@oJK6^=hVyDML;qEDFZg+ag%)VQYKd^mcEReU`o9KN5g8gav(19k@U1_~9CS-#wyF zBQDNsX+k+M$4^@-1U~i$zt7nIII>mIaPNo=t5jv3V9vkm$zrL_DrZWn`6=4SC7vai z+mC5`dLBM_h0RKrGe;n0DmY`?(~;b9i3YFL+LK>ur`5W*F*S8{2?TW$q-vX$BUsds zJ#3=>rpXK z$fW!zLW^)LH~?@s?TdfH)Q)8*TjoC!1qGS06Dr z{{Zh{Vy&iqxbVReR`gFLUpX8En{kb|d`4*rUtU2Z5$Hh}vgp+*kkiVyEO}yWYoATi3DcM*+=K;T>0eKBGk4!(;SsL4q0@!3tkbE}3`IuWC{k5FzGlpKrX&1*^D{J5(O7XnQJdJKFrv32kCK5}r z!!oZICggrS@E#h+5)RA(;FI;iD5qH!YE8C)Zd}^K@x-SixCzn->73NXWpx(ZhmrYU zr3R_gkwon8v2W(I#g+Gzw$o=Pk;mzZ(^}BPUD;`t zFfK^|0{y)`eX)l#Mh}9nnt5oP?u^(0wSD{h{V>qTwFP9FZKe4rPXgYbzxgqnW$4?4 zY^C=AyM==NPuJT3ri4>U9zDe_K`Yn`f3NkxTA`?eGdea%#D#1_U4S+O{IMI%DZbpS zN(k=X2_uuu{{VKxwyL5E+HJA21NJ14N+n14k{9C>xS%eeFOss&GHUPKld-45o8qMITre=+saPM}t$-i@pCRmMU z(FrQVv5lcmCw2Z@U-QIsGNwcg5=KdD5E{gff0yKau`$g&iO|b?3j$OQ54IxtjUaop zQn6CR?OSv5zkb+i93%!r7dwu31tKwp+ip$nK3~74A(^gQ=0<+bHy%Q>waSlU@80;I zXXWY2tAeXz+tZs`-@n5U9alftrCBzE=!!un{zpIW&K$tKRxKxd73Qg>ol;5JE$|gU zEJ?BL^|0-T{M$^7Kw=7?x(^1&jz8yviH3PJj}fUxD&fAj?tMQWUu;D4W96Zf$=Iw* z-^(YDLGnD~b5872B1Bs}r2#ia-vbMxsVqSpTle`~V01u*4=RiLE&GGt^!eWfb6CJY z)eNBdS0MaA{+;_^TFn^T$rw|6{6^!@@#;HcOCK!G$=UAyLYeMX#Wx;7z7d_`nS9a% zFy#i`b0Gxin3TIg21y(CDxZJ;ozsn!7br{!drqq3ysu3ciDp-~l*hyY6 zg;anl#!xvR5*waC^f>U9?cs#izUFB{F0>q2=@9X_AKA|K~%~bwfh0%z;`1iMbbsb$) zWsc?xw)aKUg5LJQV-~s<%J?#mjfOw^p-6y+5oIyj@Ei%>x*Z`GdlHy>}gWOL6yM3Ff0^#l9CUw6L`dH&e;nk8CzFQMdhwdYIo8^tuB2%S`rZliU}aq~Yjf1W%I zQ9GE*0>lta!te*ff5QI&mK+gIiRMfPW)YMZ9sdAce?xqELlq+YVOX;;uNFUk6inwm_Gd5~9CrCP-#Xh+01GO$uRhAKzbj4e8Alag(h z{*&>C;W}yZ-9Oe34D{KRK3xP(--B{p4Bu%tq&qp8^_h1Fw381TnB>%1Z4=jKwK-(%9P&biLc)MB1)bdx6TRB*aGEV+EM4<8^jn6My6mbY_?S3O#blv$V}RPu1L+ zsv(4hT~(7-QNcQ%Oj0aMKf?A3eMRmzkOgH9ZrOGt_08{d8 zo|`I7OC3qfGCF$-dTOW~EUiy-bdC3h5p(5`RZiWZY+S#i+5~7kyHcG4ok{l0jwx#D zY4Ym#dN&mFO7%dbZ4(xd#Hxefc)&IcT;c=PyyH&gdV5QBvV%E;GO5#%*FI~RP%$*i zHB6B+LmL|z0vL!c08(3y*qdkCdM!z!H1>##D%07WEjE}ky?ctds&YD-igc(3pn1$5 zIa!s2%D!3>Rgi4~^&q(slt3BocO&K6XHP>FXt7mqaC!q|Z>SOM$$LTEI1p zzF0!4qM<2OV`5ojKN06`$9sEN5%a@r@_MSA*Gokfz@PMNK}Or}0(rpuq>u97-@gMM zw2cp#rZ)tDx8VDc-_r|Z$GTTQ+(6pCq>50HLK@JXRmUFY$ML{=e8feiWJ-CM7L{zO z+_k+(H$PGG9D3k}dggYLRADTNo0UrokVmjL?fo$TX$*BzFr7fP#`N!V>Nw}=^7>+% zI2W8l5z10n<}A#`_KPw2dA;mEFJ9Q3Y3XTajS_crYGM#@0*%7Q{{XtRt?i1|I=Nzy zd}NjzS(to0dir|$VqMZ%rK!zomPnh)j)(6z!W5TYE`7z%{{UOx0iH2e1z{-a>Kiq5 z73JbqJELxH0k;GJ{r>>IBs7^FUa6KUnnb8tcvPu$j1hBg_wE4%asL3j=ZLH>^VZ88 zGJ(E-<*9xw3FP+Vk};utD(D(bSEjRiikfg*3d9k65X!`R@oRl;_~Sb|j3aH)Xkhav zNQq=}Jv?yI1!h=cMccN-6Is4UBLKdTxYwTflw;g9=(mezL-Z-p~pOaU3O(=(?xKNC)KYP&9Az2x@EJyVD-6`WEFK;er2Bd zxqe&aBCg0BlQhW2G$CcS(4e`VKqbXtA5k-^8mO~6I;AqxgSJK{z;ZV5MXoQ{^K*r| z%LhR8-F{)G`gNCTx_a!lIGT+sGYr<2x{A6O+^3$c5=3GxYlX9cw%pvXfi7}sL@O;u z(C!;@lgA(tf6Ew{zRhUxM`k>He0@bFpoUJ-BF!}|Z^FWn0hXfmxHz3~D^tG@4-%RVPJTP>RqS`-H^;<(|_$YH6k1U0A zdaV&pRMXET5Rh*xO!97F9F4hv_<^|x5W4MK{4%xPW`?UF>HKgCV&7|vGO5c?nq2$_ z7-WSlv|i*Exwjk)dan-f)qySm*+3@0DAK{8@~e~QOpd`!b2IKIX-D-oxAXvVKikBC z>WC2m{IuKV5o>oJk8FJ#%)BP}B3Rubr=^-Pn(3`kB}7Y5BHklA?rHhVtKU zqQ`}$!J`Z=Em>5vD}qhJf8xEu>O2}-SJzKNL?LOaCW0W(uNIY}q+LKAet~1Rqo8*+A;xw>Jm&cezQ9>n(B4m(B7TE*M6~(?F#I1syNxV4q zOQT(T{vh2w(^@Mv%yTS>qod1W$upV>Oc2pYJWAAJDYp+TsntOoh88B|;_va>)LxZ; z3ND?di|}F7Y_m@5PNGW7K5;Evm5-KDB1oc0hxiieWQ?6P;twX2kGlDmRZ#+vPqbcbx%!J^FZGAP7ZvdwmP&*M*M{pT!1UJN!cRj$v7q z=T7j@bqhshbm6Hgb6mbC;vzX+{K#XLpX{=1K5+>sUo&tdZDMhg;5U~KWPJ0|dQQJ9 z>lF@{)zs1DvruYSXtSvEv~djstwP5zs0zbqM!0RP4{#WF#=zD3#OS)ri>TE)`yl&F zIo4xcS1gj&BsFzVQB ztj)EV)H+Wtt;(jBnzE{(E^GEGf`No8BA7-P?pX|96ByHd?maHyH5?4K6=^jU@wIb(w;*Kha z@;X2wOxBKbnog-)9cFf-F)czo%Sg(|97I`|$fCe*p79f<^%G~hE`zJk(WM@3naxk1 z=aE9Q)X_Xs`Et@ov7m}*npp{nf!?#Zk7yoV43tr2RzdtybjPN2y)1)RQsgp2of+tI z>Z-}8>*8rEqmDyTU24Oyg^?q8iE!2%NVqDoERR0vc1fhNNVDB5TT_@mK@_xf`Bp)f z%?r!+$)gQY)3Q4(jU0&E2r4#_xPrK~d|_+ct5fv4EVDss{Xd)4OB5@qW~D&2b(H>m z^FvY_aT{J_X8nD&kB;{I}SNE#o)N) zG-8S`I_UR6a_T?vIJ2y>Sw>wwMFDK$SXVY^s-=Jmb(Slrkz@Eg!SNMfZEKo4t-5nA z(|umlT3;utl3HA^Ijf_ho?8}pqLqgxJ_{)8F(^5BE^@rG`N|@hMR{fr$I}~K|-o!At zH}@ak9Mff~6~?|ymPK6@4N*LC32G%&RJpKbJ(Yb)>^_*DYj;bnY)9n=PxEGIi#J2+A zk#T>=7M_*r*H1IIX=xwEm&YvYkJj!J1R$(S|kjZ@L*Ab0Vt%1=R3IxWpA;1w$i}+lXLC2Ebf# ziKbDV>FQYXe5*LjsuD=ETEXQ;2@Z_>5pFNfud)0ivqEQQcASt1D9vu&>^%qj;BrZH z5i2CRBPJQ7NgQwkBxjU#+*^=2`hFO?JUi*Cx*Z4B774MW=vJljjIj~-AdXVIeK?Gg zP5XWrs5)Zv!(Oo6i#oFu-P_SXJ@4N9e_Uw3iwToSby|R;xvJ=1uTjXk{{ZJy# zY-eV+)B3OKi=%FT@^K^*cn!|s*|5je48%!c+?ouw-MevN_+x!Wpo_iu`rrO>^XLBn zlVM!)cq-M|ctlf8)f%&9H@IxNgTJxj*8E2VW9WccOm}r|O~^iUyOc)mF&ciKzZW*@hQ<+D@V_-LAYP^dpZlvaM zEH!PIP}8jPe=&=dhIz`V8#jQ?kF+i?U*pr$-n3`AmW%3sfa#`Vn`AjYiTfsFna4=& zOHWiQ>!dK31Op&RqkVwiYX%+h^ADmvBRaj(9cNjc!>4LYZz*;!sv&oM?%p33WgJ0A|$&;`cW8;^&MCPUq2O(W|kk3EI#aUlL79Z>1tQ9M6oT8F1v{{SY>a{9?-l9w^bGZ?ENmOZO?SB05acd@;& z#u&y^N=0WTxgmZOvtJUKzfN+@@5LTtq^dLQ^^HXhPK)yvlA|u2RKY7FGkH=lX1a?2 zEx^68Q%9Rq$ukO~EIGMu->>z>@6uRio29)s&1oi5A4_HIjt2xs{JU6s`~+JRMt_pc z1yx7c$O?{EXy*1dzvquV;(nD&}{Mw0Y+sYrrUf?U=6$Cuh(RlnYUZv3PBtX z_r-*@gJ;<411;~gGN0EF{cn|1O2yl5@HlAy0DjoRnW+wnpD=;aso51sQ)_Sgr2fz4 zfLYWm(J@1JCvZ{RVndx$B_zywv3oHd{{TDSEnE3Ri8i+DFmr1U-|y1_YQ`hOBh55$ zE7LlJR3(bBw?CgF4C|g5Y4^zv;;V2<@N7Ou1#=ojtPFghq&1O;xE4RZ_QZO&s#-}* zHFX=gA%uO2zivJ8HNa1BPHRgcx7m2Pu_KNN~=;D zrVfgCvVcc>3-CWdi1vR1Qz#NxfNX4eZ(r|-rkQ(04^l%>68X^*$I)2v+Th?FMM_B> z<(F;wus*)M`+D<;uh=&t^T;LH9a(qSLDi$L!l{a8`}N7`+vo;XJ;|T_G_pj1gLWU^58{xtmo`?J{0IL4FOsQsH+5d#wj6`)+Yv0n2~?S4i3+Ls121d( z^NH4`gJK44sG&#(Lu(FhZHQ)K=^8S`*W6E<3Wc{E{XICwwg=F(*92QRStU<4coju>@on1Qofs2jBPYhUNux z<_2~6zA@X94gP)b{-QN?WtkS+E~R^pZO`fZ;|-dOM;fV8SJJl$i+xDc2?m2`8XuiX*^;XO_=blvw}Z=*9>z?GO&qbkyU`= zRU+2E`G@TDf~cl1lIfVo#l*E0U17{Ay#37tP%I$<<$R?l@VQ}%sV0%%&USTj1Z>+$ z>;-|p*59Tq4-~a2FI)3ur;(e=mK7?fd-*Z$^d7b+_2&=?_-%z3*Z4 z{eM4Kq@7}o{2n`17V}C=8YiSPny6n1X@FFzMZe^e?g9D>8WC#{R$Qd@-b^lW2}D%KO3}j^g8vM?Qn}_3wwU z%&ZiZeU@9B-*LYR3AjGLhAEX=O%xncFlo=3w%ZqJ0ABoo&*S=E2Q-$mew^ntn(~&2 z%JgrzBsB#X+!Z!p2~pUaa&2xefWipkbXdT(tV{P6`u@0;bc;&pO>eI)A z)y&`GIh?tiG*Qz=kukrWFfm3+7WlY3t--aE$f;WkBL#?HptBWiyIR4ILg!lRPilUsWV{ne@!wTy@0IO*{{Rf5$C5adS|S~1qR8v=eKpkjT$Vh( zr%^*v*^LblwJOv>AW0>Od&TB%4q_KoH``!95{%DCWVuI7s3*@d?F;ri&nKOz?NeBp zvrLO($t%PnM@b4Pgx)fQfI)vV5pJ;Onn-0DZ$N3R@BCEhsB2cQHh|uqd1jFrmI8T_ zG}xdyuyR;{S8Ft@Jk~8sr}F&~nDoCrb1sUF=+dUPmZU5V18=N}B%_{KlJUIPSrtas zASeN`sTj1xB(0H+YoF8P)tX|qM|+(SPv^g!K~mKcn~qO=srToc440_%5=LEf6hPcC z-aGdfxb_Ew?}R$I$x9BNqok%LQ>1BaWN!X+$KvMZ;>3Q=EBfkL)U`C|PR9e{O{cf- zxb+>cipna=HARGTS_;Uw!&<+~YdPE$@z{=i54gZ7^t}~C?<8`7vq(?FEz0|G$A90x zBLmF`$)|m`KMvL4`X1fC+a1F5$GvLgm6-*$w2iocf7~1Pz}!*+G)SY;sIobc$xWb` z#De6Di+)&_bn`8t%VBw+I2+|)l0sx#G-J1Z#^;Zxt|0ksHf@_0ik3!YHj+!R-opK^ z-?M`0rga4jY-KY!acGdpEZmz8d)u}W%P8L46p~g?vaJJ_#LFUPibo$8nuOl}0HEah zcIOu_L;By8bUuqP%Rj;vyw(Mh=CNY(SOyAyC2QR71GjGe*&9F3wO&m$bagV#4*pg! zZ@SmHC$T5C2R5tDXJi!e$+08&u7!e*;Mo2G-&26g!{ex5=5mW;jN?7VjnA{`H9n`z zwG^?#4B3rrv&xaZ)fz@Dz!Ew8$I}T=$tu*O0rod$P{QZ2z5PA0MCIDUJw{7Sa9nK` zWwnR=eE9XX@i5YwvoMRzsjM5Lh~11*k#lQX*4%$zmnL}eV1iLpk<&(y*RPgEq;zFY z*rc8ulA@n#n>xm8Cy)j{ihS2A!MXDZw-@ zmAx(L)~l#zVPB`~5TnWqrMZYc5QvA(fl#K{pqtwc(Cej zkk$SQC|fSobajiGY3(+&5YaR$zIjNckg6)HC}j>xwSw%hRpenz+HA{JigBGQg!0&ERsBt7^*jiwc?E`A^@urDo?~bv>5c?cY~&btZ`=@Dd}cG(cX?zbdM#=P}0$5 za(%M72gO-gASP)@wa(@y+*~M8elhdQ!<}}g$og%oHE`<=d8Bfjrn4++;gHa*)fKf8 ziiqjKUpg=4g=ww}0dhDd#eSU3Dk7(n2Bl4l55pq{R^Q3=;?}r4divw$cZ6)uAj>lB z_cnu2!k&#)DZ8OIhszk{o4(r~$ z>b_CbJfBrkbzeHEny*L29#x(}=4JIW<+8NO)bgZFYY9Hl8>waww4aO>IH$GNwbWYc zPsx$$oaUmk3TTOiDkL(fWwn?VzcwUa+l*^0qd;_f#TSeE4J}n&o#$CjW27ruuCpu8 z-m)7EAc`p2r7)>)^r>bWR0Df0@7 zRe2adB`zu%1aL3&qj1iw02UyY7{c*i64UrTmRgMIxX0mJ_FaCV@h4Viui>YcQ)HjS zGo-Z?`HZRdtw)pj<7pc~%BiOc+@24@dF{dDu)4pFo>7!_Q#{H%Lv&kNY3*s0>AaFX zIjh%7=d{^`xfN1X*3?4PVh3-tq=IQ<0mwVzem)b5XQ)fzpTh5n48K@uPP0{JnQTzK zFZiaK&1kb4+7lH4%jv3+!{x`gBE>5xV0SBlZ-g5Y_*r$g!2bY-J$0(}Z-^fhx@R?Q zNvB^Upvn_YW|P4+G__FABh;kSVH5uVp<+Q9^Dn)I;1}a_M5n%Ot|dbWBw)I1@*Key zG|S}$)D?`dxFXi)f$h(>KGuH)K8kD3koYsH%=&|t&6m%sH6*#VTSHI?VMX>@Xk(Ss zfK2yZ8KMt^%{)PWvnA#wKYXDOiYevGj9G|hFF>? z?8>_rUmR2mTCy{k{{Rrm-wz%jwf2mo(;1|hUR~DxNuEa3nUrcpan7qHNl`qoLMU=V zd7QGLJ+T|tJ}Np1;wQ!jP)*U29dA!%b6~LnF^m2lzqDs*5cw#0D%Pa1^_F zQMpjBVTngp{{V$2icYQgkLo6IptSZ=S4o;?^;(N8EuT`-wDh&({6zqYqC}8LuvD)2 z_FZky7ACam4??<=(w?(ty&UQ;qtCQnX+=+$%bL^W+R-wpc2q>Oz@-AW+RH^SVHjj0 zeK%_LnVsho}Dl5@Q_FB(a%yS(;$8GdNdfETPG35q@#k zMsy}wuXVJVYc#T$Z(BIpb^*)J0Dk#U$dIO9u;OaI91@Jdh3#=9OL_@|ts3)4f$4K3ydl%5!}G z07_}v=;WEJXzD!5Qx0b6!HY(!C5@Fr$QU?fAqw{?6iVHXvd)-lzMEt^;*X{JM=^ZY zC!)%$D{3`1m7_IzaA_*ck&0>7b$FTt5*Lh;3`@q{>uE)gy0H&AoQ>+=kwQ)l{ik}CYVhLX4v_%arvFX(=QItcV^V&T#n^Hm<^F;ea zG_o0F3~og6GuVaN)3LY238g7~KxcV&T?5o8nmm(FY5J;ZEAtah>IFS3Nw74)N?5Q`yBGl1wgrLdJozst- z^u94mT=}5QY8II!sGeBbNf1FM^|J&31tae*#_N^D7N4scPNFQjqd(G_jX|M;ogSK+ zzCW|nv>{Ogqes7KjRLZUPWQ7riL(dk4b7eZ6!$g7I!!J^oT0+`UZ@_42@GP9zhJFX$BxkE$_wf zFQi>3(^&?2rS*jz^^aO6j;5f=7!=?<(^Gj!N5mOfMZ+7CZRvvDJ$)ORzOn(S^-U90545JKs$sf91E7~_D{kZ!C*H@4*x3B? z7&jwG!bno#_S{2H}AcDvMB3vOXo(=A&S z<36V%tvmtWu@?UTOlN8y*lzs4sXQZV{{Zr6YN={GA$10xoImoqmP=fllG$Y+BafGU zdvo&oGbP=A7rz%PkDEXInQEwi`ItJDMvTRms1Q2~adx1La0lJtRQ^Ag(qrZljTB@H z*y89cdKQ8(scWB|*A60!b1Et4cQ|jolrmV{e2*s%A!Z+RSo-nL*B3~zobjQQs+-@I z=GW)-$Fy!lg-HMpUt{&cijm0XB(=LA&-eLblNyOWD8K|><+!#iN=cz`B3T$QxF+P4 zxft=3i|h=_dEIW`zHrxjnMU2gK=-xqv`o@jSiO%H2evqY>r_uJQ&EylH0w!O7)rPn zVk4bx_hehy$0vpdz5-HolcfvhAN?xQ6FjU;&sC+UrQA<9JK{I@z70gKsKnir{(iXf zCs^BOmZYA}qnsKoqKzvcdcVP!ge`s#{bk{Qr`1<$pR;LNdO}UD$)s3`$nL(Q7_O~|R)t{CN+jchD0?RnS(et866(Bk~2eXdK6M;))uA3-MMEhT{?x2gQ` zYj#B=Gk^IHzlGjoUbPiH3e*(wZQE)cU*=d|_p{T3dz*k-+>kiq46A>D2k_W+dj6Z| zeMZvtWRw-~W-+@!hnpxut@63Kfncn+wSnUOyYcIVQj)48=PaATx5eq} z`tggbvVyc##WJz*-QkY6!wy0J0HyGC(^Ko5l8(F`JwB>C>N5Iv3A&wFlFWil>@H2W zoL3sGr6#1Vgl<)au0=pc{?{V^0H!pom6En%l1467*KLKtHyzK{-x<$YK=bQN-Z=-y z{wGnni59<@!~L<1;tzZ`Ka)pE#HfVoS>v5eOmPk1k!`lWfB3{xIIe=S6AtSe{9yM7 z_w&Ec6D>s=qS@oJi|$~1f2aFm6R4;PHUI zSaLO2EQ+A361Q$FIr$TTS(Q4nvmz>%V8HtiOYyhX(6Z(D&GA60QDmNrx!^O-4X2KmU?MqnU#QcHvnGe`(i(tlTtjn z;!}3x;p{(u*AqO>i|wo`W|!OHMzHRNV%Fp@xg7q^4~?RPJ3U59l_fbR z;v}2i=Mk+)V5tXqR%A9+;Cf%vvAyv!&SHW<(yzoG`~!O**58M3pQJ}UNJjdFkyzXA z^I%B&cOV|#*k>PT42UM6qLG74K^H#@F&(ePiNCM)#0Nj~VW@gw|+hG zWoiiHk~R?roH6^vmQYX6wXp!y$s<5aC56`Lgz^a>5%L!Y>5WV>zn|xcO-(dx?C5f_f|8XM`hLHTKVi7#id~haeV}1NBHbKik06H}lgDqu8rv< z^W22wZ3TWS55~jkhBXt3Pn1AYv*2ez!2CaV!w`IwnzUvQ8oB};t5s2T7LtJ>T){;( zdc^#B>KkK^a>y)wys_?aL~Viy{OJ6$ZhinbCg$W=e_wBz#_-p=Cm`wuLi$HoM(;;6 zi5Nn5$#pkdH>bo0)LeSvvCMoW^km89EZMY5Nb?MJ_hD=H+z9RR^!3K3n^zRJ%J?!f z=^W*8Mnul@nS?wSjN9XHMjeX(0Dr^N0V*{vb4vk+I+M(tP33~hIqZA)BKO7J;(wu9 zOQ)GGUst7b49(WIrGtH@t~nJfJvK(C)DK(V@H7o&ITCqc{GfxBzj4nuzkAqr_5A$? zmT}1&T^?gsSo1hO&`j$5_J|m%YEo8yU5K!~xF_3z>+kc!pZ!A36eLMWNPMNUNXXZ} zYmbYcPX6AWvDA&@Z!SsPD_E}PpK{Jk*d#X`zRmJX)Y zOy%aw(bY}1E0qI|_qTp8f9=}O(X}~;Of-f?;udLZXUp>((rPLSC^n&##}(=ba>nYL z;#at_^FrIX6iP4JZto%hmJGz$53UPjnci_lNSRwROHX^kOR)0GbMUWVO@;WmuOqu zis~0iI)R?&+LxocCJiN*<@udWPMLaIG@-4EiRxLQf~(15vpPd)#J~A>TXd2EY(Vr5 zuFIs%XtnlH)bpY>mR4i7Y}EBeo~_c#9Zf89v~6HFDNilH^tl+2YfPU}bxm4bP2zW_ z)v^X@8D^5Ek(t@qoRjt_!6lrxBy%HP#G9cV9z0IaS?Z-ImbZZ465UUNvsl*k2dX_n z%`$w4Ld#o0mSsBkHOuW#wX`t9X(?(WWVf6_Ae$*;V_-1zrn7WIRt}|Rde=$k5@+%0 zeBV6LI*oJM#GfavrJ}5?0KV|^uH=r=N?E^_0o+`$uX+NVTj&OTo94YX)tx8QlID3V znRQ-UU92f4Non3l-M^MTC~ES4(RC5n2LG0idyER0X6P*fFCz^$K6na)leT~#^_4NN79DsQVZ&t5XzpFYa8 zuT*B2FN-+>S};h@}qYFOp2shM+H-sI5(4Zk4{o(>N@(pPfJZ( zN0Y-0bk%xS&&(FGC>okMBdAqKUNwz^gChr6_a@ny71}q}4ONiU&}1Dt(pAr2N2RGI zr;|F7LM>F1%4L<~AN{Owp^b|HdjZMfUk}|*pDmX%rpl?N*_G2~KV@3z)>evmr-%Jkoh>7!p9 zf8mk+ltn8`3lB5Q4y-l<_XxQhgYbf3;|e%Iw#7)biMJ<S@o`*J-yi}Q)XQ3=qiNhSXP z$P&O_-rm1YpFb+HmX@b4&r*X><*t#T`;$g@xw74jyPMzld@H*80XWKC5?FM$pVQGI zWqHV+dCisn%tC?h-=FrvT7xaja_q89!Lz8Cl~o|dOBE*kSettOyx^rjPc%JTMy97L zib)+eD@JyeC42BixIMdEd*Zdt>ayso{{Z>XK=H&J6fsKHkY9`3*zxlB#bc+7eH|P> zB(pJ17cQBGmtEEEb;+leg_ag+B&c1!TtR?40R;Ri7=dZmhOalJrIFV2%z*Fg zelRWRU`Ob1d#Mh8!t#x!gpyJQm@9E^KX>BRz%3s}qfpaKk+45%xb#ptBfrzX;e)6s z#FmmRXgX7+Gwiks$_VQys-vxv8I2_bazbPB;%MCOnlrlZAYh}kYI~NvlP&13pOTew zYJF8v8_38}>oRi?Lw;_;ci`T{k?qLBnpGp9%jIpXEhz!5YkVMkcR$p6_rYkVsYA8g zjn=bwxVNu7j&Yl)!7Q@1Hoq$V=mevrN>5h}G%qZ#w7M-ei=Af-f<2$no zkBG9H0!6qal{f8tKyuCX4z-mBau*IFuvR0B4%~M9dHiHr&rxOB#-7vqY4VrzvT;<> z)jYrXR)4dyAyMC9jzS6UB<+9^PSVYL?rvBW?eLTSp5oX(I7vk*I}&)>bj#PCkY}3Ot{RA2Eu%TsLzKhkN4}WN9P`uJ=5zK)iIjlkAPg8WIEQQQu~h55Jx`|H z4ILykYc)1k23J6o^z2LSSXw9&Sd#J^N4Se|ZU!V;pRJj8Vd3(Am*x8NPA*khEj3*( zM@gdAmoOQOeoDK{=^DVLRR|R*#pOrZP!j7EITEd1BFxT#ywDkoUu$q|e?EBh`Qf&% ziAmY3tNKmgv!&f6(OK_Zbe_JSG1fT}<|F={tbj&HWsXs5h{~&SoDTOFAP{ihsJXzh8G<|dOk)HG=FQC3pP2#?I#uxy3iRz|v=tP%Hx?|t}Q)15y( z9qI2*`rXt@4Mj_zWHoc?jWL|*`g*3NlEz8=iKP#_$wgwNRT)DtyQqy)J=I>6X|AOB zl<8id>W@=0{Y|8+vK-ojCK+86d1y5`az?WmOip2Ul3y%2g<@O8QDcv2zK$qR#ACrx zbu+?Ojed>glV*BrSm)XPR~tGSjUk@bJHod=`5>~$4$*dHR#DiuV~Q_8b(3B6za-6c zwwbES;-<}NDO#~BvYL9;V*zs+2~iuO{{ZE1O^wBe82S~|tf$4zE_0h_T@vV*PO_}e zHGu>CRSsnUTw2!0%~Nb8m!i955%F{{1nbwMUA51)uc>gH+|&#(5NQ>N?r;81u+dsLw$qT@$S$ zOt47M(-_iLSi-rCqsQ?qpyI0(WVs0$O)9e}XSyp#>mim^={~3F=tLS{FIw0$s)?yY zHF3leXzpSH`-b2_+C|OBtZ?*?I9V20wbq1nELl+NYD64*-bP#h09x&rK(`ic?glN_l>r#M&;jTB}%o30FlQP&{Za9oU5Y!K(DDZd3|=A ztdWqYhLc(4&mkP1!hY5sw&dHn#g)B~Je3j1 zvP4apWQi=mi#P(};}-UJp}K#O>#bXu(sXB9WtwifqM+!yO6>!e{kDlDrIajH5`B(D zlt)nU@ndvDc94=-$3QergVlNxmqO~D4D{Nx=JnL`QP$~-Ji3xhwn`naH9Nx;Z}x?& z+(^GGC@QR>h%P#Ai^ack;qZ<8PO7?3km=9;UF!~^&NKRa#vIQ$&vQyT%*MOTh8UrW z6seMD44V|)3}=nX4%P~=?-d?8bbhq)&#$~e=qRMrSvIPv)3tC^LbByeHBMWXQANm> z9sXCH1d8#L0l-#PQmEJ+s(d?ihMzOZq{_6uWtu%u5m#mT7NcpN6cqGw$yYFrj%jI( zO;@zSQbu%O<88hm9c%FE()}yb49`?(8MDf&?1M6>i!iLsB{9><1bK{*QcZf<7%XX)e_u92>#%<^oXP3BPN88L?}$sU?RB}934Wa}i*)5t_S(LuRX z7Ko4uQq2VLi>o8kJwwcMiWi7ircCRXJlO?KWPHgbh)AlG(#G+VPxgz;bG1WS#Dj^3 zyUgo4hnwe`Wi?(`mTCN|n@z^MO1bKB#YLWsD;-#ZNPq#PjJN}sWI+8jnXrq9}%+i4yk_F3i{ReNB2W)aN zK#a;NbQ@gVwuK5UaycI_m%bxezFl9Rta)t=CP}I)Q6P_N5?rtWY`yMJxA(-Bp(0>I zv}}LMMVj9C1K583aKlLjpIu~>@>Nw+t7g=y(^9eD7&5BvQO*AM9Cz*yY+fz4LrArH zcQ$pB>3u1d=CRks9#LMcn`M(|=Bi5O`*)nvtid0F6RMHHJ4gycU<|Z6Vvkm6e4;GA z3S7>cUDP{|u*n4TQ8h;Rq;n#MBbHSM?tyC!qzM&G9=D>*D07aUbrVCjQ#%K?1oOu@h-wO2`o6qsf3wTBfARXW%!Dbs*m8S%ar68!E2fJ%q({qYa@CM| zd*YFK1z2(}JpuY#z4#a${ldi%nd7R<=kw|vt}KUhUxROc*0<_$d;b6rsZM3ri83%g zI-Losp;mieoP#hRkDniq?~0FAQYekKD%^{(bMT*A+uxtp7QgV5M2b6`p5A1{CTFVzfsMb+3!CD&2p?i@bmYtvMY{PD-R_4?!LD}lS> zODMMj_rozy_M&d7EJO1sCE&h}65tI#K>Bav5 z3@b+&^TXXr+Qbrg!g1Y`;UdSfoGg+sYiTVVnu?c4=%?xpZgW`A9X3@> z)0*m;Izz3hV<7l&8Z*|f7H3!8Rk*&-kO5K{+A}g z{qgg!!lzcWMPFU$^sOwA8LP5<){$e6YHl-SwJ>Z}AOrWv+Ba_Q1&BC2W4R!0pJSo% z{m~q=D2F-Cx_Q-Uc(>-T-tJCR;G4f{!`QVMtPC8(7vnj6av~@>z|n7!ud!__nkT zahlQR&{R`qRSM!o3jTQaZC6PCX%6sIyky*VI|#q7D-RN^?cokg(y?N#s#zQ~)%0mpUe7NKva4rO9~XWdyhhd6(sUcEIZmaMDQJJiVW4+eDZI_bGV#i);ag+btzuDy z?g+$7sPWU#>%8G#axu)OVRAUUtbH&$z*bkHD7r(OYTUP{`5hK_QLU>f^K9=g$SG** zs?lMmnIf3LiMdqD+d;n2NER3^){yL0vR#eJBGQbSP?U}NRuQ{Rr?sKV{@LB_ewG>*S%QUqasBWw2g ze*N(rtzvIUS??$$}fGYPXPY_ zPJgF-K{HA?W093(^4u}|=HA@gV@ng|0-r=rIag!?q>HcK3Jrm{;{Kw?vHdx`TRMUo zdDw7E8~ki+JKN>le_TuJWMc1W*efvwn){yo_V(wtBKn?`MzDZDfssOL+jrhO&vW*XyObkN8kAq z$3KVbk4-X38p|3!(w4I|uV4>vZr?07$6|6+B=CxtV)cs_)+!Ll2leld*!|_Nc8MhR zEh>Tsw<>Y_6>b((`#&XIu}rEJ)B(x#zxT)HW_14mwupU*(SspJ;$m&2U!R zmF>Am_qQLG6CkJ%EQ%dXw>M_zw{M^CjC`kMGw1?RwLG$JuiOsZrEhKLwXcLFD9WfG zdI9%}+@~F{>)Q@$C6P$;pdebsZSem4VS}SZ2^8`s^4y^p>9;fqUO+_W58PbW*HlHzkJga>MUm-`fVWC@Ox@X=4lnekwn{ z24-~9nD>M6UvZB2J-?^vhOswd`8ZZlelDR|@?5qvD<;__a>_?y0JVtck^A(_`Sxse z23bdyy)gd(=X`QS6#NEw%a#kz`9<&bVtsL?JXuJ_pDKW>wc?-P9np@(xfkcKx6ky< zHPKDymvwF22WACNbIAM;)9a5(;r6kf3&dvLyC>$qq8Lv=hHar(t8hP?$QB0Vdj3D( z9KlC4MO$z!VPLEYu{?48uudqRl0_0T1ZxJj1;?QJTo20x>T9yR!z_lLgD42}?%S$D zfxCC1up5sT_=&abJ#nmKu~X0tmNji(j>Y?0qkRI%hXRba1RtF`iH60zu>8 z^tV2kcT4AaY$K2<0f}+ICgYFjaFUfNC& z(Rr3{nPvST(~!{W3R&|?JvTI!w6Cpcsj4W^;dDtB8in5D%9=u|p@I%0DhlH}ubuXm zNNfpfh~rx`9zj0XzaG0?)pXVb%=JH0>0y&hAxzZe@~%zgq&8SQq>p<>VO5XqJHGSX zkgvocFJhMD$j)kH8jnjx^GD^UPa!Skk^;x}s*rj2@85xI`kX$7mL-K88?&!zP35rR0fcpgcRn$&$u+iKU5d z$?;o`Y(7Wx#Wgz!imgjA@=y>Jk79lPU*FRfy>)Z~ooMBXL}3w%J=X{BllXdb^u<%H za+Fm;vG=Sz*vWALl8;0Db=hFSTAY0^qWD%u_M(7ozD4H**)-Oj3D;UG zo$Iv?UY~%|#T@k%5o-Lk>k>Hvl|N-#gE=;JJ4$dlwj#9AfoNuoH^g^Ht84bK0GnRq z^Vsk`FN^c39W?1SvdVMLqsyrg>Lb%swbWVA>IPXf)wMrmrUII5ugO}N7@smPLJDp> zgIw16=ZDU@X>OfE)lEs#eM^^VnuUT$GwQsWRHKn4We|}uU6yjn7oJNCbB>dQ8c*D+ zfv5a4N#b``FGzK!hUhgbW!eItGKMVAJkAX*Hg63{Smbba?+k&S-dLC79Dr1U_f_;u zOY0qB(BBK4Md}_=omOQBRn+Hir1M3Qm6*d{AKp;$d7ETa+=BZF0PVPY;O|d(h0OXV zlTlLj6Qr7-Nai$>1kt+AdD^BJJoXbpOf=7Hu#o=%Gt6J+Uc&)8`QZNmPmZ#5%O&Xc zRZF0=ok-cM*G-k`8ky;+i`r%>%L-&stFj9&Zufn z4;o`io{MOXwbXQejaas4m(uk5whGFYG1xo2(8Vhfpl*|Kc?QPcW#P;4Xt?7$EU3xI5S0P&BZ-DdGa;rk?~s>!v!W14juhM-gI)A8x3Drl*s zjxe%G9P^?r=XI1-8MRe)7FhID(^SaNQTfH%of<;R7-r;!99;A8 z;CWRX)rdUq?PXOquzR;7kzvmsz5f8$9&ANW!{cQ)Dn~!ROm)3ukV!2^9rlGcCfr~5 z>5U_)==uqqYRsuRaYdqY!Bag28SS z+T$at1dDRuI)gR3S06MOX(!1Z}m2t#15w^uZW4X0yx0w3&Xa%^-$&nI(z} z=}}!i$?@yN>U@Yw~&L7sjmc!PCt(k?H+Sn`_+m3QX3AEU0N^ z(rOZ-O3EQpt3xBys#L5^Xy#@y2-)H$`|%#?Cxw~~Ii@wfbw{tpVO!Ju(P{IDpDvOp zS{Z9T$q-2ixtOs=F@-3hjiq9?EG%L7c=GRqt9SB)EDmNF=G*mrSZ^(Qv#r8ii04pWkJ{{Sb|^m%SmmQdy{qHN}#sVTu) zTG?e%8_s1Vej)$`l0|Y3ApI@TS{qUHGOoDfStOP4=T&K`bF9ly3R(}8MaprfaOgRIgiSynhAb0`}_Je$k4 z$a16;c*)gGSEzEV@{>{ZUq{VFpXAhkuw=SwR74xr_XO2ji37L`waZwlv7(@gSuv?lq zwybvy6kUKNj)IDbcgh!^<|s>%`X6t@`VGwyD{&zi;HXwg<~niyJhMv1Mir!Cu5KAluZa`jO8d7*UlC zDI~-z;&(+@k#`OPs34wrB-@?{;ChUH(c+$6S(L|_MD0r1wIWsj05GeVjLIIwk+Xu< zYl~j@^};h|FyGGmNLB-Q;`cwV;q}548jmHT)OmJqo5<}?O_@VcRwIm-c$QGo01qIQ z2j9PA*pe}6qpr)W=$&&_1v_Z&u0uybOPx|OMN5$dR<1EJ!yqzEBbi-Mt?>}RUf8a6 zZj2gNuKGP{HC+y6Ra2>H+PSEwM~x;*#19_ONsdc{bUcE?=Z3n+t2!(GwrF&hQuSx} zEfAxImP#aw7-VX3CzCLQd3%`z&Y{=`3OFDUaByn9BcF9YO6FNUVVKWW+L8&g3Y^9; zC7M>KjfqgM_dFY$-HTq>FC|@Yg*uNx6)%Y0O_j@B^x)^jUf@``2G{kq?ZTq^PZ_QxfKiT0|o1yB5~xlVN`S z?~byaZ%+e?dK|>EZVt%J%DA`0E-pPTI~xxD@ku6-c-4#Q)sRmkfR}c;3fHy!i+4X? zrYx`F^{6Q{&x`F1o@NyxU=_7=ax25UNY!)|G-(+Cjv1;IZcoE|p4P=_s3(G{?z3BQ z-lbZ~Zb<}wKA5pQ42o0Y*cIMbo5s=&wW84fSF>Xk*u|2!s z&i#ud*}|Cvg;D@t^~Z{#xVv8bvB&4So7%yN1mC~xW8L>}D98w4Zn))j$<5NE@-v|G zFLF;J$DA!20KRaMMf>_;D3Kk8=iRjP#~58EMqTSA#kjCM{$24TQ!1Yuo(_6%vwZDYJLv6OXS&%DD{1xZ>lCc$E>gL8ekh+Z&O=`2&MSRH&oi z`I(jf00-aV;nICR^qmU+CRuG-M<9|cvORU0&?7ahZYyE{{nfqi{fhZ_hF|_u4uq;R zX->T5nbV-KNcC=?uQLmd!gOXNfC=M|Ono(uCT955ZNIg!`Z+w;T31A2=zG|IY+cSW zcF_rNeEc15{{ZDy>4;&ES@?d+HG~jYqSfiTSqmF;{{YZ65Qg9zG3Vp?Vn?Vyi2nfb zahs%Pqh29@gchZeCSua(x>YM6DapN{mKl}qSn?$T#PT+R+m<9$l_d~Exma0(g2Utu z?mOa3lj^MMnlTL)cUvEpFJ!KC+;4kv!2=WKV~;5i;@dG-@X_n!nzK)8E{AJx4_!jg z+Of3++KxJHU8bg{r>LQ5>8V|#pOh{nRJszZM1hnrSqj-5X``1d>MeT6z%uy&+~5BI zq$lUFT^r$$0;H0EF5H!U)DuEQD=o2l|`;kWAq*W0NW9~!!eQx{HnP4H`*D0OiLBy zFl|9)Dt43a`@SREf|@CJG{l2z7ALo^JmlS*7`rR2T}?$KuOw%39#n((en$QO0DoLp zIsX8flb8|`mr`BtZNDr2`;1vSf=FVK(p6?)2p4RCH%GwJ8l;F3!8q% z0p`$wF(`yvy5GOy`C@rz1MA|J7Tl5>{ooe-3;LhgztCOc{{UPZ zj$buPNhv3Q3l88O{GZ1G^Ll4#gkUgGe)MMGAIA`J8K|U)&c!^=PU4MI@Mv~%4yl4^ z2x%O(`4#{UdHnDLP*Ai}w6L)Yw%iL?3;SYAo5fbsNL^V$wb*ty^*-Oe_yw4%%~C*Q z+Q5sEYqhQYN7oHQva(*~cd4SCFHIZ@+d*x>`h7nk`xt`iN;3;LmrNY(-V|69-`nfx zeQ{@Mx=``6N2}M$@=kZaAhPbNl?EDu}{4x6xw5j%zwWFS=%BzC+OJ2l~Zav5L!*^6xGBGlxh*wd{ z@!R`;`F9lJ2^30EywC_B3yynVo^krBm4tjWH@N!!2kzMUgi?~X+3%#m%T+89 z8fjR`5%`yJeg^|#OKhSikRvjHVB3J)PTvftX>xx=Xx#v~NGcO~!e{5*WWz5f8$ z4C> zh#toQBoZkPN=R34h+Gy=r_%T%27Qobbmpck{+4A<%C#s+x4p2+u39M-YFe&4mbTQ8 z4c7d3Q_uQ(WlXmUL>CO>aIHqR$I>#7!09zA(gd2|j-|cKFY89nuB7qxV+|HnP7gKO;?tfz)iK*j_ z>EVnmf&0XI6Uo0H+mFW)xfPQrL~~Q z>$4iFDI5j!D&sR1h&zi4LGS=>(gC;uyAt6i9vNC?aa&UzFY};VN*I9Ti=M*YrY;>X zuk^-?(yOQG`m2*xRKr74S(HgKW~lJgRMG94j#$z&PcRIs7VJNmSl-RFL;A_l`fiug zWSRC`sH!tevpc7l(9M`+GUfBeQNj43lK%h!StE6IW(*4pDN+TiqxB-ubgi{@CXQ#y zDs<&Ed4*`q>3_m9{{XW?GB`ys2ZpXmWl&&m<~vQf8P{kw#|m8bUmi8tPIXsP=9v_F zR-%eB8G3&NFpxR;s;YyVdU1POw(fBUeyr*kkVG1JA88~shu~kYVsH5R`r={Lkol~7 zHi2l(L46pT;G|FH8&*||fot(=TGr#RClH#wAqatya_rjbFK_Ve+=JMVZUMFXdg2<2 zT#Uvlta5t6o|$(nl?r5GxDps2aCtksp8liK_;9^p%qjquW{@+WP^w1&a(#LHE#DD5 z$1AL+lVuW2;a_c@os_BL+z+3BL5^dgk_vycPYEm?M%3gj`x9@c>47-GyCtG+H&>ct z2$mRZpm$O~Fb%EvVJUUKPn*hRs>_&3Z{>vJ;kT{+R`urii)F(m4jVC$6)dE}cq7mc zr@kbVc}7bxS=CWpP4=sRK(+1p1IOcq=F~;ztq$bR^wLwQ6x&^qZtAuueTW{s53e4W zUnbUCMjH6#nv~S4A^0SW0M-YK*#7`e?SPt>PSn66&Zwhgf?dE&q>#iPk+}5lfs~nK zZ5ouFiTJKS;g3F_>FN;U;VylFb;r(%g&Da&sbQj?1Xw$drypHX_#_)6&={mFQhdNmiDtFVOB!)rmY>Uu{@yB)XL+Mh8xql~v!j)fh*H}3sa1Id{{X`uDqQNG2AZRz zc@0zMQ%roynyF!iKpj~?+|FYvcM@6cVQb?t*K53wE9fR^peVYnQ=QA1=}f*gp*=>b zoIxu?6NqVPpDhu@0g}>0CM&iQo5KPy6FcigGWcGLqlwfA>f{vE$^H>Od7X)%bgo&N z)aIA$GA7a^_-Tsj@s-lS+Ot3~RqR=LF{p`*&_ zokf40N##=3GAfo=V^9GuOCLEmGYH1lJ{mJ!2}jZXiF8jm=_Z@asd}AHo=KfgTbD%F zn=P51o>?REm{c&jATC2FjY6Ko13H)0-kD?_L+F=@omr$)ET??eJ;^JXD_(I=Nlzou zMJ2X?L`}MIh?{|CRV{nAoMW|;qrf9w^%MB8^uHpj*IA`Osmmw~vdbkc4pmhxJvuoX z-Z(EIMNf&Cf)zn#um>48NG6@?7O%~7&Zf_vkG9V>T$OrbC4!}vrb!HkbT2e2BOt%s zZU_JlZZ9$i<{&+#dC zRYo(XMzu^;Jy+ND-6845ugod4M60ONl=?3?pDL@%XwG9Cv4)ZXAw*a#g-SY;v{)N5 z(rGdp3u#XYIx9NyJED3g7P8IjvdvGX26a(ZGH9TwriG~|stFpI<%VJwAXU=NhXptw}W|PcNBOT`ZRpW->t64#a#$5zljLcLN)T{{WP8o9j%!NAzbYc}i)@ ztlK=3F_ly-XETZ#F-LooW;F6?3AWqaf0ttC`)ELBM$9}r;TPW)chIQoJA0LkmsIgL(D z)mb%#X zo*?s#+b*oCg=_2btj%F(nPiyEr_5C>AZJq`jaWx=tQYQ_Ys!BeSx-PbK=nsWb$eVq z#*?afsmhu>va+hChKidrno1~Dlmr$%u2?D*0>lt0&Y|_w!mnLCa%Wv;>ppzimrrU< zF-bLbT~!WZjZI#a5u?K1Txl~Er;bEc3S%440zkT*Hux6Gp>(@4nU)DesWjmNqL{;{ zH{JnJVR7E)w+eA+XbhW3)%bm_uZJemwRxgunTuz&8K!mie8QHJDoR$QYABj`gsf!y zQW3UGnAJ#Kz=?lTd^c!4ai7Dex=Wqu%{!Bvr!0=Dt2wN!q08$tTBNCEN*1e(%43mf zq-)4*u9A)XE#TUJ!w*vPm~{idxm0VWsB+5e(t3=}DC3SQ`cJk~e=xSrVFim8+P373 z6-G!ah zSlQ5sDb4yFSx1>thv(S9I&NWV?>PN~i*GU>D<=Mm;vB)?~xIo+ugCEZQcT4x@YF@xIU5^kILZ>#D) zr;9AhI-ja(XQ#{Rt0<};H+cm-t4_gf%9RIp)*$XGSaMGymRRGOPu$YQjksA(YP_wo z?N_Mhq1HN+F3KNN=9yGErg4&*ibs)u#3GWNqy|d{in_EF5#Rac%Bxv}htR!v&U4JR zp*oBvi|lndy&UpoGN5Q_rdpY$WlCYaMA=zz2xEF;EJKjav__24`pycSL8$fKX78MJ zA2AW>DD!x)8kj2QO1E9^$??P^-q97g9FW(+PNwOszb(`m&Z)>Xc4;+!n^cavi!9XA zD${SNH1b3#O&TFqmR8(X`D`U`y}5=NO8NraQ?ifMd4{pj?sT;-p`n^8ypeWPQB%ai zYDuXQomE+*4P#D_rywvf_r32;bsDOxGt?ECm2>Z?tDafvJh+k-5=$YGf~o}yH!(IA z01yR$#)a`4p)+k6sPe48J<8KAs*_GZ7x+_D7pQ?y6;_tW0<#cK(Q*RWx$m9zl*Q}h zEhr$i)3r$eUxWVuJ-Np|8Fr(4rl)WSn2AOqpFHxkyT6>gm6m%E?U?Nf+D0Ni{H` zr?3e7S)b1p1%TaUvEWz+Ar#PP@=;w~>CS7fy7Q^&vWerpJ5#)^D{Z~wRVUWw>(9*h z?})yo=(e)b`9tP^V^@h3nHnFC$^kd|U!KC&KtF$B5l;lSMp`hyMT!3`5zh zVjZvOeE|HuaB^&iJIVBRVM(3Tw2?_eV3N7`Ep=c|xgXa7nnB}6Y^KfXve#H-mP;|% zk)ADaVchUI^u_Ps_cY3>yhC*V065Mc$)=~)IZM{c8=eQgZ!&6d@}A&z97SxP!G^0r{C7;*>% zcE)bEOC4^ScqRB`oL}VCGBRR@Sh&ydz8!Z=`!C>M#AilwzL;it?EOm1Y87*)rIlz) z$s7hXw$R~rx5efy!9Nl>^u_N>;+vt57DZX^C|k7lGv}qdicf9(2#Hbm~sCAtDQ8I z`H<_(qW=K*V*}jl%ImF7y>v1?z!*n{{Xmmu)#L6@r;`6{(vCrCW)#*sjG6yFJgfz z<2U2d#Npg}pCYR^hctwR`Pp%$C z<2S_xBEeHZRa;RjNFzV|?N+xtfV!^bxCf5?{jnQZH@P$Kyjh-B2}P|&C;tG95_rPj zZ=?8pnN--1gdg-pp3)=~UHdf_%q)%4nizsUF{Ng&S*;kfP&@(=1SYkQG;kNBN+H&j(qX}xjR z4yb8Nx|r{dZk|m?4D-m}4d;5OiFn6wi))+Q+qWqdaLpG}jUQ?t5(viC@5a;bf)GRs zh*Se%$+rXF9~W|O9=g^9RiW|u;u4Uv5+<(6GD^>e+yXX$dFO=}`SW?6hW;R(Syso`x#W+mLJmCBSTL$ zM5WCu1XEz@EpF!D-ybvb&lVmz)I(K}_{_+2SXmU2SJE0$y=~3j8s)wF+yx*Ji8e{& zyZD0X;S{gc&YNb)BmP`9eL2h`DBK$p4rrs(j(huC3eQ)LE}IJs6K{llFQ7@`touCB zK`N|am73< zT-I&nW22f~e$FG=-wYg_4TS9#S>mny7th7Iu^cq8}wII(p=X`Py?oGSxu>Vx51{$zH=ahoazc_l() z7b;uVjz51q1Dh?mf~uA|(9DDjAvab1PvL+WjAC~Y*r~SfxNi3!@q=>LLmPoD9E2$3 zDB(|VK128IVHun&6edWcCGWTrN#oy--{*^_=(!urDae<2RR~xXP@o&%w>{1{0V;mt zC>ua3JuSzl)ON#}BWj>f#Ds3#L!Y02k+&EhS5VYQR1L_$d1k}Y_Hh#In5(cBcwHCE zu?4uh4#M`o_55)i%vDunS!LeD5*ba2us`XEK6JlnEjdJV3vfU;2lD-lMss|=p&CN4 zsI}Q{eeZ%r(Jc|G`Jy{zsE>dT4u;@!`rLl)h@Nk?Br`7Yw&#FJ{P8TT+N){F_&~8O z`5)_mxs@zN^&3ID-7GJAAJf0-`8AECQe6;S^oiq=oxkxYOtI52Qd4S@QAZEOyL$a`DAaExD0dbMe*Tu`+@GEz z`I@wdWR#uAgaEB$U{Ak)_xWQ>72SzuYKWa!NoG|?ZG&*My@4IQ{kwdQJZMm%o}4je zA&CI}3I4x@u(f=0%~|m?h~r?P9lq&2*m_vo)BEAIPYRo5B|!$rFTwhL`NoDWO!@XD zCT&px5l2l=AOr6_yLt8C z=WhQ1?~juu?0o%(|AU zFl8XF)A67@AMNddRk>Tj)ueLC@2%#B*O3 zr_UoJE5+gnY`)=j7?$$ zY{t)ULA8%=e-G&1o>}I0gflh8*drT|e!l+z>+gkDXr@UbjH{CNZI&DR5%v1x(qe*c zk0(5hbbgk)zPN~Ishe;kXnEUcq2f|3WzqbeS{IU4? zYKtbzx}jnjuW&ox+W!FC2tczC=E|pWJ8!zj_7CNTV-*)dlS*8%T&{ppOddIqNWp;e zPb3?9eEoj5ICCuw2ED7KQnSv%jJB~P-ur>$9Y-o`x8sc?S66RYhH-Ucqf^fqpDG^v2Y!{s^6D9$(lx zn?|9M#jEtqY$^f!q?K2->^=Q(!e8K(;zlXH(LvQc7{(x7KH9mgK(&p5D?-+>;PdO> zj0f*pel4B%9M75{`Goq@E7S049XnOhjF&sjbpBVC*Nl~CqR-@}o=8k8SwN#{N*EoA zUUXIrM;owPQsrJFx`WioG=`st2W5>2(EbLphGBqhqT`jSMol*iIB0tndxDIFpk3@) zab)zx+b8bR#=S)^;?i8na{V8Y(`1<>T6TjisZBXuru97e#XU*i8qBfOPa`^{VmLs6 zf#sPC65eOSOkJdp&3LxVSOF}kH+f>>-MxUs_o-UrK;}9xOXxnIOPf_tnRDXaQA@H;@Gaom?H}{$UmtB-=IGpCrgSCzIw`okpFe{{SD-=9)?)Jg&73Pfaun z3a8jYqqRi7bb!gsysO9s@plxNU5!YA|~a2{+D|e=YxV(Iks&X-fQNJvMPmIhZh|Bap{0nBAzWn5&`6X zBfs^;Hjk@1Z#%4uD2GW@O(UpSkfcg(1;`g9`*XqL+}jgeuPctSqB>DEi=CtsV#4+S z5PrV6dE#TjE@$B*tF*ckG_5~qo{?vXppgFn<6v)az%U+`Wf!&WYZ9(W!V*#W3AOEQ zbVH)9$)}XW-8ThT6)W~gTyiXUJbwLf!#&OPqEk&ynnp@#89WZ{9GtW{)I zgn-fu1u^q)Vh=oGN|Ff3SyJlFU{yIMzxw_-?=4?M*9D){ty8BnZjk7@T&f6Zswnd; zQUeS8jq!CC#Rz0c zN{u^To8)v|0I$p;>7^LUGrc2Bvu4jrmbDBOP~}w=A}TqE6D?Ggj||ep+htQu+l3&WIT0Bh0{LLMB}JR%ZbiR5GMbTSCT{M6^vv~Bp}h~u6GugwX;`z|*ErP~ zq_t$rm()`<@yAIW-z2W2`h9>71uC)wzuxRi$Ys z4MUnxyNaqh^rS$sLM3-WBY9!mP6^(N8OAcxJxuBjjL0kWzKrS?bCu9$i?=e%=yOS+ zg0L0a{K(OQxhgi?_g*_(VL$y%>NFMEPHOt&IyE|W2$vzK{{R$}zFs4@matuql&iID z7Q?XY1(=LZ3WPdoN647gC?t}uBOTAYcmSDL^X29O;stGj0tGk38>u!QMWQTEX>%5b$7rg ziwz4)TFszob0{fhg?`tT*F#ZHI47!M-4DK_pHp`lXZfldT;t>$bSd zD=KE9&uVk5(@a6CV9khUC{Bb2xD)?*4rVrC&l5!=BFiQiKH0Es@8>Mn?^($nPm zo=sO#QgbSLx@|uM(M4Xc6i`#`B$(LP6R@z|y2jfW8i?edINdO?+wM@EJn)Iv-w%E& zx)CQ-G)*2{<4|M*Ff)2eqO6(3?nw|x{gJrsau|9XY8?sUr(6F37%sDC{t5g;^dg5W z(6#B9R?}2vRCN$%dM2)p3Eq;d)JV>aAdo#Ii1DbArvu6N_AsY z=K7yAsH&kAP-b}^S1Lo7RMXZ?3ZxRhg} zxmG;ZwKUx#)Kvvju+1w{nI*OOF2~p37Q+mm>g+b?`x?J5{{ZCP^)DmUk3~b`yCVMp zrp-ZPJh?4G*DPC)XhAVE0kqr9P)R+#EzYZWkm*MPrpQra0c0;Dj1v#>oBkto4HYFviJa6HlH03v&R4z7jhAtw z=Jq|mOcJF2A)YGyS9LE(>5iq=>1|(IO`TKIx>7ZBVDDGE=w;VwM?QyP@+*DYbyb z;IXu28@<+Tub;nz1o~_a7iL$y)Pn2cZURb$gJwnSVWD>LntixDjq?$P91MSsO zhGGzccgMX$VzJ4*R%$$>KTTqtKFVUNk0{D%l;!oc^zgk#Ss0FvqD1>i5)lYk-4DRZ z0Sc^1YcDzRA=9b~PN8)NQS?Vm#*QPqP;&Y@LrfmNJpgM0+>FKgAp2bI;=2B6bhI%$gmFf#g9ixHSqjfe6 zg@9Hd3zzWg;=9Dn2CH1siRs~rl7fHnS%y#NJanuCZ6QxFR4(UENdZpY_u6OLm#Vr~ zq1t;orqmVBoi?iIf@PEokuTb$p{p^X#RT9VgrfkgIj~#%V!H6B)l98*UZ&76rVi4b{cSSWrG znXIa~qxCv4&rIKS4LTB6)wezS{s#T|!%}t0n+ZAQpr=^+_0+DjwqF*vtD|`G<116G z9SDbX^0w)vYk!%_sUTTF3{_My7@~DVMQS8^WZkv9yBnMF@5tbPUNK@;iDR6}6xR+0 z)Y^U%wE7RGEA3gi^BH8Pj08M67QcJn=jnm=$1&P8SMbt z2HNW~v0-OI55sSB$n1YC7N*l$n!big%(pM7o)sG;FhpCQnF7MtX_@62l?s)s%c@a_ zB(!X$Nc0yRdhjsJBVeU2M|4`>GlC_hYS}@E*H3_7Xm2Beuo(r5KhDyHDk zOvu9i%%l2fxz} zvi&2~T=rE|>W)WSQoLLIW|&F03nPG`_AG3#P3h|f$=w%D68q$L$p3!moot$*>r|Zk;bOR`{$=; zYYP(0&D+x0q*9b^1DvVR3DVsP@sXScq0%yCnUa^g!wzds<}2HG!sg@rr;%>hdk=!I z9vQ}I64dlY2X=jpT`f!yMxgq%yTX7k>2q$}<6iVjt==d#mQzcVJ#SBP87bpYC)k!u z%4KU`b5qlbXd>VOIVwpu2fj2fOFAFb-1>2t>yD#lRWL~36I9bv)4|^4#|$wBC%v~h z9QXFh)asrYG^5Dgo*UJe)N1`>na@STHGXKY8mU(IvPBe8sO~vF-lrFyo#`%<(#*A#5j90h zfxfDxL69CfUM#14GL*=Ek z{#=hcrK&5Y8>g4#&29$+fnmlC6F~JIV<{Fq06!1ufK;bdB zO99QT^8NFGnfz-cEw;cpvu+%L@6Xc^`XH}$9$3T@WE+avn*G>v0k$hWOB}GyW12oZ z#vPzDN@ z1-o2&U|f|@&nk$(=Z&P>^ZNX-W~j+extf#Uy^V#~{cp|#&zDl}j->*lZWcE6`VKz~ zax4waGS-4psWBUm-M8w03oWJJW}T)AkHA$vq#vdGcflg;xf!okp8kBQwmh*X zk$YR8?}+_lQX@$MLKp=%BpU(s{rAMfG^UB8c_m;$V%A%6>4?sBu*$?V&W875R{sD} zFWm8lVMr51OFyTht*4T}J(qKDBzy2IKEF$1zRzf4puCbOwaW{7SoS{LTi+HophlpG z8bNSvwTByTe_`+6+W4>aHo;9Rn-n735M12*-|_za@ui8{1ulpNtEZ)r3(~s>w$h;e zPpS9(IXH^e$>bqZ87#bz8^6ns-#C@#(a98ILT|Z%JBS2)t@8ai#Ah(4ic7*QtW@pu zNFCdNe!nkq#x*d=Ln>{NZ0W@E{jFX*01KVgvAM9fuNMBlQK*j$o3~gs_%C~Ld)WSW z`ryW)ridq>8Y&P6#kR)%xv}}+Sw@Z(-7?*Q8#erhADy|zmLVCdVjf$DK2E=HlJv!PH9H=XJxXTj2hxW{qg#q zt8)kPl}WJNN5Wde)APXzKF;9`iF7M{&A}J+KP)3vN+TOy4af!Kl}(5r>Hfc;$r7Y{ z;haZxo-updaysn}KA!&ozA@C|idYOFsz@K=CiYS7_a#?2&ih9St5 z4$wZ%oSTbW^ZuWvIEpCXXWLHiBA{TtWy;&Xa6V?(^707@s0h}FlnyxO`uk$69a+^P zyb8}4l1Q&&%)v^Vcexz;`tfsbd`r3^*P8x|YUCMhBhM~zQw229%H?BKG7E;|;up7T zdh#&`NgkjoM$$&4Sch_Z3)}Mp{e7(tgLp^kFNwJG2|96`5W@al%;($y)rUMNlzqE zE1{`JNuxpV#X7eC0ED1iv1w8?c6>+YRq`bBH&P|KZV2R6Mdk~3Y|Jop!KJ6*On z#Dx4WV73?3@8RwINP3}7NswpyXHQR-(af(+l;qm8FGvzig-oB#oJhn1ZMd*q?`v*r zug;;NLmVwq zwG+nks$XnoK^n6KTX9@(@HHpPpvZ6$VQJ8(=^lOgEEe|Qk5|QV@m1g z0E(bkAvZU%#1F1on@i_)`7V~}{PWf2dUGih12&Q`Evui;nptL8?sg$ARaBepTb^vV zpH^vYdr#9kc_{V%Z7xvyLeG*LG38q#9 z%w6OgZ5J0jclv(LiT*XU(`Fty9M1}}!IV=Vnj#MLWqCd>+=BaVZbgdrwlf_Tb(X-w zRLr6Zr*7ThO7|R&E#JSf{BdA-tMLz;_@>sGM^JSxO+i7LP~>#kc4a*qu@%xsebp~} zZD!qj3x%-&+X!Y;d0{VPUM(z6KP71J#?+}Z-ZhE-6)b*z{{T!tH7#{I$2QjiOOP7s z0{U{j?}_zQ4OCRh(-mOvbsI^)2areOh%T?wHAWd9FOgkcegezI$NF3FzibgMZGns} z1M|%GJnJu`qe&G~m^#9|^27mqo;!j0;8sPK8Y+nMDe93XQXL`$0xiwR^z1(@c)DLu z#SxgiMS%sl;EQqSeL?+zEvl&u>2ogD;Yk~|jyX5t z{PXKIP;;u><~p_M zl^tb1q>i&MY`g8$xnxnpmrDSDIwl4Mq*M3 )%ZpL^hk;v53uvrtIErp0%k=xVd z-@Y^^aj!K2=zMgt<#m&Z^eLyGNR4erJrCI$7KCPPlo)*FWnwD4z~qSsn{c0ZM&w;K z)7rQAQNfyOxvBu#KqbF(9KrfmoMv@3H8HbPOD116MD(GmZ@I!rPffKQzT{vJgjjUi zO$Nt_&2W76g{4&uBevbQVq2bn_aTgj%+@7m0;ybipJ4cq(A@4^C6{OsAR3B zqo_(bO>H6x_Em!!7Jn_cB@$+E7@$)EOtn^m%~$~5N=jjCSdsu1Tc6JV0H!QI4Barv zb^icV=&1EtyX84Qmzd`wC8v%!>0)@ytx)xnK@4z}V64p|pXLAoBq=l_Y%FaK&XCUN zdi42joYU0_njI*$6Xkg{)T>K2XZ{tt?JG}9D5Yylw6ZOOY-iiN?LAavT~+@8)(r`j zYD#TyO_|hXO*UteW!c3=JXweH>KSBOXlDv`qORlt41zvFu`Ek(KX#a%riWtD^6J^ zcSV*_)x=A*bdok@lp3G=nQ13!CJ+e$lYJ`@b!fmb;#;7ZKS{cE7PuWmuFWK@*R`2_ z1aXR{5k(M}?J<_9hA{I(B1ED^+RoEV85G102%vMef&FOFJtw8p8mBYKx@no|8L~ZL z7F(ujtElrL+0`?(Yd_l3sxT>PHq*x-7fY9Q+QP+YN{N2XfW6sR+i`B=aU9=^ar@&M zgT^M4qF$NPYYd;Q`sYzm{{V}s<~e?Ms;hG>wya3?VwS3&T8N`WtXSh#sG`_fAGFv# zqhfOW!DNkZW?Ksp>Mq~2#s!it`6$z}2^cZ#np86T{+J+W?_`%u-9do4xO(1Jye^ksOe;w zGQ%l8Qq^v@(m%|^1tdzew}lNgnDq~*x6Rnx5{taToS&9mILB?C8yNoh!HYUe{=T(Ub& zEKISoK$N9bGKcQ$V>S<>9YEzE!E{MGY%Y}X}9VHEVVHB~h8Q+ams z?$IjBtVm`fi?FqYq_k}glg)Z#m{0aPYFwMFb7eW!qM2ldDymv~ni)#eHs*%Q9Fhy( z;aiimDHoqrH787~yhvtpL8&NfH1ztU9L%*s$qbOZyHBAfe_}kwv~QQO7>F%cC zyKK60>rpP&K-VXWk4ux-ekY&E7nJn1v3x5$$c4e$ti&GH=hNT!!?nsLU5_*@>dDkMn2 zX%t$<;2(t1=$>rO$(n0Q-!6|X%(E$8SrH{MjfsJ`J; zDu<^c?wPA*f=^Ac?6evU%zvjv+%#@=p7Z#DDJAp+Eqz zAOH%okz#m|@f*;tqx3SrN=2PznZ9Vn9tWXMn|9p+md8iu9JGMl;!!Bk?7V`(Nt;US5uoc zbj?@_G=^EDgjBBORZEg0ASh$T(nT+r);$w0*jPAEgcHYmA5WeKJPK1%_aYf*4kAgi zPY_*A>E>M?Rgq@2PfD}2iv+n`GH+*n&vpsBxntkudjW-Od|GuHrB*uWGPzj%4vmyT zsy=~0BwF46UG0brk{P8DO&0$EfH5o$hre&2^}|ZT338QCw8}++bqBw5>Fe_yuy{U5 zSME*M#Ey9gy=IWpRR9}@mnRqeEW@9#e0IJfB{hPr`fH=*Bmxp#k^U~+9kPxO<7`*$ zMjQE1Ui*G4o7?0^d;VDVk0Q)73Cs&hS;GYipzdZi;0}1cCR;)!BJ$FnBRXQywN|$1 zj)nU&M2|YoXsy zSC-euL}V)4SRK$auOj>qekFCN^5+|C9CO1YDA6%wN;v~cPF>0OZ#($bv9Ae zO>3NgkL$Q=s_G$4w9O__9Xv2C&BDhNXo?Bsl>+t`>@nU|kme8pEVM4Aq!vRF8@`{~ z_^z4CI8w;g?|K)^?A*USU(38poWO6bmaT5vbfO z0QWyLwc&+W><|#ZpO0^+zwg@^WB5tZ)a3fZI9*dZP{%e=cv`ANj7UquLIOy=fd<|G z03(gzT~SD?BT9%0lf#J=f%WA0G{_h*B_aq zrH$FCmthjY(e06?`%7(Sdj;rPI)}r(Ek4brUhnng;{5X zNNzX;asHTIX`u0vkXoBFSzm0?Y$dE14}|{!rUUAj;({Q}a=Wd<+u*IFIXliNHv8W8BaCcZ6j>i80zHwXX{I+8g%Tl(vJl^DY7vr`o(P;ERWk{rB zEU934CA)VwBwOqI7!8@Jjx#CS@;;z?TaJF1El~{6N=mVoBGxuu-iP=4ae?`er1Ew= zgzzqh{GIs!0GxLOk?PauI@v|i*C*2c{PTg?gmOA=D5bA$*8ATq8k&unizIdhZb=|} z^YrHfYN3>?tbl+-}8R?wtO^Dhe_BV+O0{H^=^FJosh*3`hxNV#hi zxaR)+{`tf=RAsP7PSW{1mf+oNEWGn?U-!etFqH^i=uz~qsC zZpZQY@MF?|k~A@@7|9m~#E*V2dszNg#;zAjlRF~hN~16PLy1LELPsjk*xdVL_B!%p za3o@cxCGr#_Bj25`HUSz`$;U>l@7{TtWjFvs$8#r=hOYLq&c4102T_bxS{RHAdiu^ z@0=2)V?0F~BCR016t^Pw=J&wN+bBrhPq6$V{{R>=kDtUizxDqBPnJRSd!`{&lE{rR zk+JSfWDdl49-!ffg-G7=EUMzgU3+nUFr8CZ!8oT>4vM^ob92Y~AFnt|?Ls@$Rtt;T zEuh?=Z*JdA8FRr$(1u|`yV^=}#DYbEuqWyJ{{TwE#MMmHofFRFk~@DTRE7kQ42ZqC zz3xT5cpqCnvY~vE$m8Q6Fuvh$f7caPj4aBQK7(ehJeKn+DHW5<1nm1nWQ(4_+TV@6 zaigVeWQt5fP~Vj&^f7cD+HjY@09+daeMist-wM>g3r8dn77u$Cum;@m`~Lubkh@Cr zM3L@fVC!NBBfZBYaz32)$Lf<5DvGff`5||Z1-p0sc=sLg>FKv;k#|E%rH&RzV`(nm zb90+7}6TuKu5gWcB zZY(dz9j+`7zc?~YBBP2b`OK&)NQ-+}+9CG^>@APRu0vDyh{;&m{K^bd>4Nc zPNrp)*=DfmEp(Y)TQV9vt1PRfk}bhV4g_;MJ%ciUNegzc0f=m`x?+Y@?~57pCAN!a z!=Ld5@w3+2JwcG_j}V|!Q)N4rnww2zG_6%6(?v>((n&;oxYW$jJAh8rD7GxFD_pmx zntxJs>pIpNb0@CIHO`O9Ce$$J)Op0Fn=tz``SlRIZyxAeMt8*El3X&eJC%|Fm3UnK zAbuSy->B!qzO7f4r=Y;k8Uand>U}JVkjB8~>U~Whvz=EuxgL*@$eQEIr4Nr%i zC8qTDou$<5B-DA03^IvlrigE4k>ruWQ>X$)SkOCdDp{48Ng=1pEk^7z_g?%Z{mAoi ztQ&H6M!ZQ-QD(K8V_wtcRQY{=sMCV8Hva$*Pbw)Yg!08Cs~==$5vwwfgg9~qn~9|Q z16}GZ1652k-%Y8$!=m!pnGQoL)_F6~nWAAYmde#H96Q6q50vga>w`+|ntMeR_ zBhY4QjGs;AD?>q<)uODGz|8WsM!|6svpL$P>=X--NmU~^T{3$9nbYaIihWTXZ7yE) zbh&EkSq@ZLqIiu!jFRf*B$n}q5tm}$3NeW8ZK)XTklwjgRAf+S*&4O)E{LN-u!{hq zvape3adUHX&ldK@e05%OY6?@;-UL8Y%?~2yk#EnRU@>uZ3rcAYq2!utFv>dJrgD0m zt4q^SRl%A`Sra6QG_AB0r*vUyMVL3Q%H|&@O*7~Sr=;ulieM)0+QK;4jllMoZ)53x zKAhkaw)hJf{E)h>Yn#Ut#1;d+tn1|3{r!ly@82Frtt(nL7JwFE{H2JmCc}?j=KP;u z!x9YlqngqhpR-lCQ&Xj?9644Y zSo|%1Naoi0e@^&UPIQy48C0t-o@|Yoogs4`q{`+JIk^h9oR)7;2RwUXOBYIfS3M+k zRQ)@tsWKhW%9OE6i~;`u;A4B+>A=8e39f=hSeoRC*6MRbKiRW9pvI@--{#+UACT>i z4zJ3flIxeq6k7JE3Zv(3x4(YhEIidc9`PfXRC&3_MswiFAViXvA*f)?PYM|nf&nAn zn_ztpMSA9wdGd5yR<6NmO6jV*D80GBBv6yWnwc&fBuO_xV8O2x2NUuz8Yk`KI(>9L}_!Lfod9*F2q&7 zS6dKIm%@M_Ta#ih>tHZ@O=$`9dWFj~PKjrfVVsjvlT;{Cd-izk{>PjLCzziRZ}$HH za#qHsiSB`k_L{{Xeg`MK|IdG|PhQpAEG zEJ+hda2(tj0J{Kf-o&d|_V`E_wXk0=qcbW_ZjCEN(fsZY4|)E3sk&7gqjdUA#~{uq zv=ws5vpgutQ7vRJ`7$q(Snp{VDF77!Fbm}VCAyzM;7vMJL8oeFNGR$uxig=zM>I*6 z%{nZQiDRlBQxP>{6C?)c(FL&umNh}5Iy4HyVUK814hmsAd6u(DW0ooT{)q8k(y~uC7Qim4I@ISGuFjW(Yrw# z&rH(51ah=98DVBX12iPqcEraa>n@3^>9(23wJbWmlRDC^BpDrMp3S3znW2`sDx{J? zN0_n;$~LbLvLA^GlZ#e(|N~S`c=}64X+lihB;|8?rErH(T0;SFxF-i^9ow3 z3aTZ5Q`5*p)1rBZi~P&RLV-N$E~wW1AJe^S)RcLCMV#pFrqfSfJb7+^K~b4yvu1&t zFRPtks1NpXT1|yZD-x^#A+1UEBdVPu@eSij9cimGdfGi7sdXMp4sSkxR}D0=(uk=k z>ZFvTyl5`7J;MMHjz@ej+JP!0%TZ6Nsj|%X#8A<>{*%n~W?7TvQ0a|Hrl@7hQz)*R zG@`4gcw?Zajz}fmZ)leC&pLcoS2HS(g;sUrueu_OKJi7Ra|vh3Dsv3GIL%I9B}QRY z{wG(uijJ5uO4>!1Ic1B@77>|aWRGZ#BYjBHTEjBXT|&(IoljAwb7*O_w3QK2bsDEK zq7?64S5LaAPgPLzAS$I5q+uH%kpiob)}D{>QPJHauPEW4UFQ|CWw~VyE}PA0v-;R` z87d^r5(?VbsNjH0Nlz5DD$&g!pGwIvmRSn3x|S_Ii^d-XFP>_xW!0>MqB_f{y6^lh zs-_Bf^i0`4lcP!;uu|sq*>P7`inE3CzSCs_AkL_Y1gC7bSNR1$c<1##cO!hNvGR+a&D);J>!f(WRfs{FXCOKiSfy8jF@%TTk3s5 z{wLw9H0vgquh80^b4^v7btaOGR7X`kJw&w?G}LPrWmJ+B@)9WNi=%@wF2oxd^WgB+ zoNAokPUJl%)ik=gT~(?x9G6Z$UrjAH+6^2TeM`wIR3aEY48;p6xR1$}>_7}{U1y$B z=6)u!YAoNVI;ZVgYf9&J`kyVQOs>39)l*VeW))Oa<~kTDDy5Yp2`PGKPc*PEHueboMHa1^k1fu%#(6BT>+Lz0WdkLKn;^(4WU8KOSV2gI6sQPGMR#PTv(|D$>&nW4Vw6jo%si#@iPqQph2_v6!q>irU zDFTNmaRli;hU$*AN%P$ssv9B7^sO11w^U{sw3Kw2KxQhjiPz#sl)sjdMhm^j3P5XV z{YTQ?vgkgab$-5!T;;iy7}JkINt(l_GKsT%{{SRRyDwSl>0N5>xlyE#FEUDxD~RQS zUnwN>MkAgObG>n+Tcd|W=*V@ZV43GsbF7;~G+ule)@4Pb2iU2kh;bts)T&zKdG`kA z0nXMOE=$>1d>GT3iYbiSrrk-@dJdDN`ctdAJ5f;5S527EX+1ldf85nn6mK!3h2f2- zmtwOB?#=hMDP|$7?Hl3CRBJ6h`ok@3s*5UG(Muj-o#!-JWHl2T$>c*NL&mbm7m^f` z02l5re0VAGUDv-CIzO-Z2VXjIr1R=rkEOY;qoCB3T{Fuv>YXK-(Mt=#991-w@iZZc zkOY*x+o48R0VLlAm#;dKeG{xaLhG$NlxvMeqq4dfvJF3|GNqF%qgtxej;}eXq=d$` z8)bOWgWY7Q%;9+hgbH)9>Z2%1Sr;j#-yHtK-*tH8qMu~1M|6Ltzw~r^yRMUEDGpt# zZ~{on@e+M(K7Xy7!J0Yaxga^QOBA-V zNb6p#^un(q%5()jXOvQ8)S2EvmF6e@hRi9Whb*U^r!mamYqXmrEajCFS!`^>;Zaw3 zrRsj4>NZ`Mwp*$)tky}hitNWTT%#t;DOGA@j+!#SR?6flSXba(p$+f%mlkHL%}@A7 zlg%;@85x|F5oUQcZf#4NX0-J&(?TAZQ!q&58&uQI_B4}jkO-N`3am_L#myF=snHr@ zyIA$QZA+N7Q?$8cwUkpOF`6}%j9+Axhr_jFkgx%o+b##f>}jAaGdY}=nxRDP7$Emz zE=lzsmN>b3C)G}zXr7Q(^!GB%H6~eGndUOIwV54!@%crCGR0N!$|EX?9J}K&7k}k} zBJfhIPc0kDl}KiF+hREu;{O1R{{VK!;3WXE{4KWpete7f`ToT>G|MIO73juCp&-v| z>b1sAPnbmpLs>Nq4P?_SbJrv+@S~VnUd6x`47cE1VV6-WbpET3pZqhX89twm7I@jR zx2kbI?Suvs%^?Ly;>WY{J)|8Q&GU^tujwlCEZ(k)qM{jTA)bdOO8LK?8$?whas#mp zN9ErV-xKlY*=-I_qBS2@sB`Ly7MiB3E=-OZN{q4P2n@R#x{7!Vor5awdEw1VM!RZXcK7dXx7!ZJrKI4MsXzw;O~MI)8_ zps2Sj*t}??Z84UB&a|F&qK-qE*HPuUmQ|5f(yn8eQ&ai16m1mjuv5&)3d!b6B5ZQZ zR%R&I0R=TvqiL$2`OwHzG1^dAk=p*huliz2@hdl+lk8x`SdPSA{CZ=?g2l?P2mr8W z1Xgc2h%I6%w?Hn{}YcI|vibnk>*i(K_L zBI(9m)qJwPvoX#^1HnT_P?D{KyRw;MRBjP~0gcNGTw|Px=rYXmhNeOUpW+Y`w!pol zUxxjU@06F3Y2Fq<@J-HJ{Yju>w@jMXgFeXNtd2Qjt8@&jHuYx-<=m0k zx$lj|K2b>w-dq&uimC#~5`_u?umB4HK3G#GMKq}u%a3H7N~VXxCqO4>mR^_T%0>3N zMP}{a+uxEfS4j9)@bRVf9X6(3lx1~~M^uunHe(r{2^cUok~8qgq=9u{K_63#At>XI zgB9+i0(*S%59+U8dMD7@dTF}5)f|^iiq>&NnYD66^MtnIicaUX*5nQ@Ft@7gUQk8b zrFz?@bhR2#Y5xEZeLBmaSKg3i`eh(c>*B<@;c$(1o%cw;MGU>iqC{bk)IZeNq zY+(%J_?-A2$*Lox{{YvVvZrwy+Kwq?0G@4dmOOfk`(w=y{7if`^-nR6Ch2WfZK!Hy zBg%&>r-hk;uv;ORN^S_Xkly~c7%V52=`d52amMAsH_U!CXdXW%PLJ_9=ppXFo zwXx;%TbumN>@U)Q#b#vNW~w0y0k$}O4+M`)K^<^b z8Qlva4dZHV13Whcy`h2~AJVz_y zMq?(>HaEj_U`M_ox@Fg3DvD}~L@Ls}n`}dq#fbFxKk0!r23M7;3Xn+_C%FXQ_w>Q~ z#SYgfqnkB_{u_2bPTu$%TSowKA%9PdcLaI?#~!Eh`C@ow$kt;|43RoYdGBq$hu@4x zbBbx0?iB%}09-g9UI*lVF4&gmH1H%sqBHl{n-kCB?}*M~WHK2gj1}AM!Sv_bw|rSz zM-e>d$Yy1X1tgmmYfIjK4*)gnDRnrcN^T}p+s0s$a?7Q{bM&m5_~DRv{9 zAOo=cu1|l@670=H;Hfc^K{f)!58w1Y*oJB{)WAhjK@M-W=i%eEzY~oNRl!LRJx7g>Ws9ggR7T5fTuQwQm zW_#7$EG$7E4>!NB{QU8&g`g9U1XnbT)JP+|(Icl_}(%wzbOr@hn?Nnvh%dkkvFM46q*fRG8fx5O{c0BOSdc6zF*Y)O*LC(V*7aBRY!Y% zKAd4E&Z(q7%%)pyw$dy?_Wr!{-wRbs=EG-As*I(=fJqnaYjMZ?VXXONb0K$Ua2-w-bD`B*`qQxUUR4l~`@&{qFoWj#R-n!X~VNV_peSyLSNgH&OGk#o_)fMOy4?U6EbKE_oL|pG;CdHZv>q)`}R| zF*&?QjK_k)IoJcmu0XZO;9lJ0Q$+;x$9Kt{sh9aOOW?|M(yXL1Do7((w+;i#j!`Pi{3y`j=Hk7^3zkc>N!gJD~i)3qJSCjr$ z1d)56?~hd%a&&oozQY-w21Z0K0^Nj#>~1*z!-K)++YHoHM#(FsxASq`c)1t(Uk_?h z9qa*7Wd$43_T!&VL;l$ILs1*8JC(ea`>~P%Hsjy->262_Y4!+=LEbmH`?o?#AoI<= zkL!-(+YLXJ=GnG_sLRRb*S~AnH?^(K7gH)J5rD?v3)mk^p7-x_{Rqc_l1gPA^5N3cZ{atDbl<#!vgEO-|mEMEQ${9?a`Jwh_bsq>lR&a()TI$XCj zl9o4rfdO_?$-f|2j%~&HqN!|TC(M+MfHylwYjMxW{eF0z^yk7yi7vBH!LND|(=5k2 z8Afi2ER^y>p=7eXw#e#Ry5DFZU*~KGEF$5{q!K(UM)b))jh{ucjW3;Kd7hosnSOMT z)YIg-j893Jc~y}D5Xl_y*^_;a<9n&O9f7X3w~wFV71IurP<5L%&hlAuD(d#6g10r$ z`FE8hpAqu^0FzFPB-(_9B}q}Z0-&5xT8H>>_}S9Av|95(cy`srt7<&l!zVc_)k#ZI zHxg{BLqx{=P3{38-uGbLZRjuY2kMP2DC&PgHS|=udnkg2m#6iR)1-l923A<$9%Pnz z0<#t%NJ3aWic!UaGUeJWIZC)K%D>}T;}<#7`Hq^aq|nmRR^_tOR!W%zQ^=_-We&*% z+XLB}-w8K29+<0hofWL8bX7ly9XqFWH5FYxY?HpCq>l8I^AKj*(yFORY*45hK?>L0 zdBsUTNIKcldi2cmjRBp|7$yWJbC6U(mjqh-)Bt(ovEv#CPI!*-W8$l)v|bNRq04fe zD^m1zIkf~naz{E))2`WOF7lS!6e!_iP|ndIv9=t;hYFp;kH}X(N$upXd`YbY`OcZv z^jRe?T|T7JaArBuP)Fs%Q&`m%F-tl{_hQ~)3{^f5#rPKk6{ANpLmLSE&xXM*YY$s` zTv*(FeX%#|PQ25a!!^nBodjllk4@xhlx4NG@r9_aQBzSn)VhoLVWgfyvD}qSyNPRo z6j;={&*u?f8RQPYwYcr=!5;j3oG~lhB;U-qFOe8KA}|506kCs%91q{)k3@9QI>$1C!-v`i)9ug8o-sud zo7oeu(G}Ivs!3R~6_5cZmMwA4;t9Vu{62i)wAvpwW3rwofJU?K8%Y-6{Jnj@A4#kc z38A!5^S~DuW*)unKMpb6qFFCA6O~_tF)9bR7xgC>Q7os)6QCrcE9Utv zeJ04_nrLQu)5vG0MQV0$GF`El*g0S~0C?hc$!*N9G)G0eOXta^DDux066M*huBS8U z%!;d6X3$^!Vi&M5PI<0fp&Bhqyp zP|V`YtDtWkIrEOJ6Txf|e=Bd9FDPV{|US5LAHXOeWER4!plqw8pN`%`sdj+&*Z zB#yact%EFTX)4=kk)>Ga?yktiRjqgDjH{CO;~$>vP`1UryY1%o;4p}J`=Nc20>2IZ^B=_X$Kz}!8W~_SEPw_8x5vQazkkcU z?T(~Dw5r4ykzzpLA8t7Z``}v&G@oSRgRA{XrRm7&6+XMJk4^cK6w1|>%90RdjU>02 zkuxH*LKsHO0~IHnM};|nn;RjJ*zXowcRc>M9p+X_u*TJLFplfCMA`KC%rnxv(Mti+QR5Sipi%!~pnaKu>RYoK~B)t;Q@ zYn1Ap1(fQ1yD_PuO0lOkhFw#dW%C+2>*(vLW~ZH=mSv@eqM|7!0vS;lHsx)=*x=K) ziBYhgzUp>~(fvoxb3VK1dhFv$X8G<Hpmb?B`jomFZ){{XB#7R>Wp)iMgqmZFbWXE{!1BvRH=WoDl+si+ij)youupv?YU zr3UFkER3=2YLmo;Hg+@ZKd)=^2d^57EUc}UK8BjIIvU!!v%J!_MyHat7>v78x&Z7J z+n#pK-`+c|U(U+3B%ty+F8p(B!yHIAmAFw5)d#I)5)ku<8ZA^2X;8Zal? z$A)0qr^YP~xPG1MTbv_L};@3%PoTox*RgW;N%c%2Qt}Kxrg0>b}Q*4mADyPdx;{`U9 z5XMt!P1tGUPg>+!N2u8zvgyxAG*+BS9WfOt$>=gqv{2NRuB&H~q8i4TWqs4I+}E=+t?hS<%eHD9oge8druknt5Q2B}em|nAGkps(7(D zv{pzyTPJK==u(GSboVy!heg#qzo$~^?xVh84rfoR@_g;0F~8dkdr@|ItNf>BKg{Ko zWF*^ZgHqP`s?`}aHngS9`i+@ol4{7RX!B^Ra|vmmJ6|R^rAY%6`&b@aYTx-S@PbDe zo@QFNRB4X})ZQL4o|x%Msw#Tup{3L_^y4$6)TWqD)uLyql$e4BRfU$sfaO57n+!T( z*B+MWtgAz38TBT+r`0+qGo__v$a4yHp{vWH&yH~+k~sp&_JGsMo>lfgmkSq+sRX!` z(&d84qTc{IUaNSb&h$>L&9gmg)Hl=l?8`~1vq&1QrkXK9rS~%+J~T-$kF{rrPX?Qva0DbJfl3y;xt-=NoJKao=@7TyyF>Y>M8a`JuHlg zG(8COW{=c)W<#B4)l^w7ozwI)W;yEGs=UKnok{0ZD^%2yO6C9`=CT)z zGNek&8csyqPSe8OnmZ}h-Xc1u(b@Gho-8%qqR~3K38^zYn>ord>N#`8WSTdpnxs@u zBpbwThHb3s10MFo0-K}SyEn}#b){xkll0G1WYfHPPIaLnf})nMEo5l^!Bt4|MkHlJ z<@v<2R6^24JWs)OqF*=;<_(@i-Zny1OK ziYlngGrayq2^7e&wA8O8QHZ6PrGU98w;5|tS61fvonCugn9Vg!OG`CDCaShBS3zejEMn zz<2cgN8^X|&(M@oOW_ISf^xew?vtAmQ;Kdk8{x#>-Ad8hK-BAJ|7I@XvnOtUwntf7=w(!xWU zhiZ8vXy%cutj;c%3PTK8`bS#yUrtjB>RjVM)m7GOtp5O0W_pyGfALkz>LRN9JtS__ zsZgxOrZsp2GOI@H3-5iE-f5k5qbl(44@q?XNsz^;^!80HCUvQ)+L%k88M6v$;Sk9i zi7He?BnNu`04!6eB})?TM(7UMl(R*2R|sqyf=B26xbaAo21l}x31uDs0Ds#Z?@*#0 zq#NAa8xDOwezrL0{^?vXli^kYN|9sjaqq``RrW(kB2CeqM^UA^p_AuS+0``zM^Z$U z)s|jf~n%DgaaI~xmH3u5Lb|IZ{H-YebhdoYCSeI#-!GHrfEWzan(f@ zXP8M)Vv!N!x|bxVDxS|}JZfTSod_2tg}5K14!Ea)=jV6K>$!`~LvP*A_2Ad@yS6y=3{uqsX-mMU}&p)W;1$&8j4got;^f zjjBf82)QGXU=MR%Ej+wAc8CCMQsmg5f2Z}vn(#T1rghP-rDXY*jhj%?ve8K4N>~cFk^gaG=|Qajd*N^n$CW`tLi< zr|{dFX^N`(Dh^wm*3QB>Xc$6PjjwIZzBXx2zpA4-T6)5JW=W7H)uVo|>0l_7Ecpt$VWfc*tqoQ1{D!6MI2thm_5;z3%I2>EG z#regh(|(fcy!%Mg>zHz;Y7MhbEQ`rl;b!wb0r7l3TVIb${P7ITmRjt_NnW+5Q$2&e zV;xgCEzb`XuLey$6tm0s-@@NAIk$igoJ;^BmV&CAc=g#^Kg|1&*~0lDwIO`X%2sFz9%BvJY(rR( zEG>*J{AP08sxzW9eD|g2QYA$VeLXu0l!ahu+7>t66PLf351<=)2KO798C;(&j^*#T z`rtOU=zoWPw#h?D;v=JptY~uPnX=dpdsmmeEV6}HVI@0);HnFwh6cd#Yz3sjMq6cU z%f1qNG2s)f-WT-FS6Sf$L1ooBR-4KH0L3$!OqC60VOrT*HB7HL9$;3eSABrkHU5~@ zJ|43Q{+x7^F4CPn%qq10TLVcMqsXRuHDazs+sP_PAP`AtP7Bm7@-YN}SN3wLw0Ocw6PyAy``AHasW(lzvySs(Fl)N^F! zvwWE*Z_^5Oo607|4Q#7ABih%0EQ^i;Uidb}TuBQ}+bwLY_PmTuurjR_GRd`o{{S%u++cC(e_48Mt^UobI>Vv0hL@_LsA@{Aj&?bnHA2pU zC7;Vgg@eM(#DqewmH~m?f>o0u(K)##dwlM2o>F7j0-(u3RMeFy@yinxk|{w_ru~RL zjmNGVRS8xQL|c+W+ixQNpFAfU+00>VP@TzdUzQ)!R3x_%zd!pM)9H^rmx;g3+rjuy zAks%vh8KXTUhTK=x8;C&0Pt|erU)uvFB_7^ z*Dd<;IQ76C4X%LD)W+uXOkT_Ik3fEd>4^5Ek{Mt=OCHxKIls(b1*+$chP?TkN}v6s zw{LF$0Kb+P=U-y1fnlI}w0c zoG=*%`91_0`h~-snZ{3gkV2bFpR|H2tr;_TsNdRAZ@_QSf zo+H(A)GS|Ts*D>B4%`0#y|~1`JCcx#Bt$peH$M-tKQnwpb4lrvS0YQ;k_jIVzbDiE zZ-yZlrdCVXjb_v^M=M6|`~m`%1b+VjeX$bHC?s_+I-xf=z3ppu?dyrv%p##7iZiE z7X!VI>OU+;wJa+0ENtFd0Iqz=EJxlyhqu(>>~eQPc|fqzR@82mmX;@0ZdrjQ_8s=f z0QBP6{f-$aL$XOKsZ(OEp@{yt{f30yS@yOGnshs+W|S|&Q1jd7dHitBT8VzyFug?s#Dc%XyyprVE*bXhty*`5A5g zow^=o6!1X-o(XU3u&_68Z%+N~fb}w;Bu6zcPJS*e$JYE|Pw^{R%;#_3H$Cn0_3wnL zYIds0yMjS$+^Y`$zkuNX0Hz59icj!?EAsZOB&xR_p}7Fx_kUj4q5Ngh)YIs^vI%N; zulUk1E-o%2kaNfX01@XJ5~4bM!9?_cM$2-_t#03rGslhcBcRAK$m(~blTP~>@nuxx zekb(Cu8l{|PvFYcO0dYzE@f9}B#c}r3f+h|HuU4M_wSBKsC3&T?8p;(DFb!*zt8?Z zH^9Ry7>QSk+(10aa|fqXd8larpZmU#>kYExSDCM`#yU zLR!pX0LU4Glj(EMKTLBRs|k)PxC(@!Va328^J@#+^0o@*RHx2a;Hm(I184xMi&*~v zPTxEwJWkP{?gk)wDF-4DTU>79!=kE6B z@cLky<@Bo`n8rgZMP}Yjg}q1WZ|!evB-Bwu13Y7Cw-#-`kLUB_6_QBdtPEjhb&AXA z4emXE1O0!lneaX1?x({0r%Y+2s&Db!)JTxiP|`4ITqH;uN{V@GIBq)+kv|q}G*vU0 zVW?rlD{a3dTkGsU59)Iu=ucj?Jo#5qx=YYaPpRX}vr%fipCGBLMv)juRg7pr0FiqT zY~Mgs&Z8*pe&O-mpG&l7@uTQAf2DJorOz`e9PTPc8AE0|Y6_Ycs23q6`!zID1aG=5 zMTz8q1>#*q{w$s=dUw-2x2t)#L^^hqd9G$@sEuYznbWOUwCBu?g?Qg%3oBSy5(UM@ z@eY6CTf{$3Gc31XXwH;snn*Kn(@B$O8cwCAqLm+*oqWDywaP!h#9SMdxnb8mU*ean z(PfzjS}XH}%JKzalw=gyRMgaTyZ->rk?a?84|d$cb6VSoD&o^=_fur#n`?w?yzltw zb)Q~zuQbsbpP>34N=oV@PgK;kb;@Gjbyq~1sexd|;2qrB_qHk;%@Z|8OzQgQqqM8( z+U+w8Ih7`-r>l@HbDD_5qX&CMB6-p6F#x{-RsiNe8NXKC7-npqi&JRK>KW-HG9JxM zm`Al;eTY1h_j_4^9l^$*(_M4%N#heo(RfsoJ;`+bhRG=tG0fnCCq-InM2_z}E z&$KPycILj)LhW1q>>brUA#&WWSgjU_((z{cdV@s`BJgTdbvdmFsG4`0K?9+Qo=J>~ z5w5Bf62|0;*IM=-r0Gp9q^Y!STajg&Y6`)Y(&dda{8ds3C23@xXz$4?@v#Sw99F=E7VaC`b5{{8WD zYc7HGkEZ&Hn?`C~YpKR#LmeGPe>6EP4NfDTiE5c3EjpDd8CLRK8@jzrl;MzTzV z$jF2!JTBW?w{HHI!EG6>H6DS@zs0oPYZW`qPW06xcoujjf>2gS-Zup$S5iYOSP|{t z7VlL&CDG&>j+ak#t60`*8oHfRnCZ!~+{-FhY9z0rYIK*%RCn_tCZ;X7vkvFI@dN57 zhpwpf_6TZqenPO*RnB9llg!;4x+;>P;${VaAnm{TzDE|=UO6D7lV#IgUDO=Et1G_khT=(9xY9a{sC_TYD{?-q z!v>km^SE^OTP9njXlSS^>Xhac@Y95+t&(_Ys-!hD1~BY)g*aV-Oea^tsg7YXLwMkr}|}`S3yNQkx2CUMMG?oGDF5x zAt9Bn3XyO@1YrE3Wtt4Es9sdr7TD4|F6BXB2W|&$-@9V->E}SYTdgwvBbL@{%|Q;J z)$~;}(bdsqG;-wmf0a>F3#C-gR~)EgT7N!LsCGjt6;|wHc~^ygwP$*C>wK3$W_8&z zMDE$e9#K;zI;>ao8Ke=|1+lrkg^#hui0JP`bL{^BrP^aAY|o|;=9$h(Q9-An&bm=k znaxuam6dUUQ<)_^vBXTTGJxDg7)L5DZ!wLoi$^3Zr?S5e`UbNn$@1pvCT$+0&N_2G zu%>9T2qnqHDNRvPAh$8jdd#0%vNvlArn%H;;_iU%c&3WlBC@Gs`R%_sJu7oY}+a5O;sg)nJo|7V4EY$ zCa$rPVXXL0ObkX0gdnsB(&Wq%y?x@l_Q{sU&5Lvnx`(f!advcR^Ha zX|MHXh<#VnZlUTfuyp57WZIRqZi$yHrPDO2B39AT>KUhkx={@E3+A-OVC3vOQ*$V` z;|tYP`l_p{dOm}z9S_K~rF5N5qip9(Wps0Wl1L?wEh^h;Dkt+6Saw}jLWMnC988tj%iX$%0kV<`hb5VAHJvpEkCea~5zKU@vgNfq&7` zM+TA3a>G|VG3RuemX|fnhHWKocR;mtS$n-PnDsDP=8K`c=t$FUInWf_!`=AT;i zCo0k!Lo(?0NgY8tX)=7)9LG%Nv&RRUmDJ@`6(GY9ib%vV0vQ-gZ%$GaMGfgDgUV(KDS%K-=s*1iES$wHrFB+=Om5R)}70e7dWPA=u74qs< zf!c#obxU4k8FrBB7DJ};sPx`>NhVlm^zL^^a|r2bD{5Lo247OdNiJbgvParcRY8Q> z?FKg#Xd79qjPc4 z7-q<_-3ijIX8N9bx>;)K>deWO$0T&-J|v|FGoWz+g*x200+Dqrvs zT@J9MJx-RcT-m+BJu>lGn$$4KXu5Bua#~E= zOJ(_1Skvn|By7H1zA7eq*&~@_NM@*$EoCBBK{IAke>a=3D%}T`_=53QOQ7cJ9$QQP zIW+_|`hZqPSqs#f3O_c|B%3NGl2Gxf-)EXoH*!uV-8Jz&r*(FDlu*<3BdK)>o@Mk| zeJs^lvc7bdvWU{Xe9Dy7zI!a6WT{esMr~N3M$kx(<$7;Eukodj=?sFCNXeY%6j=ln zsjV_~mo044uOgr|i&IJzE68dZb|?okJ&6nruNIOQoL!J9dL7d}O;1gq=^mx$5$WkN zJl{2zT<1(gnV0>GQ^hJJG!>4rDH4ZP60Z?Y@c#fV?GDfM_J!%biq$zjchq`a`PNO6 zW}19vREvfQL@gT|?PsY*^4c~6Cy`K3FNn&m8`Zvx>48UIqp52uw8+Y2 zp@IpantC*Ck-A9?s8Xd5I~<+%aj}F>lq_ckItOSU;ulS$IwO&E7cbIct}Q*8nwom( zt1ly|H6)>1l?ocFPoP21rXS7xLTC+AP*v$-jWI+})c^o}I}6|ax%I_cS<^0<<-RXz zwB~QqntF{bSD#cPX~CJ!k$EQMNA`=EM#9PigMWvcg4iSCH%Ii(r=5Mto2MN-r^qsF z&bp0PB$F|hFh$-9zS$>MVZBkvYaOfu1A7c-WW=XE!?BjtME?N94~U`G4GE^ST$*X= zrpTH}B(3{ONRr1aXvh-B;b6N1eAd?c*iXZcg5In6k)xYYZ7x%s#HINo?o@eAegXTwxU02)Ksw=|soJAi^oLVrYZEFw^|>VJ z2_zO>vK83Z3dZ1`#~((z1>+y0-Xrs=be4vnHKEQSqiUtgbILg4dEipJ+BS|^70BPp z?hjzh6soZn+%whFubCUj0UU%R8*#w(z8z4tKo5$fz6lDeFd%i7su87I2{R=K`TBB<*jH?hf+}n=) zeKDl`4C%brTy&eOIx>ij_4zdpw$^)LNgsU`4&l6{wW=Hpc0JQso@D4My+6@c`wHU+>kGwx}8s$Pa`hrJ_IX}5{{RqOmO`Pg9i#znI0qLWhsu39A6}^Q9N#0FOy6YVD5=Qk zrKiiIEd!Ph9Yjkg;IfO~lk_63>!wsI{B3G_N}R5)ReYtIIOuY!O2=>eH2cxjNQ%5h z$IL^$I3Zt^#piAmYH5;8rgL4N<12i;aWr9%p}B`J1o*0K`6n=7y7& zdU|a3jtKW5sg)$$*B^MZ4o_}Hy*bCxf8e{D$ywm8e7kTfL9VknkclpSAq<|}8#?^0 z$EGQfuPG!anl#N{N%5tnl-~ESJ@CJp0U>4L{ea@$_(_rYDn<8py}r2ZV|60;1K-!@ zZ{Ma9lc70qc!AUsqTcO=BAQPyF?)tKBp>gOBmzk6&u#^QU%l);c;rHgcNZhn5PfmU z@Co*M%>Mui?>j-UH^1wSr&fAmio)cBxQ^euVL6p!a?(l(JX^j!Sxkt)`*F_}`F{Oy zIl4zK$dub7sxHh?%%^!ChnxH3wvw8VnVf@V_BJ2y`}fB+(8l%hd7{^lsK2LS_4hdT zYFH(KqK%XV?eX^d`(w}Q6-}FZeqx4|RZCO+$k5x?$FcMy-yV@nusdz!j>-ogKkJ6- zjR|;Im0&^KPdEMB^~V;HKvGFB+}%&_+Y9kSfNbKCe7RUV1-HT**Yf-@0I3RAIe;W` zw&Vl-u_Diw*623K(tjn?>v z{w;3bU)}Kvt)tlDSB&s4aM$Af{=WV3H`N9?Y0sA>h&&G9{H{KS+rA@d*6fU^uSEkf|jAmI{-lL zFWhtO`@Rik6p}1~;8LUu$z#Dk*V_VWV|6BZCbu>UPjS!hzAT?17YK{zSv5MND&4l< zjn@EyZ%>z|B3acUd9Km(ac5Taxb6G-VsD+iRV#FqGMkH_wY|UB5e)V@w=1+KVFTe+ zBn%aD?1rt8D(bmtTWH(`$W|?7>`lKb;8u4U*1}3zWFqa!Hy|4i^u&`kh)cREm_o4} z-+(>2^~7ebjbfN>Z~}KwO^y9P7-|iW(ny19vQi&9V2gXN!nZfKx2^GA>XGNKlg`o! zVh1@CH;NaTLbE3Hilq^Q~UV8l666mGcp=jHu-;rv&8 z3gjUZ`LyeHCd%7L+6nsK@W4$(i8`aqNl68ZGX39i`u?ARkmmD=CEWD_$J?P>B2Z{(0<5awo3*T|{{JH!wr;hALC<08rieesG*%hr~Hec!5-j>Jgb5SpoCfOrv zo_L6ye!=+@Y1#JTxvA=`fe;0d?Q~(^(%&m_d3cN3#UhK45@he@22Ex~|AFtQ87`(LQWRkKn&UoAa zu;d&2dj9+3=~oD(`XaS;lG3Y4R5IG~EPjLBk59uH@5XL)wN=`FmL1X(YKcZ*cHhdk zzt6wR8ecKVBbGx`B=?QY+hw^Y`kZCI8BtPD>l~gD7X7sH$OkrJ&lnqR+Qb|EF|DA3 zd81}lvb>k_Qs&ag$XEuB($DQdh%yDJBKhTeM`Kgl+F@ zeLH$z*9s!lENHXYS%|P+$}DZ%o^R{_066htqh?v%S=cV?D7DYA_wFxmd@L#EWl2_2 zE=VcB{ZAI{^7;Fbzkm)u18JaTjRK$>n(h^iobrFM>^(l1LbM4P9f&U&+qKv3-rNp( z>^S-1>`^Qw&PE zNYsMCS0~neHT-NmJnG#Wn^dK4Q$w0l(HEhmtjH^jHL|V1rw?U7BGScBXKJz?r6Si11}WIapOqwJYn zeqLLXQM{2;(kl{9#A10Ql1DtT;9aht-C*4poVc`o2iAYZ^QYZ$=%%5j)_xG^C5%-; zmc;csnhNP@rl^Xc(yPmwfk&2xn#^~pyoqDY@lRLuiy+cn7L&xsN~f1fYAqo(B$V|z zWnDmAz)LJKKJ}TM5>9<{EcM=?t2lT<=lIO9LV`H9HCAsi`HIr1HkXBZ&cR zk?#+&SWX=yQ{4$Z9~_G0$fpWufOx^lwDcO&OY2;dPts*mYTXAxp67HqTKRP1x@qcT zmRO@Ch}Ha+ZHvHWYg^Jglq$AW8AM|3P8C$1-naUm+z#Jd5%Cq(4LQ{GN*wP~X^jolr)JS{Q&eV| zr8O%g6!TKXf#!99u!mqM91zb9z+k5oO*9cpDv8yQH^cEY`M9_0etrJ9+PdzeH>BvM zpvdIX)sLFCh^Wn~b?5ytD&#d_K9RIt$InI%0Yqo>V8lw0dExnMWRc($uUiD@JoRyqhg449@JL!uw7pa)eq&#teBUi_G>Ibv>I+byugF z*}BoFGkSP+PGy&hkxffoC!Yh--lS90!5cKQEU^h8l}$&$s$?XF#3v@{K9I~8P4rfq zRceYFDKi|yI?aE?v>aJ`Acl#gki=T1MwNoon8L9NzF;Q&Vn>o{y>**-yy?t&E_<40 zl@;25DzBl-GwkxRtzs2aQOi0j?lN4dAy|B6mN9a6j8FROTdpbUx@_4-rOYVkTUgOk zO_`;BU@KK*qosgwMX=}Igdl$&g(Yia6rS57mHL!-K_sOqwO zr&DDHEUP@rBZ177G1ah`tS;+xF}jVsGRfFp#@p(ThFq4iqcEZB{{UZf>;i1YwmEAn zbe^83uC1!0uBJzpI*8^$W_iSgWSJ)gY|-7Vi1kjTr`7#1t=1X7vZ$w|&$C!yugWTV zg$MYIvC~K9(#j%Sya?h@c8!b%^LrcoQa=z`rmoObS=Ox6eQU4J>hgTP15KGGWk*{< znA25ea#G5z0ildJX=v6@G_&s5hnoT-Gsfk$7s-bvC~lhJm3~g$FX>%2TbA{YQfero z(mhhlQaT3FaLyFN_Hi(hpjD(uU+jl^Bg{vT8CWU1NOYE{(3$>urh3P#x{*9t=0m9S zJi?+H&YjOBkVs1d%|e964D`>iuCn939?{vC4usp<_YQ?0W)>Md!fHGZe4 zU2&u{IOdw6Hpxcqo2+T^7m8Yxb37nCEMq3<-v!N}b&pu|A29D}ZsOtt)xyGN# z>)2AuqB9AMRc%>aQ4$}rvc|*CsPjW9k8hb~4ypza=zm!{5u^Gqp6Fhq)^!eA-0}pD zB-8Z^6cik|F9G{fi3<-b$g&|<3Rj<7lf%xe@fZh=SJT#`rhOjyMP%Rg&T||xJI85izRev{Q!BHQ_VTTEU`qyT&SdGA zS~`o8({$B(uc>6yZ>k?eCbE;JIY_0Rpfq`Fr}&I@pK55HIyfU~2{OD8qPXFs#%Hao zFl2RZl>4^8*H1>^k`Lu?UjG0rXx@`&Ix>qqpwRJU^%Po(y6!^hT1uK$f`}$#G(wVA zj!^66ozbfVU~EN&?g2M1V_U+fEZCcq_agdV!LFq0E=7?Fj-uyzewfYcCx<4^^&VGF zxkl=G3YxOR%gkhS0-Bnl&E;($bgFKipo=d)#jfQKG z#3H1SRLmYXk#1}w;S}xdVD6pO8@S+^Sn6K2YmG;c)@bStOPJ+ZCT)~ul$o|qm)C`k zx=Jc~I3tzGO~?NLprUPzNK(yjoSUS6DYchQj$coysw;I}7D=b1%5oY!+cOdW0Ea@= zrnCr{Mooo7JK2MGQE(IiS?MaAo}W-tR_baoQ$yy3tBns9KEE&t1p9%4TH>QiX4;NP zbj2xxoW!I&?zE8B3r&;7+r9!I~*~?h+svFY8+mbJcwo1iA z9KN-O@+2U|VpIsl?`G!x{l$l{z7~e608qa26^9!>A6^GO{C+rT3_>;9u!C|z7WrGZ z@A_fVL|uQFSb{?k1?+hL0B_G0kEpW68XiF+1s+-rz!C~@MeW7M-Sx!FqT08mx(}>* zF00jAL}waLEYuNBa+=Cmr880_%67>cS~qVjbJ%VGk4!=1rn&`mwU~Dte_q}2?R!XU5cZ!~7l_UOA(#K#db~)pAE1?^#o^+D}+}J4p04?on`{UUo^U$bb zNKOGgkMI64RaH#7#FDZ{oNL_w0A6^UJ$u)hwu*esGlr~ez-g!&mZC&K8Z@*^Xsqh0 z&Z<89F%~@Fw_dg8Ro8yJiiu-%hFHy{iJ1uVV?oEI9Ad??Hy1~KFZ%5VU$u5gol*@SBGF_^9YoqQMahvv z=b5G6l0@vrRsmyiCBU?n?x!20_;9S19uV{!LIW74%up|Dk(*XEx%9E`jAi0Jinle% z^lUntT4XxAQRVq9RGOPpX}tcMGcqIQQi^zLB!`IA5#!j-TX)*F?)XBk>HZsZv~qYw z((=+kVe&H^$7zp_e%&;j6x;_d$33mU?StcuF;_ju%(^X8sQMe$&-%BgnanF!r*#y~ zl~%(jnx3H}m4da=tt>LeENnn%-q*3m)XfL2a(=q>eut=f6&%v)ERIKpA2W26(=xhA zPULrZrdN%K?o#7|F_Zi{cyG}iW$}^L{+4FQ^ci7;Qpb_c4qSz3CZyDK0vC=Z7GWRq z!$oDfF^rWCaQ^`Ke|0lD@VnRS=fV$Lf9$EVOzK)%Z?@P)Pn$*+5UFx69b7^sQbFEY zm6QPNOACUml>Yz=KBsH0rS$t$Wm@xDW|_>HWpxVDK5v;zQ#3wobxz+DySQeJu0vdv z0D;3cUh&(M*AEtY-a6J~L!7LFZJSx+c+y4gPi1f~>IlDk4HNtwXROThuTdeC{{S^k zpDlMc7mqPgY<*7Ommb*9UOvRM-Y@m_3o1psF@VauSK&Wqrx*DW6-gtLbMnO})uN;R zgUS9U;R~)y9yQ)sxeiW==zl}|QB zSR;CA)~*FwiJ4_4Ha=KcY(Qcn+sWIE$Kfwe%Uj{UuCx=>DoHkwps9)yg_)#+q{z1m zW89CrK^G?D8atrStQIk*;QXY9^x4Pa45O)6~?-QIkkwjwDgOSsl1h-1j!; z1;n^2)3J|HJQHSF9+QTPrx`3dgGqTpc{*vR^8zYzSzqIkvV6iqTAoE>OGhba-(lUn zkOgWwldm*RuKxh$S+C3UE~;r9-z13UIUMzIoa0X;y`BlHB4&s@MME%oE%$yXZctA= zL-m8HTGpnQM)eMC*Ga{hOp|53FVJ&+uUF>CcDylFx)PKT5H~PC6LWMs6yY4Z!nUBv zx>=oT4zualbk2&?`5i`UA4+s~WKw*=X`y+@%xj1*JCsekLlw9L7|$3c)J##5yjFCl zE9j3p%MDMBX;yOkgjzmH4$8`~8>U%JCv*DXy;oHm!svMjqQ%3#W}43{koW1*sn z-J*+gb0Hhr>_ESJ4#Lm=8c<68W7HJQ7(29-yff|p0Q$O7a7VAif31laS-81|jCn8E zItQ(Ep0cIYxvyV!u5C+7T1hf|+Oy85s0@ss6~*`sac@!SYvUbwqtlg@zBA-X9WDMO zt(6D+G}>(D@sRYgL%FF^L@l+f%F0Pr*lcZMrZmq=G&W1snmRh2VW_He`YD#Crh_c% za%PZ2Vty)!XIQEg2nwVGdv8!pn;GB6^$KO)HniLoK_rH&C8u#mQ%6-(9I8!3cX;TS zOx9#l0+2yX$AH+bM{#s$>Er4}1FG^7k>^rSjIHTOP{tFGrd}htYbcE!ffu^o{nU?4 zS>6`3ws)LS=DLe5ucBH^wxl%CO-s5!Jge@GP%gX!aodke;<45=v~g!IH4avkizHFC zmnN&E3<+=!+Ja5^C%GT4EH4Wb8LnkpoYCc)i!!HHT4b7%lAT#9!4m?nynp~3hhu&G zcEVfJHsgxn!6nKv*_&PJtd5)E5M~uO4mkKqgK=&Nz3u7Gwl~M{Kb{%9H)}k;LXo{c zQKpb7_;`|zn1X(HQa}3N&nI;Aqn$$Qr(X5maiX-W)YSQ=b6;Ht+gV51;F4ev$Rpjh z+qnYv+DAR{y}yUQg&k$#H>WzADb~8LCCqBIR-unFNU}*LmKu205}eC4Q4(0O;3a@X zGX#|C-L z0-Aq3NQHp-cAMOh-{**CaZS2Q3nQxhLA{4_Zr=X@*AmL6jEl9@o-7T&JNn=bd2v!q zgphgryaV`id{R!BLM@rnM+$`VEH`=&-T1_2yrno;Wm6erwC)!c>^_*0YS%P|H{PmV z!Bn~Y{(b)dt|POw^UGou6l;)fWTI$;DC23`!<&9R`;15P7^91N zZ?lp}V{4v$aV(mK9nP`0#yJArkLPdn`QkU6QnOC*NitnV;I_HHHb05=#}`YABNC&= z?N1@Hw*`qE>}`Aq&D5>LFx;DN7xnheeaTP;kGvN8f!fD3$A z$1cM}jOJN+3`IE>7a(>E$Nb_sp1`GyYM>rVZR|c|kKfZ0%EgXJNHEygfCC$kVt;<4 z5$x&(L}K;>o0za)e=CQO*cBbg z^!+|ukCq?8VDEyp$|xAx-^x|jm9tHfE07C^m#_4NHQEYuN9 zU7e*CExbCDYZ6CqZ_k`ZvpAp0R)z(&?8Y?#taxs1#kjfo_r|6sgt8(nQ4L#3@YP4h z1d@6A@G<)fR^<}SS0u1hDl0koWS5YCBahh8Uv{A;ou6mwYNm}9CS<yDMoy z6!HZdTnmt_Eq%P6-L7$?rqATfGe1#@<%3AbboG;&Nb{p4jm2yMx347L+zWj$r=L1T z1d;|)2J7Db-MHe`=jDq+qr-l(%Yl-cE2U;zhVtqMA3ul=dH(=R2+uq|>*yf!sI>GB zv8liFSA3?&=Wp2c;~Oy6WBgJwR)-=?f;9D^fr>>?pvI0iq-Hrq@{1a_vF@`-3&w4AL2-ML&po{@hVy0?x>+gi$S7%bF{$jk5y_)d?53Tqkjt3aKOzTXrlQWWP zw`QGM_FG6)5HG+M@6Y4TH?Q#i{v#bQ=s!_f-eIE5DH=!|f!WnvOO8()hhg6Yx?`&;vQ2-N zYEeNX(4tEOu*w3nMKnzl3#k@uti*i4@~1@T z2cDLkOfszguP32u^h12}XEc>MudT&?ck1Ews{{W2F z(?ujMoswu?RVyOdcLEt2+kmmehppW-@x9`juPx5?)f&`NW%LV0lTzhbwIWkeLX60W zie@M8Zsgn(yo+71gmf7eN^RH4)6b5$HFr^Zjr=WowbC5hTWH@68OBppO;Z&dIgJjT zr=k$mNFAc{R-UvmjFhouRs(k;`%Wc(F1#anW$?w}0X$jwXQ!d2)RCN_a_qv7RL@aW zOIQ9QNl!Gw5m51pYJh4?amoaX8z^-`#aA8lhoQbNdSRq1G;rv1X7N^5B=@S#VBU_Z zP!ECM-uJiu?&Mn+zP{==UV4$?l7A1KS?Gm6fYNkS^l{hgJw={4i!P^vx*DrR8z=q5 zhC~RFMY)*B6-DqRrPaeFB>w;sr+ihbzJ5N0%PtQq+RWkN<4S2gG1Q!=I?|a0IZ)MF z6DHJES#2tnr>Co{r!OkPmnJyNd_hS&OOQSg0OO|}KhL_4_H8|;o`cLxt?DFv#=aO5 z(IIyDunJ?kD_9Tiqw@19Fdr)GGmYZgh$#;7+bTMAVVdj^#Azyd|k z`kgPR`iIl~S3Zu1BFyUPv*yh!G}RpWRV_sgYa;7Ck;U9hZMgEnP#a z`a#nz0bizc&3=Qa)47(f%PFfh27Qw)WfMg%M>Onwr;wr~O3m zhWei}(qmS1kL)zLfuz&UdTmH#%%NG5IGxr>RE9AB06Bw__8b63@m%LW#H(576cS{b zhev3diYl1IRWM1GSAi-OvjhJCdFn2=;f44j*cXua?mBGK(`oLO==yp}c$PX^DAO@8 z#~P?qU5!?50)RQc9q*1$bdyr58;rK&+qM41rPLik(G5YWa(!de`re-DOvrS`6JsVHL#uC6hOm70V`idB*hx~f7m86)8s-R<9SX#R4 zu(HU(l}d)i7Z-_+gvqI2S~rKSJN_v=J1XlQx+x=;#ImzPEi=YkHt&wX&G}*p#FsMh z!_g_S+FYwL@X4f22ofe~l2Ltv3M|qlk7yIda`)f0tl)ySenA(u1s4@2@37eLq(xgS7_-e}< znh9n51sqw1UFGG_G_5C*4f4VfH#-mq{^Re>qbC&k1o7cbRB3)purhw1bPA^<$|&^i zw9Mp-I@MJ9WZ5Q9)QE({yl9}Rc zyEnDEoEpvbB!TBl9tQPH(hXJWFNvIeWp zDLmCCk^+RjRe19EAmVA@ZjWB|@~bt~IrgZ`XU}8R*)=U5VVBh8^i^@1#;=yD zyh&L_Gu6)$(;37_M31sd8{#?CY|EoLuY-lE=#Gn*Bcx4J7FSv;Yv8S@s}RvtO9^_2 zp^I=&K2R56wU^tE-^2H&oi*3=wbFGPGt+RvL(~m2rS%4;4_^LVJV)@Mi5Ji>RZpLH@@Mq6j803G%>ul{VVO+#i2`*uqlhvFJqVia|>}Gl`5WvZ?dd{{YKi ze)WeY#9!ZmiH=K`)m8_ODe5&bFu=~p$uLxnLop`mKo@rJ{{Xn#z9F&3)l~?Tusp^` z;Slo2&<~&Wwk4Uwuck7V(olcrlm^YFQakkZrUe`}*z##=~ha`uw;f`2t52K~tR!x4KQEL-0W@;ygYmFbytBq=^Wr>?WyZq|N>0^xn-ehpZdRpHJ{QF?dMuW{M zsby+v`Z|f}ZU=+k+Z>xaVh|k#=M%2;;Z!i$ca7V!&&&PiYK;rut%|C4!oQz0CQ}d6VW@ zjcUnP0E&`Ha*1X_AwG1;B+P`Q<~zBCK-&KR!rv>artp_TNu5&|<)qIm6vWI4w22i; z8;jgBR3m@&-uz?cbuCtLG*hKcQ&iIxQASEhv^XN=ha}xW0>ltl9zY=dG5!zo%Uj_) zFd2}SeFnd-r-}*HkCr~cmdJkf$pxfl1F$S@?}jnE6zHqG4p7lA@iyy6*{hlN)oWlV z%;m@=t7#B2xMnW(?5a+W~!rpRAyL>Uo9LfraW8S4zNO-oE z+QB%^DYD&1meuL(`!A-ipsu8rn=zoRr!qrT1d38fWKw=4btPF(aKvEMai&FDqX+*0 z1?X}CSJdhZwkdZ@7D*-Lvs@|62Lo!_#!qwHcEuI^OKCDVd~NHJ>cVuFD+EzpWa#r~Mx4O?`HMSCUiJ=K6CmXk~n|m1Zj$X(5g< z326txC-Vp)xd(B?zr_bN%jvvr>bWJ$7HyQ-Q!}tsH~#<%r2t!DBwL%3Pdr!v0NQ&= zNrJotmraZrZ@CD@ zXz+{Bs_zutT5g)@twkP`l8-2pHk&p3G?g1t8K949Dr~dvnHUyz18K3nv9mf4Q`L-< zqCO$CUVYU~SE=*u8(*E$WO@E&m`yEdc=Cd2+9qivWPQsUs8%*Cq<6y@mjrDsK8kp1 z>1RNwCXYkq6HS_C8=pDU*|eW%%`+KTg6}m$4aai}m0K^Zi6oV}^OB0LiR-F0SKls( z&SNDQi(fCDd)tox0H!maLHs-T!s{PKbpHTWav$O^scB-*=*-JYB}H{g)0LItc*~lv zjH^b#{3663YvNVmZl|r8q#btYFIW1pRjZ}ajxub&GMHT*+Md2Sf|dcp9g%JfTEtr9 z-vpH;Sw#7rmQ+KRSBi%9cLw7-PhFK(Knh1&l~ueFg(MO;p$5cv9j%P~jVHj)Ja*BrVCWA~wEm>i zIaPl}a*8UQJ*tjlnDsL*t%)YCr4q>7T~wJ%NgIgvIc2v6ZF^CkIjSgf&ldVOJI%6H ztf!Wa7#XUw8k!iQkL@)UW~ihMJYh_ww8}nZV#4rhQDSQq@^4|#4~Up{M3S(IkAl~F@Z`D5iO z#iN&W!t4RPtb2jzeMrSsL#uVhq|YU&)tZwr%o;=_wQXZd%f8}%GwN;I*n47OqNLUt zb!4>~8&uDgRxVvLR7p=$BsWrpxHO>UU7|XjgC{PaF~NkAPYuT59~; zKgjZ}U8%E5{JOV3r;@sZFs^yys3xF!h0)0ma!U|L#e19M>38tV)bx5|Txty!mi?ZG zEvd+swxWuXPbvX46U7=xAX`R{YN-{SR5O5~i4i8)YT|j_d;b73(YHeU3>AgIxGliG zBVA1CrjhFYhRr&0uKwD&ZfggTS1wyDDN_>e4Zb5hQ$@HC2;UR0p;Lm1s4|&j_^aVI zVSTS~et%4P00%+%z7}(p*11S?2#PIJAneR1Kh#xB0m z^_5iUm2cE8o@E*JB!xo+Ws5EQ8!IT0z-w;!m|tlsXPF0ve-s)buxA=C#uGs|7e z8rX>d4ZdhL@BaWe>x@b{?snPNQF?2wboPgBTcffZyFSdy(LA&{A6Ih9{JNYPh?cA) z&p}C1AV^wi5R0>zg6&e+7eZX^fzeozb^(d8;`ntuxnztSG;H_a4X2ax{`g8cD4?k> zE8%}pv4HgT?Sam5mq#Y71)9YX&uS86Cfjdw>ue-uiDhW7Yp*DKFtxC@cos~`NnvIG z0N%&fzw7IUQcVS2(WAD~2g7c0=ke zS+YYU7LMY^_hEZ+{`i#U??)tCq96mqDDDsU#9J_ul4T+!AeOT(?_2)>z89Ri4H7$= zQO7upRMI0Dh0vC<7y05foUA@&;|QQI0IjY$y|FUPDWmeFGN>#-+hfZA0HLuTt|GaL z%O2?+1-(lF&9C(L$0q%VYJl?jqFbAhxF}{kk6vx*&({I7SYv4&olqB0!PE=d->*0^ zQWj_1br$68By-REoC(b2Sdm;gvo6vDbKBJZAC4?cQUd0_UzS!#h-JCBu;UR*`C>Bd zXCS96!;fE6>xmw18-cz#Nf$RNe!PCTjph`sG{q9pf)6B<$i=f))!)G&y*yC79fq_N}xEr|YmNUJLeiLk#U+vRI| z{{DC@X|zLjLo+mFXL&Y)qyuwv`|XH!XpzNG@Y{wrU{>S5Y-Jd@sQFBkGeqb^1t`PSo^Rt=&!W| z4IIUmu&8oY&2l#KdGtN~eLqkckatlPGo!HpDOLq-Z*la$D3Jjl$~P_O-n@LyHL$(}@&GHTsw1gj86&yA-Q*9z1KS_4;;|~;V${_1s1J3K zK_LD%$Luk`3v<95)*k73UT*OU7g zO0P3>8m8WNkVqr~e-GCZtll_lBS@;0Zr$BIKidHFER3~3l}>GQVQ+qYFnFB#U|A~Q zD5eHPksFZ4!}P$c!Z_;BndboDg6q2INFR6`aru8vUFKABqY7CdWnsv0plJ%vhQ}Z*blC^|xc`^tLSCqe@#a zCyP9!5pEP*gWHd9$o%mYiIiGYdjWE64aoHFK^@PhwmmkQ_M^n$Z_52&^#I4y+=a@k zM^csmsWKD1iyNLlcQ{rqmSl+^FEyD5$G3n$`da<{J$>zo2b~NMnx%D#tli$htzr8E zx%%VuGDOZx$gWjM4haJG|{@V=cDPXFg~F2TbUx=kkR>Vx+uIdG$B+0{niz znXJD}Ww~LA;P{;sgCu}9{%!aZ$JW?;B-IkgsWIh%P#d0k^(VI@EF0FU5B7R#TDMkt7#ri-I>PBKvH2Tb|dpI;Sz4Le@YOd|tk{x%BPrj#5I2 z7A71wM{{UPm46#OcLPVpvETe*LW9`TL@rv!HQMM>nma)$2RoZNKN~4}Z z7xp~j1(pQogKq>H|lViBt{{Vl&_~IsD!Z3Wowk|=qBe>?@Q-9b}o>-i0nyxi< zxm97wVp8C7-_&#O>}}0oAf(wp@aNP08P!gzQt4ixYA^7Nwx$W$rG};`T6t0jc%Vn0 z`Ek6G1&K>s29O=6vCzFG%Ji0*(057_2foz&_HnY@D_RIvrN zh8u5w^^9etLL=;gTEA|1s3 zaoXJb@G;v*SkT3heX3tFM^*BpBW;Q7P5JNN(~q7zbrx7t8A%OH781fiQ}H8)U7*{K zmma^@2s28~g5v$;0F!_Iro+AO$IsWk9_B`!DiV%2Sr!EH+GP8rf_GT^T#yf~iNXmP z^DfS+>tYzUZaDc2O_K0L(cJ~mRhs_*!rJL-849*()rjHq-liy`i~j(e^)6(VNm*7n zR3_;hT&z*M1!AE|zNYv*(!C|?Mx4>I(&`+?EY0EDky66JeG=^G|e(R){!8JdSmwa zqy+sE$g637+J0WR$>?n0s-{qvtt-z$j>QsrlF=+2*IFBGJ$pYeQ*B&7+v07 zF@1k=XN z0Vu8u0m0+j^*A{;VGe3dZFMt-V$4=9Loon)n}P6-K6b<>@*P0^mC|PLtI_4PDt_4? z*`76+4>e0EvwK{s{{Z$sEGw3b>F7Vg;)$e=uBJI-foylkp_NVj4Vi~<4gGD7Xewb1 zX#*&Z0I`slA&I#*0I&+~S9AT-_lZ9J#pBUb zMGXVxp^0WS%7eMg?QT^NB;nWy*)?& z05aBp24gY_&r)k-3lwMJLzZP|KIr#G$IjX;vREB;J5$sw)KXK3TIr~&6mp7@<^st2Zy1gUN*CO#(UehZp5*LyW~e{ zcGdWz@YhYO>w0mR_0J;9bG*ATmV&o0&SsW~$2@Mx$+~1!Hf3c55)T$Ui{`%^U&KyL zC+GB=!q$65S(>mZn(HVkp=fB@$N4mby`-0cx>7bLW!&Eqe(_iQEqcG!4!3H4uk_EV z8hVzGKCTsulvl}DPdsumAdyQXOoSKSLv9=q+mVdn;qyUgUX1v-%ldueD@x|(o}V^c zwy!O!u7)alI@e?n&sg4e*(pN0N^IL&DN;~fJ{Dr->|0|lf8hnvF040E^-o^)UR_k# z4t1t#C}GbkYJB0DSH5FSE3&vP<`Lvgy~*K-2IaV>KZ_ops>-}(=XJ7+E@P8rbu`Vi zg>*_t>B%2QhDAQbNc6|nN*2na%b|xST4kX$@w5?9>{!Gsw~gZ2@a!r^-MkP09kKHt z_{PT+J}h$D9K&ysQ`7vuYFf35?-2W4dK19h$XN(w1t+oiKLc-K=O#1$gMAutn8`;fJc4XLm!`h24(4noADD%CtWl;=eY!VJzTYP z?WEs4hBa7x#i)2AS8pk79LT943*B~-%eed@YW*41e-s`d^&d_1$TKX4s!b#Q9a~77 zB4k{)vL+FYs#Q^=WU&B`F5fa-4c8?cc>&GfXT+~a^BUZT!WW9!wAPNyE2gMTH=ac# z-{F2~yDdA2<=@oHfnz$+F6X zS>!^-6p%bpZ?dw3!S^3@j^L>tn9j=iG_Ygg0d)@fa`!}1L5%a*0rLDTa4)Jkddtx5 z2bp=YNMftasKTEA01VMfZbkn9`g)$;zdK;%R126Xfs_D1_>ZT*7vl!>?zDqTX$pN8 zo^;1a>N-j+)}p$Cqd%jlGt)^tP^5~oLp*;05JqicZVxySsfsBgw#)i0t!Q+W9=$nq zk564f^$rpyZz2_aqDLdL`yRuNZf%K2Ogee3K+MxvEu zxSl0)Ss1Zp03qBt5{iExejli6WvR=&Ico|l#!?s)KZHOyJZ&vyUORwI$l&@}LeRFe z#WJR`Mi!U3pE|3idR35W`i#4zy2h~ORMU!#t+fp>rLCrsfO#RA4<2N4Ga(}Cc7m+J z#}eNSej_r@hUS@uXVkqmn>=j_D+U&^sHE*o7NF;9n66iS*4)QOxA&EfUpiBXqgFYa8DF zvLE&5i(ehLi?cs2Z!!%@c5Rryh^In_^5oMQt(0zPqpFTTt8YM(T#v%s4}3YB_<{6J zoQjX|ny7*;gGQ3E79ac)Sbkmoaf@_LeWdh;um1oZuITkGRSi`Pl-Y$Z+hvksk}lPn zBQv@Qqp>O&Z6J_#@XKUYbvMGEU6L&xjp=r%rLU%_dEQ#Qw=96yA4uh7o=YIN{@d7` zQdsSFW6w{5{{RW0a{mAxoeFB0%4TvaO*+RP+UqKw%uTj}9jtHjKRjFcPOsG3k1nRq zaxDNVDJme}OI1&(Ds3Pp;DF9bjxIsqpGJHH{>73xSBjSe?}Hr3dHRkES`Qr;3UEs7MNJ<)}|l z>x{Rq)Gm1ajV0jPFR$)a{S(P`MK)VLah>RD+=^L(#pGA&87rPe9GA0!<=md#>^U8; zJ&vB9t{`PY87s>t^uqE~31JR^hF&h5+*`5yFxEPW;!!KFn7`#~Tj+kjJSUqg%RSOH zH25*#f`mFkFHa<8*KXl)#jZuL-N06Dgzh{6e?WbBAE&+W>PL*-)Ph>aj&1MwW5W$>o5>ZR^-y0kb-oV`MT?oyVs_l0 zh!NiSv`|IS9jSt5NAoTep7uQax%yykc8s=KQb<$E@y~1j06~LQl_I8cP~A5lXWulZaR8uUVSfJh=lw8Lz78Ro`#CZtN-}p+*R{PneSbbN70ux@g0W1Yfj!S| z*qG<)wMu1Mhf~O5aCkrK-w`UBV=6N%fH#2mCAmNDe_S(;Qt6bJWJ6F;$`zhCIU@Ul zi>2cfe#fzKKBCnS75M8Zfz&`%~^N4n&fuau!#&+$x zg*>019N)GZ$0}3`WnZ7uqzoT**@ubrt!3Q2a+4I`j4;s;xC)W16l&-Yx0(03h+h9`kzc`;C`DDpJZyHvoVTdDBkFP zFZ`r(d-@!H!>W%mDLlAJkO%qY3V*2dwm)H&kuP8#qwAx|H<=j%J>*$l$LW8b9Iaf& znQ1Cin>WT=ox~Ate;-UbFhyGb0Bex|VfRX(OWXm3CuI_br6e-m<%RFhe*XY2{{Syc zc!)(V&riZ!44u{`a9?)TvfTF{+YKu%B`ES3kLBE-Tig0^hq;==P#Im7Sx7(17USRR zf71eHq!KOGps51Ka3EjX2aOaNPf)ev$(aW9VPHkKdR$xPZRvnDl<>5MC)>QR0D?)i z&jj!f7ySKjVzw5E4AY|9abRP$$EC0$u_$T}{j{vkgK%O_?cT@Rx61@cuv2^kGwiHM z7@8o8Rr@0RM04BIz9Sj7SkAI+9$OgA$Q*JGB^jMTD3Q7TVNtnv0e<{*&%fn{8I;kA z8D@=n5Rq$ecs;@Xm}46CBq@=&;&13=j-W_mk~TZQcf5dJ#N6G!X5ZBJ#Cy*O?F`(r zeb*q|aen-9Z|mv6!99KF)MjZ?;Hx4M$sC)MbI+&h2e0QIS%a36XN~ubi^wAO=aXxD zW7J@_lRPe&-klDfl8xXgA(Ss4KKze=&kUZa zqy{F4?LZY=HSR10{{X)qJShcLL#E_wnE?^r;=_&rKO^-V{cyyl)F~HtVJc@@H4En} zHMx@f4sZF`kM_cXreuB4#zK>1^BdH!KKAUru)6)6odo~2-nF(3w#M-I#x$c?HzSm0}xqKgKsHZY{Y5dU`4z>kY5oYg(8e75cz0Ne|p4IubsnS|& zC)F8sRaRe`YJEi&G!cqwgn~qq?>fo7;jc?&X8vLbkWUA4MdkzokKaN-E{Iz`;D~6N4g1<=pMahojmL2SxuX+RitV&%%VKA zF`7)jH$P+*6pqypMr4kfL}exRsUEhqv2AG#_o9*M{GU=}x)zg8X1bP>?9$OtikjGA zr8&M|0?x8lEQKXDszM{&3K92S_p`LQ=$NOyD3J|wndbVdG1ofFR%ID}UnXTq<>i!_ zZd(l+v~gwi31bv&*CNH4fdH5EzB5G*VN;jhHHH}#rZO_fy{}`#5pjR7rZi_$wH8S> zrs_^ple`Z@lF?HPva+I#M>;gwSDi3mR5iTG9c)dAP)7t~HlwsZ_R?AWvt#Hj>EG$k z{9rOr*pxan@Wx;25^Be3Uam8@%ysyeo)2?w*e8}to8>WE?CM04D=U>dn{UO%{(Rwu zG?u7X;|FPQLv8dwU)i<|R8_^6Otno=vbb7yr;NB@p;qLwlgFZvKs?|L4aEu4CZdW@ zu!=cFLq*CgZN1X#V136NeSUaNW~`|br3P5+%%VA#B|pn0gL{roAb>As?Q3D<*X6K5 z95ljN0HWT}HA!no(;+BXY)AkDZ+~;iWNPQB6?H7@5S9=TUGIA`fCcV*aDH6+ViLAp zD-iRlO%IhCzQvF?!nV1!x%VHJ=Z86TveClXqOkKrq-nn7DLvR<)OP;>j5)2$A*;xu zprxz}Q4DI+HMx;_;1TV?w{Ct|9F?`P$GdwgEv>MT$nRng;p^WJ(=AKfkwZyNhDkF? zB91dZ^4e|NiRQ!+$hRDvB-yT5l@Z5A>kP5fD>X!pS)EPy5J)GFhx!3*2&>HN^Ats_ zmA>fRAQn82Q*J$Q*BF;P-SEc#%dP~5~ zKBKPFd2Vw^y>o>opv_E;Pf;7>HA?YK8Wl1j2X@ftORAm2Z!RnIUkh18+EX~`lSKw; znl7oAH>1>421Lkf>L@8-${?CqcSBtS6>-#5B|(TVjS##-MYBWltvs^$36oJ#%OI+& z>s=;YADfu5jhy7QrX%E&wOitz@>mwKufzb`0Le1C>Z;|b&f%t=L~9#CEVr;w1=s^| z@Bzs;w>;s9x-zrwd47fCsCt!=>I|no%PaD(pVCv$mZDQlNt#h+6%eXrl@#++A{r#~ z9-^h-suHU!#L)zg;ASSYtLSf6G&XOib*`rA_H#v-f7DrBK&-0CUO19DD&Y!4*eFs@ ze%*%`#(~TFr_n6Cs+D~)ITlBpYFT>4E}V-;N7Jm8GU)W{<`PrJ1!B_*gH);&3bSw< zd1A^&BbwS=^D3pFhNm&mvt$~2JcWew(B4oC*) z^5?g2Yv8ohRg*-U=?Y52`GPoYac<-RW$b@E4#_AfGYrn3FH^CqCW;`;zju2Dx%cmX zem@)*gD9RlHLY5?Jh@|26A@xTE~elfY*2&Wwkj~75TeQ`0)VQ7LMz3&HUat@5(RWaM~QMNoI+SV<__OB$IIw@|GR0 zKr$)6*B-7(D$~bTJz9wT!L>#1x83ny>VzL-Z=Ir@*hs*4LzQK6mPyfLlkJIpu6Hb9 zlsF#1m*>~uA3LJ7hBEJ6LL+lX3^!6YG2Fj*ur?R}0P9cw?0&4uW}|{?sU*B{%MvhF zAh(#M*PlQF@;l>)$|@p~au{x>h)E!3zi_00ZQKHXBl5()QFR8zXz1xG>f@)HNH)C~ z@|sCDg5P$)`ZfM9V{AL5hMpY06|T!zNKu|K0+R9opn`93c?Y*(FYSP7A!KhX17mw0 zP5p0&%{=J}NVU&kH$)wY+hBVW+wPP2Vq*5HEU}5^P?}o#BY6QSA>~E40Bk=Wm!=bv zIM^=PIc2(r^!on)0M{PO1!R}+>ckIEudY8uc@ztgei$D2zc(kf{{Yt)PL6Q&l8%A3 zY#nXU^5vr~`*76xaG`A30i;R()fbl2hQIQIk-N9Ud|!Sia(ueGqeD8<$)sy?jX%_b zlIjMh=-n=v%qcS%>FSKChK+%mEsDZu*eQ+H2N%0}S@)%M^>w{W)71HHQ9)l-CUr=# zQ07s)Q&hQ?Ld7sfQet94u(%sbUyI{Lc%I36nN8BTE3@4l(>$({J$Y8MCW@!cqOUb= zHfKh&&TA%_S}7kYtteG|$jl&sM8*m3nr1euAFhneQ(IA&%+wieNRk0A=-J{{WDEgq zt!tto8;ai8`VssX^$us5bk|+wd4;4}Ozwhc+iKf%u*#%b-q0^TxA|iSRAe+Y zP)5|Mk-1&bFjWZXY;9#EC>wzmBp`Gkv@aX3+5QVlD9SpaM(V-hpCK&~1Z`q7Ku6c$ z0|mwEn53Zvjq%C3#cCl9_Gr%2dpv4Z-#$40*rj}Pcsa>b`Y{@I? zB@rcb3R(XE!zyL}04!ysc7kL70MPPC{{a0aY0;@K6d%N|tG*k&QtEz?(`YR-oN4T; zOuea6raFi#c1@MiRIoFor2+G&dlL(MAPahux)1orbZ)Y$8h)VkuTx~R&9x#GWH!JpsAHDsj3fuw;_7fZxLJt=&<{^`2+pH>flaxPax9WXVmpsE}oVg!7DRbZA&gv@F}6FbwODKh(t2B)gU-m^8r=? z*tYQSPtpF1^pmXpK%wX#Q*w<%rZp~AkY;&x7F(PxWky(nO8A}`8s~I-nT$-weS;%{ z(HDG^{5moX6E9xhGuItg%ygADp3=FNWsqmNeRVZ0Z6#Ds3e8Rb0Cfzp$^QUtHC%=h z8*q&A+UBBVh9G zr<5vyHvx7I#J()Q2}!Q{9pYP6cr(;G^Dxx89#^O7wLXil&1BtKMM!F4r+Qbn8+XdK z3$;O~W>rx()sOWDz^7kyj(e5qj)&{KlE0GCUh) zkO0o6;1Zkn$tBmNdIgec9}v3B#6xNt>io}DQ&UjU(awWrSxFkk&lG0&VDiM0THg-U z1Jto4E(<81AbN$CzMs~)&Q(=$Egox811{1^vP$c2IXsL;Y7d=s0Eb=}?&RChpWpgf z9wzeT%KSw22Plo4EjGK(ARG9&O4*cscpr`&_*v6^JJlM$JcFpMrbRp%9CFdgtFsB| zXhi2Qq=}2k1EYiXd1@_lv>P-0D8y#u=0>IndJ4KaSd|rtxfUmKgK#}ROft=8@|7W{ zCct;Ub9?#@J6js7Jm_|T$#mvlQI~XnnxIdtYqH#N zo5=W-J(+#Cv{f(7^T-`L~iW?106DuKDz z;tD_@cL3kzkE-9puRfNm!v0r9JW9z;RV-mpU6+J=AO6n&0G2X5RjFy?e`ig_AX)s+BY$zOYX{jj@jjK(PqsvbC@XuPFT7T~e?PZsU@VWF0; zI##KoknV0Q=HlPGVf9@#TMEEBn3+(jvGiHJQ6u4^HPFtMW=&2boM+SPwz~zxv>G z&Xj-^^a3&^ku0sn$gscq`{F04;f|RYOHS=&;mEo5AC4z;&{WAtVwPf4xqH}H3;rJe z0FEJ=kt=DLqZjh+@U^ZF%zGY27=l3EkXrL1vseo#8@C?({e6M_@CvdiY5w#VQaEE{ zVcQ0?bd%1syOnoxU3uq^r~H063!2o%u4ED$5CXRb_P;&2z55(XRB$4>CbJ*~z7lq; z9#4MVy|Ee1X(6p}z^HIJx{-eUzkZmK)=e6-PNje+fUUsv78nIz85fnxw$cay-u!cK zt+8*yUOf@&$~b7|+>YIpfLvVh-}mi-Ip_VwmSrF~vba3opI>Yk%*$0uT1MH}Er((_ z~m4-fRaZ-j?IHz42md z`gtUe?62|JcnU!$*pHqdx!e;?grZuoNLh?WvXew$*c&gGh z0?Ms07y0+?kJ#d?For2?YE8(w&V0}_Z z(23$6zY-gQK4Lf6G-D=G=eJ%LC_=Xd-qEy8K(4^T*2s>s`F!VrxV|TX!Vh{Bg%&fs-FI zd{9fa=GG^%_P!=9va(4l2j(7JY8fQlrFmNq*ZN>Bd}{NB6Oh4IdXg@Ec)x$c{z_(2 z)yAP-FtHXSmbHNV4?f<#{{S3SU2gFel=PQ7rOIlwr7QM*?_Z*x?ja&&MOtacD zd0qQWkEMq_`T5|6e$walG0a&$71}`{00+qaBi90A%HBdpmcT`Dd9dTtp54Yh1}3Q` z?0Ee)447~z&#!g_kL&aJhPBM9jAMq)ou{&)qgQzi>VA zc7pkHZpYbWT^)UVm6@hsl%<-|O+3Jp?`xhtJKOtV=6Z72=tAZBv{JtDwlrW3t$Xlp z2XB|eKM*tI)Y2@E9a}LBtV<@{*SH*9^Uvwq3D?lcK`zN5+#Cjlg538bljv@s{V&bM zhbEC8RJ$F$Yz-nhO4~vL&tvF$9r-*C+;Tr+ubgR}IhN`fbrhPYS>V zKzMNL1bSOV>DqSbu6L#M#1;93Gm7_lGa9(%y*%kW$v7);sv!UZZ)tXp@dMH?4LV9X z>Yl4)8P>g~>E?LTQ&aT{uTW*#jZE*BtV`z0Wr}*2r>J8Ja3evxb<~$VN9u=$-Fe}& zKItEap1SExB_&pk(=U`!=lZQO@9^+*s`}c>A2_eV(W4m3fy%Ou%n2o=f+#eI=S@1# z)E=k!?CMuhbk0#*qvy-IaZyQ|RO;NaY4a*rsiUKb>SUp(TT4*#EJy6h0&OG?1&hA3 z&zDf?tgBV%X>{d11n|$8>8dKJWXoZz%%ILP>8p%!<_s1ncAzoD7^o{Ei}AWBz9>95 zY3~_&yQwh5_XVGE5-J| z(3-X_F%FOFu3@Y*Y`z-kvpoAT`%!17dDcouSWd(&k%paQ^2XNOmSbxQHl(OiE`PiF zmuPKOn`Zi&x2PKTS>{<351C|=eU~Q4>!~IV(dF|&9K_O+6vt#!ep}AJaf(YXhN?yL zsj23aCCQOvByGPW_qBi|pY+71rrvF0YIv#xIb9=ISr+#r`DXs#^M|?%ROvjQAd@W2 z&c_ooFpdr0Vr>elc;xzXYhUrgxg23g)Y4`1F{zNVBxG)lOQ-_O!;pQ4KKK1`%Q8nA z#)~2-w>|B1ZaKfN>4kH;sA^@BN=k1kKQ)g_sE!nU*A_)K^tJit zvE#oug?*2yE{>?$#eU2-?O+b!ZN~TG@Z%kgtyEO4lr6^2SJ#no&$s&eCgrw+=8dFX zSQ~{S=k5N#JSz-?gjkDTXl<-mTekw-cK*1lK~$oC3o^7)yq6MK5KYB^Bz}V*qcStG zol6VdcaHx6A#?S|trwLbk^b+~d-wfDJ4nQl zhJ^>j2j1}EL|$_(TD{GZRi;rs657@A=vtH`#4%Rj^+5YXKMvpivix=mmj{^uGeL^CXGMggQL}5Z1CTvx^J86R;S7H z&c8&B9R(~aQAE+{%)`vBX=afS#IecoDI;i!h}>Ghe~eEH+Iz#TE}N;-dETI0)~amc zhN~#ga@u&>t{NFB)-2MFIAoN^OHyPki#b^VLu-J?6MqD7=hOIn%V}~RpX9o-wqB#> ztCs1#L?HVOZAj8Jtr}0~vPb0>Ah2d%gc1lg!%nniS_434-7L|u^lmLXPfMRwW(_nt zuPn~%&Rr!{B(vp}PfAIVV4dYy9#bTb9Kc_3nM*N>u1wsKi^*r~pFYwfuMc0R^PZAW zW!1f4T}Il?^&U>Si_tD>)ht>^f3%=@+sriV=W8fY8tp0pbvs0f^p0zah6i(~@A5|S`rbRwP;T@wP7%OgTfK|&QoYQqSd5sl*Ns-4Kx?(z7%7w{m zW?AzQn7p#r0CIFfuSi8WhlC6~!_Ow5);=LRx1Q<@yG`bOLawXH>8oI-qKZfqGt(@P zsD4G>VC@dUp*>9ob z;8|a^IdgUvvV}K2&B^`xWAOnlk+C7jx|4syk?+sf6SyMAbuAR-uM0c;lO~!7S>zbd@sib+V=h56KJ}| za~vC)nb;@{12G()EpOkxJTUFvv72lcCif$obM(h*sS6+kd^Xxt8ykE6c>UzA)~g4G z?oIA_#5p@T&4)+4w!HvG7^&jhKnY|1K# z=9eU_WR2B`f}ufR2qxR0U@!9x^HMm(seBmvtTyh0@U)(MXo|#K7FLmgV|N|OlYhQJ z+D*)#1^&|nn|Js*(@`XSPK?A9$^HRqrA7g^u4X_cYZ?LDGHy7}Ao&lM4A{p$>EIB(^STF)w^T7CC+t~B8 zF7ik6-6Qn5R?aLfT) zf-LV8`Ar5>{8Bn)nweyZN}8=REHaqvtgo7ya-@OU)*FYyyObTFkYY{L44xRjj0S+4 zGOPPMsnh9Yr-|S#=SuY1%{UkT04UqI?&p}mu)V_;k!0Lb{EBPBw@8nNzv8P;(EPJK zIh`h5J$a?5tfmR)q`3^$F>hW%$QE%KW$$Bd4%|w=jNVXJHR(IV z#c!V-1I(&uJV^BIG#+m(Rh7ESD2d*-lvT$B#X z(m%vQB+WXNu5wJ)Z0n?zl<>onWVIPWQ$bH!W-&c9m31ad$tLu7kf{wVl^P+qxa8Wf zE)9ule+&LDD|M!IN2fe^=2=}fWtmlHSw#doc6nBgl+>!MK4U({2^&V9Uga!Tcmv`D z&qBHn;+v+r=50yVFBMO%vS~7zV1p-@E_jMg(nQNqa>QL#9c(=$Jn(M;rRhgoI{VfB zt7KVEj6Gqa;?kP_ww{WICd_K)MS`Mf=7fmFTtQJ|@{`J20Aq3u%LlP~72$8K9Us-u zYJGR(3smc@t~jS3;Q5_A=@Yc5spf8a$wQH`7zR^fp9x*hyp5crNco%M_bhlkRrNYK zAXH}RCU(c-zk2!DfB3^5cwx(FYV~$+PgP0wkaBnpq05C;RB*0oLTY5Eh^rTLr29*4 zKv;!4aVqiYr)9|eUTf$RU=wGLS{^1l7*2^k@0St->2gjey14$;9MjcR#;p(@TI|05 zLf9X04eo!_8QCT3qjL-+j|y+LjTfu+{*gUIFQlN&YBWA|qV{C@tlCDMWt%!QQhl8w z^JxOf=G8`_qhW2X>bnYnwO3L!#zmw&QbhUAPnXf<^5?mAWoBN~(MJlTo=nq1(Sfy5 zS)B^l00H1)S>Pk99U|#ZSo3;*zUe9RO$(oB>g=moe~0AM)s-}~Q|wVRYfy}dX^dr_ z!ITnIf(_)|7lS+K&W7q2gWnci0M@jX8s8#@ew*d;=%}Nu8kSEw487ud$P9}F^2TCU zgxHweAtbOijO|dKd|5Xqw};C5*MG1Fta@s{PITzQp!!LyS6M}!M3pUB)9Nqq*QE02 z^PVy(ix20DZI5sWFJaAuGsON$qZcL1Gi?i}>gcFu)H#e9WZB+L4GwB)gjAC%RHRX& zKF69>Sri?@;F1+_HPX6KBj{eL@NKQUHfs7Vv#zb8uIc_&muOU6*_EJ*ddRAgq7{xB zjf{w~?zq}Yl~7l_??%w|hr^y#;oC*{W7qW^d(fJOuxbpiDbX+Hwm^*VF{pwlgR$lKnYMv>!@ zLzD*PO~R5v#6!eppRLGxDHf^G9X-y5VIyE7GNc#7b_{;Fc;k#PqoidrWnlXb%^Q;&W1XYu45N7xkuRT}PK^8LonkNLC5bTfqdLQ&J@G&lJk4j2I*YuHN`Z zr=P>trmL+wGdAiLnbb6$F6st%n8l>Cd7tc+we&H?B-C>P@og(CUQ~ie)zN{s!cDP! zarDv`oLqS_r8O$6k1%a3$y<7Sz47$V_+YuJ@YrdktYTr!q(uqa{{ReE{@4wuKZk$t z+sSL54C|}frz)9q=<+ENqf=5TO7=u`h_sYO$7G620u%$u7`l8tbSq5wcCF9)RiW#1 z8l0w=?3J|VIF>mlb2M(I?;0YX{6GsYU@vow&lS^3I#%DxJ5B!p^Kr?2f1x*nEb~~U zG?#FG>)2oEhY;nJ2*3zQZUvvP_ZTHev~0#W;4w*lN%(_X+YUEJBC7=2;r52N1o~sj zP8&~22XX~IR4Ll?)2ULt1sCVv<%FLrl?W0y@=4{p?dR^`y?FvM>J7-|#`f>~z8l8r zsede8;mWO(!Y?43N6tt zS%rzVJ(4+`{g$B^i`_}Mwi2nPsbgfDb1w*e#yLSz1bZ-AmMp5{VYSq)zcI)?zf48- zBFxd5>-yer_Yho>()(2OP5p@h~z>rh-Z`1L_=Q@F9cJe|C5P4sObARb< zLG=|R%QFx_Cg7A8w>SNt^~X6gfXy%3qgkU?7v+?nZ}%PW%DDvRYcUF-+i>LC{NS}c zI7)I^cLr~VZ{f%3-{paMv|@C;aykGADp-$yLG#7E%dUXcii~cOFU5V0aNdK{0JDfy z;BuUhZa`l_^7&xZY*R!^RDwYuDUXru?fbR|)`V1Mj&Zug1s(2xWBsvcu1VmA<`M3V zk<*aCjmkyrJxAeyRr}|GrfY5h*n`3L`VaKPi#C0;`A7}81mD-w`kY6zT62Au7jxJi z!=F#6I6N-VSrL5RGOh@bNWUK)fj+mry+5uadWr$z|+#A3REP$R+ZOv8}Gx zEo=Tg{{Roy5lr~hL|J4q4c*LQ-M)Xm-uQDDXkJ%Ef2sxD2XiO`%(u5Z{-3Ti zaJOJ4h;?D7rkl!%Y@iLQP#X`$PCsGQdsEWXn3<05>|-1s*tS1mwX|8TPp>9;Ol2Bz z@prq}c0cX)`Qeppu*(piWyrn700}|%zV6=U$NOPC!j?*OlVwZUz73>va(zFq?$~SR zm`9UQ@Lb(Z?|Xap{ofue^um=eLTObg&+`q9!P{Ye-!4DC2hS7{xM!TZ0tvF*cJ12V zvG0!S^IeQk7Dp-x+v=m6{Jn5LFt3)ae$di@l8cO2UMpWow? zJZa_|x}{G@NoSKOk8b3(hnX)V@J}PP>^`RW*YNm4S(EoNHJ|pGQO{K=rd4WNJ(lVg z_ap)J{{Wmc6(*bsSyf22#De{WyM9Bz^v82bBaq5rGP7NTg5aL!-nQ-s;f@N&RU^^M z5(1B90>wZV?e!zjTN~*{Zp-!jSNi0G(hD$IUh1yt= zYg@M-yx;S~c%y(wVU9%rFTrw7+i}m&{SG~IYL$u!$hiQ0KE9szwht7N1mc<9Nu+lw zfNf>DH$3yrfbM(qgsUmio+Bb7hF9*dbKc_LK6`t6;d2m*b44M%u_}cY`CsYy`gbo3#!1jrMrsI9Zy{Jq5Q;C{i=G169P84#3mkFxHOqKz z>o}fiw8vO_2c|ORfBtpk`DafBciG}z5e)TIJLNXDEW@Q5gE!LoCSQ}%<@D6qU39eOrQt%4Q!2*a6tY=aiSFBth3pW= zlUY2q9v|>tc=5qqo0m!WX7S6P z55@@uVwKdouUJ$y2T%N2{{Yjv{{TR0yxlU&Jnv6s6j^R>lV%NYv&JEcEs`RV?HjYB zq?XD^(#|m)VaJBvpjj|tEiD{DW|ZK3H~Bnsk137Wd*snIFQ!s zEj{9ks(K@%z8tiKrzXmC_0(FgI;*NH6fwcI9(i5Dh@*}z=I3gYA}QnoV-{}`TD1u$ zw)x|V`Ajp%rg~Q2;wtRh_<41TT*qETqN*a)kD+y{%xrOkpD2 z#n>+6u}hE(0_qln)*1s*{{YhZ?@!d_nU~pNsg|C#Ldc0UYb*_K>z2}IbJe9aRz)LJm8yYh<&S%Lp#d&zBXuK|Ai(@d z>RlhGy3d+sIuA2?%&n<1_+w*HQ6x(wbPz)_z-kGUK(eHZ9^-wRK;qcgU7kn8_C>|A z&*=w-ytk!2VyDQp*0RVmT75;LC6!u+piNGVEU*=ZN40=t4poUz;Z1=SC68Nt2aQQo zx!*$dc3(HzPWsHHvtQ)|A%J*fO~bewlkpbk54<5}_3?B!s#LiJP&vfMAbNT@GkEE% zYUyLGk?JCce2KkHq7I>l!+(1lKd0K>hfr#~(T{~lDRPOjnJ6;szPCQhV}gjZD2rAS zYJeTVR(so*a&L~3ZKXz+ht3nzZcdEJH-HZiwAAv=n^t8M^l>P?Wp<`oc)QpT^4s3t z_w?v|ytXQA~n0^H$0ZI_bYo`rlL0%~PfGZwIw;XF1-Q zucpW|sdG%GNwWIcXlkjVVjiG8R+eEPbmd+&YuE-IB$TNmMhkW=+l-GN@c8T0bTC%c zYF$4I)ijGo6<&QR)KsztE+UA>zFQzHc7tX3jfOl=;rZ7IYXnp0`AlWpyT#|%5^Oo+ z?BD!Do;wU_9}+s7HPF3l)p}y5r#fd-)>T23LrK=Wea$P^5w0@q=Xe%0en%uWmvnOIQ)Y>(a>(i{V^@kJC3tkc?}7gS?YmsB- zY1TO%9Mx6HM?kgJ)sUKsrny+6R;CXl+Q!NWx%@CfllW=%)}j$J>dcxUA_=coSy&jU zW?jWv4c~cKeclMg`IdF!N^Nsbs$ZgABBjc6EUu1;=}xYsh8lE@DU``g=EMTKeVDS4 zSY60B7r3apkEV2vfa(5?qRV=Du5-+nPQ5;DQ(v7!G-))S;t@0`L~KseR+5HWfKY7# zfML*0u24BBLZ0;7`jnkV@cZgjHh6T=>MozE&7lyQjIxAgH8oI)fAO=%l%%S;?B1ug z4{6`wrK__lsh>RRu0cf|EfkZ~$x%<4e<>A9JGRf~xI&G_`m301}))k#0 z(zMxjyvsAX>e)4HDPGic`Ib{q(WFgElDG?(Ob`vAnuq*H(rR5%m{Hg0O#`JmSDX3u zbW_Wo4ANSvt?^P#O7AN}Lc|GonZ0b+7h#T+QdX6mrx{Cb;Le5Vmqq#^(LEKV`n#<( z_NdZQ>pJY>uQ%Ne8yF+CD0&fIgF~nQN_CtU8&Z zYxBKR{v8|{HjmDyuV~&X-0HrioJ&m)+2ajg8Y+UFVnfg>eP5(}8C7(bQuL3k zF=n-yHnt0rQ)mj)k!BNCWmK@xu4DfIYF<<32@DMxv~n%p%F5WfcfmN4Z-!^Q+p4-E zg1#y#x|N+*h%~f$M3q%K2~723EYa%!0K^N;ni49eU9mKWck)P#0y#AvYR5g+blIs! zNUJmGq>KO;Vzok^EG@t`_W5&i0D*ptt0PXhzA^bB%pC_{zYM2g;pei6X@uv(eeI+Ep-AB6F7bKmFNz7&%+ z@j}AqD$t^n%o>`sNUS%bWvlQn$cYXA059~yhbPg&M(tNxR>7EztO5_Uyxx1@Jhxd1 z^8OX{1Gx1)y={W2QItO9RacG{U@o;|sj>(9%!5y|Sb#1t8X6}fbh%QX@%TPj^d zQ&?{#9^&_~{+waKmm%_*1ssaLRC^e$* zkjC8QsNab`Z*V_+VOa8>ou7*bNXY}qR>J$h-aCQy8|kw zUmpjaNAoYi`Cu?vB4V!Hge3VAlamT!winVOs_J02|ambs-FV}GY0P{3SkZBB;GRdiQW}}lrXEfP~sFlc{?X%QKV`vdR(1uus$C_Gs5I*%; zB_F~3=ea*vwN^_~WU9+oM68rE9n8@nuBUZ~5XEi$_K+_>e8`7vpBosHjznYU#~EU5 zntv0XhxJyosakKuPfckvIjQojs*;jwtcn>$W>sBFSyMa}CF2b058AdQflrp}AaKHB zlV{m_sp8XLYYfxH?r$}HWAy$y1*z4!c1WReWD`hH1VOy8frQ>{U^0<8+|kD%opv{l z4;p%h!#;13=>D5&ytcD8&GOlE3Yqh0W_YRT$$EH%X%vxpjMCkY#k4G9Ghr>xc0c(p`MbC^EnCtm`n?!RMQ_-_9VY2! zTcq{AV6sIVbLl#2dg|yzLFEfmNF5|l5qIx&Cv0p%4->Qp_{eE|qcQ02k<}|-wrU+m zJW*505oB>KD@b<{%G^_^PCg>mHUWscNn?DJNzXiD*9ITyW}niPJx|iq8SYU9UP~@< zl#M~RHW5H6nyEa>flvr)RPv+(K=vq0xe=6AkLVtW(7FRoc!cThd89NKO|rU)-#W|W z%ru6-Y}S0jDJg4ZiZ@q=Cv>$doze@(BD_iPvA@pFmR3~h9bJ*?SYCNnJx!O*_BucQ zikr%qNXrS~Zfp^^AO4!7v21+|tDY*48~qripz!rkrRpM~)P}lz&W9?elQ4*7a@s)I zWYR)rj#ZTZOXA!bpU?WhQX@6K#oh^@Ay+qP!V;t7TI~ zK|KkSGO#kp-gfSGoya-AI9Dg=C0<>abiSf}NQ~Vt$R8`Dlw}Yv_@c`|l#&KbpZ2G0 z5W1L{To*zu_n30vUMf3zN6wGplUr2}j6GkKPcnpwve{xAM&uIZl#W*8{I?|7@C!2m zcVcrsds_K^TQy&lr^tk`M*jdY0N7(se-%u=T))PirLK4__T0B8nM)fB47LdG&(1&7 z8ADswILIqJus}5IR24TO$Mx;TGjl&xns^(WPz$DARO(Ke%yWHpkR%aPW?5vE5(%M6 zk&z{*m5`&}_C8VMav0e67`-(=jlU2+AZYZyWz-#XWS>dt`aG8-nP9s?4KJDG%o&S+ zI2oSui!nQSJ6756r;kM^Pp77=Q@!&VgoV`wix_FhzdVaZeGVj@KQ(jY=|vPln%@Wj z`iuAVz*Om)`iwDJ7*4rHf!5wQJVI-~5VU?NbM13Lb!7%+LsbQQd3CKNFP0((-w;x< zBDckM8-?uF3h6H$UL>@Ki5dJ^3{ZHch?UmQ+qtfTCJM*X)EMgIVI_rnpJYm*Xqqb?}1G|!4&zI5gFHAaN$ zdeKd+@;bbuR8+-FBCT{4J3P@Q&%JG@X*b#(z~S2H>F*LEx8n0eoK2G@uAmxPJ_kao%)BDf6}!2oBVE@EE#F$poTvHb=V`j zmMBw}{Bb3s@#)tZjF+bRPf_&_mo&=yTUY048VcG+3kou#G%m|#c+6|%fGkx{!((D7 zI$WPI&nr@SY1ouiU=eIKTFY+U->2h;Ifj_Ys`7@-#(t32#XM@1azy2sd+rPruFNy$i#$JJxo&h{>+!oR*}5eA`Na#Wb=e)sf5BK zjxy{>Hf|5^wgyj8B~-J-%Lt2v@r_O6(1C_V zo6C&=^)@!+^6ziY0CQ9;O2rgh0t&j5arFG~Hrd5}pVNp^Pc1-JUx=yhFWdKTTt#bX z<7t|mP&NMc?nkHk{`thqRl7Qtjy-@4%y|9(0LCH}$x$kqLItqB?_vSqe{bIyt+SUb z3tdeLM|ht6^YK^_&)_|9BC1HLqYDcVK)=IqTa)y`tjeKckjCU2rva?O_rK$Sv%i-( zFB*j(coE3%e|Gl4Vp&??1z#;eEfJlVp*CVK?fPOrnMPc0S(KIk03x{Hb92YcVndiD zOkJapC?MPqWAr!$T^c$ntA!%g2kUM>+XsqFu^-H3^CltUPa*@Z|jKWaE~z|N!&i^zXQ4T{IM$Rq=?pVC8cML zWxdVA5yAO!+qJP0)HJip8%yRJ00P^e>Gid-FwLWqNXg!$00Ugw>wb8>u@%k&d2JYC z2m}I+ek^~ez8uEVR>8@8kX=EsV`NgH*cSkB*B;-E_{0-X!wo$fu%~q_Zb=vCk#0Hu z_Oyi&x z^rCO)Wy>MA-VzXggjn;B*mor|`Oo(k;`^pjE%_@#xs0Z97In$ww7y2BwhvpM6B zr{{0$fweTzBpZIx>`Aw=z59N~37V)L6^$wjn5+?i<-WX&Tc5)J0E;?<9ISk>sK~z= zJlqc5`kL$|#z==Jgf+nC@=8b0*!-`JYn@O-I4Gzt>%k4!j(%1@>5OmUs-`vTHGD8k z&*jlm#>~zM>`$QP_ZI&Ees#P#O=jI5%#CwiQ4PuRh0k+J43;|BC{1kP1zlRPjs+zA zPE-;UToYlyzXzNTq_R{x#W-7Bkz@4(kI(bRa@CqBMGb z*v)H&@9XG2f8!pD9s8Jb7m|*l*wnz0g>pADk^&q32slls>yS5=0+9W={-5pn;aDdI z7L>;#vo_m>wn$xYIGaJPf)dXb4}FxY`Zx*Za_ZVzu*ww zy1GC?jv962;${{s)>R$&Bnr7em6fUP2HV{8e*U-paeMU3#AR1cxTaX5*=0Ox;;cLppdP&NQ{tDan(sN+>CqvjV9jd{ zL^)eSCfal=1&-A_N!xZT6c%P60Bj(chk?HqR8u`1-4E0)BCeL)R&N7*DxMq4Z{zZLe*`b(^h%ApX zPyYZsVwGc#Dv!8lrt>6?Wv6fo`RYZ93@6`60Yy_J0=V(PrCUsmU*Xhj+ga!HR)ERhAC^b z?xCp4GYO+6M0siIS{HQeb{0mlBalZI#GbmIMXM4=IG9K;v|n<52j~58FRr?WCe?l@ zwZ^B@a;;4sbJT3!zKX69@J&xXWjv8er;CFdqXI{3eg$cn0R|zpcYJAc@$tbtdk^X9 zd~2s{@t!do$r5Yf<1Jj*t$Ir;{{W-gK7y}PP)9yUuAo;&YNIBc?jb;N@YwOsJaBJ5 zv*()QJL#WP^1Xf224j-zS_m?AsMA?)TP~QUdZ~Q6hY%{teCSAk1>TG%EM#wlwIktn ztViMxM9p2RX|(bts>`G~-9e3`ptV8~J9&d=!ff9c9CO=^p{VOJjE73;e6yR9|j6#)kAxTD1x$x8Y+v1gti&jlxV1)LG+uKqoXxV?VQHw}%Zi#B`ec}SbI2LC01oC^TWLIBog>t| z_OGbj2I?PJvqg85Pt@FN@XE{wIc`jxD08%ED%s$@>M=H;k#pVi%h+~{e@rIR1OOeJ1 zl0a@tn<||%uDXWpr21=3lJruZmmsQWXlhPsul^w=;ZH81e4^C^c>w|h`=@ESCk6a- z>a(r1-glknIhH^(9LqY>RWa$Tin4i*U6#;LW|Xo`Ci*&Xkv&CZYNRhMD=8(dxou~w zGW`3bxn^Igy3eY!d2$ZBLtUNg3T+)-MOl^5B`kFER6LCc5!5Wmq$9DE?n{6}BCyW9 zL}%S!(S2Z`>K=KT>Ab3sL*;pPMW(ZQI?C#pzR#LNSx#$fBv;uxlQQ|UFjs1@N5L2v z0)!zZ*SKuj%$kVol_XC*M8o24hd=99l-62mq4JZ{Xsa@PEB-F^86_1IFGme+T?+Xs zl07V{SrhqkA+aHigXixA`G$2*)tcxxt?Cuwk4ee$~y5^;Qp68>6U4jP~`MoRhF|oZ7ry)DRWk#N*YM&nc$>D zB(%}_)W^(^d%|7V##M_eZ{km*^bV5Lx-oPQNyeUnrh=|Ws-V*mWy>uMsn!Y^_D>_p zRZVFcR+&~?)vdyd3{Jm?-ipUHr0)TuQ-PeVnS$*MDUtEHiKYIKv&mgN|L z%!J*yGO0U>ARKBgnspB_otIi`o|{1~q<;|9300MshY6ed{SSfU~~M6}~{ zB&blgQImW@rb$)SexlQ9>I}*pxavINGUzjzW&2t$+9hA-dDoOUJ@@|rFcW4wfyMWc zR%lHNkww(XN}i-IO)2$#KBfe8UobT5M45-$qk*c9U@2ZOKb7Yg$g%S+xvoVka-_}A z4pH*uec3bVW_6q9T05sbSFY*qT}P|4KC9+`;=1){!ckSt7E`Fhk!Zm&8I>nt=P)4v zvVbj^y3v-=QD{z@YdnvqmAMPlWVLWtHAP5xYYcGG1PBE@cW8iM_mRmmU5)$PS!STi zx?h%L+T&7b9;j6Gx`R1v!z!kmrE|pvRW)rbT^taaiSr`^Z;LQ1=4=K+M`Gj}uU}-@ zu9oSpL7ZzHKd##>$*5YFC>fT5dI$lY9g1F7t9X!D2LLF!P`CAJN;wkcoBDSZBvg4c zbEZv5j=Y*!!xbc0l^6BFC>b66pu`fq4?;T*{{X*ScR^9o>MA(lsMa~F7|x|!}(MH}@q|Rpyd;b80#c{~&Oykq!KBo%JrpnDLizo6)Hp`iDL;X1GohO+;;8ypL}x;ZPTYslRlsU)P34` z2)A%8yY<7VGY*?8TkM*YvH`G0l>3i8xodX$dt8U959X+Tsp_kKrl9EJd{K|i{ zQ_X2qHERV2igC?m}% z5Jm~L*7C?gvfWro#BzD6SoFuFUL@1OCb8GrGgM^She}gXeVa6)tH>oYWpPVY0L(=d zQ79rscxmORU9A*?l(82_+~4>-OIb(a%Ti^MC-NiE)pAD^gZ}^=hd0U)vfq$)7eYsI zZP&1~Fv;phKBEQUoqG#^79CHJ4-sOffHQ5|G1yHVP|HDKGevXx|z5O$C} z#=5f0Dn7N<9s@ii=G5}(sX9Rw8K8HdhPN-xYH6!Cs>TF`l3PmqnGW_1X9{@QjF{*6 zyyTSBol4VHl$7>~I$AP-qCKO19d&v+{G>tu0M_H_E~m<}ip=(_Hm=KIo_c)dYPv~e zh!%!G_uP%$e&D~Mw>)u%V&d{NA*#f&X>m?3@g{uh%(_gz7Cd}u42w=?Q^}S80M$7? zW=U5n?w+G8tdvJAY#>m@wi?KO6&r%c=%zNPh6}&=v*iy{;rmRiSw%g24S7#Z1ry1( zN|b5})@oQBD_VB))#73Ij9yq0d4d^fZ{mYhn{=neZivZDu$0LA|RFiM{flI1efxsNLAB{o+yk|`!uI)1XTWLCez zL7W18N-+NbGj8j9W%LIeSCbq0K6cLpxonhmxlVUiE?j35P*>6c9IIjrDFFWfE_B>3 zw>*$lnHe3nc7e{kKt<#4r#WX?sI?H&>6z!%8X~7r>H2)WTEwfRsPigaU{zByG?15D zDMHA0CBQj0nr79RRZUi8g0C>F3^NKX+hxw}zRZ?Ww!CsyL2)q*92pssvwihzNp)9C z^~<7NNY%Yv$h9V4o9n32=F2hxL}ab1p{ImYL`6ixXk}P8T}p*62m~`hnjbz2Xy8d{RiI~DmyQS&pe`K3rBD%d zrTjE_HIrTSzMsTiQ9gZ?XpFZ%k22FkP)QWEnuSt9By~Y!Sk|2#$C&727H_%S`E#!p zUJ(3D_<-vDHnP#(P}2#JWot<;OOa|Cs-G?y;`rZmDNQU=25{W9$yoxMfovbrS}XXM zN2;=Voom*foz`Tu`K2_OwKiWs%Ph2OG+$_m57<|07>EjP1HM$L8LvTQ6gj-P)EUm5$}=i7$fcU5Z0|6do(ct7 zVgCTR-v}>oY?c830Oj_^r~WeZw(%{cDvV?n=~iA7cd1;@GakL|$LI9MW$8^8p3HRy zVN0lKbBUstPuE7!E?4gavbDH#Mx`jCx77JX4w>@u{I5=B zd3`lQ&`T3#b<$N+RKqPS@rs0b0DG~8_ih01NA>3p`d?Wj6!Fyxbt0ai5UuW{ewQ45 zK3IFyZ7T*u>yW)=RKkvnBZ$=1(@z394Va{6G?_ypG+t8`Hv&hH1Hb@ogMu~6+%?LNnKS#lTy_h zc%CK>u?KGBa3tEs-*j{Aa4w29e85FlzsWE0DD@n0Fm{V7)AcZipIl*r>Q5 zji--9vF~7S>0`!bd~}X|Be>0IcxQ(%r)k;(8?hmg zfj!h*{JZ|R?w+$w<#eos%KKroWD{^h{mu=7+Q!%J20NQH%F-cSA(i5Kgxe`sU`4+% z_f7u*52h}ed1>Q^huEbZJ!V(3IN3_WOre5IR25e?{ZDU@zzp&@>GKKFX#A#;n8~qt zvAH%qzF1yr`Xs81`JQAqD>Ab&;^&diwY&Rao^vfL!32=hyyV0LfyuaT{{T;Kr_%(5 zc4uliG&5#8O0%FnHqG@VPD&|GO3>!#AkOMx)hiTvja+wv2bC#gK%qb_cRv>#3~g;O znq=AZoj%lAHGXA1HFklN(O2e+8LN^p_69>f!cfRnj_X`*-EVwl&kdbG)ENhceIZGs zyhQaYAesqtC@OUBg3+*NM5wHiW~-GMcgBEJDi$^eU;~rRrOGqdv%H_CTCXJPC;eYb zn9xlWdZ^N@4VZ_e-eq{y0UNQW_>#kG0xSr@wTa6^FN!?AM*i}@{{Tz+qFW60al1n- z3imv*AJ^L-h(&hWxN~+@{{U<`cxR4umMI3)_lQ29orgcZ_~uw@7!M&3l1Ajef1W%f zl`B00<&9=p7C|DjGT!#N1JriMhG`I+5~^%NFMfY_$79P>2~i8jCG2g=-|_4{f9D>W zSE>I1Fb2o4@4);o{n`zL?Dnk|e{45x6$L zBb)=zrP&)Kr(xY<=q-cOOe$As3vJikYoAbkaURd6^PI;j6Knh+ceyM&epGP z)l_0GZd%-*UOj%ie_R8omC04A2h1St3^-D54<9RiacH|mfOY6vZ!yOOHkPsAbN+(> ztKpC(>A`hBd>h<)aryT7Vo8_=sZ#MqRPHx7;BbH2^T2wR9LaEo-xavs{`fRx+BgL& z!4x-PxPxU4-=637#B(+KJW-Prq?_CVeSg!oB(=c|-x4<=OmhlaXOm|4 zQ`*dZeJ}0nfH}ThADJRXyNe%&LEKvZ058Y#!%&X^pF}HDKsL2RYQdP@fVTsHI6sCf zjYm&WB!)+k$OMMmw%9Ge?Z*K9eeq>#N`Ez(fl?dc+Na|6_`iMe0@N^7Br1|rZ`^Nt z{{WPaW6kV6t%r2-q%S_mHCk5vp_V8(76ktQGoA-O2?HOo&TCJX(^dI$Fu7a&Qn&WU z>^!8X6~y|lR3(U{UP82ic@8#4d*D`<|o80-}m(T-)9`)K)I% zS38_@Cl;C{8{~45h84a1Y-M~Npg9=rTOeVe=K`C7gvzXyfv-C9E%=rem^g! zJTl89toyD-w-;e{J%=B!r~2^Qibg6}7F*s%>_v&Ut^Iv_eptMHDvn52kql~NLZklx zY=eVq+>7_OJ-%M}>{O|V94f4P$SUr>_BQ?B94jlxj{g7+lvrDOv9*u&7W{kRG^coX z%8`(vxY~Vwe%<~504#O;v#5O4RLIcOxIhClu#zxGayI@V$LWg?p;{w5pI5(CGyKft zxDd6%f4{R?tD(Ey(MoHnjtQ}p{ zS-!972Sjs99G0rGYPuQ)R$~P*c72?HTWXRGg@wI4;=QfV`qDhj^19tCn8!R*6{u53 zCiQW~(k*TXu^yJk(O#bTmD8H5DHYmpGZeIKOkQgI%Q=pjBSo=4{6&Zs*f}KIo<=H- zfBZxAeIBXIa;%potjy>s>Ndletzl6zsav{>9_@P`I2XSn%45`JZK^LQswl36_%iVy z*V_0pzMFL7uC3~)Fw(_4tR=4-fv3_NRZRh zOB}MZI~FE55*}q^{(k4wnmt3wmBxRU*RKBna9JX@+4K5ns&vMtG8R_UlZsr*AUo?_W%Q6*(obC#K4OvXs6%+#4!%tb$%(MbOQ%#}sNNoc~k*%$?i2V?$KX~v)E zukiQK*W%_j3dl4bL33bAFmV2f|s zZ}^009$DqBS}rsg(hhUM4xDM}60E0IcOt2K@2;Fob;|{u8fRE&6ZN=4IMe2hU+qYXX1H z*W{kwhw_(_`z2`L{e-mdi*AqTK8@>)o|DCPs?IeAZ6$71k<#i+nz^Z8ER>>6QRS(0 z6*H=UvPr+oR0hiI)^FFp7+xK<_NMFpZIg8-zIshzMUm9#%2_n*sB;X;j8hWsTE?4i zms1FC30k6m$}amZ$o~L@Jr1X((>a%i?RhljOGzx6w5p<7<*q6LCyNDJU!Qy*@h$u% za^9=!?5k9G5b54&m-OqW*Kf~;!6Cr^9fd(Z;U#F3Rx@}LjVPVQEyv>=+}#V zf6?7RSE#g3OOeji*-cJcnNjAHK7BQ1Bb#jZxuD&;Vv*IH&qOaGPKAnKlPgOKD`94kdXw3BnKeSBt za?(j4h7iomc!@x_sg9TUykug+y(v{hv^v}_s>txp9> zqccIvvMk_8!3l7gR*P0>cbOwP5+ieAZ!dY^k7M&6i0zN0(q{P`XM_D)M>P&zMNgD; zLYgYhlvL&U6e5nMT|YHq)Fo2D^(JvmMNya}at-C|O9fjgG0wwB6l2tArTX)$w5mo3U@GHjzO&1xfXkCutu1-{P-wIP%c@*K`7TTU08Zu9(NtxyNn1fx41Z{=`!t1#Nj8)cve^o&2(OFH zYnBKGi(K)0{cu&XY_wX z@AShs;d!6UC5wS%umZpf1-GSzhaIryh8OdEkk&g$+*6W&x27{Bl5~wcZT80$`CT;B z0cmOW*~OKZJ-+e39NX9b0ItQ8(~g2u>)kh#RODHV&!1Mool(hN0HPsaYlO#2Y&qX3aAv^N@o_p~7u53viiV98G%v`7^)K3L1(yb+_ zwVtZZ;j7E^#I&@zg%(qquiAxFuTX@;BT^XVg7nYLmA{!E!(vGlr@SF&TDPV(-CxRO zq@u~=l96aBGko?ptZ^+Ns`DgBsWzC5OUK+`U2nySv~vEG==yCrsON1Dl4V+7F3M@- z%=7HZ9Z~U_31y;|l03;7-F%s!ax9UsgpkdM#9kj7r%zSutc#{uc5jm9)N~V2W|FRL z4K8LRfV?!3iL*a88$}$uT#qmLRxE_rM4`o&PDFP<@ZYAguCeAm9lc;if&#~2K zm3oRV@UbLQMHJE~IeJLZ-at`z2P-0XCuw)7JS$S@jRBwaH!+v(^f?*IMQ&f0=Yc0f zSoJ8+z37UvE7R=&C?m{JS*%HvYrdC5l4{J`rj=;eI-s+TbSsrl+`A9C74GlK5rzHMzr+m z*N6|YZ3(ks6?M-hjx?H8cl-=B&qXy>ThQu_eUx};*XvDF)10VR>k7?MqK-Vj?DTRO z5o}5y&Z4*WI zmCNZ?A~q!{GApZCvriNGFNE5CJ(O!6i)$Jxtw~c?K=8>`sA}^Z!g`Zc0|%*sbujZ0 zsdFYf=={?%%;+Vg%_`vk01s*$`Wmqj z6lI7j6R|_c9lb5`!dm|TMby)H3e#GaZCjHx5zysLPnFYW@JUq-;o1vRtCmYEAO0cg z7ZLuI_NGP&sY9_DEGR(3Rk~%bygD{MIB=0B!?l$uPGXnHg8QMovA@XEnXdLfmB;LebhaeDCnQ!o#%~}r>(?ee}$kn58nZNB7MEB+qW!`_H^W$7lV%&B@ssyd~e(d6Si79{$<9Q3dFx@q{d^#+;ik4`gLGguT+O`YUY#WZ!&sIZzyq%rwS<(GEWWxnzP zizYNakn8^dh2IleGLtQXS?S!$hf*>``d?B>0H|q;ODEapq>!JDe6}F+w?N3v@UgBs zjr=S;GU~`;uG7h=w9Lt}Wn7~(Szu=>#^owwl#obYy6bJW>`pdaxo(R*x|9|bhfxRV zF<=YH6H6r8#9q-Q@OZctn zT59fsW+uNprbw&0#Y3A#=8dHha*630I}5Y@$B~&8ontJ*H`rC9S_k+{bl<1_SIIho zne~%4%;<7V;u^|Krd*OJ+IFX?4+={r_6&qZqClv-uGZVeCLhGgraAl@Rc7s&Q$fEl z%H)PBm`ReMrAYvaHUt>lDKZny(kDxNS6+M6B;0j564Omp z=0ja|BoP=UJE0=sU0gD=Bc!p&jPb~h-$MG|q#VFjY ztgMVxg@Lu1NzR@yJXd&@%(UK_I-A4pt?8X57PqFUhd=)S5vhSI3c}239E3n_h?8tA z!{O|9k~fVl1bJjej6n*is%MR9;8an%P0UCDa#*n^)RO?*KqJ41Ut2U6OuD6^Wzv0D z(pi3Qll{Fa(mcYg;7F?0GLbUKgfcyy)DU?N%6MW9s!jHI%T{7P<5!csU2f6YwSuIY zEvZynxrZ~YVlV4qDC5%j#q{~+YmimTnQ83GqJpHsEMlS=O1MWxM^SHeV!i!OzBfPd zn%kVzbhlDKj74oec^PYie=eex`+`)D=8_l^2sa(^hN@{|3X0J=+-&=9Z@~Wl0M{9s zsqM)|_l9HL1pcHeK<1g2qOZ+p^NiA~FnKcy1}3t0l&}*zY$b`~`HjcZ1awt7o9zp= zxKZVRc)uK*{`mP|W}k|`M{1dAj#dj+RYMVsn+0U4Nfe8YZdTU+0ORAfCVGEOYOOb= zYjxZ@J2R-r;H?ys=E*?jo+Z++qM_&mFOp!Nd z05bOf0Ng#n!!VJNIKvcLf;yIF6IjNjRjep(Kb{(E4I|XQm(UYY8yxYikuO>}O9&uX*0t~uVf zDW{0M$>hiqP!V|~7E(qVX?+{j9b=Pb+N)0VuQrwppC%cteD4TSw1uQkIaSDKP|-*U zTamG^*x`t}MmC|*t9%M|S4;FirF8vT&-CX}rjmmGKFx$Rd4jbSI;~8^FY^4814yZJ z$x_3BF{64}QKTw-J>*q7YNJ-qCReXN`SQBnnPSc$%zoD_burZ{s;`(DbMYtvfdukS z8_O2-Qon|Iywvkr`Cru*czRWgu#JIkcCp|eaB<5uJl9BS03ZU4u0d07);9h7VXbW9 z+dD5C6-CJWJuuJ7sESh@j`r>^e&1Yp2YXHEU4dUJ5RH@EtZ_+ANSl=(Bdjt1aczrTM``r?5ODx(>gSrylKLO0z0 zmi_x<^pwuZBrCiDb}ZhYVM@AsST;)uBXd~W^7Q@wm`+$EraS!Gha8c6Ti@lzIEI(G z%x(=kEQm^uLwDQH@8yQg6plm*7*fXIkU(xf*ZSeY$Prw5r9<)qDd+RY?>@8C{jtRc6GZSX*+(Ob5y|8A`d}7tM3o{`Ww{r){+7gwe8uiorj%^v%q`Ec_w9hW znny7xA=*Ol!1u8B`Qon0NwOuWDx&)#3_{3UspFC_`C`A$(w?RK^qXp4_crc7f4gFP zsv&^}{{SR3WQ8L3J-vT@jw{UZXG!-U+@s-DCc@S>k{H>d|*p0;>ztaF~UPM33jagT^G5LSK3C(a# z@&?S|l~Zjj!BYLm><9cD1*kq-S@x5(ZTNc&clrMSwhh+2stkjCeDb#M!6N~yq=krc zV{`E-QVGBMUj&K3EYhMu{{U|27@eTA0thGZ{{VbPs^@6kqEYbz4cOcAwj|lpqbZFQ zQ~*xvThr6jAK#`Um6As4H#h*c;>~a0rVj^YWCYCU*dH^?JKM0eh5rDyBN_E%kW1yG z5Zi|Bx9@IGA1})i%+(^2l)Ou8a>38uAHV1^6U@>E4d$l(=D_akKYqV6hOzRfqv(q2 zAdtk=g{8_c*XK$myb1r;2FpZ z>4+w#Ngbqjj7Aj$v&IR!lW$L6d*QrGY8RSieVZ){*0HIoV1LR(Ny?r_-6P)LhCg79 zaHx`?y(wi(4h}XLa!1VS!aoZg#E2^TYE3|MqHt&Aj{{S9+@!tOcjKX9Qs*r@*;^N@i z_Wb_Fa6+p(=~cuD@QDdQ&gG6s#~_c3@W3T4j?1OR z8A5#DEzHWR)IQRoWCAbLuVa z{Q&r)t#S;5rZ1?y)(^j}Z(&VAv^IbzjNXOProZAF$Kq>h~%e8NR$ z2uNfqV_;kPo}l6ztGbEP-noAo+=pLu@{WQkHD{>JS1yf3JaubNRaZXS7TN@jGah&? zYmhz}(`zS}GJ}tI`cm)v6v++Iv*wqal95xmOc_Pk4cAlZ2hPLWw|sj|xE4 zZQOl5?cWSCNWx^)<@fIUEIUJ+i;cD^(895p z>|NOknJ4hf@rlu{i~j%)oWrMDn^=8^Qf56#t*DNY8I%|!e72QhsFAlRvpg&V4o!*T z+p%Bti>-P7km$yar_F!#hAyII+Eypfkmt1(pW=;0Mrx;^d1Z=4r=@TEn$nTVN1j;( zfLh}O{{V_rWfdDBt6&O~yhy{7#fTlfO}=>SlBK}bI3|4q@oD@^G>%ldXH97udL2Oe ze@-POIHAo}Xy{`w7~z2nMo{dsKGh{h2y9h=sHEv69Y*Q4T|Be3Jw#c(Vx;lNy9xU) zSuY@wa(wVCY>y9CrRm}BfVkegd?&iCzzr&y}1Dtd)f*^-$ZnJ(LV zfS?bl3IO~t2g#~PFA4=`TNYz)dv^B1?t6yeiY&i${!x@`%<7w3*1*)&)YYG6tD=ge zI)@fuHxM@=4Rw8^aO1cjYi(8ItEs*cdex!?I&&+p(sO7mig;qEidu=*B&UW(Si;W| z-K34wz5P#e1~QL^ypJ==@_O9UHk)-db#)Tb$j`X$fo2W`#~=yRTyb!~@|dzeiB?~q zRcij2$EM`WR-t-Hm%PyB&`CLE6U?Eu$8rD#6p}4+J#o(H9MqvbsMNWK@tM#%pGoFf zZElgyVVgUonk?O+q^C(H-$^Apaw-k{vE^F)*dEyVcaUiLH2C{&s}Q2bsc52=U0Cpa zJA-aJU*6X^k$YQ=Q?bMc+T-N0jZP{J=yN1nW|G})C5KWKyO_f(N>87ysk3opg@ zO~r!t+AM+4VTw4^^vc!^8CAFg=zjO7^u;BXe$c82lCbL38vo>`2KOgA)WUHr5>D)(dUi$A4$?=6c) zWqKAY+d9f~N^JWwsE;tCT44}^wmhLWyUN#y#`#(?aHir*Tw9vbjLA++%Q4&%#h3p8 zZ{x=R91heKwR900%)YN{T{mq6jiJ=`_3iq6u~j{z*zs>>#p_0m&blR`@_LT1^(Rqk zT&JiFUWxt(ozO`eOP7cXG{$_q2)N8X2aVZ?X}M0!wrioa&rdo9Nz{6sXVk32K|@)S zWlA*uV5tmIJtb^Wm1(l!62}+W%`3^e-fd({@fkrQ{CXa?RCFIrXS)8oQodbJokvTR zrf)q%M;pmaJAW)mz+fON>2L_-3@X`IQl|bKRl18Yj=bfOp@N3fg-xJyVS|>|&3smJaRMb?dB@{XAwXyjTsEz|Lr=r;yF?oPApcdO> zaNJg2B0NUwuBk(qXdOkPGd!m^lTkKds-Hj2e$`txV@*bs3lxDMfg>@;0FB1NS&$Yt z2J3hZ(49@rHP)lk8gZqnlP{W%Dt3c2jbr#yp?5~i>KRB=%K8y|Ul5+VWSNC0U-jJ; zaBsCmt8=xg4*Qv9N~ysFi-LCmK6mem`J3Zt*ZaKjPh_7Ixc;CT+LWh?cr4|bwf0C} z+W-mrcKp8_NA)de3rSZQkWCDWDz@Ht2}=tb@OvBj+Xr*VTAHSMX=5ZcOOQ7n_pu}8 z#sp^BFs{myl3K4Vq=1dY6SR#TG`{tEIz=O&8CHx~lxE#a_9A5uloA>fvbC z;CyxT=gZ@`v9-Go!xuKItu^|yH>?NwZ=BVY3VRPd?0=`v6yA=^R+Ffs#MYhB%LY}O zW)etp9LrbI!#!Ip(X`7PtpFZUN@E+0WU7vLTYe^auUo3>>NN?b={{c#c2SsDn%uUx zRw1Z&r!q-WQC1ZU6fB2#!lVl=+QR_Uu-hp0IO zZxB>D^%0N}{y|d_VQ-+t4beWH$E^HV<=ryOG(8S@Dk|!tlPAq{+KjrMZ?n-&Ip0xF z9Fj-3$@2w}?qmpT7_hOm7gRMzNo1hZS>}w%CXwnZsTO>%KWUPZzKxM8>8C>&WtKlW zBW@IlRh5_nij$>%TGd@H>isWVw8S(L*5#jRXg|cDXNE&HEVR-VM06+MnaHyO4&SB= zr*9fdA~1En8{}k{my$EM(rWmBTS&1#h<8l%pGfO8HjC5x6C=|(g&v=-i#Nz}4MS0z zB`UsUHB3RO>LZR>cZrOENQzeb7U+siL8m&`)}EeF(#fJax2W=B9ptuIpHxDPd7adi zR|?*|5o5T54~cDrjt3-weuQM*Qb${OC**%qL5gw>s%E#S5kYaBNFq@OKV|&!*rOx&)Ab)I`d|XC;DNw%gr_ zWV1@ovYNQ5WsYZ>dE^7hxutP^&Ybae46Fz$R@_}xm!l+^kE+EikDhG$Z$OYip z4OdREgkg4V*IpZhJbf+waMZj)af(Z`CkNTjyiG+eI08nvwfXOJbJ(Ar9cG%lR_A(_J#U_BtipWV zDiT<;IjY<30!Zvp_up_TR2%odC%!KTveMQkQIfQpeEmI%WF0f>F1FQlJ_)riRPx$v zwYaX-ZwE2Hc;GUBu ztgoMK7ncQHH6)gh0CpHbU`>l`7dJSCrl{4l`j`H-rPMWW=I=Yob4cn)mvbi8V(M?o zlBeBC9gZ4Bo@aSAMMi6sXI0S8Srf-uRTX2aPO<`B9D{ZYMaVoH5hjee>SGLa;;mc1 ztM~m0_FJa9x8hD6RpKjJ^@l6VbAFrU^wHA8Q510{Pmv95@hl-gQzzOXMK@EqsxEAJ z$C)-o)a_HJbAKJ*`sbHW>a2@6k$=N8DpUfggj9(Ufk-huN5Dm8<&x|t!WaZXDLX=lk`rWtvi*V1?{)A*%H;=6a;trH+9c`G@ zoZ~8*ik#HPB=go9iKB(1c-|l)rMTLqT3|)Csc$pep)=^ zPSsK;okdK@vb059Ga?zPDik`EVpNr|Bnp;H??0%?X>^8VsVk?;C@LOm9I|S+dU|Oj z{{YCz89P+9h3#wl;r6D@^&L{>w0XX9HF9P2D+XSb6Uiz;0J#yS^YAYs!9W~e*A2#~ z#n)6gBdTW&CzA5s30j>0nERto}l|G@PhPy17G_X2J z1y92yGDON57y#c7Hn=ukU!Qa{OZ4OZhsr!ld`}6d+CSD&iF_Lv-BF-uoXs54^NjD21WV2lR zc0aB!lTj6c#=WTXI-GkT+CIH`X6&-vSy(DHxg7fZu)0&v4cbr~3lP9w-|OpzDst*n znn4jV1(RzH--oXw@y8VIq%>a<2;X~s{$IbYJT#RmH{kA$M1oRdWU*ce2Z8PM!c)Wc zupMRvm*QK-4;S^f?~FKVXqdFd`v|crEKj)k{c-sri3=jW@!S*^x7YXSic<5!nPPz^D)C;QH`zq>iZOHIRdAF}L2e`(cenTP19sbaUCi z`(QRczPRdTCD^=`(8(Ds8B)9Mbgk_4McE zj^wANawBL>fZ!9)Z};zxIV5^0o*xL3b0;iG~ z-rRtezwG`Pyh{Nq$C{!}FZYGVrMnA$IFHsx6H3xM1O-7-2(bqI1AnF@btt!CBB>j= z9l5uApV+`k`e4jhMmGX}62RPg9x-Ep8I2lm+ME{q&-abLx3}Sl#%%+-~NKM}#YU8=ji2R;5+G#4dgh~)8v4vysTH*?4O zeprrYC&>V;DBwr%jmMMjFWd3Njb_}-MTrEP+t<^ZdLMh@bD2mI zDFi8I;5&B!`gi)`7pN7*D@z{Wml{{ZYxKVjuX4NJ-9M^f>I7ud0ex8hXe_AIj`xxd)2mXD~phuLOXgwcm$e)6^U z@$%!=-&{s>T&iJr4Y8Q;H(WDX*8Z3?T~a$;<0OH!azOU|Fg~t?v-$C=o1SBC;^g!4 z$DhZxjaCM!t*C`IdACq(cE@r!Htp;Fm>9AnO(U~}Msjzae>@(osD@-N!*rpxfJL_+ z!q)Y;{V*FgrG+Dqgb~QfZUb1arN{bUv3{bG=n0=wQ^`*t@>H?8jDc;(7$;OUHEy%b z>V#p0xxGx5xv&i@oBI7nJ@L7-n$NS-ItFAB0t|tE@4J2joM53U_1{c>m7*wG z7r(q}rLGUqaeg_$J&s+AOct-pb^&57xPnF6!rqtT^~VU+E<;Ae2J^y_J}%cJjz$ub zd}xmnau>5T`5fF~T)CuVGAwALeaeXi{{Sxb{X620NVOyKO)-~hI~R8N)j4lLUqggQOmS3Fo^Io#$`d2AQvr3Ib>>`UKs4=3MOA+x02^eHNu#5%xtVC}i$)V3R z1vX!2CQ6Ve5dnK&xFiren|^l2lhd68(Ym9i877X+b4<#MEQd0vu96t!jwP>^wxDTv zv-x34gXz5c@V-e#3APeF893^#eUxRdkYzfWzx*}WETRC(9I)}U5$tR(FModL0nK$t zF^ME0_*Z1Q+#k!+*X56;eLLybMskfmQK4q(hEbj+RXKotsUj~-#aI_Yy_f>LcH^6l zF;Gq5d&3<*Ydn>@rly%Dk>rYuXK)pPNh}iN62Vn~__?<@M-HMs=(~xRbe3nX){q@J zQJEm~0-v(WAX{63Vc)-}Z^s(DM^(+IzlWA_o_I}2Dm7(PvqRS^o8LU4${DN@kw^YI zBifOC^gbh2<&kK`iJz=EsEi0?y&8pWgs?xcq9Zc|u#mA9 za;0}A$r;C5%b@iC0P#iYrfJg3Dhf=Z4HvB{D=BiA#8lA*qRk|Z0Ez;YScNYn8 z)-g(%O)}IXyrjeShTQ6elA~|}{2unV$YZ)nSz1F0h*-6*THIf-{BPgi(+#O#C<;yX zY>tX_TT0}89n=hbBx@QCRWD0dImz|LtjSY2%9u$K%_OkGVo0L%VhoDR(!52M>Imlg z{ukaDJTm5=!}~Vz4b=?=rZYV5k5T5-@ky$(C@Z6>g=r}sDt)dARu0a{(XtV^mOL9& zonYxMi9Qo@D%uYg8p(B5Wusu1Ad4{8$tGEuLk3c6DyT&?M17Sb+9=heH!>{t_KV5Hw@7@Jo0_oC03W^nzxP*0Xs=F(;qnOc^I5>@^YhZ;}AeoaGD{wJtw z^E%k6AIuccz#$TQhhu&P`2(K+0EozZP-r?5u;tC3z>ug^^0Hb)3IOAeIf(V``D3X( zR^>8oj-NWzZV9ro(b31px7}}*tbMx-OH>_Q6S|(JL6htFG@T}lSTgE*RZ1!UW!8&>x(73&f_J4LrG}4yCPVY2HE?HKT=-gJxG0oB+%RJGkT4;15>(M`s;F*D>pj zH&LJDlSibf@<}S+QAs^rXO~kX##y8SJBAVgvfD?Lmc%sLBaO%1j=1=#rl|01)oz_> z9L5ju{KrUV=TD%e2{Xn14<_|ID>bL{7Vmbl@<;#==afW|G;t>O0I560jjhP^^&RkZ zbyupDSv%xy)ZIb$Mv0NB{{Y7)^7cBFac%bal#oZLByul+(1r6?c_*9Q*2P<~=l#E2 z3Rb%(4mBA+sHl#jG*>3&yA9pA!mvomFY^Ir0>zXIazE1vp>>#)0_c7hB!Yc!j_F~Z zYNexsnWUCkKbIVQ-H&hIrUK;63~DHMMN3zh%^fso+ohFN0=G9k``eG7u*9yKD0HS= zl=MgK74o3A@DG-x+;-&i$OpZF;8E@tdWZMe|HnPeI2a#i8^zDFf)z;*dDH(~HzF-Two$U!?ei3W@XVtwp zw>*M$xt3*=A_(VZpK$X`kGT|bHjl(ypMT8Jx(gh2#7P}ykj*nGO>;+04#ZU{03_IP z>^Z;77AH@+Stw5jgj3c;ImTGYYjW4^;c6eY^-YS2|=hb z$tkI-Yh{)S>6REN4oyC*pStg#5MsmdF57-WmQo20`4}$bcvJ?;qIraSt92- zN>7~TT5gsq*x4%EBV5J}l1)5|REr6cFE9w&IVxl}VId=mjEbkI9u}lpzMyGsJEh^& zd1RWNrh`mYLQ$Mmfky>Ns%5E}0ZHVh5h`2BZ!ogr&uqh6!Pe~^)2z3wx=Jl?mSz6{ zXwGJ+>C|f@xzck_8_!l|c{2c%+bP({BVb)0V}!bi(0W!}o5P1pbF8zeH2R*mOxNW# z!%JzblPsS$$|(@;O7bj^MQLuWX?je9UDE3uwhd2Hrp+yGUj+1};cuPT#M z=G5t!YAmrU(2TDtIm1wz#iTU*?Y6pCFTHYvQc&o73FaE9%`4)%n(COPy3{np&LWE7Tdx zm9Lgg?>5*HeXK^uC6gO?6Q%MP+q8T|!St zW+mAobz$%ckDIdFT#&2^C>V!6nUXmn%IReisUB!MY!EfBewI}{`dbAg%HuJvS(lJv zL20B4E(ssM)O+9w-zeQ!RN+LMuDxcHuGO%z=p2tv!474ZW|^zxamb>-Fs^tSuBFSf z5xi4CHjW3DTh|Z>gJv^`+D|Hq090-Gcb?Ygw*dbD$-z3Ts8+GdXoaaF3%P~BC!YTR zr_7#su(K@7%9+xRnk}&0ZIK1H8xwy|Y)iR2Vvoc2wHU4jS(NitRRyJqV~GF}vN`1X z9y<=-zWrvjj~-Y9I6nn309=b0OIqHxy}vwhBrW6uyN=LF0^It37%phqqbCTl7I)au z#&|ALCHO`An>S(Ku;$ndnG(_03=f>t)<-ktkXgLyg5(QW8<06aQ}ns_z=e-8^7AaF zWRQ7FXNBbB%vJ>43ysPQob&5$3Bgh2>L?@s01ju5IHj3PdlWC)(R*#NznrIU7XD|a z$Halt?NFJj<##4vR&C7R&A-1RpIhLGnVN_^&t!TTPaN_gr&vlBjwV$L%Gk310Cm69 z<%e|n9a`tLK60q@7FNFCK}L*~U`NP|6`<2|<`nUmsfYtFGDxk#{{YTclYR$l4)?(< zt_X6vw#+IWWtv2A%`Rak55XL-!bQ)e zjmH>|)K)|xl_W_+#VWEo5&<@DZVmBE+&7hC(&KQ$$J9o*F(RNvP_D~NKbV1mHxe-* zAAgJHFNRsZT9m38>xA&mFA+pHBZKiN>_x@J$Oo}K@nZCA!uMP{`I1&=-6qkoW{^Q-RYFyQwY>0n zBp+Ty$UNcPI(Fk}9$QnYz_K{Ji+AQ{`ifXLjgf%-+U>>tJ${G&am>sWNRxGnfzAH_ zzi(VibFPZ&T$fbU=-mGRP-IjYr4+^*+FHEfZwr73Rw@ee7!k?;0A4FPJ()pM9SuiN zbbMQ*SMw#*Xi$rh!vSJ$KJR`obrhWnPjr=#Y3jqjlNnG#t*_h*dw*Zu+0P`=D@J}M z=GSjqU-$bsv!BDG)G(2H;nUbROcZX_0NjnBx5FEJKNp}sjuo52^a&cH_>1XDViw4C zM8NwVO*kF>zPH5EOw7%=r`ktVBm!(Um0w?9r?A3utZNp;i{S>sz}nxwF>SYn^_;7( zU%FhoZ^!*q)>W|eSWootVe5oxyey?+GfCo0rZ6h7-F)h>h2!7spDuXg*4M)jX&HG` zkyD7w&aSdCQf}>W&!MsX{y6sQ@E~r>y4UUd=NHCN;GU~g%4oF5h~Ar5)HG$1l8Ub| zsFP;^u~ecY0e(+q2cGy(Gw>m-Y3iiPYP!Rt5>%&I%uboE{{Seq-QWrWb{7Rf0@vfd z3Yn9g@>V?*rmU#y=98m~Da-RIYIR0k99dRtEvahU)F4=e6h-t3B0=kcy$)%6@N(lN%Rj@XgVsKm#?}$x~nY6l6=i+^))ed zSe7K2g1f886u2Y>FJrrI&`4{k{Cs#}()Fp5&D1(v(8!?q`4v??Oe^nq^FSv3?R#8a z*z&T$lIf#k6kgO^j*?gy&@gdgJMJHjJ%;Zd#syd(yV$SS-_!TnkJR2id_HJ8+8QlU zn&%V)Fr-?FDPp6Jra9wdWn_AJWJkZY>-rlJgroi;UL2i-HMxeWFLSlqLuN%tzYBGM zi-Gg??ngN9yUlw9X_r-EM+p9Md;;GPx76SF>-E6ehA?hw7j5^s1G9Tve{5A5hmVg8 zaUHcfi&0sWd5qdCGYY=v)v(r>c2gX;Tb_IWqFi4NAJ9as*PU zIU7MY3PqK__O*vRQ%jT$$e1*f)ckUgNwv+-7;uFeGv`EES#AFS#ec3DR93MqYFARg z5LEH$$IH_WX^hJW^W^{%1llx9^NKt(pfX`J1da6(fuO?TXt+TVz`{r+0EHfGU=4>Du20-@mW+ z$8JPZB3YKz4H8Lau;dO6xb5lw_zRj!@imA9?zm+f3--U~{V+i>DdS}{)sd5HklpQjo8gRn zoJTVXREiKpL4iKp-p8Cob0<`iT5>J{B}*IMlj-^5ahg-X48By7Fi@bVQE*Q;KTJil zdOB~80}xOF1+DkL+YQChNp?c>SAaOBf-!kpe$aWpC-KBXQb!9bgEKG03)z9~er@c1 zzF$mOdA&M8F>wlo^cw>X{9D@*9YL1GP$ZfV5s2EV4$EWn! zo!QOrxL9}kSY!4&smrB?q4ra&1|a-sNgNI@kJw|(!S65NwfzsH(?$aX3Gg!V7>gd= zx&1zPZ&5UmmJ2D~K(XD&BwzRIk7_>AL%9k5S&UdnmLUFK-_YP*XIKFHG_F1&Wo@_b zW9xeh-}A?tjlRtZSeYLi zk!>s(19m(U&!9F31KOSkATzqL;a203^*+D9Od2V-Wm@!+?M*AvWz_;klqx{X#x~@h zZa#Rx^ib4&nmKCjOXMRrsQJd;JbBrkx$S3kA zkK<2=?uJkZPQUgV3DV|)C&TfMo$A>}FYNWNixtseUk`~BYz84)H*g-I@BDy!xl zz#mikbL?=Gbm-xVqQ_%$NU=VbIHH`dl21?vaQr$eTrVD+SbkVm%p1&T_;3+{1mE9* z^Yz1NB0vfxtF&1inaK@*Rk`nPPoKUV&_OLyz=wq=;Vx`%>A|@+zw3)6mnGwLIiPi( zFDh_1G2w^@wyPq@RW{aCXVS z90Gfj7t*;kKB atU)-=#0{ZlCDzBs;1<#1-T~NaqH?arI*6qX`OV>Pjtsc^*1KU z@>XZ6)^5INn>DHPWY`&mWtugJAPRmEom@>(%FO6Ep1Xj?MY26GDZppeSnd)6JS|EW*FyAe-A#dj-r?sYG6b2HJ zqPFHia1}=vwfhfqjW4L^SHq8inm(ZPl7c-|o^@X;smk@wQG@-b{+xnjB5a)$o$ypl z8Mo9p7h9xdkH?L-;RB$)6mtHg?yX|&s^Tmfe0oZVRdSgl) z0ZREUJlDoPsh_9AN=4H>6Bc;7XzBR1)Oj+D&-^oCiC_nJk{m*OuQy?n%O!UU-x2AnKOTTzp={{VxnX{l*5+PbcyX$-Zr=Ax4!&1vg%SryW>Owk1aVr5{`D=MAb zkO8(DY7Yp#PSF{pIUcLcGAbJBxBZrd^Cx+wK{G}s2^?j%F+x}T%so9ZdGs%->!~X< zK89%ytj$ZA{{Yt6g6g8u-_+`WaXI3%oR9Ict|x57TO z$!Eyp&9m&jWz=-gR8l9d@on4uZYtLd|D zmE;*_XFhLF8!at7v@#(CyN!yAGZIu1r9)U;ZkN#OPZYTZywcg1hP?j(A)rWlHK(-Z zeN$OABFhAAl%$nWm6d~(@~iKH=Z~*D=@UgDXvz)t2WZh*-$@K?L z;nq+|muVT=8a$F%rJZW3W~h<~snjeCtR!_InMJw5&WnEyPLAt+HJ#^~rn~AUa49SD zRMTfm={j|7MIL2AL0J%jIpr-2#I@0hjKyP3>G52ZSGD@L#q}3a^xlf-msViNvZ%T( zrm~Gk9$_>QB~=6EZuKiHZmcCk9J23K7a>#BCADXTE}2t7r1aLJq|teddA^s*G8r>0 z!lz49*REwdX>6J(UK!?*c2mYlUcc zdPg~I*DA@iHf5IOIl9QKE2(Mf<%TK2PlWlAsz1ZW#mA;Tk@#iRT@vXvW?!Z?2Zma_ zhLc=%FImv(sB5&<1yyWw%bLSYMq>M1g=vK%`BX0pSs1&UpDg1Eb;CjF*6S{;pHI*( zl*?n)d7s#{%}Pfc*+fRNQj~Hc$z*6$h>DAW<=7rMTT+x`Xk$;7<;hj8B~}xED8~mk{nkz^c=#{JqfQ=MxrlXS~4&!@?$jMa0jYN9u=VHz0-^Qua=<%ur#1lr|6gk@PSYnA6v23ea^E6-a)A*fuw zR%;q+t)kIO%G;8RPSE6oYW_;vNDugB1up1fy1v)H$9_+x`R;y$uox8KB>6*lrwM)* z6&a+NVjQstBFyN2?Eb5*|(_;$oHq{VtPc6F{^X9DDtBt{KLJ+(0;gDnX0o&-ODQgYzwva zs@#8mE$fQKwCx7l8JFchi7uc?o9W!wHqx3qGK);;I!z@_muDJy)>2hv^v=>m^Tjz} z(}z2}#o61ni3G(*iLSMEYf2uBYksL@^w~zF)J;*EW|b6iq>+82GW&F!=isafQs!Nv z!9d%>i(-lzbjbl)jMARM+ey9GvEU!a5+0c8Sesnrtwoq+_0rNR3tDk zh*n7(%~ldIB!yB{Qow~<#e8P;=UeFQ3LiA-{{TvI?29|o+f*YOcOVN}5)QO<=c;tR9c#7S29@cEqMs+qsr5xJp6U8Un9$QTB^2>Y z)iN*hwuxyA$q8W_$$rS?9O=;*hisFhoh+f!I)fnVcCXX=w?ERktyle1muLBK z%CfH`?UA)C^pir;Q~)X9W_f#jLXKRkJe|1CeX1!XA&;*+!N+S4DM( zT#G89>Q!tSin}e-w3X*AnjEF2YRM<4TT?>Dh8U7d2-%DLd#tTVb@NGNdNZzCza-R| z{Z?n0Q)+tU%q!$nLsuc4%S_S6HX|`5imla2Aa=kc+ef1C>~YJL!`x#@)eQQJE0-mr z>jtETj$G|?dWtG+;&|egy(CEzsGwb@Re0lY-NQy0Sb#@*pHa!wY|bp}UiAk*&#O|M zAX@C}G@7b^Cvz}O@`84Tc3?dVAAAa@%k&(t8#>ju#17}BJ%)=!-8vahNkaQ5^wg5Mk}-K45nu&@-C#%n4^O8g zW2dB?C-_wXYla?dpjzO8dy(}6^!k+%P)8?|rsGwj zRYlmbw$Lw$oEa3=ueDwPe5aL~M+gqpVnxpvJ8TE3AP)Ax>2h7D9&iZ;z$hHsoJ})A zUKKh>8Ik04xs0;GUot|%mPV&OQ#!P&0|>}bakv7Y_S{9t7dZDc%f@L%3CpU2q%9+^ z@>K0qjYp>h*xLleF-1)7uOuuXK3Or}aoo2DkVnExTwkyj7vY?lEY)-gEK%M#f%C}c zZs`~c`&_NQr;Gwz8QRYep4AAhK$)JM6?sH?txLxg#?mPmXQv1ZwrrAgDu^W=%1 zIIdNO?YBF3D%^lb7du+kEc2>*?6O&M`r1N(=0CW70{0ln! z6q$@NlEe9%wtzPg@n3=4-rR$Swb@-oUR3$jG6^B2b%uEc(qm>Mt;Zw=Cc%#vxc0c2 zqe+)~NG?d-e%AK+_Qf2mTVCgvu9vXBZC0-%MJP7M+7&1Se`9q zz4^cxW}%leo`W%tMS^Me#$q5Cm=YEFupnQRxFmXm+|6!i_1SbZS)6`kv=OMKs6Ydl z0Sn}@957wWwEg8D4db*UoK`e&+> zNlw!&RQXLzFt6T{NYgU1gWAklM(<0G4b7u9eN*ZuP_wNw)UKoIZ84}L&n8GBrJ&2g z)oIyk=nY&e42%mD5lXSWnBR4cxyJqpuO(68GWdOXo>h@wT>fbpU#@jkaP_lPRn$8d znxYGyOKxgddFQ#mH}%AI^+s0=6!E0h4~|eXJwqDJ52T2bdipq8m{7)PfgO- z>ukv7I$JNRs+glYqNS85@3{6*J! zeDSY*8g#QQ$a+bUW_f;19QB%xs(Sp^M&eJi!%$&Zf%H;9d;b9VUT?*-?_W9xr*rJy zo2ywI07;l-b(K1yC}R}r9O%bW`p=cr=6yZTHJOA|kG4r7nw?m=jilPEB%zs#D-fU(+fLit7d}n=GCW)A z9Fmh#Wt}T_rLU(+scIt5DK?a0b#?+$LXblP-qtqs#7DuW@e9yC7dmCFbOm2aD)kL! zd}9>#kmf5+l!~{W4m^ym2UbPrGH-8-W7KSVXm*_{O)H4SZL zC4C#L;y9H&B&J1T6m0VzMK%QA%TtS^sGUvJStV33(#bF~ z$WweuSex$R!_?S;>FLexD~_6f5^oG1AhN94`a4~z=`&oaL|V;1tSG6e>e@FpT7)$7 z%^a}q+^j(krq@e4u_F&t;M8KHH<}gmDJB6!fR;h=059qY?0LBSaLdS&?DB8JwfdZr zobk0?@HnaJuC{8_rpk43k~y8DqN$^4MU<&hz%WSwCvt6Yt~m^&5q_)cF0|==Gyecl z((6pdqCd0;DM^|*@}F@mSuPF8Htm0jyZTnXH#*~+=(-Ah5u_R8)H#wvGS)>>5ozhz zx5u~}?`s=&AdB|466jBcDm`rmp3VCA;-#rFmeMk2GH03oeN!|QG;L2(Gfb;8F87LB zYJo^nbzoWwx``o{^zr#I=O^qMJ`tK29%&~_=>9MJKiH4!u8ee!4G{*k$$E3AvYPEz zn$%_)=3SOiq%oSSnBGq-m5eZnT&e{?&PW4&j#Tl7rnDYg(->=X#SB!nH2R5BNTj7P zOzI+@IY6-FE10ec+zaps-EDQ$4-Gy9vaL5omFn*wMQd_hA6*>PRdLH9Y5e#XEQ!33 z=wEcQpFInQTQ1dAJ91x%PZ{(bOz_!3&_1H{dXA@6)BTo6`iBgw7o80dmI`sPAyXsy zCLrx0W^XM?w&e?JjGeR_NrFMi;5mPN4OcO09ot;k2O(9=_nO;yGM&J#-6!N6tUPqPqIq>o0Kc+o; z@ZqKBgDBNmv>F?#x^5b%-aN}L&1Q}oI^wKPH1+=gW}V(YjQLBnk1T`obalU4gBc3CeR+n`Nqvn(9I9MXk;% zjaicQYo)r*kEVTe>BeKGv%2h@=6PdOm}aHSY2%y9m_;=_l0;^Sj3!8fZdIs>t!6fq zbib;dM9VsFkkaHj?>eEShbg8=VWQ2Zia3x$gJP^~pdbT<0>E|y1a;=3)SXMosm8L^ znXYL0Ramdf8!*i!sT9))-X|&~Vm>G&nld|DOZNbbtwx(qk`iju_V^dYs>vCo>WD#V zmH}QZj6GEL`F{R*Yf&9*gX9I>ZWZ{s^&el)z6@5xu_=rpLPv6(+d0kvXEZp>`m>*Y*@L?JW$AG zRol1@-lw1U#5YpGy&2s%TwOur3*VD(-yXQCOWe6zX1Q`KzGKS3{{WKW{{Y*LH(-0B zSdKqK_5T1|S#Zj>N2}nJDN?~?;`eJ79_Q!B*V6zqDt)VA5|VqA^!kH|9%#_O1w_#i zZ~;IKu04OR(-G|2c$}G{UN>2Q9l8DeaMT^H2~UdvX01+M&AR0LHt+Ax>xlJzID>gs zaOCm_a7gs}{{XHIS5z!>n1~w#d^cWi_5AP-wt7{0Nt3$|i11GzKHj)s8@eNT%)tSQ zqBFCDi`XeZKWKhG8i8xW*dS4RU=WJ2C#lFQ$6}bh7_WVCl_~I*`)p?SI zSf0cOVuSATZSuW=IAa`A>=cL|V^qSagVRedvw38n#_DnV4(sTo`)sW#jY)H~NW`0Z zb7OzUoPNVwfZYC1pj8!7)vH8j653J=0zEyA`TV_bH!-3Tms4wB;R}Dp_QI}<@YBXV z?{G!9&^RuKhpuTNRmX~5IaFoNls7K^1)+iq(r|72kPv? zUY}>{_PxxxRY*kyd_=_J-#hb+K}#e^(M=SeaM^baq_-9an+|_5jmxeyZLe_UPX!p2PlA{_o(paqqo1Y4g$YkK1dJ)MyIArMwf)8dF1DzSMRV`*rl63cba&*i9E<)#=id=` z)cJ&mbvs()?uEUr?s42Xo@7-@IQYtq&%n1}Kd<{>$tNC3+=(qNrK!{PQ0F;psIx{% zQos@#-|_o6yY#nPdfC*C50_>g7tEu4=OW8iEcZ_eRB0=eO3W&uBFyCP2ZdYObPdnIdPe)w!&N7kDyelAHZ`H$Oh$i{M;2 zo@YF!t1!)Hb@K}pX<4k@hjVeq>48T}h7qKT`Hj9$pgC5${vo=vHOX~ld^$>v@^~3? zM9sC8EJ;Ql_W>lA;Rg2PdJYM;B3*RqwwLhzqxF_aHmu0?S5^9{rvCuKbzJ&)G85(6 zb0(2MlAFv9!fL6ELvdS(!7Y2R-HxNHYKCQ{2-gILupC;}7Crl(PxmUk8&swF)Pwa_n7D2W`M2t$_6czyWVhOL2tI zOJo7{8)7V07@oB(~l9|IvR&a zGO9^wqK-KpsmS=KNR`;7+D4K!P&R^oZcCMCZwRk~ic=ae8^lL# zuVZs-4#0fzc|R|*@l4yjCjKIR9CS@9XL^ID+SZdfk3G+-(oHrfLP;KBox_;3?sis5 z40P#8!<8^G0E^-et2|V66RGtzTCxoZtFjEk{5mmTmgE_o5>gok==aCxLhJ?JB(VWP zsQ_H@pY&f!I*qM$cAU|4T6U_EeN~*pmgLjdQ%M99R7)a8sw8Exv5^Z}M@=`|+OCjFLZPp=9(ZTg=seEgAf7F@AO`a!* zEc%vgk518Kl#fZ&nW@CI^sxwHl0|}?2Ara<=>Y`!Xa@jVRj2;|h6hx#G}X1Q12}tP7)HWVh9F$h=H~2 zZa4z`k&3rZfRVOWnybf$O*OW6N2(|AuRtnja*8~vnn?BDYZ&`IGI?-^NFI6 zfwJ4z5=}k)S-SrKr!x5R{F|g&CZ>vpi8B0#EZZrmtQlTc_IhYd0&b`U;cv0iy9o=k zI+9rNws)sLg_~!&ycs@U)g5P7Tju`&+0jwuxg8@^NO)L~O`0#pNl-3!+zs4uic?p3 zI_oZL;yieM=)Pl}*G)YpQzl(Ssh&C-@ilEE6B>CS4o{mKNWs9kj4vcw7!Ya)h8O~C=eGctw;n-VN6YY8eUX{LC$IWf)U zh9uqjur{#c7bk*9X)^x+7kwv^y+Ns)sd{~4rEmhGoXVTyVd!j4ho!J6#WuJarlQZa zns*}UPeb(1MbmtWJflzLCZTf8JC!w3#rDdLrM#pr+ozD&vo+b=+>%K*9YNu{qUqNP zpAmHZGs_oRR#8VwQJsiBHrai$1pA9F!?4U0NKgeWVQhTI{wf-O#q543A69jau1T^9 zt7J_Hnq^*U<#d!P?JRLe-^r;80gw~DnM)}tvfXOm;=$s6yP>i4J{?aN_>f<+*6UtI zXDu$kf(_t+vTg@-!tPXnNpN2eJwy`ZOCFmf+S>c<`lFZXE|T?wB+qnyTbpD$s){LJ zDb(3qQ%6-2Gbr6pP=fnOQ^K&gAd$-P@sFxn119OWS+#bSr=$>5WZJJYprNKRnI0sk ztd=DOn&rp|Yn$HJIMF}COT(XE^UZq?h-{ZvW*SpT(x0=trvp(SV9ibuhSLB%JhmlU zh{$odbI*v3!!XahN_7UZ2l!;y`QuZli(qKZWjPIDzy{; z&n9J3DUu~;^<3;@R-w(5{DS{8jq@VT6Zy~p{T8+r>E1hW&*k@YFdh# zpNS<;DV8`5uX5#rj&FLdm&k?&jtNlAZ|1P~?dkbj8au#uM{})Znm18&UU8gKXZa4V z$mvCPQ<=w1GCZ=Osvf^Hn|1ui(h7MY3HNtY4cmdX5XRi+X0AzAJ_tWs`U|A`VMnC3 zE{5ti_ED*Njixnroy~KKx*X#~QOQS7s48NXYFaqz zYT%`1NKqCDr>BN0wToyfYTEtmG}lKwH27ZBy*25Ul-6DzsYY$7vOKoCJJoc#wOZ80 zMJ}0-HENZB&LVjYRI5$GZ3OPMthni?9F49KXuY`8Z?dTBpHj4^iImQ=E|F>et!LVo zFs-Jlp0702XES)R%2%92N@=O#vqc+KTsxL^dogw_<*tm^rCI)E(F3Pzx^2`zZhLg;?q0=oqUqThUttsYm2P78wj=x2s(vn|uwRy|wN z&3Tno<(g`?hgbPOYj`yk9bHai=Vekvvc=?VS*hoSWp6Lcl0hQwRbs=X+AFIaE9rip z(G}heC^JfozNzYK>+@|+%N2D;oMegRf*Iq5o)A@0*fTD~DpaUAuzFqL^TP*G`fHSR zH!bNFQA0(U(VCV201$;pj>V9j(jT~4Nme$xkWH^_NvQleczcH=pE=W=CDVDSq=lXe ze5!(;UphdHRuy0f4CQu<@pI3v2<}{&@z+K(+SBg3_bDEn7z&5?DWgbjTsJSJ4FRS_)gsCFd5X5RX*6YdvaHT}3X>adRh|hN zDMGb?W!#Np?eD=O^519Iyb>CHUZPl{`A?EzqB?h}I*VWa1=2pBX8Fc#B{`m^pC`-Z zs+AxNqkAl2*-$f}zhGEj3F|(Bbwj2#^ci8{>FVHlC?n4D*=gEjO`*zfP#mdr z-L#SmEx_C{Z+{QH3Dvp0M`?WfrIp!z9N9cEXPMIvi>plzr()D{i?y?+NTdDP~9hQbU2gen(aoFTT=RS^7>qY2mvjaDtU5V zOD7g0#FppV4eIpsKtNU8q++lVXTR7rK-)`iT zaKnRUR!}ljpv>`9$%{T-EZ2dYN$#@I>y0d2~i+Fd)D{w^29EJ zr!23_g=7-Tv7~Dd7tn$Ddsu!LPbO77j*U#y!H-Fexh@qO1HiviGIh||E=@gD300?L zo`$B3sCinRrmiZO<~Jja>hSxo!EiwvsqVP-^GI~ZN~VnIyR9~=&NU8bx~jbSf#S=W z1g2U@*d3~@B%g5t<7npKVywxvg=UV-&SjEkRWM7is+imFq2Q~7!BRiUc_zbBEFFAbKv&YZY?qSWxQ{4M&O z;`=kyv-B@tWD6!klfhY4OPvYgxSiQmH^z>;frg!0)R)XVZBvRA_qX zr82q<-jS+lQffM>rdt*YvMMND+mghqFc%~i;2P({_lzF5ctp*rv(Axf>Qa{2ws0?83kwiKU(w{{V}PCyWmgG@c%_?+)31mu%jSs$8Qq%Jm&ZS!#%o%CSit=nEr8 zvV1{<1OEWK2mDNE%!f0kp02+xK_WKkU53%TNC^ago1Qu1-&1DRG~>aM;Xi5ho)f8> z)x}GCuVWqS9}rsB4N%&jLsH8wd65UG$tS7U#z7milee?7tGjLF9hT>XAv)XR+o`&) zEaC~Y`K39(O=mNhglp*rLTKqkeuphdwDs zVyS&P;jTY&pVeJ>)%m=)uZl*Fha#t>C`%AjIdILuvX_+EyV$NHTAxu?=6SAGYv*JF zhj*6pTn+A6wSX2ZHXooK{A`YtWPKUx7l)pqWm=MpPs6HmT*{88DW;snXPYjOJf@6C z-Jy<1;~@4%VgCTUsm=XM=(SxHZeg#hbqv*R(X5fg^uTNY#)_96)l?rrV55#OXNSv6 z>&cU(*67sTFH&{a_bEMU5%be8+6iWKumGNH4S+tJ`g`F1orWjP95i4ow5zb(UjG0I z2L7C!NBW;AhgA9Spkx%(=Ip)6MgG2@-v@LxMFnnd^!4n~hs)*iOe_y89`qdg5`ca^ zjj?Fj7{;-=XTi*i4{95c-|L}1FUyGURg?|0+ai+&v9X5Ak@&n?XR zgVQ}hO--S)syV8mCMt50jo@;uSN{Or{+~=1&o$0fkYqB~PhAGtrAk_4M+Kf)o6AK2 zcRQG46?mHJHoBq7X3KItUz$N26U_8fkXAZIt>&@#03zJEHXX_L!H)(V27bak2hA_$vwwAx+Z{O*MGD~JAC~wEa0QKhx)z-yK1mplWd`rh4zu$agN{+&<~;g{{UP@b2(%<CPuZM)3lz58H^HjV}6#p&3}uOr{?vG(@*U=CxM z`85R@S7yPqSa9_uf1&)aM7@p} zW_1E;cV&rNkanK`0N1`EnXI2^R+5iwYCX6frrzfUb0R{tFuN+-oy6GN)La+*l|Z4b1&hP z*p16oGfN`O(<+VM9gY4UUU>Ar8_z1$IS?UH7_bM6@yGV{!j+ONGVO?OU;dabIUhTA z9C~4&+Nt9~ghMsH7G$AGyt~ZvOz@_%vV1!&;h%C!Nqn zp%{_~Zb9eq{4g41rZW_cSg>Qbk=uZ6_+JjQH!xI~p*&92Nj_;DaS#G!%0ibn2h5Y3-+^<;^tJip4(TM` zU&z7nxmJxYnMeAWzkG}Ya2K(;Bqfg=bKkdcFhd~8qR)3DS5nikji1e`kRqW1;1g>L z+QW`X{BV*}C)yPmg7NXXa07bt>InxOO-^a0ERhu;fw+$1{=9ep08DRVmg75hBgCfK zzX>F50o6^wH@N$us>gKm5J3--7lpU)mr zNPNa(vFwqyNTdJ{f0wV%*4?|}yImKIt&0{gZCKJEtU`i)fcEwvQ^Se=^!Md7r9G9^Ljbk_TEo4$;@8JV;gmt=4aNQf2My1s;5%cIOe${9*drgcOLW`G zED$&F2M*dZq~K!c07KVWYr9`zhMljkT7E+mAGz2uWO%v z!<LY)qPd#9(OLY(zE4IXlWJ9BhDqq<1?Ms)!!av#4cr68tTBoFRCN!cdDlsG{{T@k+C6be zORStxX#F#&=qsh9r>RQyc(XbRsm{uH9+h0RF}n{isJaVdD^z%+>y#Ny9z{pgtj;L1 znpo+mQC&c$i4+A<~Tnm%jp5HG|rj_bN zK37I`?Mz-;y6BvOq2!2dxEVDRj4jFa?eoBw;2KcdB!IfBtId_i4OX?)lcbWO%9Kwd zOCkmrW!cS!>z-^b4UZUgr@DgwrpaG1y{@ zG#5(s9=xOKo6w3WOm%fa7^|7Lc`4>D-JcNI!6L)AINx0h{vd33=b6ndorlg^wWTsS&)}luQf1Q8|Z{`*4&M@Ca^LNklZ9AAXKFMU3As|&YRuWr| zI0Ua3_rO0NRQh`(@o%W9>9cycS!gm>dRkZ&)fw`77a&~nNn%O&Kb0qsbZirf-$&8k z7azm}p&du?wbUM)uTyft{y(MjPp}2g;ixqdnt2ssg;lN#vnjB!Bp&?RM?YI}X>`l9K>yDc+$SPrEsLgX(w_4t0aj77B6VJ}~PxCC+sxWJ_OEvCS+ErSoc{*X_pWuR?Ssq*Z%FwE99CXN~EV~v;wvfV>&P;3hY zCyNXd8%Yg2=+!^P6ZnYaeM+FK@ZZs?;H}A)y(Q0e2baAnrHRWVG`tH&tOGQ_0^|il z<$`3!ntLb!{{W5VOwrP(#J3jnP5b+Se@^7z=5c=bi~bf}d+_5?RjemywN{gWO)F;^ zEHizgo)q5X)zh#o3W$`uNUb7(8{!7npq{>cOJ5FIlSO#iMM^kfLdD5DR={^Q-~sLj zt?(?qX6IqF@y4<3gZ#~v{5`*kRbNLYqv(%U>E)==Q&nxt>uG8H$?{fb3|N6}@D^rf zKrXwPm(@)X*8J;F=3QsjdV@q)%U461>delzj-pt|XQrC2X<{XR%1W}5zL&Wd0@wF~ zpW$Jvx`{-({{XKQHM(O?hPbDY2C$&dpUhFU%SI8m4*S0hMrmYNl9xfW`0-CHoPE0(Wz-EdIjWV+ zNpN4^-rv6cxIL^MfNBaHqpVs+O;eajO{e758Cq(X<^)sEm9=yNp=to(pfTTax57sR zVg*$^^=bAq{{UZKpT0GRfm&LfU8M7^KH4`s%o8ThG7UvDWYJNw<_nj} zJwj!3wM?iZifP1h?*yn69Ev)|l56<$cs#>UGjIvfj z$smtl^8WY++cwyr@{#F_K9j~DS+X3fEXwlt%h=^v9UfUjJk+f16g1SSOBI7TP<*(Q z5<$7XBwjEm`jYw1jHT*TE^#h#4vRrsoo4xr6;ft0K4Duc6_yztOi?_NLl`6wkq#6Q zhS?v0&cEvY3zKW@IR;HpPoC1#)KXAIoT#orJaJ17>SqfhZjNZ!7{iM-$pBzkTe!9WS;urC%i(#$b#lbU+l#YJ(q{ZN(Nfxe5+8 z=kVz1$4+{`;YTjW`lF!ojYCTYq_3!^8NC`#wDSJ|DOr@Ty4^zV0N8*o4Y7+e-i_xy zIqD9h(%ObtWvHac8lI@rhT4*fmJB&e@V3%*C&JWFLq{a(4ttnIIwPtV z4zRgiM;th@?a!tuq>eb{q`i%gw7wUv!QeRNm3pI1d^GE?p`W^q*Id`H+M)AfP2_0m z04X${or*1#wDgH#3$z2_F31$~!iEaJ3XB}-ZleDH2Go?*DO_G(U{)?~W3!fvS6d3Khk%AYyX8HQzFK-8+Pm8nfBm1PzlW316K z7Cp*b-x#GlPWYItjcHr1daYF%iUFNY6$>@kixF#*Z|{xyoAl-CygO$)5`Y}jGN{Tk zDthW%vZ^07tE&X^O9C{E5nRhWih;;bcHYAF=SBYj2EPqYO;wg_zQ5{7GkQvB=UNP+ zIvRPDKJ`>%DpV`5WGYJV7#>I~jcrZ^shl3v+<8r=8;W$<4M!If&}_W85e zlw985N>8q;xpZb928*lU$s>9ip18Fy{YtZDk?06f^0pgb59hc3P2~-oDFmj=T!poF ztFpQp9-?Y2lS;u+4qr{EtD?%Isxq{RNR>W%ET(6*|Yf$gTqt16klGfbQLos+l!S z7G;-3m{HS79CKaOYAK!<1e1HCfXZ)v;A7;!@WRh1G}nnItgYT_zv+C+t4z=~a~%ix zzGWCqlmVn+yu4<2wf2DkX5n%4wm!e**&Pl}dXY;-r}I40siXe@3YR&Cc8(!nW-Nzp z&{=QjFUiK14Mr?VYMQDT)DlxbwR`&pG;ENNDn_1RQo~2|NWj3F8orrfxdHMU)}w)fWNmG#dC zF3xjUA!d?cxtN!Kj2k76F z98kup2qAV{w-&wcbN>K1^D63HRIIr+XHp}hjmpIAgsOr`D(X3Cn47R1sk5)dEMwIR zAz<6ULP;Z^i<=+c>4}b#@cFDdfuUPiX>8*m6Fo(AS$0D{Y7;Wd-j1FcnuVo;#Lmea z@sg@{9ozy)1tg_8)KT)^74fZP(^AOe{1HuOm}XSwM@fl^PS*It?0Z}SM+6aKtTR#<=_5H`C43fPiE!S!Z!Ls!*(MWnNe$|{O(iRSebHLz5) zRS&$aD_FydUP9#dK3+J%k9&A0iGJinsdeatMh-6Ym>U54j6 zYOtvE$|`ITlvtQ`|7hOPvufGl#UH8OpkNMvQ-5dLn!+-?zi>K>%z{vj#4Gt^ywozzDj zvxh0oYM{+0CZZEmu{5z4B<*<4^dx=PTaImjedkT;?}IBQvs%}xU({xNF8H&m-z677 z^>@Vkfs-ehHs0-FymlX7#}$8BG={(F)?}Jfsaj7m$}=A+YTB1Dq$uwb7Es{!D|KOU z#lgk@07ZRY@nBNl42^TH9Inf&T!vc(|}Ot{}|o z^`4mN?FMOAO+4C*Nap!XLguDZ=akLfsZ}LH9T;5matLC**bzEyR-aoZ3~i>qMBaT% zow;yUnTBEZu8`yvo@jPj%E-biZrV4bfD!bN^Yi(PEuo~xKab&t8D~)|>DlDWV%)d5SlhP)fqzf0s2E>L#A7*jH10yN$?9oRayhXZ&e7b0KqBYpL$QtWU}w)1_+~|=%=JEt)f77KNN3fVZgZ5? z$5WSPd3>!5)RV@-NaROTb!A`;{{XfpI@|b$_`%munq6JioWijiZs4?Z@P^tfIF2Rf zzBT1P@a*Vid!%`fLptFk_94+Te2Hzg!Hvlyf=7Ni1B?@s_&;YIA95G>(s|qmC9Ke6TDzdD_3n>;L+SlOQoI8_WYkbbBA(LMq7uw%@?wOl#=KhFfbM?`RDKI` z?yF7VV@lTPK85RjLqu|1v8Slh`eLfK6qT~tLg*eOYp^#g2mayePABqePNvXEv~S@j zt?Ff!Vvbs_mS%Cqy8XylVQmwo699pw<+Wnwi?S= z(-MK~!;7`O{{VlU0%w}nRA{P%UaNF>S!rrHsKrv|`QDzEI$C(mtn$fgLW7&L*d>W# z1^@(~jQQU8*iY^N89g9hP)< zZ%{`Ao)5SD`(hhaS@wF8DipA0ur~Y0oBDd%_?+jvCP`6aR@_aC4&Cty&tqmyzF1wO zcIEW%+TNer(*%n;m?tx8dgfCccL4H9y}0Ca^R@!Y8c4|ryeJ`oKMlU0JQA7}9~?}| z6mI*y{{Z6xbEb+ogw*4G+ps+I>x-t;jsj-!35z5$4)+R21LgbqU=*>`M!`0**tTTb z$7}KLgB6iGgE=4+zj0yf{qQe2kyaHAR8*DRQuevG7%J||t`HA8b`lDLq$o|NXyg%p zr#_#aBUziZIC<411qH8rf-ZTt>x21)eK47Wx{_=zO^?(1Vn3YG$Z8r$YAtpw2;?`l zt^4NGMD7i4J25`I{$Ag5%6R zug|UU1305*n6yEfAb#i(iY%3N1?4yu-`~5Nd2U?5~;eq`PyCO3J#niovIkmyP_yhfT!#QS&Aq;8u z61D_fSbWFeexBUnSyZ4h2`|7PT=U0&t{T)gpG3voc;J9Lk6Yu;E>*6L){_`!9#mvF zPlPcAw)`jNdw#|TiiM55@}Y0=p<({M_<2;)R49vRYhL0=`-=m9{{UPM&0~$w5xs1q za#$Z<*})-YT4)QN&m~Px+g8YQ7Zg0MPOR$0sE)l6hDayp;1*cv}!idsZxU0w&U-6+St+2QqIpe zK^FD{@gJ5HR%py=Qz0?|WV+th{ft^yWF*nj z?vR*eg6as~3fp+@ZZBhnVV<6&E=p*l^4t|0!rX)EPoeL7-_z@k_Zn%8Aya#RSy9L; z*E?^1-%oGH9!YnUd`?zW1r@yr1RmT2!Q}g5dkT{q(?uGQ6-+L??v0QsH!bWvKDd?X zo|APaS!tThaisJXZC#PjhWl=9PnOFh6y;R2Z*ZklfC)a|ECPZNvNuHC&6z+Ky@l>M z_a86q^bh!KS9K#qlL7Lu#Rt$D;Eewl-4PqEpF8;zprZnsZXBMa*7WGw!vBTZ~CHe}xYb zdK0Gc^=-G+bj|;X;nbzhB=4wi+}7!xU(=El^xg&YaG{J^d6^3<(@0Q^_MO( zKS62wdlraTFPg`me}>IO`{ND8GNkW6m>7Zv(eZFwb!Sm%o}PFn>NZQNI_amiR;$;e zD32%9IZmpQzcbCDj;1MmiRold`#7SCG7ao*ExP+arpTvZ5@r^O7M>vfOS=#WYx0NZ z_~4$5qpYsgnO0jxB_h;KUlO6NU?4_j02vA3CnEQ^7v}?GNa2_xFPIrE^Wg9s*Ru3#lO)Yhf9d{9 zr=pUwLhLuvWou7Rr4?;*?o~i0`!`<4f#zIxIf62mNX)e!4!Vueey(LdV_EaaC@SNc zuQI2m2}`k|SyaUA+oTp|7qI0xBpxkZuQ16oe3vH8s$+^uEhCV_Do?drdgRoBf%QF) z(&x4m*B&o2E~aYir$F?_P|?=Y>MZ$Y%&5juB{-mZSp1q|rG=b4O(3u&Ev|2MIE8gz zPjwGp^?>ywOVJv-38?6!MxAZ;H-d%=d7x!~K_bY$x8t?J0#b3sUs1&dJyoc)M4K$5k_Zjro~4^;jauQk z_2T?`_Qmf{q4f*QzGZ?728(I2TXJkg`+uejQ{<7;M?C-%LG8W`PHPM-%D^nMfIC~% zjxAw;OOdqNRD009GnM}U)tOwG4rr4uPnc4|@Tj)(BpZnT0Nu+U>Fte$mwydDnss}` z?xyP(RI{9)Jjv@bOty-rDyhkv&_q5UVM(Va%5 z)VYsUvQd`NSHn=$ljkr%@&u4R%d;KVu>{!Ix62YOQR4Hiy*2AreNCpigFdgyBdLwD z=ATeb^o=7?By$@^;7&t3i;_j-1C`;1#3E?aFe&`PX8m~9$Cxl#& zAk`TLN#(<+L|bQ)r7B@jGPr%r2xj50Ywfj%KOCZ@ma=5a^7MHmAw*$UxF@l{Yj(fN z_|@G|@u}iNs+}>-`b(P8Y1lFh?toG?95Kq@WsPN7M#ChG!LFcPiyIz&#Tyn;O{22= zU1Y4OTYz{FkBZ#-i;j5a{eTxEl;Zji;o3b;)~CyFk8n(|Q0UrUCXvMXgtqe}jQ!jE z{S*=Z0M~asTK;KFhPyMW8O2R&#Z3f)NhXbmXOJDlU(?*5PJKuiA(81d)b(vuT~x^q z{{Z`$-R?>6V0afGe1)!kENm`~^9ntw6X8EpnUfQ{sn zxq-%Z>hF(!g!oI+RecliSE2HO6%Uw$IEaa8maH|MkwwjEj1zfXwr?N(Fai!X$+f3k zx`Wi=)LIP=gf%odubILmazwN(-iIR)_LT|AvMX~&mAqWUOgST%7k?V~8OO)rm zGk3wX+IYp{$&Fg~Plxpy(CJE2#y>bcmy*lx?ee|<06b`K2w7b&ml=k8MWAv$K{jhu zl<8PkJFk)#kY?{KEVQ)3Fg8szB3sT#@}iDg2Hr+9)ireRw!#l2UAFEv^aB08&M!X; z+QX|^OgYPR?^EcEx+1hQyt>x5ju91PUTLPOru#wSc(+Vdmiu?yjz$;9DaSp&k434$ zrTe2$icjhi@ne_dIW2CM$uw;aQ67z=$Q~UlHc^?)Sd}q-x}?=e^31BnPqlgAfV*rI zfV!&DdICp zY7HZ$dV1O3y~%T^8%#|{L;eLkQ_<5!OIcAC*yWFj3EEml?j$Y=APZI5EU=8lk%QARUe@}c5Q6};>JE!t9xn#hG~wlb<<6CGeFOv^vw!pF|^e>gw(|o zhmU-dA*OL8V227;Qc~(fia9E5j)&6yeAd1evK+Idc@|?(nO?liTR+KY=UApDN?f_A zrFhwuq)Vkjh8!s*cP82UE!6p@S2x=-oYHDpP1ICDD@OJ_u)3Rz`rw0WU0FOHT~4yh znOrGk`+STD?a1AQcevf2hAS*I(Zz|b9_6`TG}l(Xcs`J19W2wjm!*1FP56z=vh4o= zJIeCVvdx&xrmg^Fc8&u&zVfl7tbc!>ED}k%GEE_*dN-sl+J zIg(bfC%^X{UJVX{wa0k;oW^R#RdMkUR?($1g(#Y~k zT1iVC%e=X#1QI=PmU&9Z$zst!t( z(PWkN3XcVJur}{7B+*;$upz8eCgLm~6CNq5GY*yHy+8i|=BTFXUVTf{8FQF57G0zu z%9k$6mWRoXg@kdU%Og}DKYFKNRNBf8KwQqModOx$t1%I+&4QkI_U&`ewmcb=#?LJ} zl?v#l{rKSb`QglZ)}uyNr%mCy&m70%nuU2ITvTb*rOS(&UanG-Pm4^u4~X4-^sz@S ze8C}OxWvpDDHc0HxVgW*!0dR$KUwSivs7jB&6wrUu4huo_KK)h!cw;i;Cd6uE6*%> zHWz{n?W0dO&XIv6u(=!+_a9&1sudZewJ4|&_ix+^_a5E5j(=Q3)p19n$>O>g<9#l94GN`C%<#5sbN-el{JYvG>&xr~8 zN7AZHi%jV3inmfx>YU#*%d^bmD>W13=~Y3N(?;}-y+X)do@Q<8%kV^Yk~psO31@nr zDm|(ckXV}z-G%*lCk+Nqnr4#yo{E-vWQ~Ft)foAHpnR`#d;T5qY*TTSqr~f6a;D_| zrRAkOMf5X8b(cwai;6vACV!R1ltrB7CWeh@*(vgGVBA)LK)+^(LyVrq231sj`V6&Z34&s+y6M)=UB|F!+Ogo&c+2<(Bt1u&MKSYjde! zl3F*73KXYg1eq;jK)-YG4&AN?Y+79e>CU6ko*?q9x2ZZWJj)#VOc9_iTGVJ%m{W?~`Q1I4M=UTTqnc;OLFksbVkVm!fq%?jQ%;bc^EPO0LOV|H)HHCd;0N;Z&l=)Pgd#dw!b~ol-V6ZYE086u6&&x zlg)~bDPk_8ac}0E5--Ki0C8g0hy12@a>JK8vu;$~^_>a-hzRxkm`xEe<;QIXk0DR$z?!HI#&g^v*o^cad0*>Rg z`R()e?dgO1X1h1Y^Gt(NWdx-8c52lSJbc~Jp>fT=UA}mZ!X$f`SY3c^inbtIxcsm9 zV76VD)l<_^Q~80?D(M>Cz9OmG-%j1TeDD<}rFLmzoMQ52{J-V-#Oy-&@U<_e* zttuNYd*6%u56_%+QT#zVtRku~($lb)l@dTdlsV-rUAvsQems6DrcG@f0-_yOV{mAviLPeQw79h$#cM1)^5r&zpra1hHYBFR| zypTv1Ie$EFBsc>$JdeC*odu6kC?2_5qDWs>~=d? z1{VhXhriS1iH@#PViQJGlI22{<-3q?`TT(+5XXX9>SK---Mx={+T-{C03GqkDxr=3 zlGUYRw9vGHY}WXcST`T-`|Ms!Y0B+|%W$GYVh0_t+LlV9{od^Tz9yDX__ z;GRmjBv_;%7FznZLT-6&vVOc{LP^#QZ%YF_QoTc3;Wyl<+B@;bKR@)xW7RB4#=0@` zx|#Kkr>Gqw&LR6XUa`n35rMK#T?tYMDn*#vkVrowaq~y5{U^veJJy{~(#;83PWpc? ztV+!{Pe&>ksVFK}%t}=V0;F)s=Rq0|yA&~xd_X8VyRI{OFe~P0R7U2q;olC1b^GrI-Yy?s6YLV5b+$*)oA3amCF6s_88D+anz)r z43$(xRTLs=k%Ua5z2j0nSU1L^ACc>^Q%vKalUSq4Zk7dm091f> z+mHAdVluvgKFF0=r!y9E-10w^P4Ca4w{mg&4(syz!BOzjJ*~%<4YB(bYHjiTKi~}; zM>@-D9Ya76HLeZ#zsz3|y7=lc_jo0Yu{QX?Aa?nBVo_G^(*~ZWa_t|?QE*462Mlvs zN0k+4V%xhB$OiqdZ{O>TsHM@kh~cVZQX-aLx-3h5;CaIg-lg_QG)it)fGuK2r{Qmw zALleOQYP!~LJrkY-{tif6Ihcs!bk$ZH#gnw+w=Tz#x$&!4z6gKjQfJGcJ#0#^urp0 ziW6jZQUGGa8{GSP_QSf``Wgf^6|MkKej~vH)4!#$7RsVR+iqHdY2NjARQcJ$}*_QTpZxN0q< z&iLYa^ze*3QcwDoM9fw(1ViF)i0&37cE3L`ZGU`vXJ{;AVfS}!0FVeht~-Bw{V-NN zCstyTYMO{tF|ZFO1l!Q|H#hy?3@S2Owaf(~RV?t3S~+4Jy~j57{rl}fs}l0%LR6J- z#7HW|fZA7Y!`PF1bN-*v#Vm*`RK|{O*03b-ZftqKJ-s{Q%9;u3sf3MN8>d;d(tSSD40zl0jlYkVtK{5994@UvXuL{0h|lo;rrzFk|K$x{+c<&8|;AxaFy2 zlt$9Ci^x?OSo|OWYzXh%Uj=i%ljnL`Xa;=@xoT9>nW;Y6NhE%BC7D&!vVc^Sdl7MQ zZR(i43{EtB7xvZ@oMA_?2=GTS3`5}%pXOcAmcRt;qx~=bUDx|v! z9B$~R7AohGJ@TYivmhyEam9}A4{m#U9rBd7lW4lyG}q$MLc+@yakuVHdCoPHm?CFK(>PIKdWl6OQZO&*J_lW`oD z_==I+*Vo?{E{^d((VYR&>AERH<11FSOmg|CBdf`}b4-T!tGS$jF7I=5Bt<1WC)7?Ll&T>wO#}2R4RX2BsIH}5EtD(%09m=9j@*`W2 z@orJeV!!c`6%MfJ&xkyiH0W-X$!I!#tTM`d3!LTJSWs074rr8o$`#p z-dfZ7YT<#fds^gM>GS#eVr9@>Sx?ixu<2cKTbRi~Pm^bqmGV`?2y+s{GRR7yATjuO zQa~qz-+;P~@$HoOw66==_~1#p7LO-xhCXeCQ;lH$o5jNFb^9Bek$? zb&jiuiX695=17Ihlxq0`mL!|lA6t%nZ-Fr+vsI)|DU36VlW;rpf7kQD%J?$9;pUA% zMf=dVVS4~=_~1_?mlisqg?5HRI?U%=S7vn*uso zt|EJuXR}kg7$q?k0IQ%J-1hdrH~fBBEB^pk=XB1&b1IFjIAype+uV)^rW?`fN?JuF za&UL3xC)}p^7wi6_BeYEwy9`JQRI*=+lw(Ei(9Z?>GZ@qqS46xk;OiLscNMY{{V{C zDQhX)JNtZ(zaNj!53<~^InFB?r)iQE0cDnPb8nUVn_v3e3~>gu&7|0BDWFDF+ATAd zZuTSM0QU958HTdWt7%0n5~zqBo1;<~^Y?xteed|-5>37aVUr?{JOncw>YWs2S$Slp zOWw(EF#8SxH?{tMm8YEn&veI2`iG}FjnrI#=9C#$c}ZPWlN4DEOc6|uV<{)X#e$Jz z#g8M~Yoxm$2Q1u?$f53j^K+zcb)yZD^t-WK|~ zUD6D%q-Qs+%QE_TYAb51zRwtvWm%O~Rau&;pybZjnk4du;}tv2Q-;Zp6~^$cO=W@nyPy3 ztUK{~Wxqb%xW~^t-%{o|wxX@i^9o0bYPz|ns*S+}NY2bfnA{td@AJl!oA}H4imRO_ z%d{SliITO-z;bQU`f!%+gCLTy88_k_CrzgtZM$soAsP z*m7xHt_nYsY_+2Bxz@O`@cTLN@znh* zrzF%Bxpr4m1uQVNL^*b$p{bb_rbrdMs3vx|n<6d1?;`Ry0A?L^t*Ee%7H zWb|5dFlw6G`Bys1DyOKNh}J#t9$xLkp2Fm_6NTP5@2AJq+Q+TPqLQ!3sI`Yj`tzsY zrph&bfzP0WEz0U@sbZ~(_EOTrFf|e=Cd#WU=v;B}Or(#9UyUWuE~@7hIYoUAnm$H- z{{U}5(^W>Lo=9G3?z^`lv`|Xw%m5*K@-J)aFBV-0)l+I`$~3NblYfp;>o{i=s+AvX zs?DaOFjcclAS!&SWZxC`p@|hf8wC}i{7>m}jVxg=84;8SmXhW`K%)%iA|MLnyu-hZMsO>U6RVuV#t%2K4m zRI?`iw<;boz>#|qehtCoaK?(L#)r->So6poyIc3~i4RwLg+n@XyMu^f;sjO@|dBh={g9E^XvWa8))0p#xi`5=_uKYNTNmxH_Gl!h`%I}+m`R{an5I{De~gfut*CF zZDk$4Uch|tD>&71Ejwkf1z40=@yic??`#E^?a2bw09ja#t#0HG%zZHq%IiF97Lj>) z9lv%OIm=5_Djo%xGTE6(<*=9GW6JmrjxO^R&*C^z@H?fCi#wo?OC zOg<#BU|B&H1pNO1KhF}VB&MG$tAHR`Ba$`@Uc$ufvh&X*k_Gq|^f+54M-w|u;!>|O zBO6rL&h6#93y^;I7GOxXe{g$_EskTZWsq-?oo+*dI5z$MpN08A+o%F&2W17Jn=eb7Eb>5gh>U09-T;0KaFulB`$ z`md5-jHTNeR>9{;WoPWx=LlVV6bet(t>Pfb@tmHz;Ulus{s zRVVz$*ZLa|?eBn@gVj~i489;48gDVwUiY=WmbL!?JYE{LHE7Dzc#Lws8ODs!dFN6! zEE+3IPfjyB#g%9UPGl*TX_hB+h)CoryOju+AT*4E;`hd%)cthVY2vf1G+8%Nq@Gf1!_Bon}BF!MkVr$08cKNAS6sWAHzX00U%rx08qTNveUVXUw;Y`M}?CzBLd>gkZ z0LCoLEzQXMZ}{PeYt%T8EWp-fd3a3LdMB&i9dx#u(7iLvO&oc4L#4Fdu*|b5t5mH% zcS)Q<_Q;YmADU`fooY)E?xXkC8vF2=vEWj-2%qPxTA@ zF>2(~`Nb|__9-UXCGw?L+E@d$Hrrmt*S(H3F>4&+l$Yva%LlIPOFHR3s__fc{XUut zR_XXEbk<8P24|D!x$IedzZG>|Qq{xf6B}z6UJ|}YxIa8@=GF>fO z6=ZoPn96c$ti~7J9d$(&3^el2t;N-zBeeejnL?f~iwFMzQ9M6o)bZ0n;iegUqzfO~ z^^J4cZEkil-|9y{JK`m*I;YZw-nlo2Oq!isa3;+wBs_OFGIsfXI8qv1c=9}oe0d&%`S z=J<)466%3g!{knR3`#DH>!}Sll^l@EyPVA?<_d-aHe#z9_qsmjt`4 z^X5yZ^L)Ot7m^6&rmxHD#YvfD^D2(uE&-3r^Ma}yl~x{vUbS8Cd1jOX65*~#r*8iJ z{-2xNn>5WcJvFK5b1crLbZnt2NuFZgAKR%$;#l`Rt_Aq~f!0;Ttr?|-*75C_NZd1m zdE>VPTziiG%;e0cD?#vj2g@QPYAL`%2qY8RfnoCQe>^#FIjBC}36o%|C|GtzXqbb? z%o|_(U{yU@%_hk2!6lyk{{Z-T^u7&cs;iN@d1P;|r!npuMT$xf?0QF-IRSu60m$vge%NYanm`0> zU*OtF9lHyD?cW=^X*QAJHEl;EzcC0X>T2A9W@ZM~PrYwKLGS*bh6w3?pX#k`nGBmq zY242?u8@^lT(*Kq<{w0jN#K0Faj!pyukgFf{9VGGQ|hPN@@)l6S>;6Z>|&|Tq)r|< z8Hd9dKMP7OK?PUh1h3Yvl5{7eT?f+?`ctI311iX=DUd1(8c?!1ALe-t+D7ei#GGs4 z#VIR1-mklgr-a zX-zG3C9f)OR%lzDpc17;@JFXhl=(36_~r>^P?rt=02X{CFi9w9e}&)gMpRpl;@$rM ze})=X`IGRM8(7^(2HxL4Y-&&9CHy&JCJHTa~!A{{VmKfmG9`Y{M*P%IJY>idkZ$^6zbrbGTTw z__uyP-w>+VKz%OgozT0Kz>S+ZR1nxAEj$7AF%_B)S!YCMlH^WwkJ__#51zgXW=<}u|eC59p8`GrpI{`_|NTO4S-!XwnYmo-vJsL8A7uD=NQ zW`=to*Vot6*Y>q&#Brj9V6_T4TLwP2zaKBx4Dw3Y*IelKnjU1%>uFhblfj^tQ_7LR zF$;QJ4}1Zw@tXet#$Uo@=_bDQAI!I(Wk~YcGL2)~x%yycXAp^ZJ8mP375q=v1sKGK zW4m0~A>VJqkCp`2%TMPrO)b<7p-4ABKc5)$tx`Q=cOoIFDI=g@O4SaqH{;_<36mYPX*o zHHZL)Qa6kC{{W8I9Xr7DA3Ee;mA49@srz0w&wDo!!l^Z>RUfcQ`XL-4GLSY|FzQZ-1Bi;L&N4pRgM_rH-R=2RlJK zKwwm`J-_3O1kG~MPCzWJbHbJ24}2M@ca2PP1!LUpH?{tr+~78EQ#7OolpUt~ZSev5 z{_TS*Xy)vV=8`}sVKN}{`7Van_wV(<8v1&9&`lQ9b{9zQd!MJwepox2$g)egC4g_c ze@~tPXHEYAW<@PF@i#sA=LM>v6SEo zp{cCOx@}pQW%Yhr#$pWQk+d0Nk7Hs2XSD_Atv^}>UsY7(|#>v zX6a2$KN}51CQIMqK4OqPy*GXX8A3}ch#^HP-w+BIbNjI5KC6m z&8uZ?`He%~)6YsLQ?Uo0zJ<^ zujTW{gvT6z6s3j0Qp9uf{=NONq|#z84o{IQ)W7|?lwJAWEyabc^z;WEM@;N@N@P}R zg?+$TkEs6s`0i-UGOuL6UzSW)){2Y?(A$+tcE_P#FdapE(sxpteO>!yR$@yVsLYOk`(msG-=vdW#M z%`p}Q*KPK=^ik`C^-#^58;lsvC5A0uWtY|e01WywRMTeKOG?Wm*HP0Ep^iHE zBMw3dMLO&^jE%}JyN}2m0qE!O{mY@&v{C9UW-DTrsc!_78)IW&Rhf$rK;ZWLaY}0s z7aefbw2(Afva2hCDQ@D1qiJhg4~xHVPCK6c@fKdTb#AXSk1}4SXFgsbg{7N&5~;INML$+&xz5?PpIbDtdFMXM%j9g&r)~Wl$;Pfuc7S zBa1HL2;_0{z#fF;RoSP6-5sU$eSCE3UE)_Orpa{;eZ;ich@<{XtajUPiAf+I{Dnz8 zh0oegshvja&bP^WS*!Y+O_b1QnVmf)er-``qNi4oL1`FvP)IzBa4*It-Zi>|nRM4y zQTS8P`cA(|Ho=hu^i8uPFHWa^$=a_*t^S5W7arbU1dH?e;DG~XSh$*SSdV_U4N{(*bhd&}eTw1+l@P*d? zh3UhuwT6X~mm_NEDH^2J!6U~s(a9ue=^L|)tTLVC1v@Q!kPTraJZ$RDfs}=2klcFl zZ(w`=BOS*~(!-L~ia|~GnzU7zd`n_Mxd8V#KH3SkB!6oXBUly)cMrYjJJ_4w=k>t6 ztIm?XDCp%2A$8bxn6?ub~Us8u)khSBpp+ktU_Y_5X5 z(oU@6lS0)48XD9Wa7PS28+Pn1f^-@lm1k&|JBiJ>jhHFj{{X{t-=D}F3#QXKx$XzC&e@yd+Kz^gUEQ*c4~;#%T{G3IIZ zIfe}nAtrk1L0bj@w>^&DQ@N{{YItc<0~W*8Q1=^Y#4kH}K)B^bUaPhC$U%r)99`xlUD^$2Mb;yb(%k66130 zknL}KFx$n6#K!j~f;YP!(s}$vwKhSnd}nKINvAbdUz8 z^4NS!J{U(FF?6ezV45D8bv~_Rk1y$-qLg#E$CA!?0_CnyTzC0?7#XGNsbS1zY|e9M zg#DLedXdGyvF&U7jC~h_$M20C^_=N109uSq9DC`_Y*p-owSoRZZUWb^H{-W#eCy6< zpEA!Xvx@3)lhjnnEYZgajU#3xvV=D)VtZeX*aloN!jg-#M@_8DsBPqmUz4!4JzW(| z3WFz`I}>#c*>2vQiR^Fl^uw5{B&89=R^Ud8#IMVbuWSUSlJcYxi>VhX4{mG;_2;%O zuL*q~>33W;vvlKH=UR@EzN;^ie4RA)EHasz8hNLcAI!NzQXmioV$9YgSOT9jp42+? zEO6Y)@)HbELeN!$(uFea94*f_?Q4H*9LUy)A!T8>H$QI}Otw3@1(2>*;B!G8klqpsZyS^DM?BO*y`}dPNgTq`Wa@G z)z#LbV--L)RBm|ST#uhVzL+VL!%I9dJ7zGJC4V-qRUu(-ZrZ3 z*L^OTPeo5k)TJPas-zboX`?Q{TytPM4^xHHMs*iFjLVdC{{TFeG;2G-l}^jEzoHSv z!M~_D*jitro+WjrO-ZUW{{V~%`DiO*^C_mGtDFs{_XSj@)&}5$E(rF)s$UEJW||dj z)5TV*c4KGqD{6qZ%lZBL-;Qu)rD`|J{XgW*@h`QSSmk(LSi-n#)qk&~!d<0v{XO zqJ9qi9(2#A*-!m@;qO4|%qH zn;(Fi0c<&@(v4J$@UsEg7BlgGpy&GHd*Lsq+Ha{nSkk&jS4kpe`KEtGMO;!{hs%;> zjlg0)7AnL7I}!-Sug$zDXq4z~f7Cdd`fFF5 zPftge)g&!CmJ&`%q5*#70!O#5KUHXo>I~weEw84iifU$(DyXJ}tH$WXT^UFPNMs;` z$SQca#=X`a2eqDt(zy<@%`zv7JoJia-b#p=dG3tpES!K*Wbc3cWRvj#1o)fq*P;4D zndf;bb%tEmKZ1CYyD6#2DoHZAR+WuoFh?H|a5mq73vuyzXn)iVPHfB0grf(xn~Pk@ zHy_eTHlIx^vlmPD=30!qW}JP!N}ufhOns>`N#ODxrj}U5$|Qjh+!W+B*SKR~Z-446 zAu{NqMV_Lam6VaNcQ^O<#gVDKZ8HO`%&V-oBDl_&=!bLf3}z-`i`W#UuZ-AeI$@-X_b zU5J@wBm;B-cpc9;O2o4*?m_31^|n1W2N*$cXhS1VpgnypZ<81f<~xF_ zT<}2u0CWEU*&m)6ymG|mc>n~9a(kQ*uZpHSZVnYm=EPt2a5a`mSdb0X{XMz;IsGxC zk19)+cGki9l=H;9+B62%``+gPGeaFs0~PqD7Aoa!$0PkQBCE^xv8Sww0d%-0)LYX4 z^DL%mS(2TKoBikDe(nDN7`FFUd=&E*KXSXyDdlS!C*$Wmo+}7g!dstv4T@4eIr;c?4jiq0?{5^iSE-br{(v)0NM>-;c zH>A`?S1cvduFD#m8?5lje*S?l7P=N=M*|-~v{J#!PB{@cElqQSL z=v+BzX(BNdU%1Ov3GRD*F5jPgC!Tp=)h;c6Qn_U$k6t7KIRJCIB|FprTi_Ps@WqAU zL!mjZiH{MwU!r+@(^IZ_nJQLQK^SJHqCnErP0t?;5y-$E>;m^A5Gv)4M21`O_E?x~ zQZ4+o^#1^CZ%^P4ps4fSz3X13v;l^n(h)J+MZ^uJB?c2(2u7nDaqlw`SlG&yA)Lx^CFLaO%U`jh@*OCCu$Bj?37 z^omttmkglxHue4b;J00 zXG3LPC}?`=KDW*^Hba-`-1?3vH6B|{pGi>5Q4n=X*tbNWKGKo8SZ`DY`;=SLV@jzX zIn-*Kl_dWFW>+0a&>Fu`Wtm4&^xl1uWbpL=0I1r^yZlo<%%rD?%-WK2w2iO}Ln0v$ zChLuW0r6Z(`YWI3{v^7=ko6~0^(RNV=(wt;qtp6JF=~gBT9{2cPcunoC=uK))lqij zu@(qx{TZqAeLR`|pV6(U;L_b{&NDpQNeSad%p#Jet%E0<@H570R#lD2R`(d0bqA!C zbM?=sT9>DtKcS#pqNc8^In-2&kUVsT28--0v4CU}yo&tYN0G&kB3yYeVUH)?H?FQW zx#zBbLUGpKj_3~%9V^cIG1UC4?Rh4BMAY?4fO(L&cT_ZS`O;JjS95etrt$_`4K3=!y zwg}4%Yb2E(Y=y7LHY9L3UvBsHzxb-L7z8h(rhSGq3{{UPHl9D-Yk|MDL*q`$J$Km_7 z9@f;ALFKZ#o)iIV50}3j;gt0#M)5b7E$%%p`u#qb^KGV&Zv@o20O}~?r&ol)!oZ7L z?{oUxVg;*d$(f{%JH{A|gj@3Of8W;=olR+&RLvn~0FAM=?rq!-F%Q(!rT0^DZb?7o zJ+JTo03NulvdvKrt5437V=_bZ&pS`_DZ)_Gc`8XAtA@fu`7e3_J-=FXOun#f{t!E`zErR#C{r-56 zR>USkSqU4r5E%X)`TZ|*fHn0XRIDxVv)ne~c;xf_Kdvui(<7OJ6au6&uy4P9Z^i6L z{r>=9xs-K5eCUxmYnHzNSc`MTzIc+=Mvw4Q#y$~XZRy(p=eoC+;FUaW{{Sm{{XgFf zK~V*JHmt~NbtJheR3NAwqhbR;e#4G9KaUtoIRG7qY`|W~d-@*#0D;F@mrb&$^^w)I z=0Q3*AuVKYmHv1Aeuo_An<6abiWB#@UgV$ahak8CAO!Jd5im&Ugn%y$K>qmshS{Un znyb^*LlWDCkwH8XJ;(IN>|XKvpnK7%vjnN6UBG#YeSN>%{czDMX_Z-Gl~2dc?@#Sv zgA+U<{Id{vmOC;JPI&$N{_BFGJgEx7T2Lu?PMo-i7Ed3KV!PtOL2GUS;jw5q&Z z$vX70=*2K(HL(vcs5@=V>~GwQd)S<1$ia+mu}l5-us)w(kowyiTg8QBqoqY=mgMFU z-+^}Fi2m5j)ekDNIb_=D_oPzAPd)9}aBcMV$D{D})-ArqHmUmPN#zQqsiSMTD<0Lg z`1$aCeSgy)ilG9bo)aeDgL;v`BlR3($zz(HbeUOppGNfjewglfgD?n3r`;SLdtdap z#()Ho`UiJIE3kFla#%1YfGz(3!}}OskjCL4nnG2Hj$Wk4xntfpaTy8feZM35;bf$Cr$v@z zE#CWh-QU+7UKv0I&eA^VQn%;W{vVb*r(%(#x!k1L_bB)XJbu6Hig1x;SREGWonKQm zJUMM$O)MFFRaE0Ndg}2X@(h4T5TCrjF(eBfLG&jYUn}r^RpKM8d4EeamsE7Fi|Niy zUi5ha!CSr)J_%M$3XEQNOxR^$#i!Mww+JzMdc)o8R|PV_8L zYCT<6Vs=^n6;=#n+vtiEF)wqqK_d~r7J9FynJ%8w9vQl6sarXRLRRJ#8OEblK23IM zRAE|(>C(ZBQGJ7}CM$kx-tgEh*=vav_KsJZr=>P|;X)B1|#smmH~vZi~mftSe47tE43EB9`P%v+nIj99v6TegGs((>Y+V3P`yzN)7K}W6#J86w7sQTJnip&*HB|WpOK&bjk9#5mf*N z`)qW=QZkDT`O=KBV*}Ys+l%8na76{+9w_Fw=gL_}pUkhX%T+{mU zi0M4bC#}h|OvwZkO(ms@X&%ZETyh%bz!7Vn4kyi;j{&~#7W*{!PbvDJ)hb%avJc`J zm_?c8)BqDf?7r_=meQkFB|c*%GWow`X8{5U5EWJJxB2y zKAqJ$7IUa`totj6Du#1e=G4`ejwh5D*UF3(dyA6gf!oP!f#EMk^%qEMMx(CJ71BBy z*P)6hcG}Mat@gEn7i*P0{p5O0_x2&JU1FfBE&^7%w)W(DS zD>YhZ>QxciLLrePU8KE~?u|LICdZ#qN2Ic=Mw6ge@3&z*w|_zSes~+;zK#>ej;GHf z)smPp$+F>2<*?xQ{d<0xo%nC+mYC@GTD0#|HJ*`N_bkctC7!D&Dy3?W0ydD>9|<9> zdzBrJIIkm_k(?v#MLm&?$F2UH;mj2CPT>mw0GO3@1D@xx?f%$^_Gmdb8a|J&@tx?- zT$afEK4y@Z*K+wal(3-$@IXZ4=mGTlV#)CNdr$tNEFAx*Yru2G5DiV@e1Ql6?N8$wUAIOT_ zmtl4XfG@iiH;F&uC#EzeoR7obft;$IhL0`{O*Wv)DK8vgC7_5 zGR`pHJ`t4hV#$-s#I5|_2)_JY{{Rq9ocLgc^!7_68i!f(BFW>QbTkYW;p&)u&RIx^ zd~7-RSK`OZ$#Y8F&oQ4ct8*lkbq`TIfqTf!xRLmq;k{Ow*7?3=Q(q>V&Z?@WoX=G& zWz)*iNKM_};pK_slk@pu8bE}7o*2Nt0aTN3d;8%nMrmeg^o^|+n;woe7j5=Gh1uqM znCAFT!dNlC9)lX2_;7U7EZ6-;tD7_F{P~CYTom)csAJVrWv`Z0{{T2a3Yb_KB&d|e zmMj2Na&9iCEmHZB+CMBZ78{AT*V6w0Ps19A!6uT+wP#KBt5ZXyB-D{fmcE^#)o`n;BO)OiJV zpp!6`g<`6aDYrAbY~F#JV6X$y=H^ABd|7Gyr!LZqtg17(;nMnhE}({=EvJEv=}b-c zrF9X8baubQNNW*m1qb5phfUGuI$nJEX`!p1D5XGQD z6x3^c?aPp$W{C{c%y0f>L`f6st;akYVu;oG7H=0{`khTz0ZNn94B}ZsAyVk|lCn4r z+!Y~#>_JjEIDbW_rlyJz$8S8T9}&N~{`kw!<33iSVx3nMdtbBq4*{2k#yYGq%PflJ zDJ0u%bI-TZx-}q-LUz9 zs;ZEKGiF{byh&tw&{oCNTF3^)MyJa9%fZ-@Bmk3#6> zt_r?GGD7MAGHnCmuRy18PG=Gtx=Pxt@~$V` zU5leC(Y2+Ff~+n!vK7A^TTzb|r^?Q;t?gf2pElIy(dfKWS%y;GjuLT;mp+u;FR5Pm zbMYP5A+EKit)HkJJf_XH1XM4X)O8BAsj21^s}wLiMMauLQM9i&RJk8?h=*J#Rz9{@ zzG<0c(+PCWOH%~8S|wb#qvX_nTr{Kq0JKInMHx0F5m?-Vd*8!1hS+*v;&WN_YgN|e zI)-gEm%%l1N0ignu3{ug8DkMOS`R4!s)!d*9lXK-gpg>}YAvFI0W0jfU@^*PeSe7O-#>K2Lyh~b_huoEN*X(A?SqGJ@Yl{B>VE+Jx zN*so-t6Ezw)%j1Gl~ig>z8uUt5{*pNaV((QlXYh8$+d?kA6z;u(JfuC^o?d;;vY)r z6oxvuA0(>K;!`M*Lo3MAIN&lizyP^dd-uo6-hooBR;|<7PD@i&Pnpze8YrWypg?7U zLsAtUA#rz7V_-cL=X{jewZKQnj$MeQnXFRLZ z6y0}g-;aOD(izr((t3+VzJks&cxTF_q!jH)z}O%FEr{hvupxoCAP{abkUxlzP4q5V zr!`Kiq|*9%b0Jrk%TJim(^Nfr&m~Pd$@ZBCz>4sRqfp12pd8y59&6)MD#?0tO{%K& ztipPlcuZ4CQxem=$hTGmIcpKf7Qd&h@t!honq~ zy`8CCK?sbQnTMUZ3kw&3+?xV@cl}QLZT1uGFNA5d{tXp8*Ntx2qp~$M7&k4h)ykAq zlBacQ^6_dgYNxjwQha`w(eux!HIxZZB93*4H!6|G!LYfv&x}>M-k{21l&J0t0Hgo~ z`L+JIko6l^T0It8>cfg-MY^klYmm^8VP|`z_%Q7J?pU93NTm zd=iG9JG&3&x|>e<5z)|6+^5GQvHPq^_ZSdw#|m&SH&ZsT)r7au0FE&A%(--eU0lFpBK-amSBp=Eu4It*?4e)a<2+ ztm)RN)f$?oGRrd@i!p+Ro`on?yvm8zsIQu?L5v2bWjtMd zM*tVG>0!F)V-m;gO*My6^8SzJYtz)psdL=Ml360n^E$Z1!|ec(vK3=xMIzTD;vkZ3 zYy{SNvWHk@vDB~G=tD6(X!7NVn~N22yc2tK&u$JQ-CX-ES6A~2+1Lmx>mH_#NE+zT z%-&)$kZj6B@O^GRM-ka|6=qVUO)sAq;njuiw&aU;Ke2onW5*eEHIo(>1yMsST@_tA zp%r4HGs4RlJBjaO{=eynwN*`XOnlqe@_04`d)wOBoJ*EwdYdVK`hP5vXNr%{nQRWk z4p)*$2jT<)#rJ(}3)Q-7RAf}N)fx6jT|$*Xs;h}z}V(Uk4KuP5`sMe~6+KLsXJ%l>kO%Curv0 zoLjf4{{Wmmq^*i*C5Gw>$7U_+E!)4;U^2v;1(7NzA8UrDnuejIUB-%>lX4WWKnTCB zm=XA6=`a5PC*M?M4Xrw-rs9!OuD2t}YT}4D4;?I(QA;4b&)%zupZ=_ThCXD#)dMM^ zoFt`XqzsRN)h*ZBaUvSW=;*k{1M! z7yjy=lomGu3;l7gqo_(Ji1DQ79Fa)-8>G6uTdlgYs5DMXqUswa(@pj|?MEcQBj#IT zk*On$i?~>&R%tI_#4V2`d9HfN4usX3a+@*8UTr(5s$ijmQqt5b#RYXlo?SFfqn(nH zswBS9!~@)78=mO@01|yS)|vZsMxRgSSw5@HB+UN+`S&c5%aZ02swAue{{ZHNnFO0n zh+;snH^7Y%;diU~9WI^erl;zLUzq59Ihj#rl$5QPve!vHG^;#NyOt~EB|?WB7P6?g zu*ajtB_@2YJoP;&yZQDw)!NS~(R6y7H_Y-($2H9XwPr`hO|w*GcHIY`0Tpuw^-ZZphlVBSm1^~xh99I$-0$3uGbT3i89WtWto;ipVL5QdJM`uhbQtJMxgnC{{S&r zJ2J?^;`oH=zO8AVuj}nAN7c^}d3Je0lj@2p%%dyF^t{xGQ<@{PQ#|r9YY^` zPho;S=*^aFm~$v!FRs3Sf7sYeP%ZoW3uETr{{SeeS}A&c()v=0)2?xx$r5vLzFh*6 zE$MPG^o6DJ)8b)a{{Xn-<7e^T>%BKo{87^M#~`j*X!Isc6)jL%f!kd%X{Ra&vNRE! z^SUM;m&IkZCeKr&jm;lCwVXy+BrL!sxmV+X++6nkFjP|$z+XPL50XA?REg$ztY{Y zBQwU4F^LiEEZ0%Nu!zuyXO@~fl2nBw{G++YIa9l7`Q!mV zl~k6BwlNT004O)fW!1Yoxd@UC?}_<(fRTexQ|ZD zIalBl8!VuYZ@LHTY%dht(i6tg)J6SE!c3{3%Q6-qC&9G(eEqQ2uP!ekaahdO_?46k z97u&D#}JicRR{svy};`a6VhE!5^0$0Lbp<5G7nS7-;qLZc{l%^O?~5-wfuyAp5cdmXYh3>Tz99OIB`(_{+=Ys_ zr~rHYeeqRw$YQ&zQd&_AdsgL0JOVvG)9P>@zNWS*kSuareUE-UuZdQ1NgOI-y_6CZ zt-cZZ;5}U!g#2WcBz#x&{5>#8@KL@<=Wn+kkioplj$o!Iy8=TErsQ$@+YyY= z)LEysxdBsQx%c(Mc;5gd2ds{krlFpq5EvT|g!c6J$Luz&H8Re8rl_)u5}??ee#KrX z$=D6Xrp-LIgv|v_+V?EHU*+<^ii(<;koicQ3*XR;F4Zk8LTHBtMYpw&BMfWmB5~zZ zfAqm^yH7sWBe(X(GE%-#>IT!Y)l)hpI5%6AW5xbokN3bF%9^GYFB|>E{{Y5ECgR}p z+XWV->6`-#I;;KQYzQCUp7r^)b(HR93u4>&xZodeU)}NL zK@Q{W>I=yqp(4PZ=Y#A0KMXjPSW^6Be0(q6u?N%f!*c`1zHB79X5k(}K)-(dx&D~b zLMO&lBV<*JDZCObM?cTK|bq~8XcTQ0J#_Ap4d)UC7v)$jTYj^Sh9oBr*DQlKqDQ={CKEC_A^ zxVZUx{zDuhq|P3cmJHj04oKtiN#;zLOh#5^t+{A9OkT{ zsMPv1E6nB9OGjo}DeI<+Sm|0oPU#jj_|zLAAY77hqlQvgoL^i&*WAyWd+nL= zVgji`RGK1iI^2m|peM2^>plg5Sj38L{vWo#= z%WijbY{OSFv1*AOT%b24zVA19JLBzEnHww37qs_EBNvi$OTT)L8vG|cF!qNkx# z8HdZNhW0?V1emT!V{%F0n+YFODm1RNpv*Kh)zJ!>WDz5)+hl;2Tc1O9?d$8ou>_qf zeHz%BW@~!EBL#?9fC8{`08kHp*TJ1RpXR8NX8}s_%49ln5 zvna0n9&3@#wl)dHNHn-u7`>wn@b$715A~m&FNHkS; zp_03(dE8J#L1vMs`*X7(Qg6YPMeS~QC({1#!Jp~MjO8_~dAw$dj*_XK3BDONM-vu1 zK<2<-j%;s@Tj9r8cs}Ws4sWb_eOr`e`TnDc>azh)DpXd>KiV3hi38al|Dn2PnpwE{hoZr2dWJ!G>WerY|Rm} zF!+ZT=M;Rim}OR#H$>JUN^*XO^S}GwA5y=Ir-*E$tKJqR%JU6!bF9`4PeJ5QRW;q= z2~bHFJL(;m6BJqj@3tU48*!cDV0+25OmYCZ<`jT$LThz(fh{DjRNJyUF02 zbL-#V=ZlZPoKy?qmq}IUT|cYURJ7Hy%_g6qqZLzBnCazpDGLy?6lD*vk$s$Vw-qc4 zJjA+%UxX9}{{UO@+SdMw2?)%=UA`hP8kwyP$U&yiXi%a%DJk{J-#gUoWh zh(8Nh+l*C8DrvFFPyDuzp_CU@dWd(^<~dGfk<-Evm5RJ_B(mPz z+Qf1XZ(KyPPvaBOS{NHI(%k~iqf*u_6;&)8bMS?Y4T-*OEWIE!G z9WSgJGxmCeEHqX7uGSD#l_^#^zm+1fvHO=d#4|(x00vQ$^)_SFUZ}%cq^UkMmWCFF zvb0=$46LOga0_X_h}m{{V>}T>MMxs!cu79}JPzGfx^; z<~go=DW;-J?JrRzaRotfehDP-0rkLtV$^fz`hzpfDPo4LYbN`0#7&k~b}oy@Jd@v^ z2R9hpT}$!f&@O>!3a*a$J<4+RtfEl>%_ykjS~_+BqfQtb)lKlB4tFUo2`z1>60T!k zEnJy}WbY)k5;T%YBNk?MHzc21-`C&gjDHc&QjBl*HM}+x$+}UEBfUA3m6VFw1(gDA z_{RY7dwy8do&cz;pv(0hO_x5R%cP$;$>piebnaBuG8!1=mFJ+|q#9qe3l(sCz%C7~ zjKlsiut(*YZr26I?g;+)w0t!9pVB=arp@xLb*J-+=%mgvoa-)^Da<^AYVzqOc%hV7 zZiY`igL`cT#|mlZFEuyN{;p8LhirL~GV!gP>cqmI-u3V=l(Rm$iS(9YaW>s)QnpUjynX9Vas#GkYR3ft~AOMi7 z@fJOzdP}aIRnhr#Wg1^vR?$T8EK2ljBXvb1YVQMeg}4{u*aoK}mU%e71Y?sXSS2=U z&k#9ZMmmL@)@of%TqyG`JxrAPeu+<)5T%^ERE0vO>2`JiFxXt1d{~Y6Z}AJ$?+iM7 zT4uRa37b^SoYBLRpx<+B-UyraN+Sxc&`)SJTZg<6llEh;0v_VXWDCAn$BPa1C>jTOcEYmp(0JjNI zPct{CANfVc&x}q@H-==I&6Yl|dI_V{c>a?Nv1zAJ_P)~kGeK!T7&>n+@ducAbFR~A zmt>h%Z6=?}qgr=)Jm{t{32qB{i6I4-i|ui^lXHpoy8a^?R%+^gnCks$JTqkRi7T@x z>dADhf}$X4g2oEn_D&qJ1P0_D*u+`ijUJ}wdagdA4IiXw^$Z(QJnjuPjZ&(sv<>nW zCd=@G*ERrL04-RI#=AYvpEA#L85*Xpt)!}|p6p$nuFl815=mq4d?l!x-sQT|>3b#g z_XU?t3AMU3>zem}FY0QZqWHzD(_SaF_fk4PRhH%%cB`Supr?~Gqi0a`)OmSX)Hc!{ zI*25(JY5u!Y__MhCs1=;X{sspHl}r{u8NM9mTHME%~9l2tScmpNoSOVR%c>MHOV*c z5}*)NN#(mD1YMx2kSw48N9FRvX|u>$sdDVRNi34MMG>zo#E(Jid}8V`&sy9UUH;`^ zrHbM|k$H6QsMLKv)Vgn~Qc#+x@|{gVTPzDDiB^vJ!JIBl}(yUG-V2y-k_f?NFvK~@PY~L^6YU= zuC=bAm4!896?G)-Hu$^o_~C|gsA?+0y-dw8xM0lJ-Os80oMq@g>E4@3ty$ocOO^9~ zv5}+uF{aXGQ;MNn^03z_kC#i`jgJH0<%qp*WG1L_Au0vJ{KfwOTso=FYN}kZU+YU4t{MzI`>3<@q*cF`lwTj-@Vl3Pg1d zu_?E5Bn)lE_!s2hepL={n9X=#Q4n%I($SIwi`jd3J-%1Lex!NPW|>7>$jTJ>{2#zp&pfTa*$^S>WFEZU2T@ zbTDqFoB>dj_aK16OB;?3^}YUFcR0E!e~6`CP2q1f@Z+m`Zx(S!nq_sgv=udzqb^k~ zO)rww5h+qb`GF>~viv~Ui|~zhO_0Zz48TAs?L1*w1(Y*Lt8>p_Thk7|^fyOmb>k|p zqo=E>c*`h>h<0+Jfjj_O+*taYXlOha50B)>I3+3KZc6)3s@Lf+1`{h zXmiYyJ(iWB**jA|^HdcftSx=KkKe9x){L-fT*jMYD2p(eLiW9+Yxd)~#eDZ1%x)YKnXx3GeX;nxjflPFV1}JfY40H@N%_ zx%vFC_bkj>hO5ljz?WU-I}N0Bd-n&oJ@~*ZkkfyIQW%2;xei13V8oI6Tk#_fjE-sq zdxv)Q2EzT#$J4jC!&s`1FX9qUEU5c+{476+mP_KNr!<`{>Q-|UT}RW@D;+}AxDB6D z#;T&aGELfB5GGFhuOJ&<$*(u1w<%IBd*1l|X!J&_)EYBT(dvCEoz>;pmS0gE`F>+Z zIfiO!P~BQLUR8y=jzzJ*{5F3cZGWV4`fV}dQ$+s&)To3Z&$6jf3f8dX$rBM9w|+rV zLh^T9oy-|kD?DzyL2`13>~GCOKE25^4y6A8>2{W(&NJ%GML{(cE@e52oeSl(Fqx!f zRwYnE&9z0_X4{M0L$sHLzOD3|QGe?Wkk-@{`iC;i)_q%%O+v8c*@P_Pf07fJB}Fb# z&G(b>TX8t2G_Uci@RJ6s&ow?{mujkX&7-7hYI!oKi-wLOuBU9FZNTSoza)X%72k*- z$e=cQBKC7;$X!N#AAq?_PcoWE%{{Y1u_c1ozV4&TGJ_z+IUuqtr z>s;Ge>a5mEs_e$HT8f$Gl(dpa>|LFY`H3ufKP(pOKU%tr*By6PuKJg&YV&-`ypOb1 z)Xv0}1dyO#Y9gE26j*u;L2F=!dY#fpth?@he;WqqQ6Qd=D2a6P~hOT858q z$hNbB0Rr8+}XA3De45u!s7Qem1`+k_(I&N9vSyEVBZl2~hxGTr8 z?ana2fEvc5@rR*lCAgAI&Y}PSuvU_ap#ksz0LRbejmwoYR8J?D84A*EAgzk~jxTP1 zrZY8Z@XRf1QJNLc;|~;-3oDOjtEsnV74Aa=dWQ?VR? zeLxqtrW)tYAy=gpC0oiMZU<>^ow>E``QWES@`viSVJ+GMxe&1+nVZ2gJ4It7-Tg=G1ED1 zZ1S>8Efg;PSwDEFKh*y1k8=%kn!D0r|*zAukgW z3HXAOe%Jl_;ti;blc_Yy;gtMJc>w(X08h&kO357ePhTPxM-2TP{8QbiIX7RigGPJu@fahQ!kCFWQk9+~FsDC`TB!SKQZ9Tc?>wefA9KWhIY`a@r1cWJwX6_* z{pWyh$LWa1XC%-$5)<(!`9UM`w*#M3?efELTmvJOd0h)j{{VG2mcUpjPeG5^b27-veZ>iHfN!Bw&yaeZb)DdPP#g z2b8RXk{EE_!vO2@@^2_&j-XwT{{UQLDSMug(AvIO-IXcDfUp7{sRp&IpZeGr*_|jdVAmZ?}>}H4u6G|cZ2 zaY3v>;#X&Iv8}gn{{StmVZgtj$EVQGb`+OL!_|M>p)uzxT^z+5KgF=}!pEE6rXgeE}W&{eHM!3En~ok%-Z%X{U`#tZV0Oy9MEG*qi%$pT{0UE3`{#h~5tFY)AL>Kad!tp9P~qoRdoD zI^!^;(fUq`TAIwlrD3O~hgcx3E3?dSmDhUOYKzZm;N%`VNX(Y^t7@Qh$l%jWfMbq=}M5`!L>a zMV(IT_d#$^IE{2?z~+Y1nUuM8Z&fFc{6K_~y&_1=VOw_$%y)8a&&+z9ci(Z=*gJpz zipxuz8*-AQK=e08I{yIRU}+y1`Db`7{( z0z@OmH%hc#nXc2_XX&0}R{CS9l=WH0T|t{kzPl`)Dok}$vdDk)D#*m7q?TnS;^T8# z{eR80e@e1k&qz?~DDzpKlB+7AqBSB{o6JxQps&JG?0a@8>u^j{#z&WDQ`}D-=ANft|fgT>ZY^k&az!&q+_9#{FZ`x9L^6u zp1K(~2_Tv~+2dv4t;Mf!MjV8p3336*xBN}zT{GyXRq{TobV9xgyn>5UN+HSWDh#g# z)RM;|Aa)1Lg~rmYazVHx+W~b)@d)Zxq|B$y(rvLGqOz`6X#-m4hFhKosr#el zXrEsCo1OJwWfXMT4GvvEim#XH%z}}krlvNvqd-X~;v?SH^y3iY(*1ow9CaC{fzD|> z+0rPUuPT-mc47i3XHW|h=stKJO|EZf#+xEhEIym{x{EsMB4_ZOw0ChPrDq%V;%)RJp#ipqnqLsx?hgvR+2B`GVuPZ&Tj_mnR0xqOH|7(D=N(Z zRaq6b-rGsPZg?KP*sV6G(8rcO%)5tJx;+C1eL|9+EMr33y)D}R0G2q_9ct+&b&=HM zBBrWHYAIeJ_Glds#0v0uAp0N3>sDzW4?RcMIhy49a+-Q7QL8a%fk*BVIGS5`+&PXxKsHtE?}mOatL$Q+2=?JNzEjjX2?jvs^) zdWThGj*E4hN_0MIol}?5)@O3hPgO-vNm@Lp?<8Sai!VF}Hazp(d)5-?d9_V-MRHY0 zhFRs8WN+|>kdnj#c;T)1<3Q!V!{1oy4J$=em32cYG0C4vl|h)tmS!zT^~Mt*r!$&$ ziZ=o#yEAP!TNBPDdY|}oX)Q0&>3Wd*?^iBiQ`0*AU6#{U=#R5fqSDieg*8OxVk3DA zBBg^8955V*!DKG^DW8D?cSQzLE)I3Bp^Wrh$K$e$1(ki+8V)OvAj zYmD!}7fAHShDbWaT~DuLudL~|VVu(AZMN6n^}WEqZ{3K%GR9DqF!*MqxE@o?&J1^CUDpACC69XoKjYf98Fvw!gvO5d63J%xiJgN%LC1n&tB7 z*oKj=kXFT*(&t&H z+Du+dkuu2_0LL47#eB6JN0c^!eln(ANlyho+G`jh19HW?+<rN2dTESqc90F2f^F%0cKM79gIZ>Kb1_`UPiGU-y*K{=LsZhr z(a692L6qH3KAxBlB~wnuMU|PE_d7A%PdECX-{GIAmOQOJw8t^!dN{mz5IjL!W(C$hG(@gQusX|0*{1QEGe@^_Lo)w%MVR5l$+O8FOWwzDX@pt0D zejlD5qP)tHtvOc-xZjI>zuyBYrIKM9m0K%<91c(J#|3DjX<4eb{H_NF=rAW2MusH^ z*zPC$B6*Sz<^g2|xFY`mzt0aT8uIy+-r$l&@6Ta>-xzNkGJoZeFh%>LuowRTd?`lj zDx*Ukxfd#KN6!Gs!WhY!FG>s2tx!)f&duJWclqJ`D-|lOZB;_34|QNUA4~myxM(V= z;-iYDt#`5i0O?`5ZhQ7Nz6NI5^-g6tk^HpUysB6QztfB>vUz1yz?AM%3DoK;y4hM9 zcVv%YOOh@}JpMRuOIJ|UVpvqG#=^~STkwBO0!q2Wg-adF$gsik^m@S=^8U0QCfdNgjvkiZ8}rK{CG!-BqQ~85X0f%_(a1{XS(K zMP)LiiN2zu6CIG)fYj`7$8qg)#QZXNRmwDkW2wWMTVl$xvUw&VSmgi#xDmy@?td|c zvrWB`V@7Y09Dsc->5o3xz{qBS0b_Ioc07S=WfqmD?(us7UItZn}Iv@oa|7`R09 z!&Xt3=G|j~YV?gRe)QQUaa}=_RlxGcRaZ@w)l}2O(W(AuX<|XMkln0ri`t(;=6Yj0 zXf+>Aa$J*A=DK?-t<0v=+A<8vfH2K-YCxi$T%|l!H1JTlXuunzdxdE>oR^m8xz0hK zQfK)sbkud!RS7i}Z8WAip^;b>bzp7?VZjFf0Nkdf>mOA!%+>WaXRkB4Gbf=G2EY7L zUF}W0zmixh6E)cW*YQ`p7fMHK$U4>ImK{nw=+?)HHP`;%n69#eg1` zk)*x(46W^m#&;Ra(8)Z0bEmAVY*aPMdK(+JZ&tn(>4sITDK#EzT}>`qB`kRahcc5r zjpc=?#IZYqs-=nEBVl3K9^(SD48@ik>elZU#41^enO~ zkN*G{5*l4iU6Ja<&$79lDqxi+jzxWeTEp0bZ*V>F3(u;N^nG#{Wiisbp#+QAes>?G z@cyqeGiIM>BFth0vicA#NAKGnzw9nGhs9d1<1gzI%6PQzPVM`K^Zh|xpXN=ORQYIT zYs`SyZZ{^^^trGc+qXE#okD}k*7?Lm&f%HPF6Q^Vj6gqu1KS#oN`;GSH0t(um)FQ?NUtL=_8Jw7QvX8!=7uYt*KoL|Bt@hU?a z#1sVEV8Ql1E%nCxamk0>E% zfB^wLh0Xip=hBJjqm?92G-ROZgxv?n=Ew^Kxnc0}^Y;8bFNcJf-)vC?R$Zjn5$<^H z>*;`cJ2Hw~qC%*5dFlv0gJ|qO1Nh*ywFWwy`Cm{P`@eki`Qp)B7&_^xWo&y*EVF@2 z6eZ+fe6_J8n_F#<7s4%HGp^RCdt7fazT570l$9ydV6>J ztNz$A9w*V+^U#`heHdVjg}!V^t5m}FCH z0tgLoKM&9hChbies;Z<7rp>z6^|$D8!;SHODQxIYz&jK5`h2i;H*_ZPE8zBOmz7at zLQc)dHs5nxo8cKzS#LA2a~WnWZU?8m{YCJ+RPJUBN`R|$0lSaW^S(Tm6_d<)BT|Eo zPb2ilZkFP9w21D0Kx0pFxqvK5KLZ})zX!kP^~G(RPSMrPI7TOS>mEXZZa-(gt}ML% zo;IfQVT|2w4f`k@+TQrCbJ!GA?DD}$5SJiW+>^)4>4L%YMArenm^o>xkd^o>V?Zo@ zi1zPp_x}J%ag^||#H8=DF4M?2;C!!d%bY@baKakus1Z?1LOv(-TiWC5Pw9VSiFhqD zv;+%n+III}et#Pe#~0{VEA}ma1=)bhJX2^q$|Z~#wHylIUc-3V$ERjLvT^h(k#yY? z)K)cgaLebqv_zgcJ&EoOhj20TW5VrRxmSq26ITpl%R0J(DF?&=MyOO{!2ZRy|kF=qH#({op9cFkp^f3nk4n3r%iJFRi}0137G^K3>mwy^N~ zML{!5Ng^~zRT8&h+$=at_dkbg-`4Vn>u!~cO40QDqcjixF`KWD;yCv$0!VEn&cOcw zkQQJ)$F~^1JqME?HzP?dU+5vFoOL;t$A6JxX=gOLQ!9>Ia>ZyN9%A<(AolgJ!qt?D z=H<3+UxlOM{NMBZ@ZJj5r$mOCLdCQ!arYb^Eq`n$S(^z6mKpvN#?xX?bANn!9MXiF zYu%qg!xtK{mSYTQ{HEA`8;z&a_s8Ri-hE9>u#~6ahjsKHFPHD%5?Z|K2_h~^hVt$d zA78JwBbn7@Fo($ucX~4VUi=^R{{Va}=wg#A%|#5WSN4xA0zn0K_P4*cY)7i#RB{0d z&By_-VSlf0uf8Q2{YiRIJ#G%lWqX_ z4`N5Zf71@7i_G04$ZXAUZ|UE$^zVkG-9Umhdq{mg@3pzU9*U$WEgJj+G;tc-Z8x=t zxxat*$LSB*StqD0+aAE5Zbg9~TsKK7HnD+97O_T=Z?^uH`UCmlM5vgwZ0dNwn9Mt# z{1Q%bpvYB80g;XEZg2Wy$F7E;TAgntl$9}yaD5M@uZ|&_NWoQw)T;gd z!)?2E`JZps3P&O&Ok~)^jlq|bap~XZ`?dux3wx4{71UjQpw!2pwG7l%H8QD+N@Q1d zAcMBd2t1oxkU=9B_g}ij)lD?H0%cm`Hlfb5483K~^zA)aDMsgR#sm^Z_1wlj>tS>8 z3k&dtq_VknY&yR-%j)V9hE`OD8Y1f8&=in!l*JhfpK1Z= zqB~J@8BvHnpoSkVa62f~-9psWanVDZ=DCcr$;vH6vX&r@_hWv3Uye18i{B14Mp3!BYq#?rsx-3w9inyRCJp-{{W{&Om05e zMgIVbS5Wa(1b>E5w^=-*elQ0Iwi|fT(LGYry=AJ_9ZuHp>X`LRZ<}Nq!k$g^*}W6F z+VOw(t(FL2?-?6;8(Fo#h+R*k;P5G^`gPXq<*T)|CTRphqIkE7O)WY>PZGHstsTb# zz?K&u1)kP38H0KC5<6wjr9i$OnPVh`-a{8X9cAWFOM2>;C{EQi@Lg zO!X_f#O{e>yWzPY_BXevwi2bDRhP_QPnZKb8;zsCy}bzheKF%r=Bre@Zv`)7>VK!U zJuW4wMN4qM5Rif|-u!wWr?0LJvvL=e*<@&(l5JVkjSr`(qN$op&pM-v?3DE@O)=jj zcM;0h3f8#?)49ft@j}x@p{g|vOzUweh4pUawE}PluXK z6|H)umSuXY{AQA(qbhv<8R3Ekt(pkrD@?mxRvWnsayFLQHvxj-gkqic6AieLy+xa9 zYPxEjVW%=IxBMltJiY02{I;rjsWTc?oUC!ilJf-UTzQJ$5HbDG2y8%lQPsUAt250V zr!*%|Dyg#!yUm|A%6Ipo(iy&=uIhhZx@}99Wqx+?r}G&q zYAPM*8YN&Nrd1%B4asYg4>u$|N020ISnC9-X%VUd z!?(<_Di|BJfW*d^1)FS@bTh<%UV5pJ>3`wbJ{`3VNvL$^PcsavmpI9?9l361vt^s9Dr+ES1uALL z;h0pa+{E7y_*U4|07{isC=bPc1@GIp)AGiO)jz~5RqAaIooQURrkP$*JyZKbp(`%{Ksr15ZQ2;&ly99vQ{{{RBqd?%C0?~Lt4qba0^a6*N$ z`Y^ExQcI~|e4qh0_WARInI?Oi>Ac>bH^{1IqN%2sOHVa4g&rqT3b1821Q0Fr?Sa&? zI>}=nz{CYz+zvaF?f$rOW;Cz|n_+FlpyuA6K0R=g;qYqWglgDxsGUpJ{X)*a>YkzN z9LGGbc$EcCWmD$Jh%dDULZJMl5K;<|0}%IdYdbe@abfL;F;&8! zdQe!EETj;ApU~R@Y0Pj%xvG^xVys=e5$0Xyq4NY2Hy}K zz}p4#om(>{n#c5xo>@n5SlPC+@;-mM60l#qvDR6P7H#DV_Z{{SowaN~l}m5*uXciL4>(#G7IaroekI4XuAD=Lw8Lfn1; z@Gv?s%!LU&DGjh#8=KsG@Jg0g4ID#pd6q-DHry)nWA*j*?r^S1KE@!&H0m10RE^nf zeU0Ad`e4YYjs7>MXx75W4?KJE&ukB*jK&tKIJW{q-)F;ro$yLewB`)6?E#v@YNDm8|MxcBrk4!dXG{WjgXDkRO5iE8W9PKg8aJ-NIvXg#C<KWmB>u2%s=$Fta;U0JsoCc+waxMdm5Hf{d^ zPNr{9K~Wt=UR^vc@zlm8RoMG%Y{kNGK_$Sw$i=CuGkq75YA&&9ET^U#c*Caas@o-F zmxp?I*EWKHi<8^Sm6>}5er?IcRi(O(tomo24Mn0esc0&VJHpaHK@S^R>cH?v0E>

    @9#4S%djdxu;((V_m*r`?d!BkC`~4NyvN8 zP8=5QX)v2h)?e~9wm&*h)m!7hwIif8hO%~#yd~65={QF<_aaZIT!l82M zXeye@$ajXlolgeD@;m+*oM_!~l~IMBrl}&9I6SqP$g=!9L9zSRKA-E4TlQBzpHJcn zP4{b;^GBcYE%U_UiEUjqO*}NldIPcl0P)J}Yo2aFKE1fcXX_W2H&L^uimQdLAO-|A z)j<6I6W`k!3peX_hSS<-{2*6UGD#}PP$_uhk$xMYZ@Y2x2e-B}$5m&Bzh717v`peE z)rxvzMTFu- zFK^%U$39Up`B9X$_bFp&Fw>Q^Zpj_x zj2oniKIrua_s%>NUB{Da&Y-_fBvp=~DhWGeLOHp$jk!Lb?}-%El(8t?Pyn#wxNn#H zei(^q%(|`^%-c#o-HbbOz<1;1N&JQ%NljB#DFRd@xnNrNL+pxOPC@~ zR!P-WekLr#f&j-&m{3JY8%I*DB#n7k3lZ3IIsJ?VsmdosWoZ^5RD5zhw)%j0@ACN% z!cEeih3oFK=XH8>rI}p$)?t^>HC1&bEJt-b3elmElfWCKL2KWW-<(-DW@b!^Jg{Tt z3oAMat{%tXQZ|nM*8Kkft`?}wAf#n6&ZJpSp|@-PgYf+^B-I`l`p2PJmr`kJsz!<} z&W>(K_W@5P;{0+wM`4J4R+O)%m&&N8l^Mp;&Nw%{hdd77)cWA-h7L1~mj_elw1Big zHI0h0k?ak}`uzRy)~h?BMK2te77WA=EJ-Jy-}*{2Zh~i-7zO2Y;d+mH>yvYT1CBwe~J)As~t z`hq5>F~VcCXar$>8pDqEKG*sB+YoH#tj{w_V)C{)R^8}seLV%gt{zWCn?swu25A)Z zbA<^kRVd2ePm0$1;f84F1i+%hW|n=Gr2sVH^vN zY<^e#`(kS<$pbHxrb0V87qz>OuP2N`v=^8&e>7EXM~#!pb9Og8dUwRpDx_(iHIy(8 zPTtnw1Nig!;?&rlPFX1EV>Eqh(K2ixF0jgXyEqJk?Xhxi-|5`*kFR=Tr2Q$>-7~79 z*1asCsLpdbXlinuSC(cK5LHs#?;=Q;v{T(q)9|UVxFDQ-ed!Hz()D9Y*R=OE`kyYD zytw!wDx+poz`gD3^0q$GbUwQy*4ic-9E&-kYMiPBr<#I!z@0(>iq;?i4ULH4o8v3Q zo@{mH%D*5n!G|VaTvPnW7G2?UK+!*)TUAo&f8`)mL2Gf&+y0}zCR#tHdQ(8bEE-=Y zNR2?VNN&tm+i^S#l58#C5@dR6rt->MsUd(~RQ~|ZKRQWF_9`}Q00ta^$RgjD*BBXc zW}5X$ztqvkhZJ~(Qv8t2Q%Mm%L<$Q&Hy*?Lf2I(YIOdR`77RcngJFL7R#K4E5=;wKl|srVkKqIxr=h=Ni0*kn z%NsNhmSKIsw<@;uAC4wDhF=VI2+0^Au(rdO3UUA&$+24!KWEeW;wx25vau1yb{6E0eR;R*ixW~%nM;OXRl(f7jlX9R zYV4>$8Z<-Pl=BU^?ajWpva+(0A=R{R5M)ZAO}KJ?@bw>oz>LlYiG_n3_f;HUp5Hu6 zYs@U6!j&rBmy$n!-vH}jr;r(5HWntpa(-Q~SlJec%#xMd6$+i#xd!$Z{{VlU16S3o z_A2)yh3C_~u_&mgazs`IoR7XeEqfnZf35}AI-r%Nd+B1GdmCwOE6{c$0rS?sA!@g)DU&Ygui_KTJ8SsTD97C5)p2 zFYu0g;B8#OPCm>6jxKwgIg4$ea^@u&;`VPc9HN}aL&+?%N}Ktzs2#ii0H5{6CFVRc ztfs&fH#>#x^ZH`y@m(yj&6Jmv?Piw7_PxUY0H^A4OtOF6Gpd`Q__wKBbACJe+Q;dS zOQNY_RAFkr?lCzdAQYO+Jx0sl+YRJqqm&ANF<1*NPuw+WRdrc$S2p6 zV~!p|)<@dKzTn$^+jI*f36gWy)M;>(2b-p?ngb( z=iBMeY&M2Q5qT)!2^)r2;ER4X?l7w$r>KeK+yk|a;CMWH_TV4i7?M+=Rb`44r!(JZ zvD#06*gf#QEKN@lnnwoq0CGfaAoe%*KK}r}d?>F?O)*%*l`CS4 z-0}^-rUfNstuof=Cy46Kh{HWaHb5$tdZfCn`&m#68(bbiB=;Tv06V{_-ZXlLq0=eN za?GzUsLS)}FSX@zOEav&J2J^0;kA_d+=2+PK9rY+ewXEX`&Luc=XCL$wvWs;0(rug zl%X-H4ao(8x%%^qJET4nI;YoNcKVB{I$}K9zD=tJO-q}@5`-TJf;TN_kaiZgxbKXt z*!1oCyBfu))xmAX=@EM0;vdxZb1WG}8ZZ|s14ubG^)a)#h)5yon zRc*2cN50Z8dmCVXgo+G~o|iDrwFa1nF-R)mP-THw1|_#0t-&7%za0GW3lkp1!YXF;nj0A`-X2AN--a+YuiZ z{YC1}P`c4oN7elyCYh_r5M|kBmX;4DJeHCo!a7Kk?(I+s-Yy?@@JL~e{o`Xzcuv*+ z1M*I-bU&gr+BZ@|l}nfFCCi;&k1-~kv~>}J)jV#pW?LVydbEWBy+F(bG+2#?n!K2 zJ6)DGjwsk6@9I|I5=jFTa;^q(MNxn#2&1fj=a{h(u6a+G=ww9{}iDovrj9A*uYxZD$aeT-!4u$ngsoGD&Hc6;* zT$5J)f;|!@%(v!L6ux|O$PCIiToiN!*z78I76gSR(LH$A6saD6;%1I-fel3^R<^I5 z<7ONriipY>i(N@ScK(>N;U>fEljx$8PuGdeQB_lEiYW-@I_+ndM&icYr<`B$+w-u+gnT8%m{YV`ldc&&(;m^om*y3v zh57iMV+uz+e_Ruf!xx9G50y=tYHqP+kWxh$Y2JrMM0XQoxA~PS3BBw{x8iUKPZQ;a zP@Qr@a&O_|)s06~xivpiI%YEpk!no79dQix6>?aBk)#UyiQoW8?|(yT-%9j9teWVcu2WX&-1?5X zhLXfkQ>Pyfr(&1+CVHy?f(D=y8ENP2lS3%qq;$5r!$#)qtReRc5L zlj=O*P|?d#P1TB2j)IbKe$N2O^DCAci!SG7RgPqalNGDt2ty}fxP+va}1h7l4- z#iTA>oC}K|^#=a0U}JHyE2{%+>Q?xzA5&Z<6x06R$oSMybaH%`}W1#{5^~_ z_{Hh#h2||m69)qK+8g0F?mfu%92^q{HuI*}0vWPBtojM6I>(cA>#EuJM>+}MaB5t~ zAc}?an#_iVhK8OmwL#~^8Ma)K4|Ct*0^l43@wuq=hOzz~nu9#oT{&GPnKomW@5r81 zn81sgLmD!&3k}NSfolRst|c1FT4y~2>yEF~dQZb=QuTFyw4};rs?0K+xf?A%WP(Uz zju|%H7%MPv*Z6?7xWF$P`ioZU&*9Iib-t?5Ra#!S=2QrBOwOSungE%_G-%FLaLl$~ z4Tuge-q_X22skytnF&3}`I}6Nv8zoT_W{5h50(9W@9uEDG(p%+=M^A=z?1LW=l=fy zJRr{w&aTtyF>_0)V67Roh{IPX7S%Fli);8a6Yl0HW3h?{G!! z_*)3%Y5GQ?Aev7}6(62SML<=FBFk>rS5HX>cb?_>BUC(5)>g#}$R^srGX*4{OI(~s zYO}98mdb!Ay}kIc?cDbmUn9(o7HdgdxBgV|wbJ+DxfdLJ;xTFNcFQ@N>#1H9{{V*n z06;UiG9InxS#3SDzer>Hu|Rg}|Bmx=1M zwI-gZm;7EYwMwnJu9%r3R#H=F1Mc4BKf)tOXW3q@{u|v#smr=09)pgamoQV5!4%tS zS!pSxTC!(d>{t;QCwKlH{{ZD1?-nGSHm(FCl60kf*@0=^Wu`Nz9#C_&Ji=@*aoGD| zSVb~N_Onv^k(7C2_h3!$&mW2Q1J?~z!o>K z;G2(Mo-pS|lFH=AvR5RN8KYJBh~dZGA3ylVNl;QmSX>3}Pz#2?sKDweBA;qAI8sf; zyK+Uj?}uglx4KKUMY$)}_vwKp&m%F(!0M)7D2GG6q+{QJ55LbEi}+AiBY26H@+N*eSrIyEO@4)O&Za)km zS6J&%A$o0~4m(^M{Qm%dmJyi$0Nk)HZa~^sxgNd0e_S`IsgYQa3w(AedB3SV{EhL0 zvDGtf6XaT=r6f=1F}f3D?av+X+wE-uDJ77VHaofc{{T#NHDWji%23Rpmh}X2`v(l< zmRglmabU*wMI;mIf37PSv|oBUs*NXYgdcQ0f%%Vq-@D@qFLRqFFJYpk0vs(v(Twl4ZtO& zU((!TLmZl1gN&7M9m`{QsG_2s!!jZRx%dPQ_w?rf056^-y*lX*rRrRjFlB`jmIVz{ zS5o96l0a0wjo9CS1^B-hj`<|c5V+f508nlSJ&mvX;~I;lc{I6ihs_?^el=Q*<2ahG zwyH$6%|~4ZVJxvh zB{Y|4-Ze*MVPHoNEXRUX{{Z&kev$Za>%WLSL6~Xoj&%BL_cF_})0k9KQ`I7rg;)Yd zm_TE4Y&m0V0CAzZ)!}{~s%YBoqQ$QA?549M$zGtrn^deZ)kp%iz#EXoEPHa@>;X8b zJR|tRpzzy}v#;BEmIuqsKhy@iYTt9K%jp7>mQ4|J>e zUwF{#Hjm4-u9?ueH8xqABF#fnnd&Nd#K=o>6cWqvDIL8&nA83Sd>`v?0aO~pS9Nb# zWm4yQr!J?YN*tz9I#Wa>60@GcT*e|iRS=V~E zMb5PnQ#GQUO0ZG!DLkFM{#M0@*IcSh@}J06+VKa0n1Ttj5yi(AH|_rb6rO#fC^Af@ zvpCM?oJC1ac1DYTHObo;`3sSM%hv_TwKWp@e@sMl-nz~*eL=G>+|bNQ6;@-ocfGIN z0uB9!F8wdnx|$yh`IeK-s$zy@)`qY{DwDAqnkc;GziaJq*6tN=eXDHCJ#SH0_m8>FepHREsmE6>vaFNokfraE^n3ZR$Jn zJq9CL)R3ftCy~YaZB&Oxa{{TR3e9dQPuq1}{Xuik zLsn(B({1cVn3ecJv9bIyWEhraRgOE7Y(tZ9-NCiJ&Mf*8 z$;%(URKPB?4>K1unibXDempwR?hCJ?oDGTuVkLRizhQdkQIXnZ$z8-2Ux*Yz3e7d#( z<~E9WM&1%=on?)Ou?~RTeEkW)R;qZ&X+9vs;y=G!Ve4_n9Ftz-+FY+C->|&Z1Y8aY z;@;nv;q8QFsETE2jD)ZQaPEG-m`NI0BR6$oq}$Yv{{X+k@WM$W)UDl^GK-MIxIf<+ za%#;5XHLp35=dAztXH`9;QIWnfZ5Z@6r{Y4V+V&(z`v>E_s$4b2&yA36lGl#;cz*> zKd;XNGk-oZOk|Rx#ku82rLFV&VpUPy5ZzBwWNnbjiz#vnl6!mq0AJ6J*2HHwsF4oI zq1*_t+s_`Kg|RKqsiTp6$lNvDF!*`5%HJ{j^oHiM7LG}$VuEHyTkI|eJlps9U+P9Fj-HiXtlje;j_p5sY@LYxw~8SwDB9k7H9l*m( zN2^6Zn4vyq!S}}ij1Q7|Wd=te5Z#6(b#!om#7DPc@JAcIc#Y=tyDcn6_HGn|&Ki$o zHEEPjirSQ@tIDEgCDciHz~gP!z@M%E09;d(R6!(WARMjis)qOWz5PxtuN1S>MN^j3 z%%^j!mA`U8wT*%FwkgzkrI@?`1!l1p^&I;A{{W^vMuDi{R$*%2`HddZ?nH4kfmv9v zQ_Y8`r>(zCcGU3I13R!s?6&Xq=lWx+n2{j!-CPs*)sG&%g}y`c!c4M}{Iv_ULGsAp z-22}Tsir2~9YFgR%TfspJ`w=_zn9~MB@;_Al2+OmlsmmUem=NKgpo)RG$jp!t%dDw zMelR?3@Z#qS(V~|E%K84ECTecMfj z-~hkZw;x=2O2iWN5tDOb8@U&~zo+|QXF*RE!~p}F6&~E!55W3-uqj$Qad~)As_EWa zO)UDxzb&Syj<7n(RXU?xkyP6K#~uEo*BX~A)_QA4=hXGNMqsqnO-_|GwKT=;EN1&; zMpYrfBEz`jA3G`|YIKgL?Tup{xCHu}p8Ns_t|l5lF#-bYWS@?NCol(aO-cqwD5 zV32})3zK2}$@*alXkn6WZMz@C;!g%YFKTP*ZB0Rybmv;-rh`%FnX?*bt6sTN{4!@$ zn5kBffTPif!On{4ZjI&HvO!7F?8aJSGCZ`oW=QAEAlu>%VeEPN_BR;8wZ0;H<67Tl zi>n%iV_2@T9M*1mw{6z;!1;Qc)F`TjL+i}yW^e?j{7z69HsNDq&9^nZxjbNN!}0ey zHogI6*W6y%q~F23x|5)K)2iDz()rF?29D{2Ni4JGI-a#-Y8p;Ke3RSjkKo28=EI9TbKK}qLX=25P5~wc3 z=bCw1a%Zv9<_1+VC|!yQ62r0V1-bkWzt-Aw_+XruFY88UsI?xa%yO)sC&=G0%`2&O z0n1~JW10XA?xO7$_ly+;F~1#+^EryDJ(Gq7m9JqjYyJT7>B;<#F8=@n`qECG>zwOV zRcq>8i!5A!Z} zYqRY&Q&l|qJz`YE@k}DAyG0tRqJXOL3s@7uyBm`L>Ob)Y)Vhjn$}KIVVuCEi5L7)v zqcd$AZa`yW`CNh90(@5Ln7XH(<@t`B)>Rp`9273uZe1o=l#fN`J8y{7qN*d77X?%X zu=WSUfjzYp2lefb=%w|n>b;d$*(gF{OFJ4^GW9Z%JrQ|b+Nqm+tS zf5N1OIU**?8lFhh?zyuK+*;g^!v;D}mFxbU)mLVk(dqK+sUtE}*3?R@86#VA#`Xuj z&AVa|;Qp1O)ft@)d~X#*N>)f@QXV%8F9-hsZ^WmA?aypYJYQ!Obh;jcAxGN{Wo73; z0Njc$7oPmvkC)R6Y9}_M15*|__waF1;}WW;J!)+gqv5KBqyj38(yz!8@;>O@a4daA z`0Oxxlc{+RUh;~bm}+VXaxBiWHEJ@Gd67?I92Aq(f}`Q)dIlNN`1QBjPJc2tO#CuYgNM~)MTX=Km#M*w0 z=}hB36{k4Oa*8=#C1eQ{nVly804&`6Ukd!J8-sE&m-0@h^#@Ks(d0V!JD?23?N6D= zo5K-u#1L4XJ#o3ZM;uS9=xQR9%8m@zEvWL@!rx_V4sLJmpnW(U@dxln{3A2Z8aW)9 z^?zJwI)4;dl$5elXWCY2oeu&ng{O&REJ+&&U{$a20fMO95SB^e!IDmB$+`akQgx=J zks{Q(vop*V8<8D-YsiXu8-QX(z%~usay@Y#tZy>!6plvgn|fQ@)Z6{Bvp`_8xqp7GzQYt37BAtfY@Em&aj8$7QtC+&6Vn_ja z;QEhjQNx=TdZ=dR9S#<#x|eVdHw8t&9sNbmwmpg+?0o5V-2p5OiQ}KU=sEB0hLqBz zm-Emw1_gj~$G5j}{XUpl7D$i?W(wl{)DeF{`C<|y%wqB0HfT!jDg}#<*ZuyVJYGM; z$UHtVGy}&Hg1Uw>#DI49B%glWy?bJb-1U=|fv!!iSXkfRjye9=yMKq}Td#}_1sljM zCZeW7OI&hD2i$UdaoGEE&IF!F(-+AQA=Yb$c( z6cB8&t9y=n@>8vPlDAWT3$0$&T{YACQl^JFT)L+q)3o9mS~+0UG||Wu24KuxSe68W zN$1qLmg5#J7s5V$#b;3~%mRi3Wv*-q_r33jQTf|ZDpR|BKnsTbFr0D2!xE%yHw4>p zzcwSE$LIO3T_XesRXu?YJAbeGV-qFrqeBe04`SM`UBOWwJf7qCd~um(5;;^^RNUA# z&98Co{eN6DlDT(%oJqnxmG^OB^!@k3X*;@!5*>u{S%+b7aqIi{u%n9QxsE5m==qST zjJe~RfnoSz%>`vZc;!V5%8g=33t&5w>FxfQ9}KSTwq{lUjtM@bUjG0u*AFS(6oE}s zaeFF*-H#UIxxNb&<0Zf;wtZUhm!I_yL}v7ww=eNyNz+V$_dzTTkP6C-!lXQm(jtqw z+Km{p@V&_#908tM-1EQ>Qgbxx^YtxiG92o4&!nPw4KR+4Z%gGnGbYtfGRJ8qYk~0s zXT4PE_OH}FCUjR&s`O?_sWMtRT-Jj$TJJCa0G(cIK`d2jj;GCY<+OW1x`GY)xQ1u_ zHPpQ@=oer0Hh&~JZ61F^t81Xs*;dc8{g3=MSRs(8UxrD1u~0(TgCegMVU1jpZ823z z(eoW=V9rzmo~kd{_B{PD%T$CavTi$9?ppW%08DW-*N@2$!?7w!9~UR`^!ec`nMwEp zTEfeAJP+SE^ZQ&_<~cFdG=xNjTmZlj0^6TZdB<+%p!r+3F5!DEf&4$`2++i5iKAu_ zl#6}I0AH~DKAhv3W)>*OEC^O3xb(NRzg$(-jH$GTQM)XjRI;loj^K_ie_vnA8VmSm zR!K+V(=A7ke?KhDQ?R)oWRbl){nCE8&O$|v)saB*?_ve`{qy)@`TiTy(?#PWOt_R4 z`wnl(HoyM>J8HfA`~CwA;!R|Y3+11omY-T_I$u|x^*<}>hDEEZIx6AGXdvi|@yMr7cT@$hY9jSSneVv=Gom1K)D z43$qbhU`M9vjbocem^hD;|WPw9>60fWvmYzaogvHb3D$bHruz+Nw(g9Of+evftgS; zi{Iky?O<__G@CUsnug18~wKWdj1EDBr0T%1!i%*xZDpv^MoRumM2ho1cg(; zKR(?1VY!jPV25p(5H{QOKT(KLppJACT9roK=;{=+4&;Bf8qFbDj_t0pEtC`Y4T+{<$hXLT z?T!p@G%`~|AH~hV><1ise!kdRLbULq^AmKSo3Eh+4{zO$6P`mIGF1l*NgyS~>1N-E;;>x zQhzP74=qSROhRox5w%RCn-5OkhpqV|tElR;IMPZzjLY9?Hyy~e`+9o&;3j!3W?u$P zI|8j8BbNn8C`ClJ1bX}N?{7>ICK?$LD)V7^TnWRlYbzEYa5=rbzkayT{R8W6O;gen zp)+aHI?R$sYE&*kk{2xdmi^c?k^(&eUwmb{NppJ9Bfu?{YZAgP^+SRP+O=xo1-JW^Yjic3kiA#QOxXvh8Tih3r(Y z_2HY2#65~!EyD7gQgm6}DEhqw^N=+ynQ4+3U-8zCMg<9KN45Qw3^A z$XmqZ8yg;Me!q?@tn;CIH#C8%DwdSPHRE$7i6DXpH}*c*=_KWB&C__4vCGxUGOll| zG{l-0G11*G>B?l0<qU5K*IpglC#H(OQDid4nu@BGDjno5 ztc`T#SxSolKp=Bt@nZ?mEks(^Giv);>SP;ROH~GE&dFR*HjD3>urPTc^Md9a7HfgD8BA@Ib z%Dlia5&lNK z{Kl59Sr!P1MInj@aK_y2R^$$GB$A>0>Ab>@^K>2}YRLp7+h=~PzfIdS10P~MIt<}RbQHrWGw0ddai*t6_ z3kh@QKSH= zk!5#bAW#>Z_b1r={{X%RR6ykxrkY)nZocLJ05JXk0Bk+XLZpsf3t6uqJofkJk6aF^ zXO%Wnwbi=|{6PJ1c=l1(xED7iWo2==5yrvDJoom%n)i6r?vWdBq4YkdwhLxd@Bx86M1VU=8x#W$L)8traJ zd_J2lGDK?QnYUi|C)3jda|xZnQdZWn3G8vPml! z%o}!*@dh5gz9#WxE=UEr z7r^sREkuXQB|@(@9qo-QS@j%6ON1qpPl|H3ids((*>+zPpW<2Utg9XVHBr0NgU7cP z`QoqDxm+0qK&FNiLA{t3ke0Ro0H!q-cT2vGNhMQtQd@#=Z%k(Hqp=ylQtHk}!dMG} z8`tu`(%zWU(&x!JH2N`h@s148jD6E1bk$BkNo-g(fj0mPUiZT3Jw=)0bp`(Mx5!)T zgrcMp%)rkXDxU(ZV(V)g`~Do>{{T#T6(5-+#t_I07LA{a@oRkz@vj<4TX?qSV-${1 z8{D0nyIYQagWt9v0P@>tBX#b;8vs6kjlUc)GQ|v`{Hut__((nPYxl#pNj#q0n4V z=KOXC7f|4%c6$QV)Ki7?h1%B$HjehcxIAHa3Q@CfcClUG4Zfnp{`+Avtp%s}NsIvY zvH6k*zweAFB3XozZy^D-$hjBxx7YW6ETd17(UIH>Ng~Y^O!6TLrkz6EJMJF|{{Y%7 zZ*$tjV@ZDv`t3ob`j?pN%%@E1Jkp0L$XToMtu+-py;3~UAXz--TOejux}Ddz1Mdvi z6D(BZd7#{eknlpcJn`GP#)0r1p|pQdGo4ANHJ+`^sA*@(<5QO9+01k=UcYIFb=pC; zMTu=GjC@CJ#qG4(o!CUnH&g3c_3?$%O)IXBXPZTrd4@{d>Ifs2vYvUQW`=%RCM(d|+=CdSgoT z3#z$vogmL18RLp$GK%!ANXky>6v-$Sw6O(pru%+`fnDakW$K=zsEzYYZ8C&L5fuJf zsJ81`H5`%v9+z)Hg>w3tql0ZH?q2;d>HbTl`iH4?MB3(RiR6DirDtS5W4f~&5N>|+ zlk-vZ!u?FA(EV}9PL!g~<6O?1G`Vc$Y<$H8GLAVGy~n8M(~8%_=BKIDdA4-Ahd+j^ zGtG=p(;E7KDoms}P?sMOV8u<3qFfvB`%BYSbaznFX4>;SqmqhAC6OBO}ZS!TUE)>>ybe2+QP6^l_2Hea*52N$p^0QkLaYvR`Er%kH* zdrbXU*OU!U1q)t+mZ2G5S0jQ!0D*El`uw>vM)8N!ig#EHQ%cLYGc7nH@wpzB{{UP7 z*PbXePPWrk8f!Ds)vrle8Hz#h4Y%Q0NN-O60Ef>J7)|yW>T%;Y%ewG{{ZoH>2+q7sLAu1gvzR3ky;GfG@_nI z60BhZ6oI!!eidNWV|!e3cH+Mc{b|i~hPR{By*$u$`NeKyR~!#YvI9L$KJ{jXJ*rAO z1?7ipTyS{1yioXL=vJ5Tzf08o-=*{P(^1QptdulA;`3C=Q(n_Zhc1$yc?%F>kIwUw z+in}<7eeH4ryKY@{;MO&Ic|N4c=+-6qdZY`3n%Fv4P3RE)dshZIGZ#Ox}{7hNm)&1 z^9JDG&r1&0Tx20pK3`uRVFZOF4yG4|LAoa$h`-EmaL$%BO0|t4bdgw*<*6VkJ^fAp z0H!}e^F2beY)BIKacc|S#2);58~Xh4DXu`^OB57Pq-Gjfi_R1qt&6qx6MKSxAfafXV>!$53KnnWTECnP!pK6PNf8Tr?64{Y{V)-Y-KC@5I zUY=)p)_th+Jg&N?O+lIDwfU0$xV<{u`#>OqZ&-No(3)>Scof%} zCX~v}3>BH39$`FdERtTLeD0Q_SGyByvoW_}*jtQ1d}QW68>sr1RiE`Msr_V?W1@;G z%)3U#w=AL|ejB`q5ex1OYuT8RNaG!KTRQ0VfAFW&)g2uF0I8tL4R&4s0P|E})XdMA z(aGj+P8k}&Tv!9}-;Q)M^NLixg|W&`N6-4Yi0SuJma~EIw%*;le*0n5*2c<_F;xnj z1NTL(`F9-r@G=VMTzTfg%V2mM{KtQMdsSB9l+$K?}-?a7#6Vu_w9xe$n4g2Awk_@)&N_cJ%2AucLi!l z?vmIkP!u)z@9X(nh&zGQaw z{O}?y%APrxNJ!v-yRXM1zpvwk>2oM!WmF@FBJ4i#xHtLt`QT3?Lkmrjt3Ju~+pK+7 z>Hcw+&~&rI{%5S;G+CaZ=&F3Ap)0VUbF|-ZXScVOZ`@)rtM!*yb;pFBs^%SJ=@MxA zk)gB5^6D0>6THz3vSMUnt-%aPwTEm#zli?5>F$Tp`6h9ydWWkr{PwDruA5HfdUrYd zB{f?gC8U<6f|9YvwlE5v$IJr6T!38xi>295gPyNb=$^Mvt+V6P>iUgWS^VaLl1Iww z{J5ers4hMkfpBiyMZ(x$LCHT)&{=7lM!|mhtd7Mfy9+CJV`2C7mSPTKIib{V-k5W?yC;) z&mGw9SW41~>LW#o2~)nn5!esT-|2)~FsD^e(+#8sEEt>j;@^P$u*)#0iRmANBoDku zw;hT6IKUjfxV)ca-P%@GvFDCQuOqkRiZ+oOU2sY&YpFs7jza7>L%zxfen-D2^u^u$ zH?v5Gj9nyw!+F%%wZUV^{{RZCxcMLSIHYq9mg`UO7u4`I50L~rrKSG>5MQ(^{p5XLTcqWM8oT=1j4uZ=0Jb!S5A zvb@JE)>_+4LmpvD^x22nGfHTkC#KDcPY&&d}0aTXEWKE!{ zH>o$j`MK;b@BY|HXEM`1>3#)aa_l%CFg<_Q3A6kPB9INre8OyR$>!tN2>Esnkz67h zm1hF{4^nOK$I}H^01H9%zSURkeAMkLafg*@p*zP9;(TF#ey~t%f6+TTIln_-*JWuM znqMwC0#q7bEvJbXi*H4dT&ei14;LU}>Jn_L%>GE5S2UwG+Znl0$tT*(g^p6K=Q{(bSW2t0F6I3IO-*`V1~%4NMoz0g12;#fQt=3qcaBhB(-p zi?Xq}^!CCtOvD6@4bg)mUf_?fz9N;`aSyXj*AtK(ISuYVAFdnEOv@8S;D#Uo!qx}< zEr;x8Qj$kvACe!?ei&(()l?#Hr;g>U3NRjEt}dD=-I1K$6pQ(M@}zJq6#E~0 zViBGzqxn$Xka2W84_;5Tu{_UVfoWMwT|w=;)1EKu-w@35NT3eloT)vVxNH$LK^M#v z@3P!GEyrti^zFxQ*uc3W6kwf-6fK&-ll%Vwd>O7O9Watt0mkEN_b2E5upYZ)gUl0m z?m@vEtCUgQf~%W*vbunbIV5}WZZGeEm9VU6w8VhQcxC&o>EGW6sy~z+izT?QY z1y+5YSoepxPr9tu9s64I;5n9_Nv)g31k2fgL$=h zX!d}%wbek+gZ#vSGq^*&jYZ7bMq zKk0@ORz}O^{6zt|1YCoRB`C#mdW&Ab+M^Poj#IY%mfYU~>!XPrG(oN|ZVkSiV6|L3 zw@Qn9v9NLm7*@yxWzO4`7enfAgF}VEHDIQ8mNsNI@5%i?TqRRDp;8r?4Ym$0FLT=+ zR7|XZy_NX5BiFUC#-+^Avq<0zd?0$Cf8Vwar0f*cA)cVEll&c#S8yms;okQC_QrPV zN*Byj?pBh=dWYwZM}NoqV?gGWjTIxv#1ItT=drh`#R1eoPPw2}TdIA>BK8;cUi^JOJSzn#Qb(A6?X7NAhX5aA>G)&x zbY^5x3&(2$TXQ*99abf;qIPdG<^uv-NBvMNsmOx?g{J6L2Y+k7q zaulk|(kc9vsUv9yMSEQh`|@l%k8E=tJZlj&^6v8FC$R0!&-;I-JdP!Ewm0+^aIB%)1XkFD1Go|ZBfs_Uj~&MU0JcQ-Vl1kL z>`%_a>-u_Q+I31o%Pc9ocIiN|z5M{k>D@z$kT)*S2v)NLe%<}Qwg{K8BbSCaj`Vdq zp5TSBw_rYfkMEpG^p8gRfv>a{chtm<$*~vhZfywPXBD=F@LSXkWd=d0Wz;z>HbI`{mDT4tHCK*?Ix-DX)D9Xq zL?QP2tEs;x);3?#DlZIL8$Ie~jp`1Q>Lyk)%6K(CmCtnTL>_GwRI3zK5WIr>gsmH0 z7+F<~&4|R$Jn=Q4^%q>S8g8CznrF%Y*D?8(kf29as@-f~*hAarM46j)Tu<=+{Oxj$xK*ja8X8pvg<6UYE$} z*_9e%8Yw68S(vE;EOaY~;gr^C8vJt3hhx~r}zsl=4o@-65Cnf-T##0f4?Fb*_i%4t160dNWpMnTe;N5mzp8 z6mZ6}6L8QZX2`8`b@bbNl1-sQieX-%r|AWBBTeVpk6o<>n9$iqps8whwqKn{ixZ$K z%*3-O1Xz0ZCxS1F!^b~O`We%mIg{iXS1QUW^+Q!71Ep%#N_V4rWikq_yOc<^0hKne zJ3%C{wWEK-*Eq`ZjdQ7Jj$ktU&Z|h(#gXT8WKvgCWwngac~easdzoUr!C&r#kSNTcvd$S*bdWS2nN9=YuoI^#1^pN0i2})E$j5i2!+iJ*(V=Z%iIAf{3K@ zw<4eLV^^WF&k}k%Zl=#q7DAciXET>EH-%&M4o4wwKsO_TZa~JC>UOiua;}*59v-{a zbZF7kX&T(SP0{GVOIw&eV;};WRM^iV01{bA-l0N}Ikqx4ht8=}^mnS6A5n81B}tr9 z&VW9f<^6RA>~pHfMi2Q!HgRhw}kq8sm;ZxIAA7rBt&>5*Y2hijWxX zV{d*xTYF($Kapmdmo$SQ(^+M1V_Q`+#ZOI_!epLDCd!D5a>Vwq9r0ykJ_h_>Y6xUZ zufu+@ViM}p0XjUR6(TucsKa^~Zr<7CkxX_GQ$KY0;{@yC}<`Ggj13TTUJr!vS*=$X~sU!tf6^ zJP7APGEFtA`hl0}?EZPumU)q6bd(DqAg}<7axMp;-E-R(hA&keDYqL$ct+~q3`SVP zS$FYc+UMNc@bCCrUI|_W@om$3uo^q-Y^_pXMq^hH_4=MZn7p+YOS*rQYka3bMU>ON zL8(<~KF=gk{{SjO#9}Ens|MY$h6i=l`sC0Pzgcb8xM%`&o^s@qHW=R_@1sFnTxRE3Lgo%knOt zL#3*Eb(I(@S0c|dR*s)EsoG3}t|S5E2-XU>*b1w1*m2_yldG|R4!v2Ebv`_m^VDp+ zbuN^nm1yCCGfFbC#*DWc5pH;}3%~=MRn`7Jb;Nn5Ym@3OxC$@u^r?;8Dbe(xiir2B zEvgx=rIabPz} z{{SOL3Y??8E*BFbHcweZQ+eHEhS z)|EM3JzWhiHNWAtK4VcJV!mXTRU1K4E`{uS+Z&57>K{%sEinw)&)X`Bz{@bGovLJD z>TV0j%uUqTf=#ctk$hHtBkFEZnriINrBdXMGP=r{*Dt2bA|ciy7#O}SpsOPhz_CA5 zg6e+FYp`UDr8TwvJ^h8@dp&Q(|rDdt$@!AJM$mp(Y0b%%Vq|vB&l``&qnUvSZ2R0g-mRHS~5oj zVxFt5tU8{apDRb6V>)?i$DUfidVAx`>Tu-7++?YqpTnfGNlN%P4uk$2{WPCWtld}Y zreT%T<`fV18oauZW~W-szssh3@-BbRz_@P1kiJX&Eo!3EFlKtIUFQ{5O)r+Yev8xT zRW8EE<6k~#w{R|aHn=?Z#J9rdQ6lSqN(W5!6;mA2h5%rF*DGOVAbQ&8+mB9hqPk+8 zXBia+Uz?<~?*g=Ck%0427$|MGt(3l8i80qeO%_^=!f-j+6N z{6kQ=OZXIr*!?2Pu700>f8dmKzrc@%olTHaXAm7=BN@Ix=@vmX(HFZ#klb2qte=^IH9kCrDmFFs?364 z{m50p;QNk1?TpOWWjv~Ba(XaLRNtj9#_EV=0`a`p)* zC_s>0+C?VYk%z>s?B3%Qo?V``K69}qQNx9GxjcjDI}7@HW9j$tGwCl)^@oPM>!?bm zZ2L_`1i4*u!i#uXqB!J*&-u`aOAlsMKl`up>qkc%x$Q)=Mj5`rSiwL2gq)90V~1ee zq3T?pGVvb_kB92qW6WPDmh}6nnT)Ra1!YvS#%~(MGm?_V4fY>i;1O?IdtzBpE}+OX z+?t0==;2pGPcklJBgZ74Vv*X>i8dPz#E=;MJeFRF@i*1I1*Ylp zN)Hd(60vC3VGd)RXo_08sRXXgxt>)!h}~tlJ3ttS^xS?3{-9B>K2JwNvRzB82ST-9f! zc|)j2+|aXf%$FsuE=d=$x6cD=r5n|%`-6Q1DaMhmU(K6R_GPw_|SN{q6W+{*u#Gn&UZ#O665l^zzOathLo~ z)5NN(U9TjJ0N@@wlVAZP3`TNgLt6&P0Z`#Wf(RG4f0sC!^mi=(02%5gTR=2infYTd zOq!mt>Dr|EPj8G6M&4h8&9?gB_~Ax&e4LUUd(c1n2c`c2`hl5h%%-}iYM64fO_OHv zRm6z&l{0Q;P;A?v0CQpq9q|j&S_@P412X>r1<_d~mGi|3mPC%r<;DnI%t^2tnMc%& zT^=X29!;Y952b4I-kw3G>Z`MP7d@jH5fUIvzM!FQKt1CZz34{ zk&t)K9a7b)0x&sH2LmqA1Tl4JjuMjCWrpyBPy7K zk>#6@i^v3Vz<1!rf?ZdXYi$8lRh(tCb(FLf(rO%{t{4`K!6eAA$kO^uCwp4Nc?QSg zI6QGfa5pAFyhPIEIc9ODDD<|EtH>k&0Hh&GnoP=(hhVU)u4b<3= zLUJ6>Q0Y3V=(WN!}V0WASoFiV&C76Psms;wa_=tQn-)=9?K+P!LB| zLAVqQDdYImuLT?c>PK~DKDWis(HXLBOVa$OR8(epH7#D3r=og{!mTF@2iYf<3e;t zIg26i{{W!$#6367^Xd%lIqGMr%5sP#hMr}vnipx=*4x!qHYJbUWE=o7Y%r6^-EaxY zMm^3qNmp54B|?y9a$MXjoRUb}*x%50x27~#QFYFLNz!@peCmTb&FgawJso`6-brexN0iIAaRnD_tPdr2kT%#*y;RAmG~ZM;9+%7OlBSA_P}M<6Q5gh0X;A2|#{?Cz zxb!`-ct@wSjzy-Vua~GA@eL`f|~rbPTUt3mHF_?Z{(mbK2+A^1`)+WOC3;a+2Ae z{{VD)8w2t`iTrThb@KwoW+a8NUw`(w_Q2b-w;a@L`zol~u0P60p}*(;_-$H@3oJ#D ztEc(6CjQp97ya>t(b%d^uB5UMtIgZFAE-aEhV=oJ(`A{Bj>B?&Pod|JrX?nh@1vF} zF4&0(ro^c>*iWGM{DuCQ>Y*9b?sLc`U9Ne)Jdvp8iIzn2!W$jSxQ<7!gVd`P&fN_ByEj3~|b+u22##Hm|R7#m~Ai3=kmm>*;JP)O5=yf4$3sd*9dQKi3=ukty00P|T`L$>5*skHpEtT$UX0*tPOQzhjxH zq+|TahQVtaUyFaX23Na9V*&;OUUr@d{r>pFtlKaVMtCKMqK~?5^T1iEYI7LW)2Rd1 ztzvyK4f91Qp@wi(_DN8Rsu%f$9xw9wTHlr-`i?4gcVwN0)9`#(x|@A{aVxFL>RRh8 zg?8DL0l@?Mf2IQFnM_tGB!rNrVQZRD15va9? z`a2GY2 zVNSkLLM}Ym!+)Cp0Kd-$ijpDpLo0Oj2~cB9zE=ytXo~GW{gKD)5~`$6IPR|U{{Z+| z)PG+1{fl`?^K15CH7i-D@umg_#VXV{2@!22^U>3u=pMTx(e0+nS;b2_b?CVbS&;p}r+}}HQIHEd% z&Tt2ow)nmlUdy4s^UW#zoTwo}s+5qknu zH*4}PFa0nIucw;hDAh-&*|wFdrq5%j`zvMDbj*`1yUOgb_1)Xh@oV1A-|4??p)4f?Mq*L`5uS+1GW)pWIM zmTRpOm?Y~3ba2RHhs;Z;kgx#8)+JbxZKQ~bPE=VZ*+}O7I_f5y)39m{TcPv3rkZ&? zs4FuZu4n|3?Pv1;0GOlV+8M3h%6*BzX>Q0JM2wc;BHW*?yL;c|jRoR6#3qT?y)DZ+ zd!+iAt#v%dI5nA@YMO);qE9Ht6p*5^Xv(X&H)04R+V`_go_JO1_MGYDJyP){)C|{7 z(&nTlL6qebam!nn?%G;KjqC(!Ip4@U4#xPai&LasD=5#jFP-Jb70Uy;$or##Vr|cR zds`ZJ!H!YYOrJq#`tPSz^!bYB_0&3Xv{qr4EoBaKJy)8_l_=yOK(NTc&)r}<0>=Vq z^hbawa~LwcSDkfNCx)tDvyAGiE~83#4&R1HU%cupSg5%m9@fRL(Jq*4Uj%VyI`drh zS)tLjbcy~K4wcO#kZUQnvq043^97ZgaW;7FMb3EHC>ZMnODJm=SxK>=RG|e?W zVI@*Rw;;w5lH`KhfVn%3#u@7EP0)`K!jG@ox34+EX`HGrH#+I2Yo5(fQx$TkVI3Hf zLbAaw!U3@Iu7CSx)rFr7{{RG&{DP=TJ*p->0?0InPW&rS=DMF$XS6zRHPcl&jaIA5 zHA_a>eqhx;N>alb$*?#ZTF=8VKMjqsjJp2-p)t3%kt}(f zQUNSehPmaVb-MCU-AN^HjrZYyrys;e#-$EpMd4egy*$$SEN9K5$*QvIbajLPv9lLO z^9_u5k$@z8Ko9}N5!78E<7mtN@X#i_=9rZV_rlA$AZ-|$TVesT}+wAb4m8Poi=ELMAAak$Vfm4DICon?}(rz z`r_a4>!Lc7;}0-{R%ShSsOXnU#%C5or(()$T`B4Q9qTNXWeip{EhCmbq-_8k0r7*P z`iJ~6JV9oC7wQ*M>3Y@E{Zp1e*}X1hSklu~22_}ZV(ej=41uDSJ6qHnT+DJpTv1Dv zndid)07ZOVRtUPg&=;x7sOT$F88ewP__ElG8%gEvnnYOv2nhfYVaVhRXr8xpo%)~Q z!%@(5^F!(7*V)EpL!4@zE2K3YEnGDdQl(1IJtZ`4xJe+G$ndBmaUtcmDvUx@*(CvE<0~oK-5sD3B)|npxymQtSz07Qpd|-;du3J{o*JbnixZt^OaK zXU|;FQo&uQD6?iX)%kPFR3V04qz&rQ?^~#_G4f;*0~|**H`7kOPNw-YXN4aR^Xpv8 z{{U3=#&4!FT_ccI$M)*YX-h2BbIrI9v3U{RNtuPoRj^Tg#cnUEdcD_fkM&QZ(D-K4 zJ|X&Jl;sul-(dSS4^J}@MNUNJkgLlhB{HcuFLG{N++NtMd@%Tt9wqwmPW~lm@}ZGZ zP)hP;*+yQGQ^hor#`9G9Y#;g39qjCHdvH11jiJdEg$XklVwg)421uDfMnVW?alj>QNa|kq1d~gPzW)G%?NUtn^Whhyy8EI&K6GY? z>JC)Ym9T0$X(M=!=xQnV7D?f8#F0p#m2i3Fa6tofc!=?vS>a<{MVIwcrSX-jyz09!mU*e_vwA^OG@fBFip1EU zo1KU*0-goUy#_5_zjY77{)zEDES@BEW}(d`s>tc$$trUUv1>9~Xh~r%*u!oIdlr&H zhiM8ey2lzYNkj{F!rmY{tFCpwfE{hEx`~zL)Pq0DC!Z$E=qiNXO$6RZg(Q(!tINx? zTGrjRz=MyRy%@^t>oaMy%%;D|pDkFP>u?=fc+jb_x%=bZ*2b^vc5%{p{4VNTyP_3= zw9KDqs-~q%rA$OoM62K6U7%%q9_%bJ>fnnEXH>9A4eFpH4vhE(*ZDQV^gd zjt|7d?H%kp{{W^w!=cjSo+UQThdsdtqFc!FPoXHd4R-i+b6Sc8|8!$mHC2we841KKPa+N+L46<6wL3 z58J_c+;S;+pl3V#w0`*h?>uLFx2LY@18c ztb02oDxpQaEo1fc`u4)`ROvd}E0#u+N9mPUmxcz_E^87LTCqlCpiPpvJ0VE50{{TaL8z!4iCCqF|Wy`zqGKc;vEtZV|p(cka zr&s>~60b;_C{z?ckX&#sK_n7A)Eje*F{TWX^*1b)2L~{OmMCqsIJeMq`@T0X@lQ*1 z<3g1OoYuUDQf=`?1;@(%{}jtdt&Cn321X&A3hP-4Q}vrFX&ad;0B#Q>W7^l_*PmW-zH*k4X|~j=kOtV(VeRa1 z_5!ixY0%{{TPf_+f88XC6kvz_15sC(v<-P)5pa^J1UklgyjsA=KQE zTK=T>J@Az!e8OO5nVHy)gtsJLxjvqq{jvHvLP(L>7jk#MVQczZ>2LSPBgmrsNL0M$)MvsnF> zt($WLYg5R3`}h3u^)JQkIGe(LzlKM&Z;%ke{p~bn-y%pKOXKCYPGgaE^bjcwWP+hZ z?Pe`cARnp!0E^&H9X<~a_J((>kLCTq^^!uBrCL=`+?~F>ABPfcKbRwBaR}iXl{T_6 zpNr>z&k-En&{W=eKI#h%!{P-&=kvGL_><{bV~Z+Ki?g#Lp6t(elAM$ZpZw@THUalI(eJSW4)VcBc9;?JpR8-c+F-EToTML z`u_lXV3C2HDN{VmVpwKF7%BnypLeajJqABXH8uRFa0$8Ze&@f)9zK^g^z}HpiYvX)@{rTjR4&%Ks1{&bZ4SEKQqp=?F%kxQ(2wlu}eGD48p!QW_d_ms+6bz=e37^OtwSS zCrJrrZMq}fe%HM=&3aayEy?QWE9&vZ~q~+Ii}0Gnbl4Dq@;Al~lb#qbLlFLa)L%U%l_oOL{Zco{;2PgG}pQm}He1 z7Nm}uGi<*))DY9&T4_YkHuR7>vX*gc@+3T53_^83SZn&jpmh#$Ls6Jz)mmApXOe1s z&T~y)M>OJ`BS#~*^8}K{%VT1TCBd))InrGz7PqF(wU)BZs$$9V8hDnk?9XSYo>3u+ zJCk4v!!s7{0$6thQERlz3(G6xiu(K&mJG`$m+s3OtDQ2;I*VJB!JKQpnrUpaB+HtU zF3M;%6qAS|Y2}_m#TG_(X(e{#`nNX1-8ksZQ=4fDj*{tb6M1DtJ#Bn-_3&x@hI!1+ zfT}c)WVjq#aVdL{FN%v?qUL0cP}+=a;ckI;=XMA-(0qv{=eNPOCe7dJ>_VQUvF8d+`>U;hB9xjpa~#Li!y z>CBHr>HU3w`oAs6rl-$io~X+-5t^AEo(WCOq*ZsnC4h8Neh>jloqM13f1?^5I$udV zRk`Inxt4R8#{p?2sao2YO*=ZTJ7bO;C!)xtkBk#V;*L6aNV5FW17O=l?F=j(ipz^A!EiInqx>qOE_0-XM zbUB7n)l{@^AORtn*|(`52xbaIcQ*#d6z7V}l8-Oyq^Sm59R*EpOPAGV)D-b~Ye|?( zNfXo{Kt2W%J26}QEX<<70g1+Q)Q=B3`%qJL!&+upy6Pp4DvaAor6BU_YvPRqGE^3{ zP|VA@gD&B{z~aOYE~_?7QOk-n33{sNi10RMr0{g6M4z={pBI(@@M7cyc-=AL;&r)-GArAFdAy^Iy z_OS<_x_G%bvU**r+gs(YskJVpsmT*C>Xl_(c5_EM#Vj&ZH|crf48jA}+V1!|wTl%G5m z!&8`~HAv`4EI@9+{_lpB_4E{Rim6|I_uP^0<&EqGxChtQwj4Ciy=lSP`?$IF_b2z; z0c&7v^E{FXY5ch9MT(&WuK)|%xZHnSLQMz8tZ`hKy>?tGS)&m#xP+aoe@;(q7SQ@P zsdW`%OtV4O<}l0u0MeFJg2O(~q`&G|^oJ(Aq~P)4F3tX^LO)a$b%UphF}xGN@8DBR3Vg|BQ@_z5^{t3F3{clcg)_oNyJPIVhx=<3XxlUPtkRi`GX%j8;mz3}mo#j@WzU06;2l$=tjWJ+Q1$$h%pO zDh0yxfAqh$9Mi@@+S?pl*jQo}z5*nWlo3HIuEAhEfEaaUk7t;Gg|{Gj;TWi-SlKDo z!+#cQ-{f)JB1zQjP`?+#+eL?`;t#egRl7NM4yIL@Z62F`zn&5^tD$g5CdBdZ{{X1@ z{_U8Wn_-!n~q-e=vRjvs4!4UmZytHl~H^Dm>vJx3q%h z{{SP~{xI@LVhQE>8(m1;LiawL`(fl+WKMSp#?==Kf8W1sCs|J#DdyvoYy7=E$9z?$ zQNBPGP&by~Aq=Z<44uIz()f?&bm(9LMJpj62{+{YzYpISm1eOla}rIh86-DiZU^Ux z{-P123gBHCJ{`ULcK~uem@Hp339=iS)0j)a8Ya+pG>_}`xscDkw8~0tjkI&NFU`&KYrItfuz#fN>_5QeOD#1yxE@;IhWrYAd76kY1I3BnY zTEb|J)UM86=hM_*1hWaHnf6U(ZlFUK6(*d%^V zBUKL=sDFXS#BLhb{c-yoR8qk5-fC!_?Pq6LyKVX*5*n_WG8;`Td3W7V2U*8x( z>S@^CN4O@y_ZH{!;|o^h#Dh+f7Jfy>2Idi(K&6!YJh8U~~lV_-DF>2I-mv_WV z3*7$zn4gvKCZxEHg+xnhSPNJTKZ>zrh?#7zTi{P%Juu3?X=B_LMPf%|z_t$?WORTz zr8tf);aJIHM0g)5nj1?h5hcGd>@9=-TyqfRgp7a8M{lpo18V3~bHyhr+>%EFj@V)x zAXEG#b2*t|%O;VfD!y6Y@LtE>{{SpeI_g?gukzkixmF~qSlsYGF8=_#V)@ln&r3ls zl@Qn=EX`t1Z*O1r`eQzI5;TiC3c;Q-qQ{O$Yxd;c=lbJ!K_@O6JU@QwjIJ-|HNdf{xN%=>gR2!q6o1FvhZs00Il*OBwXMO3;lLP<+*_ZIZ`_P!m` zM6T+K1dA(5ws1fAzJEXKjm&9uEe3r7=+2UEws?QYzrggaX)bF`q-Dx7{H~ilrCM2M zSy`j4kNJV4n{yRqVg68i97TGYp2d=C&2iRj(@8@fpvY&*@*J6}s@9~!uTILfGCG~I zO|~oB{G?m&3yJ>#hW#1R9+z|%D$BJ^UQv+ex}z->66Q5JvN~#sU@c`aZd;<8kU+mJ zd*0ZGYOaUE-};T==R{`^zNL>b$n_pYlvZYfS@T*2hGv*SD+O6(0${-nn#H@Ga9qlx z!{lZay4gtePd@3+N377Ox0C#877hJ=I4@cy7xZ)jx4^5=K6Z4sfVIx zAckEZuC#2m8NQv&q7p{D+7vR3#;h3L?Qe^!#&!X`)uC)DjM-47U`F5Jgs_Fc? zjKZVIc*2AwNR4-vE^Gz6livr!Bdy7)i^`g$?#+Eu(>*;xujpl+EjzAzM^h>z#wwF? z79hyGh3&}s@$>b@yVu&1sy`T-u_~)+Du3zvT54T4OPDq3=up!|wbe)6+U&%7UdG3^ zG1i|zx%DhiA>Jxfq?f(Dc_ez<*ng(0(A^%W@f-X>^PL%8t*J7f@e;_@b?vevYCv`p z#E-nHqn=ptZZQ7*h*Xu&MJBDQ@x+GnFmWNyPGP_Y{*>x=&#ZJj7hihz+ zLE1wL-0$UnhtT6M^lMmCQ|p|fmsV!-K3hXjO*K7SjDc9}<+L-PHFvr6U3zdSd1%j%wz z$_}^bmbcEbhl?PJhN8bCm{YwOiapz1qaxN|KyK`-$+06DSH&M!W9vUyb8e(TRZ&+h zOpEr4s)oDH{JY9euIFcOqYP?K0or>b>K}xyP0>uJq1l#su5;SPgG<%Wf8}O)Wkpq6 zT%GbIz~I`%slG6VsLFLVjn%cwnY>FZQhAC{p#_)guK1p#9yLaQ_XTb?R1?aa?4Ga)W(5f2YMbraC!H! z9^SpNb@=L^#1Bq1u1TPJmbsN2RdixXdP>ShjZ&1bnKrN8v1vn*ZszB;@c{5e;b&HO zfYz^@P-;4Ss*50q)>h_K5)c%x3mSpTyD{#1Ytf<8Wze9}-%d_+{pOV#{?!NzqwdU1c=c9J!NE33U<3?kS5B5Ay-|M;njN z87sm60EfJ@#7A1FG&X&xAj-0t;%REL8D~ThD%$F$h+X6#gfRe-Z(M8Mv@cJ(bJ4w1 z(GGxWYWkfdnSDT~YO1o!Q#X>)w53h1T&nj3fJM(3Usk-is`sMs#^$LJUWvy}YkV?0 zQ^XJWhH_bn+qjCfV7wFGaU6OPVa5-5*VGg`pRUnU=l&qF-7k}6Ib+g8NkdqpF{$%x z(-yt#r)U6Mu)q1ZtvYq8YPNWIqJWn))p@$eRe$$XMQa0l3k&`O5+4xSTTx_vUYA_M z(Ttl|<@v@}3<)M>EJ)NcqczC$J|0E8Ui^YbuGSegxf4lQ9@aG7E698+YCNZ;mHz;1 z%HfWoC7W^IB}T;#TW}ne+in1`u*DDI{;rwx49YWgKVm?nj^phx+;iW8IJdvf*IuI0 znXZKJzo?mY%hPE@p(#QG@etA0J-CZABg84YtTlt@V|rP z{v@{RGC!!&h6v<0WVf2Y=$G6MA9Z{uBh+S;Ed2V^Xt-XJLJT`(w-Xq@SZUI$N zNj4<$+w1=T7<)*&q9IhtxONBA=f~-XoKc-@;nYZj`AvK6Tc6kRAKM($1~MszKqD?U zamW`J7e4%({Qm$h=6&0#!Ltjum;m?fZ*B>{%N$a(F7}m+1|W}d^7?;HFexa}F}pMO z@kcZt`gx&ekqd*HW(l=L#k`0}C*{Ea`f{BDbX@V{5$Hsow z!LJ&dUkLjD0NF`bhhtxvqWm*CZm;C?ss}4niVosQQ|;~8V|`^(i7C-{+QZO*R32|{ zZvOzE%O5^GHP#AVt>scHpN6(11x3jC_WpSK3zJI>l%dpxP=Kkm$@ve<9!H;Z?*0(p z-C{VBVjsyMn}8X~7WVZX-@i;bFC3BGPU|s;8*!Sa$AAE9T9${--+SXC}-_w)pk7bMi+e+_Y z+@5>>7(od0nI`2&8%mq6_xa$rbj+}j^j{8PhECx*>-(mOPN^wm>11GmF&7oI^Q^T*1K z11yni`UO}O8LPHfCCLS@O|SL9=^i8P3txGM<^90f)bPifwHxphD?Nq%J-d2ak8DXa z^p0}4C5|wJlX1WyZhzOe&k+3O-dxq@DYnQqvYu`1KhqNZASRb8MyWyW1^M}o{jG~A zqsZzdOeIFfS7##Elj6U>KZoq&saz6=da>*jn^=4PU+IL)`BrVoc2Ez)_qYeS!v!lE~BlfeW@J6Z1c(`tV)3HfRG8Za4+8al!>O2@ZHzGmP6ESZPr@a4LuRi8O=0VY?_9ap|cq!qkkbI z5Xj*CTUcJrZ~zA8)R#zlgIUu(QggaoijOyN{Mu~JmA13PB92Jhh`@ph zvMT}#f+v}`jO@e)gC*!^PpBg+wQZ)7cV2JH$XK75?t7DgRX#Ji=}6BmMVaN9NZarx zO_oDWLFVUjEy(#3^cWtWLl{BAa=Z9I^%|MwlA2XRzM*Hjv#U8IdJm%B7pgSwM%AfT zQ$vvC)wK;*P^!p}B+`a=3bHU&2EZ}z!NjwmejGa4MN40zdZ*#!BCV{L_0$3Eo&YwdAFzG>4c@s zC1wvIy@kkYp4Y$O+x~ET7&O>1EOAc0uK6jGH0LeN@>|t?F7V!!qcGMUD`%|^_i1wd zD?Fs~0XLD?aQ5JOe8HG#j=K1D=q_1Zrn-C8zNOYu=4jqzG_-V3Q!{)Vg_Jo)xKD@@ zNKgsm8LnxRzn0-cN(epA%Kfi(mwZ>XZj_U(vZpLA$9X(ol255N`Tm$^Su~9VvQ*Qr#qfYy_VwrT z`rxWcHMJs+O_Pm#*Zo1%4J{UzT$d-yGU)RZ05?I%zO9a%BBEMw(*-yW6omWArs9N}cF7t@tL^1JjOq z>@f}d`<-awc^){VkgeHYz4#rw{JFv2h&EH2=h>=e>tiiAXvcOIaecnLSRa|T0#wIM z@U)Tc4nW}8C^qMx-{pqgFsN#6XGP_syrQr*KG!!peL)|Mi1j$KalcUBCA)-uWzzI? zoio;XUQeB9-}+*crkW(xnhMHzBC8ck6jDgDviE6LN0Q76zs<5u4KB2s zN7GfUJ#;iLH5Fa)7f-ae7^ngg%&e$Mb8Fh+<-j5`#z(S@_*sJSB9VzB2}@_UsxRyvoL^|Bf)?^030m)6i`D^&BW z5=kXQR@fqDW&uL2&4sR6uixR?-X6Mjq59dvg%jl~z+Whg>KefcKjNF*D{8xTRU2H=rnj_MHn0oG9^X89 zJyOKA6IlAUUzMAT+ZIP#5TS#EU^jDrTj5G}jY*6!VlG${$u{HrVGw1F z1hXI@xKh@+x6`%p-AfpqstHg%K^z|a?~8PCyC zklh|cB!O94Fcbn#-U0b>hJ~*Pc_9p3{A8`K`~KK@B2-hx(k#5#kBkvx^utM(W<$AI zy;(uA2LAxB`(j@pq%f+MAx_BXu1T;5&=cPO0EQ!3;R5DhLYCpZM?cWwMO_eh+Gc%( z{6Mb&@P2rX=26s02KU~8i^sOyA7ktE!62-w=!j;l(8>P*at*J!9{dsg4g%KA5Q!!Q zTJBgN`X86!i5{b+SOEqF2#9|3T!G)|>+~3m)j**cTWao8aycX0)AxKg1PQQ3OT|+< zs}hfHP&XdGKWq%0k~@+-wz;!d9tW?>1hYDN#gx=UhTn7@y#D$AxEq-wh(V}9ZM?du zxb5$PRUEklRaT^v6oY64T$|heKEFIib9v;6%%5jR4tA4ZN&Imjse&Q{Mg#Cw*KO`S zFatD-q9$M!Zb7&$VBe4Lwk(rGfTyOKN8BP7w+e>genTIz>(tdpBM8ciu_QYS-|@%n zcipt}d%wE>0CR~QQ_81%LIwEk&G0WYrmB#Tg;-maCf30^r(ZmIlPDbRR%@RB0IwJu zm_s6#mKWL$!r0!!=Zq*)Ju++!RYM^qljc}2B~BOycVh?}z7AQvzrGE-DIyj`+RAwx zU(k#(&M7H@CYy3FTgE})92zuB<-z!m7ykfUHma6G63GxRXXM}Oj;hj9 zSt`ci&7DTu`T{WC6hw#NkQFv40pN3n@u<@I1wX>Z+3NY36vkC7%@b`?ZZ13iAKMwP zse%EUMYt@Ws0s%F8~n((@8x|bs4XU3`(ai>jpi0Mu(#8kX8xi_NSWF!=nFERhR22G z*7m=#_Qtn`Wm24x<2-KPh(Ukj{lF2x@mk(vF;JwXzAjJn?wzN4|^950onD^+xY{XeD; z&~%SkYAGEwod?>)n6DDibcPs+g=XOd~B4Ga~;0Een7P zTiX5cRc3j0R!v(zU6@jsCXD|8+$6D;WbL_GS#NRq-x6+(^#X%L>*_q)Fx2&#L?Ku` zWhEGxkVWi4Vd@3XJ-s`KQ<8Qu4tpdY`ZqzK+bYeb*V%S^E9Qv=w6r0Hz!0~Y@eapf zXi?x^Y=Kb~maG-GU> zi7N9-xFe3Tu}E9Q+fT%Rt<*8NX&zo5O<@a$8BWxM3ruXCMpTn86>s2=5-;h&E?DKOUWxJCFCMC zvDz#zZU7g!QHukh`7W8ux?xj6L#%~W4AqjdR?|}vBq(EyZFJ+~1D-A}et3uK9;fNv zgk>X3_;IVOsGh1r{xhhnXqG9bK*5A+zvTwT_rJ>6E}q_5-xSH!MR|C*_9Y$`y1QGM zMkmuSnnC4)xAhU;<%#qZC3YU?zb zO1C%C9XrzW`A%z?#~eACz`9*TJ^hzt|`w4Vo zQEUE{>$ULxtYWIlr_8e$Ba&KrpMs_~m?#^E5~1J07xWhvwk5tG^1V;4B+EKqr1I%% zYO{*csKlEpt(%|4LAmS{{IO1Szp0v@Z2tfu(%Jn6U6~3h#WYpb=wzCc_tvA*?vT~?^+ zeIA_A`5)QqYBa?x62@GqYNm;N$6`+ha}asr+;NP9r8Rb^>E?3Tu9no5l$8$F^C|33 z>+q#d1Yh6N_0d_bW1Z?wl2%o%U1at3RE%YslrfS`!;m(Mf_e1yz;&Kp=*#QKZ7SIb zU%(?lYW*~wap|{8@*1I9bj4@c=gh$ZDe9(`T0nqu$h;=+^A$E0u{M`f^rl1AF1^X3 zI;3;A%Hqi)smLOut!QE1BxRfK5O>(91o}6nz&R^J>NM$ZQu6Ialou+BW;h*j9(eI@e81pOxb@-%*A;Abznk1R#0u}jeIY36A{|d9OpE7woBn#Q)Sta zu8NdRRx*flBmC&;H;*hZZhieeoDc;i&`DM;LsG#BS+f0rvk*x0P@nbWe6P+Volfz8 zsCtd2>$I%ePKKHq=sc-eOSx6Qr^Er{+)^AZss+R`>4Hz?ajYmTwfDB(Zot7_*|T{nA`YY(D_G;Et<)v z@^wHak@iUq*#YE>SQG7HJ74FAP~I+MEE)M=0T&khy$%{>h_f&fQ&_2Dzz$8hx4-;h z^kgZpwXC6T0r_9pcKP?lgr6o_c68_qd4Pu|$7x_lCjS7ZAG={FY1hq2L14aUzSJ97 z4*vi@-)uOOa+Zt`7@k>&YYyLBB7j9G2E~-zC>$Gi_P@3RH|ZrcW^n!~l{V>ql?9QD zXB8XbkJj+1A5Xe}G4G5ckW}yLMphP}0&^;4A%(!Zfw5--_`+E#)5WeRnk~m|bRf0K9=eLF7oF8(PED^8EgVW&2G!vZ>lpdjdtzpy%W< z^RL6ydpf_Btdf|?%%A~m4T+IQbNS=whEY-)kR+rl6|mWG0Jm?Ke*XX=k0CY5_x}J0 zccg>*1O$xEqjA5bt@wVpT^U#f5)pJfgJbFYz6S}V^7!LtBXfG&y}w*Mr)PbR7^zkG zZog6aUllgjM{M8)vyxP99qs}2{r(tj=CP9L3dw#;H*v>f=rF8`&%?5(!Y}N7{{Xks z+mnRkR*}WnSPzZ1p4a2K`XA2`WhW)Hig=QNtGqeuXetzKmrPbD1aQB}YZGvI7QMb$ z`7xsl$J9)^XprwYng9r~8=BX@J&);+tzID@mZ!tcySpL2k<3A2KHwDY#E-xckCGa4 zS)kXMdv74FXqG!q7JK&g?~2nrKiXE7d~N(c>I~0T7_-)>s075$cB-2Y19tiQdwy7v z=~|eoa^#2n!0wLLJ3toi&#C>}5j{d6O!j{uFmWN+Lk1kN^dr*}9U&Ee_-J&pD-tXg z*SF8w_Qa^Rj~AX+!xB~(VDii5mI^n&a&AGrxVIkIaAXlc%!HCn?gus=rpMg=qYWJ+ zL&;?bJ}{sQSa$7W`}{gajzAPs*hvT>!`tEH+vIq?zYI+@CfMz&BWh80ETY8%f4`-V z@6+^dB%LEk7=KmF*0+Aw9qse^;W=kn?jQg#Bozz~ryzTL@d+fs zIdCT+mWoH7H@I>J);#yOKfh6gWM*kM%M-Je;fkI>=J)UK`|RcEqMd}`{3@GD3vh4i z+t-XStEP!vfTr^Iu?%Bix4o=S9G-3XW0ax^+3FwUi3*TSi7F4nb8lR7(ttrR3vdge zJ+16Nwm2d#3zF5`P{*Z}WtNFD8psV&?Ub}3Lox0ZzLBFf<` zSC0L={P4|Xc-V+`lOHOE{M>u`cIVfg+++1q)z~{QEY?&c@$|XiTG&OTl}C{x8#0fI z+fDwzTYRtYf~m&21k1^ZWJf+ZFRubaUYYOo}O*cBUh6HfCPj z_BZDL058)Yl1E||p*N^Mc~2sbIJ;qj#QCe zpJ?5+(A`^u$0GQ&GQSKxYt*$+u2G<~DbhoGMVH9ihu**4x2L)MjwTs5@bU32siD|< zd0h%2EEb`J1*}LsxdiY>>w!H~7Z!#w&A-FX%c)Eu~YD~W*63P6^dd$_fvjJdA#Eeye?AKGrb9-Y*=N=yQ zmr?TSnYyD>l2vBYv1iOQm&}f8F|*4y?g`}g zAol%m-iN`@NUC)s>fJ!uzG+`OZjz%h%$S|TSpCqvUc`FgnRvE~7Ma5mxj%Xm$D;A9 zv2P+t`%~dB*u0F6lNgv^X|UWuJRS}btVOoyV=6Cyhu7cr{P4|Mp=J{_?eVc|iKun5sjIQIfgYyHnIWfWJ03x_+78mdDYvc7( zs<~wVZup#$a1XEHgyM4$C>aXda=8{3_WcekX@pgLii01X;s&=Nn*EQzfBC`{Q;_Q{ z=wyuTza6+XKi3JhU8iIU8JT_(r`M17$4N;gTRidx0^Hd4_Wk_vEzxU2bQxr|k}@nI zVZGUy+m6_O3~|99kYwIfNK#Gr3-|fqdN>&(jxwQDef#}?TyZ>5Zaz@4=D`O8_iR}u zMIQ&N+Bn``cU?_|k8U}~l7ho~N&ri3V7KSL<&LW3MuZn9cEA?rvHgr88ixWB?YFZ6 z52yTMJ<19SnJO8gm>Bl*zYT%(zc_7GAXHaURGWf|K3%Z807m*bckQqu{{VE~2s~47 zwMy8yv2ZVQf76T-Hj1>yH8Jjt)WXX9mdvfm`4D|OemDtT5-!zPP1H8h{FNU60N)2H z5^6*CX(0?R++5jjf5VIi&8gX`WGMVFJC&FV1Hr$)bH)gYkgZ2ntt0ZKw`@(_hkQqC zqH{N%yBH2#P>+Y+--yJQIHZaNEhzXy8<$?#jMgkkIaplWa=i8*vxaf>4yYHL)D&=@ zV*zj-ZEr(>nT&)^K|vsOu?L<#Keh|z>nzh2-?>4un}RLv!T#6<`vj{fX}s$^fDzu&lk5Kg)eq^9*dmq$ zxlD^eI`|$Mq2`d*QD;NJKe6kE^T<_q7Yqsi05BHBjYANI$UO{z%sBLXSFRgMr?9&c_A7}cyBm`LEWpNJn{_QJK5QHq}};wr@7 zb@(_NS0YbP#DWPU=fNJhW)9KAY|Ac5;@Bi4+wOn}7!H(;NbI#cg4?&TzrGB!Srw65 z;^37%#u`<^(kW2OZM>3r`Wzn~Wd}_H${{XM2*BQ&G6fzv; z-Z<6VrPv8BZ|p72!2Y<=8p=1L$ZKYSSN{MDZ3S+7AMc7=Cek_fxYu;KCRI+(SjNpz z6rH~p#N2Y$_8!>uo*7Lxk>dPbE?y~(f8+hY8cV18uRE*|M@aF;MR`97n72iNC| zFHHDmn@}_>np6sSmDwJ0b|h{=0Pg1C8yjO;_+s!$QL1w&wJxU0UoX=L%+l9Yz+-yC zw=T=TzbEf@yI$tU7SD;zW8qeAljfZ)(i(b=b8NPXSn_#t=#>JVc&NIc)*s|fNx+ad-ey2chu%Ag?^ zEAx{=X&$0vH5A#-Nvokm?- z&Kh}DXrivD6G<_)(-|@!y=xUb;oArjhGHkOV%W~|hgZwfU4^>A>5=xdVPWCI@ z*oF4v0Muc5aX8;-Z%;RiBA;aIt$A-(HMV(Ck@Vk8YHaR~9J|b{qsS>LYEy0gCTQYQ z{{X}3@9&CBBGUQ(e$rLxtvyjZ6tW{tB0$WM3x*C)U^(@~_ox0O`pMG$QZ=4|>Lz=V z=21ogtI8`L7GOC6wh?j3;Qs)oA~f2=I?FQpjIM_;sEP{KSDqw&iu-pENE~r<$IN?T z_#=}JonyX`OuJ{1Rx`or6pGf3YlnY+QmNbhpuZo%?+jjgZdZjyyXlQ?(%zfJRW;~SW2f!m*X9$|pXRt@w4#$`8+Mymb8tQJWN6lDjY3VXn&&(o|~_Y)2i52fqaS;+vYTHnS?YYk!c~eF^+eVTGZX zA_)A#MeeLm%KrdQU)`{Gh7#=%y>fX4|Is5pHlBG{ zyt1&21-m)ic8h#poIND_JYYyJp{^D(d*9RFxxp%Ghya=tQ0Xe&?tWeW0KOTr(@9Pe zA;|O~Uf$>Bd^wHMNTnXQRsdM;bH?H8?egp~Ea@l5o>nM8f9x2@mlwB~gN`r9xBmbR zA?{5&7-LdcjuhU@d;GaK_Qap2{&X3oN%JEr)DF8#`<1z{>^Seo%hv>L$mK}hJ_ahF ztLgn*(VH0aSph)baP3b{eaXe>CZ2NApa94ZU=IhA^5>3DGxvcg601Vis#KNo^N%ov zP*nT?bH_h+*w9fd?rsSR2gU&IKeyqJxOh!J55*<_0M#EJ@uvR(#Pa@Q%&sE=VynkD z+-_`d-u?YQA%`rn)XW%4$``P`fF7N%`#+jgmR-k{vwQ~Qn;YMc*X8SnQ9&>fUEV+~ z@RC3S)1O;@zW8=VY#qG%c{{S&$l2JJ(*_gkIdqpQn^wjXj zX&XIeDi~RSiN(3?-`D>DZ=l8#$-L&)6cVE_D_0QbxI4#x%O6JkdC+Ec+80i)Uz5>R zBWDcLJxpRl4WMhVUiLhT{vNpb%a*|On$Ctm5-8TTJV0L#t& z7xX@>_Co&v!=d@V>R&w!W{<2HRFx2^zH13B&mYVOf;-rrK4ayNqB&b5WpM;SbwB`4 zKPkHfY+oSa$u z1Hm5c97oVF4gy(7Za3QYt!XC$>keTPv-ZElE_n3goPCSx<d5SQ9``OGG zM#?sjX{6wT^ZvN`4>ndGHG+D08s2(^a+fT-yL*yAKc*o`CU{S^StA^{Z{0FEst=Q> zYHBIqjD)I@k}#soE>C;>&8_qI#D`8)O)gy=@|!t~+BnDD9qnLvJ^uh_5$#o$$p)*; z<03#(x=qBBW;>1c`i^ctAA8`okZ=1XPbtsEWiQ!o2jjpN^ube$JcepvjgrZ*NKWM! z3hGC&6_gN9J-%lRB#>0ICc+sP)G@mI{&;ah$IA06A-%u>65I=e zZ_dZ?KG>^NCi^^hnM+1cF=2JuIUMqSV&H#V5Tb=D<&GK9g+hA{;?}YJeZ8>HdYeM< zU*R`qBEsDJ@&Lc&aN;)mI7yYtupknra3`K^>Nq~%rxLm;CNG#IRMjqGWl&gyamld) z>+k-Y;aX^6kogfMjE#Nezyy=rn{od5VOv*Inb&#pN}mw26i~Jtl0fb3Iltw`H7-Hn z$3Z+a`HZtn&n#*T5flk?wEqB@6K`&PaZH((G_CN&^202@0<$$5YL=6HG~0<{74d~f36&iw=sD<_$g;BoT&21KAwdBx5lla=uUv90aGuLtp5P`oyfSgh#-2~*zMmw)4w ztUF!3Za+V!59R(4dW~I3(s>Y*!UH6LDw00--sac&cKmUwtDz{2K@6_La}Ed`-=6-1 z^23T~%oK_#nUptj$MZj?1wCZ1)4|KbCw{Dt^rJUX_$SR?juy--X%b5VAdW^VE;|c= zNF4HQ^ug@E!8V@zNS3QQEOzY!?B9FW?=d(0y?uRens@SJ`z1>JAaZu|Z%@M5>D~HNOThh^@5CH5fqQ??SJhGaOK!~>3g2R#szc?dHOG`9? z=~g8rL3AU&6UmZpR@nAEJ_xFeQvC)wN~}?sCZy)~_@m?#{W~Ae4=HDLogJI*_gh;3 z05SSu(^5nnOtV}q&fWng$Ag6$hACNU5->}0EG$Rv*aW91z;%iVh#BRP%P4ZUw$M5M z0FlNVBUC|hC${SrQoX-_mJmt=C(5ERq6RKvk8lN$tiPK2t~OiBO6cs*`MCV%@afz$oYI`kZpLu~;b^lnZh1et#S*{uI>G+Ma0$2kx_5 z+w<*@Mpq1tm0{h!T>cnJj*_M1Xs1S4?|XoG z@BYUIAj?_c5C%6>@e)0_x7WTrG=&`+M+VFXBlIWt-yBHVlP9HtE<-(+yLT9Ll;)lV zdU(ME1GE5aZ|Uua64AGq8I^+E9l(+=Z(nSCC={>|6`XCpOA=*EGOU%$DTR){ur`~ zM+-+JF?=ZjvFr)H0G;qy^T|iUAX(ilQ%LRhm2w)-@b>5OxV|Dav8)rz z=g6!{JAHVzCc1_>W01)qa`GrD3ApFi5RBF}S)A0&#IK+|`SiaSVh!CDX)s-MfW{)- zzT6Ur;y$EcE@28(2_Tse-+-mL1Jl2;KktIgPQpUb3rYtdo<5&EBU43H=j?rjoC4ns zulRjWAhrjRJ1&=N84on4BZ8`fgv_jma*Mr;e$Lx7g9MZnz z8>}oy82yg(vc=c;1;}PR`5)P_9gB%?L7T|U(*jHfhDI9%G|lQ zvwEIJ8P{dZ7i0x@n~Spx0gpD|p~36qM{_zE9YH94Nsu1+4I)%Qx+xHWy23@`{{V(0 z^#*vIzS9U$PXPPjm2DL?o2POu=)ev~wh0D_Pl7vDTjW4iCIqW;-oxjH!2xeE8E(Vt zf8Y1R?9(VpX5UUOgpv_(I7H+aVI%H=w2NB|MJaF#BjJJd%qZGky5AETXH?vH1cEQ^ zZ}i2j{3X0O=q{`4T+cYxnWI4z5e>y026P)qRKI4i7ChSb`qf(2$4StBJ=+>pz^xX#3TI#1vX@xRSrfrqPvOz%JTSp7XzvhW#DqhwlZ^%63 z=f0-NHLio!b-6~Tq`^vyskc$;PijaF8Osb`WP-%tKCsuitQWNHe z`^|7}IX$tayeVie5Bcv%D>{?aeAS@anEJ~7(uwfvdyE9q9Pq0_m3u+;e;VNaLH(Y;*n9sHVRkf@hv_=vi& zxCfDMP%#_HWXRy^&;3)AMN>^pO`4%5~Fe9+7BcicV@53R3@-iyYbmFj0+B&6!5 zijO4G`KFuy009x@U@4=g5^qV>2q|@D8?3h5xBzc#P(5VLv<647C(3$lp4L}nl$nt^ zWnER4Sqj?D+dv(`09bl(Ju8pX(6sVo$!bo;e~TATb4=cYD}?4#OksjLT9!2*42)5v zxlk>A!0>q&1bPf$Ggx3RGb17?C$+7A@9mA1{6q4J+`FUNe^utSi1nG?V$Bsb_Z5vH z0h@v>emi`v^WzvKK4OV#9ER;}x8vvecKPE|MB2wv`7reH%eA?_ZT|pJu9Aux*P6A` zMku!=@ne3+1X&`dgU*tj*fqx>l6(64pY+2mE0`K;Dx9#=g)%5WFJ;^B>*_m))Gx810J4Z;?TG zx6~sj^|0gtkIyQ`K#_uhU|+TUFZy99%xV$QLN5ORyue$(f2V#vSW$|+j7xpR1Xy2= zZ*O8OaSjOtibrxp6&8|c_C^8a?`x6I2iJky`(Zh1LPN47P!()VfUrKjzJB=XR(6Qa z(jErhP3}AM+uI+dgu@(88pmgQ_8(v0Y*|Jqw?Z`)F&M&`TaFvJ1N6f5ujWKzXFEW; zot6wag;a2|uuQ=+8M-C|e0PU2|Q(`Pdfw|jn zmmapl5wZJW*?onN!+&4x>*+p?e$NY%V+5^@h$Haz{Qd2Ozrv=eTR{mWSZ@lv z0r>v;IHSuH&fJrxAyXugp^7U7RRt`datIu^uNU?FeU9{{Px$QdDlY#3u(={W;jRe- z*Nb2E!xYn5)qDlvrDrjnzE>AE{CO7sxRi9CM`r7sR8$%h3du;(?g(ryH&|Q_cpjWz z2gi~UWpc?rQ#MzCS%>`-Uqek&s>3vKDu(2))#lvx`TPD_5IGZWXzl}UCg%SDEKt4% za>=PQZf6&qQKZa?XJ#M+u|V|W(--s?mF};~_5pod3a;%lG7 zzwBUyd)-MOrO(6zdUxaB>4&7znw>GtAcZQHSy3Euv|s7{{{UPRk@D%X_cZj-q=cI? zN65eai>beB@#|~)0gNe?Ws*&sQp~~jK~@@V^oc!en;o{;DV!VGCbiF z9{1q>`TqcH4Wtu^H!IzUuv5=J?s>KeN4;WD=Wrhn#5ndM-%sm{DROU$M=S_z@^OEN zvwIWk`|pm%WhETH%9yERXF@nXU*8|55(Ax!8r@fSdz<&{Z}}f=C0e`76-x!T09)|= z{#d_A9NLnhrmcbu*GA`Abk134$*Q7}Rxx`tE(!JR?~GSZ(Cq;no?O{N(alZb>a0C?5UJGt{|kHMHhw6XOf;ka=I9&%QIYIU4Dn zBke{kGs}+oX&Ily4?(i+F5_Kk?6^H7)=K4+Gszos{LXK|H@~qX+?-U=(iCN*f*@5* zgDUnUd;GDw>vZ6*%jC=Dfn6RVj*>0ITpr`G2e&xII`*3_(^~U5$zXpfDon;?NcY@; zu51PQJ;y)qj?(9ImezRO$$JfjHB4m4UNVhw@JH+K&wt2U3sJ>0N9C2j!?-@%o&X-) z_rK?cDV)(A^MYAbOY?FKuWzOMW61MHYqW2-a)af0n}>VweSJIQP7HH=l=kUxb6A+Vcty?RjD7^q?O_^ox4;E@Oi&B zwZBiECD{&%%jvSvWJHs3Ch{%pP09KWFnGb`PiP4`WCofD+N@IfVd7$-g2R$e9sNoF z08f@To|L7Mt4(FKFZZ5?4Z%H6mb@R!{V+R6XdMGflu*`F=-jYK)TmZvp^tY8KlpAt zdg5nFl0MSmBVE930CFyE+qZwFJpQLM$k(+DV}ROd)+S_Ny!KEz^L55zYSZ{M~EJs}e-)4Lyts19ri`V0FH z&mBawNw|o<_TN*Ak@B9$Ff;3Ns13f&p7y&43(s7*Y(f7B-1CZD2x%J+I&N zAD$niLTHzLD(+F}E!zCs*NbDZ35r84JnGFMzRO>5zp(Y~in%kqw0oCjwG(CtlyQ@| z0QR}K`HOz-i!)8+l-Wfh`DGX}7eU{57vtX%y%&_Mz}3X0x*L={SliHgdj43N(3euI zX~1R!&9ia!9Czc422$e7#t3(`Q@Y1cRC$0{+tSY5yM=8Zo?FDEUa0Hy22zM6I?Jn`j6}K$L}WVwncJy=KlcN_-_?tQp7}n z{5NG`-rp>B=hVnRj!;(L3mV&lp&g#_FlIph8?-Ge|JMF~^8`EB0#nrPu#x#3f8O95a&Z9yi*VmSZ?&c_QaehBzS%y&fZTS3F8V> z)YD4>dAKGulXHNNp5vx_5yD>DF> z@+4OUfcTA%f7b&l%(RgiCX;sVP&0B3{l5>_4rEwl{{S#z#^r$R>`&=|nS`>%{P|>H z$d~vJ=f~IjV(HP%CIZybLme|DyDqE4U$?EkoQyzoHLS0xXsLyjSXi;OfG7QaoZ@Au z(Pd!JsSf`DcyV{X&fkaQi2T`nkjJt%R+D|4sps3A8V{NV_yzv}hlY#JvXDaWAaZ&1 zIBztJ0fto!LharUr=k7(;Iy$AllhVSCC>Zs7w6vo_!U}?APlIA2e&u&!+7IhBPQhpw<>l9KVMOU*_jSEtB@R7$8&pnemDb|t1?<@fUN8A zt8jR~@A1QNf}m}-0INY9<~2lMpbkS5`Vo)VUbZ+4s?t-+>`+~m!r$sFar+Te{{Ra9 zNFLPFyeI?`kT&k#t#IA@{{3*x>Q&ClvGEH4;lB4C`26*cHui;hCjGk)m+SfAyzvK( zK-^d#i+`un9y@Zr?DdDgwA&AYUX+m8PLECbA;nkkuh3OH^!x9|F3jFO9P zk&lQ2sezUL11j5ZB-+GWbBpt-8DIFWlB|*`8Ap^z+H6Vg2hg4|l{IFY ztxV`t!9^w3A>@dGHX`>NcJ%#mwWad%;FKT9=QVj2KNW^Y?H}%KUk05m)4n5h4NpiU z*4bX2&a-+}r_*(r?7meguPmz+4%Q_^rHTTfh-Ma6KM7A?bd#!n7B&8Fpn9{Iu1}fd zb<)#R(&g1&V@o3Nb~2k7m>Y)nBH(t$SkoG_KF%`4imNuCEv|weCF%)%lOX>9?SON8 z_CB9HP3fz8t*A3$n`s{0x}K^i=qW1vwWh6sgYQ*wVjpmf1tXoz0X~j%KAryn(6rTk zI_iFtqlZvXRY;E-^EE7~@UpQ4s|%B2E(q<$Jn8R5@_lWTrlilcwGKs35Zy1CAQKRv z8-ereE_v;U-&VEEx_>sThe=h}>IuT_i!-Q+iE;-8nTaRrZ`-~D4A%MK3;3LTsJP&^ z-|$lYH8oy&pJr5fHlocO(dAUDPm|F*m$)YGP5rI-k9=qLLo8lWQnKztwZjDs`5&+P zV%^kT9O{m<)D;=-qNRCdo?C-IJA04-xv&F{zzlw;@XM(hASDr%Ex3l4ka^(nMThtN z^s(x2x$@+uP|^G?Yw$imb8>&aB`al-+-h7H)DQr@h`Apubglxj z0lNI$9ylGoL+Ou8BuEmGfo?aJUfla}&-(na`DHOj@eQS}t8>rS<<0(f#kQ|<>!b2A zyQ;c{v+l7S?_+Lp*<{+w8h|%&2cWR$@c#Hm&RG5ykytU@d9eh4ZunBFScu;m?sQ8l z4$JcA(~MQblfbehilKl4+y$|3m(%y_g=C0SNxnqjU2k#Aez@W&7l#eGm~*(E4X^F> z$F)-{WX@LgH3}LKz{J-BB@1t@^dWVyNC9PW+XIqoEaJc#0epuF$(NGujAe^}-#05S6 zr|`xWyCzI>OWBTM#|bV`8>Z8=)X}U|xnu~S-GW319FJ~2aORqh84E!&1P;BGLfrQJ zP7KFM7SkA0z_pJ!QXIY)089ds2nCIZ7Wee$j3vO9 z(;)bXB%4@v`jd;6nbKbOjRHEC1>fh^#Z%_5sQJB!H>M9u? zQE!SOeSOELHy`PX2Pml0wP6T~Ynv5P!sK`3^Z9yXesVn4NH|J{wK2%ic?%#`+I+O# z!wv^;^!LC@>Z)}vPyk$wtj;ZOPhN3r(pF_t(tVbaf+&+=Rbw5`Yj9fRdi?QFb+19C zH7#~+4DO|AWM&D#1cFB&UVj`bpEJ_w=a#Q(ZoegAboisG&m31)=!x~(YHIBb1PxsT zvPGFA2-0O{{{Y7zHyqp0dvl6~^0byUc#Z75p!EImhoCzJUphl>NGFB;-ySItflQFA z6(Zw~{{X*Cesl5v02!Z;Yu>6*QR!9RN7_6WK_3g#M+9ZMxv_+?H8ZT!#t_8e!MVEI zwk*82#D1h=6e;yIM*y1EMQjv??i z?ZjHmdwX-+@xB((WsIe?`d8V#OjhV$EYcb$shMthG;-!J$x0Z4fG~4z4>tK<7FJtZ zqw114lQNoMO~4921IO?5#&FWIl@a-Lh zRC`_=Y_{@Tt011RN{c*+w)o= zg4$a)m2Obp>TSjQ^M*ZN*ZCe*r`j5dgc7_YMIP^nn_rQCslnW^tWHgsdfeVpZMri< z=Gpa4Nv5sMs-;xiKbbofus>XWqA(<7+EcIsZf*cSkAF;bDJN-+&UXjr;TFFm_x`wA z3Lh#`(!!uI=g){>_T+cB)Esx0OqViMZLxAd zCifQq0BmoaS>acrI>xq2?9)Ti!BZ`-Q$)rFwf^Y{K=<_ZzzMts^ai?Zua@S>RlrE0 z%udn=ac#Z3`ukq^fBZufmkFVHL75{at(_x8R%T{vpq;D@?5Jb zlB#(a6;ObM0CH}91+VyHLuCFCx*3!h88njB`6O9uDx`225o5P-7r4F1^dF4(DABri zAfiePpC_fFL|v;LJZg)y3jtwkj^CCGkHwx0PBD$PF7P={+atPTDw-NvS}K6w$%PVK zq;p^|^zHcZi3Cur0K*S)>Dtz~zpfwD>G&a0EFeU7{{WQQ_Wr+)5{ind2*d2#?gs|P z*nIJgERIgt>|mEi=LHvJic&xb_<;a+9sPZ9^i#Bvg1;aC02bS*C(!=@Tq6?)m&~Yz zuD|=1-M(Le$8gpQJW7J&zTj*<&Bt!o6P2ng)gP&H+F03}9bJWAli%AO-Q8V7S_F}h zR$7ooN*W|bN;nuHB{3RNkdhkRA;Rd4`P- zw$0`+)}(v7_xh`=*;ZoUL3A;J!3Z)!yKU-Dd4`gkim)%8tP$Of0rru|8IE3!Zv0Ig zy4^FTZ{o-M-xEXcKcb|Ki9ehFQjcuMw~kU)zU*sM!#&K zjcn;XrTbzxYyaRc)r=LR2gVz9Q15b!Qs&-I-1)2m<^+FUt@3du(2;ll-JLHAt)1+U zPvB7C@5a-?fwVzPVX@R`=MX zD1P2OKb$oVeSQUh+MS1GJ8MAZ7o35+k|-I+NDuuEDD=6pw=ld-R8wjDLvm5K=e-xr zS*Z9_Olbq03bL^}+WR-D@Pq(t+~y(t2iryz`60ZJm)FlEmgq>BOB3>mpw}>FwS4?y2K+~q*@SZ(N75gONSlkxBsdJv|AE#Y z^ldFHb)(&<2@Tm(+?c6Vjjuu*e(9-c@X@sl9YCNbp1jk{&ZfT5f|wK;PSoM;k+UYL zp@~S-K=ghGXyG>sKDy<|mM!3eJ2aw9w>RyFt&kPi{!g0ba@@ea=0GH)^@GU6oUz|y{V#!U0c`5)mxx*dt_CXSzX z_|(RSY>0}ILxt;JL$88uajiHy`aqLyaJpfd~?$Aeq@r`W`pFAi)1JaIA=gT?VmjrG-2| z%Shdb4E2FK@p|oj6lJ-(FL6w{7I;MrS>)Solntoni2fyN9I!XyR&-X&KSBbj{MbqE z9cNzD8>ZFstJ{M(yI-^#0+r2eHJ zqYK35yok0wE$Kgz_nu1ju?G0rGHi9eo=l%xjPP4V?B_elDQm{uoVncLMjW%$+4TZ* zoMfyCx7M79(onqDU$Y;x?FVwn+islhMe~z82>n5jCAeCc?-S?Jyds%GkIK7R#G_zx3!>g-MD-mWS&PDSN2ZyG117hrpvlkkS%T9 zjocb(ZP`KK0^v&R6MB9nFn|7fGWOkadz0riiA!&sxMYGSh4D-cXFN~Q@N$&8*QzQP zf9KL!-zhE-Wp>n$2PZB56 z?s+N3+REoh zyRynkmyk?BP!>erp%EmqCL95OxfSkupKJt zvA^>(4UznEayhM%OzK3kkkPAb0AQdvqWKM1b7SyjTRNBSly&*}jHju@$M~OHr*=&M zuPYbg`7pD&Fs?Ks(dzkD!%($L45A6md4ma&f8_?qQ{J%0skUnA?D~ifnCIUD8v^eb zw-rh8O!}{QBQDFgH)|(`#hUD@pN23J_!n&)af(NnxejN>Nww5gKmn(K*W0EPx30O7 zLZ(WdyZB^rRMmwEpUNMiX&+M-*I_{9k*+8Y&`R5}!?mfI&WxM=0AIdzagWZ*7CU^o zpiC0&Cwb7}tjy%WdDrE8{bl{b?Rxq;8N-5nC^Gb(d3V4uE&j!860?2t6#b7}Gweoj z6+xZFrM=6?(ECt_?Y%&YGPuy1nDQsEeB6UU$e%l9y0{nYPehLe`VSEYr(G!I44T|m zU*G;e&|AB?c8C7OwYiH>p$(nsked(2{0Uxb>*5FA&kqthYDbOV6c?HH=A^Tz$OpF( zwXIx+>k9so7n-N_d-Zli1Px^aVA7ch>cR5Yt11Vto?FGE{^8k`#Fm+#Mp&+oZ8vt0 zKNtQ?Y&87&$&vL$__IsU+qxu4!Is)(Yq+?FE#`=MPU>`hPa+Wy+i-T!Go-SOlm4=3 zgVUt*`755z*DzZ31EAYpzu#VU6Xm;UUQyrP007D0xHWw=lt9}@Az3KfY_W2%{Y@)< ztk`r0ZFc*vN=p~2m93XdG`F<{#h*T4J6o_+#xXF>{5ybL6h^D>dps0i)$l=cT4E|- z-J;A&Oi?btD1=ne`($l~;gp-riE{z)d2Fv!Z-^=wQwJ<((iHm2FjZQ3y@{F zEospSnP(fS{$xlIIbYXOu&lj0Lh&P<(m|h|^GF$SFTk!V1U7tpj>UW{x7S4&A z=-l(IlUBtu=@$DBWa#JNGe-E4^A~u=yGg(AesFbq%c4o&-+KD* zd9J^o$-|{P6!PH4-aYi&E3wD7M7R6U|1r3fl9xr4_`1>c4|>(-W&n$kY#0G`w)C@e z3g4V(TXCC zHUnr5J{WvKvI(Bu<&hK?mQ^YF*nap?`vcT+*;Rj?p04#J@uhVejxyrfu@sSR-J}|v z-6))`d6VMt_IF2R%KgvjE*b#ze_Q*x6&?yBRhb_E{ zcCPt3MELJ+V0VW;ZW|%5Mn%Iv-fr+N=Z4-L?YOzeZ}Rgs7Vmre9$)2A1$^{0GV7ha z^7}B1Hhe99m_Ibvwi4%%6NBFE;R9(K_wX&9tWi1)pAZlIj>*Z*G?iJKIXZ}I{-k^F zoq8+60jwjAZtj*i*hh{d+mpG!ibiwP`1;K4En)t_9byqq-&(3wKtfv=2e3zOlJTg1 zR(yeo^0T|!!^3sjLgJbhV!UwtsF1dXW7pu#kmz2`?t8~L@Czn?*)@H?C~J05F(g74a9e4bklWQ zNtKOq%`W`KMNj@S6Ia%NZxgqp(0bQ&`8;-opDa1!L5up{bYLiREGvVw{*<1_f*AvC{LCer?In$xH?w!qc^7 zMkYSM8Dy+ht*(-=&KA*kzPeQ4jqZ1oycC`o*9y!{H~S6Dlom=_CBx)23VzIBBg=Ci z&9(oXdlD{~`Tl~~_W ze*f|95vH56L<8YBCCB7Rj$8LouSn2D%hKmQAc-`uugLvH^t;Ba%l_6Og=~(? zb*`l{#`3Wj+8ZYQ9=P7#z&UxhU}klMh3J4ioNSeQeP42>TU|f z)g+{>=Xf`c@xr?PG%SX1>Pf}Jp?6|%WRxi(f*H~@TlNc#_R&Xd-eT@3X%W=FvYd=t zH^)nsHm=!a5}ehJ)!Gd00;5EIzUi^32d1fr`}=sIJZS<{R)s9T3_j)kX0@uAoQyi} zd;3;YRVyCL{AD2UwBMpCI%Ym^Ut=XR_b&L#@zaiTd4;w)lMGG*+y3Ta7jR83zq#=? zGD(gnIb(^DJXRU|ie2@^gWw30o`0=>B4v9S816KQd|}*^Aw2sZN(XG+Ac- z-mYT9KnS$+6L~9Mq6FVIT(4MIKu7`hdbcyOV7Ia^Yl|7!Mr>HAK>qs1enQDm zpW_!qtK|U5{kVjg2cPnPOgHS1>ejp!J5Z~U8N1E{bWfDUws1=0c zc)2n!A?j%gyWE3lwcWsSG?Kqcq5MQdWIQm8XBK8dvt@L|$wgRI-u3GXJa%0iD~Mqb zA!;UnX^wO}tt3`(;pfNYZnjCDXVP6G0uf8ym!fn|R=BTBCycd4C2W_Gi@bA6OL5l@ zJb7A+zAjvp{~mFlY+qdrtd`{qW~G1mW$WB)^ZQnQl`FS;=t0WJ<=~ij{nb0z-J<~x zYK_OtYjnxUZ;_N#j^UxCwPqh!jXG;7=JomTEBPo`G;kon`rh-*oF}aEUwIjnaU8@0 zmD(Ms*4cD=P06j?wMMacesXOWdy$_q^POBcN;2#?7H(kXLSn5FW-F?VXin%wkL7A| zNB^H+#KPXgy_pN@)-aqbpTYB3>Jm{R{C1@BLwUz@#gj^2b@Mzy5tuP@s$1D1_r6rR zOzPw-KX3Rq@rjW(e0!mB!*EG?E`yTuz6Y%?Nj6Ui^ezO?_EJIzv%yi$^6{5e8z~%c z$rl;FMhl*M_T?Xc$~@L*JQE;ar_#;0PIPkY;axANE8{fP0uUy?ZXYimTkgu2Fu#_s z6dSdFFjF#j7ejCX>%`U{i*7}5Rj(cyXufK{`=_F=V%x66)-^N+L&=)#;<_k@lZue< zwpCJW7#jF6;?#sQ#cs=#p;qq5P@GM1?gWJX(PKz8EOw){r|>P$Z*S}^YxzxxU!EMM z?G4eozkwGbKRGPjKyC5dWPZM);hoWz2N%*n{gBW*->a(7EE?RN{QG`D!t8BQSu97- z@ioKO&v)Ph{jf{Jf_DAV#F4YGx_RagQchx*E zm9&l#>vi|2hM(MY_BD2CX9d|^>nvS%0N$0w;(#Y2`WHzgci z%8u{6(Q|NBPtr+*;t`+N`_IErx>m~j!{P$^av|saajKRw-ZaPfF zqovE(Ptr4j%_kmTrDJ@FKZ_@|3kPOgTg{Npd|0WVsa|~3e~1nRzKYbj>B_&dQ_32{ zjPEgC{Vg$j5U-n7%IScQ<(WHW93n5E=%$&dfu$u}8@?$0{6v(V)TJc-e4rPf$jl52 zP08g~1FM4m7$z}5|smxYP8EpapW zg=2m*hyB?DwKcu25%*{ypfuo4;%oQbL=y)*@hxlVg>+hV1GmT%`MScb{UvS=KRdW^>DzR2tGzsxNdW5XYa&T%jdG(1cjoVr~b@pBK33?kKLw@wQ9ZYyjA$SzF})F8OKAJ1tC@{!j1sJ_m6+QHTL(hj~8O6 z#Nl3^e7%BKCTO}N{Fr3})Bfp!6(KUb^Q5H#((){wTY_Lgp6qVv03N>g*@4E~w}+$i zWa^-m=G~v?8Jkfk@>dPGGxr?d-ezpl%x+xqNUA>kyD9Du18QSntx7q0gX&x@ooL3(UotbPUQ=(nDgs*_Rht$t*hraI7W+Q_XS8@Q=Kd(mY@9` z#>_dji+3ZyvA0%?cu7M3oT4+x+%}gA#YxKInCpvUHn%W#xpdr@kO+>9u3*JxaX~kL z?9cB*zaDwBI{XYP`#h-94)G-N&L?hmaGlDAL^F~X|1UYBep=4T&ftP#82?>he}aa@ zv&uq7Q7r?k9~2f`Q@%^GTHa4*saYv}$RK9#gg0{y_{83el2@izkktgmNFTW7^@%zjPF2H2E7=q z;3JwTa2w)+3$yis;)&Fc)auCeb1+vtmoD!q?c?S?Dd#Rgq3FYA_?I_X;&qsw2A zhXA;Ha@8uI@O(4GgUe5qY7i-L(uWc_w%{kgm9(Z5E%CoY>I!fu^haY=1R58Xc7Q1H z(h*3Ui9|8Roxwnr$p((4d30~>Cu*=%Q^$jBtv+n`1#T8Hhy|tNNU!eAKlgF?Og!mqqB15VeFe5T zy}!zmlrEB@R{IA27kE*6xI_AsnaeY+fpma&54Gzbzs*kkp2v|lRB)oPuP5z<*^;~# zxRr5O`RW=+uz$lFZN=R75Sgho6k*}f$||W|E3P+HsGz3gzDw1 z2~W&kG<$9OZ=(>(FVSY92?;f7yJkmqy;EG>XmNdA;FtU9$KGWdTdeX@+Z-8r%bJU= zAjZeZPSy%oyy=>Xedlc~mSFs1utJo>+!E2%qpbX=0i$mF@x{j2OJY!DE0$7@3#t3U z<}*HT$g>VLE@m4o=6xOZZ;b+OM3Vf&W`s-GPv;lYg~*Jr+-Ec|mSWjCq2vl><$=C@ zL*@sKXfn)&C+$bEvYZfM{JaQL^{%@|QQISKnIjy}YbFMB4xy`Tp0TTZ3N8ZQZJF(l zP=5h)lds2H zX|J&Bf>!tVFpKK92BM|5)4sIluQsK$7}|w#E)Eu!ME!$CG%B8D$MARzS5JHwT2u_X z|GsiOL7wi}gljh5x}om@&`-xGK;m;DarGqa%9C>1G)^ICE5+7Z-x_?nXp(R!fe&H; z{0QFT_xCxCka#Y2MSN<};qwkgE@VH&gbts)J`btQ#$Y`Daqwy1W8+^X`A&i)e|k<8 z-u(x%okvOb8=8If-%D-(M&lF09tI27)p4uEiB47t9(@+lF3lH(6!*U9tIg$pXe}AD zyNFbgx6d_-M<~Rn#+tEx>`*+~V{cMRCja~Ug7IKiQ9`}rah$K2hFi88eAf(PV!6d> zpx}kaj~d!s&R>d~p?Fi3(4!GyJ+I2U^l(WRs?^K$i9TAc1DwB{F+}XM&lsyldu;?)x3TQKryY}oMzfttZU-KjwNV+tr<8^z>6c?dAi*Tl{n2 zK{TthW|wv?#&5#$fl6Mdg!;H{yE`8LmU=~wdn?n?%@I;%u+M3rv8W!2xwL2D=Y0~8 zvX9<3-9v3BmiTl@n=?L$jJg_D<-U(zLls3m09Aq1Yvs-D`%E+qL7C@W$ti7Rbou5n zMgDe7Q7@O3%mvis&*iF0qeY>NyD;kW<)c#+iB5x>lfe>6CvFJe_RSvLsj^8(o1vGT zHkkd!UaKnCVaOm=A8~txm24h#vx_RE{Rtv&2V3Riw6!YK@{)ioaMZnq?u+l^{>7pm zy*T*Lhu@U~qXGbuZjh;ga9~x89pfCu;K4zZF!!mRhR$OwBM6(XtPptmuOq93n{(hg zyq0LV+HKs6H{Y`};#S#O_znE2sy=OlhjpS4)&6B2N$RdY8TDK}ShJZrSm0lDjJr-~ z0z7y*ZCJSpvlWeSFUfpr(neZX5>WsFDMIK5()f*f|W#p5zXQ6zZ@7Y z=`E7qUnc_NbT_pdUoyQW|9ipCy){nT$>=5m7YB`*vxDQaK8i=t4^d1nX>?A)9f%IP zML)kGmGaZb{cLKZo(%lf!>y%^$lmksLrijVqZ2Q#?6Q4KP@6it)gdp~j$n#D5BNkW+ftVOyn0vfrvAlpqaXA%l?ZXAF1iNp zmqK9HJj4{JZ<#1t}ypVsdR~?PK)7Ml_O2|5`@j5MONCtfrAua&@ie&~1vb$N4GB zUv2m(zRhy=LhNB_fyA+w``TXo!Iv^(B~XPP%JX$V8lPnX4T+dAf^4yaT5jj|oh`NT;`c*|sK?e~6DoppVkSC);*2gq{kM~8=Bpctf@riWW- zghUq;y$|4PX}_T&zTWZTt@5v8H^B)0oK&K=IXYG~;^$_<-dk#sksGh(HYN64bXu(P zO`D$LvBfYM{#9x>>wFmNP^T}L+zG+^-+3=nR)3;Ko_R(&sQJeZLP^3f(&L73FM8b~ zvJ@A#SkM$xQAYkZt;s^=W>^2LH>9W}L{q*EzU%F=k~!~m>d%6RNK?HjF^@cm>a#uu zyr5k9Vi^`+MOo)$kTaBslH>T3b@EbG{F89of3ZJ*JvONvB@yk4LW@sP6{YgdFG$;C zy;|JCtn-nV8ts2@j=@vGMJwd#hB1Wmlk)RL;h2 z$4i4~F#1Dl7^98&(u}YCc+*;D|0KRUXSc^zf%R^y7>L$D*ho(%>#}?!C;0PrT*a#^ z`@D{~dQ$hs8m-D(0&`mjiJ}>QoZ8hk*gGpV3D5B94iht$sO;g-`pkLwBXD|+?ZZQ* zOQTAeM&Wksv_#U~Tm~l9siF|A^M=Te^H_wd_(wiq4lQ ziCWoJ!LCIN-Yp@Do#%Zu+M5yM3G7_@9&lLB?kB!MUK1mtsjRP)>B0myFt(r$z{QXu zZjK!xDeb9Y&!^UvB(a-5RM4>SZoLVQ}$ifG0 z3D!)z1l)5jh8hQ)b=Y0QsxfQkt9q+~=t%U+xD2?Zda$nZjW^&99kmP>%k`s`<+eP( zyJ(fuZu;yCs(Pl_ z3P6#%&iCH&U^{U?nFc+XnpHv59Qfx#=VGgU>#$cR=oJC6KkB%b5s)k}YY2Ob$sAAy z(R3nf+GSq_&g12*6aEH@4Gdc+8OzejGKtvshNiW+1NqMShlR`pEuE4fecRzyH#dPj zXMfnS&z=i)IHI`3L*?ML7Sz?;9TeeROBbO8^L?wbn<}xAK`F;|e21v!*(Z_rBb!YE zJjjnXTcpP0i{^wqQ9*)+XZM#fsm_~a0qJt_=AT{&0i1j&hM|L%?+%Z6O*Kx`$HWPU z0D>%`%!iX%Sl0`@@_kTxrOp?f^z;LF>HG132i!PLZ3Ar_sO>1-Ov#zLAqIU;2RO+U zrcL(NjrZiXYM)0s{o`dw`#lymkt?f_AUo~P#=EPSKZg$ut*;JYf95E!_$h*msp#sq z?%<^VZ9?P6akV5Z{MZW!6qaK|K4g}hwhV!&Pk}futND+8?%kQpP5JzN`vs-B46p39 zc7AK6dUHCEF?d7*yTcsMPnkbPqr!>{>|c*vL;`Cvq^?ZO8#8Uh?!Rvv5e@sn%2s(c zGlDn7cl9qr+mfmyd0Y5aH)8MPi(yPRH*DE}dRe1d8jbtDt@cKpDSs%QP zb%wqS zX*?t|A|la90#n{o~b#!7O2DChT9Ao_XQ9DqKi?W}3|GOP$d% z?K{3`=L&**_p)} zv2XxTiVj@g zg$Hg?EE^?)gFxB5eZTvi5fv8XlsfOSPVEnXDzwhPib6}p*`q5c>Ann65c4v3+GjHa z`8cE}m(G(a;oS!jy3HMdF*1)rN}HX~&im;jB?>t9ru`rh}Bx zX-)xEh)m^$vT`lk^uAkQ%dcTRKb!sypyf6Qoa!lw@u1Y+#{uA>t*=>yj`3C!ET zZwQ<4&EF{9=DE%O;EvVbvOwX4swd!ngyPIBclEV{G2KTBLc|qVSB9w zO~T^cR@;QzpaY=bLSGxN8If*|H$VkCBvQOL*EM~Cmm5cH!e*Y!<_k9x=hvN#@b@EH z>}GU}PVwdFrX|hV#0ItUm%tS=d~sF?J~8fool7~t^W9TaFFoOu1ig5AO$E*4w;a`V zepuG;Yy9u7zVOpF9kgB2+8pl^n2;L!(oFG;wwX=mdjaBNJ6gm&k z>KF84#;c%v4dC;u(3`dSFMLVg(q93o)3Fbv;L7|aS)rc9^w_kC%iBjA{3=E)WNb16 z|68})Hxox{65i;Q5c%-UwJLr#U&ETh4@LWyl$T`N2w2NR3^LPkI24~(fT=rW@c;^S zwNZ|cn%Zim{@_k5Iy+dH!5wEIaAD#vBt-fEK0c^r@eqVhXj>*$MO zxL2tHaG-xq28IH6D$8tWFajhw?nL&Rat8uTLsJkZI`vzP^6`S2 z-FF7YE+ozk5LCHkpH*x|U`ufw9Db06ym1HyLR7;I( zju7SwotCweZoyJ&4zHb)PLwrnm$^||*1qs_f^q?IoZo#%eT|Gl@6`jj89hF#8nbDV ztLETsqvW8zs2W<25R2<7rn@VwfzF+*1T8PoY0 z6Dlur8*<(ai>rV_;&)BN6mIK!LN2X1WN)4-rtK;YE7+Aert8srFi2XEMj5c3+{jWX zs!eM+BKD$UDf=_$x4F1= zan~@xH=)lRU#9>fyYsn0v1sH}eW^#-{cGmv)EhR$!nkzb^%Vr0;xBbL_O_q(yMjME z(SSXzan*kuo%C%w42VeBKKHdhy>D-3ZDTG>Q`VypjsN+a*)JHUyWI`^>~ZJFH_Kgd zyGPfu855eOjr;9e9o=$OP8Hry`0Qi}`_2dHa-kOXc@6JzCB<6VM6B}o3D`x~uSQuf z+We!uJ~oiXGe;2Ifta6V6Z0UPpQ)!fV!6Vj?v|wJH}9-G8cWsh*=11DuszA|PGBZzQ2Pv$BwG#D0Vk zC3R@_oVF+)mtwbkX6|2%^=cm--dD9U<0!qm2r-*WG12HxC-%M=!ZAAcv zg2k5M>7)-qs+Xyi0@u#^(`ekte`>rCr-1!w5Zl{0G(4xs6*1!kLi{U_iTRMwwRpSy z2aiV>XCI(emY2uvAY`J}wri$0OV&>J z;}QK}RHaaRH-;vBzHbZ{fy>;7MHlCX0dSgQqq%W1a*Qi}UgrH0)q0dVNNBeWTYdnu z$@v#nVp_?fnU9CHNSh^92`Wu6hEdu)^Jofu|HyeihvhI>P1U_>Fr%!LnoaR z*5K{0v-v11_+{_owCH+En`#hV3ywo}V04iCi|ZA7ZMQeBJAB~xBOtZ{XbLcynY<53 zlrUAMasW0w$}=1-Qhu|<=4G2!o;Hd^+aHovFEtXiTEL)0YPyoRWVJ^(0ck!pWa! zq^KFQ_RC}@>ikSzJYTkcXG@~r%PWFtXLQ*OZ#&qE($m+SX2KFb3=&f8&e>>OcO-i_ zEsyY^R;&#try758M5B9`jWT=;`iZs1H94<8xWCME)9n_P9S-ixy(K(9nEL;g_hpSQ zquDMhXG|bOSfz^R%j5A_94!~7lYSq~MY1!1h|{&XlC>2#DjWf4w|oHK{p!E2=!vw+ z8h+zDTKCs0lr(YbYhG2I3@Da~h|00D9L=H=A!_USvHlu%WB1Yo&JL!=cg=p(nU`KP z(On=8h$npp`7+qm9)TYqLhuwlB(dN zBwYPYsPsPnGS^6WL)Tu(W?F5E8ne@P-YWZ1E z)@m!oNdzxv6@QNn4ZU97G3~W@^l)d#K)0SoEM`$=1;v3Xy1Mfzs-o-EsB0FXXm#{} z0`$pQe}~PZ|3DLw86gI9>>w!nw2{M3|PA<9twk3qFC!Dj{|GvP>p$0;)i`K=p)2 z(0+I=zmx7B;*_4TM)ig9OAvPy$$p8|^*aZV&jCB18_ja{b(&4d7p>-)Bl89@kt)Br zZjzHPPcH@%gmc!(9*;PS_1kO$vYMFxK#o*~V7Rzs%2h&*4_CXg!fWk$vx;MQx)x%9 zZdA9S5ce5~Tr9?I-VBdz-20#Z@I~LVF5wk%L7vFVXSwKb2zt|v!Sazx(xZkqEfbdw zd~Ic-r5^9&elTSHQJC22>v=!C_wTM@z)vbxS?V=iTo>R3Lf`3RHfcnX;8Q)H5NKC(HKePrI{#}KG#GFiR z97MdDxW0we0tw_`;gZr+iIlff()-@q_BU%>`C9q74s7BMjCVlW@=F%h?6Ne+Y_Y)z zA!mi~T87d}xNAgypoLliYbtRYAh-+pE%(Z?o%?BS11L<{c8*hDRpYEsbA8_z0No1jCBr2Ki|wS9~-7!8U+lL`Ah43XvZ zr>=H1C7$TZ0tyghZ9bbLN+k8{h&MEW-rz9#)k*hD-qdra?01I3axVBdQ(U%X97f-p zcN7N2I&&q_H+0ASPj%YAIztPRqNEee9ZxOd5fvc}L62;^=hpE6S_GDpCC}&f+g{}l1FzK(hq?uW^dRMDZ>shXMI%0Y`WB04KK{$t7|~MiDD|RikVT7R{*jQ zuV870FmX9S+m8?2@LPiP#yTI54QAHo><$~(&%|Waa=8NGuXh)HRgH3)f@ZXJysQ5c z>Ay7;oeQtv&asfFuCxV(X/d4lGHmvs_0hw%))RW3ArPsREm7D)i9-;T7^2|x#szbU7d~|?*x6k4?mS=o1nI3y7Wz$^*DcQbJ_j{>O?5F)C097lI$8nr ztv?gR*}TWzBg^o1OI<%P^YE%zB$ZTh z6~PJg!W|QJle@r=`liK_>*_(;dply7YdbF!&q9ebGD!;yM$}2SXh|6&&`68Gvgw7O uL`XK7W2?I172w<7l3)-qQp-9T^755zw6-?)Z3rhk ztDGLH4EAYF-kPtRZrvX$t^#IvDoJ~8Z~A(tA2UE8MiI69Admvp5jqH@n{OFhpZm=d z0tp};0?VrP-p>Ppm_g}}Lmt3ez?iS>X%EGoN+) z8Wt)paUO@$D$4`=aap$UkOILMiQ$sXeZ`Dni)g%aPf+51r=ZW>Rj*OiFKt7FPLisC zf}jg`y{@@ZayxH0&Cz|38T9zURo6`_~tHKb;92XV$rZL%wJLDS^3`}J04QGoy?%Q75 z@9I&>umI)@SHxdcW4}HYe6j2MJh>mi-jv<`LCVcq>O^rE{|I zl|qfiS%FR{84cCk&>J3}5aO(PCHIWQNw?#T@(>*)b>%>)cvg2zj{ISGct_#0(=GA& z`ymicWnnf&UB}L(OSv zX~sPOL#cV}qkB*qPl^RICjI{7Kfu+03B&)m`B1a*ysM2(&Z(*c5HsT>MSs7-e61^? ze*!wn877R-)zvM`58&Kf{v6@jXBpRx=Zxns%|_V3eS9}ZRTHJ=I?8Y=2(xE@7cpif z@%_`~!Ul6FvykYHp|9PUOM1NZ?7I1_`{1`1T&7VDbx)Zecz@fliYF-a$Ynm1xl0;l zs`8~0?@AcD#I5J}>zGDJ=Y-k%`PKF7roa|T<|o(dz4fObt71M8p*f9RVJKANe5gWZ z%@hXP>_K|srp$q{kK{UVY2DBgM{)_{3=g|@+sKqc2q9&!(7@!UMYY=`=O886FrCe_ zP?lI}awvBSjYlNmk?4B9HEeZr!j3I>8MzWze%kG7H8kXP#Otcb;;kKp0vb)(m?fIU zBtzLEMU@J*D|ON?@`lN~<*(4gFJ&2B&QZ32Z~MgNAgXhJOQnv+$7aYm-(Fa-fcX|E zaGh49dt0%fzx^ygCqtsYcUZQZYLnOI*D_ryutbxX3t8pg_0|Z7#8M3P$STr{S+u=Qs$=%)l`Nx6Im^j64lErNW`7xmJ^fLh zwOfVsz?Zgell}>R#V7~CxRk%?p0Mzv$|k*gLUvcyqsek@t5WUb$bIg`xK4kg;HNi4 zbZ$dWXNGIGYeMY;YNKK{eV+8!)ac%T>C}{ek&wSz{7!dt;`D5<9M$&ojq%T6%oR)? z?rJ3>mcEd{uB6|l*E+K&@aF2I4I3C-Yuo2DC%R*xsCC5C$!kGZ-M^72CaWS`c};yjVOb2RUrOQf`D zLVl%&G)mh#yR#$xGk06=+9PZwH0KD@3D0)sT|c)6I|80YR4O%{TWq?RWV346f7!~X zuH51?24?#?DyCs2k;5{O7?R7XyN&U4%lh1fq1n$c=j=e}mPHm2 z58N>9m+qQ@OLK6yBwP+Y$LjovG1!7QJ8S3}=L$ICug!Jn3n!arbn?chnJu^uMkIr1HXnnJQ^tF0w97fxMdzr859 z?Y5S%nMj2~Eq=1(3rpNlh}CgFt$)6oaEqFp@OiwlM>yLaM8vGUZcgwK&$kIA!tqUJw&km|(Y zSXy73UwOCwb*9%)pV;z>L%;WA-G(i6V%`w8k#j;)1?v_G zb62f+=X~d^aBL0UXB9E!_U`u&Pv&5qBhWOG3yHP0#ktFCWQDb1TSeQ(iy(7S?(i$5 z5|%mgjY?f8^o`D!mFOI|E>28-4sbg{6=q(P6?azRYF!W9)0rNtw6fx6;=cpEG%6bc ze2a`q-Ip%bh;J)(_wtQo^RfplkiOJ;8m0(&Hbw5ZLX7$nyLKfrc3=Dj+^tVBNq$e> z;|6Xitt58Y%E7*^@wXkxjNLS?cx_{1`*K1hYU%!AWpr-ShNLkUX4(Vh=Xxpil&~r( z+GpN2KiAB5gih<4A#SdziT&*IM3tyN|Lp_Ax)o7=1?Vk8zSaN-Z(1w6{Y&AbRu|o^#U5WQ@@Z zYcg!jGgit5JYYLpE4Y{a%q>I z&G*`=L z;l~7O4x*-eODxK8^v)rih7#&fb$Jh)Hj}pzmbh^a8N^wRirO3D9)Wcg$K4VRFFkVT zpPTkfGoP&dj*a5mEoq}x>J=Bhaj-%PrHg3nHkaMoivhU@OiWCfG^jsqhu&_ALf|(1 zQF5<|s@5I%sPYFF^Ch%0J;B65wMcm1zJ12;AKfp^e+*Od=?^5A*4NesST(cP#OrQe z>2bJXhj{!+#X4(RB#e_4Zijmll(P>~>)M^_0(?3|{qLN+RE-Gw!Gi~R9>*cIr628Q z(@}o+r>K!fVZ2! zsS-}diL2DHZ#jRpevs*_{QB945)0eV$d!}M64!E6{LjehJG^l|@{req8oI!YO;`J% z;bigPwh3ZIO+`(_Ae?w{qnFLJCYtcfoT#v@7C3x@F^29!gxIjhq*q!e=G8Qe$1yL) ziM7QK?u@8>@obFMfp_&o=>l^IMJH~_w)Csg>W*kbM0lBDiL)NOvYyaOVe5}OBL(27 zrTZaQlV>=Nm$88Z`AS>|;kqthvHkw!VO7lwg$fhjV1L~6q&)F^oYv9D47KdP;_N;D zm{Y>$lgvQQ;g}bKyCH2nY2i(z$Oq}d#kBL(3nY(jA^b~=3|^VDj%+t_I_+O#q-7cvD_xEuZ`N!NuF)S{t#IO zOJG3unV~jAGLV`uQ>B&X@-Yo^Sk9_j@stxuMvJQFIOwj7$}--{$Z3#z%dGPy29FSv zg7vvn3CLg)H;vx|W|;5Y4tZb_dEq|w3Hq>rxYXsVSL3}|PD$ZRm_@3Nk{16FH81cg z?Y-&iYcI*oeB+*t7dkD+0viX{`FNxpyzgS&U*NaO#R?VJ`dyqS6v>r}HH_u@C4}hu z5{vrA&40wpWh4v}6cnVhE4rggBAlZ`I<#u&2R3RgZAw{HeaG%$R{ZkiRE{fkVpA-% z=CYs7#)hev4Yi}hT3LiZ4$EH8^{>h5pR8~RL}D3EX>bH{>W_T)&s~5trX%Q=lmIn3b55~G=rCLpl^j4Hp8ZA7kl8}r zMhxc1Us!#Pxktz#TwQP4vVB{16X0*DFR z{Y?&w)2*a^Q6Kdsx0wdqCeNP?>B&>Sa3(EB%xw#+gvr=T4Oi1Gc=LYY0jp6usb`Z@ zJMJ^+{Km;EZQ`WikDPRei2FRLLcU193;fyLr0d6=1Wty(*6M%ricgS~26RC;Z=~|p zJrq4B}5oYJDm7j7>j?X^G_Y)OnTx{&&>iEm5OV>{MdSql| z?hq6L={Q)C8IcD7JIe%a(PGMA`lAYCdS`0SAl(*F`FB;Ce?jg4Rn+-^HJ5qU&1%D< z5hvPJZvPX7V6v;;no6P&4Qq_&P)1=ECTOm4;yAnEaFQb-H&bITrH%^!?cYV{e_184 z>qTlD-%l@57hSDz;?M-T#pEZbIMnqNKhD;fO*@ag+BluUw+qLt&(>a&Vo22Jw;Hbt z<7ktYlas*Kk2@{&mfXawhdKJ*3?Em+452p=+;XmJ!iKm@m42XRS7FQMDf&e>+fNim z@m`YMZqL6P`)J-AHNnO2q0Vd}@AKzVgFPlszbM_PzGYe|YBzp{L+u7Nn?pAt4 zg54e9q+krl9z=GF^)JlunIEdWU1dF{`DtlqTT&E}_V)Ju#rJRCA;zOU3|76!3$ti6 z8f9;<@3J52 zydPUvV^gff0VqA9?6Im4m$}g4tNwBuvHZ59%Dbv-V#Y@8(tU~|k<^x^6;B1ycP;ecW>^6t;h={nUv(OLHsm$UT~=P6 z6>Irx!(&RosY1UIKpTAH;em)I@PVT)kU{(yv=v z-@82W=@IM*pt839->|6n<+I)}p)Cyt04wyF<>=8G>y?&zPzpUKY736^?`q0e(qqAG z!ymiL!HP49b~tTa-6&k;6sP^q@^o*$j9N;F)R`Fx{41IX)hO-2-~=0+;SN)!iJh;e+EcE$nE^vwTUP=3NQwK zHY1-0KF>&2iO!mp|*MIF=*fRX>v?qp6P=1r2Th{s3@XnNs zlyxf?dif)R_sUl`)|X$`(|M_Cm{ON%&9bsGFcF)zsTOB0)z6M!lZWam1YpRfQNaY%Fu3<)A)#(>{ePf)a0ufM zo+SW$GEy=7A*uU2gqD4GR_b$+d~g&B)!z5cTCkuB&{ODihZH0;g4UcUr7=wDuFz?F z=l_FB-5Bl}88K7QqKBmN?5#SX%~u6v)Gddg0NF_=o?OPIr{An&ucnfJ_LteknU@$5 z;|4pxogi)RFSU(|6+_}^G(Y=c0zzkM0MI+?E2XNmUF2T*ZL#WT*_F-AIMepGmQ5(V z^tuy=_OHhj`6^>1B_*R>lK&p4C|lzL*w$7}H&@pgaM+lPrwqr5X~8`0pN}h=j3;(w z1Bjx*A~6{^gO9;5r!E;?zF+-MbVdF(6#J#5S?AHS8p!s-iXpjCHwuN)+25atmyCy20Av`y zySDrwLZZN^L`m47AV=Gts6pOXd~oF8Q^tc&m63w7*@73*d$C9|17m}jMsB{Wos&uL7q!U{tVB<1LUEV?)5m7fZm|8XMkU z<%rGz;1V_c+})F#jqm(9*QtvjF1QhMMM-2il3tv*PqKI3%a$Tt$KR{^^#X+_MG*MT z*0Th;k1K`O!ktJ?q4^O&x664fem57t7w;|pspx%itfZc&W4`a5ln?nKlrocEhSR+H zv)ZS^p=v=|N=C-6^|dS>1eEK}=8B%znG^BL*S*wbgVtw{M9r}y-TN#LG-(@Mtj{y5 z$wa2J)5GbKr0v^>s(svmWN|~i^|m*bda~{$p%8^Zq?gDYrQ?b_#h}Y{|DADO{Cc~F z+s2@Wb%8}_gJ-I5VE9=y<&!1vFepBj+4Y<hf&G>TDeB`b!!^Fai_ ziCb8xPqRqHfX-*mzDWSxDcux`9#M91219>k~xK5C|)&`ASoE$i6Po1?*U9*eKb9R~9N8QtXO=9Vh3 z9KYy(bhaZZzpCoqTu)J(k%}VL_t*L}&7NgLXsDcU^fq~R$Y-hRr5GV6$pL?H$vay! zx&2e%5jV>E?8Sm)#M_9oXz$ezAWA61yHsTL&T7+vo^;+g;MMe)`4kSA_)|&!6^=;a z76HBON+tF56sv?eHpPk#y1P(DZ3=6ldv2r0)aTAiHZiOg!K#IGk3cc5^S$DQ zrqzk#h%EE^SJ$Ajn6*}CbWVGOAhN_yqecaWl1AWfD6D?to5E;_ipQBazwnxfR7D|@ zgU(-^=9`T%G!;kER^!6>-#r>tS{r$KJaKe%l(YN&O0+ZBE;d|QS=R@0znyH;O#P(r zI^mUG!Ek$#_R+n9%75|D>wg=Le{rxvrw;8$Ap(!I4-OUrZ80cafNl(=FD@>^aK8nh zK%uikk5G*H<9Sf7RjQoVY6jMiVoL=!8pBT_k!(LcIbd9D_85511mh)A57O`gOZ&HP zYIS5dloY+!G+E9q59PN1vKJ_pHkyZCwDXc8OL6#ZR1MosHpbw`LWKEYinNso(rS~K zFlQKwN+IQvx^am7Y^=BX6{`mXgs4&Rb>MsT9336Ih= zdm6N75dl$8Qg`=c0@xNfRMC0jxdUO#3cX;5>;NLo4}&XGOO1_c@IciN)VYt0J17?O=*Dpz3Iq?&ow7p!A~XHb^iFF zy-MKm-*I9(dNhLvVZd+%P7 zii*nmrz2uEK%O}{IDYIU5K?yCY8b3P%?qgRrE#~!#KhIbeb=dHegUjDif6wNlL9!d z9&V3;G(#j>KV^Ghnjgk+jO+W&U@~5c;&a}h1u}!f%zs)ZU9k@TT@AAi zTLvHJ?Y6HN%&OU$6vK}Ooi4TQI3E@oI>Vs2`S|3ETc~Q6=~mmJ4_>!T4st@`N&{?B zqn`r3LFh}wg7ryCy{OOur5F76VijrrU0c?taDL@;zd<)gp31oqqeI0h04V8e(`|7( zc<|XXOe0r>;!XXwCRuydy6-u50n_XpO9jW*l^$^|Pu68>24p!&mn#Hq+ptjlWb8d5 z+`fR^n<@yP+H%;e{>@0&y9l95KXT#ho;RBGbatjvvG= zw!rC%?P=CjbAp`Tjwewr_p5s~QlE1+PNYdPJPBJFgH5$m;3 z)}zxD92C?%8HaSZ2E_WqHbhG?{_{Swevqg=J;r;jfX&o1ZQlN8fB*VdF+c4HDA|Y= zb${G1Vlj(2ns}c6%s^>gc6Dh zf;0&=CIJC~#1LA7Bm{!-QSaxpP6 zoxWjeY{SIF9De-$j)U!Z)ZS6R9luyY!8hzUj-ObLJLybJBJ($l4ecHlk+3dV%dK;4 z3lN}{0J-;^Qq&S2@}6hF?&;S*PJN8zl;pD9ICV$evqusTB-70mYczU7IVL#v)nbBF ztuc(Zl3?>5&wj6@!%h}hK{LK(oZ!SEm6)FX=O(V}vVpPjfT#5ZX)}&w5dSUk8FWUv zKwpWaaV{TQxO7>HQjH+LY>FW){i4(v|Q@_SUzVwf9M&D6G93RcrsaoA2yKn0-40gEXDEx%+#Io zbxdTGrGkZt*N+e!5C8~M@ON_y@)!PEnZh*QI%1$3_A(=#CwOW%a~#PPf2&74%Ng?Y zP9gu!$_1dOM$ikGHp^UsQMQe}BNb=g(kQ}Lyr^>Ph^HqCA4Rrv3joeE;9Fy>NL$3o z02yh}5GOF#dATVi&0w)kJCRSmWPV|-B}HD>c=1XkM|bYdBey5My8#OFIz=ZXLzkPP z8pPglb7*y)L&%0?TFxo3hKfyDWp5Xbu|W)a%I)qJHA$BVxeBAZrtKH(35n}moKYG1 zC+5|9C;A!hhiP%nbb| z8gll+=Z^o%JU<$<&f*kpAQb$w;5od6`_ZB5HEj#B^~j$ObDK0+mCuO_*EtDiD=RJ* zqFyE;J0GWV+t@}3{Zi8o%Mh@lSLb9qb_4z1`fGUM*jllSj4@QlrHls z^0*3nI^V?ZXm>uv(~l<>cA#5vWH&tONh>N^V|Mr(RR!r~EjlQCg%ifigzLR_&#zGPm3RJ}uH<#{%-%zurr&c-}_IQ$D!qSVMJFqa9n=+tgUfpzdQ$?4ND`2oKN|0! zRp0GMuweK0lNg1uyHHyy6Yi}6+|@&u6yGdWBdR}FPNB^&FOZ>!NxV3n0W0WtUwJyP zJ7bUWLrgD@B7%5C>l56holc~Ix7J0+g6uM5Y`KP<9fLfiB&DQ0JUdfcw$_GvV>rc% z_rYLy6P0r9G)}fbOqz{tGX6DJ#7~>Hr-YfAPrWhOHh~W%JCOL>f^i?->V~p}g62@5v!_r!R_O^z+gPDa~8{aPaYJmRceqoY09wo&2ialNxKx4 z&iL@c^?X5=a05A3KZQHG=LK#0oVll9EZ5+PHf{KuZ--M~UTsy;kLmPmY|IgT){f*@ z9y;A>MSj}^$J16$(Klz+L?RkN_l85$0}InA6eJ2AWZ@w?_%ab-LIK2S24K6VDcLO| zKSBaSExQVGHm=CUGO$4tMbWAXDqDwSDB}qks`D1obLaOGw{a+rIU#`}@^I^y0D83z z3Oy=pAK95{pj|#u1>ulyh!>0X@%fZNMC3Zv&!qcIeezKIGPo-0fch}Xm9t1)p(!+c zzF&9M9{*0ysco!Xuh$h26ZZ`<*4Qoh7FsZ+opafwiqMJR9ca+WzDvK`AOEHRM|hzh z=1}&uK4KkpR2;hgPHw~PHmS^ zTy~`W34QfFk5Y5aCDo5VB7HZ+@zHR#;@1RTX40uUb4N#e?9G}(z`TaR{KnlwzqaBL zKyq}^`UT5}u@*xgWQcYb47-UOHD&^y7Ir7e3*#ef%g-8eQoqZPUMKx2yIVU-aoIJZ zD?aF75wE2&-dOB8_X{Hfi3MadTC1Dl>M|)|uU->=V{oPwA|1)<%E8fF8q8SU!Qc`| zR9oZ->OS7r&*mves_)*P%%WWHlnwiD1HOj!Wmb~7Ij@391vh{35mLDN&x>I1@Jrhz zP>HjoOm*f@c1QNbil8r`g1E8W*O!ylnmzTd4m5VzQpEAN26CA8V~Q_zs`WnQf#XfP ztN1;y3`Ymqqk96rPp2C$dFi|!n!h74;Rk{F2|jgRn^8axTu}{w^Zn=>9f8HQ{h+_+ z6U_=HXodf+>ZUyrrHC1m>cKn`KYC~Y`yq%>>aU%&-Zm`9 zt!01xh0!&+ouWN!vtBx=yt1VcEG6-!dZFdNB>pA^YQOi57_sYp-mE3KO=-TUn&?{YnP;H8hxaQ zNaCG5QD=w~4)Ssi7Mh#iJ`_jUn|J}K;?(Sq7@4_c;Ag)&vm0z_D8{-Xu2bilXO=zn zpz|mXQ|56~NEQSgdTsT(c7L*xdSz;-!0YUuTO|nr80giycp0-l^`*AG5@eMNAou+o zBrtivIp&Q*SAXY)2AC)4$eQDeYd-`R39?gkAvf%JLn8El{TkKSD8?X6o~HvFo0C=9 z$+~9krCDb7%)RFvIZRDC9M1%KlF+6BWk(S$cTcmreR%N#V-o{GAMZTB3t*DmINqB1 z1n8`{mUnsDW8L@R!26-sUskvZi45FrcF`Qrfcc%HE!j}J-sHo2U0pRtkE@ZwhfP!6 z}1GetE*w4^CK+F{59XxR-r3 z$53`wm35fgPQ2ul+$qxLath($5td4I>^(Cl7uw#1A+}RqU8-CNc+^L$LA3(ewH-NI zR$iM&qWaZiu*FDc!CBT2SqXurBuef)ig-}3bz&N##n z-xbB+Kd$=Fz53(T3$i$u=*5{**ZqqX6I@(1!6IOeG^kuUd{M39#IKDsv{mMez_&{u zY$=eAEG*#2Bhg2xW|GtKo65jCQ6uh+w%d4RqLXW}n;ZX`WS5OcoOBgPh1rmJal zdef?47l$a~P3>Y8okzq2+*gfi||zexv4&b%f1c47H~69TgwE(CRgHGyLKnvQVK= zseF=JJymEX8bMUOJ>$)XY^Z(%R#0#^Uxp`gGfQa-U4ahrP4G}WV@ zK;OpCI7e(B?&I)Rt5)}h~8CAHEwTQ8raU-jrQ?4pD5>!au7k4gX zpqLHuvPomiQ0PuE6yyUt+1*M~8ThH}7SYl9XR`^c5o7WNL9$V%Rj&#e0>DPY0=|2~ zGktvyGREZ|JEtxkxVj+anx^jMA#VcCW$FY~4cAF{ zcZhO+{+-sOBE}F5%_`wB5IgBa-BE=f?#lL_$W0;~VYSvyqy`$*e%pH44dgV&q)y*b zr`5@O{RD3XOJJ3!*T!r$9vStr%zRPOug9P5QV*lu>pa{!!p5#^^|Y)6X#4CibRqe2 zK)vW><)YEj9gu}JyHMC-NsyktdSqqfCa%1w2&SX{|ye0mCl7hL`hH@5yJhc?&D#f_me`T5ERSIxz)*V)MYza`6 zZ%#JUbNU=lxn>2N5?!KEpjVa(S}1-)E_yx#yOCMpa(JBj+^zVV zemz&XH2a@h?w$#zr5;B-Me$PqRuD8O$W6FQgVbKA9hN=%X*9KRp7e(q8jwtzMfqX3 zgIuzCc*5h@ZalN)0Rx>i_B93$xqqOqg3J^AwbbP#2CAj?B-;LnO8{-0K`)&$deDb= zxwGR0y&!A8b|Dn_v?A%;2X#TT!d2M!$)=)4tY5}=;b19AmbAVRSt+Z@^=jy61ahQi zm@06VDKV{EdZ6YTYtcsbv|@{x80izj9_6Q?y)Pd}CcnyTJxvyfCXxNg`7Png$}?$x zr!j@zP5D`kF*+3y$D|`9Te6St#ku6aZk-q3avKyBpOvk!1P4-NFXy$oyS1ObzFzbkE)9b@*CusFtn%E+PKS%$F5T3Xg|ZKoyz{i6+TpMJe`-ux zO=UM>Gg-V2yh4cQm$UmblB46MrUGhiMX%Sr^++-*!kXPX?M(ZVXI_$(X2j`AZGi^Q&kpdG{{WZeR0z>@vgATn=TqF=P1ynObJ+ zS@PRo!4EbH)|9G&wSxQ;>VF&8;x6}blKQuy{U1Wre{i~g!xaDDFFnxruME3Zeo`;) QIQ3?_am~^g4)#d;52z5D Date: Wed, 27 Sep 2017 18:53:56 -0400 Subject: [PATCH 8/8] removed vivado files (they are in branch full_vivado) --- lab0.cache/wt/gui_resources.wdf | 36 -- lab0.cache/wt/java_command_handlers.wdf | 12 - lab0.cache/wt/project.wpc | 4 - lab0.cache/wt/synthesis.wdf | 39 -- lab0.cache/wt/synthesis_details.wdf | 3 - lab0.cache/wt/webtalk_pa.xml | 69 --- lab0.hw/lab0.lpr | 8 - lab0.runs/.jobs/vrs_config_1.xml | 5 - lab0.runs/.jobs/vrs_config_2.xml | 5 - lab0.runs/.jobs/vrs_config_3.xml | 5 - lab0.runs/.jobs/vrs_config_4.xml | 5 - lab0.runs/.jobs/vrs_config_5.xml | 5 - lab0.runs/.jobs/vrs_config_6.xml | 5 - lab0.runs/.jobs/vrs_config_7.xml | 5 - lab0.runs/.jobs/vrs_config_8.xml | 5 - lab0.runs/.jobs/vrs_config_9.xml | 5 - .../impl_1/.Vivado_Implementation.queue.rst | 0 lab0.runs/impl_1/.init_design.begin.rst | 5 - lab0.runs/impl_1/.init_design.end.rst | 0 lab0.runs/impl_1/.opt_design.begin.rst | 5 - lab0.runs/impl_1/.opt_design.end.rst | 0 lab0.runs/impl_1/.place_design.begin.rst | 5 - lab0.runs/impl_1/.place_design.end.rst | 0 lab0.runs/impl_1/.route_design.begin.rst | 5 - lab0.runs/impl_1/.route_design.end.rst | 0 lab0.runs/impl_1/.vivado.begin.rst | 10 - lab0.runs/impl_1/.vivado.end.rst | 0 lab0.runs/impl_1/.write_bitstream.begin.rst | 5 - lab0.runs/impl_1/.write_bitstream.end.rst | 0 lab0.runs/impl_1/ISEWrap.js | 244 --------- lab0.runs/impl_1/ISEWrap.sh | 63 --- lab0.runs/impl_1/gen_run.xml | 115 ----- lab0.runs/impl_1/htr.txt | 9 - lab0.runs/impl_1/init_design.pb | Bin 1687 -> 0 bytes lab0.runs/impl_1/lab0_wrapper.bit | Bin 2083848 -> 0 bytes lab0.runs/impl_1/lab0_wrapper.tcl | 65 --- lab0.runs/impl_1/lab0_wrapper.vdi | 473 ------------------ .../impl_1/lab0_wrapper_17860.backup.vdi | 359 ------------- .../impl_1/lab0_wrapper_19132.backup.vdi | 414 --------------- .../lab0_wrapper_clock_utilization_routed.rpt | 140 ------ .../lab0_wrapper_control_sets_placed.rpt | 64 --- lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt | 41 -- lab0.runs/impl_1/lab0_wrapper_drc_routed.pb | Bin 37 -> 0 bytes lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt | 41 -- lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx | Bin 355 -> 0 bytes lab0.runs/impl_1/lab0_wrapper_io_placed.rpt | 442 ---------------- .../lab0_wrapper_methodology_drc_routed.rpt | 95 ---- .../lab0_wrapper_methodology_drc_routed.rpx | Bin 3254 -> 0 bytes lab0.runs/impl_1/lab0_wrapper_opt.dcp | Bin 214007 -> 0 bytes lab0.runs/impl_1/lab0_wrapper_placed.dcp | Bin 218718 -> 0 bytes .../impl_1/lab0_wrapper_power_routed.rpt | 152 ------ .../impl_1/lab0_wrapper_power_routed.rpx | Bin 14388 -> 0 bytes .../lab0_wrapper_power_summary_routed.pb | Bin 723 -> 0 bytes lab0.runs/impl_1/lab0_wrapper_route_status.pb | Bin 43 -> 0 bytes .../impl_1/lab0_wrapper_route_status.rpt | 11 - lab0.runs/impl_1/lab0_wrapper_routed.dcp | Bin 223651 -> 0 bytes .../lab0_wrapper_timing_summary_routed.rpt | 349 ------------- .../lab0_wrapper_timing_summary_routed.rpx | Bin 13409 -> 0 bytes .../impl_1/lab0_wrapper_utilization_placed.pb | Bin 224 -> 0 bytes .../lab0_wrapper_utilization_placed.rpt | 202 -------- lab0.runs/impl_1/opt_design.pb | Bin 7879 -> 0 bytes lab0.runs/impl_1/place_design.pb | Bin 14595 -> 0 bytes lab0.runs/impl_1/project.wdf | 31 -- lab0.runs/impl_1/route_design.pb | Bin 13318 -> 0 bytes lab0.runs/impl_1/rundef.js | 44 -- lab0.runs/impl_1/runme.bat | 11 - lab0.runs/impl_1/runme.log | 471 ----------------- lab0.runs/impl_1/runme.sh | 43 -- .../impl_1/usage_statistics_webtalk.html | 459 ----------------- lab0.runs/impl_1/usage_statistics_webtalk.xml | 407 --------------- lab0.runs/impl_1/vivado.jou | 12 - lab0.runs/impl_1/vivado.pb | Bin 149 -> 0 bytes lab0.runs/impl_1/vivado_17860.backup.jou | 12 - lab0.runs/impl_1/vivado_18324.backup.jou | 12 - lab0.runs/impl_1/vivado_19132.backup.jou | 12 - lab0.runs/impl_1/write_bitstream.pb | Bin 6314 -> 0 bytes lab0.runs/synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/lab0_wrapper_propImpl.xdc | 27 - lab0.runs/synth_1/.vivado.begin.rst | 5 - lab0.runs/synth_1/.vivado.end.rst | 0 lab0.runs/synth_1/ISEWrap.js | 244 --------- lab0.runs/synth_1/ISEWrap.sh | 63 --- lab0.runs/synth_1/gen_run.xml | 55 -- lab0.runs/synth_1/htr.txt | 9 - lab0.runs/synth_1/lab0_wrapper.dcp | Bin 11987 -> 0 bytes lab0.runs/synth_1/lab0_wrapper.tcl | 38 -- lab0.runs/synth_1/lab0_wrapper.vds | 294 ----------- .../synth_1/lab0_wrapper_utilization_synth.pb | Bin 224 -> 0 bytes .../lab0_wrapper_utilization_synth.rpt | 175 ------- lab0.runs/synth_1/project.wdf | 31 -- lab0.runs/synth_1/rundef.js | 40 -- lab0.runs/synth_1/runme.bat | 11 - lab0.runs/synth_1/runme.log | 293 ----------- lab0.runs/synth_1/runme.sh | 39 -- lab0.runs/synth_1/vivado.jou | 12 - lab0.runs/synth_1/vivado.pb | Bin 31641 -> 0 bytes .../imports/comparch/ZYBO_Master.xdc | 146 ------ lab0.srcs/sources_1/imports/Lab0/adder.v | 56 --- .../sources_1/imports/Lab0/lab0_wrapper.v | 125 ----- lab0.xpr | 155 ------ 100 files changed, 6862 deletions(-) delete mode 100644 lab0.cache/wt/gui_resources.wdf delete mode 100644 lab0.cache/wt/java_command_handlers.wdf delete mode 100644 lab0.cache/wt/project.wpc delete mode 100644 lab0.cache/wt/synthesis.wdf delete mode 100644 lab0.cache/wt/synthesis_details.wdf delete mode 100644 lab0.cache/wt/webtalk_pa.xml delete mode 100644 lab0.hw/lab0.lpr delete mode 100644 lab0.runs/.jobs/vrs_config_1.xml delete mode 100644 lab0.runs/.jobs/vrs_config_2.xml delete mode 100644 lab0.runs/.jobs/vrs_config_3.xml delete mode 100644 lab0.runs/.jobs/vrs_config_4.xml delete mode 100644 lab0.runs/.jobs/vrs_config_5.xml delete mode 100644 lab0.runs/.jobs/vrs_config_6.xml delete mode 100644 lab0.runs/.jobs/vrs_config_7.xml delete mode 100644 lab0.runs/.jobs/vrs_config_8.xml delete mode 100644 lab0.runs/.jobs/vrs_config_9.xml delete mode 100644 lab0.runs/impl_1/.Vivado_Implementation.queue.rst delete mode 100644 lab0.runs/impl_1/.init_design.begin.rst delete mode 100644 lab0.runs/impl_1/.init_design.end.rst delete mode 100644 lab0.runs/impl_1/.opt_design.begin.rst delete mode 100644 lab0.runs/impl_1/.opt_design.end.rst delete mode 100644 lab0.runs/impl_1/.place_design.begin.rst delete mode 100644 lab0.runs/impl_1/.place_design.end.rst delete mode 100644 lab0.runs/impl_1/.route_design.begin.rst delete mode 100644 lab0.runs/impl_1/.route_design.end.rst delete mode 100644 lab0.runs/impl_1/.vivado.begin.rst delete mode 100644 lab0.runs/impl_1/.vivado.end.rst delete mode 100644 lab0.runs/impl_1/.write_bitstream.begin.rst delete mode 100644 lab0.runs/impl_1/.write_bitstream.end.rst delete mode 100755 lab0.runs/impl_1/ISEWrap.js delete mode 100755 lab0.runs/impl_1/ISEWrap.sh delete mode 100644 lab0.runs/impl_1/gen_run.xml delete mode 100644 lab0.runs/impl_1/htr.txt delete mode 100644 lab0.runs/impl_1/init_design.pb delete mode 100644 lab0.runs/impl_1/lab0_wrapper.bit delete mode 100644 lab0.runs/impl_1/lab0_wrapper.tcl delete mode 100644 lab0.runs/impl_1/lab0_wrapper.vdi delete mode 100644 lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi delete mode 100644 lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi delete mode 100644 lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.pb delete mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx delete mode 100644 lab0.runs/impl_1/lab0_wrapper_io_placed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx delete mode 100644 lab0.runs/impl_1/lab0_wrapper_opt.dcp delete mode 100644 lab0.runs/impl_1/lab0_wrapper_placed.dcp delete mode 100644 lab0.runs/impl_1/lab0_wrapper_power_routed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_power_routed.rpx delete mode 100644 lab0.runs/impl_1/lab0_wrapper_power_summary_routed.pb delete mode 100644 lab0.runs/impl_1/lab0_wrapper_route_status.pb delete mode 100644 lab0.runs/impl_1/lab0_wrapper_route_status.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_routed.dcp delete mode 100644 lab0.runs/impl_1/lab0_wrapper_timing_summary_routed.rpt delete mode 100644 lab0.runs/impl_1/lab0_wrapper_timing_summary_routed.rpx delete mode 100644 lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb delete mode 100644 lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt delete mode 100644 lab0.runs/impl_1/opt_design.pb delete mode 100644 lab0.runs/impl_1/place_design.pb delete mode 100644 lab0.runs/impl_1/project.wdf delete mode 100644 lab0.runs/impl_1/route_design.pb delete mode 100644 lab0.runs/impl_1/rundef.js delete mode 100644 lab0.runs/impl_1/runme.bat delete mode 100644 lab0.runs/impl_1/runme.log delete mode 100755 lab0.runs/impl_1/runme.sh delete mode 100644 lab0.runs/impl_1/usage_statistics_webtalk.html delete mode 100644 lab0.runs/impl_1/usage_statistics_webtalk.xml delete mode 100644 lab0.runs/impl_1/vivado.jou delete mode 100644 lab0.runs/impl_1/vivado.pb delete mode 100644 lab0.runs/impl_1/vivado_17860.backup.jou delete mode 100644 lab0.runs/impl_1/vivado_18324.backup.jou delete mode 100644 lab0.runs/impl_1/vivado_19132.backup.jou delete mode 100644 lab0.runs/impl_1/write_bitstream.pb delete mode 100644 lab0.runs/synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 lab0.runs/synth_1/.Xil/lab0_wrapper_propImpl.xdc delete mode 100644 lab0.runs/synth_1/.vivado.begin.rst delete mode 100644 lab0.runs/synth_1/.vivado.end.rst delete mode 100755 lab0.runs/synth_1/ISEWrap.js delete mode 100755 lab0.runs/synth_1/ISEWrap.sh delete mode 100644 lab0.runs/synth_1/gen_run.xml delete mode 100644 lab0.runs/synth_1/htr.txt delete mode 100644 lab0.runs/synth_1/lab0_wrapper.dcp delete mode 100644 lab0.runs/synth_1/lab0_wrapper.tcl delete mode 100644 lab0.runs/synth_1/lab0_wrapper.vds delete mode 100644 lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb delete mode 100644 lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt delete mode 100644 lab0.runs/synth_1/project.wdf delete mode 100644 lab0.runs/synth_1/rundef.js delete mode 100644 lab0.runs/synth_1/runme.bat delete mode 100644 lab0.runs/synth_1/runme.log delete mode 100755 lab0.runs/synth_1/runme.sh delete mode 100644 lab0.runs/synth_1/vivado.jou delete mode 100644 lab0.runs/synth_1/vivado.pb delete mode 100644 lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc delete mode 100644 lab0.srcs/sources_1/imports/Lab0/adder.v delete mode 100644 lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v delete mode 100644 lab0.xpr diff --git a/lab0.cache/wt/gui_resources.wdf b/lab0.cache/wt/gui_resources.wdf deleted file mode 100644 index fcd4a90..0000000 --- a/lab0.cache/wt/gui_resources.wdf +++ /dev/null @@ -1,36 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:3333:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636d646d73676469616c6f675f6f6b:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f66696c655f7461626c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3232:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3133:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68657863657074696f6e6469616c6f675f65786974:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6c6f676d6f6e69746f725f6d6f6e69746f72:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f65646974:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f666c6f77:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f68656c70:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f746f6f6c73:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f76696577:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f77696e646f77:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e77696e6d656e756d67725f6c61796f7574:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f656469745f636f6e73747261696e745f73657473:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f626f61726473:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6a6563746e616d6563686f6f7365725f6372656174655f70726f6a6563745f7375626469726563746f7279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:726469636f6d6d616e64735f70726f70657274696573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:726469636f6d6d616e64735f736176655f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7361766570726f6a6563747574696c735f73617665:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7372636d656e755f69705f686965726172636879:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:32:00:00 -eof:3263351119 diff --git a/lab0.cache/wt/java_command_handlers.wdf b/lab0.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 5b8e884..0000000 --- a/lab0.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,12 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974636f6e73747261696e7473657473:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:32:00:00 -eof:40094089 diff --git a/lab0.cache/wt/project.wpc b/lab0.cache/wt/project.wpc deleted file mode 100644 index 2a7153e..0000000 --- a/lab0.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:1 -6d6f64655f636f756e7465727c4755494d6f6465:2 -eof: diff --git a/lab0.cache/wt/synthesis.wdf b/lab0.cache/wt/synthesis.wdf deleted file mode 100644 index 9a78515..0000000 --- a/lab0.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303130636c673430302d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6c6162305f77726170706572:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a303873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313437302e3337354d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3338352e3331324d42:00:00 -eof:6425835 diff --git a/lab0.cache/wt/synthesis_details.wdf b/lab0.cache/wt/synthesis_details.wdf deleted file mode 100644 index 78f8d66..0000000 --- a/lab0.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/lab0.cache/wt/webtalk_pa.xml b/lab0.cache/wt/webtalk_pa.xml deleted file mode 100644 index aba6f2e..0000000 --- a/lab0.cache/wt/webtalk_pa.xml +++ /dev/null @@ -1,69 +0,0 @@ - - - - -

    - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    - - diff --git a/lab0.hw/lab0.lpr b/lab0.hw/lab0.lpr deleted file mode 100644 index e87eed2..0000000 --- a/lab0.hw/lab0.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/lab0.runs/.jobs/vrs_config_1.xml b/lab0.runs/.jobs/vrs_config_1.xml deleted file mode 100644 index c4697e4..0000000 --- a/lab0.runs/.jobs/vrs_config_1.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_2.xml b/lab0.runs/.jobs/vrs_config_2.xml deleted file mode 100644 index e87fd58..0000000 --- a/lab0.runs/.jobs/vrs_config_2.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_3.xml b/lab0.runs/.jobs/vrs_config_3.xml deleted file mode 100644 index 942d824..0000000 --- a/lab0.runs/.jobs/vrs_config_3.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_4.xml b/lab0.runs/.jobs/vrs_config_4.xml deleted file mode 100644 index 942d824..0000000 --- a/lab0.runs/.jobs/vrs_config_4.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_5.xml b/lab0.runs/.jobs/vrs_config_5.xml deleted file mode 100644 index c4697e4..0000000 --- a/lab0.runs/.jobs/vrs_config_5.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_6.xml b/lab0.runs/.jobs/vrs_config_6.xml deleted file mode 100644 index e87fd58..0000000 --- a/lab0.runs/.jobs/vrs_config_6.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_7.xml b/lab0.runs/.jobs/vrs_config_7.xml deleted file mode 100644 index c4697e4..0000000 --- a/lab0.runs/.jobs/vrs_config_7.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_8.xml b/lab0.runs/.jobs/vrs_config_8.xml deleted file mode 100644 index e87fd58..0000000 --- a/lab0.runs/.jobs/vrs_config_8.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/.jobs/vrs_config_9.xml b/lab0.runs/.jobs/vrs_config_9.xml deleted file mode 100644 index 942d824..0000000 --- a/lab0.runs/.jobs/vrs_config_9.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.Vivado_Implementation.queue.rst b/lab0.runs/impl_1/.Vivado_Implementation.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.init_design.begin.rst b/lab0.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 88be7af..0000000 --- a/lab0.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.init_design.end.rst b/lab0.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.opt_design.begin.rst b/lab0.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 88be7af..0000000 --- a/lab0.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.opt_design.end.rst b/lab0.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.place_design.begin.rst b/lab0.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 88be7af..0000000 --- a/lab0.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.place_design.end.rst b/lab0.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.route_design.begin.rst b/lab0.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index 88be7af..0000000 --- a/lab0.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.route_design.end.rst b/lab0.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.vivado.begin.rst b/lab0.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index dceb9ef..0000000 --- a/lab0.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,10 +0,0 @@ - - - - - - - - - - diff --git a/lab0.runs/impl_1/.vivado.end.rst b/lab0.runs/impl_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/.write_bitstream.begin.rst b/lab0.runs/impl_1/.write_bitstream.begin.rst deleted file mode 100644 index bf2e1b5..0000000 --- a/lab0.runs/impl_1/.write_bitstream.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/lab0.runs/impl_1/.write_bitstream.end.rst b/lab0.runs/impl_1/.write_bitstream.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/impl_1/ISEWrap.js b/lab0.runs/impl_1/ISEWrap.js deleted file mode 100755 index 8284d2d..0000000 --- a/lab0.runs/impl_1/ISEWrap.js +++ /dev/null @@ -1,244 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/lab0.runs/impl_1/ISEWrap.sh b/lab0.runs/impl_1/ISEWrap.sh deleted file mode 100755 index e1a8f5d..0000000 --- a/lab0.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/lab0.runs/impl_1/gen_run.xml b/lab0.runs/impl_1/gen_run.xml deleted file mode 100644 index d36eef9..0000000 --- a/lab0.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,115 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/lab0.runs/impl_1/htr.txt b/lab0.runs/impl_1/htr.txt deleted file mode 100644 index 7801d7e..0000000 --- a/lab0.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -# -# Vivado(TM) -# htr.txt: a Vivado-generated description of how-to-repeat the -# the basic steps of a run. Note that runme.bat/sh needs -# to be invoked for Vivado to track run status. -# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -# - -vivado -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/init_design.pb b/lab0.runs/impl_1/init_design.pb deleted file mode 100644 index 7bba91cb0f089c1b070c610e16c47639048c7a23..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1687 zcmc&#TW=CU6qZuJj@9zwCG|En1KJ zl%HKp*Q$1LF7jBg-r>xpPtlwTyVBw6n9$tNvpITcWeF{TN9R^F+nfEFx|N?nr|89X zR8ANuEhpJ(|F8}3+`v{FXXB`}9x$(eX|gFOd>9BNe9S%W^FS+n5=tyN867tH>Mv0iTGQG?wEjq+LkStJu>oYCF06U={d0cZBi@-#%xqpZi zhcsL$D6rA`1etu?L^G=Z+!);Qd+ z6ne1Fq%vrqwl?v$@HqZbP+wyq6T4Bo&LZ4Sm$Cz|Fl^yoos(A2I zHbVgRSf0nEBK#1>;ETMqq?hLyU?F*94Tl_1m|2rzAI|gtO>Aqpb8v`04(KL+KqZK4NWsclpyPC z%&lydlt^_#?my5{@^F3Z`aYBWCK@Q7kTJzKP+>2e8u$w?Io6{Q>9U;zw$P9*0z z>hzUxm^WcO7gBeJHk6--gNw8+UV0vEoZ0NoSK7l4#O_B_xQ!m(7MCXzm0;3>#1p zjAaPwgF#U6h7apQ5QQiz-UuvfLO?K}h(7re3HspEf){+y#Om(p>F(+3sjBI&n(m&j zhMB55=Rg1X&sWpenVk(Q2hVpuLWmv?*FXL6Bh8O}>h#0UKKuCTJ5E0L`04vje6ac8 zJ?q;Y4?KSQxu-ty%m#=zw>U+7D9OS(6Ml$7xsg1oqQrr8=H+dN_Xi#boU|Y>^ptk z;|toyo%6=WyD?E5HNstgZARzT&y#5Bbo{kuH-4o%w%xZJHN%;7zbD{3;3H4;j^=(J&;1J47jXENMOle;5Fk)MV68yRq#^O735ty0b9a%bxrs?a zQmWRn@u6h?QpNOw_deM>vL`@*zzzwt%FlcBZ{^1rL4W{(EdV;Jrqt|0%TTwnQ_NcHCHKr)Md~3idjeN~ZuV*_|IdmGtsyQHH3LD*Cug7tB@78f z$|1^}iLh6H||yGalD5radpd*WcTZNi#dmyWpD44z@}N zEUv(E-Ag-iaosbTFHd0gm8~P8t>nn@?TxE&RNt`JBn9H*jZDeL(d-@^`ok>N7MColY<$V|!U+%{ zK!899C&Hdq>X3d$t@Nb)c6a)GZBO}O+a7V?v2jCNt+c28S@*VXSa{mJ_+z1wgwTEg z(>N236;9g^>}#mW#fxw6-9sm0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U*o6XX(KHr)ZW>ACSQohBf776dr;$Vs z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5Fk*cK(h2|KmA(& z&{38^l+{>4+iU%t%U|jrPvfYyXBt`LAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAh0_H?)%~HT(?ydATZAYjj-~od9GjW1ZojTF8f6-^O%Id zTnj{huc!Rw_B2sFbItoKYtPoYg0;?U-LJJyY%&4_2oNB!+XU8bu5rbU5Z5@HsR&F> zAgbxT`h9~H$Ac%12@oJafB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+0D*-RNM>tQ zOTU@4p4C>GAqpG61KenzXKb6}GD3E$X`}X?DqwitgI^y$FMsyExHBf{jr@GvI~v|^ zjvMZE))&}!qJO;Yqqxw>B#lbakD^;E4Q!W_&uTI*e zQ1VGlTZLxX^+79t>JY>=K(yzmW1bq8QtcE+-kL)GR*4*CVoRi5q|l3RE3uknOl+Ld zKKgGLqmp-h?b*saC&(ybKAYCw+E(4I^-+yNqubHNA`7Kk^q>nboze=I2l8}(iGHx9 z+nL^Wx?KaY4o3tIof~PIenamz(%VmehK0&_;KeGIlk}5z4`1juB%QZlT$(;l)=~6! zR%>osG7>R#Pu1z}w`^Pl*JQ*kqv7a78I8r8HnekwywHA(kBdgcfq{r>g_Le^H>ffPtn|vJ=h@Kg3o3LdZ`*Ql4@!pN=GvOqQJbdrJ zCth8Yv{Q_}Zr_wRN?PIK&?xz0ep8ZNak_R*ZOcq=C;MxgY@R1N`tqdJ_Z~Qg^JLs? z;(J?RB`gicIU5x?bnj@}#4XvE>DcPE>GR=u;_M5b;EL_NW*l}&hEKE4z7WDCQFtl4 zQ|o}h+MNR@+dJ&uP4(}rI?uaCZz{{-kKfsM(ZSJEfc0B%5+%x;O5; z_E>1eKi(AUbVOkFnEPKzsbM~tHZ}@4+^t&+p@-vezy683e z;+^lDUA_NDu1P+41?Pp@(jIGpkSAkU(wM`~!3998cnJq8&fiae>Qr0RjXF z5FkK+009C7W?SIU^Ap#%Jo*pf;;f{d-)G6{&_;j&fwBViz2B8qR@-~fWfjB|*jiu; zkMa8oKE~JfQ-Q5lJo~-wyJr6ysgM8x0t5&UAV7cs0RjXF5FkK+z#I$2D?gj#>XlA_ zKEXk+G|JQxkjZ%Oh)G9!wP>&5lP9~~g0S8}s zu7*WT?TJcL@8``nC_cWQ zse9B&ca26k6GHsL=Ds55>*Mo6x1B#P@yh%1BF6UT)s)x2kvQ8RE=>+Hqdnbg6h}*E+t=+}dfoO!&2VOYAELKkdH&_zbz2{Epm%ORJJ-{-a4mcf_#T*t H?}7gVYD`We diff --git a/lab0.runs/impl_1/lab0_wrapper.tcl b/lab0.runs/impl_1/lab0_wrapper.tcl deleted file mode 100644 index e6722d9..0000000 --- a/lab0.runs/impl_1/lab0_wrapper.tcl +++ /dev/null @@ -1,65 +0,0 @@ -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - - -start_step write_bitstream -set ACTIVE_STEP write_bitstream -set rc [catch { - create_msg_db write_bitstream.pb - open_checkpoint lab0_wrapper_routed.dcp - set_property webtalk.parent_dir /home/comparch/Lab0/lab0.cache/wt [current_project] - catch { write_mem_info -force lab0_wrapper.mmi } - write_bitstream -force lab0_wrapper.bit - catch {write_debug_probes -no_partial_ltxfile -quiet -force debug_nets} - catch {file copy -force debug_nets.ltx lab0_wrapper.ltx} - close_msg_db -file write_bitstream.pb -} RESULT] -if {$rc} { - step_failed write_bitstream - return -code error $RESULT -} else { - end_step write_bitstream - unset ACTIVE_STEP -} - diff --git a/lab0.runs/impl_1/lab0_wrapper.vdi b/lab0.runs/impl_1/lab0_wrapper.vdi deleted file mode 100644 index 4450a7e..0000000 --- a/lab0.runs/impl_1/lab0_wrapper.vdi +++ /dev/null @@ -1,473 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:14:53 2017 -# Process ID: 19132 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command opt_design - -Starting DRC Task -Command: report_drc (run_mandatory_drcs) for: opt_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -Ending Logic Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 -20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_opted.rpt -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Command: report_drc (run_mandatory_drcs) for: incr_eco_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -Command: report_drc (run_mandatory_drcs) for: placer_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 -Phase 1 Placer Initialization | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 -Phase 3 Detail Placement | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: f83597f4 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs -INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. -Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4.1 Post Commit Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Ending Placer Task | Checksum: 437fd169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 -report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command route_design -Command: report_drc (run_mandatory_drcs) for: router_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1c5a7dd61 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | - -Phase 2 Router Initialization | Checksum: 1cda7a673 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 22f2559ee - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 4 Rip-up And Reroute | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 5 Delay and Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 6 Post Hold Fix | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0509572 % - Global Horizontal Routing Utilization = 0.00919118 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 - -Routing Is Done. -43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 -Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. -report_methodology completed successfully -Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:19:39 2017 -# Process ID: 19317 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace -Command: open_checkpoint lab0_wrapper_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.20 . Memory (MB): peak = 1083.047 ; gain = 0.000 ; free physical = 519 ; free virtual = 2093 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 -Restored from archive | CPU: 0.040000 secs | Memory: 0.034851 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 -open_checkpoint: Time (s): cpu = 00:00:17 ; elapsed = 00:00:43 . Memory (MB): peak = 1312.207 ; gain = 229.160 ; free physical = 207 ; free virtual = 1827 -Command: write_bitstream -force lab0_wrapper.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command write_bitstream -Command: report_drc (run_mandatory_drcs) for: bitstream_checks -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Writing bitstream ./lab0_wrapper.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-186] '/home/comparch/Lab0/lab0.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 16:21:56 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. -14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:38 . Memory (MB): peak = 1724.027 ; gain = 411.820 ; free physical = 386 ; free virtual = 1809 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:21:57 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi b/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi deleted file mode 100644 index 42ae8e3..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_17860.backup.vdi +++ /dev/null @@ -1,359 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 15:37:28 2017 -# Process ID: 17860 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:25 . Memory (MB): peak = 1307.207 ; gain = 222.145 ; free physical = 69 ; free virtual = 2210 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command opt_design - -Starting DRC Task -Command: report_drc (run_mandatory_drcs) for: opt_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:01 . Memory (MB): peak = 1316.211 ; gain = 9.004 ; free physical = 70 ; free virtual = 2209 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 92 ; free virtual = 1846 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1846 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1846 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 -INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 -Ending Logic Optimization Task | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 89 ; free virtual = 1846 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 2a7949ed - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1754.703 ; gain = 0.000 ; free physical = 85 ; free virtual = 1846 -20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:24 ; elapsed = 00:01:11 . Memory (MB): peak = 1754.703 ; gain = 447.496 ; free physical = 80 ; free virtual = 1846 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_opted.rpt -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:01 ; elapsed = 00:00:05 . Memory (MB): peak = 1762.707 ; gain = 8.004 ; free physical = 82 ; free virtual = 1834 -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Command: report_drc (run_mandatory_drcs) for: incr_eco_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -Command: report_drc (run_mandatory_drcs) for: placer_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 73 ; free virtual = 1830 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 04e28315 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 73 ; free virtual = 1830 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 72 ; free virtual = 1829 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: edee9771 - -Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 74 ; free virtual = 1823 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1d0bb8885 - -Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1d0bb8885 - -Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 -Phase 1 Placer Initialization | Checksum: 1d0bb8885 - -Time (s): cpu = 00:00:00.80 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 70 ; free virtual = 1822 - -Phase 2 Global Placement -WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: 1935f7404 - -Time (s): cpu = 00:00:00.89 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 69 ; free virtual = 1825 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1935f7404 - -Time (s): cpu = 00:00:00.89 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 69 ; free virtual = 1825 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: fbac76d3 - -Time (s): cpu = 00:00:00.90 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: f9bffe0e - -Time (s): cpu = 00:00:00.91 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: f9bffe0e - -Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:03 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 68 ; free virtual = 1825 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1996402a8 - -Time (s): cpu = 00:00:00.99 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 -Phase 3 Detail Placement | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1996402a8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 133a2603b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 133a2603b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1824 -Ending Placer Task | Checksum: 6f8f3d89 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 66 ; free virtual = 1825 -29 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 65 ; free virtual = 1824 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.32 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 82 ; free virtual = 1826 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:02 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 93 ; free virtual = 1809 -report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.77 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 103 ; free virtual = 1821 -report_control_sets: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.87 . Memory (MB): peak = 1762.707 ; gain = 0.000 ; free physical = 102 ; free virtual = 1819 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command route_design -Command: report_drc (run_mandatory_drcs) for: router_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -Checksum: PlaceDB: 6aacba74 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 174da73d8 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1814.707 ; gain = 52.000 ; free physical = 70 ; free virtual = 1735 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 174da73d8 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1818.707 ; gain = 56.000 ; free physical = 64 ; free virtual = 1733 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 174da73d8 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:52 . Memory (MB): peak = 1818.707 ; gain = 56.000 ; free physical = 66 ; free virtual = 1732 - Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: 102e22a42 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 168577122 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1726 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 2 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 -Phase 4 Rip-up And Reroute | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 -Phase 6 Post Hold Fix | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.00689752 % - Global Horizontal Routing Utilization = 0.0078125 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 1.8018%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 12.6126%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 11.7647%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 5.88235%, No Congested Regions. -Phase 7 Route finalize | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1823.707 ; gain = 61.000 ; free physical = 76 ; free virtual = 1725 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1012b497b - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 75 ; free virtual = 1725 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: cf0135d5 - -Time (s): cpu = 00:00:20 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 75 ; free virtual = 1725 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:21 ; elapsed = 00:00:53 . Memory (MB): peak = 1825.707 ; gain = 63.000 ; free physical = 80 ; free virtual = 1731 - -Routing Is Done. -35 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:22 ; elapsed = 00:00:59 . Memory (MB): peak = 1859.598 ; gain = 96.891 ; free physical = 76 ; free virtual = 1731 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1859.598 ; gain = 0.000 ; free physical = 75 ; free virtual = 1731 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. -report_drc completed successfully -Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. -report_methodology completed successfully -Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx -WARNING: [Power 33-232] No user defined clocks were found in the design! -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -40 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 15:41:48 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi b/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi deleted file mode 100644 index 39a7bed..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_19132.backup.vdi +++ /dev/null @@ -1,414 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:14:53 2017 -# Process ID: 19132 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command opt_design - -Starting DRC Task -Command: report_drc (run_mandatory_drcs) for: opt_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -Ending Logic Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 -20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_opted.rpt -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Command: report_drc (run_mandatory_drcs) for: incr_eco_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -Command: report_drc (run_mandatory_drcs) for: placer_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 -Phase 1 Placer Initialization | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 -Phase 3 Detail Placement | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: f83597f4 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs -INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. -Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4.1 Post Commit Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Ending Placer Task | Checksum: 437fd169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 -report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command route_design -Command: report_drc (run_mandatory_drcs) for: router_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1c5a7dd61 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | - -Phase 2 Router Initialization | Checksum: 1cda7a673 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 22f2559ee - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 4 Rip-up And Reroute | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 5 Delay and Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 6 Post Hold Fix | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0509572 % - Global Horizontal Routing Utilization = 0.00919118 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 - -Routing Is Done. -43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 -Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. -report_methodology completed successfully -Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... diff --git a/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt b/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt deleted file mode 100644 index b69f2c0..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt +++ /dev/null @@ -1,140 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:19:07 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_clock_utilization -file lab0_wrapper_clock_utilization_routed.rpt -| Design : lab0_wrapper -| Device : 7z010-clg400 -| Speed File : -1 PRODUCTION 1.11 2014-09-11 ------------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary -6. Device Cell Placement Summary for Global Clock g0 -7. Clock Region Cell Placement per Global Clock: Region X1Y0 - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 48 | 0 | 0 | 0 | -| BUFIO | 0 | 8 | 0 | 0 | 0 | -| BUFMR | 0 | 4 | 0 | 0 | 0 | -| BUFR | 0 | 8 | 0 | 0 | 0 | -| MMCM | 0 | 2 | 0 | 0 | 0 | -| PLL | 0 | 2 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 1 | 9 | 0 | 8.000 | sys_clk_pin | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------------+----------------------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| src0 | g0 | IBUF/O | IOB_X0Y78 | IOB_X0Y78 | X1Y1 | 1 | 0 | 8.000 | sys_clk_pin | clk_IBUF_inst/O | clk_IBUF | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 9 | 1100 | 4 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | -| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y1 | 0 | 0 | -| Y0 | 0 | 1 | -+----+----+----+ - - -6. Device Cell Placement Summary for Global Clock g0 ----------------------------------------------------- - -+-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | sys_clk_pin | 8.000 | {0.000 4.000} | 9 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y1 | 0 | 0 | -| Y0 | 0 | 9 | -+----+----+----+ - - -7. Clock Region Cell Placement per Global Clock: Region X1Y0 ------------------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 9 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y16 [get_cells clk_IBUF_BUFG_inst] - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports -set_property LOC IOB_X0Y78 [get_ports clk] - -# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" -#startgroup -create_pblock {CLKAG_clk_IBUF_BUFG} -add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} -#endgroup diff --git a/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt b/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt deleted file mode 100644 index 8c32c17..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_control_sets_placed.rpt +++ /dev/null @@ -1,64 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:18:03 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_control_sets -verbose -file lab0_wrapper_control_sets_placed.rpt -| Design : lab0_wrapper -| Device : xc7z010 ------------------------------------------------------------------------------------------ - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Flip-Flop Distribution -3. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Number of unique control sets | 3 | -| Unused register locations in slices containing registers | 15 | -+----------------------------------------------------------+-------+ - - -2. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 1 | 1 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 0 | 0 | -| Yes | No | No | 8 | 4 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 0 | 0 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -3. Detailed Control Set Information ------------------------------------ - -+----------------+---------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+---------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | | | 1 | 1 | -| clk_IBUF_BUFG | btn_IBUF[1] | | 2 | 4 | -| clk_IBUF_BUFG | btn_IBUF[0] | | 2 | 4 | -+----------------+---------------+------------------+------------------+----------------+ - - -+--------+-----------------------+ -| Fanout | Number of ControlSets | -+--------+-----------------------+ -| 1 | 1 | -| 4 | 2 | -+--------+-----------------------+ - - diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt b/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt deleted file mode 100644 index bccdbcd..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt +++ /dev/null @@ -1,41 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:17:54 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_drc -file lab0_wrapper_drc_opted.rpt -| Design : lab0_wrapper -| Device : xc7z010clg400-1 -| Speed File : -1 -| Design State : Synthesized ------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 1 -+--------+----------+--------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+----------+--------------------+------------+ -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+----------+--------------------+------------+ - -2. REPORT DETAILS ------------------ -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_routed.pb b/lab0.runs/impl_1/lab0_wrapper_drc_routed.pb deleted file mode 100644 index 70698d16a043af0b5d745495ba43bfe143354a40..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH% - Ruledeck: default - Max violations: - Violations found: 1 -+--------+----------+--------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+----------+--------------------+------------+ -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+----------+--------------------+------------+ - -2. REPORT DETAILS ------------------ -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpx deleted file mode 100644 index 77afdd72930179318d2e9e0b7c0b3e7e18880e7b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 355 zcmcJKK}!QM5QP&KYEi^eC`fx5>P>LzuArW4y?82B6na^*o9S*aCfiAZ_2$u|_-8$8 z7X1s(50~@eLD?0$puM|^ct6R~d^pjfoE0x5+7_vh zR25`{N10N)JN}My)bN(=*c)f>oPTiknH(%u*YJ1ftLx!|WW4t%$S6}!d+X)hHu<|jn5%H - Max violations: - Violations found: 12 -+-----------+----------+-------------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-------------------------------+------------+ -| TIMING-18 | Warning | Missing input or output delay | 12 | -+-----------+----------+-------------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-18#1 Warning -Missing input or output delay -An input delay is missing on btn[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#2 Warning -Missing input or output delay -An input delay is missing on btn[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#3 Warning -Missing input or output delay -An input delay is missing on btn[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#4 Warning -Missing input or output delay -An input delay is missing on btn[3] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#5 Warning -Missing input or output delay -An input delay is missing on sw[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#6 Warning -Missing input or output delay -An input delay is missing on sw[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#7 Warning -Missing input or output delay -An input delay is missing on sw[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#8 Warning -Missing input or output delay -An input delay is missing on sw[3] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#9 Warning -Missing input or output delay -An output delay is missing on led[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#10 Warning -Missing input or output delay -An output delay is missing on led[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#11 Warning -Missing input or output delay -An output delay is missing on led[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#12 Warning -Missing input or output delay -An output delay is missing on led[3] relative to clock(s) sys_clk_pin -Related violations: - - diff --git a/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx deleted file mode 100644 index 8ed5d2d2a1550e170ea0f0fde92682e255126f1c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3254 zcmb`}OK;Oa5C`xzZZ>IZ)X+nPf{<3_08}E19Vp>Qc~l9c6#6(J)L zkt5~+vo8xioDo9^O6yDX+Te>wi`QkOecxww&LjG_HX`K9T^e2KwmZV zO*6i0=!f1M{C$GF7VXl<0WVfy;(gT#-SgcZY&@42EE;#M`m~P?!ae^|3>^U znk0nAguf~M=6bWm+-hlEX;?F3^x@|c5u58c&8Cc~QKw_i@?}PzQ5(Z1k29H4oopKByF;atFVZf8P!LM{ARg?{$ zaD$i9dBDzLms>%RQ zApnpLUJL+9kplD!fP4&O05xTRE(8G5zKa1MDN=x50g%rCJ>3bGl>we{fY*Em%yI~)p!d>~{F vE9wsEjtHn9(#;SDNRHg$Kse-cA#*U)9nw7!-~efAhyx@??yxT$^6_v9Pgfbv diff --git a/lab0.runs/impl_1/lab0_wrapper_opt.dcp b/lab0.runs/impl_1/lab0_wrapper_opt.dcp deleted file mode 100644 index 1e293e57b926fceb8e3a731e1b95304fa827fa26..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 214007 zcmZs?V~}RS(k|MZw(Xv_ZQHhO+qP|E+O}=mnzn7eXTEdKjT?KPyCU*OW@J@l<%+76 zPu8;(q(Q+@fq;M@f!Kn$r6-l39bkZgfbRbZ`ai3Up%H_=yOW`VgQ=6gvx}<{otuS; zO=`TN^biAT=-wmsY%!?1R%*rNQikZSU`f0KZHdkH(9l05ZEoMMW@w|82nSoo+qb*U zvo293)?Rh)-a;>L4B5MXHh6bi7G6AJQ)`fEVgx-wK%~%804lD7F=={l_*F#|POHCI zWhO3PBJ0@asz~P=H*^~{>YPVYNM(6r5-4xGEwGOZK|%F$$aQ|p37EoX2y5r)9|hxg z5-|Bwr)|k%-P$R3%_a#)O|a8U`~QHS*8~&v(1q=uX;$Qkqj2-AaY;9sw^zE}5P)G99*|NP1KBPp~h)5NKJ&3Wuv?NQI;XA6hLmDE&BOnMP8rJNku?TK@X;Po?)j&wfT-UYd65bm%zy%*!cFK*vWfN zwbvXNS+3|JFIZt2Drf>u1EsGSi06Ws#H4t=a44lhv`K)tDPZa`!d(;Vy>X4<b8MlQ)+IlEnQowXG?>m--;TAJG^ zCWrnIk{Zr`S@j~?&y8j~B5)#w%#sczx2el;wJsxC!Tz-AJVg*mG;fM?%7L5 z1ddim{JxITDz*xcpq%{}$ZI2t+CJhvl)yN3+(xL^rpFVGC||56{3e_mN2D(w_;VUI zU2_ACbS<0qjYy{j4Mhs?4FWr+^@GX?a`C5ZlCFLFFfZCy=peEC=g{o5jQ}uX#PA09 zpKrBVGV2%~3JAy=4G0MNzcvM(vxT99X{v^9430Y5OAH`x%=q)e%il~IRBnr9iw(~D zmTY5Q>0+-X@_NJT%7ncz*9N&wv+<9mn`G?XPVlYnmk|P zE$r-3?jd#AulI||(aFTr=Ycq%;{|1N`8qx)A7cF+%IRZiYim#VwZ}4u%iyJWgt+T% zWT;S~tvmg*8-^c>t0Eg*=<9E9Ian$3(UF3}k-wwfb=wlVu(wtG*fT29JxSWvY_Drv zb{(w=L5Q+0(zdT>_o*w%U@TTIoI0BPolZ6hAh~3fvqc%R0vTl4ZX33nD_T{}Ibo2j z948E*ik#QWohw<%fta$JMlp29xWksCLkG+d0?bZ4N65n5@0p;W*TapIaY9L@Ba6b5 zB2t+xSD|L4FqBzJYB>{vUgf0ElqMEj62}2=gJ{mEjTWUs$*7`HFw|8vM;1~_K^;(| zXCfs>DpD(2s-;ue*srn`^Y7yDWDN5o$X+R*&y`K{)-;(6l7Y@JykO|yUoN&JkTQzO zvn=>$%m-vvlZk6E^ZmiRoXsxfZ__u1TZOkWL#>{L5a!MDybGHh2bdn0kT`KnQMI(KT8 znd)^_a)wb$d!iCl;BOK*b$T-AKslArLfYw!ZNLJ>?)lNs#N)#S+if z$oElL#(wd(={k9})GlWPcvGNtFHv3BNpiuw8i`IEO$qy^1T9f`$y(Tw3AG=kW%rh=(yTx#S5gL6OEFd` z9wz>yjeVifJ~QiwFG3FkGZ1T#%pQazSUNGN_hNsvB>0QHG2Yf>qc4%tV!@IBR1?KQ zxk(YPRUMma*&!{rb;mHzvc6)$K}t2}$RTmSN^$@K)xKPcI6W4 z>|U`xSuN4ah`3zDM{QGMb4q#I}WDK z6DX9wOaN&|-syG6`I>}B>d=TIKS=p2kQViHffqa;4r!OOjFaldC|hpe195-*mv|Sn z5r6(zs?EOn;32NV5NuANq+-XlQi*l;9at|yD-b6~H0@y3M9s^6=};lCegUJRkmlP# zeF#o9M%iC!5AzAHOCy}+Z9Pza4D94PQyU-G@7AkHzL$iJW5+k>nJ6@$EA%(2T%kj< z+IIjS6i3;D1V$u{4_u#Pier8)4I*f7?SchX{*WAeZ{dQ=gyxM(XAAf}8VRs`(WOy| zru2|9_8g*_|1Kv3_}=naM$fu)Hl9vHIJIFW+P2qDh`lAt?(Nm(Is{i|$$3K501SqH zZ{o5b{a}?n;NcT{Luz+vyyvJ>EG{XXMTzt^Vb9_S(^Hlh<87~v^`TKrZ>?GZHqURL z$r&CrL}DEJn3Z)N*o6;L6z#K7W;v=(sx|c@s-iza*PlTLZ+*sL>EmE{CW1rkLr)W8=_{6vuv5p*aNx}UG}ZTB#$NK( z@+igEE$PWi=s!RY$mREXn4|XeO@7c4_!$v!(tAA0SA9s7oeT$k!1#Uu{M1c;x0t8+ zxFkO$27JYXJgBQYVf?(qP!b5gkB29meg{4*oY%|$j0J9cKdjYKy}ywi8w0=RgHfH% z={@D~*eLPgCjcz}TIjzPy#Z#^F7DBq9B zj$;G9q=DbryN`6#JJ{JN^I^yJp^ttlF}}N`_*x`AohjmdVCnSs)jwY-`W9T~k3_?h z75!SkDZq%yuE>y3y9_u+YEyth>Bl0Vx^}@v?0ENG^*kk^?QfA#+-e}BcGw0lHIGRt z@reHUw<4l;iG-{%Pf9CsiHOUrlM+(6u}9eawM8s&iHOap-$h5Y_H0;H{U84WVzO#e z@DVP}>SIsIDBd(dl-0-}BRHznhuMFlNyKC{f}x_Gb2X->y8pN^{8RTJqgs;hyyQG3 zrNWOQpsXPT8|aXb^wd9-Qs7S_psJh%8xAn6d#bugLJ^!0nV~d8Xd#(L1Js*;_#^WGQ_86mHvVpu*64; ztLr1a9{ZmUz}rzdonc{oE_V~syT zGe5%Gs|1$tj@ek2<{jx;jSTQoQ z{6#$>B`M2eNliPZL9Hfsaj2;k5_`WIIgna*?_uO{UbFW@J~DUt%Kk=?%B+-u@x$HT z<>llXEK>ayBIqETGo%Z}WhMK09`wg})s0^_#bvv5M}AHUyV_R5*y*hB{xb4tF7 z6wW$4Uu{$JQjWi-S@tr{k+{i^4AX70b7qVxve`8{Ue(Z~THCjJk#tpU3CP^lc%Iwa zN`MH7Sj5F-7~rxTMUum?4&a=gMTWDyhb6?Dz?^7VAx<6G#C9LN4-u<(@D+9&QMEEJ5Ei| z(@WDbEi*GRDN)GLPRdMAR7=rJOwTnnO3_Hoj?+l1GO#EzC;%A}4*rT&^uSz{NPq$Q z&j9qDVAWvYfq+&6fq)SII{;G?vuj;#GY21vO2;PUWK_Z>F-qT|UI#!_P+j5hRl>Dd(C z8FA^;2V$Bv;>rd#8u@#K^A2wa%`rT=$7_gvbhb)gyJ1e*4gI5}_Qnvt_q#(Tam6E9 z-j7nLRO}e?<$^bmQ(tbzl1T^i?epSq7H(gekL-xs?mId^H(cixA9MJun*Lb1K8LXR z>+KKye(&aiOZ(10E7-B*gd9Q`Yr;|4#GWY|K)3T)Lx3o*fnQxlS6nL-<7k}ZG2E?>^mm9GbG$lQ)U=RjO7R;gEAVZbDK-4hM!*6z~@GjCY6#btZj z)b%$G3nJbEore5yBq>+1!tSzriH|$}PyMz>E9~Iq523&p@{O4c1YYrj1MV--kL|1s zqpS({uqKtim{>tGuW_+7R$35Lo9G2ZA!e3VsVmFP3yQvRmv#P>q+4Ey$~lVweH)-` z`iXvbQ==R(dG11&-+%u6P+Q%T$VUSaZ8xl_hcvU{!OYvc_w^BeVj_yCfR0NDTP`1Q z%Mm%^`%Clt%Uy7E_pVX|NMR<(yZd$!g!z8GF=RZK0cU{;=by-t`aKPOUg@M!m1`-M z3zSE0)8`z5`M!tPm4pBsS@OZuU!(nF!vXKbOW#Ac&0VzlBsYK&cG@`tbHNCyBNR3? z#qFB`!#Df-KiXRrwrl%g2N4T6243<|(Nm&%A{m}EUpXn*-sQ{Qdr{IzA5#dyIDHqN z@Kg(j1S`^e?~10V)=*K?q00%Y5rz?`K`>V_VdK%+Vt)qHZr{q6KLlCL-sV}A)F%nE z%9nlCcKY_-&7wAYFAsws4B|q~rPX*1(np&{8u^C`Gvr<+rs0FF8G{lTD&6xg1>)l5%JV&q^-_boo-*}HrN9LlJofRRTBWvhT{|zVJa3+quQ=qW z9sUjQ<%VCcNNBCvmFp3-GIYdY2KSHx_c(zr=hX+c^L0Mr=yLU5jI|xB;tg*uBe|}L zcoHl&+*`7%(W>cs`BOESSNpTcODi$;PprK^Lccx(eD-(A_Kv2sfYW+rnUIacg=uxYuvCVm z+n!~<5Z1w=22hf&SY;SUQ;I9Lg+NLjc6a_RHXi5A`#MRe1Ce+-Dooql1=qG(K}TZx zLvPl=(VeJiqr7e{x))W7H$!n8z`Cx&Nq`DExfFm38!w+xm&NMKFg(ol@H> z*iOF0x_qtU{^csSOFURs9>->!D?TYw&s#yd<|TzIz((_|pR3@>BH+>z6ju{LnQ7sL zY+Z>Pk;)b5J!d}974a~l9p=FqM?U2#v0V1V8f`M6S?buv_-u8QfIs?809NA^8qvU( zD+Sobwqmgf*|py&pk*}upQiTMML%8CE$w4rETfUrINa9)CiuGO28CG=4PLf9Z&^Ti zGv7PptnL+BJGN0Sy&dkA-yXRNfK7oY2ePS9#otgUv9Y{*O4_YPv5{0Sq7!#5arSi( zDqcfdR;r=~H@*}gXG90*p47P1nm?;?r!9|55%D7jC3%t&fmNBy z;7NUBJ zrdE~`g`(-+k)OS@PIY`VAjjgSrUVzjb`reaW9)ifp=tTijDgj{3=QyDr7-1EJPF@W zJgdKp#f8P>ahPCPU|=<*$P(-!$yNu#hz}UU^S3-?^k&dj;hHVVRb!txq#JdjZD?au zu+CFeYeUbOG5c3M2&8eVcO(XFyXe4chS3IWukDcSwpK`a?fNHC+iP-R%yX9)x94t1 zIa?bTiR8T_anC->)KbcJ;hxQY(W2tzw<3Hmtp@IWJ1rwW?(9Fee7mr_+n&#DU0SO* zwW3a-YG^AhZ#u7O6T;^$SzJ+3*DeGrsa{kaPnXdHQ{5BWNU{uipeD2v|nVg`hJ3X$bVEk^8$*%?cZvzzTrLFR4-XI-K}im+=|$}C`|_V%586# z2L_WxfX8NVq3t!erpyT;_R-R3n*-J0;$x6;^Qr>Na$G8q@<>P?(8R(4_ zEw1t5}H1H|)F!xLATW4*P0=U$s>aA6}aFCyBuv^urppi&wm8J`G5WKk1xZIWD*`AsX{k4sN z9NKJzDw7+j=%5z{Qjsk_8-!r{6*B!(?CY*|8}RZ`KFuqfDWJ2kE*c7-x zT5-MuQ)FOy1T*w0LM=h)$%yPg2wZPk1UI}pL-Ldvm-jszg!f9OY1r(-9A8=| zEMUzu8pz&=Ez>L#Z{_?YbO0rq_^<0IS${UBOsS@kwri)a;GOs2-WS(aoA^DXlgGcoS}ZDekEk!jMgr-aSx3AUBTDR}H2f%j?kHN2bFe#twgb^F_N z4HKx(<7BSMv-vi8kk~nSpm<4nO)|TjrNpNnV<)N%2OI5ya8m4yA0TgMMSQQhzydl% z1Rwf-_67CVIOW!Wk2=f9Kx24pe74WXCnkwt+FW!g8Zym+&&%pOFp+rTvhlrhpJzfp z{&FZ>u_>oKHS6Nov$tcEh~3o3wU;U&8&*YZ=IXtYC>UJ-WHhF3HXYm{xnZU}lUHB$ zsQ&NNcFz6Ednb?`6D~Gu(`i){4OOoKO=PR~=cm5*=iV$`oQTMMtNUf}M?J8e9jo?h zY2SGKq?qUbfhcmp*3%%$f~U?de9-fL0zZI9>nB$qW@vP25x~>}GPo}Ouju<3ng;!x zT`s+L`5Tfs8)d^m#;fAl20)Li*DBx5$4+!{&uYc+hNC;5=MVg84w1K$*H_n`jWk@V z*rR2AsOQe}(11nN5{5q%$)*!A_xs0O(_ymnkBdqaolg`UqVp2*fV>uTM}BawKX)y2 z#`ZGqDSLXPIvW)Z0Q5hB{;udA}&W@!Dr$ zN$he&Q0DAi4@OhjV&P~gQo5TH+zHmUJo}z}KtdQ@7*>C@*nYFv@RUdij?QCdbnYgU zTx<;gRZY67H}mx^E4F9^;XckwnguKdo-(>yA-OCpZ$=WWU!VCX99Pw=(DIzDAauI* zjxKOEyZOE%3+CVIV=QTeEgy?lw#4Jip!DCc*vWClsU z-e!#qX~Sk*daT`HBp)st z+7DN*a?(j0)pd#YpNPAap82~y7mqt?f1f@QCEH|l-UQYn>#;rlNG56z^4|n)MW^dN zaI07D=CRtyEw*JZ({aOlQu^18(zI`mEsebsyb(AMa00CQBwQNci-5np$`iB61Ds53 z5Y{ZL2FGvg<39*UWc}ytTcOn^NLTE_K3Y6B*3e7(tQ6x0f8DPFZT8o5qw=ktyD=Gi zt4t>j6P-@$+C9ESh&>UP9q z+4ljK_#f?lk`)Wq+dPHDi>5yJ1NN6;g z80;%I(~Rmftc^;OHEeM%P{uhQXs9QQ1Ufj_++rXDAia6; zIW60@2{3WmBl6Y(wM@3pYhc|}?+qrbz`QS?)JKNH z^9VLQlI7T&(IIQdr~uSby!aI8nFKFN!(5iRVL+BwjQ++zFGg}!PEY$;kvEZl?G(5& zrH!tB#^pDUc$A%>Esi5ihW)QfQOw@dMJcb4Asr8;Hv*SHvP#by`SwtE3Hhf>l4pYB zZT~WdzT4w5guJ>D%jgfDMccc-250KR)ylB&$HJi}7`3^Vt93$ML6`RVW4@*~iIb!o#UwKnxRk29}5jL^*d<&Ijj zCz`P9E(=>&L@>Ym`W;ONt6jjx8p74dOM*Za{5&o#Ie4zn}3K~5i* zr=U{>xDJ^<1}V<)sbBgEbzsCT=jdW~w>s!LS2O89v>U5m{iqE z9JkZ0QxFq6CuOoVoKjew?q%xPy*1H`T5RqDp|EjCJb#F2mc~qy>A5YkYq1D|{Vf7X z?p|$QF-)Ybh+9bScO!JrwXw??3^l7?V_K*bAC*yk#o}o)5sVjZJXse znSi}2X(ONW9_rqsaCp#xpl&9;(c{jrj>!P%tA|6VfUlGxf9>&U@q-P?5zz7n{g(1O zw{>EIxss&^4b7V=&cOLg(sUB4(X)1Pq%#pEkbc)D}~tEI2u4`lMPPaR~+5U(C(Nbl$aLcn*d3?*^&i7H2GqY9HIS zjl&oo>|At(lhEJFdt4-A}|N`Qy1@Ks6nz0-(_P}&$6tzeO4GJjO437;TIohQNn z++dD?_@|ZuCZ>qq;t&i>#KpMg*cc1|f%VRYI+sK`6=*UEWqu1=;9e>!-Eb8XT1&B- z`jCbq!kvg|4GHBv<#d4pECp(!tPW?TIuyWDT*nlR3AmPHgadkny<2TURDS*_&AP$h zjO=!t@k7ZQ)nRr-ZTN2PyhabMG|g^KEVch_6BVyCziEyQmSDSJ{n+0x<7@zWqA#kV zqO9Ul2p9~@py2$azw}OzcUBXq{j_5Aw9-fp{%BW4v;v!`A^K-c#bHq;AsY=}fO#mG zOh481xDU?H6eBUmBs25r68lh(gU||{Pyu^K>{a4k<+l^X-{VWo$XHH;c?jn}5;{d; zkz#obqqBsRj&t1At`%fD3`f4zqIZg*5v{#8NYrZ&0lnAfdSVE*BKxJg>274zP2qBX z%k;>cOxRkMa+omqII=t6r4Lue=nLMhqs*oK4u#|DsaCfZgjU3 z5GqYlhPUeW-Ue8$Pzc$!GxlbSP>}DI7`@+Pq|es2wqbNrGIu1#D(<~C2zDdJvWthI z@WtDpE>ZyVke(QKFBDqr2B$xlPTU^9R7YCK3uc%3h4npDOkLhk4vGcR#A@M zQSdQLL)hWvbOLak2wI0$^VgKx`74(IZ1*fgQ!;RRE6Du0c*Va-sT$-{z?@r6aqhtx zM;!6aXKPCn&8|3IdjGg^_!C5&QCA08$ViYb!~er(#_?nE`>uc(uk-)Z7&Cw!fLI zRq+W*Mgg9MDtS1ojMxmcOLNDyKSu-#Qc#tV!o`ZQDOV}V zl0MI#k)wNVuF?7V84=V;Z$eG@9V8Xb`^dY%>m-{Nz4hd)?SKAeC3Aw|!#%t+ISgwk zOMtwsuyCix^L|N&Q;VERk0d=gh9>!)8V5)2J5?Pz7T0Q?Vg`WW?%d>@j4H;X=7S$! z%AY`w_kzNuA77S#-uJM`?qEG3Wc;C1-WzZ4oq1EJ)s{c_33iA`Zg?CU-!k}XJ71STrzE4iI!1BIy1}%HJ{pM8 zRXfxgxVn<8JX=IHvmqP5>dtz(#eB8pD2_C{H%aC))?{$0o;H7cT)4qZk9W(wPR$`A zm3Yy#AZA-y?5@*M;-xQ^y z5b0;&v%CcFcM`n$QnPy{xvD#I6}?KqJ2dv;GQdq)!u- z%FS#``-`AVH=J^SBf!cfy)$KAwlvzpltAi;a-ByE13!DQFhf@ihL-!!C{N0BxLE$u z-V*(W4s(62109T+MWP>H5cB*dJJ&*+Tn`!%G)Ep^^w<2wd&>1*;%Q*#xbG~>(w}=< za8ir{3Qk!{S0^Ra-@nu?4J=4VUuXy`P14Me%qpL&9OcbrO5cVhC zyxws%QDZRoVug+$F2g*XI6=N7GK)gEy+RnMvU8$J0kydvWiDgn`EHO zX&K%m>y!;S15IFnK=BgSdX5jhrYs**)m~~pmNjKgU}}UPV=;O3c3R`HWyI<9u27`I zQG=Wufu8b5Z$2@ImiZv+FbwxP2DD4QF{6ghS<(gwonR-usM(;r_#wzssm!=QoxYAI zY`wGQWT*GW%G_p0G{;%9tUX?nTibuN&+@!dP42?SUwDjVzSmA(1{Q z;-dfMbSZyGd!|2qbAL5`nD&mIJ%br|k(_?1tK|B|%fKsimUHrbq_;Z@ ze>B>ppY&K?3BdIe-<`rWi!*(r1GI?365`W(~gl zYZ$oy%N2LHqeWMO80q1fn-Le=5cywNVdHXJMK$`KmJ4J#%GZJTUFl5i5JkGwk< zn!Rv}KnEU*QgHkkd$-~6BCr8`k|^CrpHCmi z;t*eQzzl%z0{SKL65{^F5^!qII42M4)b=U=+f%leAZUvJiciv*ZNje0TtCR(CU9zDS(8qp z`vdt15EGQ@&xzx5&8}9yGH%oqyQEI^bQ#41{z<5HEdl*Z86(jM1qqWn9Yp^}e087d zp>Uk$cwFcKd8r<`ac61#%*!8K&k2fFPhIybru)jWGVi=?sV$&KOQt*F$H)ir^~Vh$ zlEfmAkK6i*8C6+`kM<2uThH>x#rCCmCC+TNxB;)&h<|2}j-s|yNoO9J_FGzqf>yEW z*}d?U(_gp{d`k)jnmXrQoBns5T$}$E9=wh@3#oCFsF?essJoTBIUCmAE4lllS8nej z%4fceOhnsf%!G(IrAqt{1C$QEZ}9Ay(AGTpHA0wSLQ^yD;Vt9$xp}%92@znzmJ^Hd;Ngaw?5M`!y{qQXTZ09-Be?EiEtK z9R&`<_FGyOl7V%3BztRE*56gD!$3H;(F7V0B~4$U)>qVde-G;9BpA)JL{H2R`MMTf1D)0GYI_F@+_0p zT`BhcM_=hj+1*JFB&FVmZPu;T@9bqU_nYAhMqRY+FeFxRkr>h%~LnY=it6IkXmgw%H?CIw06C-xcp8M)}#|lpk z*0mZIbyN?XK^f{94M{SyV7{z|u&fQ$WZ6I2(MgV!)@4}6B^+oI^Es@$186w6f1}`3 z)sJxRdEU~%7W`U>g9DknvJ)1{+>(UH9FQ>qR3`EBQ%8jSkcHobgQ-fAeY zvn8LuFmRf(JD0yZw@3QKxVtU2NLe6+m6boNShko*=Jl94_Dt7mCgO?pjVCKqq|9(E zW|yx-L8`l*zggD>!48jKA%B_4EG$tCcv+0fz{l7oz*hE^S#lRMFEpPsP4kJlBc3ld zD|Qa*#<^(RXmwUMMK3fk$DrVKsSKZOmTYSp+-OpWC!aT9G_oAoOim}z)G*NIH~4| z`30i&lH8no=~K(Df7>{aChX8+(0Odsm006rfjE5OXI)d#1VZl!w7Jau7A}B2TteS8 z*`WFzn0=PE2^LgS$Oda*O`+v9;|cHUBnWPT0U|F_zP4WPR>$uT20%`yuN+)U94hNkX$)3ml@ye0aH z5YB*^-I!?`Ckt0x*>uBQA<3#o3$eJ>gK=z*OuD%o5J?pFAoMNuoX#3d*G9V`okyW` z>o{OWBzIYKoSr4S!*PwaK$Fht$6r!8>$aSk8%Oj13>H>oRKa9>wpZ{}y<1Mr=;EWD za3tOkm%R#2b{4U%MdHziz;3CnHB%fI$G%U1>L^!BI)hpv2(eO?_l_yqIk3~fR=PDE z5RD?Brk8Iln5w5%y0LDEdMON-2fQgHs2MrjuoX)f;v#oMIWJkmr-86{j^N!=YyI?zV>~Y zjSwSWoRt<%0ht3OS)70UzKevBO{K%p0nsU`;mYof%TM44DtxiS?%Z~OYDtAm!}h^~ zoynk6?oQ`!m}4f(I5;P4`YY74tKvf!0h@kx44KA|SjE9~(BALUGu0%4N+1S8S7gy! zbcoO|>bh~*^8}08?Yd{GhX9H)ykHuOuy|@6*v@Fu6}O~190>k!_Ar8DnF;5SXqtaH zjj;I36;U)DO%If!`zs^&%IYk!4EW*9so$FltdR#UE__EM-?zP}ycGsfGX0gdq=)`( z{V0K}q8LWP7FO~ei+)7{2B=~rTh9$bVqgi_bNQ+^>lEp4$6t?i%8B#J$$l1ryjKUc zk+RN!XbLV%Th80u9ojIGFJzH-`A7m1VA%!3E{NdyV}EH*Md)O5b{ab$KHu#r%}w7n zcN!F_%UwfrxY7eqqkhq49_WAR=dgMmqB{@&qOJ||^oY}`q_?;##w;3MonRdLz4K&g~1e;}819YeeSpcWN&QIZv}RveqS z=!1+JYC8##i@z#7UBd8KqZa_5)muj=7p$J`+v_m4=BOoID zthDCg6k2%iEq~)DRhrJTcPp$w-@@xWCiu2$e{QNN?^TdT!RJzjZ5vd0uatQ$d2T|9 zYKpLoJR<(BlDtEt)rf9hclAS7N*W~hB0#I?JFGyu1!wfvT9KSNxcJx2m&spV1%+nb z4vUCmxp^r!X<0U%s1D%~ku`^d@dn05SsjJ@xf_m&@n_tSMb@s&Y@Bb=uN&I*$q#!_nRDPlV-;7@m6H~LR9_biYNhkbOS*I{w-ld`6q7$g3o2Hl` zCjG?QkL~nUs;aL){uo_)&Q+D(T0x4KiJ(q@@8=0AwN@vkZQ(o&LG?WLQ-Nz>w_xny zKz}tqFKT&_I+%M-a^fI4?a`1oy3(#2j(ZthRdzY$Z8yjQ`^Zr1hA~7|RM+?D^ZxUEv;V^p2KG4e zI_B87C%g5GiC?R?+tXJ7(#wD4wq(a&!*)0lw|{xMbPXQay=5CdW2bd1^exi8tEZbl zbLrW)JG0acX9@Ci{+x)7Cbb#EOq#D*pYG0%0KBI@(UH-GQidRf#di6-|I?8GoC`?? zZZA|z{n3p%9Cs}}evY1emQl~gpMUnytu2>r*?3LxPj>j))aB*j5(;Ss-Kb^O%aGeH zcqneIJ!FpXs3k^<4AZYG7auM@6hT{puobVSP1)s_q3`3%!=cCy*|T&{c&UvWh@^7| zFP|DNbDTyy_CtnSAsj0{w*|x$r12H6HtpLAr0}vaPj}piP34+wN1eICjnC-AuJp3Q z%PEu=U)af=@KIUi`h=a4u55P%;b~TQj4e-o8@9uL1T3>n5i$x>E&DRx`6;rL*Bcj4 zcOPE8rV<%dj9GoB=#swK&vr53Jgwjf zBUeJfuh|7>8X#rkq#;J(*It`9Yl7A~g9zEkLbV23g1ygHG4Gl3@8nD+Gym>m58b45 zpMKtN!GHEY-jkdmX0Fw=nVNQFo@D1I_s^fdnd8$75^uxzQ9tOvgnxOV&?GuwOAMH( z4dGVPXxz{2dw9PeGg*`xsQg}Phw15pA+|6yj>N%U>Y`=!G$EEey6npSBnIRrg#x$k z{92i_X<%i z>9rLG3%;UU4}xwpR1HzO_zIsMK1g@z>Mx^R_)A)HeTNrn=1gx)q=9w&ytp!92k<}Z ztXOAW(47VsO^4}qYi(oF*vSJMb6v9ag@4~G+HP-ZZ-v5j@%hM^)(5@1cI^fONb}ua zeV*f{id%2h1(hE$x2JCo3N;3@stuZ;V_{Jy@~R0FW6`REN8+rRiY%qwo)o47elZtF zoLeV3;z94u|6$grk=jVm-JwVt@=)@ zxxW86dE@F$TH8pFUXL3fP{cvE@+x_^5$3`={WfdbOA7Y>dSY6B@e(FxdYinx9rf(l zxQobz?x0|iFeml+N?C4%vdRn^2s<}qRrIHU3R)jt4A_RNvUT7D_52wug_aN$i_#cM z+xD(+n|B`|l!hxK?wGb6Z`QUiWyoE?WdUPbpB(yrJP!;5xWU$Xwdg~HqtkG({JgN? zNJr2Qg5fRWHrfv{_;eeywCDXL8N!wHb0bUfGVQ9}#~Z4X&sQdHGZ6rlL^?@epht8^ z>*iB51PeW9Y46r$NP=T@thWy~3R>C-9T-he2wY=HSMb{5{0xGMp!qIAJA4xP)xCZ{{HavCA8~?@r0-&bSK6FVMCW^ABS*TP8{S6m&PYMpb=CCwS!tZ zj|_D+o_claS-|Oqvivc`;aKN)t?mCB-1h?feXE||iv@UqA@Fs0oPZ$sTJry~_J0k> z71rbVdHVMuIppu;_&SsKdqVJg8sqh0r@qg0)oRRkdApC_Ec6=P)m-6-Q4hi(X z7UG3<-plxZdiox<`w*i#-~MXveT2Y#{|)wkO}6|f1AM6iE^mj&_P*54BG(9Nd$;BN zFNJHLhZOGF`9Jmm6M!#(-@j14oxFbfe#Y*;X5xSH{YM=nUSI+>|Hkuul-&Bh;SlKg zB)sb%*%0_eN9=vwoe-4*2$5kv1kUIW2K}Li_WJMG8Na45OUe8mivhQDZ|~X!zE2CU ze&_6d_XolMW>Nc?8at=oJL&UzTM*xS-(@Fy$i4Mt{y9z#@kvU!9o5J0r;_8^2#TAm+gT-@kHbeqZ>2_o}bcy8C?p zPylQTgyPQ@AX4=Gu8Y9`@qcH8|9k8oJ4!wp?u&gdm8~AYY4aB+4bBI26R6mpEuln) z?QUlEm)}NfpuHa{4yHEF+ifqojluWhTcEub+nuZhXiV>hKpN9VzjzIt&s1w*d~XsQ zYz^GkYaf}d!Oy?h(rIztO#eT(v43t`|J?eL;HtH7-uV4=*ZZ3rYzti6%A3xw?3ZKT zO5*vxW)Rx3&g+)b>=jE#5R0Jhn6rT@AY7L8F{j?Zyt_+r2pW{UD4IX*0$ag zWh2}`rHOdBx%+r@Rs7ReT4d#OwZNUb^?E;C zy28i%+eyyeF;v&}IeI2Gk$&rBAyDpatRdy-=H~Xzp~JVk-ScIa=P7a4e@Zr?KG*1k zob%hJGe30p+8N2(ZF~#cSZXXzSU}TCYwplDdt|(m*&=~lIa8;>rYrKbheWI260hs& zuUt8=D$EbnCTE&ci>(>uwLk0sE%x8U1UE+d1_7tpjYJ^-!Cj{mh|!ffgwhfSe_B=Vj(nK`C^L zfXJv6fKbpV{lX(q`-DXzdP+;|wBos`$v%; z67Kgw9YnfGye|_BFG)`=Q zJv&l~`77JOke}JN%Zla;*bKVTzLc?8#LF>Ut`Ffl*&qbgXpUP!Z_i+)vu*A ziH=?a!8DV@apl*k{t%F$xUgmfLV>9khr0->IXTwEwB8?5eXR!)h^iGuc)bJk|W|&n%*iIoHN{sEPJi z5$(1t+-jD;vRdTEd6*XMZv1OvJ;;i7TZw!bd1%N6$%4n(Ytz98tTuYc2u#F*2=ix+ zfVS!cJx4{VQj*3@)+}_X8-CGq59xPc3Or1KkWvjie1(_*hD!m(q=95mL-4Eg<6w(R z)+f+n{1Gjxcx~`SbmLJ4Ab8xBG1;KJ4+Y6{a_b7$Jq>}iu(g1P8Cn`j0de4f{IGwq`U)7;uPBeTEP|MGW5WQBnp7F+ z9V-byt_>i!hd?L5K!Z(gp&>XI6O@Su3a)Tx-yxXQo5eJ zaezoNwU+UGI0Z?6!8jN!Fbd6hPCd#0D!0`7tOadTiQ8Hi2iF>Gv`SHw%sp7WxsRaw zuO|Mf-#+qRtwPgHFo#G*1JT0PW79^qVAH~OVWYLg(QIKt4WJ{_Ow#vTM=xCiQOIsc zvKyW5#=4S~j2!b0!%AGrwwMDhTRPT}V`yO+$| zga)$>jx+V;Ngtoq=Aftt1Z6{lclUs(1_w~>?bvp{e;y-4?7l=%z*cSz6Ezy*22mP= z)tIuQW?j2ab-gonCk9nlaInD9YrwAdA<^E9Te(6%KG1B(qr3Pcp?nGhp|xJjx*K;o zFuY#sFIP(U0Y8}9tl6$N~o1dk(4R(&pvdvXP{BQxkBBc{#m{g}t(vlJ_8_L&8mf4!?%d2HBtt@hzt0)wT#?W9F zwCWkA0Dz0{6UdqXdG39q*R79VRnME9ZM)bF{UCa}+%-63E|Vs92j zf)E}5qn|NBf<=^x)8pVEzEO+_F#!(Ly~BzHA(TgZFRoMy_tq)=?m#$)&R&*&{+fle z6vN$by=WnlN7#D)R0O{$ViE!MaIz>9<F*=TxE%n7b8V*R@(di5Adi1jGC;E0*Nw>FyJO_eU%9YzZU8h3nZ2NC2Y7n zYwIPLzxuUOsB((auccXe!w1OPvXTvzx@nyW$V^kS84Gx}rN!c@P^hvR`LjYM1CaF! zk$Yvg_OVf$_Uz|*s;x2Qwv-E)06Gt!6I1n_`QHW>y7Hd#pQxuGX22QpdkWwhEDYkv zD9eE~v4&K91%?@T828ph4Xd#{wss)ssL^g6^FQfu*;JSux7AGQ{zX`8E&tdN>eV8$ zc8;$htO3Z%zg{g6m^mN|%o)QyNuTup2a?UD9b058q`-ueX|0m=8G#q4!a;s!QOy1W zVsNuX!u~e0s3%ANf=#2@sdUhvS)Bg^jm|=IwIXZXA#9|`#!uMmN#aSw`9CdDA8m+5 zIxi64y1Nbfi%eqiy*+ME*Gg6Ie}j-s@pI`nyal3>P4K$_j7|u$iQaI%hQhMLK+FY^(H_fk|9|rs4G=JO*#}br1SnwIrhe62} z6t_%tbiBRoVZwjqN~N{z%*f3UIzpdexBW1@k@`^^8d^E{&AGnRKYG!64NHd|nd!|9 z>q8ss8R(jtn0FV{yRdyY)-`rU_qMd2`ugX@Wi`!?lbTYnJ?UsbEX06H(9 zR_8A_uEX~qaH9I6k(e|E)~bY$5IxM-)&90{U6a$wS< z9;RjXH_9->YR6Fs`zmJyc*2-wou6A)dR5LH7!jY^etlq0keXLi?UZpq@>gkPrs*y1 zz-|^o-!92jDXuKhVxC0MSx@kH1k?WHL3O)BJ9I71tP63*nn_TuvjFNlKNP`!)hQAb zyBwpSn0+gj7+N>)cQ^MA_YVh4CY(g|%tellYwzyUIr9nO0PXz zWk@2oBL~7Z(TU(4Y+B6$Rt-9^6|*(>in#_`r9$J_a?uGNrRbUl1dRLJ$6vdroT9`N zFqQh~j_Mb*7^Fmn0f{x^ZI(C4ShQ|9ShRX5ShQ+vc2U%Vi$E4POgkD~aI@(2pdCYz zpk_CF4Cr3+YBzycy?bG(1I8wnJ4}tNb~mH&T09P*pq2=B?e{u`eZ#eR(Yk^-y#Z`K z;MQ+2>(6LS_7)Jr{wd}>K(r`uP0|drO#K2AV4$Clm%3%Tmb6eLn?;jZjc8HK;KQgIY{;gpJiOA%-qM;}E3Jd!PPlkNlYh=6Tp<^`e;p8+6_r<;OwTeU4NNUr{6j$1s ztZEIumNo@Vi&O zDg zcd>|7r(tMSb70_F;@L1W#x%=rs7X#zpGaduXpm!?W$WcR2h=amu|f5#b(=*7>s9Ia z;6dFG=7wn4txM_ZM9o%;Wo~*L3=^;5b9w@<0)=87ms*Fe*l5sOe+XK-iUluD8UiP3 zc;Y8;cmxzwLW7q~&?BHTFoafH(s5It;tGN zd3yPJca5u4-9I+Y{w%%D<;lQNICl3y@a>HHBkztG>>hK)Idj81?&#%9>MGR3p_4+} z9RLr616-mquZvsT!%@LXS!h8zRi@$095JC>=`Ol7o-5xh)o12@SPogB-)f#F)H!9i z3iz)_hP-Y~1c3Qu^iM-fjwA~fSskI^3s#fl2@~$4%y0?{pKM(9BiNdSTwG2n)25Ay z7#97oproM^3LyrfPCY|MJJ04xFc2rKh!9pHv;0HZgL-e~f2kW+~^)Z{SVJu$$BN0t-le}wCxUk{(CP%MY2MRd- z6AZU){kx)}nYcDCZOwzpnLruT?7%FlHXvpQ9^s2FC#1;G-NHoqsp3ZGhA1yyj&Juz z@1yQ^Qq-Bzb%4+3Vfg|&JMD5$DCXocaqbnH5 z!5{X#U#AQ)Ay1axgsR{`x-xX3k^;4`s{)~^A(!&Ih?n$tjm1iLl)k4^qGxHWSKN}7jZxT)|HzqZtETPG>d#%jd;_eP1OBBxJ4~Z5*6NvyknzmvTH%xXxB>B zXor`!rk=wsxaE`Y<^i-oJ7xNXcHc{I!a^P;)x(<;ZAMT1!CK`-0hyJ0C~`;uNL?B> zZioU{-9SkuMH^^JaHh~e^siO|=tjHQI~v@3p7I+6)qsx-#R+hMfh>=FVDT(w4fbDu zgas%TyWzHbw2+6bw0Id`VS$b184A3K}$F(P?hX7NGewzL{&On!fM&w z2MpMF!Nyw6d;_n%@Pm?+NGb)wYS8%lOOsI4#lmXkcIL5GM|TT%7^RS}3YO7^73811YhuN$@v3B`kKT^Jh}J*Q$^pR(MDA&%6PXX1rzooKyrfmRWmi%IT)P3ih75> zL(r&?+Pt`5cfoL!lo{*@d%LK!#F6WZ%6fF&StL|tPGsHLF%u7@~s}5hNIvyyZw&B=~ zQgYdD(#$&&&15};gm@YZ^&s9w`Wp@FTWBr<;(fhq7&Uw6jzy2Q9U3-W8y8W_4n+zP z?y!P%o?1k>JU+Qs2?4d*-C(C{o=ZgU;z7gC_zQho1`WEF3>|DtlO)GH)vkDD5OFm! zz_EdBjuoT0q<>tnRhvDBD9e`71Y9=32p7lMhwGc8$O+k zjG;y8-LFhe+jh1H{?(5+8=-_Z9-gSX(Hgt0!lPf4oo#k_O!;m(&tLN@MZfUNHFEln zN+ukAZ63oG8|9v=sK0`U!CQnTN-VCxjZBU=s&Fc%`u>< z_C7Jr&Dbpi`Nq@$pPWmuGAx+ZWH6nu^JUW9yVJ!N(;5~aR)lK^W8L4BmcYH@<^ZkJ zFnc%30#S)*Limozp{}R&>;AS7E=7ES7GUKEip)pqYi65Cz;K>doje1(@2`Apr}gVB z^GJCq7Py~kd`;(@ROVl>&1jji_G$4uQok+eG**?lFCHivyAK{{k<<^lC$0tgqD?Mi zmXg9P;hC0nbowoz8S|cc?y@mXX#Hcd!xmT{UjeJ}0^v1(<29YO*ByN-53y_s$hWm& zijYMx*UZvE{P1SPNCZYc`~vCY*?J?UWm&!=z&EQiK9bLyyaDRlF1|Uie8}X0Zk{y| zrvW+JbqgF}d6i32!Yw%}H~|mllO-&aR2+8ZiD_u21u4Sv8F-nD-9sd`IN49>!<&d=7N z+fL8Up}E{%az3m?s@ZVeeDy3HT_U@7Gja?M$ZFjgC}55{DMG5cd=2fXVjtmGCE%)v zXY93FJ#OcKC+oDG{YUN3ThBBLwHe1AKM$`>e1ms`(n{mez*GuAH)pK?;j#E-m)@j~E5H^{Y_Jvr%s1uQmoaUka>vhlwdH*X>rsY!xgF_ zFjhP%Ju%c&n96r^Z`byc<%)>@yV9Nzc|?BV(Nvb~nD;fs1N1sajyeV8fMr32Xa4CB zoPa8R9McE`&Y49Lh}qUX zJT^?DP#Fhunq?RZy#Yx6>xH3z4#Wda*u!3t^Wg>a}}I})fvp2{{Y-&8P})=>Cs9JREWsL z$20?KrnQ7+5FBFOcTa<|!vI1UYXP|CpaFc;K&t#%9kXUgt9FDC%`RLrvm1xByy5Dr z28Eyido6mIUd)jPg`_Be2_6D4=V2JL2hHtlFv-Tp@C1_#6#^K}c82u_%Ca&;p$i=v z{hKaWpb9Cr=76}0{Gj*Mj+;imarEj3aM0xrAVlqZycFgEm5Lw^+jlpG4FD)kbbYAO z=db&1IzDJ1fIevLzsN?~0P2}%erB$F32
    fvf~=T0&}Srxn`fFf(LlSPfMIT`@E z^d=`-bq5%mx1?`E;K(WTyTuKHn9gc+firdj0j}?i`YdtiXb}F zZUF+m7`-oT)?Io`P@8NYL`*;IH`=}u#B7-Obfs@w>4CIHr|v-LFZS!;p@gv3^A86R zqInugW9~J`iGpz(19;-b-vA;Pl3PzYi6M1miu!qK#N2P&Ytk;<=U;5BbX}RlkN@UP z(UoP}#{VHP0cA`8TJ~%q1q#5{)Fzn#D4bpeuHFAwd$E2dZL}nVqJ-6(ST=|Fm58%M zYD((f0qCEb5jU}Fc?bVBxtjnjq}Xzm451Aci~6M)>qh@O!DxyPYOe|f1k;kJrRfH2 zU{~$}f6r=3wi%u`ZcC;ctaie(`AZ?WIDL{tVyOT&$;FBJzr0_MSlk_-9Btu5UD^DJ z)ZpB1EuN`b8uiDzeMoTo-lqc?xC@W|zc&8c4*UPbQ%xrj*nokw^8ZW9ZVUr!>U}bl z6`vfiTr+5n#V2W{X#JTN2Im&`Nq2^d|NnW$VPT$ZPSS=iB!XqDB(*=3G^SX9hRip@ z;pGC{Qn0Rnr0C}}^3)rvnN0#(w)!N+!f64dC{I1Sn*m^N{*#C34cKdH;uMm>Y7#6P z(lI;gu`tq4f||SSbI${D>H|Nk|35u=$WYTQIM~P`X4?>vHMgVBJ(}tI>^Hsj77WPR zTl)|aX#JJz0$lXsoQdWY_BY_jehl>3{OI{hXan*pSTxkVv|mO1pS8Lf3Ug~2+nWcc z5ZF04PwWB*t8cA0QP^X~UeQ_x_h_kmMh}f~v|a4hkU@c3-s+eLCSo6f8{Rg*5=88pahVBsxMsQlcu0hJL@4o-QNg z&CF6QDUCM>iKy(&2O2d%_M;&K7VmXlAwMLE^5FWV66z8}_IfVi1mLbZ| zt>_pc%eSq96XZ@>55h&~x|zH+W1{AJ$Oo@ ziv4sqMuvnXTv*6Zl7wYYcpyiDgymgW=+Knbt;jT36g5$O)iyo&J>_4ZwrU$5^qwLP z02+P{B~SGn-b?9276SPtF2uacjC_ql05Qv~WZ4B%o#OV%y`ulsDXwT5*1Et=)z#}= zAfG&}vvU5@5uL!sdIdPyr#>_eR;iTwWMnM?dLpkYd%LEXX%mpFQ0HrkO}fhC0O!z_ z(kS3>Z%Z}lrbq~wasSRtyQwk%oXIOJfJ`forH?NHnucXu$a&SL$u`1sxPNja99S3$ zInz8|^b;vwtB>U}g#~SRJuCE@Gk9bWz}uG=%^l3_6=kx>LYEnqng9;GqD)3v>T^|Y z`k4CWwU$L<8RU8TBAGnm@?9BnONOXC?8`9WwG}BU0n22tvU-=pg4Hsq#tJRTvJ_do z4paDjf<#fOG*LIne7%(=?+mpBbE4rbs#6}wRHD9D(j?8)DsY`j+?(Zh7jyh$IBE^( zyz%Gjrt~pObZnJoYuXgCt7;)_1@t}@!moOj7QmWA2@|$~#3@zlh2-KZDex!jgg_l^ z-ePvT`lKad7dxsKroYVKQnfpozPl8-#>uc6vKe$5b3LI$@$GDSS*0%(6mP|^LS1C+bGbV?PmdO-V=wMO!4{CgyH(q->?^vH3 z^2~P{-puukVP2RYF;%sjcPc8;wVam%Dr+>Z8{91I-Zf9*&bK8^)&8h(4ZgO{WBm__ z<-gp{i#eo-iQ~8Ele4J!0)=J);7*h;i@n`||*y9o=FKC%gfI zS4eOkv3j$fV1Zlv0AlxkD|yZ{%CK6qJJd&mdr$5Bq>>Wg2G*7^L^aF8UK%5C4qY*O z+mAa!k%bJ`LlAK-H+|O4P)vcH?9{n0b7p@79-nHb`zkyHX zwowS)imkLf=6p9{q7W_XV4#7$D0?WOXmLybQ}4^T2ZqoeAU26Y--V1>hn05G2SiI0 zjerOha*<whZYSnVgnPJF8^XcjOilSJ8#;Tr$W)Heug(F5B1}e}k>DqU$8u6-`l5MwcJ% zfw@lJZE1tKUg|TmX9caO-`cZ)(6VC%rQ>+4?fYfsCWzXG)G)`^G0Jc)0tU)1#9+q- z28toVU^YSOE*}IE>j~X`XM8y(H}^dS`NuIAo$VEVPR_0Yg@XMhSj757f!R_oE?#O* z8D?{G@wKL12A~4H{*~(Z{Vg?gJ|^5>bX5l z%ckdD>>(z=epgf%i$)qRnL$%pqEhDSvC>mwtn3}Kev4GCFCkE>D$m~h4z7=>Y9K_e zWQT?-M6PPbn*EJj<9hiNU8~%>KY3lDGie<;f3YPfZ9?C@NbNz+czv z3K{kW4f{Yx|8#P-Kv&LJj;#DH5v)S1!_v7E`AS7k&XTFZCG~1j+^84XT*ia4lRm?BTZ`*2iwlv7c_7zSkLOrjt z_fRBn+TVDEIQ#o08q^Yg(D!B(!QA`bdvElG?3}{jZ|R%2DlUSRqNfixL<#AITV{>NeoC>2^ zb%fqoRO`%Oo z6{iOTmkt=|Hsjt-oI`4#QP%R*W3pLdIz=C3rML+fDeCZZGH~S~+F8nbsAztAzj@T* z0!&lLR|53Ts<}5C|FzsbYHBrX)rhx#CjZ! zC5L{&?RP|%|0#P}F!~h7Sl>$ESf>PY<3ia`zu7*9n-%y!h!PD7xZ>i6!~1=V7!DCB zm(V|xmSRNC(Z{4h0XXfHn3r#DRCGk=kc4>s9!rA^P(x5E`J|y97I*&gXm(660i0B7 z?sF_gB3R~Lpr|xGtF|0E2%<3I_o8fBw-q}wrdlENG)}vEU>QGynP#M1k_T!Vs5|G$ zw$9r8`>)Mu^8h-0xp>7jbz(q{`{%x=OUKAlU(h}S$R$@#FGwL!^bZaghAo?)vmdS+ zVQ02f0&*$y*Qu^VD8XEMT_Pho~U{4FM9D_t_` ze=w2$v6NW^u47KamS^&Ucvuvag0oB){13ma}4FqLejjlns2}jKXs8v?#IG|_&DQd$;pvl z4}^tsv&37o|8c6oP7;}6y&X*Q<)$x4w&n5Rz$%6jpLR%i1@#OXFfhxALIp# zOw>J$3oNJ!b$-WBAk7J(N10I6t!Vm(G(=TeQbEbY5p@lVP}Jm4lZq)+Bm)(3P&mO9 zz1X|Sn|N>xydlO#O1~N!l!m)@TA7wDQ_AV-Dj}vDZiAh{ahH=*=K$=|Vo3L4iL$L1 zg`oPGrTpH2wsp!dFA!*puM#yMK6i+|RggEzlM1L8^AmNSdrhB1 zkCdVPVrmuM_zc+8+rjA3tuEQL(&Yw!TUOG)%vmmd2dPq5k*!7^UG2I z#RvG*wL^%$&gKItp##rifZz!D_-FTCtpJ^&JkG0$y$+`X3B$b3X8`mEX&H80!Y#Wh z`0QB{f7CiqgZ*3g0z_Q&zV46>5L+y|nRQ%szI#d+0|Mvki&!F#pO`Cb80x({4=_N( za|1Uy)VE|Dt7Ip>a&=!khx>3=02it59&5NaloNY1eMcpv%CFUi5h%*DwE^fjV&epW zu<=MFW*3E!n>Qq06o=!emIuD8-)*`8Yu+Q1>3F}4I=LSpXyFeg4ZEoFBV6up^3|M) zedHW6Jx$=Nt0uf0djXu>*D#i)cR^ZL#YA40q}B~(=U$nJV(aX%S1l{+CCB$aPS?^{_%^Ig z4AYv=*u${>JO(`E~3avhVd4Ws6+S`^^6gv#=Ko#kp*kT-a;-|!jCgSvh`B=qk5TmvqB4J&$pSBXG_#9lecFx zmQUd|qbF$UBxn zmab4}AL5YA#4M75Yxpg?)?aurBfow`0gh9Q6+Is%N?NYf7TaK7sI*Ff#xmM1`2l^l zoEss#%W4N3#+2-uWQ}9A0kPI1$YvZTgH>cNPR}YS2w8q7_>j3tg>Aec+D<#1n_~f! z{Kz~W&o)k5DQ{CJK1RMqNn?6P7O=Cu?0SKVBj(EWG)DEd^4iw_npyzN?$r)!bSXW?-2 zIJdBHRz{8DJSy_<U@fM&H4TZs`ulGLG9lWYgP*{;(wG2yr4;M9o9d4hi*Uf$PnSnF?WwFupHi?Lb&tDwBpO% zg-_H1o}%>Vyldu`rhEG`Mi(gR>gLGSrmn1vl$Ndx9^M(BJeZ#prN2rHN1D?o&hAfr zlYDsj{j`GU8Y|f5V{SUy^oxA${&O+A%R9+&nY*)S#%>hLK9)HdW6G5c0!HRkOi3#Y zT3|f;Z@7$Mh1laMfzW@Yz|#63ncfI9nZXF#)Z2-%ZnfS&p6>U@#NO7=a~{r@OWFB; zQhGg}j+Js=H=Xtunv)*RQ->Y}he~j50WHs+B(XtLo%wSEhMY=DoA0x>N`7H=oR9hdkWxIm6!6*fo_~FTC*$ z74xpW^rg_^1ozjBP2(kIbCYrZ?jYQHFmwb&-n>skj#+Uf{nEI&&;$rgH>sVuoCBZR z$-GW2;yuVfiI^`%NV8n%V-vcWtA+ZDtl1D=Prci^_`~X){?no^nH0Udm5UseeevUD znwu@=mn(SUy>5N+F4M?2?3H3(%RSIcx=VGY+&@j`ThA`$wKiK~uI9Bry`UD0d5aOO zQ1CC|69qc!e{AJOg+I~6xXtW^{Tq@7$ziqD&)Iz(cjt4aqP*(n3wg(0(rTqV6Ye?< zG_6_Yd76>0phrq+wbof9tW8_CU+0mou%}uKoi<|TY`7bFyW{Im<9=qkgIpqvR`X}I z_AJf*Hb{M>amj0)W5cT&sN|l_Iq-j6$1{_&^mjQv?|)MK;i)a#Wy#bTGxm#%tn)nW zqDHruod2f#v6HvgvH7c6?G?!7gI6AJC)UYHoRnuh`c$^!!mrNEz|{wMxh~RnZtlb7 z^7tRtu7>4V(>65X1ulm2*zGux^P@l2l1#XWr(Pm9v$7q$UB>S$bEjob-I@6s59fb@ zorgkrA@>z)Ctd|Xd<>ZF1jnDkl<6Ozd)PsMh@_aNFausduz#`K#?_hCNNbBbBg>hU zeS3k#lv6v{_;aO=pZ(COg)eZ#Qn%(bX=$Q)nTzst_v7~AX7_#)Qo7^U-5Z_y1MFRC zY`tzD)`w0HG?>Iera+wVr{N-N=$7KL+8CX%b((SLq%!_Nl|4h%-gJ)n4&`)BJlh{D z@M4uB<%xuT=tA7ocF+*{l&a))GEuBueaB6u$hO|~P@uZ^^Mt*J)5*QoYmfIO%(Za; z`Dn$`N9>_S`K9&*;ypr^i+RmuOzNkE&aW2smS8t*^3(uJ`@e ztwH&k0~&5YgAY@u&rV(l9c>>MZYN3f*;PCIysElL%r0$#?#ZV3}6z=WtWG_18 za!wM%3cDDHrGp)*dL_AiWyqUax_mV{Upv6%IJU!ffd?yfXbtK6XQjSOHgO^qL|fH^ z_F{6zo*9^lEJ`umC*FrH^<)~X$PivuNZ31nzr5^Cx(p0<#o6nT>~u9YQGt+7W>7cASzDk#$drW9#j`|W){aJP)*gn` z1g8Q{8!gTz12Iq330DM8i{ToO5{X*Qo}1FGF$Y{69b8`@i3(*6=LLJco6J{GVK3Rs ze9b#dNfP`FWLM$JVn_WB6XXDVoO`^$@P{Wi&*%)c|p;K`DJQ!%nUy&O6fef^qr@ceplS3-%t$LB%jn3-p_iMXkF6aE zB}ZB!Cj+nwXeg6Sk3el#;*wd210mW!BS}GjV>bqrTB8*C%gY$r;SzMM;r7|vDUiKXhiab5I zHSegdh>*=b^OOg#5~XprOTrNor9d4LHot6hYHg-Z(3Xv8ZLbW`%Qje z&ch&Bm5o{h@>GGMuf>Z4CJmbXC}57llw-m-H~ox;oq67;2DlXhiXEN3349ie5Gl3>=Laq7BX3v%x~)hd=rHqgT^nOS0uC`n zyZ>+GpowJDgi(s^@59jwTMDvoL6G9TY#MJLr$&Hxff>w@Gt$eI4i3J@KbuU5Un9D> z-16(V>{Van;`Mg*@aia(?w=kdfanzmEm3*xnwfJdmcsUz+c#Q9bP3Rn9hEb{tcVG2!&w6*!P`VGPJMLckPfDG22 z(G) z6#E+4Zo^VlyJRC-TyAIu_Qp5djdcvT16YD69>{XY!BJ}X)lm4=efU*=gad!VsJ91! zExhtzGSDcTBVclzf#kK>+K?ej<}abA`&Y^WTINhCg&H{e#bZ#^-6&mew*?{fQE#9S zb(j>ef5`mkf^9?n4xDh22EGrwbt3Q8IdOp_=qqu(QxQ^S+bO+@x55y@NyR>?5+F#G zQh)s-n$2Z^f?3WEB;88_qSpagy{i{pS87lAw%>8NMS_=5-IbsK{N6#S0KY5Fnn+0g zCxbdbfA@xo|A&6@eIvw|kmOru7i~A55@>rh%IwM@1|~*qqcW#gl`js=LDWz9_KUmB z_?2(WU3qqfRmcdzyO}F_ZXLl0`V?^Z>-T|G=rKVgxX=6p@NJnUSgW`S2=de+=B{_rtTdnzlcf}$LjZM>|+^)4Qia0S`)x|;>SWa*mEQs z@N>=4@PycGmq7oWx9-nqHo=?H(GTtq+Wi4yT$dX_)n zx1{i1TqC5pwxj@4D);yH4(W|s>UtsX-0M>tK)H_c7RO{yhFcx zTWG&Dz|L1(TeUCCZF&53{FYhPo!fS8J00~gmEP#HIbFyb?CR57{b$2I$Lwvv;Zkb; z^HmhYUpWtYgL|zyD3^bt-u6|=5+Y8Xq2319$`a~6|6>T!r+Y{o%p?Vn+>Qsy&Z~BH zDdj6({0_*PGjJCJBZ+615kP(!Y$gcr(t}ADg)Jc%6LpL8I()p&^SM$n&3&!Te&s40 zqy_{;Qe*vY5j9l-giCT2E>Lxoynhrr0>Jw+6$1)HWy& zH^nG7G;fd5gh8JslWtpj(-T5&(kTyXk<%!bW@=X{XKLTy(6g&av8PN+cs3SCXR^|7 ztrUq7-Au;cUY}0g-W>p|^b>sRf8V%y9&A4$YIJ$F^}73Tbo=uYI#>C^pu%EdHH01hC+G#-VM5x$Tf!7c zu0gl+`NGi&saYsz<|ZAh$4065Q%P^vps!`)x2Tb22|CS2VV$F@2VHMk<6LifCWy2+ zen+Pblw4%^RC&pfl~fLR8BL2JL_^NR;Ou2SDQNPyl2t83s@BabGSihM8Xi!mZl%dO>N>U%Mm88ULUC-urd0r1`p{`6#}|Mg~|dOJX7$^WKO^FWLDkj znFh`vP6&tkAsnynCEb(HcaF|Ayniqz3)qT#KMqaM}0M-QeRf{xWkTi8U!5)*7G>ctY@(b z>a0PnaM}xtYPrR94~82um^;J>q&W%fgm;4au>9T86a%@zjzqr0oA?USmI^Rwpz;4q zwtKRvFMMrB@O^oKlqwTPRAqJ4PWx_^eD?kQy<=Kl$lM2+HCZh&7yGdW#!u0fZ6>Kh z+_8+arqnK3*p)`!y@Ir@a?nUcSb12vP;f2=+5Sc`NBo&mj^r(g9NA|a1-i9&NoJ|= z0d7W4CY_XNr?h2Kv;o=rT2b*^xWaRJdA>0F+v4K)0wNVf<#FwWkZY#}o@Vc#NebtO zHFIm)g)^O^E3V3u<8mWMr(`l-HbSI|^_*=9kj*;3SY2jlY9V4t z+6?}ab=i4EZA;rQ*)@77q*OGt*?ODR#djqtywjv>Q~A8NlLNFhHejmW$!oJImQy-1 zw)2rO6ye5xG=K1xC+rxPi)Mz?NiE0mq>kr!*2H}}f9f{tTjrrVc}}nwX^Kt`b@K8J zBfm0L^}eBx#oZx8YHr0Zczv4*ipP5tLn)aLa$S1P>PLX&` zb5c8J%iz~p`RQD1TBir<#VhSm$#H9%rx&2SG46_9e{xEG-?Ubh{%Y%PDsW+_OXH@y zI4DJ&+70d%IcE$Wft_p9$icF-r&)1(z1;S4vDN-;gX6(E>-G7lvEMrTwMEwZjnytu zQ|KzV^W!4fN5&KSSkq2mCrm)?D5{S%%eEgLB-O45+!abo#Zc(k_>gQCxPVxgJZ$<) zbZZ}qhGiDLMP}B|$oq7|j^fm|h4aqJryp3?e!ED6C?TeRD73DAKsXvV+(>Sm{Ph=K zCYk-4R%sS|-vg2T8+KWMK`pZHB6m9zm6r8$B5`3Nk$Lz95=45sCYGPm!okJ+4F`eD zbY)~)mzCoK4K#2cu~EUT&h8!(65jF^>88RFxt<8uIE^;I(Jujl8We?K(9D=2e2ijj z;0X{(XJ^CQ*9u`n>HLi&+gupj`VeOdQ%STnCOw;Q_AUC8b^J-&A-}yWAPxsxYMi@sAntF|B@(89@1Kf z5!X&_6(i6xiEJp!#?I}5>kOKPKJZMhr{(j%%Eh(!e)_j5TJ2M z+VD^~#6%|>8y~J|bGN9+WG5S&AFkhiL>R?dai!QM9s9G*sh<*j`fE zY{qlkrZnmN=g)Gs0K?91$VqMt=`7g&XF#IoJH)jX)M;T-TZ{Bo7%9x9aRNv2WfQSKTyfANu=ulUo#Q68t&_NhpCXf&~nzvs#L0 z#MYl})q}(OKL>R?TbG;~2b;a9=vS{M!$){^I}dU7nZl=cA#GZ{zt?Ty0fZDOJ~n7T zgwuIdj}VioIqSb8CrJZG3c_?jf)A)}T1Em^>6Qf{qDlm4fB=n*VjwURMH&nsy6Hit zhtb=~oSyr&HJe5Jp0CfV_zMKxe=BP#%wqwc-5QCFlJ;-K8dK|qsZY1IGX(i}-NKWW zq-if90Z`2-vZ`#r3yjIlA$^hp+s(0FYa)t85BbmcUz7XuRZMyW@6aKqcWe7pvZ5kh3O_)!1_^&5Cec52fmv}LQTU5@DYr-Mqn0YHf9$u?EMOcMk)9itd{5 z|Nj9HNxC~4@c#wfqJbujT`-KJ=+*JY{qad|Pg0);37(IMYB^6*ex?QwzHA!V$^c4> z5XqBg%&#FkPL^D3+IbBUrj zH70yo@!##RKeP+-01=ni)Sk_#G4aAD^Ce6p~AVf5{y>mgr=m9cv4!qajRb{TgQ4UDai{xFnl*32@< z)V!f7!)2q<3t?T(^&RJkUC$|rYY56;#|nYW$av<}#dbv+cO*QTd$qPv>+Hzo+Z}yz zDACwVyHB$Ywvk7p>IR2!1B1(zzGSDq1unF7)4^7EjC`*R0J^k#b9LTs_7h_qy?v`v zkFwjL?!1Uz+vN3p%xbdKeo740*^ zg{(MP?X_v|8!TNNNpoX`l&v2|dA5PL)t6TM1{s285FBUgV3BOR23 zcel&QC>$cN-l#hQ5tXn35oHRD;;r?@8VmKbr)$a1Q3)%w&&a_pR|_9HKUb@G{1Rks z{7>NWNxL@LuZTMSE`$nkBI}8wZ(rgV(k|47!=Sg=2HVQ?l8`8_wSczRT5x)9dU3k? zO|;I?n?IXBGsKRsKaSti(wnayZyDcR3$8zUJXd#lX@7n&bP8MxtoPEpS?(tHVuV7& z$6|3zeBO8WTL7?wUu<>~190g-UhSk72%Q~fJKRRTuYuTYF*aVsYjGaG_+oP%@TR%l zRLOXGiz1rtln@VCf&VEN#ildWB)K)^RYkTg_jFW3R7A9QlQIBPIqL0llga{b!fZav zU32k}QJJ(fOl-XC-l9%~rK3mu;5ChVUvd#|Cq7;bNJ*x zuC<`biK)A-EY?M5sFcz)ISrrKSX6Bn)Ye6A+ZAr~PgVUL*kHUQ0o|y>%ryT6dWG-_ zCDY;AAF5HKkIddodnYr2((9Qn;_JJV;^Dl}0y@joxX}YT%RhEw->v-n>peo|x|}Tl zDr}4#85+dXlZi(zGWUTc9>t4Y5LN(OcE$|f%T^jtLx5lNHN4~_PE`D8&!qLAFQByf z9Cg__{^Vo!j@VQU{$As9{DYI?VP3eW3S7wN$6N5>B^}z@N)51>N!}Xe5AvF#YsyMcZc3uyiP%8T@M3 ztpUqRxn=BgncUdDaRhVXaeG@)oNP{I(~jY5lWXbGkGrfQ9&_zMG2vB#ZOGn-SO>tP zn0OV7#a)`B3wz?=@FPU$gbqFO{2yLIL3D2+n&>}z3I$QLI{O1ZsQ@hOqj&zXuE^tj&6HjuAW&td1}g)Z)+l6t<08cCxiuak7Xmx#_$>IU z=+7M#cjR~8yL60yKmX!~3_k68;lymBLq{E{o^>1D-!)1`JoEANd#yBab zfkwCpSZ@~_PuP`ih@Xu8OEPoK-Q}B>0ary>?-OS<-WRSLYnLze)_lgRAfs3A1f4v^ z;dOW90iErB-G{cI0<<-4D!Y5Q$wTvo3P)q0L-^+6mvUz2EINtnX;T{}W{K&tW^CzQ ztu<-M$;(Q?(cRxRb@B@&qp&BB1mJPSw@r4|m0Vbgy!#QYx> zxBN#TH*r+4%5xX)rLpbIl6K7`fW?KUx^tootQ*>OT$~fW>v;n%@TBs^MML6b3Gt{li2;PB2|*QNau-rhBjOut;9DFumHrdt2|k(zW(IpMF&J zm7k_zDM}k&cCY|987y{Qo7(#BPn`lp5g_rbsCE=ZLBnw1xR#KczgdODEautqPc${_ zEUwte>2$K&UakNIj5CvwEM~iD?ERJhDKIw_nRvd1wO}|{=z#vWK*ITD{YggMnG}|K ze6hgqd2>2nN|~GE(?R+=QrareeSg|56Wg;w6>)E&Z(Uf+9>LAOv5GU?PH<@*ZDbw$ z)GTq^C0RLsO;KA*u$Sza%qf@Y6U+_!}NafE& z!iFPc^$r@_4u*`%Q6qJdG^?VAjO5H3owR;;{~9id*Ica{%fQD(f-ER=AFMUQ@d}qU zMvAw*AZCf?7b~d`8)JJyP9Gs4nGe;UW_zkke`+>+S*FX-=aNgx6GV%alrXv-lcFQQ z{xwWWTJLT`h8Y_*v=<-0eAuwofmW$bgNFkd2;T3CR;_r*hq|M>l-{1Ghf9A!|HGCS z{fDhO5FLRS1;kPy4ghfrePV@#@kJIR3qtf z0WWKdR++e)*%FYmn$N~=K4Ew=VkwCZ_*JM_?Q8YJR>Ncj56rWZgtg@8!ByN$cKY-( zVk#|TaT6n5sS$D(MkdWl;g0ipMBULS`-Zqq{scvn(gwB)?G9oEHWlnVSUbRFpsA0C zcze3LenhsavT}ZT`Q&UuQ-WgU9}{7w7v|z(N=N!Vr9?N3-KXSme0BOF;V9# zwM0Nx^Gf5YYc&dP&j&9}7n!r4a@SuV>UJxMQ72vSn9Gh1TI#%6D(3@o4-F3DlR%Mhmr!ofZO*?6^e zTIS2+6{33>B@GMH3h7lmG5|wcszK3A@s*t-+S6>@;<&EV0))^uh7>^1$A)LADs@UK zjSxPYXqBMmsew16v|k?F+k$XS9y$m0ysqE&Hffm_V$QIA_pdW!a= zEo2YVU@OMi{?03o+ze&RO%Q}Aqi}0Pd8)w`IWUePaM^F^hUf$Yt{4e{8M;u3do>Ug zTZ~fun2-6HRM0F1M2fh;qhbHazV`yL@OnNFl%;Oc6}Yewm3AymUVG>StgGD7wn}ICs`*P3>?&4?{H$mATGaCN z!>q+me^?9ui^i*kn5XGV->yhn#>+Ur7Zd3GNz9I1slha@OjaMmECv9+|L7K@OY(fw zq}tT92)$$}@dSmv&NYnYEBxv^9-$cd@?R_WyHOwf28mS*lX1W6u)a3Ug z0K~sLO0$wHC!?HV)0Jx3SPLZ-%pP<;MADsdmvrN>d1IX_(v@PkSSLKpN0xq%FJ<|X z=U}V+d{Bp}gw6`1Lig=#TnjoUfpU)s*}h@f%$Ll~@%DT=ZC0@N_B{U=<+774S`fHG zbG&I6TFw;uRL?J+&G)QG=v$BcDwloNF5DR`-1-%hbW~Rp$+_)9x#DtL#1!VD*L7RN zTVE4NY06EvqNYqflNr5fTA%ZivYl?_r%`kp^OW!FWQcKyP? zM{)o%{vrEY*an_H{a#6fyYsFlP5P^dw#&-Wz}DIdxa}Sz8u#~z@|{cC5}+dO|0tAO z12R>51x}N&e+GutDyE(cn&buWjdt3l`TIOft)3DgzMri3)Ik5Eh%o=C9n5<;jv4SF zxKtYSTgD)IVQ$}I_CU2vg$siS;|Ki|Trs9ui1N2ak#bY3d|-GTMXPjes%F{h4I35r zZP}iYG<8(N~6a;0+{1vc!t7c<=JdT+JoAl^PBdhw5^?o8Z=SB_eI z*p!$G2fv1efwx~ZTa!->C@%jRR&n{Sc>MI1Vn?UW5`;Jh^ zv{2|cmt%={XWl{D{>RR^KEYD|*tm4myBRC833wA&F~iecZLm2=~y~ z3C^E->@`O0jIH8nlj{w1HI4hzG%;*%|2ET6f@^HOwCi1>8ff3K9t05=z))- zYU>J^-5D!0COFb=gMle#?ciecJCn4!Ch<>Hw3L>fC(^H{Iu3v>*@{a|c7g^=N%eX+ z@Sum&c|2}x6VF&rtT}do&+#k^o0Dsc2}3z1VzkWj*f{C^aV47cCtgmfU#C`HKN^J6 z6I#Vduow^Vu0mNlWl)y$)alkxL>W;MgRZ;pD zBs1vVIj!MPiMJos?dbc5>(ZWm;sjkgT==)*orTmr`xb@2i}DGAqv-7n`!~&M$H@UH z{(_(hIICH&b5^6SxKj%5!lWlzCfS7?*ih*W)_$h(9~`Jz>m`dbpX*!^wg=cdXOf4s zvbpgsc6w4%9bQDt=S4k3Q!2M$tg(IHrn;);=XI~0Ng+kM(YsYj(J>#DAKu&C&Qx>O zB&a=0>8O#fu<7x!FUc)y_43G^-J4U37$?^NTmjM>eIXYGpKB5bIlI^!WeNA`Zj7EG z(dHKAwdeKMzeZS1PFsEW23uP+f(;UUV-em`z&kX8>;m#+*iJ%&U9u@>2$!&vvT^A}1J(pNx;>^g&If zKx#r=x}fBW(d}TWl7AM1kmCbXuo2#x3LAoOpkOsj@(c$iB<0br!~H8=fMY$EFR&H+ z66ggHkB+R&(sB=+%u<(oHa3Nd%ViA>R5mqHQp4MGFOg(CgQj7%ewo0EQ{H3X`RJMh zFt!B*;xetDS+@>>G{@JaL$-!AJg2%u%>xq3UNvOe`j1i*)r4VBO4Lccf5L2P>@(*> ze>RS+md@t>aaK8}_~v@=Af6EjXOJ2J^9i6PsZh`xZb-C3=TQF`)MU>(ZEvfAB+72` zUx4%LDhtsh;%-=w^v{Vc<$Z%uR24?D66V4)~J@;A_y-oWNYi3?5c5(*NYr^!rGDF7Y^28o7}qID#6^!(Q+FrjZaH0dOeuY z?bYM+t>-pCUwn_L`OlUP%b<}X8<*>>r_0|@>sw7fJ1mb~7H7_3pAadI4;$GhYWfds zq8p5vxOG_h+M-8B>unQ*xNpYQHZPCc2M;a?a=j>qk13P9jJr^;3WHVibKV6lbqtC0Y0|YN^+%789n*#JVs18=rkpM%C zDgB;iY0gqK`#F{^;%s;0yFCPgSdP;n+{DtY@x`kHD>tX+bI7Kk?{G)}WPbNu&c{|s z9@}3ifre{czRcX4C;Ml^6!?Z7^``2Uv3h{*^sGV+d(hE8!>&@L_;>=^MXgTQ?%Pfs zlgVROi2>lUna*T5T_|JB)p9&XIZsDdCq(iGeoV7CVC8$0>rfHB>B~Znvjw3g)u9|X z+SXE&?3V2miiIiGU<>Cs=Jcyo#ro$ss~scFHICIlmZPRw*P4D+d$M`n$+k%smwr{^ zTm7%ibqr?@ujiYGzgLG(DYMZuNAAxrf648uw{D3a$N2U1w*_z1P-KTLd4=FH>-So1 z)E?`NHcBdmQdBssiZPlN;Iu6vtDQ%yn`YEI_WuW<=vVU&3lbGj7n~5ZYlJ7+E!u&q zvO}C^U10B)oT9aBlqSXPEy>aSNbYJHAY2tt3zf?2Kn+Oy6g?czTZdObHbLOWWD8Xc zg#>VN;lS_a^VG27?7{%gSB8@-MeehfM=2pk3xk%)`Se`g2e|0@i~z=3=8FO5DGGR<)?J>pXZ zs$yAef*ceQDH|EkgmA!?EP$u|Kth+Mfgh8sF9J4dU?t#R{t8lZ1fG_G#P;(Cyn8bE zjR5pcF=AgP{+J#O{E0ta$v_K@6EA+vDO+;*Z+U2*aiYXKrRw2V^Q5k?+fTSX?{Szt z4^$NQ9A}7})gtUtTyZxfVAjHoTZ=T{$rFb*kB%QYya=zur$?`2RW^Iyogqan_ao6P zMQPB)ZlIC-356j1Kv4dI{=bEQ!0#cTuR@BBdEwH)NfFVD4s`%7JOK(p4IP1i0d-I) z^>41=w>r5=Ssd`fVkAYW7DLRznR84`Z4$%QTyTYku`5lrRjX+_cG5OrIWCEVj)#U^ zcv8a3>lh4=5XTNUXBF(*v+mH}fgLgB6m#P5c7^Yn@A%mZ1Yvgc}7Yz%6>)Pks9?%eV zcTX9X1Un~tie?&%z@9cd*wI1zp@I#^S{m&h>j?BoQt~0=)b2N1cyG07N+)I%@IurY ztn-GCblP-Cs6I3i*Q0a|J9G(_8mOB4pI*WZ<&Jjo$wx}?w<70RbS+py)8pj+^uGa_FjxjfFBRX8e1uXg zYDw424y5Fn44Dw}G;Re~w7_=0fgh0K@fEDyP3Nl*eN__-j`z5Y2ZO;)$SawxJ7q<; z-;+s#;TRI#cOY6Vus%S01`(GKl?+3M3n@&rMwURdi#T2;iXk(sMN%y4PM=*T#d>^| z4T~p^(&oMwXM-Ts$v(w{3XU(_*=zzvhdT)bEGD1QgK^>%k-~zAZ5(J3 zd3obP_ZbJ2y?4il|OMMoLz>Bz%KNHEQ}%P+~Yg}?AL(-;la&xJ#O zhHnjp^B;tNoQ{3s_Lt#G2`wQ67seSyQ5F)#yqOmbaw3O{EYxGeh(g{dVMB->mgGvQ zGc}kJn1P57`VHN0g&W_+n=3;Ma_mW23^^`_7gnK+nvepZqPpP2D|;b*|32KEy6;W@ znylP3_B^E1(hePrYQkz|9eL!fqc+|Z=CYxPk&?vgjd8Ca@Bpkb)dFagSbvEr^T8CHRP3Vle}xn%e*tPSm{4<%m;tVko3TI^>0=gJAgJ<`xQ z{2pjcH~KK$s!&IA0yzKi!%rxuf-wFm z5GQzUO-yi7(=~LM2aVZWiV4hhy$-JOGkX4bM)3y5pn{5mf(D)j%R=TNWs(GEPow
    E# zfR}ds4wI_2-fFplgpNGugyA?nC~BW2cC%cKmSRyrL?dIfpt48Cq`Kb0pt`=tu#n?@ zc5_>G6NYh(xt1R#>;mX1ePiy2#zyIvBt+>qXAZZRd#B8)2AM%Y>w9I#>ANS7S(#Ru z=-IoZ^#1K4V=eH@zJH$! z=qCnr@zK0OheW}pqqES8S(_EcRg1X7t*^pxc8m{8B}T^k@MmFLc8dq&*rVi+=!liw zVxm}ei3j6J>gvf$6tNx;A$jvwu}0pdp7F1wi|@0egt?dv;I#IK*G#gC_1s?66;65vg3+b28FZgS)^cbaj_BhuYxV3M*2zQPwM32b=ndft5u7{Ek4-pe zmZFu3%LhUCXq?x{Cqnh;{HNHMztJoOD*de4-NQK@rl*>W59;N=keWCT>j8-t!v>9A z@w;^Z%3Jl&LC@@=Ol-vS=5WBZSJkStBkj=CloxTl?f!)+`EFzTH{#4rglC@Pyyff;zl%#5sLI>^xxs`c_Vwm8bMxyyEo;!u*F@OM6W!U-v@VxrhUmSbw{3_ zYtH{d=efKvmF!Ct_Aiz_p}}`U06*YZl3{vAt@489=!Vz?fT_fflWRhfeV*-onO~o; z;Ep=l>K7CCCmjCO&So5jr?U$cr$f~Ul<@8cK{bjE|uHEZQ88uSb z&2V7xpy7~VU^L{HzdVT?j5)sd+7k4)*z)o}Nh)xe1uwA0j-6|P^q-qL$-X?A{Q1DP zznh7TUx0lj=E{2s4&mbv9o=Q!;hpm*ouSvf>)G2vs@F$XU;cQK+UjmI_W3@xPVSm8 z7lm^o(v2JMuA#4$(fP=>1a8$rzkCZDb=y*I+J zVZYa`+qMFlQRt#-;$HUgDB{y#oRA*9Kx4YvN#!ha<2JTPal%JIK)u4 z8{z<7KiT7tyN8d-oBKmjiBa^e(vuj)MJOK8W2u;4wA@Hnw$VSo6m)nq7-M5TJb<+F z*rJE;?)}{I6aQdxb@4Cn%UDf5=z6+;l$;PIB~c@qzTI3Ek=$Z>X7ka?>$a4`L?159r<*P(gAvfmbE5m<^c8XAmQ3<-}*CPvgvZn*EjfJ%S zn4?_N8eE*lt+^NFiu_wSG~Nl2apv^VU*zS3s8vR;G0OC9;vjh}_qH;tf3@i=GXCZJdUyZ#ZQoQH72FFu$9FyT8!{8Pn*rx2 zT6b`T94zPjT#hIs`1O?uw)-aOq~c+$mWkk-xy3cSn4Y$16GJtzcIpapwT!0!lYEzH zvytEfY{qhg&mT$)z%fr1QO2W)?@qm21C6!PwV1|A!M1cmm3X%(zE-4Xm~;c?{6|0h zw007q|0#a;_=XIJZ>ZTNd8eRg53Z{WvGzKMJt)$ z?rFP2G`7UK?fGNbiM630b9&wp%3@NYd4l-ovCZ#=*Jq0SDt}?M{U%m=Cv#UGDLs=N z8{k;GI7*<#egv;>E`ezM;`Q!kFwBK%J?x)B80ZiW?qdcIgO?=>n57um@qwa{Gn~c^ zf(_HW9wA~4s8&M-XBlf6{~mOjIMoBAYK@x!>cBblhw#=-_AfuWpN2RBbjb@ZqZJpE zI6|13^R9#cGyU$gEIV}dkjcmez(V%H;F-kqbK9hZfICJmkbohJi4|L48Fn*}U!2w&F>|5N3mc_6*;r>ot|SG!%R>eQS==8?G_a9@ZjgNuwMC z!yY#yAyUTuT*?iI^^=VO7=euycxRxxm`Ywm+#kXw*Y+sOD9%F zO@e@f3QB8Sbz@{bHTn}cj9c|+dkx*ew@cskN)~-fdked@^XF8BjWV7bKVAWaR+EiC z36@>ti@PbZZmlLbcH_=|G9^~(8M2iiLwT1fV6zH;iSJzMZ~qonVwuGrL2B4qzyZ$D zgM_WmN@~H(UFNneb5#xAimI!@=wsp5__nBQnS*|srV7D@ihg@~b#d?Y_NhGr6|3|B znYa2Y+?4SHIeRLwH@~)5Z@ZVT_fr#T@Enn=o8XTi1G~Z#94!}4b70}T)wu9OiBiwv z=2oSNZ+lPE**nDyw+&i0-i(J4Y)xMBAVx51l~564JD|klLssgsO;!rOLpJ=70Zn-x zh*kfC3+W7K3+YMJ=Y=}X6sWaYP|5D}0#`0EMqX`OEs)&$IkA3o^k^Ej?BMAXc8sxo zuV1fs{b578-3q3SwPiG_&dX|uS(#B7>tHQTdevsCD{vTknFm-6fwZD{jH&Q>>OZ|U zOycs&!f>rz;v~-VYar?GK`B$L?X=s`5zI#GSVMm0FO_``4kCDr;ND%>kFjpW9q$|q zQS-Ro@!gtynlkL!NGL-d9|L;32=#jae@(>2C&wpDxz_6~juVT64N6eUl2N0zspqNc zaFQHG^0JB1VdyZ((?P0IBF?3i-CeCtk3biW??6s}diX!I^9jA_=5$bL0LO^dKysi7 zBp_cKGf3#-oMfRU3HIHBWm_(C;eotw^<#abROUAp=oTWeXj!7{m)YSjz#!l zf1IsY$?k~m>PlJg%q5o$b~G z%&ex?DpHw*wmY_YF&@_zJqLtH>`d}K)l64Hib2ygeA8~rFt6JpVVku=DSTd}(__FV zqpIT`ikr%99@Hv)w1yO`=2)@lC>Bb9QY5A|u&J`b;cri|-YlHwr~5t`=IazlS_rEE zzmcP1fRc?)n8(o8m9!3KQ4EGZ`*r+2YTg}kjn)1GAE&`qv4FW04+rJqJ5dND)*2bpT0Oh7ERx_Bj~=ESwogz{a|0q3h8+FyRFlXoGm`;rgTf_!F0^to7`SCZ(FA+Jl7DHEYMFYM$r9h- z@)O-WKiUn@oL{{RR@;eHMzZ1@JWK_?gut|5HVG1>h@IlfmOF9uE%pc*TszOm^@#?x ziw~N^87EBu7T^&RbsWh4b9y?>y!lupXha*8UJ`B3F2~ue^p^vtLdWJ3L|f*l-9;y# z@MQH(`*7^|{(H4F+{rCeAc1{eb3A&QG-X;4E!5FYL;!c2kpW^2)_+CN6}pa`w~=v@&kk!ku-_GE-pyS|Mjriap`>?Fr%oaqN z8(EJMNPBr}bl}s;EtET|dZY!Cn+?#>+KqN#-K}&TiiLa0J;Thrn?}q~^FKb(8ALRk zm;YFxVw~GP(B;^nhhKy=E+>ou9Ma?14_Jt;$4nc}5!DQv_!2!Spyuynty-|eR`M!c zw3$19s1W@ouqsbq)Z`>s&K!g#n0n z>uaaMUX`VwvGl{kp*|tTe{)3VJ0$rF>jp734q?ZJA^2Qn@iN!u|MGrDp?yb0wzTjD zU7z>X^B*jP<&wzY!Ya$JzsHiizusBvi#git7p`;B2;4pdG!_L>Zh0hFi@?TLHVPwA zT_wlQlE^sKX89W^^ij`zwRMuJr-VX5Z4X7eu<54??$f~DLcUC-_rY{8`=IhF)v*z| zT%h(Z{%tf+{e8gzA0^S_eqveEeL*#}2)sHJtZsC^TV6-o-Ah7=4<)t&s<| zRqrRmJD~ zsug8cu(!9{ag|R1(bGPrMQ%dyZ7j~zU6UjNE+-)%d9*^I6YaB;5--rVyu~9k)5>TT90%(tHOb%zOtc(tK4n zznjaW1&SCeK#scBv)-=p=`#c|_v||f#96(9Qy3U3?eZ`gA<8R>}8XFOyh;GH)6 zkLkw%VoLDB;)x!?^$i0?37_*p?_#fBsM*EI(8&QvE^J2qeyww6Iy0?Xg*22&sM%CT zxYlFlA3{NeTE^V#+F4hITCYcX=uJ@b`a%2rmvWWAOVqwUeC6sC|1L56p26tU*swdQ z@{BRN{tOqr5JuE|kNgNU%CCs}{=WOZIqL!S_2k>}mrpMgzR8the0Yyz9ZTQjif+E~ zNOu^i?(MfEwme=#&ECQciSWCJ-E&4{5)a*7l%}HM0X21lfl@rA3u~x0JCmtXQg>k) zyG;53o+GOE=}$&)dMJ zs%~9|y`7BWv8o9tD@f_yYz8C@6*y|qdb7OZfZI{kH}NAbdTtZg{Dxo}(L;Y4Qovr7 zKq8oA{IB)lZ*5qzM-8YV+@48;jH3qp8v%X5=^XGFjtq9rOb?;%6V^9`N7bV8K$R)a zoW}NWeYVB|hW6?5_n7?hfLsy&E?~`rTst-2Ga1*&IPi9Yr*A0*0)eOzikj>?Ql(lr z?T+(dX5B1NP5*?uL^+>Qfqk@UsCT5BlBj~)jJ$%oWJQ2rd^pw2YbZ~&gC=d)M2%@d zLO+xuqv^baRSP4koOil+Vc49-ZgB+>37hb%3w#bju5F62h=pyJ#jj{$E_f2Wb0JnN zp$80!J4@=KFVP|RYSGd*SI+uEj}#dq9KRZ8T8^v1kt)>58S zcbZDBgPA6w0@Zfs63=cWse)sB!rbdrd;46!lhqdZZx(<_G+ruqy@oQ=*hizEW~1C* zmkSTS@gCQ~EJG48-S@yGRb-$rDPAVIA@3d<3u^xmZd=d>%;t%_A&#Z6v+ zh?x<;YF6)oD~+};3lfkarNHB_)R^RYJ$9RO>#PlB=?&M=e~;~=ydTN6UJB|m{%N?X z;l^wtc=5}JfR$?kGW)Q;q6>ZPw=cybx+rR1vmjA~e&8QphT=z~q}2*N}U65c38RJaZ+nWt;ja)EH!z(7n0123QlKO!$Tl3r=+- ztk}6Mgp$Lwe%^|BA1!dNoqJqy5+PdK&cfLq-F8zBvhVC-3htp?H;Nh~T9^qP2L?(B zy#Iz5_Pb|(g0$))vZ!ke%A7!G2^LS<$9@6$$sWS}x_n5m&ky|wb-NV!elC=0IZkaV z_y6kzx^Qq=?GHl~aJ}(?&fW6}7FZ3@WZ|42?MH50EslVXzzrQ{6y}_zKP>V^{j>vc zpVQ(@nk}u-Zt(@u8xr)VFd_Y&yLF{$9JmWD^6fB%qxuc^k zRWsJ&@=NnPL@N7w6*$p9J4M&cJ09X04RYH)fx(8UoCFVEJ_H@`e5>WAc6E(qQAJ&v z$;4^CFOjgl|Gwl|-+d;_6p@elutkiH~1>DVEtMBJpYhM#JD zqb5l^$DH%SHKOy*`(GP#yS&541w^X*4GY!FOt9>i+ruOboL~ktoZuvCJYc?ZAhC*?QetNp)Fo&g_l5Y=C}9U$ke;&eX`8%O;@ zGMUU7a?dUFx9&6r7$<13u)!b;f8-hb2Ht2~;Eg6#WU_KrE0p_YUkn4EiWO5 zuPoE8+MuD4#<<}Mp?W_L_)(Sa?Pk9Bn_D}c{((%{VcmM{=a%i%ToMY`=2GDq2WO$Y z9=jz}L`{IuJUjBFhzl8pAQgxGVbFB|T6sw6gc`X!Kpt0J`{dOfM@R8M>#YdSeBBCL z&RJAr4gXuxF{UY8No}Gd7}Sftc^L!*dF~hPt}Gqt>;zhgkEj=a?CK}3a&|pD0VSFF zrTwCM{E$IN#qrwcfJ5{E-H*&327&L~5(lDxBs>%;*iRABFuCRqV+hBT3^X zE9`8c3c$_StXKEXm|DPwlKTGN5WzWN(hI zV&^jrqM{)^M5ZQ#)oex1-rNWAc}5ptz;Jf{BJJg6nhRVEi|mJ3=xBM+yMOBfPkBSM zAM+F|3DB*UgG8!5nJ`q!)j{DpOA6|&Y5o50z-aYcDDXtWc@t zv72L9v~r+_%VxuosFVhdEtM0oxX=K_5eSnrHuQ8Jgl2|HOx5c|;mqNy!R0G znz!`3**%xRolw~T@eY zqgBLA#?#y}WnFy{?DABzhnZMaYJBT-L^(E?$nqTDFy%NPo+)uBFyuI*AQPvx=&Fp7 zlpt9F!Ej~BP09BjIrmJ=6hdFlQTq4 z9_vK?9p8_G?jZ*+dm!kBfXK#HOu{ltEo}D&N5(p6;B&CO5(gd97P?wATp}T2p?X^A z8=PROgBMhArVns*bfCl0$VA3;j$%Zq9eus>ROJW6_IY_T4fD=4!M;6VDmeE6$JEDyml&wZp&QJiQ)9Qkbw2|e#K zC{Ct^cT6XlG(cB=iTf^`yuIdd4nBh%-DK1S1qDBqx`~jCXXSc)zN?g*p>dNV7ik{v z*sw_mT_tv_y7HsbBfc=`N0t}1e4Z+$#v z(DV^E+<>$H;|PJ^887$}S@_98=m-qYT`#iq#5ZKk-n^S2Qsmz#X%I~QNHD8xcVb2( z>gmt25;;Wp)8E5MQ9LO8Vfn)S53p27Xv$qsB(<26f(m70Sa>25tN@NEtWzXYA;56o zNhxgHGkYi}=%kXfO=N#^g`yir+1^)JM%$x$uI-}WBS@$qZeL=9DykU7xbEAXl)4gPn02pDFt`10hIH6)X)5gVK7VO0lPp*(qDy~p!QeLLg{^d6*1>xTo zDbjQy-da6^kAKq}^;hrxjb)~H*0&|!#9A+)!N+4yS2@nA=I~nwrbwg}aTC?-JIfH1 zPdp^C$0^Yv+kV20Nis87M@m&(U&*aekOrUg2E6h`);GeL=V z0la4H1*zh57pTiD?!Tfr3#TrJReZ;eze1-k{pHZ|XhF&xKq~!yJ$f^-ieY+9MDy|o zZI>3?!SaO>kX4x&PwudzfZx39(Oz&5xT=qz`TA5>dL^1Zq*4|W4w6C{*6;Nw4c0E7 zWR|yr^|^^hVrj+L_ zPO~hd^=g&qaP{W^S07Icb=gnbTmyX__?5%uU10%!~~) zGcz^J%rx$MzVqFC?%X>wYu2*<(j$9oOWX3c_4p^-cKgv4esEBiOJJkt>IyS5s>#l? z?O&^`VW5(abi=f#nGVhqtv1(H&RTU#yB~vwzjf;nJB4Bk^st#m+;^r#V?CHTjI^4!5O2VB1 z-{Us8QE1y|d%Y7l>ptPLu;=5sE`~_d|u$$yT@TC_=3EK!lBA;{0$O@!EYW?{l^K z;rRrzOF)U7%*Wbn<9)-zD`2>OOEgRQK2pS%*b0>zTseC^$gD~%zyVu1oQM|^c@JW7 zxZLW_oz~RgmX`8G`dZ&2gtz^`z${1HU;lFp`Ng-jqRkt{p;*=JhJJot-e5BT<2<)lt}P4 zU2G<*Q03MgcSqx{#W)-IW1zh^s3Mp1xw2rQSz{Fsl|XD2a)lkoU?acJn*Ecf;N>!} zu3%vU@}F0oJJe3KK1>NBR=uY9n>GpO5pj_umUsK10Z!_I7IQnr>WdH*2P;d1xmYTm z^V27|z&!4hmM1Pwg7Q0dD)Ym0b?$354vN%9)E{GH7SK7L`{W^-^ENEd>ri}2ts?9w zBpi>($4*W1i<1_lFK%Q$2G`0IJLN(~LS=TJzMtPRy)p3$d$Jo{$#CKjb)@EdVz(pq7Nv2@au zzu+`YEUuHCxGWwzZn`{;uuOo;-EzD*j^~T>^ZvK?3){>eBM5Z)?NsuayU3ihWf3&* zc6ovzunA=3J-ywR*evOUuO$;JZY|bfE>SGED57`xaUti%hbW?|2#^}!!aG(v?xD`i zqVyh>sbwItkJwr?5J94z2Eo6N=o{v!sW#sTK2i9~{p;?xL1gD-?!*g}`SB+k1-f_$ zIkPrDqF;rsEz~i^d*?wxCgB(Q@lu746Of3FIwI`+mVB3O*tvWx!DIGVri$X9g>q}5 z=#;f16T)w3M{86P_Owby?90_${iLdput?j4AgXY?S-0;fT&H36!>&0MUMtXp03s}DN7>idvC^WfV zi21A@dac7FJP)lDwU11tH`nfv>ZtrsX+0yJp<92XQ{chCWU1VYlb$X8)3u35H17O> z;0l{!5gbS7>|3ZAc)d$AZy6ht6=_W#-m`XrN7H(p!tr|TBu|M;tDvpjf^?gDTsF8~ z$DansqqVzZ3R$Z3N&1{Q<}RAw=dmWzh^C+(EnJLiybpH-Xcex`Wp zI6S$m3H_g&+kCxCqs+IgrwfYw%E3tbrrm#Ri4GQ%aGQp5Pp%4kP=6-o$(t%~G4l}O z3OhmW<+PCBOnF5~t1|WGB;~Bmuk-NTvhq2*mr~K8BU4RIeWDBxk261ny*|-NzDZz)DCC}f#ShkZ>^oYdYFKKXojli!!6RiOpn^@egn>;fHKWf0#L`X?4)W&MA&NY5(cO2EE(-y4BIA_&KC@J=AzKz)9nWXZ zK~XY~Zc#e-ZjTG(Bp!J0#qJAUSDuXPqmArVxD87I1}fFIUVR<8Lf4S?`gpl3Bo9kC zSwIJY1m?t2>*V&tEHbxeR&Nc#pxtj@I=k`M_9x~5T@-tjGS4jYQE!qyCU{^mRo`YYsD%O=Te zQ};VoT88n2z#JImFZd`GL4rhDD+FF%j_d}6k|lQaydEfA*9I3>e>O*i^=|W+hp>kF z(=x`Y;+E+5l}tTdNW`AtL_@FTgHafgD0I{n9Czb8o{v|$K{P9g{10^jvC!|}+v?|o z(b*mqy#t>Z4)Eo8C+I@uZj%Jb&lSVA2fir!3=LMYj<7F&yGuB=ud?xTm;eWN_dQko za(~mr0AV4+UjPfzhyh>FpBX2TsDH5`$In&M!z?zh`CZaz_@1~LJHyF^eQVrvl8=LZ z3(`|@vuiyXjC{}pTS6g&h9?LMZBA%&T4+#Jh#PoMJLp}X0Xt-TUf_v5PXr^U-zB}W zBJDHo;@RdNj!TM3N}+hnp!0@c-|=l_&0U{Q==QHXnPC8XW#$gB zR|N$^f0a#W>l+DQ5X>Z9Pu#Cd1adwG*h%#s+WCa6WOnm6=Z?0q<&H0%ZC2{~KW2ih zgIPIC5KhWltA~t6^Y!+fLi~9Mc%4Z3DpczrJTu}+wvX$vNq*SQX7nM9lh?bja>uc< z_OUu*WqI%J%&;6rrM{5;dB<)Rv^tNBAHJi}-)RuF^~a?8^WD3A=(^`(LmtdnbCoq5s0J=5PN4yL!_mwx5q{KrCYk{Z54C z7!>YWHqfLyYV=m>afYPv%}LZ9dE2?~?8(*Jj>?TmRkeSfG5}*$B;t$N;_l6- z6#$QOT1q;RE$guTlitfQJ-nSxmAD0`!~I2=x*JaHIQqmib#XFKEowAyE(h@UpRElwRTd!*;Ne?@ti$AlS(W za>+mW&a3?3mxh~EU|9c)U=b2~F(O9`Btc7MjjCep(JIm#L7qw_V-ho~jT)nbdg+O+ zB(NG0$y2PbDw@)qI*lUO8Mg~qk7oSPuB<@owod5cmE}d_hB7M^@=KvrGAx9vKNk_H z=NZ$O*K2uyx*H0)oo*A+bMfMK8=j8BqKKemT&eQz^fVfvHe-5h8g0U8jAiRIJ};iV zdb-P4`5{UGwUl#v=`Jx3_W(9~lj+B{RJ!QHt7Ig5<$%Sf=`tk^>&e)6Q=I?3VgNz_ zeIh8Z5L}*N>;tB)jnA(t5nd_@$=4#xp*>MyWcOL6s?yr5!U>kSGMrTdPvSFZua}1G zM{@t+*`i=DQshAl3K78@n=JhT`Sk>7S9Bqcs&V%PIm zb&>MW2ngxfxzW@?PRT&>WPVIOL`}LwZnD@X@UWY#W{Z7Qp!?H{8@Pu41rP1pfm3($ zFLam$P&1FPY^z#R3#*DaS90oPPzWn?l)Rmyw}Uj-qUzo`!l$E z^|n9`dFu);9K|`cA_X^=|7oln1Kh!`zYG5h3p2DP!he|{)|h&VUOP5vkY65@g^G&X zaoH*R{1=V`A)TOX170b`dSAg>4ejedhe(+UPr(8Xmm~dpt^#o`u-Q@QZ6@6FpnoWR zOZ3~9S^Z>JT8TQHXR{n2QK8N0x1o!vSFC&`v-u}E!=?s1z=FaD4l9SQ<=B=zEc}kc z9aE7LPVByC*-F}k>9^bvuKho3*0NJbDYH(dH2luNs0xqz3}k~y`sOJ7r?y{+CregT7p z5~+7cF7j0hYQ@b1H0QGp3y1NW1d`ErvO$(m`vz@f%vw2*;svLTpF;1%QwK|go~T?5 z9=G3Z@8?#33k+A0R$Dn0{&;DD$If&l{xW7HX|XJ#qWDv`!{P1Q zGHwG@t%MI@mcCEx(qu2SVo`e0-+y%Al`2Jx3pD``nN6)sj$BUO+!^u&UoAkCPSRmy zHum^Yh%ex}Zu$vb>5Mj!8S(75wtnsyrZjS8AwI4yf&1WjI9L6-Zf5p>k-Xpl{auDl zgP#t7SrhCA{JY0szdz?}W%}+P*A_(^X6|c?KS0v|dUIdefBxo(+&wD<{q*fLwWoc4 z*7sA=pU~cp9u~r&UxDvD)yP?sr>OX6-YS2A3;9pTg%a`1WA%HXtT694o5jg6e5!?-ySW#;~- z?7Ix#c)N>OE_6#wUIUJXU*^_CBY9D*k#;3jw2-eKpFfQi`6jTX&Sx-F7O(==Yc(?q z-(IrAuw}wqCnz*#Fln3^G0>plcW0oS0I!oJ7my$U!_)%|YFi;0WEx4OPE;C>p)BR@dmjzB2aLFFdEXCOS8bQ$U_+no|tB{$$#yOq!J#h+-nYGfsNziY*5 z#WI9_&dR|b)A+;`Hu>?I>`dxH6$p!AE#C0xW zVi!S_*QVG(rf2Q;TQ_#r58sy0HEg|sWOqI^j$!-`ygJgdW`)Kr`a9W%kGGGNvnF}gzWTqu{>;0iMI;y@`loP8rY-@RLdZfqXT(;Nb zu2rusMiQss=RySWPN>|Q%+|`i%x&+Z{8ywTpA})h==AjJY%|d2o5SW`$BnhVJmoW zbi4X;bbaH9!#aiQH7ETu&hRU2%vp_CbgBc!L(B0ho+;Ol%ikD_oAEGQi*zG`q6Q)H zA#)aoCzosAX|b9ew02Lbv$OS!@Q%gZX52Gk-7)A(HHFv3axp*2rQn-hP+QTcnsG=j zcN6b}=ZhEwMuozVMQ)P)As{70`61HBPZ}9oJa(6$h2a?U&>u{-O@Yj%jv<1MWZxj- z8!obUm;{Ouq`eJd)75f{fFbVpr$`}OwX7(=i{Hff+f^+CR2)uupIs#3p4||O7P2F8 zbtbQfAwPCtmpd1{pLz``GYeRFKRW*ilqv!x;#+G=T|`%)4?&fiG_TwCrvOg`XMLZA z{vv&k;H6~4woD}E22^42p>jUBVUH~}I^@DvL@)csVdHr$ceoO@!%kIs61uCZAIGqv zR&d&3R&XT^QIhso*~^41htZXgW?b6v5%uj!IboD%JO^?MU|)~LZmJwlQzBOD8+t_t z1_dwf*@Qna-k29705n!sAwXkYcOl)9#pK&|iXu^=@m`YS=e#)TteVf_zRX2*o7fvK zHnSwlDfrB!S@s37?DZu7FdF+kb>)<5=hp#2Vb~KSxJU6hCjO7;XW=-OVWLA;cAU7^ zc|lRYD8gb@(^_w<%&T~F>ff%4M2F$>HOgYk^Vw?SGu%`@d_~e-NEuy8GgmNumLODt z7jq!AC()VO7nzHfnTL_lThxO;KDWhAsz29Pa!S7a^it371V{V@gP2XpyhGVF|9=2n z)@WB#w_Q#rnVG@&}vU48cvHpnnKKIs1 zpRB+Y2T@-;EkUiqkm1W@i4*;e}JqS^$vOf(P8*p^reVLn1`l_ zU&}&|khq=^8AT@v8s_Y1(v4Z504dzy2)=?{3vEsz9k=0AkpzPe=G=2v6M?Ia*T4$W z7my9S>U~%vq8c~*8OHBk?OJh;CqeSbs?Dx^SaJG%-+u;s7rsV%#!Y)uI#O&(6PLz~ z1|ILS=I}Jjan92`2&#unAf`lP!6d;x#o49!Ti@68e!%IB`hYIBM?pknj%_rb2V2b7 z`0B9zjK>&)9gS;Ui?TX{Ns~LB*sn?i?gM-UJv~tnQlcv*8ZI4clON7 zvr#LqK`G!G7o5rcPLOVY=K(UBVV7(?T^JVAsq9zy@{4`q+qz|9%oo{A0io?US^7=K zxb1Q7aC$cg53neoR6ky(e6?wxC^qTQ__#ZdBTdKK)3NJOnvGAicE73A41b@$U3tIJ zkIv5*#O@W9kle|v^~_~C%zahZWqVhEb(X63Tmbq7 z0|&t(!H6}<{8EH;IiuvpgpHi~m?6SvD!Y;9Quw&+>XAiIl;gh_Z}f7X&!^yrB(vCE zcHB7md0e!PC)Tkj?Y+TU3l(`)4pJ?S6*IP|8(~E#Q}|`RwI3IB+|doB^$TH50K+kv z*z}zZo$-+_Crq)gPmrkG%LWe;p%>NVR}opiAJxZPhPd$P$pe8LY|LJDdML-Wetu+A zZ41A59k#4g1!SM6?cFTVYV{O*TB)enF5yeDK_!&HyW=GdKN4II3)%$BgjlSq%d=SA zIuKCWC@ovKp!}e$h|Lt!sz5wS*Fu&={nx3M^!!2T@{GH9N|pq=2Oz+|b{LCnrOHzk za?owx1aOKmUz+`S&6>{@tPu|7VJP7Fp}nXH)wdPz=NxC?=YnGqr0&;^DULz+*$#aP zX+UI-mf@F9P?2(()n}{udI{u#WbFwnrL2}&Y+J#NNkRREPtRaO^*xMY!6-PQ5Si~f z1tda!P*E5?L%nA!dfAQfi7>+BGMQuE69--W5+~}r;aax4ywJ)R!Ss9ADIa#iI*{jRa#=h2;x_rb`+B} zg`IK~Lp};6oI&N!Cv%r_`&`(T3XT4osf}K9U9B;>&fAhfs529b7?GB{E-N^6M@YHV{*;ZFig={shZCHC;6dPfZ32BsR+(eoYzuCxJ}UxyS&`j+xhR z4z?35Lgy-5{u9*G-sTW5a({oD)zfmxMJ>f>G1emM}h3v`E5FWKM*IXSTK4k{t z^kPi<)MA)(MYVXk)M9LS%2GD191j$EH4L%QzPPnp1*LkqZ^i5HU#94b6#60tSbidp-vO9=n&gT zK33Om!S|sF)AjVAl#>2XtT2P#QASXK1ABdlv8&<+BsUO&nhW;nnH>Wk%6aEcSmgrv zLrvZjCwR&e6L(Z&gTyq5?`hr=7r2`(v*@|T;@NjGN$(Yg;UC{8&li6ZlCOl}Q_wL9 z$<^qWEPJ%&{KhxauQu_qcx=i+;F-KqXP1+%M~mI5`|kFWW-dHzBQ;6ckeC?uJx9e}GT^T$vwn z@1_ELThTC^0tGb}f|C`a4csa#v?F*ocVd>$cIY6z$W1>$h)tM_%Agy(^fT{vgJRr? zp5jfzfN*hovO_$xto;u70F1+(VUcjKM$a&J>JD5)A(U}q^(b@Je$9Z?jx!3GML?wv;`YZyZ8CPeHd4-Os2CU9L#Yqw> zZJp|uSj>~EZ=TQr*aBpscWnMCkNZO`LTOP#CsBsZFuB#)56|Ypj=1P=C=${T)-l9l z^BXL<%Q*Pl)4Oys1N#nj%+QfFEk$k*(a%yzS3^t4g@ssULY|P`8yo?z3KyQ@Ffd1fKZ@uKO$AKBv9M(DEjoCDp(>)mpM(93unf;|>^z>>7`p;R?Y!b=!R zAmUfsIn$nJq3TC{n@nMO;wLRrgcX}-(YvkImU}#GM)JNYY8jtL8Y|@qG6$++B-?P+ zgmRLCLz*hkO2VkTv>Ec{)dO^T!%*uG-I_)10OQ=g&lbt#`gEpyq#)ikeY(?!-F++sVp~+4clA;X>_15 zVrPVd@*R~(xWjQ%Jds9@vlAW-!upwILn3^IiAH5XBC?o>=o3gr$Y{itJ7A{?^t?eg zj~+n15DrC!Hs5L`|F9plMWkQ-4`R#fU&NMie!~~pggF?O;u#qv^~PM$lakNBGF=a; z$@={7irK(UNGu@=zcv$&Nf_pq&q#5W=;;j&07?_{>eQWOTi&W;{s75piEk z8t!9GxsMF#g#C9&*9lu0DpfNzQKwbg`x+Aa<7GtY0=$tvV{+W&_H|IR7EQ0_OdA(`X&S&S?#_r zszrI4r5tZh1He@<09--q0Kk>Sx5N^{THv-u6}omI9^8uHM(hzVd-U${TjEio{_^Gw z-AkH|i)_`Y?GZ*x)XJozY}J}d!BwI@j_px`8>`j?U9a4x%XQDk=z9qzhIIw?B3yA>N54S*DwlDoj-a+QHt5j;HB~XSsOf-fiOj5ls<2SC|-V z8@a{aIn zfa~&YE3}4d1!Wl4_UVSO$5MAe#ZsR{zBl?3r2N)0SWZkI9_h%ND^Kr9suZGmUi`ok zUs29My%mZg=O(N_XSv*gRWwD~O!}&f=|e=y?MWE>#Tzocf7vRKf7mLV3mG>3@Q2Jv z^EGSpfsUDoEcH%(sy@5#Gdmf zqcImA(~ZUk`;p5;;RD9=84 z)=aSD;|5Fd2lkxL?hQvY(x$8qWA@Gi)ER^MED1p;rxjC)s@Q>Q!QY~(q=B3ys!U1A z13Jdgw!vN0IB2wr_lnOE{4xnw<88^>es?l z7f#m-RLDHsN4xq|k{RstOC`J6)}Ie`5L+|?D(C;5G+`Oauf$lE2?|N~R4ai_XwfX; zP2ee>%UAJ8z*V%=-CIM~lLq&ikm>Xk_^ zTKzkDl&L`!4?HvJ>1O9S;cGIO+aJ8zP=ugZbT;A}Cl#?^u*oDfRGh@|MeD!na)8=A z0#{cw!cfGnVOs8(Eu+4?tCpavpq{d?mf)f=U(-dy@F^fMOn6ib&zGXaG#7Crc8+x# z%3In>+U7=D`dFu-xtQFkVuep5wnf7RiC$W-jKe1}zfwKTL-rcgiR$H4*o2z)bP*&b z$KP}kCUdN*8x;o=ZMpC?0?ahzZ2RYQCO*!Zr!(HncDcksgYs4Vd4P!)j*dE*y%Gm6 zsF=y)h_CMHG(yZa-SQ?VX|HDO2b7{2)u&JdgW*a#2})$~hzq$EtAq8)3#J1KvD%4i z!uz9!tz<=A^u%pn=TtA(M~L3Ao=$#ee^@`= z`gk$D8JviIEV|EpG`!#X5Nz_|cHCX?6ua0IU!;O9HB_$udns_K?`*JkskC+}wU&kS z;mz$X2v^&T$-_?93+fGBC*n7(n+9blI^nS=iJWo>7CgLy zdbGX>eHh~jCI};pIasMrVmW4Ly0E#%W~5(WbAOzWTf@xv>BBgg*5se?bpW9`zl@+d zzbpd?1VA2seSR5ir^Zlz*&nN1g9bG+b-e|tT%)n8^-_)`dM9MfJ+vyOHFnUcax#*K9 zk!t78^z)z7w1vwe)lv13D>BuxL1wU35Upw!3%4>T5uv39v_*w#K!7I8yHnkiIuJ5df7&n7h@~lW7H$$+H zWuWv=l0Hk8KA9l7m0JB&{UAdR*;Lzq)b}5a`A2hu4EJOd3{~0w(8yT4Y(`LpgRH!B z?u6xfG=9{ko8_yfPdppYLs3JSzX1D%O6r+u#eEOP#NRK77+VYGzoOaa;c}cRN4c6) zwof64Fs@$vcjIkMD19FA!vBi8G2FE}!N)Yt_AHf324ZIS^68pphlQyMN<6n=gK#w= zlBBaP${;0&9qP^$lX{5s^iLvZ_tG9e7TyIv@+vVVY~0+x(}gjA2hOZ zH>saBGw4m&sC!_7QD`)vgEVo((S4tF;$yO`Io@@ghnCejrMLShl#g*&+*=&fFTtCp ztTZ5be?0OvW=P2J3odr(1jP++%0`7kXQ>^}1%|84HKPe|TDlgAu2k0B(%zYse$$e2 z2$R9XoT<;A2{vV~DXzyubJ2N3;<23a$ncz!?)7d~AGe%s?5?+Awjui}ULdbKq^4}y z3!h4$wlWvB50$`imzN@3Apa(%Sz2F0G!92=b1X>*3S?VnO$|pF^OMD?!zY;Nauf8A z98ptd=4t&arJ*2MW6u+wFsodi9_d8-_h2xd_eL+f&(mrk>Mwnx);N=_u@YPeiv|uB zq<>a^E4%-y+d!1y#n9ZI&ro^lu$(5Vv;3ffn^>u^cn^#c@u>NhazL6x#D*(i#*hv2hBG8#a^(Z?*IY zMu$FezGgjBKFWn(^6@^IQNZ*rCNt9s)2Bzk&T?2<>}#>%q?uBLV*O8wkvhF(f&ssi zPadRWSdbg%k?{>rbFi__=^L-EWK7Q4H-goPg&H8z75v;9^j_sWs<6b+YSyIW($raT zXw`I@x?XGTJX*Q@H}hWxe7lHL%oN!;NYRH1Ynp%+6xW;W^9i|gyMpoJ|0WV~$qGCd zfL-@OYk47ee2_jokHbc#Vo^~rhREm};t4}_K_j~&mpGJe)VtgzcXdMJXP4bw;~juD9-TA!Bi`f+*<#71cre2681Z7Olqnw~9{B(jT>gNfZH74k>tU=nY*9x)I zDHr8h$9yVkbW`fLwh-Zrrd}}D-WS*7viYHmrSP-GPG>G?)>yvJvW8M;Kx>_$W~Wx= zc&Q?ur-QD*#vf>nW&;bmDJwe$8+$(+yAwM*#b@^U&+I`Q(W%y~OB`~k8u=e8iT#OD zkM%1EP|r*^?8~;n&~LW2iaecfwygm+k=T7}!XNz}{Zy|U(9=Fw8$9NK>aWCTb*ha@ z+ojNA8K=?G<;WFhY8B~Am)|^AkL{2au+$LEA_UTIRQQz95~lhSrmhgCvJy%4FW!g0 zQ;-$CVe!Sk(cNmW>&_HJ|X9vDV1NN`=seEH;yjJ1!I~AStGy4`C(7_B`Zd*i) z1>yB8>=l8bDQC&xMswEsjCj9$;*(7d5BxNa0-Le>PRpxC3fYge{qMT9x1E;He+|== zYdw+DK7`pulcP@8s^pTDMEbWXui@|Tk8r^VP)Ar~&%$ z1ZY#~00wxpW$!j(pBsTGBDsZ3dE0#{Wot!I&Ffs^VZV}#5};pI4P z%sxC9ZN=y(EV9IU#;7XoQ1;CMB}+ZR&9i?L9o4c!DR-uYuOsuXwz!eauDJl)?`&8f zq0wm;FjQ`EramW~hyrUgBq&5Qw7XQb^JPdk$4%IwK zy5LaGj1Zo+1f3uXB1MfU6CsbP_i&gwHT@;Io^g`doi*a$S^tqN|Ju$N7w%L(Z>mxg zY1%=dy=$t1(GSKHS4xffkhrT5Ev=63;wM*{`x{&*_UUi-eg|MBKQIiJCrPWvo3>43 z$EBoeZ;#~DM$A{pmAWqv_sC6nuyJ3U?S6}2+@P*%SJwB)YrB_li}B!zTi8osY2f^7 zWjlcGX2fR64>h*SpE7LA8b{_9Sn1oi?`1nev|eSO2cvG@`Od^fsgv&E2t-DzSL!+_yb>)kk+$09;Y(J-P@OU%x zWO|hy0XzB7^**O?wuLWmXSpdA=x-5`=0sLJK)2+{B)}N1H`qGOhb3`zkY0%S65CKE zTN}9>J6FZJ5B+jHW2xu#)w^1eF@*y#w*WJfMQ{l*R}SwcV4d(7C_9 z)Q}!q0OK@5qaCi)<{(pS0W?-CDKwrw=vL~<_ws#opSo`5%7arfg^nPJh%0ps-&BLg z+jeSWT-l5yDw)ra_1p12wJPPwK}T%4gNgJ4cP)9Dr7Dx%h)IU$38YfWT!U3ewT#MQ zxWMf&;IHtaj0XnX!i!eLY-ChK>c_$b$DAGt>m_qQ;&r5ir_;W4qH6DsHfWDDcGghp zdn7B+UnHb8{%6mG9pH}m-}xc_X2vg;sS)t)A(cxngeRHUXh<(eB$=2>OKSutn3$SN zYnUaO5EM{o4>D67q5qOQ)|tk^1*(|)iJi5?TKvG=%cj+fA0q?dm6Q`Mn_`p3_}0V1mcxEk92aW%Zg&c&|m$HfATc2NH7 zYH%z6>uSK)0$dGgd2)(_XUq7eJ(@tP+}h4@bFgJx678x3htcj6nRR*$VOuqrD2qQC zwQQtoP>rzDaaAbfx5JrZ%DUUm_%{@YP_8Rj+C;Jf`vTtRjQ#&G4!4f9((t$W;^16| z_KlN0gqyD0xp$x1L9ejC2&R!8ucAlE~HTVvfQ^9Y39*h=RvAoKvCFSgQQ zDz=jHRfi<5GQ2FzdtT+2nv*tJSTM_=OIqHT3@|z~tw1RU8Ep_HSxOzblO)k<^>K)| zg!%7LR~z@pmt<1;Dv|1Tit(nuD&O@_dI-=4?h!SJ?yhm=eV{)U^q0oXsuR&$*3@|^~Mb|kti0ZmE=s-9Y3lH~~3YpE)Dg#Pzb3smF|IB{t;*haFd1J~oy zH?hFzAkRoT?YUvPK41QA#p271#0sHPEz(_Vd3ZK?St|7~@E2o0GrZ6We0W@$@@*a8 zbm0R?&(m68?o-FT!<&UytN;gto8(KY10&PJzb_Mk-%I-cs5ifL;UhPJPkVA4A7uBC$R(*0$Ylb(njtP_C27#Ik^GdL+>3p z%9EP7N6IbrHh_IVuCDwyw+d*c@!o%}`c@*H&moy&ZRHSOA_H{v#`yi8^rnC@)6xbs zOCIR<|CE&wTkvX)Z;JF{(BVB{b-cJUI=YwgBblo&v8R;x7>y83A~t}s93u54 z;047ECT7q;;(LK)aIx@d2b)kXRL##RkT6`wj~f(H7m{lf_Cta1C@;K-(ZwaFznO$` z3u_&^K*FBSNlsh6{KeW^qEfmP#K6go!Fb6LqeEd&Z`p-%-!psOGerjENjwUp`BldV z@3(P@Q9YK3zWIus8fDdiqSYLr)c)5ZbSgZ=CH6TvCL4+kZO%}_9~Zr|1QhME<C3B^!~q5Re7Erh zSirCFgt4Ji8X>Uraa?BCLM|i3Xd&Ei@Fft~WKJ^StVt>2bv874v8b_3P+>Jr1UXc@ zP~TRV-%-9HFrkk`4{X{IsA#ZEtsIEa+2&^C#kyC&@QH;j`)xlP3zz>9ZBQ*lQ^Qsi z#D;PArQ$|_XdhFhfdZ2br#e;g!Ti_+MJrCr#Z!XR`bW}m=;upe#L2?zqjueP6$PFS#7Z30GELys|`Onjj^Xuq5$ zN#dC~zA>X*25B-ze*`p*a=;__I07#adF7Y@cDv-p;NRz zB1B7d2JVlcq_Tmhv4Nu9E;E9;Zb*Ve$sj;@?$aQEe(NRn<@Y7?wZTIORD@`NlLy{G zw++bwUnhM$+YAI4vw-QbqfBfrj=__gn;is@=kT3;1`3Ne zHwBir!Ef%|nM`wu4PQTF1x8p24YfiA%1rwzITnVH3LUZ`!Z>^ZujW*&+VsZ%_`z); z;Ddt<^Ty@Pe8|liuo)TAZCu5)I0@tRK6rHZc6$xmUzMvAs?aIW-jQzb01Fjl(VczC zpv89>n8_NN439ucX%PS;Z;Fyrgow6a1Cua>QW{R8h9O!^8ypQSIut;%+l_K<2;)ZV zR4Wmvu+RlQ15?%Z>1OZo)|-Etg7{}AG3wLf&YqfDsOBf4tij0(B8Q*fv@be4-*~J? zs+gYQdi0y7kT7^eFS4qDhJOU^9GorMR^2RlQ1mANY~-ce5KLkA3CR$T|w5ecZU)(o66h*mJijqEhzqZ8>wpDk&dGcm@ znF{!PxN~<1wohE}Wqt@<(5d3bcYz7lFrw&!de?iWNA6mpAO3^{eKr>M?a)HWX2OI3 zOuvAGy}O&|Yd2u(mm|QtkQm@19GoT1$T}a6NsLtS=|X|sJhNcw2))R-t65-wjLb+1 zjkdd4YH#$B-PymJUdZZRJ}efIhIud*kdEr0xW~+O7Y|2tW(Y(Z#xiEIJsxPRPfRYa zWNmKlAAJbvBM@8)AR`qaF#3Fvinbt3k=#9Lz4%>~WpA*Vcm311;^fQVL)7MkxZGE} zAz+5#0}hwz776$T>!koV5$omjjUqFsoo2Qn0oego?d1jnC8`_rZTFg%=vWoT{y&X0;Is`V3IxbDJL~CyCERQFb+w?FH~*? z#EDdHr|Go)V#&>zliA4u02%hefy-QL14GCTq9s5lMpG>=Yg$>#TM%}ghtCdxTEWrN#!TW7%@4h1-ioo-5OQ;RUCk0W8 zm90(q%hm~WFZ=S&fu$e+>briD=Zt=L((>!muS}CUf~sjs}TBoURls5QAyQO)uh@e%x6FRvg*V=-Q3`^ z(%J^7|1aj=0w|BC*&BUucL^>D8r5!%SyrAZX?gEegTZ!LSR%%*Ird~YNh9JI~`eO-z&}-Nm7#!pqZG-NPmOdmT6Zt_{ z_|orb`}E-wBKIw)6o{y1jEcW)or}2KvHdbofCKMB8H|tw=~`b&9&~&Rgl*rHNB`;RtMk*ZUzbmQ zzKLVo&2U48B|Zbsnksh#t*v^f~O&nVEL7El5C z%fh8lT8`twRw~tGX0&C&eX3K0eWC)a@n}p)9WzpHzcE+L-|r=zUutcr(HC-v5 z&8u8*)|vP3R}aCi3C;sHyG9i75i3v6yt%V~+1Sp~V`I!DgqyxgXqaK>vtekvVW=2W z|NL0ZxjCLUeyAZVSh@4}uM75*oIKg{h*s+^H(GL3=~aEtcTsFT@G!6 z@u}%~=#6GFK7XG_0;_G_8S-t*!5(o<7UqP;4E|pKuO5EBzTUh!BW+P+HGR6|%4Eb_ z2*~cdk66qPbQ3AqYt*9tTlDbgSuhZR^<;VP-k9yXN{jR?o0~KOYKdTFFMTY5dD@)W@DP*BkJXKs@F^dJl z+`ZCgxUOrNggSn{WuJWk8R^jV&NFdPue=*GyuO>DPta~ELaEn!5rpgs$hVSalE{(_w#^5b(Lkl@0y!ZNPP$<_G&92xusIk`{gXPZ;k{mJ+F z*9!z1LF6$iyy4f)>Fl@F+NmWUP;XvcemOtBqS;3f&z?>mvF-^}Bwo`ZtJYqWrFcK` z<*U%3wRlQ8%IzcE@%Y?6^|E=mRb_-(wt9S3J&dww36CM3M=t;T=$HPH9_O0>vAB{R zoY{+MWR#nVDS%~U$#%)3t&ORBC-3{N72}%KH!T3lr|{uzR-W>B%hs_c9Oo4w{9YDp z@!(Jltu6cz7H&`qf|G%z;}_5;7>bfC@2d}av?s9($|q_k(+kP{5O5kfqbjIpW!`C) z`&Vl!(~J`Mwp7jy$}i50*jGm;@J;zUdgH1j6BSD=3ADqS8NM)tk>+U6gx?3X4dWN7 zS^w8fn7S|e0p>a|Q{$bM@+;a}C7&^GD& z$)?%x2KYo01Twiz_xt~xNjL66E#~NDu7(boQD8OD`MHD_iOl&N8lc24pxtuoT-eQY*OCVf+vqHoMj z;5SwJVVz67$f{UU_{wM(7?dC#@f|iw_>BB?uG%=Z%zZGoF6#$~Mh-VG2eTpz8jBWg zUv^%BeeC%IcB6=RGa5-p?TQb9r+jW6RYg(T-Z66-Bke^+OR`C93Uak6Zen%f zN-WrV) z@58F%lQAntm3>GGL-ez0_YxPD?HSkGGn~((^Ds|Fm7_PzCT#*U9B4_tRK;c@-`TS+ zU7LYvhBllz`C1(srb==u|q$sC_n()n|F>xWJ(I|$Rn5VrH zFHJP4*LLRUEyp->&K{JHw!a-ttU{2a$}*qA&|&Y5Wo;T&|Cm+QOsFq1ZhJC=)><>D$?qD(*JU8mvj&?j0C}>~Osg@~WUus$~Z*2llfY7oXD!qJk4F-S9vS_G;MV1#w5)PmC|=2NNQ( z5Cv=y=07ZMFcrpjYEK<%0S=kS(*B+3;f=vvkC(_idTm=H?9eJPt%g zVJWo^e1*3Hv&mSbGR}KyCfR4!n1tbeWlZhL-OB#iVp5j+QY~Tr9AGiBo6n=yp_fXZ zX|s2$u{Td8vmA#a-=f zo}6m0bd!l)(;#@&qy|Qyeh`f08Clo|O$pK`^&?OoF z)RZPm)9Fw|F_Rf-~FEjFsKgXu(SdKI;Fp6!tvc3Z{C^$bf@H+{o{1*$Q{7?Ei2}~@G=bhZz~TNZ zkp3{r{-cEMf0+bWtNL?Hf~u@qnq-1WjhEgkV}Q-{v;bt6T>@@ulne)wd)?x>%>x1c z4iT4v=)_b%sKvX7{TIGxg$db}Q)3Me*0l!Uwf`Lh&)cp+FrNd#0JrfLV_rDKzS5M|LnE&b%8_aw@1Jp z#6|#FAbQ7umMO^X-hgy|q-A*M0JY3QpwE*$fL9S-Z5L)@dewAPTn>4-D6--p39Pw* zAL=V1JnEd~%;>15%*)syc}^Hmu_i>%5O(%dH*NUJ)*D=aHDya2vx)wnoWWZ3NF9UV z?J%IDwRyluV77+<8FX{_w!Jsn;Q=LS1XdwMu7e9u9xkvZ^^S0Z>?TZF4xtDD3$kXR zii*mu8wBgo0P=^_0HZwE;%v7G+@c?B>Mtw$!>x8#n~Z%_uLW(>ETh>JU`xw0f<2Q! zY*zc(HL90N7BEQ$Y&r^nLMo8oyE6k+1aK&K>3K4i^byoe>hPXJA^V8il0o)3fds9Rh` zEBrLxeRmo`(7x18NanV=(>TUR-_d}$2S`GPt3?r@m*8@ceNDC!CUX4l&%IR{5dOOs zIT%9aAGY)Xha;4PTu55lH@3^f3C0J&;!x^m)!_B0Cxf^6NUaEJxc#O6R=fZ zT9cZXuCGyCWp9gFxXr-2CxTEV$oyto2Cy5JTVk++UcV5i{4?bLA}t5_0f9hLEs~_e z5ingdE2bX(0LVSWoA?5ETCdy!G}@<%`|MFRZ2eumo+0Zpz%LPzUV0u^s1Cs+V8G;E2VY6^p3l29F z2qoqKLSSbg3g-)<%GYY3dRt-v`u7 z9{t!j2)^#Y4PtOk1ib7cFrX76@ezP30HykTwY4W3`o|FsI{_z{1j=`OmwGP&S4lJ7 zU*rS^KH2!d90a=nv;*KrG;V2pPl;LYW69ZmOKGaBGl~fR(oJ z0CFJy+4TZ?Y~*(zy6N=5jVQO*!mr}Jpu1RZvB2;aQ3`TMQSF9`a_0I<45fhGM z!2go}IOj)=5S*0RD?)(Hu;EZ<#kfy4Cafs}NWiJTt zZMy%D6^|Th7+HRk=YNAY|Ezdy8N$8tRLav1klCs?TOl6-5@OyEdx+S~T6tmEopLkJ z*uK&;Qq^YWG;GWtr19hlFkzEIgYS8=*yzs@$+#icMXG?+$fgG!!W0^Wlm|-U`p-#D z?~lj9YU|Zz8zIt@C-kTR@?4JtUXok`m}rG!9E{k_5z9#_5HL<~=%u-rhFf5r?)^W! zuw7{m3h!)N8-IlEfdD)TY6O@kaSM86(P?UKVGwzmf%VULyc#eHI6yxMNoznV2uPs@ z$^+{apv|q1<{zP0-2VFh^^q?y*8=W9dESp23uwHPh_AZ|7@>S*;B%+Ur9eB_r5{YX z0C&ZJdm;kj^rLGV15^k=e^xsmZ`yxj3IB4u)&^!s|CDQB;t!4gWKgjNL38gU)F&1vD8-Gn2cx$Tt^E^ zw?zcWS3&y6(DLSfQbTX-WugaI%LAqh&Px}8EnH?3z%VBOzBQN`IPC#FR_(U3b?tXX zP5*KDrMA2!6si?}G@&0IMSwkg2ab4xc{4yQT+_Oi2U@^cL;iRUJU~t-nr`t9m>U5f zc(GaZ*xSpoUHankgx;2NyV8m93oo^#HCnwiV2pcmNt98=Ia01<%_-r*UYU zDmOrbdAQ83gBJz|Y9-Q7F{@;pJt|F~^*;^g^`GBsdDgop2K6GZ&fCg>KRR-{3tm(| zf-CO6aqe_)epvamVv6q_D{qBoO#86daW&sA(cmZjLGHD}{R?~EM#8MWmy|5j0o&1O zA4(}#HAmh?$9I3PYhCClg{a-z7vPCIHJ_<{0yTc2nXdiRfXx^jf%_-mVVkt*@8ZYM zuqTFVEFo>mUN!4OmGa9F&H`P(x=0K&y0~s~c4b?;b{QBNU=E;VUJh=}#=c3W_T|U-vzkgnmqEgGd1a;L7uM**DIXv#| zrs9AUL+AtMZl~aNO8?UmK3ucFlCMQ)+IX+mW@eBW+V|m2DZJy^Xw|%1^lJ*R?|ct$xHli_{fl+qQ^as$9HzeUl}N)^Ee%3kMF<0&(j2SPY0aZuzWK zFfV*N4SG#E=?huP?|2hd()wart!=(9oyJn2rJ?;4v;1=pmLpZ zlB_%N9kr$@(nQlOwN(EpImjD)Ln8za6l&0fNbM(oZNaB7leJOO%Xr5DU^eSBu?--} zPkCEHEzHssvb~J#7Or1BqWKHE_j}+zztF4AnH)p1+gYgX3o`!o54IzO4(}lG#1# zzGhiMvtvt;-XE6p1)E!}T+ie;@*w0>GNZ_r29OielY1C$kW8qKUGnRpeF|{qk6YX} z&+|E6Su~7$1DYibJ6dg}@$DogscvrYzkT+4_Bn#ZH$m4MG<2x;M2RLh5_F~!ixeLL zVP0?7eBQt`lc}yQ;GNiGkYurE$!r~DXENBy%)C>G={mB5*)xd!8&wsrN}+cfF=IYU zj-3a)^M!TQ#rU{5Z2jcClKiQPC#1uI>22Apf$vlQle01~o5rd+(%0s|h`Zg_whx_m(S;+RxsNAXMWNg_63 ziLdZ=brhdLCu=+Cy#C<|Xv0#5JFd|!4pj+D+Ay8Lv&?H4EB6H~HmS3wI` zgBA2kY&(`ckD(;H+JgA_%P2hA;R1`REn^qp&L*%MFF4$5^%#~61r!5)%1`Q3zdx`NJwsWHj-ptWkaRz0*jAQ+&rR>9#f z1_j@eE!4e7x(Z397I?KzXPPI#ch&6o$^SkA-vTs$Oq}uH7=Po%U5osu)HO1t4k-1) zjrstT-q3$v1)3b_(2p)K^SWCY2To4YEG!cj@v?nUw@is1dK4^LXCL$F7IU?bE}MK$ zTeV>dxw4>@N7sx zc^mVxtKycd^Fe1J@%4p{zw*L3#NkQb>y)wE=!ZL0osYz)*&z4F$X{RHoI9@{ z_CAV{Zr)!Ske)wztPZP@#`zLGc^quVI3Ou?Px8@pUeC6!`}2+1p#EN>UQX`0RyU0= zAqe7Mz$>weUq_dG&lFz6-6{5xF_j%37vX;IR6RT;_8bvSbS)yYQUoOp{6(ysNyiYAy?dW9f4)U#C*%Iwu$lTlKH0ys~E`jfH@3)#D+8LNDMJ2#vug zAQ4zcDVLJeEXWZ-#V`w=&v6!nBNImmh=sh2au@%WLE?YGy~E>0h4xOq$ax-3vn(gS zh*XA~f?yB~CSzu1WoD@{2M_lUL)|0Cqf(P}h82wH6hWhBkA$i~hAX;Q1VhY3Ohz%2 z@y_UAz=~5lDFzoDB*Q}$U}d8l3%eA|ks|}OScCoVBJkq%J|qqMmue}%A%}Zyb4L}7 zV8lS9-+udU3mNXUAUWWFAkH8TRG&u#k`yccG_ryZ{ZNU9Jn*TYL_^|SX0pSWLafMw zAS~D~$k(0}B=M}{VbGpHFJj0**StMoVVAzJHwH;P{`}%v6w_kgFj`X&yr5ylFWU$L zAXGZON`Qs2tLZTC(6bK^Vg7LI3n?-4hz0p*MuHZu*it1;O>?=XLL|e0Dw>WWMa|*m zM*D7@M6EsuUDblOw%;GSSP?MCkmVWtY?NWBaxxV)1WaC~SZW81z6Ke~eyD5|G?z&} zW#1!lBq)NQ$hl#))dV*$kLJxvS8ul zA{@^eSAv9r_V03%9hDv-b2AD-;70+rOh#*QM<9M3Xbs z%mJNEmEH5wD|hurzVa6ajbEvnJq%U;db$wJt1V(h8Z(cpPG<|I_dnae?!*h45b8J* zV3q%1*wlRv_AfASh)Y{N-1U0Ml&BbL!BscaM=EFe3X?K36ZlR3gNXT3!&a}QByr-@ zW2>(rSB@qDK^t~C?Od(goF!puWZ14guMPRE6n-}PVSlV*_>Ge^^}tR=x_VD}6-4#zFc}D#Exl}t%P+ciY9ki1k5h8^dD#|bJcJmEPlsnw2~MvK1Tu!Bc&8kk z8-DFN&l8J5*Vlev4Iy_rWRW1cf-Re!I*F|ppIgtC7kShCtbibsPJTgy*s0QWp9hGN ztO#j%JgPDLD9#^aQA!Fe3Y(B>PfK>a+BxueDtlM422s9Cc1}j0GOAXLy1HA~UnRoq zeHiCNd|4!j0;BnJH#dG_v~YHc{;Uo3A<7mPl$x>n9*BTxjr=CH(zZ49A(dh2cgOuhvX_U_?)!GIqlepZ zy7Ydm;s{n{Xq|It&n`4Oh#@)#=PDI3eU)$qk2TLiEjkw(9UwhD zmW>@|joo=C6D$-~5>pK7Oi^&M$|$&Rq(x=0WATR1-^DukIPLvI{GY3p;tY_sdK~dK z-ZXgi6%-^Ut^Qdx@L8vMcQDL=IHPy>;>EKyhjBRW+|Q$u8;_rk*X_fBDzioz4c>H! zpuu+UeKLd?Wj)IGfw^1rCTP^Bvyn+kOF<>l_ZrI|B#FUC+qH4MM8!j5q^7>v8y4-? zcSsARQ^&iWJh|(MIyhD{Pj}Z($4?zCR0qn;SQ&?L+rxCSMO`}!=Ilo8mY4fKwskfd z9pP68AxlW0lV%|ERceK;V(HQMj0|^RDjjGedVV4!+bZLaulL6FZwpQ4T6UjdxL>a^ zmc%Vy|GI(dxa)ZJ`P9)-u10ROyg?dAT3dJeXE#-ofsLFg)BCT8FnND_xVaUrlU)3s zo55-eo^!Nr@taoe^3{tx%r=r+=uZ*J?Bbr%%Ukk*nN395W907ajl0ss3F)5?^5LI~ z9+)?)$2m?YLOH^3?POrWi!$Yum$SQNCadd~!sZLODTgc-X*sXw>>(?Yp;fSW&(q#w zQ-fzBLQwKccsy7t(d&>2lFr%QEOKv$FXq>9~MPAf$Qh%{es#o!|0$iZF_e0v0Di7V&*QaWNPtxe-&rL<+rnk zk8f;HhmzBmv5}KTp6mU4k#q)Ka|fo&A;Aj7v}Y3^zv_;!JU-t1VICi!cV-v!_N>;7 zAVb?@LIS>}@SvZ1VI@*!*2|Ip++6u+VvK=mZwyc*#`S7bhc(oclEV zUkbLgyDjY4mx%0Qy5Ep8+u`vv^pINZY9sk{KWehWv=h1Lp(v3ED-__m+e*HO@pt>TlS6%4)~6Z_Q3YrBExgop*K*wRbRd%n z|Bisp757wQ>=LniQ-1YC_Z1fz85&j+mMW2^E@lsYqhg5AP9~T*q5gtihw zfHQ-wp8fL@Y|o0-TVed_Sqb_aKLHR7HUv!`JQZvY-zEH?pOtg(J_Lq8%yzQKbT%a< zZ<4q$Tr#{BdxU>1V?vcfHd}=Eyl=l>r@?;2Uh|1<-B>^b)3eWrs;Kn_*=7XDVB&S8 z-oE`KmOFV#T-x^W{_dq4e}F{ze%fMs;>?}x$F<|j?b}oI=TaRXXwxgAqw9`O9RWqz z4L_vcAg@X1lBqZrG>={0YRJnz&!0feOZj&CW-+eUm2uN&c26B~elOuE*zFZG@uL#! z8Ny_*0FxAG*F*S@pL(g8qJ9%GDsrA~E+e`Lc@O7X0%R+kr@1PKPTr{V;9;wyO4g(^ zF$YS3ct_xc;qW02$lig7&7kvwqCqb482+fNkkcZP7a)!TIxFQ^xuT1CDa=X$W4Q;HMzw`h;Nf9^Uy+wR91yd~*4)vF$>0z{+P)Lp$ z>yHKVN_Ri`5cHC83`naf5>GT>V9Kg5R1k(=QIP$;@F7amK4gNRO1CxrdF^5T6`=`_ z&DMUq>cJ{EZ_~y&eGe{RCu$0e49aloAI3q|QyDa-E9bVAzzJ)lXZrq7s+7yLFxkQw zR$k^I%tx4`29-u)Q;!SQMyh!Vclrw>wBv%Cako$pKOiu>yC_fLgn51Ct_;M1;~kO9 zUN4&E>A}<5)P8Y_IEU&uu5nE(aLz zUN6$79VTC-sWg+=)o;Z^W}Q6>(f2M)Ep5w=K|B0=49yA&%$XAuIMU-Usn57 zlYsp@C#ZB^ZYXUYV$j8njK-V~9h>pk8=VpDwvp^qPoMF;!G0a6}Q)^%eBO&b?*Y`IroV{07*c(#HD&VgM<((wi z>B>AMbPhp>tCe_-VQ|SZr77CJ5km)(+%gunPJ-VLZ?Nl0I_<{g`EN#KG9(=>Vfg5l zesR#>YfXte+m<4*W{^4Cuhgikr5w61Gisp-fe5ydjGdjIC zAhf(A6IIhTev>3PN){D~ zQ{*Mc=gOy;Q53FQ1!>Iefn>*N zwGXSp&J9&5OX6bzt(qoS48s??5ef}i#MYFYGxuMwMt($Sn$Xo}nOQwytMTSJj~Q=i zn$!t?Q_0mdA*>}Lx29~``!@4M(}ay&DyNA-b?V6$YP2;^)1;of#PoC5%$}&C5xa=3 z^NzF>*1(?rWJCj|UN1Y6-Ep17HbEYdlT8p_jG`{Xk+d$gUciS(-Fm$M-&neHnX&fR zFB9cB>4GC1lcP9yJBZ#_Ap)&^Ir_#*x=WHK*Lg*D6vdN@x@(dq^*`Q6P^`$zSTMqZbBC8#)dRQMN?q|R1A@YJ!SFQ zU|-`@;`RJQwjJaAcxBMYj}y-DDuI=i&(y7#!l=9s&?~cg0kww%%Yhi_4IiYY5#{L? z-4;@tFu40QQR+2oILdE}gsd5?O$PU$|72x{vx5SEJ8VhW#CTwmoS^QT2|SJcd`45s+fL)CQr^XfSe~P^p=@J){qr+C z$@sbV_4DM*M#iSzwKr?G)HMLXrufpFf475dH0J1Dg)Ue9ylDriK{;{G8Bm_T=bkCd zF_*asZkA|o{VHZ>=Qf9Q3uR2%gxgluzg3K?5_ij77-ZrAueDC3 z4b$+v%8T7$IOV;Xy0!pbUbc9*YiX?fL22_FXIo^Vtd{IVD+CO2&&YW;$8SQ9mPF8-i`0Q8ZfQ8tE{JYv^N4Ic_ z85vv74)cPpcU1!x{W?@f-LtZ`oLN-`g6w|_n0j@XM0_zC1J=I0Z;{b(#FcQo6LZ}5 zyV&+~R7>6}X6apq{m&p`7epoEm-=RHhq-0h#&JmGe+}m_UlNwZ`L@Zp|AtbhX=(kq z%{vnn6R(%zH&Kvt+gzR6*y$uja1WZ`P!RD?Lc&p{)A^L*6m7!MPAFyf98D8AWv()FVljJBTA^(3A=0v3+?un2bN_c_-#kPWr72F*6q>;>(#L zg0ZY}SV=JRZRwbrXu8+@AuYMQz>$3ddU4KyBZj1ET-2X?Qxbpf9l!l^&mFi2?OFq$ zf-XScCw(M?fqC@HXKN$8jP9d>p-C`pKpX2K41=V0c`F;AYb+AZaCR2j(LpSFvFZ_? zZ(i&S+!bc99Dq7{-5U&rn}bI7&9B*+ zIZDrsMAHch?v1cz7^Eeof4W$i1(zyPho>v&rtz+^i5|tR&_`cjqYp-)rhApvt7j9p zV@_G-Y4H&&R8AJAYkqiB8VXN!G?|E=O1WZpB%g+%>D910zs&iLzGTHtH05eiWf2|E zSZ9|woA;J7cugV3S2Fyki*7HxN}Qr%o|l;!<^(zCV!hQxy`vZJQ(!qkrbDSmxc7gExxL2TBv${9jbgqg=gk@ zMh+#`gSM;|@D>BDqisYuh8pu&d3BXDXczJ+;}*Sg;w@ZdHEe@|w?9Y@ zDR+U}3_BQAX8L&D>UYhY{WZca$HSk{#$|Al;EDU@G7xp0Auef%a zguH&h%hRWgC|9NZ@d7F~E8~rZD1anlK_D1yL|FIPJ>jO$4%;IQU5WREJL~9|ErqS8 zLU}XRYdnwLzeyo=U)o$W4Vf_KB@!$S7mH8WC9}`naF+IPNgsDWnl~gnct(W2y?!}e zbfzg-5OF3Z=YrXjmMCF_d1oTgYo)8A%!(sHnRFHI52h{1+RQTT}1`$jOL!3=sa_$(mSOU z6WQ?T<+v@!y>@5?_o?Cx6ATg%-&^}Gpen7 z_A!SEA1#_jpL&o+VT=O@KwMF1a4LZa7z_r{9IL)$K$#n)*4y46WW924qq#7UO%IlW zaKSe^NB!YLkgn3Ez!{4Gg~~{A;dC8a9%`V#)AJiFN(B5o5rTF}I0)|xg8!_Nk})XV z>d;XAc%<>87oq~I0$%9|hJR5!e9spu$TkpVN$WO^3kOnbiej)JZ0j2(r=Fd+2{|*t zHtuF%PZqYCj&yt_Q?PheVc~PcAvqLEEG022)rf%CTZ-W)iUL~m0eX$0xn6`t7(4Hu z&e{Kg8sb^KA~)c|D)${e*f}ZjsqVfD|Yo8`35pi`~U+W+E=y1FkYhe_Z(e z+8YxcIlbUVTkX2f)#2IyECv?AhPRD_bdt3UH|WCX&iMYJ zSkZgFiBc$eU;aCm^!|B|1Jg<-uZ!E5r)`YHtcQo6Wu3oWXwoCbZ-y_oaf(!pu8vqg zSFhJUTwh$$pX+i5pPB@yp#_dw1x%M}ld((T!e8g|vyl5@{gz^x?CF6`>0Kj!;pYFf z{o;9dOya~fb?W@&A#koF)MGO>IPnat(R4q&EmdjTF1T^WVoL?nZ=uTkPjmsh`}lBa zcP8clKO>-sM8F-WP%I$q;n5T|izYqvMrs z%2OG-6+au8)(n-;LAc`_tE|laNSC~HegUq{J-OX zTFrTaE59yo9=(3~wD)D68KK5iPdUZk)5f~sXLNE}{(2FFua~HZ_WrFp;tN6$>@LYi zGjWQ-b+FIXe9m7sjZHhw&M{AtlPA{+QTW~gA zLIh^b=M?EYvpneNASXCn`ph~Wy3c_IsBKlD4jp#9)JLF~oQa>LaKG*K&q9%3%s*ye zzmW~7X|)N8LJfP3scIFHazFnMAkYu`oLIx~)8Im2KtNB=NRK$CMAHmWulIsSAwxvX zJ7?S+N-GNI;)Q?_F6FNwc4Ky=s~~Mc1!$UgZT@ioK!Cy!63i^1jR>hfKj9=KL9}oX zJzfcN;2Ax}bOr?^Z=Setp$xQ(ON6cOahA+l;ThrGah1TAw*M~?z{S;LXZ^;QCodbN zkuzs)&c|fi%)RhbxaaW43}gsGx#`M4&v26o*c+DSV}%$V8aUsSZz7w;&eLzoiEgq1 z5U>i!Ryx-hDer=nm3i=bO}cvEasOxJ?_aQBFVuYa*E|s(#zVF`6m3(I9Fni0s!*ePiP@4hJMD$ z`H*fIq@`RRE0S)VzWlnoisQOV)F!<&XvSO4P>3A+?r-_PPz}-FvZ<=SnoV<3W_na% zs;jooIn=z}@H4mj?zP>)GiMKU9h`O`X3TYV&lZ&_NRv{%qtbWOJeybB1R*S7#M<=* zm8Q5JN`!VbAkR@}Gsw}iv>N6ETA)_g(fh%Va%pdT5zyXWU73(l6xzc3H$%C_`XqPm z;0wGz6}b3Z$;IGr**Jm2@477gqpP+nuO1=Qq3A*|FcR|Psp{$N!9egg9s^H8`Av(# zQUU%vdC{tYBRO_Su09!7)2QoO#gdZr+aZh?-tQk^hSn9fKQbXkt0ky(nly?;!zHK~ zNHHv^Q*JnYKOnMf|!o1?5h?T+f#D3#KrL*h=)&L|(4Ae%|@ zGR}tL*Wu*Y_5ta67JJO3%-lhTQiW-mCOGO_YmM7kndJVWjPn`A5ZCm9fI!UT+}r`b zfWo8-qiWeGHx0ZIbv9DVOsjtqdo$W%Oo9dk(D#-#NE^!Y0-_qkH9=O@I; zXEn!-+`#K!*je`_TOC3$`>!&I2NcD@LH9tB>DfO;|GhSah@uHy=rubRuhPdtug^Bm ze_=kp-%^FwjqJRilcSZ;6()m`(&rr?>m(QiwO#o%$YCXqi2SF5f|0MikaZ^HE|wA6Pqme z_q_Q-ALb6SA{8gg4tBC4W4~6#0QepN?zIJ2xVW0TKuzq#uxJ(k9N3W2?{D(0x)$ed z`}!>tF{zn!VcAm+x96?%uPN%r%9YqzRQ5^orvswG$Pk;{qF9wxzE%Cw3>`S9uWHo$ zhOW+nQl^D=xn^GGc866xOD;#*%{c$}2p{)(Q(--!lqFZ}q&-pgI(J*XjOq!+*I;?O zl%egF$TH+3^>Nwp{)L!~l=KyX&O87u5?5cZ(1~z0IijjKlKtwNevY|No1L;0*2<`| zex?&ao71b)bmc)^`xMT|lPNrzxbW@>KO?@ltFA8aCtQ3F_*>ctVN3Ug|_t zAx)0fKOv33ir)M(yj@pOc6C$PDp2=z$5t1p@5ui?zcQ;D-gft~=$@tpFQ-f%A-|R}`(V27K#L!yNTUS3J z`E9B%Z#JhZ#m)tXGUaPQPs8AlyBBtP)6%$KVV-@|WviX&if9z(Tne-$A$0T0n?Fe3 zT@ZJPJLcS@)MBz7nWa!^kP;n>Z)V$bJ!U2f2U`pP>n)Qfic{AvU!+Dn#RA$yvCJs_ zElUUBe@&53(|-#(p)EV1Zzbkoos-J9s5E+Yu>U}ZG0(km&%FvNftf>p`uoq&i1Hcw zQOD3Rsej9&x)&ere+`{);w3GxPNRdi{)W6Ek#|l4eydRu5r>zVhV+Q?aho?LDqt@k z;w`-Zd`OpY2U`lEMm4R^nkIG>_o%|_p1Kjl!t45q>+wKBbzR@y@f=jJInNpIQ42_A z)y!TPYY3RjAH1(#=P%x8dF0)hP%30bcWHbkuW@HnDe}fdfn85w{i^CosR?tnY0VqL z6~V6GBjQt=o%Cdf>f(Z?iP`}&qic^XC7PtUM$|a$h|j*0manRs_lMbKn0E_YZ$ssi z)k&xERefD7rCqlY$bo+|G&c&F_&?Zt>!3)YHcuCKr*U_8YuvqYcWc}lcXxMa+}#}t zYZ`Zl#v6BN++mCUzM0vbl{B6s8`pzVZDdhQ7Th3pIL@e>v;$_d}P(J7gy2G@O^lUF%tkFJ|7%r2R1#xdevkVmgbc8dW7{(u>yz1W{GW+K_OvpQx2 z%8N;G65*cCR$vQcJG4OF=ZQ_yEJ>vj9o=32H4KzIl@U?6K!sPr9bfst*>eO&rNZ+t zRA!G6ut3BHwcu$E4+c&Kjt>6cFusbZ2yGz%el|!ib%Ux1$V>!%D+&;=v5|eagZh6B z!$_cE7~w?vNxW3-!`=)2YZxMZ7=}qC5KS8W{%bPTgg~uu!TpDS8CPrxbtJt#y3jmb z)IQKKtVsDld@JD}w2uJTm2Lu4`a}Sf7LQT}=)t5sU4no57jeZZP)8yuV8^r0_riiJ zhw==6Ain>JS-2et5m|8Z7w%d8^$RioGC#48Tj)Lk->3f;_LI1|BFrJ+!!umdE>ijA z0ozHEFuzS4tmRk|eZ&A|asb|)#N&3&*1SFM?oqGA^0aF=@|I3-rZO*Ex$ z2O0QSRC>VPz_>duIN3Hn!Meg1WE_ic^he*Ah0kZuNw^oOP~~XI%*t7FkmTDKEmqOf zPS_EDfRuTDspu0rG0$se_D7Q+vJdK@06R~Og@m@0g|Hw+&FgZWfN zf)sXt&1HZoVi$Jc@$0VZeSDOA2_Fo;K*-SRQ@9F`=qYXsPc^1klE@&JcmIVs+Ll9E zfihm1;M6#0 z$?tiw+^89xUlGIt_0Nkws}>$ME0Df$^VzaP9#-2#ijIBiss+k{mQl;1Fbuguxj`H7 zR3iU4Iz@i;$AGKIKc638TwfkNlnpiNu${DYKGao`v9;kB$lmM>xe4;A<0Ir?5OjPR zU!#R9p~Zj%2TTu`BbokC9I*-FxODbOX9x)D=525qNuQbvlTmZcP=fyct@kRYY&?8R0xuy~uY2;6fvj&h%Zs{S;Pa<^@LT&74UoUeUod|EM&u6I zCt0qvyap9}*cntzW!bYYz2=NwMt@{zkcbRB+xU<(racTgX8&xElK1-$)QJqUQs33p zRrwoFA!3ANJCxM1$<#9VOqx6cWna?i%i@$ZZrty^7{6j*c~-%Mx%7VTHq+j!kW9Oq z{ZJl8(8NxUA%KF)bW|wHLP&6A6&oEvp`jAZBG!8KT}&GP+z%^*LPgy>qmk1(cl2`e z5bYhv2;*D?FH&6pNsx9cBp+>Zp`=d{mI+~@B_zPKcl-ydzL^^V)CPw6paH8SQ$Bvd zKb5~0({L-DP$AwiwCsnJ5`<(`GobaC+1cDv*V^ay8PDRO?kD(xlS*4ehdyrx!^_j% z1A$$V?nX}D^4i{kZ>^oMPt)tx(e>@iqiYD)dJjIKLGBLmg$n)=E32374dC&*`%mG29xAnF)M4X4QeO4OAWH2MAB`7Qcq-Q)GC%@S9 zjes|FSb>PEk{6f_-ipq*$Vy0X@PL4XfC%zPn?mlu`-)2m9W*1!U3xy*X{p^WmEZ~X zdAjhcsjC7lpmm%XFp3h+pvZ94V2VPh{$P=Y#vq;OaUZ)sC6+fK3aBQtJPyRV7HJ+E z5by~__)8?g93G-AKP_@6wMnjXoi6EkNx7tfqZ`~k#uo?AcM5l-g90+16U^6L4=B$? z! z8Hk4_c1xMKPKroRv?)Q|a(j79s@#XXYDiDoz*DU~!=Aj+-n=|V=D-2(095)9pYMMv z`vpRmq3Q_-IqJ@uf5j`qPrK2QfLxW?4ildus&{>|!sEUU9Nm;Lg^HBu5%}r!qv=l^ zDD@l6Z?+nH$$28ZjQh_7aDZr8509@M8p01Tb0R{dbM(mI*;^!e{9sT*q8`R!pccd) zGUiUR=~ELf=IY~D^+8=ba!akk6=WcsK0BKD{}=mx3XEINprOqmm2uchU|+03TdYA{ ztwGP@b5cQ^B%yD09m) zA2%fY^fB=fHb5PYGMpmJ2k#ds5htbD_^{vne zl*-bMs0O1QPrJ^se&>t*tXzhQ*0;L19`qdE* zEbW|g_9brFm+ojvoQ3vW-{K?=tSz12zDpc5S~}D+4SMgRW5Wo!X&_IsUE|OvG$(?|GkTk@;`9-Mm*x2 zkQ$ozn!!|lCDHvweehn6e{H@j$^8)z=FF^v`jJPJ%q--O2A%7cF*@y+?xDqe#riz` zlE;_H)%~JD$wFrP{4bLf2fKVd9b0oTy)~H`Yt%bW)gxLQHDsAtMx2km^Y=QFL5D>W z8ilZ%?GcVy{fnP3RGmd)=1OL`F|xB%W=6O%2=sLD{t5ZOCk~HC51}$f@JwpH3`BG# zwT0R~Yac{>bJk1Y!l1MVE`fbrLhmoW`;x0*rPWMOOkj~EdNac$|@9XlwoDll?0`VW(Dp4Xm^*>Wy6597Of%~=J5E!nrwYFx8wLu=I& zS-*Mh`9a5fj%9}p+kb<6JV2jMG^yy9v@UG=3T@0#GlmMzeEIj3?_rgAY;-Km9G=3~ z;O!A*0F6eplm2-*^@SAOXXZ_c3KL$sdSoZP0>FABnhIY~qs`u>yzwono+D>K7Dc7x zyiSB%e53SjkuP1no9kUD;qMKZtR6F$HVX<)e(j0q{&@oz6iW(q)0)00ecDx_$+1Sj zI1o~aap7sSW~&<~9jbqV;u2S{Emk+4+d=qtn+4QE`R!PQe41L|uAqWFcN@`ku(FcO zZ?V2VhaA3k9d{Jw9iJBb5HEiMdVQW|9-`Id6H(Tr?#w+G6BoSKUgo~`6Bi>dgcBEr zz8ZJ=ojR?s^PvkbcIag?mLIe)4CR)93alihn%$FKl%jHG)jdGh>o-LxhX@ zedtyXX=P~j!~-m&VlHl|nQiOSUnPXsF?XC+gPTGyDN5*ICpls49+}$qdVZfmJIG&< zmt4n9(g6P|zKU2t?e&TC)*blk<5`*EMofe@ijqgj107Tb^jktp;OHc)5^^d~f8Dad zxGnfQ;0ZhF340t>22?QBdgUqt8>s^ui30KNgNW{fGJ$KM$9%lsziw7|?66mjoxdr= zdMEUQ*pLGM-R8wa95YV2@-F~TI3`G9+w-Lb3kWFxDf0Ck4HW#idEoic3dRO;h_d-h z%Gav22B;RUNSzb-1FoCUc>MwGSv;i8XuL&$_O;&|pkhq7z7 z$xw1@1RB9-sgv{fo@7dK!|L08Yv~oIOPWE9{TUW58Gt>V+dLbJ7TflFu;WiFp_gdy z&a3fR9>tTD)%_*YIJ(Dy%Rmixv;s375yg_O<2Wf}MK)=Y5xcw_mE~(e(UU(=ex|kYZvwo?N+!o$JR(p_Adbg%v6`@r%J(Nv=eZeG z@!gyR$_jZ!zRQ$b-vj_8h}x{3&j)nYLC}AGDvkArHM*q*fQ2T^?=g|kS01nab^6Yu z!Y9LsXIw~tS?Bp%Cg5AWMv<1k4g>uQ*b$g9lrwghoG};IO>j6Pn0*Nr?au9P*SK0(oL7r6NCT4chHtQ5dSSmow`Cj8Y)ud)pgn2O4p` zv(*rcN;cqtGMGkPvjt@JGgz%KojR&fF{9xb(?s_pl{HgVqNSTQvmr`Ip zZV3SnC$$L#6dWSJf$FvX@IMUJiE<7kWeVUTPt?W_W~Pgkw}Rq<$C*DS4qi5yKc9{u zfGT2k(GCNp8IPSj^w!*LF)1iPBX=(0JN%N4QXbf&5W0NtWv6tJ&j#7?u--; zYPriZ2PA#C-4+s=RgBR_LK#)^87#!Dv&Vs;BjM_>2;mJ)BE)%fItYW4(B4!o=lcgH z1Lc=j#)ZaLBLsJWnXgSjnfktPpLH4eIh{2R>+1V}v%yI-zUXw{fw>KS2nBa!(_Kn)}W02|{6ShRqw z5B7{^9+G9%$&OlK@9tPRper-b(qEIENntsU1T`Ro4D{p^>c0yB6STX^E96U;{QLMX zyW2>%KI$1LSY;MKVSi$6hRJ`?mHo~OdJ>F{9U z7crpbMTq&|&2n$v*jX^}p!#wt`m%B3Z%l1^Yr(V=8)BielZqv^oxuKsC!f)<$j|Dr|<2j!d}HHAOwpP#r4Wxaj$#Hs@Z?c?_K zAdxM%6gj2hl)Vs%`S$YHkRg)FPJUc{_hIi6*KwSrl;ieJRO#K@T)rVC>m1QgoJO*Y z&q(E%MYrqJ_Eg_cW2f~NN=p=^JURe$h}+zZjbXK-*lRVXL7 zKRbUO;;s*tYrXo{`C7-0c5XWbUqNd5vA8bqRR3QGd|M9uglT}3FP{5eG2G`HwFva_ zCT%T9w?Vq~FIevIE{q{a>Qp;MVbjtLv;s2vqSTf2z$x9kpTAR-@dbXkJ9rSX=U;cb z7H@TlONE0*8HL1~DxMk{X@L&p;L*bI?tC#aPuACY${M;urt=od+mWSfcVcG_qN~G0 zcW!|Uv;+JYu~s`S70J6C2l}Wub%#PW)P zg|&S4rE`x*mpG3QdMJldSiv$TG`^}o;YBI3D&@lR(e$w^Y~jJj0@DLbJIBv?5IX55 zqkF!_>WgrTg=CV@t|2Jdj-f2HAOyIkQt({T$$g}Gg``b6J*@qV?87d;aZUq^)Id)j zlzc;X>vCn+dAL2hm_-|*MFz+b7*ywUdL9#K2p zR6SlXG~l72{?4yTe_EDsg1AuIiD@DK89S`yo|>01oURT{x+&C-*}~B3V*_qz%Z{2C zeF#SQNf9E?pA?ws?d=C~ry>OX*yR`>_=v&k46|5a0F7co=7}(e{%D{vl&I4)6b-l4 zPLvLD0Q+CHt#|RqjL?+br`II1u;m^>-c(@D9QR~XfU`-twU8_U{n*;vw4v2Y2uzY3 z1AP-2iPgplV40?LbFTM=nAZLSZK~v z4D4&i92Pi_fyy9B$C%Obu1bS#2AMYDDcQa`D})Wba3kD4NhAl}^w3%j7sq}c{*4+? z-^&DMc*`88-GDY~wu7+J}1OMQahWLw>Xc0p3^oa_2K_K{tUI8;5(?VCtllw(%xtS-ERp0kapuL7N zYwUwmB|&VS2J1hUwIFWR{8@*ChF47(ii4*HUl3qpPMZ9%`7(JB=vhpmFn_alT#J|I zo({O7wNTOli&99FFIU3BDI07RqQySrVT0VVf+;f1ae_iA4poD=tik=WA@olzHc8nF zpi&)kt|whl`ypoe*#;5=nr$aEj_$9*r>^SoE0Pw;T1&s`QYlx!pd#Br4@phs(%+Sn zuM{dr_vbx0f;Ff*5wHl$_eC(9C9u;)ur(5X2GIRjq~rGOj}Wg~-L??4M|oq1Di1XF z&M{t;AmgQvznh-=WG%0cf9v)0>X_ihFIi)sez$ewujZUIj5^>sTdkc?2s^&A4z_Lu zb)~W8wXv3R*{j%_v2&4rZ!7l~-FqGx;N$4}1;`=mZJSf{c29Y#-HDR0m76j_gDX%V zx85TUi9C7VCy^kEZJ+~xn))FB+{^FAEaHdJC#b0WG{vB&e?!4nHar&P6Cd3`0|?EnVagP$wyrMTG!R3q(4*qcIy_c#AA z=Wf`!CEKNnDR=USy|bMee@h&I$M@g33y0oDF_W+*7m-<5Up9q5_k8bub`&U< zIGAPNVYJanW58Vk$%g(h!cCmjq&=U|B;Nuychzjx3igbzv5|C5c!2-addwQKq>f7C zFXY4ei0lF(A9vh%(zPKc_moM}H3=s-kuk8Lv^&*xC09rUd96li4gUXm$NSQ%oPvRQXO^-zPqH;i7y=$IC-#P@) z{*CLZnjgq%fkX{I3g*r?Snu(^--DjiAFZuHCy>wwU%-)?5~|cW&LV z)4BRjhwlUL0pq%%l+yJ{ZD_2`YPfOMbS121DlBv?$QoQ`>c&>qH-U>#j_67*wPqBH z5#^ax6?E-)M%}WiL$2z^MEd|Smkx7^5_c%`yyuvBR;FrHHw>#wwIv`c&D9 z{|0uc5$qzX^ksqQ=6@8|9sY>xex{l_W@5gFpLzCLaFY~XSQZb6QvPuIZpW`ewN)~) zmc;%T`fg@!y6xWsBfA-!Nss&)o4qeJr=lLMK(>ci`M6H0m@@mp`Dp)gKE%HX-t>(Z zs1Ame2^Rt@>&8s|G9nnd`sQh1X#_5paL=kR9JQ}>%q;<#FPyh@A4;DY;CTNBZQlCk zV*c-2&yCfV-5RK|NlrW(LHHmUuP61E8MS0pKqS~4Cf?=|Ft+=@4(x7cZAgDPAj(z& z{#9P{^qH#rZ)}e*=Yf&j`Em9Sb1&>8D{t1PLwU^qH>hh`KuG@))Lo`M7N7e_?%tvS zw|OijykQ{T%T$FlSAsAXgy1@d7CeXM5{I?JMF$3i|8<+^v_RW6_vn=a0?aNbb9{(@ zw*kYkxao3D|H}Pvp=0`QA8eU`XmZHjv!0rn-*+xbJmBck#or#%3}%|7yFTG%US7{q zJEA#ZjTgk_z>>lh#Kno*MGUxNxRi@idv6zbc#g`d(DuOO-+XQ~z!T_cekgtCW`C8w z?DC6SiGsKI>i)2HHnrv2dMLecju{&cm$BAk!DShnRmTg+d5R{)9g4T37834jnQRRp zQO;*;n}=*n;loZDz%0l6?l-0n`k1k`rGH0^Z#uPfdw*h!#Pj0om$G!-m|!teA`ykC z{p|9T=G|3(K`Ww}1~e2{;V zLjc+&CJ~o(F7XL37;0We2v5--*H)-&q6zeNa2`0I1sw1N4(PRj-Xi3IW?EgyL$HE= zKAKFmlAp;l^^ET!31^?;IF}R6DsFH(_Vj-B;qOdz2T)bo7ISVS1_A!?you7?Hs>iD zz|-C~`_JQ_-7)9$OAWpAx$H>tH@RjRCt|PNcgn+GxmIbidwHQamqTB=yD17+sDy#) zO(OT4%<84tbvPf-*2|tqx0D7|0mCTBF6!E9j}WD2 zFgK&glY?{Wuop$R&9EZP!HvxUF*`}v2on3^RPFhwz}mbE!*NfL)Gx*p11k4vV zd+faA6Dz)AoEn2n;SN{ZbwXNQ1I4qyF#hh_Z)|dxIhd4 z+%M$6snB5`M#R8;Srhy(YSI5BML>9)zuwShC)xzxuge;Bd(n)$F@-#y7uRVmm@)f! z@{dWnmq_q;bq_gw@sd}0%+=p zxnrRs>+`+Wj96L@<9@xRUHkjf)DD3PbLT`K8VM=JQVE|a2{i+Y_8ti2^z9Z4BAsRA z8D!s$-5J`VRtr9H$n?Zo=I$+-zpGT%`<|&8Xy8QnV0xaJ=7#9!E)O_1(eyLM6i!gM zMyz**M?M=w_Y01N)!$!^{jn(DD8XTPTxEaOfPTvPDRtU7{fzWXf4@QArYHV;B7Y3> z#l3f9Xphv_!HB%&txfkwE$?ZgF5XL=kIQfEwL@*wmrp;WY#481niGC?7IJNJUK}C< z7T8u`3@-{VSYrB1pu1jg?(2^(?X~sBVrqS3`ZtD}*M7UULau|RN%A&bnm^myLdA35FqiE->CGB7UwM^?DXxg zLUgoJ7BMON5eeE|xwUoj35;<^02XIpDsN5Gv)m4+i!%vRv^>K$+>WxT)07x6p_(^*wekbQqjmxpUUjwWz9Y`EY6v4p3of2A9WHW<$~>wXojBR6!UDXth-BHh z5a~|Mn8qrLUmU{2nnCV?li`bCs^$T|K^81YKmXT-bU7;lNR=duvd-Fw|G$*b9fA8l zRYJGjj-IZSe!R~!{`r>J?L^r1M7ZTdxa5|2$1}c;@T(^B|CtiHBF#x~irMQ*6>Oq1 zF6tu|Sr;lRa*gBnyiF_ckQU7+2-8-j(0O`#1L@4gdU_+eZ1%CPO;_=|`SQ;=^N`?B zF69BjdPRnH+7;J|SQ6Ot6c|vI9IVJ4&C>WYt`@Bl;i4-@XNxcn;p_S9xFoUlyiJ(~ z4)MT~MKYu+-lj@A2buMJJ-rC0W2CcVnJiT|i@&vVrx(-?k7=DM-P_j3DZiOa+1+S$YVUDl0!KeuP*Q(p?%B)&C z>p}eEhsnIy3j`#;b;-MzyPGA-clVxG4g>(V+2gC%IkC7-&%qts0EJ47X7kB__9yul zkm7sAij+y|=0KkE^Kb{{v-51{;8~Dj@MOEMZdznMety1K$!jmDB`ug=nH09MES7#= zudWJj&PiAmm_?rJ^eXcn({~aO8u99<_(X3J@-+rp;WfcNxput>Oii3}6SN7H zYZD|_42YC#^CD(HhiqbaUGQzv^`qVL2kF#Pwu%InI0+F`x|;}*Ze z*KFdeowWFnQP>i4@kq_Lz=8GjYjp1Xb?<}0JZ?IIL1fGABp@7JAR%|%#A=8ru^z9L zMhB!M9z9EAEA4VS=|Pr3zT!RpE>1bZ8m&Iuh`O1(P;a^}sKz?t%%)TT*p?+UAzB=b z?lU%3C&0%G@cQ!mEB!939zt9h7SIg8;nkMh-)&Ya1!ETl0BOtmShSE1a0Z`+c{X}Y z#Zhu3Hj#k>or2Hy0}suM4B%lL<0YoiQcL>84X++!J+BmcS0v*Xo6M{CKs7Ja3ft;C z|MHMGcwF5&L5;*yglugWpnQ#i1Uo8u6jXBqDlCif5Pw zPU{J?+Hw`R_^{odg5TnWSaSLhPrUIk({6!;cc5-d@}U5i`PVd@s9~`Yz04--39EDg zCRb+KKNO^<8+RuR-UV$e3m8dT8@`&cU!a+!LR8kX}VGrUY8hb zbD#VcklrI{)?E4O9sDM?DY$H0W`8YCDxR$j6GWwverw!(S6Cia>?U(GTV|DQ<0z`| zZVu4`ZD_gdu?*U> zJw3m_zxam;9(PP>>ZVq^d0gbJmbu;MkxCQ?-1v8=UoToomAT%#(;c%kyA8|8ihM|8 zPmVdf=iE#8@WogDE_|ft)z-0tDu3ky@y|M(_RydB!kPJB_8uH(!-|@EHhkgadNP(k zD5ft}tJ8@ThI&F3)n5-bXHvaV3|i;f=TX9B5FN@s8sz1I(j*&U^YG$t67(T##xz-| zvRl!ba0mU3pC-#Xk5QcYq)Vxqjjz1~myp522!a1vB)*S%hB{$6B+sjIeTr0|s5$np1`RmD!{nnR_B2kpRWhbM;fCrC&euSfmYJQ(}=z**J`AbsR zfyENnfyE!!p`He_KJ62oNkVc&gA{PWbRu$ejXO$6%+Kxh(-^H$P_Uw&DKQ!e?l)}@ zoIGvrjyStZMvrNJ(^h9V6%~c*u%hg2SevE0UL)qxL@5<1;0KZBq_p{|D@mtaoo$}KFgO9pQ z;)F!+<&DRN;KnX|7nEsxdrVt>i_xA1ynQnp0yZvRUxN(@ja1~h91|SL_ z6{6bI#*qYC z{5JMC5eq^OnrPrMPo0XWS%f9eYi7YQR{!(f>gqXVHCShD@5XTZb%%`YZj&pxJ|HH> z!KTfG>^+yWE&$X@ocI&7Sy%CC$L+wdgz@I;J%YOhNb8_Fn#eOw#_06jT}yW+@`FGv zm86e{H)iV2tbKKjZr(Wc8xz;y$-GoWp|qT`O8ViC{nvXrv-niM$@rl~Jsrp9i158L zYF(X5J*OJ*j!?s;;2X>u{nE( zHeDHOH{H{eh|@6v_ptl89fD*ml_EK0?{hf~ro5~@toaxCvKcJJYo@#q-RV~$YZTGJ z5#W)mWLWvtdN%E=+*4wev_T@rku2*fU>A*lsfDo};QNR^J}S431WR~(m(k}SW+ScL;DRl5|` zMAVQ5#MK@)D?=^2zwzpBn3{`c$d67nEt5h%yg5HgHnZ$JkL>{?*7jeXILsQC`$nB5 z!+0GoYmMgKp`I9mf#sh6$mJrx+Ba>6rraQYv_P#c4LH!YX_kHa*z|KLr>DR`tNh|B z=Dn_U|6}}V^V?V`fbiU}kDqorQ3pOn62__r2;w)4n3-XVBbJW8FA3q>#S7UO--!ajEI|+PL+P4r9ewVz*aS1DK?Qc?bj&b&;hy@tQ_3cz!$g=0-}WAoDvM$i5tbh;7Niv)^4vkp&Wit_a1w1ecP zbI1;c|Dql^b4fzYW{pH=j{Q6IAeqojKn)d`c6-ZZOtsHtANG%Xe72hrjYEXFl`^{T z?u>5}cLv-vJNvd7FctK@yY-VI1mpTZ)NB<1rwhym?Qw*cOUPtF(^lC0j^8Mv3B8w* z3lE&{f(|WnUx_`F__!6SHC7U106tV(b=Jh7b0LJCLSkYiMxaox{c*U1y{^sMX>juOC z=9XezR)MD`)bl|m@3|HN@GO(Jt^sD-gJ$t0lXpoM@KXZ}(ExV-OrxLgcsk}3!uTd1 z`oil^4YV7787~)3mM5_8_cUuC5lof)b6+jxX{ zwzmrMCfp2U~H!%vlu2! z=rC9>iWV_8DLjPe*8szCdK82NwV7LD>^iuw)N#hEk$Hst=(wW1_ zVM5;F3fY>Tx_FcjI4RT<+GQ>m6D^g@v%SYPT6Jn`&tS)yfm8K&(@o6rQ*C;!mQ;Y=-!*|Rk9SoycEHnjr$ zo@G8CLvm@zRg+>4=IES=$H(9myOx~vv1}R|v7StmGYyw)+EutS4YGV%VwN)v$KFnz z7f+{5`w(3@{H4tC&@IaBLL89_fT!%sv0-Oo+ojC*U?rNy*Grk719TD3mz+hF?&h#q zwdA^;v|Fm`#@Jd9CnS2WZ}C(^pGjjhq@TzRj#u<8asB9NqBJtJi}z%XI1UtQ*=zI% zzFjgR`{^+~?N$!XSjQA<;7ZoA$CfBr%G@i1;V$V~Mkk_oMIPB(Mxe?P4-IQaKSsN0 zIbJ2mGU;PzRgq{(wnGRAurAQW z#%L4^4Bjx&yd+#;)v`-?o{It^0TB+yZ>a}eK7O+-CRnv%MU~x7t=+FDF6aJf?;$q( zKN^1MFoyP&^lWsGSZ6-wN^N$ZD7GxXqe>|V#E~5tcj%s`Ms@6obo0!>ODF0hGze=U zE5~DT^!VZLnk;KOy4z6PGmiVfHBKZN?G&uHTewkYAU1)cVc7~5VB1gUxNy(5K5{n+ ziatBp_{pD5**-fhy>%w#7OY36<|<>OsmsbU?H0;)#VgOi(@=HAi!8v?sD3n2x@8%) zj(*oFjat4|oMQ?TQjg`!^n#{`p0B^&-yAye_s1@#rY^7Kd2;%O1Y9Jp)sCAIPcux3 zXz4V{*{o`sqUN#7FWFg=^{=yUD~63rR|L3RqK}T_Ejwu&e!&iwPGD4J_(&%;quEl& zi1nb9Q6Q`T7FpBjHH7SiJFhY{ht#3_UM1A@NOmHqUo5Y9Yv_oXB@k%EHPARNyGCG+ zw+Ys_tt&L^W?rcWPTG&f;qkj_WD3$I7ULlvZ#`QgI!v{aT$a_Po5@AN)PhxCZlTw zp8~BUYPL7Dt6Y6c8fzL;pMje8BBQEyT3}I#S@&sO%cpUk1lN0yN$Z1N+`{p+YXq`^5Lm z$IZr0vRS{`*P&LSOFyl2O?BD~HJJ9G)70$u2~q8EvRRdkdxcr7^KHRwgfy0oalr}p z)p5NEXJ_6`hc{ERRkOeo%1vA<@$Bs$mN#_%qAW{^%+(B>o{>=LpmYfh+~tyx@T(4s zGC0n3Llq1g>g^c_yh_9T@E};s3PTfArYQ0~H(kjiMxwHsXil-*Yo?j=7kiy0Xdf^= zaiL0Z3scZ47J8?pJz9=Tv6+k?8tSOVeR?^ei%1o>5e>0M7B)AJliWUIRnF@A>Gc`8 z6@RW&R%IW{&x&8>Wwd>*IOqkN6H{}wE%r(?QuYpllC@J1sX6fswh+{Ssi+P& zdMuoNmX;F8dckx8?m8i4vWw%T1y9}h+5o1wh%ovj1U$KZ1HN<-dURCOPc3i!ZtP2E z6=WW_N3$7-`lqMHSJo&dHm{~w#YMbP9uX}a>JzjDtKjsVp*y0BZOZJ7<|^VQcg0l9 zQO7>k3j?$YWS#vhyF_G6q|}Ia<#QfOMgm)1r*9G2-qhv0U)2n?;58SaQWI6K_-kPM z)9rHhGsXQsGnmmqCA`IHqqoWKBvdW+)1@C2D=F;T9W?~cZ`9|!GYQ>Z-=_FeWT+0X zn;p<;wM!#UYEVF~q%YAyW(+u*FW)&P5}+(Fh6^4Hzi>;IL_I(6y=9S+HRFwroRwQi0?O%u*xlll)CS=!^fv{*cb;wZ!& z`479D7+(`VsT|$6R$d>iUFH`YE9j$@)M<>j78d&O&R%Z{95x;wW5gDN{;fn40-adY^xwY zIn8YeVPbOSmHSJgaqi(S`M2&1tZ(bR%{g6VHPG+W%_(91)C3&XLvt0aFs7E}^}HO> zg|Zp0(sSzS?8(J?b4}J6uFpCx5ycrV0x5njS8_==Q~Is(b6nOf>B|7gGR`WQdf^>i z&T0&U1HNd6{m%+A@AEH=zJfhnaax-flX=c5tb40B>ai(T>2IU;+y+zJ2DALe;pKQJ zcACs~nlhK50p!J;EQD4L5Gz3SixXPtm17bO^Y-9#h)kjO+_m0G*pN1ca?9_iEJCEl zbmZrm3>AZOO)8=$Sp?n#?zQTdHqJAW780AEi8OQPjFX$T183dM?-nA z;O-7s#A6i}b00NZ!EX5R&vBI{fl}2FslNIO@ZSrb=F$fWYW>bFl3+C7s`EO(Q9!sb zV;q7=5iA0MZ#zGALH2yYDbn9xGx_Ywh|h}^n+-oS8Detqv)*azSNpfq!Eaft-XUN` zC-M?ON1j(>f;Nexlt;8L>7Mv(zS zGcK$F5?wtAU~c)nd5RABnl+y{*~>zymPVY6*<}`Hkd`12d(a+;XXKq_#o5~Eiq4X0 zgx1LFVu5Lrs?6y`<%CP6j5gS;RVvt2epL}9OHmJT4s{S))Y?i*u$fE~qicv;GB<4l znA>`hJ+~uyv8;gk<(gfTfNKJ|S`)F_!MIzqzZLcJ&0V$Tiy^joKzmBY$ePT=SG!`f zf+1!VKC_O6wCwgzT#ISfhR)QK51grngwbGevnRBw6CJ+=(mS5NZYTt3rXTshY2m4G z^2xTw+q($>^Fp3KOa-C+7APV#Y=c-NU0;8}t|HJvZqkan$yz5pPakcmX!s=VO-bPz zm&X+|rtT~C(q}UYnyy4ZB@e>e8Bb8jy}G4WX5cYOjBU!d7DkJo;K8*LYPrW1^@>)Q z4|-0IyUmVglBOoav6(Xja9hKUYsnf;YJ)j30=Fz~EMxiA0uf2I<^iM;{cuRhn0GriPxta4P_9c=MeRP1-;TZ31nyiV&eGF^rHy@M0a|Ml-R$bLD z3d-Anok5geMT|{!U|@rKpLVoWD)3N>Ii8MPi#3|gP%9N@ljShr?C4AC_bW2TA}u>i zuX7J4kVq(H5aJ4?OhV_0iQ<>`Dl=O2qBHDkq z*+ZYGp|)UQus=o^)JNQ};L=!$IZio^94>0>*8PIme>QaP(E0AGKh%@4sNz(`V+rVa z(N-+kSWwf@JCf0KSy-_4399O;htApsrB@*xCYa#gw=5bfl8~3q#MGE*Zr7-dg4y?E zjQXWBpS;>$U)e7|^#rj@?MKVC=rVMbC7)|jQ;qZ}Z=JBeatyFh6GSq7J~$@7{+y)a z4e+c_J(veH>hQhUy3J&%YBZPJr<;f_aaLAp&tbGap{i#!7U~RhR;T*hB}Oi&l^(#> z^qa45_G}hWCn%_uR`gq3eTE;a!eyJ6D6fdqU(m*g^-OWol5ekG*t_rByYuiZQj1G& zLX)KJ3pnK+TcTUdDIIv}W4el-T78L5%pRTgR5QmvURHk9(yMHpXkOrm9B*F8*V7Ua zV#@cW&wm4M3?Ca9@W_}SN}t~e4qTAdRT0Z`qFJt1uBE*NpbqL}>r!_Ca!2r^8~j&m z*wvk39O3BFfoBfoXG`-2K!YFr)H#Vg|85ub>Wu|&6aRx5$R^V7XHa94>0dO}*#b4) zy7OsjI?0SN72@SgF>o<0D|Naeg;A(A$T^h7mM88V8CHhpqu04(DR!aTuA)s&gVdYc zJJ0&wVX`K_lmN&gM3|?!vuCn^XK58HebiY*B0y{5vKueqtpw1_Tj*}^z_d@7o3geK zT)@+}%MG?`w0K8j$~r(jWe2sbAGK$R(W!rxMo?D%+xfMdX>!cZkV;t{zT}{N zi}alksl*1n>w()sk`G$;=4D}3@2_-ef z15|@k=@?&*?M1cxU97a}+QUDY$8gHoiedgEH;L$vY^-ifY|l0j4F!q}-=vNmIT%!n zo~`OjIe`oFsDqVI#Qzu4-U2F)CW{}9;E>?%?(QBuxZB_|xVwhn3~qx5g1ZLS-~@Mf zf(3VnH+;L_`|rNpJ@1_N&iT##-CMV(s;0W9y82dkSDzY8Arp^!rzMr#)^aU!A&93u zs2EGZdW8e0aD->1Pa08S%W<*t4lxOX&ac@OttICjIxUTO+M3#2<8_F&ZKD=U!;LD? zJ4*GS^$>jD#GziH#@)t|Z1>KRX~Uj#%@T<j8cL|c zA9H~hWEJQHFka^Mw`rN)QIFNsYcTMQ10~;vA|EZ+5Lor_?hYSJIP!)%y7r4iT@$-- zaNfwOs;7^+b=9&B=S~1hrOMR{XRR<9k{5D|pEH2%iZCyG1pZ(Wue>SZhf683-Qq{N zN2~dlE7gfuu_rj0Z##>+5gdpH`?}vi$zIUMSNqC(b3aWg%T21)XVT}!2bz~U=IHeK zTx7>Sq+zD{{6tAvW!ATdtMS>tG_sp4WH`FG!%i3_cF0I7Ube!jm%nJnW_Wkz?97W^ zvzTxnL#M;{t3;FMT!h5?3oT_(V=4=ii-goC|Hcdc#>=FS(gg)yt`|(DgN_yF&YEF+s{x-hQ4>^I=B5*Cnud+!=dvao*+xG!NHTGWi7i zjjJJQlP^cQ$~mDP7s*``D@Ng_E=} zW}CkrU%!*B|29-Mfv@~7^`NQNK$!Ut*4;~!Ny7G@ES|cQq+{7mwF+k2COS`{P=LXx zVj9<%@I8BVSR!6L9le=O6+!VzxsUVh{T*&Nv#aH~#T_0z_08zV9qZcW%Q60ePKT}f z>ISE#6o*xhYl36u73I&HJ!Sl?;oE(x35{2wWBujA0%zem{vO6y4KQatjCPotAiY}T z%-h~_aRK%4xt9Xff*G!FZ8i1XT=xco{^9M=OO3V$0(y~4KdmAjVZL!R#A6-`hm0)4 z@2=Li|0%Elm%gkZKz&vbje1(s>j0RI zLcZA+sCb9g@JKMzJj8`YNM0AzUJ-F@G`XVC%nWF|-0kQWB9_zXZez=R3O(9Y_bj_6 za4uw5uXtJ6U3$S@&dPm=cAdD=<)!d!LQUm*p0^`ds3q4iw!yD*Q@5UrwXMy6;#pp> zK6+FKJFfCRcGa(?c%t82ecA39OCnlfd}OX0Gk^aU8}?#U^$+^tJ32dTihE%o^~qZM zqmFQ(?Qz>G{@vP#Pg2VjOPbtovWs3eC5f*yl_GW$?E;Tq%0K+{N-!d0`Z}U0T@W&8 zYoeC!eS{8At3iEG?fP=9p;ha6vt~+NwOVgsTUB@ci>$cESN+GN$;x#Er_H@`H0jGC z(bn%PKPSH`Zrai>US2CRFS*`sFW2814Hc2BopZw(Y=a7l2RiPZNgIK7FpSb7{WyiCJE%9|o4ixw!5JuvV89(%Xb@`4tDU7TQ{aoBj-g<$1?Pia5OJ4t7l0 zV{H8vphx_6eTC{1;%2-gP7GG(hFIhH#q<)ggP(4e4+87wksnNKw!2MqFz7J5s@`VBUWjIWtE-Z&sJM|nwiTrKsS~5l(l=@LcqxLM@C&< zxFU3_VM_Vt(dzm6?v}EY2i=>9cx-nge9v)aYXfV)(>}t*_7@r98^t{mS@|oY1qbJo42*Yv-avUw;$uAuWEz_QIp#)N!C20`kluN_Al^I=*#;#x+u!Cfy>o^ z8vLt+=AeTGFzCeZkSTdRk6=K#PPPdx={u~h=>(-zjeF{9*1i?T0)I1W2XSEQ`qgot%*~vF5n*Y=Tw9!>A zw=PGx`OcHj#VhaxA+0Q^AY`Rb0T7NBQeSM&7#Cn@AZl&n%`sO*nz*11+1uw3bKxFA z!Di&fR_4Zb<;G4u|0S;zDQgaB4vLgUW22HDNEN?{L*=E{%K$Tt%3z!44=rB3y?M&g zGLON=mCm_2=MBRXyyjmRc2%c$)~sW5{|6Zinqi+izG1M}-x0-z_odkTLW#cDebV25 zrhT(NxvQz!{2*XpiPH3@>F%G1qqP&f7F=+=_!XV5=(g-rv$c~z$em@l>=WR4A?;*R zanyK60c4w_M`E(ApG~x*Sy35;iQ5;4>DF5zJFZO5GVueCo@vv-DpSS#>f#9PPg!_>JpCbpz7*ehdFJbhca z(}|)|FD(ElrFmQJUl2gnd`@~NLazD1hJz3g}PRe!^EoBfgZT7kFbh$r90hJ5bQaCDPP z+hL^V&IlbpOeU`?a?f;IS)=#POHyN7vig^-(QVIILW!|?_TkGSfJ_VzGH~C9*WFVm z{*j5ZBTsU{NV2olHTEr1H$>{k8JXwj1EMLnN4~mjA+fus32DS&-L1#g*_hVMMvqQ+ z!$-RYRd-yyC2t|fyldS{<4uvPe-?1Q(HX2~6(a9|CP-lpJyiARJKoLYA4r88({0ut zn{9M?Zt@!MVKonQc>^tAC#f&vqm~kvjFvp-a@)(A#mtMfk=hVS5O_Kawv;w@GyH&O zd7D(`NA@%yf9bxTfi4i(Gyl_AuD)d=XVuG#V4y~yYfHeH65lj|$XTvA+XZi!)c`QN z9h+oSOzAu<90-n@q0xAM8I)#nXz!Z|dV(?Ta2fn?-hbQ=z%zbu2_IuM_-LCzi2n!v zuzx=`#&eQF}30zd`cOH|7z3g983J#QZs(`ac?9 zei+K!;=H&V-X^I`p0$?*y`5v?6=kj})bN z#c%tas{fb{8Tc=J*MDh`d5b6GHSI4uqy^}mG~h76UKd4yCeFE$m^3cZNWy|8n0*Fc1{p@Kq52CYo?zX=t ze1P^V1RR_M#HumENzeR~8qp;gk)-1SVlimp{ug~7Cxdl_w1j&J^oL|Cqr}>rgVnHj zZ*rOAD4HhjoZm!}AP&e6weZH46-f-k5C?tsmkyC6LGH-?lnE0O*K@)8L5!T1=7(u6 z=^1`W&yQ@hu~r$8d{o*Z4l-!4WZw5l$S=WTbW*ZZL!^tc63JI)EaE5$Mn%)an+bqK zfB#MwXWEh>|Mwk;787sjLntk9(Kg*hd1P|IBdk=PT>}qxEh-bG99=@8^BMlG0f%Xq z**9&U#wrO}v~}u{Bfim||4c<}lUogBE=HN@3GV76g;psFj_Pij;OW_7nt|9v?!{PK zAI}

    %Kc`ap8TO@kntgWocB~C1N4z2&W-{m0zm{hC;}cn#0Uh))3W#5s^4JUP@uWgqWFWnDMQ_>qg)(Zc zGVkmjTst2tVAa{7JSbv$91@ELxcGBBW9Nk<0k` z`{`W^jn6X^LPHk^ZX|`>tmPkE>E*I0kX?8l$(xq72~$PNXBMyrmilJM;MC=(jbqH< z7R|)(IaYmJ9h(){!4EPgbFl%VpYy(ZV$xdZON7g#;&WM$&tNdJbFvY0Y~P!UE?Ahb{mLMt@xuwr-GRJBrMN|W|^j3FA-q}D> zT9fc!=Pel688>20%Kk) zuZlCADwi&}Tp6KB2~j5(!zCN5UXu1ulrao#CV)oyZ;FgBGEt$8jJJ5sg&c+xrfQI5 zKF3bb{um0uzT%uq<@>3bGRA6RcvrfCvPVKWK0|hwZ#I3z@;YC`&Zs&_6BFzKtqRhV z1vf@DuWbl{9#NT-WJJP1J+fsgD8^*aq)8V<(ycvoj{L%1h2_#C6CdUF4+EjR%&KC2~Dg)`x zP_RMxN~_)|7+RG24B!C&NR2{6l3V~wtTH3cKoJ&_sTv{-TM6`gT*%5$kyf3bBz9nceP+*V8qMlp@%2k*mj7zrvbBfB5`1se^=$|L0e^P-2q|sX$1(nxr zkMfLZPwPMXH%K6~GODcG#=WzT!0^z?`?*90y*f(T5sjwppMvD4p_IHd1qgW zRS&-_neOm0SG*3gGlUdhyP;oEuia1rKBOC(=!BxzBIf@7BpW5HKxu~pZWK}5gi!{; zN)N&!$LG~ON5cXqJy@t2X)-JXBnxyURk~wa-41?uU}}IgeZY9!NHwG?v7T`rMI{)w zs)&7*$^pX>7#P|VLME}Kti%fYY@Du6e`Gz@sTnOq)DQtEGafD$l)qWQf6N&i-PI6lVk9Lj2--$ldWCB~-{m1)K`g2&5s>OU@<> zTkl=4l(xPTJO&Zavy)QEBN5bDwFeQ*wkcgKofmZ0b4yX2BSoi~;Q9*EyZB#Tb5K4GlB3+vK(qwIl5f?)qve1q{T zO)E4?aKBwLKCCexIH$tbibymnRDRN9p5i}AG$Hu?&)cd3nO2079{LKI*5=Y4e0Z?O zR1TVbtlH*Cij2`~r;oGbpt*D1SQ06}fqv?t0YM;*0+69eq84tom zJ>}Vu|Dw#l8Ljzp!VVk$^p$X%sVBg=2w_>uOfj%WliFsv=pboB<+C< zrwwx4CY`d=7Eo6@tMV<5RAQsRGTeOuza1agBUl-v$?mK7zOsSt%&SyoD?|XFj+1RH zDhLNDwOPZCH=_hn!P5j9{s9 zn${@kgSJ*%NXI`FkF^^+$D^E66lTVpBd+X-oSVa2w$Wy^_2e{Vy-x;$9lk_s{cL3# zsA&hO1Ekx8(6$CG!p}WTw;b`eIE;*t$^v*l4-+yYxVI7seZ)Mz?W?}t=kTF$JW((| zAqRQ8d!d@V8q7hF6vuktMt6zLzVT!U#~(w)j{Ol`e|?dr6%08n&~i=34HM%Dao$2`o9QR!yU9IR4ddBE7ZMmoD({1O4=uUCArwN+F1$)S= zg1G7F&MYuNQjIjN+NiG zZ{Sm>F_XC}pqu%}2Cf7(W%x(=+QQo0X)rZMU4c|-K{`vAf^yikCIpSfr%|1pf%q^D z!xOh8!5)gQxXiRS{DYy4C^Nwb%0WbzaHkH>j-#1fBQ5F>)AEZ502|>>uYpWHl~G9H zpdeVv3=foqOY75LEtw4>-z;s=xfZ2Yo`f1)WDz2dohd@%J$J12N%^`-H*bmGwIg|) z`EMV5wSU(Ru6)Ti&O$y}^CP;Umr9D>)5Q5OQ3;2aW8ln@SF*ADe0JAJ$Q*i*McFg@ z^mMY+zt!YDh`kM0-lc0{c`zGHbTgOL|G<35rP~`_h)Q&FL#Oz3=W=&(L1h5Q`nCDx zQK~7uPvrT&xftf=Y3xB{Z*ZJ7H1^cQ{Ux(Q=a^=8RQ#$mMJ8cnbuJTQNFh(lkE~m_ zLcHe|%LUF0>X=9tiXO@u3Li=b%0Bj{t=8;QbMqu&1)?{+l|A!c@woy%;tFf;rs`xJ z?>iXz?spZ{`+FN+U#)Fy=Tw*M7FqM>^=NxOijlf8B2=TRgsp%@4@EGI4N6h)Hxj*J zs!{brqfVP67is$W%ezQbm8;CPp~VWOLF=)!%9m<6^PyDXb;8PJPoSJia84!We*n3E ztN#dA%N>2OjmF|e-tgu_op+AKjrhbdC}#y-qJ=u#O%sB!|AZ^UcBV)fZhBR;Z2%va zW9t;(rK|Gz+`-Se;;2ss)Zy(47{1?V?)fI{jt7Mmh$n@KKi*7!h(3J2G3Xz%X@i<} z?ajty_*ib}XaOA|Mz2Kx=-eDfzzWr<3bODoMa#itAO(nMb zS|E)waaoNVO8SX-u*EN#T2o3u4H~01DCzIypE4Xawia}{==Eea((|9R6mitxfmCzk z307pBgJPZ4xVkM9wf}0|X+d?d$iThg-H&F~XQ8N`3 zOgm=y0@XPUpM>faFzLbD!s7RR38a^Z+ajkdk0Wb-oSf=uXQm}x5bqi0YEzTc1mr3; z>3dAY*on7NMRt55&0&JCSTk{P#`--qaD|DYwEuk+CKeUy!WrWdT)IId+!n{7L3yefY#Dk`>|STPWeY0N_z(q>jxm6juoJ&FHx|$wugcb;i>x1|y#xVwKnan$*$t6EN@Cb5MSip&%BbjC@D=P=F#|it_FI=?8&y zKm&9>4m8NPha%ioGH1S>B78|+uWDsFcu8Ku->8mj!!3kMWQtL4+=T?4LvI91zK}y? z%2$5e#RXz+LRs!OUQ>-ni%|{8nD&#Rn^M+n#lqJ#(J1^0+W4)AGen^ff@TWs&aM_b zoZ>dkBBDXSZjF*5?8@N(B59~B>5qGkw|uqQ1`|`@aGj;g{DK)*wW7{tctb6IyE)t z4|fTW_d1dKO=6{44`kJr?BJbKAN^E_q$n^6N0?t$td~Jz$aF`9phh(v+^4jSRj`1u0iPC-+>e@ILl1=WqM>cT1n1 zxnes${HI#4<-UH$#>PH(>VvzLYVFY;i8aD0U~rG+{NXx|s8?6)Iggn2H6uYtMvC`H z&QWJ@cL@qzuMTULR|m@Q)qM;pH~EA88ghS@Q^xMane8FfXn#vaKJRf>e>bt%b1vV+ zvsZEgKc&17e*ENNW%BNm*K;Dp&DN2ZUnbL9f3-SS2fdrZVvTDvLicI9xFU0oa9*pfQ(yywvu&JuRt-Jl2EH-C4+u&oLE{X)eGSjn4Q zb5HIzDD1xI-#@R715hsd3RR}CxJ$*JIz9}%9O_f!23AwVEv=qpHS9i}9te<>i7bLK0bpa zXHHYqJ{69*Pg*>iQVmGX?q~j9$0UrafpqOx{RL{i45C)o6L%AP@5Pv-Kf7mVa^>#iD`yW+w;p*g ztyU3#TyCZs4xTDka6rk;jEe@sLVY{OtbIjr`DbHY{j8b~&EEzW_tWzK#3gXdHB6CH z(z>!zd%pPi9I7l#KFNmPJnWx7rCii9_>?8y9H<}bs+TvzmMvbAlxLa?od#V-_mVMe zxU_7h$A@2ztB=RJ+?jz%`^5X7e1)Hjc?zLacOHoQpR#h_xoZ9S7+X$m-%bmd-g|C5 z*^d1ZoOzP9<|Zs(62uqd6$2BKL{yH1H&g;U^kb#Z^72_X`Bos>;90@j(X)u^_tLWq z?(Q)1oopz7@4}0@bB0hM7?yZ+cWHxE&_z)73zezaQEIBTx0%^&W@>6(!~^opLHXzo z4)g9x<*sX@K)bi@w{hlMw+^Aohf*j@y|NZ8`bsZUh99^g{L$Xgkef~kDtYvsTQ@w0 zSef(7;8})cY3ZSmlFtT$RHJCO$cN;=T3o5`swK2-U8NWMPfk9%7CjVJ$KA3Bro7?b z^7$#>7fMX@&;;j~HJX)Nt=c%iY#Sc+fr*Q&aejW?cnfbRjikG`4+a|_UzhCHI+`?0 z@@KY`WECt_+@1VHN{Ij=R-lnKpo-zE)kfAq!yQ2o+LS!kNOw<0#3&t9LH(Xo`z)Df)?->; z99^$E+Q3U9Zm4=}4BqZ%WQegd@^nEQ%GJ>#@(p}!6M0@G@w1QZ;2v1R|9$e|{08RE z8n|icq__U-`~miG(QtzTRDps4?ochBX~It* zzZ`wm{*&_h8PK_Fy1PVt2$otQ_7y2?VVWBpd+H!Yo*Qy(x0ESAVvCIjgAxxFOt%Ln z<2vJ3<3{74(LjbIOk*n4UmFEv8Q*W7FEqgzN(Y86m06CIGa4UgIebTsP zI~VU8VzRbwUG=9ku;$yN#Fj3`evA?qKrik#C9kBlV%0(lD=e(2(0j?4Td~2@OG?{W z*wx?p^RR?Ej{HQ5kTaUQn%kM1FGU|SOJpms=yj=~L9ioKP zNn7Jaq2}BFS3W!QY{8H1rc5!xEc}_De;9USjQJ!K8E3(8DpRT># zach6N&EHKKgy}jGP%j~#+tte6X?mnlt0X$BDqY|5AhJ(iean_k+Ic7$exM_;&~5fv z)%f9(>Ag0|{AkS z$Pal{`QE>(pI_BM=vUS86U$gL_Zz6Jik1&aJQ4dD@NhzU=0=~!jrl6y2VhO5lA$D< zo3nn)d*|rshBbA^3Nh!N_ofNLhJIN4xv2j{TYGLiTWrCvY->4Na&AaJL&PbwAJJ2HfH5n(K-6lopOEl*puOCu$s(n@QO)mDgv*u`n>S5*E9=q zvvK+3pv|mMv?adF(w(+VRaRlTRQwLY>g10(%yFTl~ zJDi2LXUV_CowLnPY*L+bw$@B8JaG;lD~E(U;xmj|^e=m=Eq|!KS#%R%T_Vmes(n&6 zt{0XWzLo+lJkvmuD9s*ndu;p?Q;uTL$hTuXN!e0y`6LIG8P_phB^>6fWcf>KVb-5s zy^Q3lgxA1nI;r4jcg1UiOibZr&3K~Y@_AAjc{OA-w5mTD^{NENISmv|v#NZ=-GF39 znhP{#D{zZU6zkiKRu8R6^gSG1tGGetrs%bfoPU*;Hw7sz_2+A8 z2}b>&c}tQzAeAOfaDnKiT_;+pG*&nRomx_Z(~e}%m4hyJWWYi0I9I{trzXE+zhhxH zZUxOmCR#$8*UK}#>D{(|qPz3hxSsjDr)I)~Gi%EHIfGun-D%j|V5n59hLFH!U5(la zC;R)iw#tgy^yNafOj}Qu;toQ`{V#q5H^K_LPZvH90>XWylGQq#FJ6!F-Ig=i&b&8z z_n65w3L57J@!P7KPS?0P#ca*rlyTpW6-6eRtMyH>)8!uHf~)T$>xtB79P9*3t$2R0 z9cQL6oRX6j`_OK4GlxN>=3mmCS&3KnSon9ox_b*iX!LPfC zXDHh?E6`99Pi;>-WfD57I7cZBNpyF6NTV$%J*m+S#E4i9zyj1U)LLm<%+!pR0JDKZ zqC-i+NsNe$I#_^uhFTkKi-nr;n!g<(Nx}b$RX~HU^~!a*=Tg$f8X&~a8uz&zc-xS) z(ZJYkuM@Hc$-q|IVyR}l9++)AB)XIoT+fJTtAn*z%TQ~nZ823dUI5IN9}-PZ3g&`1 z0Dn7HYQ~#^*{(yP*6eL>Cgh+$v8%ov9~OA%8NAT?}TEeCrI55Oz9Uw2NcURk$j^kevan)c3H+oGGQcD<7alQv{$&ZQMPO>dSt z`?Z~YwdRZ6r*|;;yIO2xbNx*swWt#}lHzOlsJ`~%mu-I=)>4ek5LdMuPBoBpE)4Hg zcKxfI&9OF$ny-odOG4`T59J>cWnr4%^^5b7IMwr{Pri>TtNATsBlrFCUqp_Lw5`fWKCa%( zwRjrMg)QSdMTg4z4ob$S=zC15&B?pYs3pt0T9o*z9;N-s$sdF($+kTpd3qHhcT@lz+qcwv1kQxyyKq*_;yFn zgq26neAthge;m?CJ`A~(v~m4q_u0PZUkrl?p1ev14sUd*)_TD>rj9?70nzMD@T?L?SDMW5o0 z>B?B?{ABID<^V&!T-BzFSo|K z!)gq$>T?=|{UEf_+pg&0+=ISKa2CWZC9pAq`v2KH8$o?H=%o$=l%Nl+*#V1aV-9^U zO9v`x%(BtY18@^LE0KQ2UxWJ;J@U`c^(`NRH1UKt{?qi#uWk!@T+sA_I9DOt-k|I6 zKL!2&FsC)WlIIZfxEFpY+{SNV_FG4D6Trs!oKs`pBU55Cp&pf86P7-a?nBqZKhI(%vY(UNL1t{=Xbzb)u;v(wxXv5;q6zPm{8hfi|m3v}qn zctY3MC(_PqlJvHw#?t)qZ&Aem03Xt%%Rqp`^Z(uuO} zv{+mBmcygxB5^`(WIk!qz1AP&Ukt8A>JtLDUz5nxbAA=z$Y!^7d@R7SL`hT|G4l!kD=AGVy~G5^Y2j(3a1Qm9TH_jaeYJ3~WH874&)gf8i!1VbeIzfwHIjzFQm$N;2ywWTVVu8-_AD25`<}= z4vEh7L_p$l3(S0i8xabMw>eYj?R%Hg%zUX3*EBvPlJ~=)+_Ov5I`ecUjoPPf2mZgC z_cM4F^e~W{Rr#c_t}6ZFF?iI8yy+DjaRDDC1A1 zf_GNf3SjK$Y!O|%-a@(LozoOaPJbjQTDE}NIZ|-iRQi+#^p)5wYV zYG;^;q)y3*k*9z~I^|mR9E(!%2@OzAIhuqH7&$~ohmlN&v9X*@QU@4W4`9*x zrtVAe&8dv}Zs`zeGBHKuJZ=Z6+AJ=H!#s>L!Y7YctW5hi`H1QcD_~wKjXHdyOK4I+ zITGtSZpZs7&MbX{l086_<6mGNBt8KL%0WewAg2hI{EQJ*g;X-kR>CH$4`l13OJJ4! z9j~6MUOE^yP%_+8O;(mys|Cb(EjSp$#$e^Ji5!$%2~x=j(MYUd97fs;97a%*ws+2? z3cIyDfh`M*HEka41OVZ`f%d|pT)f(jGTfD3xl9LSydr~fFpDx>PFkY#zETI*WMy!k zH*lsLSZW6v$N_HRykCqrtj*gA78(BjS{fywWQ{h-qSz3Wgz!&lN3{^17wlBYK}bSV zuSzU}#ICQ=e?#Ks@gJ&NHP1+i%QYHF%E-&8ZAc(fEa6q0Mht#BU6E~t0{GsF_OzRl>CGxTl(VhL-ud*^+3O)z*l_IK zr=&hX)3=8ByYMw{s-iBSwBOA4c?K}#*=}WI+h)Ah_|=8B07)zpuXoHOfjnpN|C%ff zdA^<0kBAvoyFROL+1t~1L_(j|vDt?^6pA?OB*q{nQGe%+e+?3tRPA1AUlgcJTv`Th z_&hJ!MTIsSR}~&qGykCr1#;)NOPrFK_m9~6Vt7XO#as!V>qCl97`4gs#k@M#`mOC7A z-WJXzE?;Yko5Ha@9r9io;+S2_m)*)s{WRJVp-6D%)xn`lixt*n7I>ArG$27akb%-iY}xf^uz%vA~r?f5-nVozYtyXw%o^ zM6M0}D)^fK`3JX$TWe}!@+>NuaL6+VLsv@;rL7iiJNveV{e_RQZIS4Z=5^aGbb*De zC(7nl64cvWE7gGfbe3CIGZ-U%v=VL_LS9!@lFApODz@;u;B zR3W33Uzqio;Wf$7uUII#DByg-j2rQzj{dP26*)0W8`csQK@-fq#gX zH=L|&EkCqZQvjp@OtD#&TjFlaV%*ShbrSVbE#}Uj3Wi6r0@&O}2PWd_myVVdiQftA zmY~Z3IX3c~*yf}ya%ycucH|NZC7h|kcXXk5bR~9l9i7c0cZP9BnvB8rDU{u_Na*)L^GvO62cg!XJ(&Wp zZN=4&-IF%}r7uG|LX338=&|;wx;qA1I`SpI-0y}$0AHxB)t_|5irppC+aqxzE-TJO zeh!^61LDi-o+};~=d8CiyY%Thn63eL@~v}UGA-{e%s-6n-X%!pdORJ(YhX@#b#2}A zegtoFwaD?m7) z+JD&fEo3gvBvXMZ=51nd;_d6*_8>Y@r%HrLMy>Az2H85`8sY0o&i`$))WQa~=`Id| z+jN(PqS}@hhZ;O7C7Da#*X%b39uQT)HtKg>r8F%2M9%y}a$$@=Q1F4GHi_`n%2Yj)ssCaC zbe%02E8ql!$FPmFn0tf_!hG9NE*rhu$u1isoFw)fvA4s=x0nVov-|ZD>AN(La&^1A zP;Gjv;;@VbnV=Y>2?J1S8{HVx3qm=ifTS*xn=t(vLFMpEC@_!}?;Aom$t(h)MDQu# z!bx5c@f|7rpBTmzc?uf96cG#_!0hxCLE*Y9UBhDtBf$=U;QKZfR1*pW6f*;?EO~4! zc{Pkih68mm&>~7uB8Hzum@@Pj;JUPwcDfe&1K6-fg|H}k^iVUfp*r8!_ec(|zqRkE zGx-EHhVhC_Y$!8y2!b*-Va!xv@>L+%k=fqVj-?0x$Rp9D!yXIJE%p(hcg{aQD?^gW zubbwid$tERN%lT?9y~F?F_8Tm7;_-o3`7Fw&NDOK=m4b`t@?p<&xzmJ9&^T4@r#(Ip%Afmwp96=UI4#kBixBfD46gO;ogJ)JWd zUShtiNsgw=Yy>EZ+DvGoYtoJC!r#}3@~UIp$}u0B#O&tOPl7nt zE)F#*({Ci?1_w}ti2TOiNP754k#GIAsDEz=p&SGWJi{7)V~Ki3)uyJYQBX4d_I-d) zB+fN}%-Ru>L-_b66MDD}6~=-bO--s&kez#~k~o{u3R9fnN=5Ys923wefxf3%FU++C z<-m<}_DHmBDOjt)`eXSLrgj6pXhh4b0xCeD&P|=j6!bHRyVCK^nO`tD4$?*I@3&A= zEanWAWCWd!aH>J%IM_y0i}3$qu@zOL7IYIxJ?o1jKnUJsoZmEu+PZyPsz#Ai%pEzpNcS2xO{4e(LT7!4=sBUz|EQ_VJa+!8>wv-tGer2l)W($4+66A|FJ98I8^C|z2F_pZ7~g$nN&TmY9y zY^hiU5Xt{lZ$hg1uGyk4{lz_UcxWwl{b3RfEZqnxY^s~Cp7%gXV3W-~H@ zy_nYGY|$BAB*J@a!$k`YKv38%I=)$fJoGjiuDOo3hcK|f8NsRl`+i2(GAnn?=TQfw zW=`OEIc=a_6wH3O*oHA@(8wU)Mt88@7*B;jkyED|$39 zb6#{8>u&J0I*(ZdD=$hMcY4>6ji)% zkk5X7tQ1pP_4D2l>6<6AK(dVW!4ci6rs6XfvjtXc8*`+yOSK}+spxcBbMN>bEqz^v zyf58P6N){p{99FC{wOc2uyCAHzHRxp^kD$ zPHRalpeXI@vo)bQ!D3J+&{$|tn4h0fN-3aLkbLz~+K372yMjRG-JqG*z395x1mJFK?Wf2TGIB&(p@F zo5-q-0~QiR+LT~SRDt7zMYMY6uDf<$&;Hb=@8D>WoD?>ded;Kl!50wZ6!75JXvrp= z5)O7##;q;BZ-`ttv(XQ(JmDH~Iq1mX^ShO;I^}o>R$FIo!!}tLW0M_46gy@<8dznb zk%xN6zSbIjF5up$ri6Wd*d*F~EN6#&6)sl{Vs>3rw1ZLSeRz+`VLM$y%fy0Pn_%o? zvEXDw9fpofdvD=|}~B5%)Dg;J!5qQXT$_;b)kjApP{;}P_K zG4>WLPSD_Y?VOppGxMGA z|L^nEu70alckS+8)vK4Z6u6JS9nEC_nubJ}Wb+AGO{|JLzMLYxuIM||!Ft;-Y;REQ z4dOmYZ@a8NbXQFdx~`VRw&mhUSSy89rAR`XX-ChSO>gV!ks?(Gs0Fhk<=lkA_Tl!) zQv!kbG_*U3xj_2RU4~E}%C3l}cecd#%yPN57)|szD@LYfHD)s8_zA5uj4(rVrYQI; z2rjM+cah(Rkk56#6ufa1?%LgS^Zph%eBZMA^!r}$e4Fg?8vV=sY5C*nAuw#}e&vSs z@+7?5`mi{A`R+QrJGn)2s6AkpDdS4r{8Kd@iO8Yf_C~4$eALngI zdnf<>Yb%hVu2pJC7-Huq$Bq{e$uZe^7>M+>HBJbKl)~P#l~MOvJHiQwLcM4H`j$U= z+_hhlL(~52w)&<93mHTCd92kTu|S4<0?8=B5rLx=MsT9Jjoi_GIQgXYk{MYIqLfv}8Y ze4XY|q0ga#)>`>R4ov{zp^krVUivrEU2pz(T3TpJXls=3`fz>k|H#n0qZx8s1=F50 zC!h3oD~H|>k|N|s{t?#0I6C4TmitM9sqc`^oC0smSL=Fou4bf%0?ylofm&b z%DKi2R>7=R!8}&MgmzMsU_G?g(64$i^l%h^PQ{~uPT$la_Ix5;_rP=6P42EvAF#!E zQHO<5wFood%F{clD1EPf^I4<`?O8iQ3{}(jL}gZ0spQ*UP0;}ztp@M8&#COJR=ter zJk~wrlc0rye$#-vf_;YTGS>2(gKycsX0{TS1_^wGE$5s$%cP3?5n)AzJ0)gq{-LI4 zt|8TTk~V3m)k(X(_Qa*{ysU1kssN;%|L!hRS9F+QEHO_q7*Q*bf@(n27r|1z?E&S0 zpoeI9t1<*0gW<4sr2S5z#u$v&po{31H78&1lP;Qj@is&&BaPjSQ;g8Q8LRVK35v%u zq9K7i-10FDYyQ>LY8{j`VWUTgR#^s3P5wqYb*YIRt(S$|J`)g4N3Hz;IFykVEH2Q? zsPIj(8;DV4&aw>=TIAf{AIUm~4bC~8<;NQp@3eHQ0bp~5^0z+Ob1LtSbDfAs?v1dh}m%QF5o9Sf6skyMs=9}K8NZs z3ryYq$I^?sqpBR1v4d~5ziKn%>J>3vjA+}#MuN$JwVRZ(d527^utxmYarJO*d9XQT z;s!Iq%^mS$nUTM`G2+|gq|iHV1~ng*eKub`h~B>5KfSr$cUqLPIc%Ss z`tDv+R&=PVEHU5oA)?mq4XVL>C1HE5JGYwwqun0s(ald@^ai7;Iim&E;}gBErI94= z=Zux6?QZ7hmKDlgsM$HHIgWA1(E;5iMM2F`)`sRg$~ruK;fY-l+`ui^@!hNI;cExJ zKpMBsr$^_z)@T3u#8;7hwolC!@pJ3vxkW1fScnk!V)rCIKXCL^lhOhqU09=CCEBIX z10jtL-ZoqmfIQ?olSVVnS|0}tl8tsSVF*;;6~%@LV@1eDA<=CzvPe>Aej>*EkTTkujKs0DC9dH@u;@a;&=&Wh z4I^D&`ba-YzB}#@#mIO6MGVsO<4V3Vyy1`S8ceaxl(lVA`6Y!++8^Z|O^J!yc!4$keoS^`WTRpdIfkub zrI!aeDug@JSm&L?0iJw@9;a5fFWd>p=`s@&jh^r;$^8oMS2wSJ{q|c)$n1X#5X>w1 zGnR8G-mG#tZx5LDk(c>}dY_tCBSl*1%-P3Xi;mk7AK!)O#tam`h#>K%gpH_3WLkz= zoPI>wCsmmac2SlU4T-8rnp7==uq{cs@jaihs?z)SH8nJIpT$BNZpo;;G&{^+uPB{WdT7F@g}~%DEj%_05T6jijV^0) z;1Ka?td(#SpVMR$q~D;9ee8T;A;W@rV7zyEe~KE>8TPj4cWzc0Z>#k~fpk;)-&LIm*m8a)AF#+ON=`8c)Ux8O zSIYjyzZ0G}6p|BxAm>UMsg#^(zsBzBd$A&wg-|v!un6Saq&DMWt#pjBp(+Cu%po4{ zz%D-lplqiFou@aY**sh+&MOt0#y|2sD+Eu(4QLr^I)(0(ISbkwN3 zDw)*0&?JBG$({zq15G&@bxJRQlKPvNIkc)5+Ei>6W%#ZrPQf2x*`f_=k(|{KMm{Zc z1Xyr3lFl2JPQ^>4Xq|AwKPBKg?#6sS*80;x2V;7Ok|V;ieCd$UFYwK|v>mW;f!B^E zQX}T|_x(4!;4^P)96CWd$sb}ANa#qaABZJQvW1JV=mp`=PZXZ=E~idqqfdui$&n`9 z?GKL%MSt*(6k*V*qJfCEWKsWQ5|ZJ%pYb>ap`F46be&kGr!%mDIN7vy)o@64`ges9 zI2N6y@1VIo;9bqx>CuKeF$w5aw&R&6^kYHvV8fZYQmxz}HRB6Gq-dZCsuC5fml4V* z!Mav;Li+}R+;)T#!{R$NKBoN=cP0l@8zKd#BC7lRWQ_++g~a=(fZu}-S@SWV zXkVQhWJ0W-BKeh3f58Nm=;0#Bh5xk%aA{kSs*qgTF8JIcWaH#JwRT<7bu8z*oOAbHkY(UlaMAm{o8gyfNwjEyCumOA(1TLjy^)?Xgv&Hj1nC@kBC*jXav89pyK} z#-B-ks9q|zHWv)1iTY3e!Uj)yXouW0Q?yD4!%xmV{=|uRH=a7#36BfRVI_vo{A=ad zVQ*FgG^qTi;F7r<;7V~vC^F@96e7trbuaKMRrj*w*himyyw~ci_^vab7au=W^K_6e z?q1w=cMw1ElN5+-g$-;c_BzsQMza?+`K7;Og*TQ~U+#}e+PVAX+-3bunmr*<#A5#B z?6>ZZyXYbCHKTl)RD8K4FtyPGjQ^k2r@6cGayEgV-x~ z$D{JH0=qhHLCkE^K+L>^M_kkKMSm^$?jc*RH6TzxM44>Z+3UfT_nOQ|aUqb~#M?Po z*^6I)__IrUmm{gV7w_{yz(b_l-HG{^w5^BE`;K-rzTpxn7R>}?NtX1(71wFK;*}VX zOT|i;OR6^Idd6)4^|1zdFAstl9di?9FE0F{@)DwG!@%oBl2c$}MuOEz-Oc^)o_ps& z!AC*TC;Vywg+8avq$mCIFm^Nr?~b+i<)b(3NPPpDhlA^URz3NxE5y=h9N!7xm4M+R ziK}K0jhBb0#23J-Hx#pivY#??QVcE($X6O4oF6unD=Pa>0)VY8pPM>Houo57@YQL3 z4MmiI?dk4+{rbKR+oLEj*FRy#utye3Vmf&(3U6;@$6L=nKqKTJ4cdQHZRshaCS*xk z&!i5105KzO@!HF2O9LNaO*}eewP8ZQoNXAd>SMoA^!(TrPcz_K9fuWQWC_V9!##P6 zc>>Qz8L5~sqS^GrL%1{W*?UEgc6|riUrWG?EPP+GCtK|sx=2A_;L*vtGM>O8I&@VHOI1r zFH7Yz3_v;Jg)@-Budpb-a>UC=vMK`g2qs9rzUC5QJ+%a8`r(W;GqWDvg21b-B$BzU_n~|+fmsP1 z1Y26Y7uOC#amt}y-ZI(c9Mq<1W$B^JVN2`ziZf3q<{z#-X|bE$yG+1Ol9-k*xwDCb zk?Eq0t+O*!4t~gx>Og&h&K0bMF@@*Zw=SV>^-Dt&2lWbU8n3k*y?NI|Qd?@y$*}!i zm4ISmB%!#R?8hQ%#ryl+r`;zrPsT_G6#{YU?%e#t*>6z5@S>a5?N7_2=(`0yj*d-b zDHbq?0S>6`_f6b;qj+~`;-^M?tH`S!1#gGR%+`fZ?%&^Rig?_Me3d@__(o)=BkMh- zZquge({|Bg%}h`wc@x%qkXOW4~`Ui3yO5W3Fa@__I1I|{{q^Db8 z;C*4ff(3%+_|pR8a$qgep~|N*3*oK<46e8UWnh=g)q!(7jo~X{PW`J_-%4===vkZz`~nVEpHbq_2f?A8A(2N*S}08DlBO%O=HD>>@=9j&rcWoU~~ z1P&FtGFBF9E%DZ#5@fO=&EP^;$ceN_g2$CoAp8eGCw>T)nfy|0y`jo=Mn~v{gDMyU zM=JG~T2$z@3Od?PG0#odmg$gXo8IFzI3pBJfzls%{a_`V7ej1~>VzR}4Ttz~Dweb) z;h5h*2E!&{4YKpe_(e=p?o85j^m;DjMJ4uM{bh&eg14*7`3`NyeRSakNcBh(YZ8Xv z4x3eNewzKYZ~*L(B-qUVmwM=}j9FFjr`gGc18aMCu4ev&-UOfeV$!3P*VYvzFG0kY zOijq5VW9=3JWo;YzBkTTl3}9xWGrpep~}~End$ZM0H3Xa?{HN1nv$f8P+$P zvi)er0-Eyq$lb^i1#-bG@HXRJ8q%!a{jf^2k(b`fm_jYJ1Exs+YqrR5s6(!?er8~)j(HTU;k}?qiJ#0Q zTt2d$rOcg?Tl-7nzOn_iHC>UH`&4hIL}OI27;Rol(M6|oeFUWq$P?;f%9~Q7)+11V z`q0mWE?2#0JPI-3;@x@~`_{iw7p0ER(3yC}ccXQ;bu&v+64-IVx4mK2j+!zJ5IkFb z+WTjxNoosD-pR4k86<(qy$SpE60+f^!{SY_h;q;~1#}P9SP(3D%9>a#f>kWdbPuPt zfRSuK=!UdUQQ^)Xf0;DHpD*ttyCj>h1n`l9rers615ljQnCN5r_y&f&V5-tj2p`}4 z!=a6TT*?MT4siOgeUbP{AVj)B@hgrL>nzDjIuXfB_CPf!6-)jhMS3(0%c&HTvuHqX zUjwa(3Vq-H4-Z2t5=5smU9%qOoQ(1=#w?E96{Nx}mf3@F_M zp58%CjSsR@)rCHK^c%O@LyAw)K zR3X!XSt?k)jD!$s$JwO#mBBKy@n$+LOC_a-iOBK&qjCq?_?CQf{@DFY8E&HTbg|SVDOlf3 z<={)h8nmZO=!=*#=D0H4%nxGnBFa!2wdF(ABXpQbf6(`XLv4a$T6f{+V6e5WF2Cq1 zqgVfJ02H6P_Tbj}ZSR0)NOTnt8J?aUB(d?@CvTQoiP0XVF|LH0 zCqhShiXnFtL1=JoTK!1qzk;r%>FFvp6nP!GQgscKMiqIh3Vh3=G$jpouwd4>Cc7ey zg6_H?l`C_N1E~iJ7W7xqG<;b$_9SCd^4`0pTdC{E4Noj*}oGf_pYS>qQ1wS~fL z0tD^@@Nlm()}x2ikzj=ln1clyiKsRmXMH$1RNN%9b*%u*V)sepPsDwvM8Vsg-~fz> z0)}`9f_D)SO4`YABlvhJ2(ywg4`F zQ$r1Aoz$h_h7$P$zha7u@@DI2hZ!2Wfy1AwB71s#ZS)6N!$ z;JSX8!uIDtZ9b3_*7cSu0pwCyESr;K9@yqwqtzCZ? zq-Z7P;mJCYgS~?<;rjk3?7e~OIz{zaC%WwB-Sc<)e!o_D(P=d*^J{}ksPaDfwM6FLYn(DV~x zK*Jegp!_w&fZD$dyax>I0tVV{MEp)3(f=vxoF60uX{iIuo85V3fu4Y++pFpEy7wLdkDo6N%m19NDf2O)(zpy< zfug@zfD}NT2a@%Yu}`0fB~0%x-cw?cY1d2Di`7fli`PrCk?&!;A>dvtC5Oyh|NEWI zUyldI1`bwCFAi;q%wB41E#o17Xw{d2BDaWghXm<$(|zvZ|8sGog2gF`DCM>0Zi++pZs?JP3VNQdDw<_h%Wn2CNbExW3t?XjTSw^gPFz z9is@l{_N2GyzKYfa{J_T@wmQo-!u7ErVL60FQKt~ND=lU3$ct6kY2?A0r*pQimZx_ ztMQ$`BkL;Q?+)^Nfi#|QK#A4}fPeF`V*8_gmi}ubTR)lLP^b57#l$OuT@an1pax~aCc;XX@`CaLuEMwoCDfShkg!Rb z*OKMD;qdJ5Xk@k>bo^xi?jg;B)d?paMHM zKeko25H#*+UX5pE-@j-P{Nj&(`nsRY6?K$2yP-Rm+i$||_xQruW8;qw`~C?9OHwMy z4pB=n@z2QSXaIa+F8Yp?CX0_?ydQ>hazjv&4H@b+IyN{238HX+6a=4Lu=P*$qlln$ zKKz@2?ci?CAUenZxY(;I!0$Awn-6G)E;&Q?tzf1n5TxH1$-}FchE`1VYU~?VUhbqm z{0CkA*6&34Wmp~mVK8N)r0G)4Jb2sHv*re;A~-#SLCs1Gs@*#P%k=fsoKq5}_pzVX zv7hL%VAhZoTl|DE;rm`L;ZPetxar?0Wxog%H#xJ~ZGaemM}kWSBYG_co7?mM?W#ZhXrl#kDVgOVJS`Dj>&y^kl43D`|eK9hj*E~i zJts&jNvOkFhT(43tQy5Xr>m{rh2d@=5d|=~TnIWA!ex5x0qX?I3abp88&Xur_mK~k5zbWg1dHfBhaJve6>{pJbgWDNY_8 z#thF#frYrNPoM-!t{<}#m6qis+Ksb`Xa?}vDik6Cl3uijOWX7vFv!BD1La#UvJ}# z2FkWOPId5W1sCfBY2AmD(?jLrmt;vPbk@;rty}EwJ!vL>|;QuSiXhR`PYtl(h=&ulu~{9Zn=c0SbCJJqZaUGk|*f}NW4ZDLMpUSsqO z{(ME2n91}CY0~S{l5Wd?64xYfmGn$JJ^8gN)ZH=cnd^K|pNT>8(!m1LD$)(+GF^(C zqp!28mbMXV2R!2Zk>O9QvB z3RA7IU6mE0cs_Z2#<5YE3CIAU#w?Agm58bO>cnu-+0rT`!wo(leV5~++tCVwoN}X= z{D2>kwhKnrffCFrV`i|7q-F>-DQu%_KnFpU7)=9jG2LSnqJ|Ox8DV}5#YhTfYT=ir zBzZi^zu=Wu4G5=VcvtTQ?XSCKXL-$Jp0z`#;LpDd1CdMOZ|?NS9Xe;%BmRy)L`!q& z+ai|93K_<-0nVcvLBEusBRq5Ew8|78JNQ(#h;)boOauIYb2S zp=sk3b{+3Q!Z9mx4B0VGV6zurbF3njt&%X6eL;P?`PoP5ENrqskpQ7s=wg%^wpb{8 z4r7RJOfs5H+GfWP%r4JaY?h#!;|+3+n*s}G7yB%O=2}veeFA$ZgkF$RuoA0d*Y9$SV#Ltad|faz*hL{Xy)ZWFBN_ zuye_n3$!b-O=A?KPNooCHQe9nx6qA!sk6e2>+v}8}?t6ajXye7`9SuBwB!8*MtO!kGjjY2exXvSz701=gg_BEt71f0>j%ERghKM1F@v z_QlrRAW4*Giy%eFpfkr-Qj{`?C2{bJsTW@@v1etI^};KE2LrTL z-AF7xkn5fz5#`l5?u?Ke%%beP5{%;J9kGdKUO0+P>`4x7P_W(#O|W-eRVM=)NUBLl`YQ*P=n+d&)X$R1w__To*=7D$@gxems% zId-gBt!eU3I!7yMYO`~JpITZ8Z-b5wUzvq)12YQG)LMtSrcjLNa9uuxWbKdcp%}j$ zEttgzI?@{vFi$OqOhczkN6UtM`nYW0zQ8EMY!eSYgGPE!fFtTz;#9&~qFh2W75tgf zLDq(*iVdWAAp?tJqE;{cw(nBL1uUazlEjH*iWHhxhoO$|_Znp3P}Bm_c8D| z;BDi*&e)07AY5efa95b~Q7z*VNf${Mu@H$vLPYV&N4}ISTMv<{#m8#Po5q~gi9f30>LGHrMGq*|VyQ$du z6FP3f>65o8GP~GhLgSG^$QQ2>T~Xo(ozpk)31c_edfB;LlymtahD;{}Uq?u<@q^-u zdY2#b(c#^M<-gx+~&rnsU0ESjX#P51Mp-dudime$+!>4F@J*mM(~q8 z>9`1LTD#4YN#TPhy%NU_yu5Qtwunj$wlH3Sjfm+bk>@n{7E_&fERKxbeHwg&IW4NU z*u7ZrRrtHXfx z`jyy84zeoQLiD9&`CM+ky_3gX*COIAPY?MP`Rcu8OZQ@plgDB1>fQf<(#Y;Hm)!)i z<+7)_!BmP+HlxSUEshgk+}3-S)HnG9OW5APmGujIhcO-x!Pq#sMWL06*b z=s0pRh*TuN_RD#mW1@G_YuwQLv_A7FFz-LAIvQ{m^l^P4)SEw=AlT0cb{iFKw;*5TNOB~QZ9$>Z{mA}wjvwe9r*-fV zAwXfXp+QE9Ftb1ePR$_aa7rJ~mx<3~GwvhHwX~5#9-^wjks%Mf%E%N=!#)~G&CR?-)kVn?uIBtnLE}y zi5d5C*a6Lv2c9<+X602o>@g3@aKxQsVp1y#!~j_vK<98 z<48MB(cI)Ed}smwg~{FoG!M7>Q0Xi*50rYdAd>#0j|>^?cCNEHaXPtGmB!$u2bgt{ z-W%n!M{K|6zlW0R_x8WS7Jni(4q{uz(t>f7vzQCm^uW9hEF+e&x36~b%qi98d``&P zg3XC!oByBKMTa)a2-PT7Cn%LE_AJI8>nlemC|9xpqi#gQtB>kDOl}J?bp)Fx8J_O( zMJZX~C3IRq&=k7L-Aj29(fxlaJLf&b%G_`}aI&X#PSnihccGM%>GKr?rOL#a&*QR@ zn!ucv3^U>rK&2`OnE}e{xC$hpLA8<|?(?lE&@{tD0v$)qPRmDwBpdFY+Vc>_DnYRi zBcCfdsfHA*_@zw2pDP>c*{3U!`T>~%l?Yluu**x-=U33>&zG*V&^wjzU`_O_DpEEr zA>-Og_f~d0qnd$uo%_l@EAWyQx3Jla@P#Y9i09dVGZ~S4#YvO0JvMc>EP8%04vA$9 z8mClb_J@8&)zCzCBLAJ6P5mUDNzo>_N^X^!U|UoTyFy*)S;CKV|6i&Lg?g5eoj4|H zh6X;$*ytGh@e!8~pj^&|!jB^wA5%;<2bHdC;*_RPC9L;hu>#}yoNqe)eIv-p|I$)w?QU;0rt@kt6;?{7 zyI63*Kk+mFpab~MH-D4I^07x zH&5Y**3sj7$ngJv4{ZJRH6t<)?)~il-&E+ovuk{?Yit~O%ZI;U5`YHhk@Y?_n1pA- zp*tb|)Y7xWY-ZiYS3?H?na(O zoQmuw_Y&E$>Uc^iniN)JUZXG4S^U83)9#>Ezn2}iP#zbsM?1(1V|ewG8rX=q^}U5v z3pki4?ed|uQ~!X#?rtJkZ!mjj66kskcHyQcP|MyEDbA8z^d2CqUC9)9X_Oo!3SNAa zoh9==P))8B*-73)R;!azpS9}++qvVfWmS?X&Z3tcz;nJjknChvFB%_UY2Y~4ui`0NUI{pqZ1U70WllwHV@dpjH!A56lsh)m@h zY|ff=1e?+&+K{n;fzIgSKiErFcxXy8iHZV=11yt^7Z{X2bVloqV2X%O_Od zDd`Z^rAw@qcjOALZSCKc+wP1~G+KtOYUVE6>3^W%TL4~J0PWYnm)8pvcPl z!ISla%m6<}S7+2(*MAV{8}3)e5^TWxe4-HGJ^2C#Y=Ri$<#{vmGepea1u4( zmgR@x@^OsYS@oEQ)SAz7ZdLw2LKLy&mbL301|gNLnidz2Z8+ds@OHd*Kon5ZvanhW zTd2t_-4$#f=>-iQiW4sM?%icZF(9%n2xn?!}{ zRD}!O(nEAoFl+H8pf`?890oMNiS;^?x;XheDv)xODuW{KN3vx2!d?x0EcydQ#{}^o z3o2l_FO17@XTTlv5TZ0B$<{UGJpE5fEX&bV;Y7Ez6&n)-92AlQY8LE?ANyZ)Lmca5 zv)ZX95?vN>A^%8~ai_Vhlsp*8s#IlPKJv34uYC2N)O1`pqURJlz2piw!HwSlUU}2{ zKQ#6bw-~fJ=At{FTmJdEnE*#eqd$4l_|g~m@tyf4{Kek0=w&|^cb1e0lWM3AYXr3| zP)kM?KJdFU`^zExP<#kKW%R$^6jzi%K@u?la|eOC?YsuQH3!X;hGZk1E%l@C$PF!%9KlN;rYlPA7>ls!qFXRD zMDzwv8t)jtW+Ma>qrgjtW{3XxV$J5tMvM=%2``@)fGU_oSndlD%Q#XQ>m8T@Xv3)k zL=pMCC<0FS9&2nzUn(G#YRQ^Mh-!J=2M7>&{LYpuYZ18|lDw7(I0Wa>>Imq7)a|`M z9Ao(XooU!V`rmH9LiT^cPKT2PCZ6nkBwP1(x&4-!5&iOeMA~zoXgh^J$e+TWay2<1 zfi)15ku;8IbKHw<4PS(y2fv5lG)~0Ww-P-KXA6Hs4wa7nb&vC-l3efYn-foYq;aRH zo+-gsW(gld*~8@K`AH?R+-f8^Admq9p*h38UMoTcr_^R6K~Wp*&UdfU@ALO4ohZr8 z-*USH7T(6lX5myzCbQ9N?}LCBUMbRjCB~%*VRF6I3DDFv4bo_7pTEiTsZ22(Zi~uQ zRp;b7Yrdvc)YZJrW3$nea_cM6OfN@BeXCgldg%Yw@^jA~ji^`Y9mWcRFk&}CH{uBl z{hb<5VTo=H9Rh1WE>~?StjSa>E`FHYrmiIhO}yPFljhwF1eJr*Hg>2q9~;NS7o~_>1sF82cF5sCUWw>|9Ik|D=-%&&_LetAqNNElE1SG5 z_4?YVElO{AZVjyxlPS3I0>|FY8~l=PP=UmQT=AEh3@L(o=S(kr9}X)unMq70RuUV1 zRzJUr8XLSvVadkB&ocpP*hdvr2X=%8=AtEIl^GILncU)XN~g?xt?GPODXYWhC)U8< z+eXh%k%5o*@1OjdpF+mxjJ_}4A%{eB-sekX#Wl|J4fG(UI(zU%vJqHCx*`CO7d7O- zL6iccs_tdDXmtTx8o#bX4ORqhOQ#$Rj_%62Fs6#NbFPtXYu209<1(r~~6Y@&ceT>XMLL|ti*y4t&d)yH&8 zLX@#$kB%_Pelzh4gwYEtjH8*vOoTK_s4$L>p^4Qn@Ib>hRnDN`%v6eVs;E?b z1B;5)5ig;_V?`8MXrG=N9MYg^4~O*a zZ3O`xonE+QVd2~K?BEbx9di!^TZ`yLijV2AmXU>TGcsRU`b+2;ZKD@vM`JMZq{IZgfUZ@!VcsLX$Z&_10E!+ z+K{ZOv8&YA@azVKG_-N=0$Gda12W_|$_HcNsy+_nQqKjg_l^Mv8rGsp5d|l$1_T&s zmLCumTV%ihj5G)WmcRY12P#3KAE*Q-6ako~dZv|6Dzkr4qY5m}%98Wrtn=fmmT%;~ z=qQW6#v^Oc64#{o4L4tsy5J*@gwAr=t9zg%hWA>ZVlo+$#3A6|e-IL*te9aSEYMOO zOW$j|KyREy#8H4h4Ll^E*{zX?vrg(BgF<#$AKTfsqK zl-;dV$D+xvQj^Q_VVGxtR#*0a_lN33TIn%X3F+f57jKFCe&-uZP=K2ke;sW)H`h1< zGmudQ)Mf>P)ap%SDnsB^ka+SO8oQ4$ESIZU1i}`1>_&lNS2F>{Zm5OSawP{)%eLQu zTCSvnE=^FM!ZLi|ac@-1WOHwv(psK(hAt}a6YVAX#f7AHCOwQAmOz9+!i&N0Nba^G zioj@H$W((kEvjRSO#_SPgZ}nieMsml;spuLVrK*)t_y<5?-Gyk4P&gY>;o!{t4u$B zf~$rm`od$ZY|LuxWGn!5SK~@R7j|?6>AC)2;pN+H4l_tkAZUsUJ_?rshh%r1Md`3L z;*qi=L$3cq$!w5i>iy zB|JS8O}D5O)|C}1QVhUN`>T(@^S5Rmhb4oC$v5EnTZ-O7_~6Uc_*k8%V_SCF$jrBR zE~&B%qtlfKpWU5#0p6aqw%e<5>q;FRBC8?Ank6nyOWWCqYuNMWi|Jzk+M{bA&yNmn5J<378p%ku2}pIguf- zYtuP9(7(dW^y2_`zllLUxqW~kOqB_B;B|?h!bdy?;H`^r>@4N0A#g(FFM{HAPT#6_ zEAUaxw*q#cKKYP=u9ngY@<>oa%Et+*TvRu^LOmg0;4b>z>cKYT{fiaZ)$dZ^Ub_+9 z>)GYP>Qjrp7em7>6JGvJ4?6lcxW?w*(1u>{@L8cf+XcHI9TCdXu5bjr1<+%kZ2G9o zW!)~24X>SNMSw1xNhejzIU__8x^6cYa{m>O01q1nvJt=&VuBey@UTb+fut+!(mhtT z?kZKGx=;1b8Kpq=zQvuy$%aTf==V-KuhoIOm*R#0L)u$_RoQL(qZWdogwie2-7O1{ z7U_^~kXDc`Dd`63?iLV`7HL>?cdw;%cl_t_+xwjJ?Y+-^{`cPJ8Sj|CG3T4JV~qI@ zt0)%-n}8^$k0IcwE)q6{suYYQfI9>m_PVFxv&uhCpm_*=b{`hB93ekmDH>&=7=kKJ zC|#YSkQ1dM&rCZaRfLR(?XtQ3yTsKRF&MBLb+tgaK;1(C4U7gH(DHlBda&GP@ zr?F!G*OY@;vd(K{@jWdI?NTD_LQd6lg`5$U`Bzag%BmP(pb?WNLkey|{boYIuYgly z8#8Ofxb#(^OiUd5bHqU4*jbeitnL?gW`ad}9CWZq?@F%{b-|AD?UAA$Op*KfMxS6i zwU|d@*Q8$o%i&TI=CKKhhH_4GNt;FRSiFPmo2ZLQ^a8q(U!~dR;6dC4lLBskxi9{> zc4KI6!DGiRInsf*;e>DD5S&79hXXM2{nktf0cQ{pE{Nl@`6kWvfeQHSwL(1MKjQrQ zw1@tphkp5K2#~Gy%e(&J#)sgTZ!%P?=cK<4YNEJqm)XQ=r73E$D3^*X)DaK|kI!?o zenxjNkvvl^nFD&(7LyO7C~TVwbsAp%75D@M&W;qPc1voc`OdtID%CJ_A$-9S$QL`WK%H4Ek9>TaPtp;#b`=S zg=8t24tj6!2O08fo?P}@A$1r7#FvIzM;$f4LJpO_=yTGSyJ6*h-ExTvW%V~1WU%m~ zW84&dXh3XmScYs5IQ1h0PeZj=;j(RIl~g4|Ll7K^w6(xO_X!11JHVg{H8dkbFc!ch zAxQbgk|yDX<@FZS;!wpc*^q?nsYHA>$qEK8J07T(i;v)!BDrVQ>;Se2$hY#*!x)^u`3%K+kVxi$WH4;vpgEvU9Ja4BEYE3^=_c z-0BK*$KFC+K-EP}L*+*Lqfr$pTFoV%fL*lB{4+{=7%06rLgG>TDzgqe&nZVi z7xS218?Yr|^zs0E^%%Ysse=;ney#7Fzok%3OId!|nvh7q+{*v#R$t79zRbz)y~o(~hFj_}BGmsThhCo)}%LQ2hema99QBn0&4!>hSlh*)S zpm-T*;e@G%`S89?$N2#CdM4Tvvx_uv%)*OIbyE0>>I<55bjR>QH$H5a0$Mi=V=anD z^oG4WFw1Qxne$F}z295?&}0}0u=(D*nxnAPBkJAYjDt4!mO zVfZ||r3jRb9X?r)+Sv_t#WVlE`*lq{ z#75*iyopAS*Kldj#s%;uWk8jr7o8-w>;8+{}6qa-V+t(K(PmD15Jm;_QJr41h zwZbieb;Hxbxh2~aoyLe0Q5|wYKQIz&3mV(g?O@ug&~ECu3~+7 z3!2B6_D|AT3$&n9ct&~GHXBUjxkq=CAere0V2C^9k0ng2@u-9)S`)qd<}LAu?P*%F zR+ZYE5Q4sRcDE&Au0XWry4b_hBlSx3|H)|Ce*;kAri) zQ+bZ|j48w;m=SN!%s~_P414-pY_X&`H`992{=$`OcUyvVj5xU&^&4ETltfOAqYtJd z#vSvC(lK$4X4GLV{Ueq2W!*VFMfDUh)WyGpWs^#>7%D@bM<`f)b?Hv3ku=mGy1&5BcU#ot zMesT7m(7FpO_>E%v7k`@d={U?I@`$YCzY+E#GrQ_|0M0fBhb6H+QXIx!xR%YUn>po zkKlesQL2(4apcJ~%>Rgr;~iQBFRz4d+ib5PSJt2AXnAt7^=%@EMig0jI-dNdP0(8Y z+<0tIn-w6q5D90I@sV z%YRRLqdnH9SLN(nf3O$NMtbAf+4fon!z*NUnZ;4?N+%+qVJsq`zyX!485MR_`TH1%M zik9^E&&-wdvjw7nkUMr%{omf@iE}V*v^qWK)-S?6Zg0dSVpR=s9n%f0)~E(yB+OWb z)@n=}oI&6QRoun64t8ST8J`c_x~^%ba2jzMu?2CgP_6D%6e2foJWp6x*c-=c{k1!- zGVvS_+JHB}hzAw;!y9l1z!F0Ap{GA0udg*KXG-P!!xlA1t{UDXdEJ*e+?6(WaT1M^ zvp|@N_fKKTEi#K&+ZQmFIUXR(BH_R^`mLnd(1;(|kb%%ChC;PN8zDt(vjT zptoF9-OJJZOG&kRCeS1;=~y;L0`bwEk8)67BLjW9}gY`^X$`Fh4E}vwIeJhWm>uVozMlDHV|5-MP&poW%&3_ zRt#IDtJ<48hE!bNGjzN2N2-vzO0(%>=;_yj$HVyF=i4eorgYgS@2d($J(`J6aaqRu z-wuRsXzexBj)sq8S$e?AC7L5UZ4Fuv#6#(UEsto9w)8b^Bw}Nz-4NvnjBG81cA!Oh zHaK`dxQYDyyHHEC{!@qHD;Xc@G4Vr&N7RaV{pFMiVmh24Ae!Sv{kJ`6GV`ykWxO2S zA`(7v_?Wv0eCYk3V`D$U?aGLhj4uZTD_Ihqb`rvAA*e{njr=tEeo()6J51Qnp1guD za*9~W3O$EgOOkk6-Crs;Y-?YXvR5LU=noeX9b4u5Jm1|W)!YKG+c zbo_GJRlQCuYD32#PrS&<1Upz|w^ts!a3OAcZYzgZq4#bJw^MLMW)DRbdI z=JJ$86=psrJ+|uoQKj(N*I>X8zajW&9pElWUH5>E)%1jW-b+*ORN(hKtiFIc!G8Q2=NKAdk9}y#u_K zM>cB%Hf;L5Xvdw>?u6X>G2IPB!5Hd7~&(GBsdjq{J+uL_9r3V z4X}6^S3Zl+eGMeS{m}M;IkIRI3r}kNIP)byuiVI0Cj(A|i^`sCW8ITb(IJ`%c(UF0 z`J|{HZTPlN?Vy^7T+E>|?uzv_k5-RuP4uE26^qzR<8-A_l}VRrUwHo)l4K?UZY@VK zG^(0=(pE^(Q>c^`I8ufTk*>2}PKRf?nmt^|q*iC+PrW(+C$x0&V&YrR>qe-hwJLn2 zbEbEub7q*rc_%N+gEpM@ORh{VS1MI|UM7maj{ggVL#0zGTMLopQ|pT>n-b}dykBv; z|4vfh6xwFg$$`a0^F4(A5RTN?+oNa2cZ#GS6*3vY0HPF0ah0(bP>kcFW=_ZW6?9I= zBUmzmr6X82VB`6SIS2Lmn^>mBfd7TM8Yx}PGYK~>!-NTSnCV4J^Z?)I#5l zdMJ`fX)T3Z2+!Qp8pHTIMV$AW#T3BQD2dc4LvV{=x|C=+;fE9&>^^t?P`O)qKtPZX23v%k5JZc(OvB<4}YX}v9gU7%>}vZ5&3Tl^hyF+cV=H|Jud-m(1fn@a1+C<6yNV;A(CS&J0NxIpA%4iQFaK zd@JwyZsLOt#0#=|rr~v4rZ!<~16dsJo$23(H)v|q0^MEpVhe7k_v*zPTnKJ~;G3Bi zFI7qdijKb*#F5wf+d>v!nrr?1P(KjpXkQ$`^>^wh6xfEXTZ_;1_pJth)MqMZ81b$a zESEx_IJoI2o&6F)+!p9MSF=7l_a)r}b|nzw?prDBm@RN7@%vG;qN|T>Y}yi0F3rD) zn2@+`(RO*N3e0`wM~q?=RckmDQ5q|#iq@qw#CP9g1YO8Uyn6b(w(VSq_80)?ofec_G$BxIc5d&YOw(`m#6@{qJW z5-@KWNl=-Vl3rWhRWIK}RW!FgD+}=OFZjmo?nKnw@MLlR^X|J$nalU)WX#)b?mCN5 zI*}XEv-w zsQSIg%Kqx|L-8c63mbRxeiRQLV6o-bOhhJC^>8+4%zawALwjJ<)SJQ{Zq~hTb{~~f@vw_%KMQ?E(CFkOTSrX*aUBnp$ zG@t_MZ@%v(sFW*ZeL`|3Y*DrQ16e8A16e3?W=mi%(QZLRc?jn*QsqFx(`9BV)z? zJRMxiBp~%0@ zcRXXe{=+*6o`2R{U}|b)>e_$U$=J(a$!e;o%NNK-WU0$K(WqaN_u&??_ey(EO8dFiRRd}j;!+`AE$NMjkM z56#L{BL+QCo`SuHI55LsVya&ha_EoF)jE(0Q9YVfKZq2GrrWA&M;3u4!DR8Si_wIl z_a&xbg{WOKQwaDCz*ZH1eQ=uiCp2~(*uphZ;*)9g zaNKoR;(d!}tqFV?MFpMEyQ(oS}_Iwz@J}jl(KUvY1fn~Jn zhsN6p_t(FDO0W)@a?vU!KH9beF{B8mlM$Q#8MkSsLfuz3H2>buVH&h5LRPY=({Szq zBn?_9T#&h2)IjKo9Z>mm{{i<=@$bVuKr(Mg3V3&jX-%5d|LU7dAUDQvA8ZM!P~9>` zA*ZxlQ8cQixf4Zv=7R7!w)v)|yTVZzX|dU)CBMKLuDVB9)|Q6PC}t*dsKvgOW{L&+e|;xl z*`r53GvIoJ7g$r3ca6mT5n3+UKu*LDo6Po5Bw64xeI-eP#BKVj>mdLkoCvWJaAZa+ zW@G`D?uYO7ra1pjVp=2`oACH@ok)+BtAlE^N_qElngJHQ%dD9-4%7NcZ)2l8HxgTJ z01eEI@-Cl!^zzv`BtAt-+&S!f#M1pST~7`uV2Y+GweUQqN9n?OYIJm)w67q7V%q73 zl<9)BYS&%=^w3I&&}1fAwPvTL?-4BWF3FEv|nfaq#wH9~ClvQAt#O*{|DWl%m3d2VlBG z=3_{yd3u;v=c8eGANenR1~_42HMK44rhbte*9mK~iqw3g3DN^9X3-oZNo%r+KrE6T zUj1C9h+EK-oKdFbL7-dtF8NLJBqD(3!_4lGb=r4&;sRCr3U&@WtnfBSxQ zNRHI2x|1AibJ~{DyNRU>-rdO>yCi{)i7yus@C*U_7rh<%V`_0RM8AzDHdbq6Wu*?R z&C4$I&uh^QZpUwZ-S{#cgK7PZL~R~m(*RW-fs*=ZgYka!VjIV|T$%+h#B)o4)pyy0 zw^8B!^Y;l&Pi5|bJ|si#_i3X5)!M|=lpGnc;G@H$sT?WDU>Vp<17Fd9o4&(TosPRf zreaz(xW7!v$}!Hcsu+7%RQ3A?S+L5oOc_q$&$uE6r3m$vaw#HPJQ1D-l=^A$p?+o` zoA{!iNQFIIpJR=vhe)~TG@itaFtXXI`$u9&6@`E^v}R9XoJXw|05Ij)na3Q-#`wRe|Y zF(zl3HN+I~6(eRf-%N&a6q6}pn!f2gUE-(AGCVN!83%19j7n=gc}5~bwPaDur0oAOS^dzO_51=BHG zun<}w$!7UlH8E5}tH{&@I&-j6^Hxmz%MG3>*0Q8T0~x`#MudVf*77CM{3%cUt}UX- zi;c<5fEujW4oskx?v-y263^@cL(fuub@D^keo0Yd-SWCOfzVAZdDJM`BAE8`Xz3=V zMNch%a%FFUcc}F~nfl7)V50H_*cTEoIFYAEvpFFj&=E8^>8Dc=&K#bNC;A0`9%u6h ztOqbIeH5%GdU?xzoKUe9bg?#BsU6`)%k^LN#4p4`OG3Cs+q>&ukpp;%B5L*EEh`bu z5_Q|YDto7u6>~`F^py4jo2k(ua;Iqv|Je10!fElV`EvB*M*fexZ=WtF;_2vU^~7KX z?a8$J1)jx?J;K^&5W%Kc*`>a*!Af)4B$4tnyKzGXXG$)5pD|y%A+vkt$T^WOFtz$$ zz1yUby1ZoZI)_89mv_C+YZpCB58wGYb*3*KBQKGWb^W`^w7Y?=-qbAK$%%iH$G^jH z|0W}Y-M3Q$xF_h}ctWzF6{+VQp$Psm0`Lfb=6|8{mq}2(lgdBdxy=8(7Tjh(HIMU3 z5TE69%|DdbvNJuf$N`;uR6vIf&R>3mvI!qtS|=KPT04cYcis6N@~_n6LGTlwTtkjJ z^lr4j2?82YhmKm~CLT0bs6uc7&g~q9B#3eMtvwwwz@`B%L~?B>ZW^uO|rH8nuh_4e5D6l&&fGbGhEbg zY}v`E-kHF&%Ji&1X_?3J^J2;01s7@^&?uk8fE8vIw^6*D(m`@oz=qg!N_XuyH+%*h z+Qs0SM$z``oIQ2*No(5PZ|urOsD~XfAP0QxG){S&yZZGv=>NmPEvpOvVETNH-0!-6 zXm3-rtsL!13p3}{U&7!OMhi1GV*ipc0wj>-Q=P#yyr8f@a>3pm9MVFcu*pQuXW_f7 zG_qAnrqDohwO)4uwtksJrWbh{boM6g#wL9R0jwGXXpcJqT#f=3-FDqnvT8NNosU+v zW9{$Tr-ZPpB&^OQKL=)b3y-Z~kdpbhd@14oVJB|q=9Gi&P4~nw_=gEu_>Nox1*@uv zEY{m3%sdqLwNJ?3tKw2ix2#NzMg69G2h<#EDy!leBi)(4JSgvoUaEfkv=O&W$>u30 zwbOXAfM1Ue6AuPTOoOIPH5Ro~^_=62P!QV6)@49fyPB~2HCD?W`r&P_H9uG*vzcc5 zlU)y@mzuzB>Ryaxi~1?XPQCGkq`6r@E28RJI*G-5nz&)KGCK`yl8Sa1;pabfao4Lc z{}AoxX58ws$*hTE&9Qpj5Y0(TKCus_o7DvlP5u{=Xu@N3ZaoJ7VU)8IqN|?1XwUOECiQ3Y_qiv zuGkGezFxo~54Gvhy6-p4H2V`lE^?8458cur#^J4LaKc2RuW%P>7@FJVPu(=vnvSt5 zro1$3wgl^tRx7Q?rn82uv$(z*X=i5P+t8|8P}E(}KJnFX?A~XU)aewBuNX36{78WI zH>iY{X548QO%Nh&%1E79k?@}cY8%fVSPeG884X|2z1=GFZo zAGUduM#16tBK3W5BVN*u=ydkm>RWl1HNuOOBF6+-Y#?OIMd1nhWpIXhGTetn= zJ{-!d;4j0nt=jXv97xEtzam~+-+Ui~{Rc+{Y(_=*mc|K;F&!McKs%cM2YLc{hsJqB zw~68~cd>Tvs(BrD%EZ%ROYkD&#i7Z>gOo&;s);42dm`6kGgx0q7%zvO4dyVB*tZtK zy7S_wiD?WK4EvQ6U;X+y z|8Em6V12D@pGJX`XDTR8FWc`Ftdfwlja|sfHA$xwF{qUq17-#otPtGN25^t?VgZ}r zF(-#MpjlM5Q%k3`IKIs-zR^(Mo+IVKWBck&R&$?#s_2;0VFQ>nUhLn+P8Q4NvJHAP z{I0am6zI*=t(!@`Od|^VJhs~4)>yO!yyA!%Ms6#VjKt~3-Sw=W##snG<_MDEB1=dV z%T2-Eed)aC8Ij}g(9wqnn|2q^^FF&mdgO~o-~8hU;?}=0&uvB!;8bZ z9_g{x6&09$ELaM!DGqQ-D)`tB~&#)X8MX#$OG@#1}7a-fz6GQ55+sBIX&Th z;ouYPHJHS}jwlw09Z{4(=X26W6KrMV%x3WK6g%I^x%@cqks0e7USIQ{1hUTt^poB{ z9QET!piXI3XFUR8kvty= zIhpl1Og+gII;Rzs#Aa`sYtad9iYp<=K1p|l|KI0l#L)&1%z)gk=HunB^0tJ9E zdO3Q1-=>T$#Q3|hi{OMMRPa$eV+5X0m2s!)y52aTYRu5~(=*qS_LNmRRf777)A{epPfYksob3tT7Bx z8m%NgN7>{Muz9Je&Lo^yZH#m>78SEwL$m5FMiG!7z!^PVqML)6z4*z`Esflj64pHI zRit;0?&n(qj@LL=2uKjf+R+!{HfK4#`s>AED6`>3w_s*S0_17BWA|!ZU{8e$FCH5o zcLa>Wl%e7UtWLXq46EwflURO=^qx|xr~1S%4u>FyH?zDFupqDYwPwNHh=jTL{A;YL zIDAT%F)}u-AdzVJ71rokv^0hSp#VqFJ(+9`Y7=2|&OS;E+Az~ZPM;6FNvfnW3D-f{ z8ca2h?8lbgaXWJo2_~t&iD30GRz-a@DyeoI#mdLcBKe%U3pP&MzBbi}@1IcGzLQX! zg;^ChMN(_xloJ6(V-5WMV)G)T(>_TR#crzxO$(I7)|()X*-l~ z12eD+Y~qwrs^sxDR(`1GlKtFLCO&f{MbLrBJ#ap{`#IrV!*fh?UBd+!& zJ8EqE3XpS*wy zSzA##_Fy<6$ejU|ttWwo^wUUpk{K<6=d*uO8d~GS$iU`wv3o#USB0JpI*Jomct5c@ z9o~1oZ+_^PAjH0SPgIsHk>&35Jl=k%PngOnFa}|e(B}^^!OKg2cfW%I679uYh~#&? zi1+9Gk3g1iPkzAWVcab1lR&Js8~v6Te)15+Y@GqHFEO%dy_36;kjxL_7sidHfSSY; zkzbAUDq((lDm4u9)Xk_l{&T|0$9+xB<|SW{W(x^}r{o|r@if={gB(3Cs^G0iu#ZH% zyz>vy&`i_$9F8%3nxm!Iy`>FdE4^6%b#%RZmIY%Nx6b3`~^jt|< zwmRq7=EJt{%Z{Lr4iESs012HvH<%m1SatNouLS|AEj3w(lWIqT6TNWvioy>+EN`$Fdi(7DB3E0P#RfKA;g%8eC{v76L z1WVCt_zdD4%GYB51f*)B{VKGsv|*Ei@qNJ)xsXO;bMFxfmM)EqZ{2;wNVH4`?62<1 zgfv3sKUGS)h{2;@Yjp~AJvqs1cD5;l<8s>L1@!%< zWl|uU?Dz&XPy5;&7#{OK3+r^Tyh{r>hL4cVGRBE+Xy-}(dEX7L-jjqy%D@DVV4T1! z%~$PP$|e3E%Thj;1s`{4SJ2z;Iqe)1TR$>VJ`*_Q_k!!fcjq>*Qr%Kp?8^_Gb{uX7 znplyWqVKj7OEx`rgYj;d8j z^8o{RyS(T)5s)4DlzRp`iD%Pw68UN%$f&TX8{*Fi}>HY z?~NMgBct*jS87lkep>wzxEIof?_nFncDuM_VGbuf=Ew zkyEFy@dvP880+90G2jWcMo~`w2B#8B+eL*ZNJMLA-Or7p#QP=eLYb)zhj`!(LC%wsC*Z-|B zoXH{)P>~?sX^2Y@qG8Nv8dVV(@DCblVwzdR-L?%msTIGR&APT8zNvZVrPXe)HPZq1 zu>8Luy#1lS2=3?9KYz2YatEX?UjFTN`p8$vKA0b0hA@L4x*=_NjpxqZx}~w7cM%an zQqCsv`)+?@v|MdQ+}7V42-HilZsDEv{7`ePd1XCNgI8RMXEw{CtK8`PW`BjNBe~RF znJlzVU91oTR?VC-hJ3s47-SH>-l=979;sdp+U|U$z^lJy%-iLCw40 ztph3&=NuMLF$%Iz;YvRC&29?m8*JU*t@VfCA!qt#{~Kr7GVx+Y7exlu5ONTrF7<8y zbHAk}&WGI1xR~?)Y+>G5f}!S*t=zGS*FW>xoxHaR;*i8(;qK7d*~IJ|=5$nDihz?M z+drnfyRG|@kYPHT37Vq3aVS=w z2?y_nVWI$!TPxaevjZfh7aa>jFgysLKsPrtqHg8b5>E%M5w zeS`V?aRz5c4#TGr?3nO7GRm?-fe&Ky5|HKC&ihSZCl}!yKXX0`VSi4D9WeWeX)n0S z&$Ec~3c5e{UUo3No4BieD;WAg7CHdn(N;KAI=2(!`kO4U;FO8F!$BQK2#Fa#ODyRe zH0JW8F5c(RFhCz@Oxy#e1BXngVA4tpI%dtL%oEDYkXCjENueI)_MtzJu0Y&U2sy(kq-Tv8av(g2zMd% z2~yj)5zInLm$%s=abq{PODnLVYhDUunkGcEip7U$R-+JE0qeY_$6-aBiHg_N-;yrX zk`C*2=rDu!>)*G|hR@F^CO$BthZix^w?mvk?lJm+XvA|w)%C9eVDjEEqJp5u1<JEypA8Pb(u{?ZA)hK#t>t%{)?KPh>GE8ZqN=vvZZl=U&%W5T8Os9=2r z?jkU2Xz(;8r=N>yrNdU4e9Z@!4M}vBt$rUrbv7-K4*dP@8Jkw!-lGXG`!;}rju+>) zDMJC@6oaZ6Ui0wqgU@+;Yps2&Lvt`kghv&-H1!Y8u?CvFpeDBLaK4kyPys}8Z1fEo zfrsWdhYI~A-ZB15{L^SU46;6GrY(Qa)lvnxWD8R-gNLH-qi|0x>`>qAMO>s+5FyjH zAwG!sPH}tRdWM{5K%W)H_Cz@qt@B^V0KI4ib^!O8U0|db^i2)dgX&Ich^n1VY2xA+6U=6Dy%NM2S;D@4;I#cGqtWY^^I!z^tc_e z)d}PVrbYD3(}^Fs%kIe0Wx8#tysur5bMAiaEOPrTtorPN+7Phq!&IhP&Ujg|TE`jjsEo=tokrNTx(64OBO3ny6_?W&m8wBs(#Yvge533 zlCaM|Qbo;54x5DwXZxRc3*_4H@I2Uwt)HL6{I0CDSNWVg0lU!(1qGPTzWPDv^UA3$ zvtfB?{{1f--j6)Q^+f3NOqMZ$Jp>w{p&#h3r!+)`m&j1$y@%CNvHEiiFkxLv(WSW9 zBuZxN#$u=Zlb3%KV*Z#vws8J^C{@V~zAEY@vz;1&HcwX@PqB0GJyJjTd*7<458VoL z1lps#zy?8P%7lLgoWGqw7|c|Z0Mm51kp@TtSWNfCQXed5slzsggE=|Ir-$Hhyk3CH zY>f{yV=eUDVm>vR16B;o`wt2uQzk|t>KVVO5HNJ1>3`4vY?bR>T*oKTD^ z0L)U2Q#+JlO;wf*%u?0Ed#J;jIDg>oJyZ+TYBbt{xN7DAeGMibZ&6FjM~|^Jwb1l4 zhBUQrU@;Wv&ub1gnqLK$Hh$m5G-N2>7Vw+ueSK+RHb0GwiaHkNk%6h@phreqpjvwj zY{DJX0gQ`t3|R6ns=ANF(m0H!8jXyqTi_$8uqPU~>g|J9gkS#9jA`L{yH)e~3Z@~q zr3w0T(<&txfSbm^T)8OvE>g9lie=YU(SRStXZJ!mz`32E&H|>5A3meeiM{O#tu^YL zWn0}&*mwNnZGdFl=>2^4$zuXr{SiO=sUJCy;9tEn&5Wh{o*#uWzT=~O`Sp&%Wuve( z2IHMEY8HhN-`5e|J#E@tk7Y?=MF#r8t|f#Lcp@^2gSwqX23>!rw<3yzs%<~8k5#$G znSAJN|G?9Ki-FW@It*wb^E;<~{TAIo zsQ2EN8I5BGU^cH>{>evEwc#GBqe;N~z?Mg(-3v>Yf{`8~vno1wX`M6p?gJqv8@m1{t<(^v(-yB$1i8TGzVg|d)3dG3Ed z4CzYM6C9BIfCV8)SB_q?mwDq$Tf8zKz78X~`s)3)v_*f42Aq|>ujDKs-&n07n_m!-?2yd&uD2j26V1vPCup?&w zuVZw3u;Z^o9yhx}F)Yj?=@~ZHc`D+PC}f zJzpT^aU|o$C$ZMP{vd+I2wC4t6!W7ZOY#lx(_AW=* z<8I>XbbE69BQrUD=y3Y%PXrD6d!<>~#^UyrEg7r7zqoAexowqRTv`jD?KcpxAMXXj z#7#BOY0$1??>d1~;G9w1Waor$4<^Qfu_IgwgrvLyPY5~xc1S$x68^iG@1b(uRUS@z z2;}E0-aOfJCWb&4l&)C17DFU9d6f_iLglhz z_#|vRrE%>;=RUI79qkUp9RD}H{*(%a-OdYqX-?tre}n2bo&O;y{tlU(4kV?%StFIF z_|Vj0p=2O=+@*@&Rt?_2(fFS{w@L;EHntkSPPrIV9R4(f`*#eWg{0!)3a~Ib>b`X! zIU_y0s)+?EuZ_LwJUzrKwvIl&OA>q1d*XX<-qW1zmnPKv-TC%>TMBvP$?s>s(S8&C z4hP$kIPbGC)05|BT)cPo;thuocaep!Vjyps;e6$-^K`bm-dnp^?m2FY*$kkW#E>r| zOVfr3x_ddF-R$jMFE3xVwVeh8>|$Unl94t;1j)Ueg>UvgT`fnQ_G}1hq-yg#m2jxM z_c>wArIKG>4gSZor0%&XgJP<9>vm7fW(>_Fjpey9Q+mldfN&YZ6m7jmO}BlE?GBt) zJoN`vaw7OIzgHmhoGf*c&Q19exxF{Su-R%2dwFJzAvEnPf3g(w@@#Dk-cvXj1OH5u zFC?GFo+fA_=+f(Kw-JLw0|rV1l=5lPy2O|)=z)01?IHJ9I_~bTd~*IE+^EsVV|i}M zv{4>fzU? zdR`ZR;#+E3uJ`j7J^9sioSaJrclO@)%r932ChTDVy$Z;!Bcq%jDcA+QD@*cMh452X z#ylXhWOj*4{93M%_Ts;Nu-vvCOtuoVcsrQxc|J;Zd^i#{QIi7*)^~JK;rz^%@yIV} zG3I|#mNk6kZ9zTb?*MX%-^oiMOEDR5kI$TfBYkE31aF!+A+EJ6*-i{XuC<1bs-C@0 zNPX*mayE-)>U36{?sZ-KvEK)Bb2|Ct=3unE<$5PzNBtxpBJ|hR%u)e?i?3Eug?4W3 zFPpe1BRT&;3idfooB3Y1vxAW9{ae)wo;)z7I<)n|Wf>*o^^xLV5y^c)ssbE7V+4?7 z2~U^UAEos~mAT>!Oo#11lQKCxdz4eDdPS==T?AkKgk4OW!AsuZnK?>>UNd;TP_i>T z#+Jk{q1^S(;s}{gVpPQ8ZPz>g0`yJ%H^{0iEBY=9sd6q~1uGtZq50B!&>m7S@i|8f zK8cLdp~0Ruja0P5_&=#vO<$qYzEgO(#+jCYb_Q3T^}hJ*$_KN2`Kxa{i;tDxjkg_Iw`# z^5BoC;#{5Sair||<#X?u%fSm($bV~Nq+F5mp1;&TxP$wu8H)A(!dXTt{T=Jj1 zu4v%iDm!)9ieISTjL))0puf0W2w8%HhI!TQL+dAZYmD}(4k^M~;GXr`sS#Dpj@5qLSDuS&l1i! zraLubTQ>{}=z;gWKFTnhl`2~873rwS;x8jsu@Wela#X0;2HwESH`p4y&qr-O+L=6X z&M-HLI(l$T$2ZqEKat}Z*YL#cKlAB-4Z%3}G0np_TYN5)X*Y6iX!|3_kM$eVz0Uqh6QAw`vcfDa(@0L-#R1Y3^=9U)>Q z3b{jmRPZ3<%sfdFcN~CU()}+mg44zT{1Xf!EJ6^xU<_PjxaLeIC+Y}Mg15_Ct_}iP zuFlhMjt1+*HaER2HoaW0b|l60ZhyynUH7$IPu$*2dUbidEB10;gQ&p6z0Sy5ZV|=( zWK_@Va#hds=1{)nb{!5TC%hVOD%!oy`fkrQLHN;Zbr0lrzZP=UC+~H0IM{M^v6`(5 zZeMn5u5~;5_I9+t@YJiG@AyVOqPW8AYV=kx<$|RA{_VwN`pt>G=KZ~7CEaD7+{T}_ zGA{m$u?MIv_CIhI)^3mEAy=iMt6eQuJ6+CpH*I=e*Qz-enV0EaH>YHdA~|CaVQ?7d z5OWOfgK4*QjN2KY0FM#0?sWn5)NXF_Ay=CiJeC;WuVPL<^+7xYOs+=NOKg#whsnrD zyQV^Jj(R>_v&G%avS7J{cujw~W&d(J5`R1LXV2)CQ^De6$Ue`9hE>}Y$F9Zp?eLbMSrV^jwF0on%Ypkm7pcfLN5xBF zo;Z!eG-piaO+r)P6h2%7elfs(2io@6|&LS*?< z0g!@qiy({jUxO_6+c3X?*%3WBJuf8HcO~Ea$vz_4K}g!z+%6*7J^%G0{x8bt7GB<+ zoxVv<@2muWIavwPl@Z7@T6R9`V;uH)ML}LdfF`tq_MNwfe6vlsQs^<|UykwTfJ28m zdj)%YrN8Kg^H@QtkO()n-arI6SJW=*XKxP5_LA3hmU(&_e%eMmS-uq6R3msap&IQ; z)05@vyVd^Gm}Wx7&&Nt35+|0Nh}77dviqKNBvLdv+8A+Az{4$9q}(SxcZs4QjnZBj zURCAE{n+|QR6kHxZkg|@Dcmc`craDLPDKkaQuZApe0}6`#%Gcvz7}7CUi=o}>T8cW z%HR(Mzu1jL*U%k7r5wiYzR;rgdlB>H8+!1In2GlhiVyN7OP5KhxR+K|GiDxYyA!L-ehP{3-FVO!H~-8F55?(9hkUMLU_^WRWktUT>nF#e zEnN3Gh6WP)2CV$S`q=9mumju4?N#-yGG*mt`V0T2>&Cu8+w*fONZ(=v!R5(eWO@U_ z7Kv=T*j4oUs_yptqL(|Mc`x?`uelva_+>;b{>5($uYTU}*vpI8w`BIW(bQdGABVG) z3!gujdr3N-BPHXtkR^p1L$8_|4ugawKeTT=nNi$G>HNKyUB34?;H5q*{!(D2uH&<- zi^J3O-AM>2j}tnprx+DkUgvwOZTa$$&RDySc_X#Cm!=&rxf#EAefB?@`Z`2PggbM& zF6!2z{cblNZTs3TQzyR||4Xo5=YtP*xR6lkWxG;OW>0+OAM2V|?^914E}fXtaBq&z z;~}0zeBeT_G;^{8v#Ee9f|KW7pFO(M4gMzTY3}Mr#NG9pZw~PCIy)QO>0{VwZd?J5 zZf9NIuEX4~v6FrW!HtguJp4MH33BoK1)~kW$CC{@zA}#+B5kr{YggjupThh#A;jy^ z{*HyXGhAPX%1Zqm`j^Kik9>xmR2m|n!?hG<7@Vn>*nsl@lS_jjYVtEqpds~32s z!R)?vU5U#g#}^v;_EA=~n()sov45~0qIc2J*%{*>ijgxTdB=p|U_tV(^qZpv$uAP~ zo8$equG>qfRjHw=CC=$^)vDgj**>@FeFoS(SNY03&SgXPCBN(k`erxx!|#KUYI9~% z3@7I{VnP8;u~^Dam~~~0z6CeM8fCug(3RPJXZe2!dkd&IwryQ_ClDYw1ZjdL5ZoGf zx8T7Y8iKpKgb>_~D)8-~_KbOlYz=LakyHhgpdRpU;} zSJsXB+H>1E#9VhCSD~cja4)~yKRz7P)X0>q(56+TXphJfw>9+8KB}J}Q5`qwbTzRS z*0MHkgtd5nWbTXiFttIs-AXY}Yp&3Kc6zv}sYgEIB_H8QjXEK8d%50Oj5>K~Ng?!j zP+egCW7BSV5mK_ybAC;`YP0d)d83DJyE{z#vODOZ`$yxL+xJ#Wb4#JWj4=YbT|0cjtGJ97xzifuTIblLtzWyl|+ z(s5yFM(`@#|AI9q{MNsnI!+VZK9Y>+lhWuHzuMyN>CS4V7X#r&lrHpZ3%CNal@Y*@ zO?Rwzx_}bnOtn$GKOC&Nm3}2`4aN&+`+>twr2iMAjZpbt2Alp&Zw7m10W&^ePXCEw zFpoav3Z96`UG$Ex4$klB43^G_cw=DqaS9DgEUKUZq#*nL9q?s=H^7(iUKJVnDoZ#HmR8P^55?Z!I zd5WUmUGyyM(53KR7X=-4oUC{}x;@?RZH&;l1Cvhmy~UUtGz2zfjMj^Gvgskl1|O@ z5AASgtjzLo0mkSe1^GE$xjFQ_L!v&P2#v%qnE9_1D~~=?;qFH`^&9hsqb<-i3DVUh zUAnGCrea;0y z(%t%WEp>7TUEGIOr=)zObY{aamq01aMWuR~KRVZ3Pkoh6B5*3YK2d6RZ{gsDQDo9* zzk=uSuEf%E{u#dN3De|(N+H3ZMKOU?zaU+5A)`~kHA;m%LufRag1XWW9^ojyUBETF z^qaWsK!1z?3XS>)lOlPF!ix@7vQLE{P^aJbDybeQ^efH5X(*36m4vkJ$QTq3GlK&-g-^3-mN{(EHGQY+7O-cM$j?xf!yk&+H;PdZt;po~Lo$_{GTD#y*^h}R z6lj0^S%J2PXdYyu?|Q;Q=Js^W@caOJX$pH;?)EI%Xz95V}{V$vpS-CiwS-;zd{{HUxGm;@r zbBk9s<pNP;nqa7$EZ)*1@fJ{s|I>Q;Kl}B&zj-hk1=)P z9Sq9GD%(I7)5y|^FJKzP<9#+6e~xwSo%M~O;_q7(&SHy0#&|+>vw?w zr}z4sR2IbF+k>X_>%z+zCTIQ=#Wu^wd}X%`h`;aQ;?QJ=Yr4#UHRrHb4@0*j45qDC ztO!NWwHaI$GrhiCFygmG%)8nvxyua+N0`t?DAd z5(+m90bm+{a4jSUdK-;kJZ$2gu3qc3nQkow;ls(Y4~pS;wAVjoee?afKe41y>Z14` ztq0ED&r%lyms#{GmX*>2*KHg{RLz43 zPRR+I2|c3c6Xk&@R3Eaq!p38FE{vAT!ch&+`pP! z+H2B^=^gKPEbw(Z_XPQUV8l0WbYi2Q2=9JXmYKA;665y1Zgh17-YjM$vqGxB0X72>=25&!aWmrq(k5qmr7b4b&TI|L4b2O}- z!7nMC`TH*;_=;CAJE8gaFSeqJPcL;D`OhzleTpxh$D8>typA?gFWSRJ#tYghJWsb5 z7jzd}EuL=LbS=qr$WsG^gv&7vE$;VsVRG701+ghM84J+QSJ&>!r4+w^D7XwcG#wFr za!4=aYhlNtRkDiDy1Ojdr5m`~TFj2EZ=_SM+1jtyz#o`u)-WGXR4tC#5w3|ZCK#9+ zkMYl+c*Wtr@%$A*G~J59zX-fXqIr8bZ4t5KZguG7DzrtzTP7pfis#&~sLVQU(BXw9|KGZfca5Zt7cUMla)o3QpPM07zJdhoA@qepTifiH% z`cC6+b=8!QM$H=Pm|9HQ#YOvVtBo4!SI?d_`9cHG!Uq`K{>MVB=~S{KkJ*C}M#Q=Y z83>yPh4@WBxcH7gvHqs&eN*mj_UT=w&uXdIQ2f236yRPK-%dqR$)}D#bRibud*kCn z^U{wp%;jM(HAz=zFVz)1&nphoJueHx_qQ*P+~%U5k1a>LVJ~4{=T>BMk-`q{BLom0 z?*0fg+&4Ang0*RgoiTE<3+U~hw6s;?N@5C$0>4d6MJNib8P}_o2a>bAKFah~CQTai zfVxFHk|t*sN2KuyMNWY>4MTPDU5Uw9{`&K<+=C6zB$raBEZgqImL-8GAO zWb%76b39dSllM$KAVW^~iXothsl~&OWZ4CG>O>rf*zR{dF%@}EYqu9OBfJAQDJw0W z_m5j0o}O;cGb1mL-v{EoA8wBx!dmXQo|Cm-u2)J3J)h3jZbr^_UN$z^>I9pgjuuLI zsqt@;C)#;>a89mza46|nKa2~?l5uO;j|-}iaUTNgI~li|!?>Us8A|2DV(jOet1-jM zhA>&Et1?I`k1pb5Pqf$lF5@@C448f5IX?GB+}yB0pekHoJ6*qF+Ss}Qx`iGum$F0Z zK-Z+lB<;jyzMOCLtO1Jo1nI`*nZlisNAvmC(`_)B-t*9<`@YWe{$&?upyv<=dx7z1 zcO=6+lbXlF)790EC(o7k*aS+9eD5|&jP1ZS%C#XO-Uv@E&%^5Vq4z^13XiAzbsLI( z*?DIdrC*SuICc=|YO!lu#(0yY9@(|3xy8aZfVaQ5-GVy?0amw$Kq3sPOg&70&}+7+ zCt{cHaQeHm{ikXV>M7)3(=&`t7;6pL7j7^NEAONpAL0Mwb3aZQEiX^!i`l`_;n0EH zQS8g_ujVfYT{R^aLzG*D)qxRDCSYE*ob8Xyl)ne~<9kI9pV`wa8w093FH0IC&|)Cb zyqawXU(H60ujZq_&3vzB#xcN*N!-3Q_ic=)_5b>?sp;_Y+}^GoY(2a@vBK1wZRV`- zM#&Jy$Ty=uJ)YdmF1bsed%Tps8AYmBKhrRBq)ff+6M16x7;a-z{b|{4 z2Zk%E7VTU#1fQ(4c!m!sMOa?iDq2=|=XhG37I;9+fsR?%*EFP*Ch78#71H7_@|yht zNO=&)4gz2MlcFMZ`^A`gt)6+k<*aV@v8;e-SJ#31UgnOaw)Iqxo%-YH)p7w=!OrlR zGQ;epo}=;TD_;CUD$`T~RG598@2LbDF#8tt-%u2wuB=$Tp}0s%IS~?#W^D;oFkHF& zN6x#x;1pUYt z>#H5Wi#SU2_R*bY?xaR-gMZR-*&jO*20bM>RLe>}$0=2OEYu zOm3{0XnuRa+IXO{jZ-$@C>iwAA~x+mC7epVPwsY8ogOf65*j}5Z#;~iTcEOSR5tio zGKj4muh`6R`kVo)v6XT=M$n!%d*J!Bq2O7Rut3Thi^>{|OyCgB5N7)2) zo(srGb$xR2m^raLYS8k0JeWCpaPrHXXGbo7x!p58hzx(2npud6-NTxPE%mv6W0vOr zm%7o6c7|drh3L>m2^}ybBvXj}7wL4vVW-O*h;Gwg;4FVvNzUf8|RYKn#mYX-O zzFlp}%p;|SLyU(LO*mRRcQJOM2o3A{M}d3lj}6-w><&p)J1G{Ab8&of@4R zA9|ml8R06JuKVB4n?}t|>-7w=P1kf9^p^5C9M?SDjvEZEH^|5odM-gY5boMkdr^i3 zJgl%HN`@)MRlgpC6)VNSdvzCZq}tDS2Xq7E3VSES*-4%*u?Go%TAo{B+{x+PUg?Z> zWFLR4k!MrwM7buu&`f)@;97ZjUivg^ww$tMF@mDVyh znnq*t8&$$Eg^Y<~fuMCU<1DWl1Q`)ZfhKU(^QpDuYH zw#g}<@NzxRV{~Tj(%W!o$z@4~cQ;z#Y4z;T+c4r}O6gaU@MunVW9w?~=eXP3UiRuk^uh!aBT@G7zg zn5Y&P3a#9V2QtE0>Y z9z$|FHtBSWFq`yV;#-b22>-R4*+FA!9A>r)Krvl83kgSJrMe=B8T+4Pd`Mtmfg(>W}j{%_zpuZ+hqc zwZGhgg+c9!!gueFHuPmMY2^7P5=Gaxdp_4zRMo+Vvt5HPuPs^UuwSq4ueYwASuq4#tbq|nYhkHZlA=19>{1U>q_LLLubEAEoblbzWSnKnI zm5^ic*<#~Q1V#N$F-4oE{7*s|rxd;bz&fC;v1DZbKWL5@e29(m6Xr!w0F3K7pQ>#dh z5HwfLv?aR@jy^l5{245bRWdNTVi2c7sB*!{iuO@!3LftlDAE!!)=!(>?wWUtQZlGl zonxU|aG4haW)7|@Bc+SX4vfiO=MpBQIjYLnDnGEN+owt3a%j$9s?APr~W=fiZ(a4YeYKp z=Y=%99Ov?m(xuX&Qr+3CXhIHCKiP>q-IL#G(==xfgVF0Z@sabiek+F3^JM-ZN;nu- z@7MW)MBc`)7+Q!vpwo(&TG3m22N5&*lM|rIa(zm7;uEs2;byCOA4jnBkXFBT|3ErW z6hy+I>6SSmJbqJ>b^WoivRvGoS8HYY`S$UwXogsiF zy-Oe6_}ealQ7#Pb#$3|;iKeS$j>O5ng17BB$#2Jc=qKq|{(O0=g+HgS(<&eGL}oGz zqRdjM(;18Qc*RZnp3{0hG^X&Vq7zE87)oo{`UvWd) z-PPO?cLCbqem!+t6SE!WjgI$^QvRa?WI$y8(EmF4RYbQB?MC<)&1MR#{}^XNWhgH% z56uS3ORJh`Qn-Uj6C`QRVZUX@rHy0ZcoJsG9&07<>a>bu6zCooalGDF0nY1_r+m!| z_S3JkNJ&!mfZ5Ra)jX&DYF3)d>y6=c%_FdvGoBO6(kF|KNm5XAcvZ{?CNrcd)p+xa zo-&nKu5u&Q<^Y3!&l9cGr~)4V}fBf1aC z1{-8oG8OJJ6=#w2%{g><5ox z*-7`or3+*wWG3&SwHdb{$n43F3hC;`#3fE)q9gMU0qN8wI4|2zjG3Fu({n;j%6;p` zjI)Zw={eb4`Oh%Q%t}R48k@L)b<{7j0-Cmx7Q=>b9^N!<%9QvtxfE@5Ei&wa;=f65 z*C21UZmN_Ue;s+tx(L{?%f&Z;A{G~`w-P8;JS3!K2BBO1nPuYS3;|JYCmZ`yCpoS3>(zS?8 zMX$m0G*Ue>b@ZA@n)l0ni;T&cu$RYIWERSjD3@r{U%D|L;7lWqEsRBGJD)88@aGP2 zCp7pab@%`#Hq$jJeI+^)VzZA!5~}yl4Jj!r9v;t^7aK3`xA#{Uu{ATZZr7WUM|)&j zdt~lkd3o=)T2^=)o2vGtTV+aKJf1G^dI(omK1_`80t-g23#3w#{6dZgU{98A7YKP< zUTzK6cWh>h8aN%yX?v-1=SsCEH!(aGU`6-_FpqY(_Ao*y`*~min*f%l*3$WWtBH|L8~x-BE}koqd>Q`B^U;JF zJV<*9HmV{_U)jXE$-ZyVxXvtw&w5pFAkK=xW&3gCgfa8`%&#ZaU$xv%oA;L=aFiWc zXGx>lfL>np`&a{3a^`7Glfr_F8NAc7(3}Q}vSaEpnAc&}kX%$6e4cMb>|Yt|<;1e3 z>!JOmk8Vr?t}-LYlNHdrBE{34xhdXiSK+gnIk5<~aAeF}SNbblTfRx!fXNz?r0Lp!uz z+0&5PW~1?_k8DP$Wy0nB&@!X#Ijn7SPUz{>(p~zE!q-Qfo?4+^rDCG%Jn4EyVLL_` z3+(qRvZl;tecP#r;?y=b4Y?xI_=YZ_)ow5ey?QM6B|!MGG&`Q?s=%M7~yS%a2~eu@|$j76`Jv7K0PZLd@AP-x(!hA&{BGA zEWCA|Q*#H!MWzMD_II~R;Ojx?wsOl7`|qz}Z)K>F*cR2x*OOOMZZ~R5TAH6@mZ~jD z7*nn=)i35gXg->Ex;-u-(E)B>sKs4==vrBleZCGovOJl6xend1JgI#?!mnv*+IX&U z!ZcAKg{-0+Sej3 z*29zOe%lT?XA2d|5hRJNI-NW&R_f>--#q^A9j~S+HD?~{Gr8i8{#LDi`@xU15_zV2 zNVYL111Zrq$)ZAMe1fUN+rw-9#z@+Cwc>T#Dc?-Bj;k7escn>% zEG0!13A8ni!$~!=l9R0d@e~kG?dpbcjoU{(Fo>=@o#>eCJk^V0$L)-)(^bYv9>i?u zclneAdYf51tGEr7srkngw6s7wbX4rm13n3*?fol$c4g?Vcu*QKy@2RI4YkNFSZup> z64C{bmN-l=p8IEg5h^!FU4X8uTGhg(@-gQ!|D8z;9!6Pb2I^4g*>Yw%RGdyxqzBD? zi2m)q*dVvtE)7h<-u8C&NK<$Ph3zEgZk^c5q^f<+Yf)DJt)Q-M%vO@NuOl|q z5s~jlqKG1qPY^TNpRLXb^fxDplEk#}qKcB<%Yu;o+pSs)fYEi61^6k>iYsK=>3sG+ z92Ak$UAv_*`qHUr3w~bVQjb*iQ5lmbKJ8%kyelYo`t8hVl*_I-AOrz$0$qva)%3q$ zHR!w8cYkK!m+86_|3M5AJVtq3(3}H4o%VKP&NB5u;?uA`(sg46sQNO$fAJ5?_#g~6 z@ueRfecIAK$@=^Z&KZ)XnL33?g!EHWbDabv|7QBL1toE3xI&Bjv+MxIvBAEG-vmtB zI|A}?3Vk-#^4cH5y!lRI%P`rDO2PTYx(ZSsGEDw}6el`)p36=C)H)qz=}j?}#WLLQ zFc_V_i?=SpPQrCYcO9G2Xx?DHAdE{-sR*YL0k9x*nFwnHXIBK61)XPQIPw^drdwsBT&D9f){F<^~JqIhO? z90yn9yay&$Hmi+<%-qV@*!zH~O#Wcm^zG6fdLt($RiZ+nm0Vf7SQk7Qpa63*(%*ni zMbU>8pqqil4|H6L`n~}{C3D|C;QDtFK|#s*rc0SRc?nF^_L06>7UQLpQXgaL#X1I3 znNAsgAvHp80Yz)=9WoH2c)396van)sff>{RY$R8Ts-F|5>&^I#tI*MpkC_oEDGKKo zk>Va6a`CPjE(R5*vH%J?bYP?+XOj2uU;{HUyx7jI1$2MSh& z7Yv=L>a}j*a5TQ}k*_&0ggPsxu~(y0pH20|GI(Nu%nUw3b__5x9>bE4fFT)ZcnquP zP=jHzbmRU&A}qlXZ6sEYPLrn!%)v`5c~>46aOZJY%Gvj3Uc1_BABi!;e3=6MR`|{* z7xLy+sVd)Vo(HmNrA3s&fd~-6?#=1YAosD2mIgy1@!p~1zVLR8mmcJ41eA@OiN9n?I-QdN$8A8?sIPGwGusgp6$6dYJ2yIL{*n^&c&09utr ziT?5H-3__}VfpJx`V$N{ui?Tr56~xgJ)_(>{sAbNnm;)KL+mQhUkEz0q=m;cLuQ+c z5KA`eW0R@Y%O+F5chXlQvix>0DzHcR9^3uPWVvHb5D%qr@k(AxtW@tF%x98O3Q2DX zE>}O9euZ2M$Nuc}M2t$((Ocg{7PWdd#jIynsrY_8?1@!K&kuK*D4XpUK(ptG!4YV8dUsH^5W8CKueJL zjP$r^VNxn3gr<1f#V&st7eVHmj4oIZpyS zAs`VwSZN@fo=5{$0GPDhbq-i9=3xlQ)Fbu)rOrABQ1=+{lud|jnv?}Og4B?7h4^F( z_<7z77fIuc9P#y}E9HPDzD=6^Mz1}?6)u7=!&1bNgQYP^Ld^5Ws*>*JX-}VSy_6)( zk(4daC4`caEm+^D8CW{OiC?jrI~{NJN^$Eu?+u)5^>V(6gi$&?*>3m334{?5TSByj zlAy@ap~zyAL{b9iFl)1&zpG24&Uf~;Sp%B@P1(B)-@iqty%R6>P6oRG+N66+m2?Sr zyz(yb@G^(Ma+A?#cHAJyJOu!16hDn~B*0Q8N^syVsRpyl3Q?XfHPlQ6uc%Us4 z%l|hPII2qVJpd^wT1(ITE5dx*M~q6S^xMT$Qhg0l(^E0eX*UEay*51`>M{Px59UHX zz1KZedNX-V%C_N*8{?g+I%iG3B=klq=-wYhYpnqGFYUirQ`8?26Y#)89d-Ad$f83X z<^Cu8TxrEKo{E_E?jk(04^=H5F)jLEY|K)leg#~#HU8v#w?w;S8Rw|nbbcK8v@}v5 zcjG8^gM0m4yywwT3ilzdKlMoxvdw0_r#N)Q?*MO5AP*&I3Gf3ws0$0rNTByL|ApQx z0>mPQnDE6{3Y{2+BpZebAfO(N=-Pu}h)c$}sdxTbcJVuMT=3gk@r}*L2voFQNkR1T zB7=`Ma@A9kf`LiCiI+b~tv*Ho`4420{kcn0kkXc0$!6Y`fTHePjHHAoZ*!F_dfhOg zidIv9wQCDT3(sz~D+yLB(Q`Gc0Fl~f$~Oyfp%lX$sJ~74Yk|cuSP8G<=Z06Y4>u;D zGpi15ZQTk<+#mrpc@yi6O#Nku_*fXn)lK<(4QR=)@0qxmzP>m6qC1(7fktbj$lCll zsb`$z*e)5LWLy8$Gk&uKsexUiaQ{v})&99jwm#z}SJuB5MTAnW( zyq-@sF9+6d>RV9%nJcdN1I~E;BpDGi6tVhy-mcE@&icb9+^6!P1U{wO5Gbq#QQn^^ zAMR_8hP+)O|6d{LZ4)u(E`A>0$=7!-qLibYa$d{f@Ry+qsg9X{A6jauyh1U#;xFRK zor0~pPgNqE-1k;sht5G(dv!sNne+!V`$>co@&`eu&L;WyMKybV;H zHy59l(q{`#O$>~832$Ymj!FdE6x*b{jBZAG*)L^~p94Uf-@Ng_y>I?k(3tw9%-pMp zWTWW)Do!0MRy~aN@LX~d+~cEo_^IrsVY%e`5R{HW)qC)Th2{6$1!-T7(VgwyS!$hT zVsq_f@fg2HWEIK5&6o%o+w0!7D;P7j*pkAulYh4~dWgGt0mE-$HS)@k4>5y_P2^%>ICT=jnKt2-KZy_0p%IBw=Xa+6Zy>F1 zBDfDX1Lt0#K!{4@;pTOtz50ah^zv>wg*3j;%X%YMFbMT-I|KQf!0iyxo~)?A=Ra!8 zf&~@*p?JEORc5*Z=?8o?jPawn(i6Hh$+0E!@eV%>G7w6OqfzR&gx81~yVLRq;Nj-O2y3lYfWHVl0D!#}c`Vg^pus$PA|>~0!& zFBx`chMU?eLY>k~`hqa#R0^zhEBjboW>8&5+E6xIUG}A>%&MlWv8D{JwoIY6EVZ`m zuy*Q?S>YXG%Y5w4oIU)j8L)=NjWE`Tep@zvX+8oMm~ zlFI979_y?fjXiBx*avU#r?$4|Rfs2;8V?Zx_URd-!Un=Z_Vw}BS^F;$mwQKKq;AaC z;xh67SEPd4jMlmAhqz`PmsE~MJ2Z~ZE8llUC);LuYVP?c-|t5gxM!_s&v6zX3eL<= z#L$0&xBkQ0*$BGXn(^9W`ygo>32_p+b^%A*8(Pg$%u1g*QW`sIqxhUK4M=YsF`Y?^ z&hF82CYj_M9NCQ~Su{?}IXVO+WlRstni?L?;kY_!q-=wY$jz5o%QN~%|pf$Kl9+)6ec0ZI1M^+&6@cT2<3~9T0QtuQ-!G>{80;p zL>KIfEz*IIvF0-d9EL&pHgWch)uKL{0A!IR5ll@L!9pHq*a!%Y8Po zy=_FTWWR5fWblO#Z*d_T-@erTd}}bJ1FwG1)H6p zrly@nTQ)=)qKnr-kDhs0_4D_qtjj=9X!xfsxI%v^VanefB}CQB#*NIn0;haeS#rc} z;zY=B{9*o}Pte>{_bsTG->#qhO9e(NCKoDdDWT1$wIUJZ1x5|@LP&NdA^tzu1z`n+ zhVGgfM0N`aetcdx3_uy|Z3`zYaD#{-hZd@4y%l^YmwxAat)B&tcr>7f3A#*_=g^17iAN&Sdg9Yi?HgMTkB7-)2 zlXxITw#N!@89fK$BDZ$`NX0~kJmq*-;@P1-D-I;U8uxh+FLb3*{S&O4qcfz%*o7G^ zZDpS;KOzS#PHrnqNYQi=vNBH$(-;{f&iM?POw_*LBmO>-ka|;>%Jm%%_`9u782jc^j9L0}<3p__UNxyk4=TSI6Pwh336;v;yrGQ{@DdfzXh^MAG zUzxf4XO|X7$nLO$DQ{2;5gqc_wL&nGVzn(#H%+ znKFqE)G}Yyd5=7jf1BtQlx&iZm#plYvIkb?)sbld?@2-~WpNuUL}Fw#?HC)JFJ-P^ zQ2^98jHZHJgBl47U`F~lrWJSc0xEM=!iD3V_;2fp&3$v2sd0r z$&k7!)^?0MlpY5Yq9ZSLcSgzZGF3Y`@CFo`K zpi8k`4%O0LzlB5AfyN$(vO_o3QYHc67oj0uEj~OU&Sj@?Yt)de4WC|qrkLrPFrVCY z_#=<3ZUp>olaSU1RK)YfH^Od2ZVjcd7}t^Q%L<_D3%0ky*?A(LSUc-$&3=Z2JW@_x zc0c@5Fl7C+DWbkS>t}h`!Ft_^`*0d^HxTl4C2~?Xrf4yxh_#|;z}uwDYathFke@<# zFd${djtAj@hX^Q0rs=N6)sz+U%%{57O0)bjqRy?*`Wz)ar68k;mhP!IqN$o_JphKy zmd2ILa0cV&d+UV$4*w|0ITa%dH(2?OSOR>ZA)Qoi@Gko~0rr@Dyf{Ym*gw$Te|D{f z^U-lbrP`W$fi1>7m;|?{JmmXacUfWb6S2@!P4Y7_boJ0ZImm2nJI8`HN}d#a%O~C1 zx{A%71m(@Uy@@*5%b(JZLlGCAk&Aam&|H2h+cUadIPSGqTUNH%JcS7(Y&B4?l-8RI zz40BKf$saK(9;`df?-l`3?EU-U~$Ad9iyzp2M2)9shjVWe}WS&@rGHEcU%>ViQLzZ z*Z(^I5#rq1%>`j>8j>{eu6uygCu-1H>m^RG$qAY>Gm*by@877ACxquZP74vil?)rV zY-1vTo~T6|REge`_4Nc3!%k!jWr`+T||T&x9{{kMzMoIm1suy*OF zP#~k)(*&&wp~Mn#kog$M{5IrI^Njn2KyY$g>=q9K*jyBBZVAr#u&rO0iR8~i&%0Ml)PJT8)e*giX~Hu&LN4W??JRg9g*)H(cUSt;3D zn_kU}+$o;~EB^%Jy=-%3>@HMewJqO%qKrso>hM;-t;~wmk_l4@Ofm#16|mrj_Qtfi_zWtv z(*J=3LlsBjqZ>o;vklqaGni=C;t@}%?*^q#yJ3=>@c_zp0udAyzhKasI=EQ%7mWUP z^E)s(qa*E)rc2{NiUL#ose}cb*2R^NeQZ&iF)*bG)fV%Ajr)gF`X*cz!@UzYT|$HT z#l;uAH1|_u8ru6;UFmz6g{9yli)`Y}Kg=NN=z?iTsY01RjCpz1F@oy)Y@Yj#F+z7e+ie^n1xXG7yuQxM*9dj(i|I3{t|l z5_p2gC6FQy*eE(GFG)cMq?fEK?hhnziNRO`xIHyz)6IlgKgogOXO0!TGTRtcA~Kfr z#|9xpBLI)5 z3B3*C(-F+(hhsgc75W;eu^FI<0fkW%ufmZ8xWTi1@EK(R9prb1g6&U!WO;me7Hwufh6zKoaxcYpz#sb@~s+62`D9c7e*VyR0Yb-h1s~ za2(hf0~N~HfMZCYkv{B*fw7i{k}>8t_Sm7at5bOco_DqC>ppB93aJld`dDw?(&c1C zpKKOG?9J~{fro>5zGIWggyKt1hex2r7S#f|?ZfuHqzzW5175sLl_>6_Qj_M&9gNFU zyVKsZb_q^?26M0LxD0!%(`(gctao|hVnDR+5Pft0DD!y7pL`FtR)o2j*9DVLHdccW z=NU_AfC5tr8#jX$Ty_aGlOs*kRFxB5&2@j)@_8z+VEAo z`@RodUQE5E2AYdCKV4`wI?h1pfI-pwK^0$3mI8GMrT6vY{+IofK;&7l#*=lVYs^qPYrzW4M(C6FD2X(818Tm*JmprG#K zH|@3!MWR@AdRh@fo{g%B_KUwcb|cSr->w?;z}5)ysH}KeHOE+@Q8GB@1#d6axvgO( z`yAiuzSV!eXN|isg6_he?`uIpMA#|lL~v=5ihc;4gwA?yuk(nllc0GFo%A*xCd&L_ z_Gw<-#DwJ6$oR6{JGAsBAIh?NCM7;gu>{I=G9N#3In6)0SMAH^?aL7*eZ|Y+OCUt) zczhhh8;;7{<1=iR z#u)z{Z_pT}OzAK8ZO(Pbs9`e+vO#j&;&KzYMYRHqw{{#&ben=qR`a{!uU8ma{FzC` zlVytG4)t=P%EI$|;`rK_ZSW}a*e-Ey*K3F&ZKQ@sft6M4u(Fv&LpULyGIiK_`-=gPKC_*+>5S{v^Sm_+NdE8^1KMy;bd_l z$&ioEKaDrvXNRoRnUva2L3Z-nhBebTmEjzUQ4cjf&(;Z)+WJ6t;Q5&ppD5z)<-taa zg{VMXIS9`Wl*oUHZ5B#!KXKT+2uIo9~NRL4|rYizeOzH1SK@ROgs zLIV}Cn||P38wBsa#b7+9P*qxBj~SmaRa`g}VSXjWcGnx4b?Fa{sp*13F8f`2R~~Te7VMyE?ztm>O|S zjcs5JE4q{6rbf=2^W(*V23xult()`WB5+Vr+oJpLNoofe?@WU-Th<3V?>1e76brD* z;Nb+Y=Pk8skY@?FBDLTLJICV*q!f~$U9~cfJ3LECe;bzS{#J}A3nABgFo0Kpvr7er z;ehd(#1B8#aM-E-^3Jl=`U^&4XYen?nC-hv&VqAJ(;H5zN6wiy4#il98uGJs=cTsp zx+Mjm0-?IuH$dgq6$vT*sT%zLwHX=#(xWF<0*JPccKwg0CFhRyKcwSK1PZ#SP5Qsc zh%#7z+qw-xEx+&{=KEG7JYsi$04^vvTAo#3l5UXl`)jlkL~RKCxd5ArzAzL}MYQ!F z3L^Mk$GaP~jq+5W(17M^aJVf+Mhr&G!Mk&Zb+1lH9!h?VL-zksSHJ08F9I&N$_2Yx zyn0w6j=KhEOM-b8ZE+b1iQsft(x7c`_*E*l$U(oD)z!WEXLW;>g2x6~UQ_uvWR~}u z=-6P){J+$tx~yybHlsy3TmrsdpS{&h94+zyo5N1be3H6JMqX*Vl3%bsT3UVDZzMff zcD`a@0~cp66tu%?x`+}pMjJ9U#6V0C?XZIdRL}Wa`F>r|z55V<_tZK-@ z0%6_T9Rgm!d&H%4h}eH0eV}{2=hnJ+^15E))^T6Jan-c{MkaUQi&;qi)+>o18?ghq zTkSQviSafj&GvXWQS<~g9)4mg zL1L?K3OdmLm4^HW0S=3ZZ-w!W9)xCz+oS5bjMlw}7x9N=mq30w8G=3og^NufZidr) z&(=Hd%CUL5?&4}Vsl@Tvt;u%hAc@lx39^HG$hiVpIlw&(R4^S=m{J1+WHi)RN+4N8 z_zxi}f$0hX&$QkHeyD7A=H>=&kXRY;ku67ZN7)5lXwr_=A(tO<49=$lc0Xc}9`lYB zw0GgQ@D=3uRAVjyA`2rhJpQTzP46IJ03z6gcumY+@ zGi+p_>>jnZO~Q{zQ!36SC%OgJULSr@jHZd}$CA`X$S!{;KL=dvsQmgYCo!yxIPeqy z3H{x2E{SrYncVst1VtgPl`O|&^R=Q>GBxtQwiAf%D>@`~Xyt`G9sDT=^#ga?Ab^4b zV;fK80;Dz6MReayEef#?gkItA>APj zhekRN-3QDoQK*Kanf$YKuX&TVo#&}GsmRhII zrO3$ewsw;7ApH|cl>G3VF+po0v0IKHY$j}0I{`W)=VtT#o4`4BZ&N@8UBlA2%o}hH z0&>UaF5qTjo^X31ZPp+Y$H|jHujD323*qhA8>u-lWT&wvIb#=oN>nmFP2f+{&zjoI zU5)z}>^yk&(d74PvKTmQ4|Jy{59PqNK))9lPZk4Bg6yOvL#;AZ&0f{zcg+WW*|Hws z9zyr|T49H&KSb~%fmV}NGShF`HhnwX1rz@TtuU~?Kf~fv?xE9`kNbj2f9Z{&Yuv^$ zWED9dmJ^xggZ%UNg);x3W{>UOmBFZ%Oj@Es+S(kH)aESM5CI;e5o|(|$Y(S^KWJLk)}U#wXm5sxdX<^c1joyIE z1q{p220eltoF%h^a6u5996{l>L+!S+`4ajs4ha9D83U6saP1!03r9N8=#aaIS7c#E zkkheI-^O&er^1sR8cx1n$&8zSB6E`yYz7oNiMunpsEEVCk!#c z3l+z*w)X|_nxRACx727he%V#{pyJ21d@AID9W1%j3UP#+ zyULMp&7BA;^{nP3m*m4J>+X;^{1C9hw;5ip~dbm5Oz9 z(8`)>M&9eAmtJmNa@}^UiqEML^=y8E?D-oEK^M}=LOZ^O>6VpI0yMM3`Vn+W0cxPp z4rG*wb+gd^Qb%(;W&Ie>r{)!`7Pzf3OKp|B{w?`|F!_QYpBhl!xW+6PAyuiZ)w20^ zWY-Q!u9FKg#ql>S2gk5~c!04w!f`W1aZc zoeCf0zbsM(;~*dO^b=sq{~^Bfzb~{sFcEi4Ovv)y)s>{+eVpw9>A|NNa*skTzpNY# zz3TpXdiLpuLrct7wju<^y~e%9BaQr{XT!PY$G+KH%-)U=f?MFP9(i{fGVTOleA_u) z+m0EU44>D=^QEX>w=Hk5roD*|*zJPC$#7p`;kgl`uXB+7>O4s|8o!0fpCSa3Zo9nH zF?mfsFXQ_rm)o`nZOW3em8kZsEqv4z^P1e0UCJB$Cb;l@7iyPE!AY|0?i_MwX1(YG ztQV3sEkm+F3d1mEu{p|8iZ}Sa$`!1>ig~)OHfuT?mQJbF2+6~^WM99SJl*=`BZQFp z!YZww@`!DE-?830xynl>ZURq&BLX@COWIBe|A%1V9$d0{_vkxSALjZt*&j+$@6zz+yBcdNAp3zXwbkOF za0grjlDIpZPeBpe7Pb%#*0rr5+vF{0+Rj!-q4Jxy8(!rz4|sdICi;@_eJu?hdQsDhh5dHg|6QhXJ;!@$5!3JKR!=A?BQk1`;DCD z?L!~(^T+O0*%#b1=Bubn-B8Bp{$(}n34hBDjzY>QH%wOH?SN1Dk&aR?^HQe$J^bz} z#=mtO5}xz_l;WAi)k@Hjkj~ZieZI82*}mMx`^T4p(ibD8-M#kZxM>$kY>F1;)h~r8 z_Z1wviQXY_(=K6;`*;m@#%>aH=w!XbLTZW+2dS8iCV@Fq5ahN4mJ@P32yW^7mv~U? zA}OMC8wb@EDK6#g4K7G?`3kCixeas9#_7{4_CBJ)?+DX((X@k?g&LU7tyHAF;EqM# zaeIsIsF;U-5DEe4V-<(22-!iPwN>_QP#s4ZGX+*v?2(TC0g2I%Ax{3fP1r0(i7ZvwJ!z%CO^`TwSuKO}KF1L{qsr zm@ezs)o`m*yH=;Mx+umsdBX+fHr%*#wx4pA5%5J0*8ARL6i%;E#4W6Ir!~QfcW|Qw z2a7(Pj;0!O#0Cd1am!J=a_4+-0teTBb1$zm;*ln$a+~iJDg>+~k}YcJh2hOCIPri& zMpQ?FLMHkWDaPa16*^fhFl|&fK5QMRl|U7j_zFgKJR#7Y*u4M z={ncG6uaQa4$H}N9vE|FyG!Ukd;a>biP*CVC>J8j8C{gtu7R{h{IFN=jew}+%<>`B z4agH(jsF!Adrhac8&NsfDJ;fKB^ghpbkAWCf%r;QP|D-#s`<>97#fIJk4zZn|u|(z28mUISayDUKI6 zAJ8j~pQt5s_bat-;6l%_xV?C&F0Zb@TFv5sG{f^Lei!f{%^H^b(B=Ox^tyO&e{2-$Cgoc4z~O(X^0=j@1Sq`T81g@q4B5*=A@tC6DES+*haLF{PRaOYe0 zysld+SdA-h7+SzJ6ThbgJn0saaQUvCnn-J%mjPUikU6(?b*!Cb%!|)8k;N1hWb$22 za-~@NA0&-1H|p+uOPKF@SWVDvM}9Xd#Yr9q4AE@KfcqT9!EeI&R0oXJDGE{r_TEbx zRpES#-qXD`N!A1NozWz&9uR{Pb~6T^;Lk*O!Rdk(g|l&P`y}DvEsUZI@|$qo8hUMA zV-7)$XsU0midzu$G6E0QdvN~0rLFh3mwWo03F(xBPWYE2VrJ;1;=a(%po?y!8_sk?N{Qm`D5bBpa^I;PVfI+i3IAKpA1JZGMo0{$fb z&v!G+DtQi^y^oEYGM_MnOC*azvKb6woygoUAWB(Xy}Mk-oO&zc-|dGSr+m7F49<7m0Kxecbf9Q6UZZsO zFOR{Cq?!qnr?U9>=IXPUOsXixfu4W3JdOZ4CuKJiV2t``ZVnzu^;SY4)oB;}K*wA* z;9Lu@kvGidC!~4kn7KH?UzcNJE7sRP`KHPnR;U`El!7BK9yF$T`|})z(!P3tV+50L zsZ<@(Z)|A!+=?MTeD@520bESW%Hb3$5M1!D*qY4XJPHO0bMC$$3M0Bb>XJMGSYQSp zYL+L2skFnJ##4qLZk@#)%%L}CzoeK1V|z-69Eh-S(LI?HY+7f8^5|F5Dgbr?$#T(x z$rFS`^ZrH>WEF!AgN3Rh`Q!vjm8aES+#FL>7BHCDOKe?>1rIj`gACukY@&IGwMsUq zuc=rC$lm!PtW-I+n)yQ2H_L36tb*4^D4XeGeKq<8nEIhP74aQ8+vj6|`g10*4iodU zJ^lU#mG>sqx?+6Ty4XGqWlU-OEdiW**gg^0tRIrqF9SP&8S#OF6{!%~bWC6stC$eF z#;J%e0sQs5#KYibpkJhZn*b#Z=f}`<*&Yxt2{jp)Xpi?>CdmlA2~^sNt$bQo*uR5;^iqwE)1{@Rz;a5v|+xEcblR1rE|3cq#n_vm>-52#Uq9XSe^>0N*GKfr} zLB`i&$Ygx15ji%+q7Y_`$fw0j?PhTvUtlt3bAefwL|=&FluQG4N~BrdHjq~w@4AA|7XLIKvxLEn!y?Oh52zA>E-h}7 z6t!0$$Rd?{p#t9*d>e|?;s=!^`x+PRohV-B^%+J7kN9Nhx@MlOx$`}WcRjeQA zH4&{BH&-0YDa?ubPECCNw(4E1S~`X=_Qarl)w5XDBPGX!tir>)DjpovEP(lVN0b_< z!#yR)9q0iPS4b`MPo4^$E(+iNwpk~% zD~@Lk*1FqH3`@Ji{Psbwj???>e8_&}BuRar)*iQ}$r{SG~+p1LTLe#D$9|J!f0eiYS`n3~Vaxfb(Rx=pNnPglPTd@kWj zXAimGnZmk=W4Q6fd$H|<(FU{6b)o|jhwf*ahA>ouqWDQ@kzbCVM$lU=SxB2fR zak~qZ8SF+~Qg!?Ok5mv%t|3ChHg5CFinPsxwIOphZimYnfK3Q>P1TdE++A3lYInW+ zM5<-5)+Gr@A_AXRsU-E8D{VSc*%zrg990O+suq4APm#?i;a&stVTq|RJTRi20!YCy zl~-a~;k)P}Q1yyH_R_O#pjuk;urc$(JtmKWJ~WsvhP`?&erh{%G_CzKAUC*8PfX?+ zsfh9Z;BE}<^Ml#j(8&vr7~s`StO9?|{I~Za(8(N)AH}%uofsAD-R+D=zb-T|t^!#s z+4*qjaE@?1acXf0&^yBK%Im)4POUNo&(IGznSGNAZIwHS2A$eDi9_!5EuQnOt3~n8 zwxzYt;v2G!_rEWSqXu3JrAXoFCp+Iw3MQwv0UM`E?oIJS%`^wbn7oSmx%UX6`S~2z z+^Qx$r^@>am+E;HgW#hqzAq{d@T2eOThvtS-@$}V4saj~9X5t6w6M>j>B$Mjcu^~G zOii6*4!5B%((d3UkZKt1ANwxQy$V3+Iej`c!{Gw{Y=;^i67>u6xm6_(tMimRfg{{- z?+7@*6x+0Z3*7;38=Xxw&GDQnLb~+Knap4(@t2`oS_DwAR6Grz)Vc zeD}!hMS}*qqzXq9);7W?a%05dzlJbz-5J+|5gNYM9Q^kqarqq* zmibqEZ8_T?Dw6Zfs${Z|dvb*)82_Mo`Ax#|)Ti@5r%1b#AmJWQ%bcaFPCJS;kBBT_ z9=_sdt5h8X&iQ6`Zy6_i+zwCe#KLRp+*ntEVZm@80*f)~qir^l?ldfB@GN;rejnJ0 z+uc=QnlSROtFWep0qGX7{$fzhr(0|^mzO(2gTDvN_jURLtHg;AE>t%U!=7M+tFY)>1B8s zkW%e)LlonOnpd=y_QIFdFAMAkOg%MzKiXAK510mCJ|%m_ ztM_MtcFceth&OE69pb-IbcBWe z$X`}platkwvZ$cm8&a#}@BX#rd9l^=l@Tthe)bvFiJ>D?)S1K0TB z8qu|oIjq;H-qp8&=~}aHbAZ|eI|dz8&09&J39c_lO=Nc=we5<$X3a`a=R}!J7hAe$9=exLG_7jE!Goi zTomEVz6{49u1@5$tf>wZrR3I^&c;ix$k)UeTa9z@9269@%gU+4+t9r&HCTOuXy|30 z!6DS*lCrEhA!^oZz*0hJS{UeA6+3+X#0VVK4JDKa^3NeCNLCBDR<*%kaUoL2cQyj1 zCq`&_Xa3pT-dy36ZuiZIm$Sgo^= zR>JTMBI_zAg~@HID}~0JY69B9RL0Q;h140*COs&|${Y?crMv#vnLXkz&sYj@e5vyf z^js+|i9zP^6YCt9pE26XoOcJ)dt%?0rGeCEaH(w+FcKgY0&`KM9--nH`DdPTI2~0% zq|!K?4xbdo&USl(lAAAiQ&C-BSB-7UxpyTA^j>%mDz2?Cy}#*=*%oFF zJX~6P)Y}Zj6BjkfQnV(+&OXe=6Y+7PVuH)7Na0?&fh_nY82*hHzw|4y$tr%Qx}quV z%a&%#Cw1S=$1FcznX3f^&H zc0PMhZpG~EvuB94EJf@825Xt-4tcn!3imAlEJ&aMaI3McV1gJL2|&(K&-y8f8QCJ! zv#49)k1LvoBle;gtSfmw?*%bBH!K&urfA!QVl7uU57V+KOz(t?R`Ga?#e!MQ3YW|G zt;nRvR>@b%%*knRh~)UsGwCC-qJu|%yoQ1~Nsv*|l&|w&1%?v=@?Rq#Fm#&a1Ib&U zQV2#aq;Zw*aFhLNR@>w&Ap51IzInVt{!3kc(~A;n7Hb`)nFy>)GZ{d8Q$A??X8R-7 zS?y_nU5a5GB*gp z)SFCtBk*q7-7&vCD`4Mor(y@w7TIpK*D~*X$ahlG3BrHyq~J@oOwF@#G#tE%2^JhY z*C{9x?2!_2+%;5*PAaHJ-DkQ`IkpBI| z94_8x$M2e)1 zXyUP>D{+{QXdDkpOwS{n75tWRSez;ZSN*946*`7Cwmh4iWtiOwNiBy3?I%AaYU=$q z5+-jTyUmV?vDxrP>QXU2*PDK#S?)W5-E{w+f=6Sn4z)h}-1qZH*zHdPEa!`ok_N?Qx$`gj~t-8xJXwSw3W0*wlQD~A?gb9)AlweGDDkM>fH>4`%>t<6! z@y#0y7+v3GALHnvzMV0GyLKitDF~Op|D~@)CGRa(#T3ubCT5hRoVe;zrOG@^zP~nj z|3ifHc=S+|`vPPzDb3|1Y`*FITVW)H-nL z*$jCF;TQETct|Q3ES|1dMwBDATe>iGZH8X%;}!L4UFEQq9Hn)Xl2rtKSm#pOw`@z% zNNRr@LG?&y+ZT7jTmOjAxWr?4ZRbfNzF70-plI9%J~gz)vZV}5x9Zt7FB2-}PSo`M z+ZsZCZvTx;K6b^t535BIO#1By@`^#9G^?Zpual{~UzDn6nBSckCg}H`hY5oZz+lY& z2t#JZoJu-JIRARAHj?lUq}He-lAn_N;rLywq}2Tw_M?;jynKl2Ck<`9k}sv~7C7xF>M{gzezIvN`Sv&MzW^Ow~99ULg6DJp5@O+!bX1n11$}Q zAxX7Sr;{hq-;Ck3S#HZCtbYY1wgsKU3%6u_@OCJ}g_qVTYQ-a;C-lQox<7ODf3z3X zg%?@tBe=vtN3#k#94Rtq?doXqBIzU_SjqdglBRN2$vCEYCqGK1<LumFxXe_XD;%w4Hx&5Bp$7p&N-Y6uhB9qvv4DgBTZje%i;evl$oJr)SE@5As-B6qz{nat`W^U-v|BYl| zhwJSn$(HN%-iq$0kHXxG1*r za@<((8HKdxHw6+$ryfI8r4s-bNP}w2a3PM-AO6_HRnGjE`|g>aj299J1AAa7@GLczQ|SH-}w}_PW{U8!I4wfF!&nA z-P(t3GRQTX#oD^Znwob@Cq&JHcyXSD-|eY=r*qHnp8h@K6P;JB2Vb2FI#f{Cq^XDu zOY@@Om0%G)sG*A;V^L)zf=JhJ*%+REi*@VdCXooAdOterRyfIhO1_~y76K{qTX-xU z)v~k|(B({7<=aT~0@&JvF4p=EP%zH|} zH7Vu|y1%uI7TnLszaW4@ivL*;dC@`?<_>nJ!d$ya$s*CLSmZfAqcp61VWJy+J36Ne zbW37a9ZXHULBYS~%81e+q(?sjoESL;*jK|0Y_(cZEBbTqVd}+7NMM!x8Xu8oxDe1J zuw}-Be}}MPQvZoAQF9c|KV}B+dIjOkl-YgGljY)seu^J?*r@YqV&ox1lb8l9Y;K*~ z1zj(wp@dxZ(?qh-&!48OmG@H{MFFKZA~uVyv%3CG(gbqT!bxL?yEE8Ngzvr=yb7?4 zV7g1%HcqGd2Fly8i_M@Q{Oq-05M4G4R0J&86MjwNt!U8aQ6J*M|4JO8<-TpX#Hux8 zAL8U(EqI;K^bWiwm=j?cW0dG)l$$6FmG`-wQt#{OFq<36PUYy?|I%UIsSjjG%N*Me zv&YfZpCku=Jr1|%DSTJ??!~)WIY(NNH|7tXn5yh#fpA);uYGbGk-OpT#UJEd=0CG@ zUZGT^wOw*0!m|Fteh%hitdw;BHM-p&S$>4Ltg=2c?%8=6K4FEP^QtJS@-(CJ^gD$C z7b?0W-U|K-p3lZxI?1|)R&0?b?Q%*dg^5T~*XVp5pBQYBvMe2+nzkC~tu&6GHxF$V z*FfyixX(K3JeXfqAU9B9dS#D+G<;pzDJL<2>0GpEOs?h@{%ogT;?7cp@G*VKMWQ^6 zkE-ONQ6A=%n~jBH<`jt;QRCBjBcjGCZh0svkTe_{iD1QO(gfxUHZ;D}Fl7v_Bq$X@=Tywnx--lfU9@zTZ zTNoQgsw6P)vZM7q`#0`3lk}XJ!cihN8>-PU`kcVqj_9dmY6#vd>b~PaoMI|*Mw75& zPXms^$K00@@;6OS&>~RkgK;p>?FA_Ca9SbgaVRH|1&{C3yo-Dny)FJ4y9eJbz>IkH zL_v&Z=%#2Y>q8~ypW-)M6rB72jTOc2=n!+p221`{g`FTk2+o z7&&}$djuF;d&Q^h6cD}QzD^1Vg^6%u<~MG*UCq;OWxnm$F8V$L+DW1!Zwbh=UQ`wd zJ>ZyKaC)axQZt1pR5g1pub9*`OTFcX5k5%J1kc*y~prImjM6K$1iyd&$PqU#w0|4h%Sb1j2;ti0sfj_v`nip zGNEeM^DwcnOgTEAiZamEey<`42>s0xJJ`f4JO1oY_Z+)CqB@TRABFyAO7+OsQ3K7z zC~IMheg<`tL@NpE{+L*_+fI{gk<$X9JJrRjpKzn6ldfobi0*j5Wq~ND9QB|n?ul#e z@%!ZUwrOpem))z?7k_&@_ke8D7iafQluw>B7copW6zZYizx9o{341eEOy>R%I83E& z7lP+EMLTYd2s(sbco#9d?LEoXAr*YING4qPVi3Ctt(39)oZjT4EMoSNb?NWdPoHA? zP0}n%_*n0b|9;iw<5vG({7CX813$xXp{hFbNP@}Q%XBTni#E;0&_(KDY~F_y9k@^M zFzx!1i70xBN{J|wFVbi>jbDB9`8L1NyJ`G<{O|~ThT^xcA4LZPZ$B!^$}5>S7HL_& zW8;A!`zG$LObc_t{wDVD_Ldmm+)m_vDmMB-_o zbjnXS=@Q2{>87VR=>car=~)*za{2-mH&C*1m{9?cA^{Z%XDId6w;ZML#54cY#mm>4(=%(}R?nmj@qAJ;O4mXM1eM&}5;5T}J zn5&vX>f*f>+RH94hlorqy`!7H4>heMb*Rw#k6@&5NN&3mi^apcQwK-TPp%R^mA^CS z4R!7k5Zc>UG_6e07JOXLfAGM8aZ^Ac(yQ2lOeGwNq@Rj1oo@|5J2=>ul>}yZpTzp< zYBoOYRfm7aXUAZg^BPYiE)2Enj>o#7Zg>ck(N9taj`G0CbJpLR`-VXk>6+$txa{i}ZaFzaV;(G2BR*x`ut(S$anKAXXHn-8d6i6u&^~(b!C4TX_34 zsHldV)T1WT*=+!7#>KV_vx%o8r+dl@Ek7{{WK@V6QXnAa>_qh9ashC0i!wzgHW2^w z{sMK9+&;5UY3=-PFUljjtR;Jz!|#TZ_taafAqF_9nisVqC}>6C_PuIOSt*l(?0F7a zcgAubI662$Veol20Z!Rnf5*ww#y69cGm=UPyTP8f1!g;kPjd-1Se3JHN#vhl*YvBN zF?|GAG2JO?82{^e(j`8Qk3JcA_5o9%GLYK=t~GAnzSNGS>gu>*CfgCsIBur&jeb?!x>R=23obqSn}G?j^x|uQ^o@^!+N-FE zwK)ca?|3}3r60Gn#(sUgj&djN3mV!3hzBXw9{;ig(X`DurJP)h%VUkK6mA!b;Q{A+OZPbTimVmWdW(fJ!;-K7^*+z}jXOkd*)n-m#qdy7yVJy!XB#lzZgjL? z9e!Uc)8_Wf!U#UU5`6dOu@`2r*t@HnvUuN0cT~zR3%UbzHl)hE=;p)-_j52WCL+G? z$cLPPGU#jwmU~gowczcWVO;P;d{37T87t^kT6im4P~OJ9>sXL6A;*^!W+{r=<&F`! zgm=D0Up{BPKOh@i0nQ5h^QH@1dfYCSBVLcFltI(&qSKO7qwT!Gpm;(mjT*Lt`{-X^ zcDV9B-?oaMH;2VMiZ}9cq5YL+Hc{&PKJ35b@2_OzLQChYYXXb8iXl&5*vz+$D)nuh zGO?*J{7UD>+q55QKT&G5R$SdSS9z6=u8qnQkO;M@U?r5}ph`V~RTkpndr(g-td`9>5OqKHHJ^ZaB6S~n+rN_w%YVs@@LtIX zoMSxBPNDLX$%20gx$9Q`PKjx0#O)dy_JTyrJpF8xwk1)E9Ex#`L^%%dBnsO;JOPpN z%SM>3h~{O zH(pG3G4_cM@7_CBw$a3iMfCU!L9UbSjm%qX>ntCQ^wutpSfy1fx$iHASJ&OH=AOA= zuB(T>P3Rvbu@EES(s(ezk?YHImk;Lv=4dfi?Uv=s(@ZI;-i(_ge5Mo86O%9xTFWEoxv{>W8n1@Fb3Zl7+NsZ_6MJKx{>IKZd(1mf>aI^k#+n>o zN0_A_YS$q!Ui|ZV>OBXs=`qiOj5Qs;W$b2Z@g6@^_C?QkXe;=+)*WG_?qc`CKVfJg z)?WXyF@iF0Kx<%1nys{rY{kZ!Eha3B2E)-Ck~#AKLx>%sCr^*49b&phRwNE^DD`|r zRr-YaWSNkxiJrq%R%b4>p!}zt?p0XPmw#{gaLJ9Mp%mcxv{z3zf42x>khck=RF2P~AVY9k4-3*|JW2^DQVwc+FUJ+`O08HRHmAM|4NK){guG! zFMKX8W<1qNOjn}5$M1usFa_{bshD|Mn*;!m3o-M!M^X4&88(>uDY0oVM5(eR!xL%j zUSbF&7Y7w&CDE)kOvJt4qw{fO8R|;hwj53pbI|I%?Xz_^i_~j0*I$39KSz*#JyqiL zg(kSrZ1`1FRuaYP#yGN%h>o4v2+W2E%@&kB7huK@gi#C4S`$;fXD(xt@pj$%hh%hg z6fO**lm(C5q%&kcV|vYgMY35)wll(Ki#C_^_+73)=5D{FIQx2!OBWM!^2;mm_uAmK zjh?vo2ccw+ELLBq_?a)Y6uMIq`JVGhoL(l|Dux`kt3YAz&RE%Hth~3*SZk`|RB?}@ z_8#qM^@e6v1ch<-FxG@})h5#>@etEs9kd*9_JmEZ)ywG!2oNwS4D@rrvB*j77?=z+ z;~v97<}XHt*td6!(txv9;fX}QPIa9vsiT2>2`ER$qCgCm!>y|iM1_a8Ei_E27VfbM zk@ZzURh;rm^InmL;q_G;R8?pW#;;6`uwhjyL5~ZX1{Xwt-*@tqSs}KgC67pbHRM~Q z&K736zh)-!CjH<8O5(~&x(Op}fHL|>w7te?fTJSrP7z+I$L$a%8?{| zhj%#sOuEdr;%UOs`f7Inn3QMs)<*QI)RW~;-})<=nNlWE6j`w-!{GUKPQ3xvaV)oU zF$YzX52ZN%MpChyhf;`oK_)ev-7taV60p)db5KHP5@gBeJPsJlj2Ha^^NGVH)i;j47B@%@pBYm5??SpcvJEHwHbw$-#}!B z5i@@rq=|#5i8 zCIbKn5;Co<436%w{}WBfkHg)~9^{?PboLK74-iaTN?F_5uh_=y1Y-#Z)O*w^f~J>E zdOV%$AG6ahDa^iJC~-- z_S*I;_8Rs~dT@KDSS&SR^XY!oqRmJ)WfFWbVS)OCdr(s54gzDqy2&)xoYZ+lJ@eoS zb~oF!TX_;xLQJ0Yjx>}Y>MIq!aCfS>m#`L9bKH<=1eg8<8j<;jyxk9Q^`}fnjb=7TIO*YtA>qx4-lJ!hP z)i#(|fp*-J^I!4(k#-$ z1JPkMvHy_jh7wO*px`h{ z1e0ja>S|^hMHE5PtzNHmD?A5$DAiEDOraAlpd}spzCjMpU4P(S&hr zh;?v~u+%d?V}a_~sO;9~!;e4Nwdtk(?|tndOlT3Es-+A6J!n4nxkc@# z-|VZ2{TB2`S0}%$Xdm&8C0=+H;}wP1f5nqc>V>_i|Jpg%wOi_$oYAgkxz83*k@Nol zB8aK&^MR+e&irDQ8oLODe$F_5&N^7fDnKvdzJtRrTH@HW zQB3wVr;yg#k5D^@MI(jyG#em!F2o!-{wJw5bYfT*<}dw&SniITaSCS~Uj*Y%dz zg!;Jj=35oD32zEJ*Sk#HV{Xt0%9OwKtdH;_pafSGTj~*u8Mpor^poEm9Pm@zeM03p z&HD>!ok&KF(IdOTxuQDvrtj23rjP8{4!p+gf5vB3zY<8f5Oe(ej#{XDa@Jh!ZEJGv zeO8O@h_9mwi>>vx+oRcWTyBE3)g#{ux@WWF2=}>aMp~)9tYxAZHm9z7lp*xo1&8vu z;fECQglOCyO53P6$2@1g$-S;O6P6)DAhVG};r0awl+%;-b6Dj#Q?9yV|eWoe3rMcH^pl5!tCoe1+W*&IVRSs~2t2{IZq(fZg z6y@gT%IoF5umbPN-9%bE~E5x<9`cUu8Kj6oGDdggGTb zVPFb(;=`>3pP+~sBrQWXq}ZxhWSLz&E<)53Z74CLXJDYv-q!q5G-$}@8hm28^pwiD zIQqVbD})}Bm>Z3(RV~GF1ja?$8=~!q@1rhoRNMQ%v6KO^Vj%d)P~_41XsLS_)F1aG<8UNUIG2sozgG-Yf2w z8xs!CKZss?&tGReF|rBwtFD||&?dkLJfx92VyC`aCSu-PW@s0Ye9*n_RF|#Mb}41# zb6f2Bh%4`hbc~c@;Y^y+FjU1y)^Enu?Us!92;CijhD}tP;xNs5`W>iFIa^&?W-1liBz8`)eTZF-I*hN;XO<+ww!oT>B<*-f?7Aso^rEW$Iozk9mQI`rQ zVzuh`jWga)MlyQUwFG|ZnT*_Kv&P|)7$A$=Kl0(1Ps`oMd=^y6-4&|ZRyH~t!p2r! z|KRykY|ecO95RDBp1By|Hbqbp!G|JPmCFS&i7ZCV^O0pYxK>;htRAO%h}ej{9&Ju; zt|{>tD-H|Cxh$h{IIO6zq3XIu@=q1B*2z@o?$Ms!rynJCbN?Pd1N4M9_0BnH%S4q(9ZpQl@)Z8eg-Yg@mRql@sN~TmO)(fuSL{ zEjyq_1SxD0o9ECR7xLlsD9gr(|90ypxdZnInzU+r#sl_`MzdFbc;pi^Wa{*}>TZI*U)Vn;|$Jm#I%T`?ac6_Y?igK&Y{&;VB3^mee8O+|nzi zfz1tKg7Q&H_LQ5Wg1u=Dkz8X~8HS3p@#WstZ^&evtA!!BqES&EbJc5KTI%POZlf6r zrq}R3VJJ_obN8+DCo>o^X})8nAV^j-nURF2RCQL$qBx`S-8;E(kGWx=xm0Y8ThsJz z()TA|7HX6u6RC<$Vb<^vv4Jz&=bH%ce3I8X&V!_=5S$7Bz#EE%l-eZL9KzcbD0oVe zPedvT6!-+pC=8sr9LK|PJd}(Q3X1TOa+u3G6z%u0D#sYOcl2Q6rHz>yE&};dLpmmL zWzzy=6x*>6@S+C%@6amR2xN@f?yGs@OR0L$mNXO8@&s4E^r6Jb`UcA=OpJZ`5Xoj= zvq6CEUpCfZOfmV5!^tha@H!j^H^CQC&>P+|i=;L3J{{*Oy8COdO-OJ#pOBB)$}80c zyNv6Qjb#ksMG;?;WEJu=S+NO`DZkfni0*~kwsDv97num+a>j9_PlV$zFROWcDrxr9 zGn0Hvk#K%nnJjAH$2207o{B;!xX(7)%Vhin|0!j+jX<9mw?W$KcQo=!^$Wb_d>q5l zz{iH#QI&eqkg75TLnC~(w8~xraw94{4Fi+;sTfLmLkZggU6VBCXsW0*)&YAx8wWLk zJOdL`JxvaBqxARs>hfu<^NRg}l`Iy7><1O~C4qaU+G(tpb9$i1=~}RkQ^VnE8z_f* zo!hACu!>3WmwwNS&gX2KKbAc=7^w+0MyX`1NH%LtB{NE=*J6!I`w(LW9J0$z6Fn0b zesc{FFCARF#B;#9g7&zBDtR6IdFlLBA<`H6=ymXS)b8^2$*`8=kSqCT`M&dQFoC{Dfp2LsJnJ z^2&PBfyhccTtl#ZLcPABQ$=^i9ZFg57bd!Xq={ zahMtw8^&#?1fNQeaev|dmk=Z}c4$}?T{4==^ixO%~l27>vK5U8#W-bly~X1x21} zffm8v9Q<|ey?m$D!^1)feH*v;+Ih-(NL4D-)&TOy)0J#zGUM-EGXmczUf)Eh4B@C{ zGm+by9hEE*CE|nQwnJuwjCUzS=7K;t)37E8P;EMZ@HiGX7`;MO!RR&Y&Aw3CypvYd z6rG|nh&wf|kGphby$QUI7nnLT;_}!RMsYVLMp4)vse;2Bl)>Sde14Q+>tv5<;D@n? zaQ9eEC{B_l3$gstO34ieFTDRnW^!A6y==dj-2a+)yQ1r%|G|C0nC zeU&+Tcr5f!2`Dh)T!4kScXsG;9F_)sp8yCX;0?k-CKiAM?R>OTEr)|f{!HL}X90_VMAJJOy z;=x5e4r5Ri*H)Nc8Aenb2Err|{J12FerM~r#@EI2J2MPt&WQoS!%|fCboheLFtSvT zag|vGv?gSosARK@sdoOvVH*eve3Cw%5qnW()=|#v>}E z*djuOmPd(q-yn8nB;b{cgu$6{LH6M^-dwoA|Dsuxmn00j%Wdja{RwDJvHNW z<7QC8FBB}8T1hIC0#~fP9@LpNN%;v@4GW1XabX&CpMzlOFiT_8hD zIixvrjJjCHZ2Uuf5`{nS^M4|wg99U4N4G0M-?TuQ=-=5d583{SV94lZ|@>73H;$xmpL0*|;=AzNh)deT3g(rB$CsHLR z?xiQWFHXkGzWsog6gJAe;JzboHz#ZxuN4RF_k7#?PqbC#3pM$^_ms%yV==ozWa+a| zEaG&TKt^O{1d+$b<+lfX-Yq*ztPMSV?x7(Qg~uP5GGxz~3)IJ0U# zj@Tu=*fh${GK$$QYTGHQ*gfiuHG~cgE$8u6_+x9*6A2KkaCZAlt_^Q!6d0a=HRwnq zhg_dHD&TF{{6U&ss3IPC|0U^D2H8JTo$UH8KDkzMyTUo!Se02YZG@?Bt4?wu2#yFB zKv#BszGX&9PwP)@BjaL8FWd$|gu2AY0;C}k=Vkv2lh*Ru3#naWmK1q)V zVDZ1x+X;$=^!n+Q6YUc&S^_TGH(azPT(luvv=v;mQ(SaNHC@enS^1s`r`Sf8Ex3Up zHK}(!1iCNl`jH6;$mQ5y<+QMEC%=`$F$-8vehd9CyPbEFfG>m?8eb~fQIb=bl2+tW zhaG4}gY<_vTC2=6R(WWsL#E?&aVab9#>$pu`Wqn-a5x6|$yJ63*n{&4I$}jtYBhQY z4ukWJ*Cd0$Z1IiR`{|Q!^N}x7EmAB}reom15JK|g?qg#V3?0GaF^VNFu%>E-P}TsL zs$isyx^(mqqgVH2L?=_tJi`zi_;;lvYtbV!Fe#6fO^w139*fg)-{z^_Fct|OD3nar zu}6`$`RwIXJs|_>>$nvGCyiKKaSu&1a+6$#3rdV)Go^qJRWORZpIynViN;0O$#I-e z^ud!n>~d8=&2A-9UrT{u&CDc1lH%3$OVC_cMfvF* zr+0HD={t;{ay{ea@U>r^3lGJ_zF<P-4^%}g>WDF3C*FZMSsMmD@F z$QofUcvXm~$A{c2A;Ot-XswWf%}0XoYWK8G1*B8z$22RHnrQ+6S197dio*$28b&jN z7mg~Vd~7A;180epWbuEYFx*50f`pS?_-%HW0W*ZyK@5Tf3N}&+Iu|2J0`aIb-7UY% z!li0ACLzBj6qAD`2}=a|iFFpQw>8K@aH{Z9KOhSd?#R>?I#PZVFPQ{u_mZ ziz+8Lf47z(SPu&mv+u%8uG9B`I4M^fNjd`+A{85nN68f(X+L*CTv%Px*auJ#{{*~O zYiYARXXpWc^RR{zrQqcM#6C0JU<4YY2`C+e$BkVkO-UW^+b+VMo`%vpv}S}6)|tv3YmKy@edV>hD=w6_I|kt6SeI=jwQJ8hFc z_uzy2Q>{YyMoVXYPTM6@p73s2#f=^s;9|>SfpMh667bB0Yz&S|FfuW(Xzw7&kKTH8 z_Dt8Wx#ib3+IvY`voNn1zRQ%aYTK4{E1T0fcDWFGsHm+>flua6Yr14>+O5|jeVR9z zACWlBl-FNFwfEqyoqG^t_&Kd5lqYIpQ;}N$)}%S%qoT81Zly}c)O6_h4(t)p%iova z^*OJne*aWlLkDjy`|CHl)6Q7~ytSNfnew6T+7-LgGg>nY7#lS(<#U|Wxz=4Pd~ zPT5G6nrRV3vuspK`6?xiR#U9RC=S?*sao>Quc=J65f$*shGpeD>j77q!!&+$rab2C z*;nXP%JZAb9yORwaV;Hs0VN$3Fu!LoGFh5wGZS?KbD-E8$Cd#5c6h~sy*~6B4QbuR zBlCdRGH$wtUZ^%K6-Q{a;}#xkaYe0_jzk15V0yO4Hn!3dFeGQi9yO^+La&g41Z%^-Ee$WB>M=;m;QT% z9t3iXE~275Unhh*%b@`8LO6hFCGaYeAfg+H>~EV9P*8BctC^{dAx>E&z#D2LE5$t`Ej9x1U!GS4+$?xMf{Vbz7wx5pbCyZB z&aK*R_sx4j@}fFw%P=)0%JQ972*NnuG0arzR9`9ENGulBEM!0M{r~2lGn2;KBBdAC zIoGkE>U{Tr~ z>`c-icYlGmdnaW}uvh5KIV2X{NwAz>{(wiV44+gSf5k4+hG0oDWit|h@Fjo4Gvq6MwCcG=o zKbPhcUZeYuXR77W^=wq(b9b0WpS|-~>o}mvRH?Nre{ZMsji9hJ5zZzE zfHX41o=e@7Q~o_-AjdfgULEdw&`KVQ5p@9U0fiNSLW;z=`N&3Diq(lu7s9alIQb^l zq`_+TY{+gN9p~tXFjj_<9a)EKUPawB_LSfEwPiZTVuFqLSr^oDgc9)LfQ?f{=8vF! zntxx)0iD-{SU&dC$~A<6b5Wjia`QHQjlOb>eAfqwku#DouZL|`W*-RwtjwlQln5niIeitue%hLe>EXz7hK7-&ob?&K;kR zNDQ1deM63*76_}c4JtaBQ`h`I1mVWNnyAl2{=UiA4jzPoyk@VsXHrt%EuTey7nQM=#lfQf=NwUYC~N zB8NZGBUfr(mr!@l**xbWky%7Ca&ktNE3KIQqc%H>qS+TTGlR^C!A5RyN@NCcTJa_@ z9w)W9p!Zpq7T!EZ?u*Bk*r)iMT3*d4O~{S{xk{w{aSc;VQK#=%j15=s8S3=tyrFS5 zm~q;Dkjn9p*7;yHkzt)OL(0R&zaoc=DOX>SR5eWN{E*MvvSwK+QugLbJDDyK$sF}O zNTL|G0A80R?y6Y}__H4gu2wd9HvGC67og&MGyp%Myw#;e)$@mmZ^!2#P!7P<$ml5P zMkpU!$2Nu0v^*M`cWAI9M+trj_<*+OO+)W->U8rS1r({#Ai^UmZe1(wrK)Yts$S@$8I~}g=UI>G8L}QBpLj4G<>ShdenN*dSd_QKGvbcAWr`;DRR1SUkzUx>hMTtDsg&A zdqPY(imkaw6(mdni7>TD=Xcf~64aQ(qC0wa8Uj2=D2#ekd$-zOM zW{0RSBiTo^{FzuGo~Xh9qLg*)gNkZWYVb{u5cq8toPkpXL2)EKW z7P2@|vi4(`29neP;qS=`6eV@|N2HsdDMY-l;??{zAWDFFC>AdQ+k@qO^ zh)+b;{=P9gNtr~Z`W}k_ATY6&T&$4UC&tY5{?kt`j(eutpFD42D1xcMPSTyWpWdk< za#r_cs8=+MBk~Iytz%p|&H z#21aayBk(-sMds0V~o0j=Wlfmsl*Y(9w9Kfa3m&GPzuIfX1Kzf{uJ9FYz!&ReDS8z zl1B`RN2kGggqoLXPFpxG%fyB}sysQ-id zWr|C!qMb^Sii%ZMb4SR59TB<#TP(l zGxapY6e<=&p!uDMCBCIg7mOikx(X!Thg1SLit0DNlL-QW&hF|JH59DSQ4+e$c@7C zhNe7zE=&c!wM-QfKq1k_6MU$+s6a)xh*p5rtVYJtSJW>_KDj?G$M!YC)e5 z3Qri;9{VB&IPtfnSuHmVC7laVD0W_o*-Q#B+~6nrc#*l(-#}(0((dc~e78hJ ziS9%)>hOCRiYn{$Q0L)~c7o$ZOxB4-o5z8+w%58p@VS{d$8Z(s`*^a$FFFqr+^KM{ z3i80aezVY1apsjju+5zGtU%n1UUzcb}mg#@2wd ze@4h4$(w!?1)BUc%R)Xc`DFo2*;{}Ny!~?k^l~KOw&PXo83YKn`tNl=$At`=n!yD+ zzrGYcCJ-OcwGZ9-HawZBS|oS8ti(|;9W5nQeBNmA3dNEg&QT4b_t086{PSMUiEB00 zvuZTITHGLO@8feAht+dKoFp>S&H!_*lNK#?%J)@CWWlL66-9*yPYU-d%%-tIdophl z()oe;kZK22v%=kvNRLd7ooYt@M^vrIDS5Nkw59yM+ih*!B(55lhf7UGs}rbJotZnB z+9HivCp)|I>tv?OIxkIi)KHJw;}i(ZE%~x4=u`;h0Y|~+VphQ(vjHP2g4`1_23VS1 zH-kvv^acg7IVb-)n$pq?k<`tH*$O`p+3L&iT1f(#px@J!GArO#of)c*+2$nWIA)_9)ZW(X+}Nv&XG{I%AyjCm2MJdfu)0^7gEo7wbe+ck8`9YI%Lf{rbe zYsh@WLT~)r^Mxb$`i+dPw$H9s6Iuv(CUQId_vjLV&164wW>!|@5fK~B9aUZ;89$7J*Son7$C=-gA`f^}H5E$i0{HdT(X z&ML7N9Zh#zMyF>q^u8|WBhzaiZWQR`y%MB`&4HfA@}GU4Z%MKoZjVVGmed~!1;=uz zpKI0&$WHur%%Xq`-|0{VH){_sh_2r)Xifw;R2Q83Lia0Zjr9-Ug1kYd$MvQhM@k!gQ(m)w(NALc{mtw|W(n{$zyvw(zg`O2fkk&-a7V zGMf1GfTf4ue(2S?>(9c^=@pzeft~#Kd#9<#2$>G9exJ!s7QBLjYDni7W|%>|Ka_t! zTAci1_<$zr1QHR-{I&KtNZ9*MN!r3drR1@g(wt=Z7t{%=pSo9mKh9(tpwVv303A-aZKq;QYg=V8P(1U}3>JCY1iG_x#QF z<3__~0DZRt*{YxW&3yFk+;xiLCD zD#28bTQeQ~4qxjWbHCODmZ}(gR{v?-G^4Bi+b=#F?PJ$go@Y9e74GPp%6n7L1R{=w zq|J4M<6m_JW*Czc=37SUdNt!2js7e?e<~ysHv4oJd$E~yv)P;b%`@1YG0v&Vt>w+z!}&91xmi37gjT7rndc-P7AbSVIVMa!6fw zwvqO#&K34?zOkNK4Dt5~ACj;ksn2B-7=x=awCioRJK1=5t;$Ev*a=Gde3e5BBCBk` zXg>h;L@jPK5UWsU!7=$dEiKovP7b!dg!2&m=vUG+o{ttaju16wKWBAH_i(dkZn)R1 zZ>-Rb<;>IHNK$iot3(gA+-^T;uOq2ydLdT#hm7(=-*+AWv?Y110LZ~ z-*QW+Hc{EX4oPX8P!$qGW!#cnic9VFHRng4FsJ8GXIju?TIgn&q^5YMrda?a zJPb?qn?=lEsKZ8r%bB!?dqz(90c8jiufhlzyiCbQazXrJo$=)p{S>3Uq1!dwVMUVY zskqv>GDzhbG{oO>muvIsD&*PBu~?nIMCBf3-3 z_rlVd7Tl1A^^GP8I*mCs#e%mT-5I9wI0GuPQRs(ySVZQcj->YmfKuCuyiFh`%RcdGp95lHr3 zXmca9k@m|i%yh1(5q9Iua9lwvoP$C>YM{U0(h*jpswgq+U+gmVu5PAXWWdLZZ(2Gpa1>cc3IM(~FBd>BMNG_qvzvas9Lth;Ay33h~|KKt=Psi}Z zNX4>&LuQ5L{&~f%5vTi|rr$(dNvrMIO^)YG0a=Z+1|(J7YUnOeESoE7`RcQ$-x4Ec zER!owrX5@T(5rQI_Yh^;_f|Nnn2)}0anf*#e5Rnax5{8IL5*{BZ4L=qSG(A|Duy}P z$cpOMECYC!Zz?oH2PyhT zW`SBA*V=RPY3hE>K(3sK(XLg7&ueNM7Ay+}Rp?EO@;ZksNkgr7-z|xTS5vf;Xv5BT z%TN`g$^Xo3;~5wQ+6q;VL-drI&-h3fUe=rrN z>^5D()S1exor))S$lMmUPd*xK>|eG~D1>B}RwSULXPYo<_3%7dY_TjZ#lgFqIf+6c zxT7aV8>gt1UsJgY-0qzl{f_QYxW%yA6r-z`ZmZ%@4KH|SrYN3?JQLowz2{I$IAjY) zD?a~}9R(N&+%3Vo7SYq3l3KRf%(S@CE&`6MrJxrE+(?jY#s5%*lmoA*{9QjTs})f} zr5%_MZuV*V$>|W5v|cNGYqDOZLG$MV(m|?vAfv?Y3AZ#8F>m|u%Bi%C$8i7-Y$v|z zF@qaQk|1krP#qhJgmHS$n%2qDx##O1!Hr76kJG)i8BLfEeXduN3yM7#CyWnSb}o`b z?NO;Z5~Zvc71yhaPajL%%mJ$o^-y8D;-o$D15Zj3RKWO_IFny(NB52^pgnGBxijnD zayu6z^8Jqm)F|90bcgDMQ8f$6pM#%&(=y>D_|RZppHu@k%yuQswX%`iJ-be7*zKSj z1la6gEI!u{X5)_8bZ6s_B?WOI;YwDevEuCFxQw6H1CeuB^jf;Sb(4iJl33AJieP_9 z+&}Gk?sTi5@WxVFMIR1j#QPl}qzNC24T$|2g}YEE>`b0gzL#fZ6em1StRrC+mJ(bR zHNX;U%7U2~plI0fF9|hv_!+ZB%`vvMJ-X;3JYk%+bn~I)2Tip^L5mjyf-{4+GsFJR zIzqy2{+$tC0QOV36>LB2u6DH;883+U1uc`mkHBiK2a`WkK^_gfquKcEJPj^A-#BxxCO zT3T1Ja#-U34*GMXYYqZBvY#75(Trnq;?YMxb>$W!nPw$EI^`B_Wj+a7rtIZ%t`E+B zg?&|WaU$NkJk5uz(wQ|%oWJcN5%0?V_p0P z=hm?-PgYRQxtQo|N*^kZZ&3c513T5F@wnH5l7*370w?XF1!cg3lcm9BDrD5#;1;x^ z=$j^zjbY^gSP|uG6M^3KY@Fxp`% zoi`hurb{H(j?yNatus6#YDbOFE#p_(4cu~EJPg{6vPFew8g&i}jw?%kV+7x;w5q|J zjWtZjNmm-3bbU&#xJ7BVw)Gr8w8!f-)g7z6&(Txky)W6epSy7Fu4JAPBRl_}BLI^z85N0tT?ogh0QNcA1iPrIL2d z-z=e_wR$Bnd%C|SGP*xRA$W(F%h8tjyV~xzB?A!sdWCN*t?4#{J2Xl@CFX21ULFeg z*$6pLOptnX!4-Gp%EdTj<_Z_FJUP~k#Nf*|b2!yrO^zW({Cx5;lZlzzkzV@jmez@L zaGL!({Tz?|4xbm-r=iwK@7d@#%(Rc28^zcP_O^^V6TrNQG@c(5e3gwR3t3|#ATcb& zQ6W$63axJb_%*gVU~Y+0Htf0t=(5htSG51->yrPx@MMnHc{IA$sw^LJLG88uqauL1 zW}9}DIOb18^^B3I7VA4x?W<#&h^2{U&|764uBia7dW~7ocf9h(&l@_^* zU<6~Z5V`r>Ps+`O<8@mopr&(YviN00%D1BWpAeUBEWh2Hf*l_~MoPCp(LU)AZkSvBT!_)r z@$t_7UF#2DZLy&Hh4Yo0Dy@wNt**md#tB!o%gsLB43vsLG6gv9HhzS9=zIpAUGp-o zlFyF0ta3^(`0`nm+N@ui2&y0T*uev|+Z$WQ8lTdWorhwYb9JZ7LcLz>vbu3iJEn2@ zr*g*NXuoy?4*SOlYAKa0Dv1cBWKR1J5I_)DcPsw{%J zaIh@Dd$>A?yy~v^AYeQ?^*6t0FOF3lb0Kw49k}tyK0Bpl6Qpn_O0bsN_=lucsaw|EIqQP_JV=3YMLp@qjmdF6fmXiWTr57+nuE-mp|x#vb> zr8jdpz_)v#I6J=GAl7B-0eJx44K!+*Z4?iDz>Qn-zx%jK{yw7WUJ^UQ7dftIXw(ru zM1}>xV|$|m&p~HT0!!Rj{v)PA%4a#B=u15t| z>vqBLp=M?DrhlILAY=yU1=aBUgX^aQDb$U z;}$2JV>`{c*LP+f1f#t=WCKuQTpXwTfvML~Iao;v;{m7msq7p`R@!*G6`M$x0e*9A zNSp|h!wBjyFXwfaC81vu(1==vu;;qzNPd0QBn}%kr=dDlFOYV-= z_U9SrTWo5Vf}1wllFWSn9c6I#r*G5M9Qs+#-N@{lbcIlK zs5k4$2Jv<+HXpXRqq$!Zt?lljj&#kB+=jZih11OGdoJ5A7V4}xbrIVJm#Gxz=g#Xt z&gZG5@!1@|$M+7{jLCK=q{Q1+iCmIn72TYop+KIf{lKS>HKXkZ^pu}~mPt6Mo6bN9 z>*O}B_SoS*Yuc9wwqi8g0s7&$Hknyn7$#~RDoMpUn*^lp=MOJ7_3fIQJ3Bz587bF} zZR=+(wtn3EJ0zFTmz>qR8=JeE$VSU}-j%6pH4xdEid19*z@NNJ@)q7lVW8tMeP2a# zK-LG}(25#|YF#t3JS5)2+r79PFI1|q09^@3PG+KGHZ>DC$Ke##geED`JtmD7>toAb z-Y0}4&FgaGLSfW*`~1Q!=XUTiG!A$f0!Ft)y-n&(79 zSt#;;GfkAJm%AV?`_(^GtV7Q~j`-H-Z3hB51Lf*FLsJ*`ZNw&!__%($K~-a&$Nk*c zif+HCUgCcE@q2FzyYQJi`N`+A#h|ZO3ict=OXg+#=E?QA8DAfK2V$vjdqP>C(cON| zYURRD+bd$mde;qEt32ShTU4O@D z+9gSq$fD4yF8;v1CX=8d@ImDD(pLB3$%8LpLvS#M!EL%Ip^1J>v3_AiYX0-6_btly zuTi}u>uUOKAP_WVUSW11+($2;yZUL_g5X)Afur*!jHa`f<2ffmh?#_B)y(OR@v<}2 zF!n6i%4nsa1>R!HlXb*L0BaJMei)CjULBO{<>?0!xrnbP_Fi0KQCd3eXdX|W6ImB@ z>5)xHkg*ax9>&Oh?T*io@}5|UtABd7Ru|n!&m!SFxyo!21%b3|RwXBCGth*x)L-Qn z0FR^Zqa4JzqpH3NM>%ZH4bKiEt)^70**d*Sn~}i~*4+2wvfdYxZ*r4Ee(OHeDmjpw zANr^r!hPjK`e#1R&)Bjwc&>Y-xi;SJS5se&FjLQp(4Xorr^-(4o8~%4*a6;oEwi61 zvBR5u*a|+5#f0^x4%8aQYL4C4J1|+uR^;f5Tb!<10~#h1EVdCb5QzGXkjddIKZH9v zUUw@r*}*jRMcpU1Z^S6umTge5HKW`2mf7?=n0YLjnN?9SaL?c3x!0dC*Y4lq^%XAb z+i{t^^d^PjsG|cNPw9 z8+2KSiXpOoN<0^o(7SFGbcU_*wdy{{gW3GPbWv4Sv(WZxiZ>_l$Ji4nkfs<@PGp9^ z-k2y6#+yK(2A}F;5|xv?syk)09OS~Fa5TPQkAG)7)7D4Ond4&UFt+o|HDKn4tzFEv zykGldoyZjNUgTL{+H%RAAO@%ZIPWy4x_(EX?x=PmXa&A~aUmUu#r!C%Z&OXI>=!rn zxn=re`Y0;R*e)run~gL17%V6iQ$4DaqkPp!gbRF0S~-ajqYh|_ZbYV&X*CKUM*ZRu zX5TyIhHfIju%>xht>)F_iH-A>lhpuKsdb~F!`evw+EIeE9#ew-UmH-zfBTe`RE+$?jIeOp#zsjN)3N`w7viZUY4$=yp%)1I$%uOK z8pOX@+=YHqplCv#fS~EM@a(^8x-IE$oT`@ufiiWObNtS94!%k?ucSYxGo^vmkqT~&X5Ia<=!MW@UDktUD& zX{%wrIuC9sCGX>+(R`dj-d=a3%F`w6m2o+qh_a7O>BGt3mrJaWFmuQepCyFbZ$504 zz0>QPAtn!Oxenupsp)7~)?+5N9hNqJ|Dj zbP#Fvoy7-kCE4F!UX1*~eahPGNO=F*Dr#(u`_R>CS)_tRoyF4Y%spN)1Sj;xcLqS) zl4tAGGFv1|VeQ={=v>_a-OA5F5tWq6SBIz|_TDa7R%%+?E+bWMdP$kKa!!W)G-t$) zzlrl!LkV3Ugo>TP#<;C9FI_lIBX1s$R#`Zg`P_ZLG)gcVYk$otSFYO1n^=Ff`Yv-Q z8k1hYu;Sp#w(XE>m}y%J5)~)T_{$-?pM4t0`_7nU?lN6Umys`V2XpOygkRhsX6Mlr zUiI)k9JzXPP0Cww)%PAVT!LMVG+2=w2gut?hI=RJiqU1q`*IPLlLGchAcSBj9qnQV z1?J7q8alSg+bR0@44YQU!*k|oF0%`#xy!n48W5zgL&uUet0t^0Zo|H%$T!jkIywnIbr628-x1TsOuXApTXq;H!f&%e8F*GzfZ)ZH*yog zkK6I?YzyrmfomKpmmaOB5hX^961$OEt~`=T{Jx1YF7yR2iKk877(Y~uKQK~I{1^W5 zoEW^vVUp$2>L1w#Q9g<2hSGBLRLb0;4hAVPX?w$qBEh?PT1F{L!W7})0|q2sRzv4JKHz@p7*x}uLRo|~f?o0! zPNzptIr95=Pja+55WWS_Fut7D^(`JFNLdW2i@&#!F}{Vd)Hc3_wX`z6 zg|qZBzLg=1It$&h-k2EXvJpXV&81Lfr5CAqHB$(KsN)GFNxNHk<}S7|CW0^aF(yJ_ zAgqX1Bq1i|G8%#Si2|wMa8#p*J3iP@t$iY-fY&Vun_Q>BW0M=<^>{>Flxqw%ZjeuQi$}oPCB^~pn_KteruX@RF#V_I`rdb9RHqvSJ4O8R z>p{XvuMxvwUFzlR{ed0Y-c;DU^J7)q`0U|L!)4NeYqsIs(BrUf1Mk??`pmC0*LsX+y(fJHM72Wck;dLG_$;vGA~`+))?CFtqksc zy4pf7#2O^-^1paIQBIh9c#sl)xz@n|KJWJDP2dj>cDh_xUG-m30DyNh_gii`m<@-0 zwOA*ECnJfpy@2N}uhWj3DyQd!*DJfvWL>Y_k0Osw&8HopiL=*nzvk1Iy{>y8=;djC zE%0tI@VNx^I=b<^)$&t_r*Vbslk+HRV8Bt{`s2Od>-EIh^9kT-h1Gu(8Q^_&YzGqY zK0DiZT)L3jvjQ|HgI945xI0aH1pV5$yItZvDRK)K1$Uu#uNR`)ZH~*QBk*B(J}f9Z zeCfSn4FDbM5AOQfH=X49$CW;B>Vq5bW(08aZ~<6&so|aYWdsZW9bDM#0Unl@(#Y02 zyZgoRu1b@}2ztFeaE$uOtucD#W%%QvU%1*|?^@G@x3bPhp`6k z9k-{n(3oU*@%Qp@9y~D`4b@0cbUkEQZNS0`J-(a_f_T6ciED5Q&3xK)BJpT19qA_4 z=w1kTJm&ovd}Y>U%__N6X~(bJNc& zR?^pt>u2=!53fBJWPvv?BK;x?_+o!wd7&FrBe{vDXXdp?*626RJ0Kw$g@WN|e&ag$||jrAI3 zjYfBMaUUIKWtC^UjcOJtVba|wyaE^>?v2LulM z_r?g9C|K}V)W#x|$-(?=7RG1_8JP7PZ1BY>W5M*C(pqr4Kfymp8-VKp355gwe@!r= zhUAP4uEhm7;DKxMwt+>6#^5*U-x|ct-NTf{)7sqrZyhx_4g+;yP%;bz1pFHey#1p? z1iUjhb7t{&_zzH}Y~~IcA_RmTE(8SDKbU{<#$a6Ne>cqHW^LkZ@wd_SdL2qNFd`Zp zF#iYtk5P4$zwkDWX0Ct30j?-o$Y>A{^TGcd!8Z;6z-Rx4TbNt^jqdHOuj>UPp1>7& zJN|FmKWJ3qzl?kRC;H2Dk|_!ph6WDk|A7C+8-ri|MteK{ZF(9fv*#9^-3x3F`+vay znC4~rchi7>4%pucV{m3s(>5C0oY=|4wr$(C?POxxp4hf++qUi8$v5wJ&iU~?@7Y!TqkHYWYIS$@ zUVUBF3euopXh1+fP(T8K+|m()-Ob0$$Za-5xJ{5y!PBDTr;oStVPDPNqI3Yl!bvYT90@e&=R8Rh0s zT~Y9;*@hCO`*F!Eao_}V%ySw?@lh-fCZw&YQ`+k5w->^WbM(U*&_ne^ zh6=Z>{JrxFxWa#gZ_yM7y5V2wlEHw0ApeEW#Mpt(!`3DyStK#r`fYF-RimgY+-P?lA4OC{1TCH z#iPRpHKnBA$_$y#b^fd5C~I@sDTpY^7(w>V)9kcpHh>tjHUk`d37owCbr+swyV;M4 z)~Y=tl#3f^3)X1{B(^@My<*lk)l&g1P}2$o^9qoD2+utPswCw|F|6@%>VXu>YVnvRhH6n`ee4GgT#9cqAkr|{d>)DQGv%(m5Xi7l!FGz)Ii*COVe6w{yiLYgEL zu$}`GgR37E-N<)xqS}n{?TK6nY0z>TnhaO-(u<>aemvN*B?l>aP~L;Aw4dg6k3Q8= zXewQ?N5=AN*qT2i#T=KA-aBrJN94Cy;$H6fqX3}J=LJSCWL0d8B7!3cP;Y4?k%t-U@x^xdL`=dfM0TVT=j zW*-ktRp4JG_p`CJZ^(+@v0<}oaLFR?lwK4FIM!{J%=eFf118;HhoS;26DoiX3H|;{ z_$=?Q8Uvz~KwPxIuS!b#+Zq4$LobP7`?uz-kNHU!v$NTZE|kXi@BSl<^537 zx!9{qhp*4K3A=8#ehxoV@4|K_9gp9`)WbpjVdeRih8b~=ww4sED_QrJ?l~R*Ja31s zaNp^p?SOyJ=hqv_Bg$dJZ0lz|Fy+w;oMgU`ki+BlBzs}&Amj_d0Lriqg*m$RvTt!{ z3cc)b-zagl&bAf@U#~|Xh~Y@I!l^pONt~PU-+GC9UfML&J@a`hW_#7FVI^92%rKzE z5V|EWuw|#tC92d7XsCJ%<%zRIdBJotTwT8sAPu2$UYdnQt7<8I-xg$ z5JD}Q)tsudq(mb|Ehz#@NVkrZeh|hii~%OJUpRIS%r^qpDvG-x zJHzhCg%M~ zW0v*aes0(nSIm<`dQY@Al0Mp|Bjy3t(%A3kT+ak&OtU;W)o?F3R#$|H`J`F&ilm`| z4RM%m4Z4W7t$5aCCCt#TfxOz~0OA%-wM^Td`X@)oo;qiMj>p8in{zRrffC5_j{ulk zBOwU$vEdtsv}3;Uvh%fEc`--mp5F-RPR;R43R-qBWL=@kW99h|MGVsz_uv_+GSaxlyH%-fC59AQ}?U5F3{h$>I$fu@5%R1GRs6i_DC3EBPIMkG+ zr8*dbgr)m01SbDZ)u@3|%{GOs{cEB9c*0YAnVyv?*MU2R$3s}-Kf^%tQ#0!_n|}sl zl~@dR)Ee5HhSbOvH0x3%RbpXGd8nZ}VgteA)?g?&%&4>X+Nxz*Ts2IH@|WP>q6ZQ- z|A>b)I13@sbHbqTn^9}J@Dpvh%Pke&allGDGC@!bgJzA(6?ntRJ+pK-pdnYFlEL>r5I!(C_36-R>O})G$N%?xc8fixnfV`Bwj(7i1T}>Lj|C zMxetHjYbM`+WS0VC?!K1jAc=xk3)9GQMKCv$|?m>_8WV5q>N3B+!xUbed}-oaqG$c z>mFXzACN73t54B=lFxrz^7BG~`*CXHWt;OfB<$a>oUM-cVQ%};$8`?C|A?~tc>T6r z{r+HP^L5C10!9QN@;<3wZ|D7-(xdr8or4kt>cJ5&K#BwCJ_tOmZs+uS%@)H}B?%tp ze%2N_{hi!y=LWgX2k}4hJYrv1&0pnq#aAQ||EXS9Kj#0VUS9HSgr8dYpHR+hA^-bp4S5h!3ya{kY5=gj+{3wl8gj)V_n1XQFkJ@-nC6z93jfOaiW(1G9BLltSMK+=hl z0g8-lX6c)xL*?~zO0Q@ASNSX#UsuJsg1mGO2K_0EkfR|JQA8@1)1T5>art)Pbf(`S z#p2-TY+SH-n!Ncwp6?;GMtr3zHyhfF(4 zL~S1|_}Wl(-R}#ZjE=Fros>l)QgMd5L-deU!>H7iECwaW+M0TGY8}#>@|saW*yf(2N`_X~@U8C^k=(voxZi9y~E7P)2#>m4zzIcgcp0zkF z!q_Kj>^9D$Q?zz#C$U*skk3OCmbWdaC%SY?C4W!w=$3ZG7P=NgHoB&^EY9ZibgtcI zvac2d1YQ;4k>4vbl~O-QxPFSq-#EyiCQrfl1%%@0Xz?js(o%~^&H|k3RSW#kJiw$B z`hL2im2t~~W!6LYJN?fuuRas2DYk^j4Cv$QjIGK~?88W4aBx&4mZ8a8Cg zX*pX~dNLB-c81mw=lE3pw?l8)iNUi(x zxc6rQ8Xsb`W;%`M*IZy|eLtLW&5{4%CLu<`I;xM z5E9lnas_b9;UsQus~#d{&qT8oatzOMNJ4+m&K=)xL9O$0Jq4In3EJ5&$wTYH{5|Sz zT^j_eygsZoRFjUE(BK2r?&|Mb>sFlYuA0SIUz00;=_zr8gzF}v2)RzBoH@jF#7 zd#EEtG_T7+8dzFUgC}L+Lm>?h^wh0n!5 z-FMjNjf$g~A5DpQFk08&p=VQgXT+sX>yK{Ih%N13Z{Y71&ON*#G{^Mh9;+s44Zuy? zd8EtU4F*tB`4aFyg*~EBIpdNo93`n&D75$caKW2Nt88=<$frX2_jvMki1+T!#&^ct zzP5LKuDi}DK4$Y-H5OU9J_ob;>+KH`y?61zrvmcM{%%`xLJcC0HsYvkU{9C!W7v7D zB0?5cBdjc8D6W=@a%kAlp1kf8YP{X7czCfRroj^RJ-?p^2&CHG@I9UY_TzWaRoQ;m z&b(1wg~?m6;C{OAdvNK+5`a{BCttHJ!1f!kWXYef%a`$V=IMbOGPmK+I*?R}Rp?cf z8!!o8cSnJ`wfS_w&KXv2a@pQCcJ9SuLB?5N&`=x>C*~-Y+g)}o@^Qx%)oppSzztk} z6AFBx+?dHg;uStP;6i|YY#l~DCPg{FxJZ`JPBwKyC!GEHPE;_S3%f;pzVBRmHf(*5 z{EPS~02EH&NG~7?IlZ_-T~TKK zw*Y{cTiuh&M*$IU)i0|DH?iTt z&e^;7_7Z;nLK05~9g`5YTsq>GBX-31m*)4EyWr^RS^51(>Q}tHyKg%|sPESsL;7;B;Awd zD?1t6yKKpOH&Pn;V-hh4r}yF$folGcU|D+iUC|WH8ai?+WGQ|n+%Wt!5cVoMbSx@M ztY{$h_N{E`Ly*<%ZH`q*eS$EvY{_SJyLb29EOMjg@-XPZAU4EYT8-BrZKQFyfqyVR zUG7z43L(gvF))Eao^DQ_!Um1#v`~b#KuHo#>7I8n02e1mp6_Y2hZ@}Vl&ME589vb9 zv6lzUDy6ye+IfEYdE=yP*&$o)aPN;VH^N$Zd`snyT(_W=p(746xQ7(D#|casuRgGy zuk#T{r>plujO}P8Z&*_)>2-DZlVG9Y?xJ0_R(0n~QRPH#&G!Z`t;FO%vG)E5`TG3h zv%f>Wdo-y9oZ3CZgu+zR;1djANvNpC>xv)V%=k` z*j)RHW>-amQF5esOdEwHz{0c2_AKLtuofQe4<*^MRl0#RrMO~iFqG6`R|ip{@fdIJ z*GYUWh{V%Te(J^!xVF_Y1~O9-y;(g+SAwRE@|v~iZe$7G^zY+8tZOQq1ZbcWi+|97 z91APTfnj+_wJpRl0?F`yPeJjFAdua~3-(~a-=%u|l_0+(rR{@4#LCK=Z?lPjgh>UF zH{xOKUnEvLHK?>+*U)Y718XFll-I$FUjXic5^p^Hz|qeo5x~ zW21T2$MyHg;?JceD6S@=GSmDE`I-_p5|t~^d-hy_E7DSxoxhFI(wdT&M-D%6>q8M6Y zlTnP@6U8ywqnK7U$k!G)=A@#Z^IIB-pb3Ml42^t~z6#?@mBzH8G?;MJP*HA`7IDiy12A~d6p zjN4z0+c8HT>$hMta@P6-8R4F|$ z)jf%gB+GyY`r^ec^-2{9m%$)QCuW)EAU4`|+eId;?imz1oYEbD+;K|xuVf!v;tRY4A7 zHEzUO;}M_l8R?AQWBiTkpmxHMqxtIYfm< z^@J>7P1LH6{lOw!pCsJ>Tqs^NFI;zP*~b=+HbX{O(@7{ypvqV{Z=7H17OTz|C2_h| z(L>c`h?KR*)#QBPU~#-6Pq37&8x4psW{;4z4&+6U#_g0;(V}&0rz7l67?SQv2)3&W zp$7HYL|X-I#{h2!(~t$ljzWQVVp!B;ic_<0_w{l6F5did+CXD& znt25j9yVIBGX5w5l^{j_-8tke!S<>UfJ|cjBc7KMmaxJc340in7A>Vlo@3)BaRv%@=5PLA~lMrLK{msGJEi@_x~_9GFAo zhz@V5i|hwF=X@LeRzmLPhslu!hBb@}W|3!~REY9;smf#+Z=g?IgUd&*NkDG;Bj9V(#bUX4pn`<0}mBY!&yjLhxINp02IGLUhcNn~U)v zZ2xL^4?+dnT{z2po+DqkZ^U&)DbBV366s$W{uS~Rt`;x!WJG=-1g%Q`KI}@nHcx~H8R(~$TaHMQ^MtT2ieNw z{C(^iM(}CzHN2bBe#t$ib=zyah7Hi?aWdEB*?5~cNa&b2P`sqPCY@QzRN~W*wi8u` zhl}z+JSlX>|08c_MRKn>&jLC~j1cmE_65CXoP2A*N1bV8pfNN$Hq&e56P-vfWiGlH z1(oW+=Vf&skU%ni+3?=6&oizccR3iQ*qB|Gl6i6L+0#Bk%x>!A+C%jx3ravQ(mNJ6A?C@DCl|Dik|GymJq5HVaO&*B2Q%j<@O}Ge z{p9My41*yp^3yqw0{sSbZJEGej8RNx!F_@|yKq|q*%L7_J{X$TZ%3?O1xDuYB z)I4l4RUoF=87?byjaOu)Zl&zKm|tO*@=LU8B^+5Q21eDWcBJg!@pI<3_m=aO?=}0h z{gwBW_Y`pGdl0~smb$0{sh`TCqP72n7A-JVXtq(kGWGts-ZYW^R7)g6U@PaXiI~Dc z$RVZ0YI%8<{i}9@P=Hq&K|uj7OokoAczq?R!TM~KGM!}&t$PhJRHRP@en6fk@=XmK zq#JY^W5L?6$B}fV@08Z0@m=b&FmE|!cee^K7iA|wcm_Xd*Wdvj*;2u=V2zeN>Rc>i ze4wk+Ry}k=V~dg8R_L$=AoA;h6r60U9(bcI=krGVoS*es=tW7txtp8TZrza4UZ>ot z@$f&8pF*O#b0HX-dTFR1@)1Fd&k;W?4{jo0nj+VHbzq(uO)+tCG6x?X?o)VLl@<|RVrfvSJAVp&1c0;$`bJdv3Md*;QFSVo6cp6; z9Sa)Da}+gY9jo6|E{sWhoZ)&{SGs0R4*6&s>tYJ*_vmOP0RJ8bJoOY)8#o^ieA}kH zMeZOT-u2I~MMN^_S$~%D^PRYG#COy`h8*gfyep;Pn)ZEFW5qT>qxxvB;2uYkmUIIqkm)4nO!ERW1Yvv<=%R zOsMD6z7nXj{~fnRxy_NV-6E&%TJb@gsD)C5*+GDjT<04wrU;JkZP3YuB@|S=66?$D~>VNnpu3mFpoj?OjCP?MJ6X2I#T#!XnZ(SZ>B!3Xu!W=OND_}OU~$ixoJUsXv+q= z&pC_tWnW7Cq#!m?VCimna_wx}1UX4gf@f$#?iMeM9q(JI_{5+$`w?O^8Blc(Yg>xx zC&%cOI~K$>Twa!ns&?FmRX`#aPrg~%uKBVXa1I;>#*#>!MM}@;Oi52?Cq-IQB%-4V zoWqP10AN*bRgYcgib=c%I(yQ;LK~JIUF9Nr*k9s{_sgxEc;kHyN9KP@ISJNRMjMVA zeag8-8_@Jo`EakHin|ICHF0p;vWRU_)g z@wCN5>8~M7Rr4BYpWLd|%xu+=G3<1@;_O*=0VlSX2EN>aEveJyLx^%XFo|1bjHr`* zF`I!pEx+E8ZeOUqQw~SUz6%$SRFU(m`AFR&=%@QhS{2FsNN?ZW@C0xorF>Mr!R(aE z-d^`8p8}uCWo~9LII8mLTqhjqQM~omX+^4h5^QZK%L=7f^y5D|^Ls+!fIG`u_mLjF z0$t~}7Anj~8b|ZC9_xqnvw{^xMhl3z)?#ubGKPomlwee;w6BCESGagU(|cg56=OD- zH0}GG?JDDc$Ip^MHjmK#{bdKW`CzW*e7nv8nWk#Hu1GVWu_2+8BbV)l#_*LZUFyI@ zZy+`W=>}M1YOl0Bss7^RpHIa4{`06+Xx^|$_tFUG8YS!`%asicgtL?M0b$s6lF{!e z*e$&}>#?snLmAu8;mDEdJ))3^?&W^&{Y`L`tE(rjLKH?zY6sKk!R_aGsL43?g|&AP zuEc4TNa$qC!!@;*--nxg!EBCnX?{iDh;mGs^~J$81qVg;?1RrYdhH9!YEr>kT6!K3)^0c49A;j4SGN&zl4LCQZ&#} z1z9A1kW~smZoTONl2~o=fxvkPUSx`vX-jenEBwqVro__#L`pXOaCq_&`{M8h?>#3% z+ixb@7E4%bhJee}*LMyVNu@l{+nv>$Bu_m(OzlF-Dg5{RQtb81`-QRLtGh^X+V7ugzq^uVI}fBuqd3Re6E%2+@|+yOm>?mSOFhx+Y}5(s z=D)`ZQ1#;D(M?Qm6j;@jbT+zBOWkuH^*)s@OfG5E?JyJwRCrX0F?hL~us-ZxClsAn zuXOSQ2#`6a)_47(_nV_TlpvD)tzjk$Z@73?MAF@q;7!}@205^6S@vB!jBOJj#O?F*kZ&<7SjJj%LI z->NiC^D!NrmNV7IBNV<x4Qls&(!dPBcK;7>=3sTG0uqiPvFon0OtkAVsBl7k!araR_uV&iSsw? zz;`pXtAj*dU=_8w#3V2V@I<*J2K%jt(lM{@N(JyFxu7UeSfh{823H^r!`%&Nx@hEd zDSP{YJU7y?T>i8%reHz)bWfJPyas&caR9jzZ0sckSD=TD8MNrn=x)1{niHA@)@*Zkrw}(pV z_gvgSvH%>K!w)`ZtRogSaGw|kj%Y=b`*zt~$U);(5O9GJ*jNq?r&mJ*q?PNzrlIl?T=h*DepeKAfFUV=)>biCA=_X~0#_;GfFft&Ff{UH#`c)_V&DN*&r-4Th#9vDM2M94 zwm9gwGQlQP%#Oc%{%n;Dr&#*OjeIYx_xqfl)En8e*|AbZ_Zu+w$7LSUZ;DBa7MmYwJfwoQ{CtM^sKlb zbZOx5-lJw9f04oYVcf9jZG`sejcKI^t7u@u`a9Ot6=Nx`M=+M+Kibz))dEIbC1ob#VFFg4yc~h_Y-tPXSS5K*arb zm{{*EIZt99%$V1<(|vV5+(QRcVZfp-xBuW=j4>7_Kjtk)0-Olm;?wHEY9Xin!Rhb$ z#X=D+d<1bQ;KzdI76ywG#J;<2Do%U+%`gsf3uH`^?pAgog}xSNCb*G+Rt>V%PCEu+ zmBjK_gLSS@sPotKY1su5-9;#L{^*O2v6!9<-_PkEfdZ$Lt%FlxbEokl?#YAu=>-YZN^-sAJ;(=H&SwH?f$a!-g8 zpfbsIcNWaQ=u#iU8YsnW|+WUrzrybL2mt7`5xyj9TjFBB{V z@;<$%WJL1GFni=k_iMz!2zgM?lx0p1F41^gSHt(y-Ti??(4_+stN^bIerJ~@2cNz?D?Q#q)lP>@=!7%Tl=!;nWzS%P_Xx`T%j znv}>R$bv9)FCVJwC0NpX&(RFq#`U^T!)4l%3;i)HxYYgZ=3yzN!%n_!&iz{3=32qE zhE)D(Q{bdpPd^s7a zS+!JWKCEs3JU~??evIOn)nt@Xf1xsuq(#`LK}*WMj&D7U4+WmLVmn_51TilzZT!O6saNo%XmqvrCBZJw1?tU?--b)5B0EN; z&C$CMEr)ip^nL}&;swS*hid0bjC+N}Ojo9QnTEvDxmIDx>`by4ZikXU#VB+NA0n>3 zg&$XBa;XgzMGIv9%J@RH(H()V#jSp$U3#rQR@RjxG>#ooObXmGS&ty)Bv2oIcg{C^ z;S_-hI25JsMBz%dNTepRp~D1s(mq3dxKZ!g<(c7gc{4Y$a`MUZtIDqby_TV@eGmVi z9E;T2cTPJD5YU+E{|^u5VPf2!t!;lGg%PqlTl+i0Gu>0-Ut=mDb_&cuL@L0elbB?Q zr)kg$S_&=JvWO`P*p*MQl}X`VtWhnDT)JpVWNGGq*|ENM!WtDkz%RH%5*c@A%6s0f zocGv^Em3zrTK;U>22VT9y5r<{9XK7NdSE*}T;AZ=o$uuvO2Ayay*(t2)P3~%^nof2 z_NDll{^5JM*&3&k3p^WS-z8D}a3bK#UgVdeuY0DKMe*ep`CN8(ct;EiwT?6Eqy8c! z=uZ0$^Ad3hdH-Vhb862xD-Y__`YBK3DceI3ILUv-Cuz(!Zr5q9A82nAFgd@ZNhi_u zfpYW{9hlYIrW7m;b@sb9JyES(tN$h*f{r;0nQ^12nERusyOp~+8`kbCh5MsdPR|1B zXP%5qc?Pv37H46D)lt-3moRHAlEnQ!}Ubel>0+ zkH3$mGQwE3E7qio9Je;pLT&g{;E^1)7JRg_q)+vpj&vz0K8jwrixmzhY)Q$({N{>`k5E&b~S>=z@=uN$7*GS zhiaejy8Ex2j}Cm2I@9Ps;BfA~y3Ne+QPDS*3Aj56x*2oIEj8|koYZSnIA#7?2{Ut#(kNGPlnoawqOQ3X?Z0FgNm*CR#WLsS z^%2hNUew2t%dhJSE;mZ{9aK-Bu5LgMm6%JdY8d;Pqq+*Rrkb)&jMzE5@2lb*%RMz% zSF2gn(L8hpWT>Y#B+1Q!_%iE5GuKsll zk8tmK-crHl{hCRF0+>6q;^)icSLoNuw&sqLmCuzTx^?G)T{nQ$c7Q|BWYOPsp?smx?r`1=f$mbcX!D*8LFR5gr)f;Vn=y3fI7f_B5wd> z7sL{5EL}so1k#0~)Po!_2L_JUu_dsrkc_OZk^q646)a^mXPK%E_|@;(>~UYlf8*op zxS`&V&GtP(8|HB`8n z;!g-noW`t1y?O9Era1M7fHT8IHxw z(v>JkRhKh>bxjcL@c0!9!c1m6~ebPs|5OtD5*kiNn3`9=77hno$Y^eT&!YOa`H z0D2GU&AFF8wd@+e#(^w;n--JKW4*T68XpV9;R`?Wnu;a>W?P`uWtvF%FWliG#)io{ z6;VLeS?UH@V0AtloPqUkEvIQu1Yajvf3ol~*|e|6xSH)B%%-Ohy58(e*^CMgGXH8R z)a+rifDgyk_1`KNCDt9xuYdOcd0W2@boVAXYUHL_YJrKHAv(^`*fnRG+Ioz)NIxFT z`Dc13ddkMh!WCCG&2UFZvhvYFEOzB!44Wf^ZZ;c45|up=V^cl5qxzR?gWaIcqfnZ4 zEHERoyDSDy_oCh5m_}=WNypS9gjDvLEoa91(cC|Sg%vqf5c#g{6#`Yyrc)D!_(%sl zsW;?hk3yrJMNCV9c+?@VTS`myBnRfP@6%6Jq^l*JL5&ckSc%Ge`=snF*l9ou-Kq|V zMgdUc3jhnY@~MSxvV-wB%lkp$7FiaLG;v~jF;^y;uHPGwspQ?D&7=Y$>l{rvRz4g`A{jDevj+n7 z)B^XLo%pnSJu8~8+LPN=pow@I^p14gx!(Hb`u7CQM1Gv!%k3FcA0$xu(WOl|dVC$s z|EM9BQY78363R&VsXOz>{;x!dMr$N`)Srhc%CiR4u8?Q7y?Iqpkc|9-5{NGVr3S0qc*vWIw8D=bT07&;>J zI-IZ z5|oRxlKe>^bD%_v^Dm;i2w2$^IvgDko#JY)te)7sc#gpQ7dz~ZEeGi46sS~eA1t`( zbUNj(H17IYX7codbHc_wq3#_OAG&b3w5wyNREC5~4yJ>)zJEBpQ38!X41})0qNm^x zu}{=>{jmE9?pK%VuBjd(DC*F>X$<1RsdYdbqe*A%qUulp_`})5Fpgygyhnm*-sKeH z0)#7)Xd1d6=Zz`|`9{AX>ZIL|Rwt})2SR~1`SK8uk`nR>C zc&_q7SP5G=$$KpNWeHfI^5HB!H%N*8MPSdRtD4MHUEIrJnWOVI+_7wb*cCYbv>z*UY?pAg`C&TWQy0CxoCA7?dY6d>VW>rHGrXX>fRJ2<_MEF^0 z)x#+y|K3~v#!spwjc4~(Sb@Ho*LhU%ZN>iFR8!vTZ|-kCmr`uo!2ElqjBCkr6G}8w z#3htraezwFHnCO%hI#GPH+czJpxlc9t)lOc0@)_K(Vn#;1#?g##Lbt<9eNww4{m3q?O|eScGWGJTs`iHzp|XJ=U#||hzcACq(KOcLb!ee z2;&aG)>!n`J;TPgQ*|wrR1`%Dh6*Pn1SS-nUolxf9oz9NPkH4M-+3F;^SCTdeHnmt zJSTJK+j+y~Bzc&nJcxRu@4z7i!i=bKfBbZc{`ZXee_XWo0IF}l-T~+AJwMg_A2WdU zJbyrz{^tyV@8S2jd+#fS{-@wBf!`0#?&r$tzn6R8HV}KBw{LyUrS(5|R(s#8`9DVt z+41QR!UpX)bP&VreJ*EvUcz>HzZ(7KtNjc4zXq&)p8tIlMBn4_c(Q+==Xbg3@3Cf! zxjF5`@pa>lVBh;*8m9lfc#G>cIcWD-Z1`Dh=jh?-5Lt$Ht5j{_rnZ_<4<5wqmwhogCi(xI23|`96Ch?c3h3+;(Gq%Bs;PJ9!FE|90Sf zit_7*BTEe%Wv38V=Lycg9I4T_LFiN!x$X7wetPV;ca+fGv02%+Vy0ow_a(lek3}q}pLFcpiXLo3@C5nZ z7{`LAT57rwpWt&Ey~)kihxE}lJ+-29&*q0J)~4+Qvj4Qbnu|5Sej{({__bQen9RZH z<>uXbhyvKJ-1NIz&3djYz~YA1=i=n-Y|n=qG~|aHY|)O(B8*>5at0Yya99H) zQYHXx;qK(@Bnuqbag92*s>iapDpePMovm@7svhWyHb$E8+EmPwbDzDb9M=phxK!PZ z$zll2j8k3$Hw1I4-?B;7#vVGKZgg~BL2fQqQ}^w~9jt2&Gnc=yo4L8Qv$*m_x?zK} z`xO{!gOHca-+p6M-ovdEw`tdW(YmB;6sl?xs1SPWwFc2%CFCpbCZ1;gYEDr_Da;xo z$RRIJr`Oxd+u7cYI6%%9ZpIva*n(&TYnrF0LqMRrm7QetWT@fW`m0qC*wd4z+xNPv zm*4aH?BXJNsZc+J(XRD!xntAD(yjz_!n$FbYls&!^jX=^X)+hx)w z2^}73t7ettG#knMsUTG;`>VXYXE#_x7j~+0dhZ>-(%j?Uh~Pyb7^=pzk-w&bQM2;1 zbg?^`7}H3}RA?9MhHmVJ;IcMVj-u9HjU_~{RiqJJ{!V_bBfXT`8U~lHNPsbHZ$C%C z(-*0lfK@Y;@-{r6j&@66EA`2s`D!q{Rq$}8_C`_~1XayA{;@%O&LuIQqqoGA$E~Pv zbJ%DT(p3*-BS9BC$n|Vgb#4oM9VnC@8u4MxWzed9C%6Dru+k295C*ZusuK=y=ml{x z_bYAHit(ihqOFg?LAH{CHA|I2WKd`n)NI|p|GD^@h1O%ogsCNi`K z!s;q0V;xrylU5@UqYA??-jHNH5red0KG1Y-%}-K_rAjicCJKAb2m%e-SJGD}G*Z3k zJUT2%LCr*+{V+51-{ETh4K)Xj*s>Xd!pMeB+}I@@1jFZRS9Lrab(-0FyE*x}?d~Qm zYbHo)y!PYI|01_)D+SnyGM$fJTQ%z@1$e(~C|X>9XRDdirPSJeIJRruN8-V7LbE*0 zOx#_uqD)eSn?k|hw1f={JTjU>ZIh>B9F=HS1&yDNJz%o23V<*<4PmU9>;(0Mw8O$^ zYOUoYjO&C6WgS~VZDL&u>KX*q=;&|X)L6dPei~F@ZkjS!2nG%A(bF|Ffc+5L%n45A zzj4tFnx{oWETTr6tdWe*#0D=^Ri&|Z8NPj=z+)d}qLmfu1@n?#!4Ba;R?4er2pV$3 zliQ;vn~2KjTwM~T8@R9;GCr)lU75-LUXM1Y4s1$mst%TlErmB1?mXv`DA$HF2?@`1 z%Z9HmQZT?HHTDZZb~X@geDKBIdxkD!(l~UvTx{(a+EwV z64o1-zMj8%iLJUOA0tW+Hi)r6)WF-x&C})2kANCswfJBFGlfnimr-65ilV3^Qf|N- zjeR*&MY>c!9O-@=gRT8}ndK4xdENgxA^-OHo_9W_=YQSm{h5sWT2WsQ~iw}{#_U^kouqB?0$f|qt2hRJil9Q|EFn!cz1SueBaN_u zc`l+V9^dN#EWxouZMeGz}|M~xqp36AD>{9SQ(utR4FhC=iFUGBcce>QvH z5r1AMXZg9{B7nMo=6~-04`Xiu6vy*+jp7mr3GN;sxVyVUaCdiicZc8}Ah^3b1b26L zS=?FRZhn7x-}}{9w{BHWpXWT?(>6UUd!~E#Qt0I^?!EeB*naqyCv+=l=h5)V2g{c~ zl;3|x9LpEHpWg6^4AJjv{~cyewf>VZmT&aV7o#UqtoQGs{GmH>Mo(r8KzKyI1oYq? z@wm;`r|m?+0j3W=)wCeYh^P76-csLKm4j_)7$mvxZ@&`w3h%t6J;g1`jHLWRX8EID zuPG5f@Iv`xcBb^7>apHE5D5*RI5FlwZq$RfgZsGhN>C%W)MN~o=S#Ji`>)!c4uu6YP zM^=VAC+oGN;|=q@9oLt-9dn#4<}yF16X^vw|6~+iEfWD0~`ql4({d1^6SR(``%s` z&&I)P@VvL)840zq?9&my?a3Dt=1B7MW%>fWU*ehlh~7A&`9qbxB?iJk5ig$NH8DD& z;`R0Q(QI7lQ(9zP>EZP?eF32ouThzq%stKAa%$->lQOgPQ;j0el>eB#?*n(B^yE{G zLQmB=KgzeXf2`79E(t)cg?hRB3MJk%<*EOenL|19{6(H@rN3m$%n}D1CGV{jc{7!# zQjXsIeP!;gm3Y^br`Q(iW&bhNr(Ox)GC$t^$nzgxXLQ2Jb3Vl11=ip9Yzf&PTT+KA zxi97&j1GOC{BP$baoJZ}st0XYE;1YvqrD8*A@*W1*;`t21^`iR?;Czp#&Y zo%h!@;A8j)!R;36yVt1SR6+3R|i8ND4s z15-EnR!M%_xw!Vby_mbbiMzdWZGaZ=(e3H-@@A`h$j>kC!(-Lu1Mu-D zBUO7%?>Woa#>>%W1o==X#>(oc1{TZL-i8%8cfQ&+u2^P78E?7i|-P}l^& zZzRw8rD_-JGv!EgtnULK!Nbzi<(C7q5AcsSgHFKo-4f?>)$6+7uwVYs+voS!FHe_* z*IRZsdRwH`KG$l`c6WXG;sl+yK0G&e*Lr|de#f_)<0!L_*YLy{)(@L^TwV|r@8)Sc zpP~N<1G0M_`HlOT`P|t#-N-*(x;<52t?Id(>At!>+W|_&w|HO9W-{Y+z3whSqvuE+ zeEH4~Y`fbCYYsKxO!0l+QTGhoqTTA`XutFWdheb45~U-977M)M*7=@3-$J)m8;hb$ zBVYA?I);b4hYCye53-W_P!@q9tRV^dGCb_>&&9OujE-&J7KzC5mPmlj^I?DdW`u(c zJl+KZ?45Dw6ISyNqQX-Ca<}Ct)DwrXn>yQ?lJpDfdJd9)H`+sTb4_m)lUYIH%1l{Srd~Y24BsqKCUDChedX0Mj z{u#;e1!}(39>qU_f6;r|u7v@FTEPN6mcT)S<@Lv}GUz&WYq8h;JkN#geV6;TZcA!p zOOoH0{q4!?WazSx(2xJEMV{Z6|NV#Wqu(ppwwqn#)>}XhN_RVleH=lz+2GpeN$ugJ z_0{7iG5?LfvHtg1JE&#W*jRe-nd?S;;A|!4!^t8-ofdLQ8+t(5Ul0{IPr;W=vh93& z>NIT@0tCo44iSjuo*F>42-k)oZ_|O8J`L|Tq6hn&FW$ICWTuEo^JxM|5j(yhZ=F_ax`;=Q-pT;uU#s3D=)ObwOwe_ys?s zNoxshBnJ5jE`&YBiC22#G$dTFj4%>9t<)rab1FZKZ=U`3!&M)N@oV%1`5?Sd8e(qW z2_fr;C>dVuKaKMxRHb=0L{1p4s43d)$J@UTl@5nJ4-Gv%f?v*)XmW4+WHecB@>?Jr z;Fw$Obx-<8!}5IRcjw;5;Ttk>0=o8ezLC9O6UXiv=68?t^WD%5IKr+U#rgrYBaite zkT<~HykwH+9dyPMh1=C7V=oMQ2~Q`Bmpx;zk<^KbfqQ$Dv#-Z-M6<6ok#~G~B%NR0 z8pS<7cC^Jjc||>+FG?OiK5i~bc13+9p!5la!I#6NSkOsB;p~4RgJR_!StyR-@FS+n z{r7J7Z4^w+X#SFyxBk|lSHzoIJY%RbL9tvMV<=zapn}uKI5>V9l0J^%>9H@V+q7cA z$lCz}dE)-C7-0kfRNgUQVc`zP$lG79#TlK;-iNrlfZ^yqJ|bdzQ1dgG#pTCQb1HWO z7WjI;^Ojfnb%GG5|6Hn*GC{esryC>HAi~7YC_mVT|2ot@JHAlxc6opFPx$i}T_3bN zGH?GvE{;WW`BR!d>ti?_mI?kmv=(Li(PjtZ4d*l$N9d6DxjvMxiyR#kXsSlD)BT)DqcW=Y)YRj+3?<4%fH}T_w5Vk+_(-(D|8PsTlYYL^W zKt^u3cL`i5KgA6Ttf-F~c3j_vwv{XY!n?Js=p8#mZ=FDu-*Jy#=zJ5^+n?%|0YedQEi?k4n0Qh&xYs__0epj7oG z>-0N*FOO<&TR7TE{CyCFM@v{k6xIB6T_e1-xqIs{sY|r01bei9J~Jt;rc3F{}FOeLd{dVkG z*N03E8?4IKR=*|c3r=|HrC*eEJbKy>f?oKltg2GTC&d1O2r%+OD0|WdOafj{S^)6q zWGHnmZYi;2K(eI3@#4cy#Q%BYY;e!osu4hC@l2$olmyn-)*i{|?d@llDs!(2&%w;Fks z-dqjmQx4~ME%UwaCR;sNUNiDa@_%wERg!p5ss_t<`iLHDiasrIm%{CV%F1a(+cC={ zVR*@f12%(_n5%KNS=3TbcrW7NApt9{ISQ=ACML z?h+dgps83agy$jU>Ir_*e>yxJ65~a(zSplLGSTO?nBUV^qK)Z}O=-e1uR{<$W7=D_-}{$8+e9~I7`KMw+mIHwK=xaiech^GF zV`pYY0$#kNlJ1*M9;KYIBFh1@owyPoDND%Qmy8=%mIH;^Bt2W!gPHbH%04BJ+edQQ zkzZ2Xab=)yASI(_A!YV2f-0M_Wk74iWIYR&12fQ$a*yYMN1u-z3GoBH4Fp;2$5mh7 zcTkm62(qAt7IomuKD*B*(Klq=T)QbK1?SvccClGVt@=DLQHI%xDF>8T-3~ zopKaYOVs1Cz2y6D$G+c38v|u|r_AWlCa5cN|Lux+ViC}?oRZK7lU1~Z^5c>{xTu01 z)MNU;H9_Z5X8BGhHRn_2$Y$JFRTgUvKtb-xWM$Zvie^S@PpAmq{eoez8& zT-q6eT<#B|$D{f6dK^VRKu>1Bj8{v(=PIzx7F2lm30*H`m+Z(B66aEIuvS^4#{jy= z7DqnQsjS|@?DXZ99%cr(;Ac4VV>dbVW6uxx==Xg67}6-bB%={SK7zj=y|d)^K6SlB zP5-f=r}F&F&58RW1K(-){ti4%dFNi^<>caQ@6N?Mn-k}S8rNy-YVU0AK3-%7YCl&_ zJpvVw!!AvqHjhqTndtb{e2d;Xuze41-?rVV zA5SKWpQV{;C(iaR9&FuQ{Cqtg7aX#tgb3|3MrNnXP7dqOXEqMJFb6ZTaapd_{9YE$ipcuHW5xQw^Fz541xa==vE_^K!Z$Dcxrd1l_Ezs-@YEWA31B~$_=myUBT(0GG_)``1$}AuCx!fo5W_qHssCke4?&w>p1!(EYz)CNO=BiJwHX%}L|Xje$u71aFW` zq97=g4t1d$>u{#D4Pe}&8}z1D=t#qhe8O9#Z@*WMyvJ*DTG=$B9CcFfu2`cRaFb5l z@Z29HT_R|`87>r$B{)H_a9$}KtKvx^;cQpx6n7;m? zq0}s2)XCMgPBCYhBSR$jiag|8@AJFFmT%59N9N3j2|!8_d2z@L$d~Wudl6yW^e*zb zvsf12MLU@=TLl4N!t5rl==?F z;ioRCkUjNk=8HB1B8TELSyQiJ6KEsPz-XW;QYK~Stp|1Bt@K;Xb>{p}surOal{x0Z z0NbTI0m5aB2JMw7-WzAH{gcPxdU?M=-WwW_u}V7S8OM{!dvk6SMVXN~A#%MAYTpA* z^T-WGYZy!fj4Tm{aNPK9Ug7(UoAiS2k?CovS*_DZ6$N^HvjC;oSa-d zP2j&d2@>`$e#d1Asq0ldhErI_@k;$%Zsgv>fVYj$ z-Tf(Kwc1dT%W5EN zT6G-W=D#2g%F$n$#=QP7K)etCQ_4J-C4i+$d&J#evsNLkNmAer7BY+aDfFoNy(D5Xh^l)*3s3s2n;K+X`Q$`=SnhV)2R|DI9NMMxHwtGiX3gC!8roS_@nc-Y^EYP9-TpCQ z^EZ0UQybxSTXYtc{Ob*c@tVh^VL{Bv;id;{rdEV8z@^zJog^?M5yl<{ zT0^X;eq7OPq^w>>&kWmtk_jx^1dMA8%pUdY(5E^Ev|!xO7DjVNUBo`DwMCq@MN1>2 z#@gC%T}@IImAaLHvgnJd%8ROsK{eGq>hhT61sGgi87!NclY!tF4qQEvn)?B$MYcug za3dQdSuhT2h(8W*6@*`h0JhPt8rej#YbGXv9JZ+{@Y@xVAa51O+fAgKW%wyG%pmeN z^t!zLbuw7jK-zSJjUj6&oN6#rz?d4ECw(ZIRt1INqO&7~LNm|WE2M); zlOa=Mj)L2U7)4&2H};PS{{O+Gputz!mJI|=T5K5lZ62Ad=Qof#`%kC$ShJj>e(8T6 zuUY1=As%iWAP)uzhl(b;3!13k;o7}mW1##&5)IpkLyQ7^#jVNGx`TQZ=VdzuHE;Jb zseJ8E_DvV2^;3sJaxfeAZc9{fb65r9Q_Bcq^=Mo>Q*4aigHgQwLYOtgCp9Z0H8X;F z2+OmelA*L_kmQX*2MW~MRap^|Gr$|NH>5_uRwr#wM7pDo6K^S&49vJV+c-J7co7)a z9KIVSh&xKfm;_>~gbrA5yR7f2Gb;m!C}4St0;FY%D@iHHnD^ zc`eHUqcuN7&$C>XlR+aiy9{$x6c0LU^SrJUgO^dY(m)lF)EIHI#%p=gOkIXC#Vu46 zHf94{-XS!`iIFcy=+6TI;pjc+Wpi*{pgFVdZl_bf-9!OgIlu*8POJ>9jM#9}SUOPq zaYkESPhVhNf6qR>*%|2D;R-v$x3%Y}rk4)W;#^x`#FXI`LI< zR`PJP6Px@X3CG&9p|nu8(%RBS2{&`ql#)6U%ED2!pGA#D#t8&rsd{FW`;%?D>l$il z+*Sa$#nsCS>Vs?-kM=!MW09*B4)SSFSvQ?r)&!0QF_f@HiQR3Sk#Cx$>F2zTSHm-; z@z9cy0W>~nqKxC3;_J)Pi48tQ}wSYOQL&Rn_T~xOqavTON zdAvXiWyFPK2S|Z5;kP^eUzj|j$oTk^_biY14@?<}-{wfNe58wj094SsirE~M7-}*H zi$a9(zu@*Iketfl;DUZNxJ&>s*Ctpa!~o2ObcqVCe3}Xd(tJ%5JpjDHrZTdds(~x( zFLybIoo;G86{r;|Cx!HHs#FO>2D8yBm*WRzpaTD!0SLe&JhusV`MO3~JvZye_Ppe{=c|d))U!uOX zQRr!}ifJAJzieaMAcyTZTeTmvRdrqaeclpQ(ImR!@MXn1s&0?q6AA5Ttk*Da({~1D zk70dNCRkH)r+F#(A z!L!?6@>ZHcCPh!(pbl7vka+NjlSb2x+6!8Vg0J1=wlZmg`6Ef=vqLi#w5$5Mec>Qd z6s$I7eZQfg8Wo_%JrFf2nY0#oBbG>3d1v0C&lH0xQxxVs&ZG0<>4eFovw^{G(`RRA zE4;Hi&uV`?1b4yqSiCe;ytEL+``LQm_H6R1?u591Dz?uL0rC>e*Lt6Vha)i2pB#98 zvjWfx+h8$3ecHd+6;iF?&K3!AScr$e{M?Zp85jrCWz99IwaPkggMni4Qa8Bod4kUnOh8|TjS)5jotSv3jZ zs%9L&Y3CmVY~#>U$g^rr##lCFF)o;M#+A*8rtAb0=xFP1t&7<#@Gipz^76vpQdL!$*5#6p>TFh%m^I>P~4 zi))+BGR-U?fy{SE9!_nWdrm`8kBUCUX=-C@q5C$rFf~ziSUH$jt2{05N?BWIOIb(! zFwBJ5v*^wuuU(<17AI4h!A-Pugi6j!S1X;FwrNzgS3}iMY4GRO?-(l8 z4107f*_Oxway)wMwy&Z39dOf>kiG5!d8mkiF~9sdvh}|%fR-_W!Kor&vEZRI%C|&v zP~b`96EHKz7Rn8&mt_u3r!gQF$T9rn>J>PJGAhkC`Wjg4K7)+VtKQ+w`E^&P3)iym zgvO_hK`52L#t{%t9jEQNe;gkq{y=aN>l9yYq5Q4oJ!si68K$;#%$2VFs!h9U8$2PB zi^iI*zGf^vqi0@PF{AV63G$6i&ljD}BpdeUHZytoNl;;* zI^B6?9_fIIYEHtZOzh1&OA0{oWCOGmPOnju$zQ*j%;e(^B!@H2#1AB@_3?W={YxcY zChGUtr<7$zOCk%fRTS9sTXfMUn9E4~#1<&Q^A8e=b;Cn9i%dSYtf5(R8tg%>NXh@Kyn{N?pzJ?4ZAyJN)GlZc zV?~y_-bD|Xd7e3GMXH2b$V1QLY9LY0A^lo5g$%cF1Q&ej1SNFO36b}L7x2R;wkLo3 z2oCXV4kz^9;&cB?L#Tm|WzP6ls82O z-KUi!w)#W|uH5FJxMdCuP2w3&>VHCb0gasMiWC2CcdLLbx>>tWqte#THbm!BT$Gd< zIUiw+V&6dA;x7GxQhIfVQ-mTmsh#8h#d z`TiVfMBMEPdxxe-{3Wjc5Y;q^V^lNZp1HE|K6Bdos|Koi2Bh)x@0Z+yi@v|zy};IJ z0cKxm&%A}C8%iQb@%4}fnAA0W;zjuuMEa%P4Hdo$U@SlwD@X}o5UL~|pb0ol-+a$e z_!|?dfMOZE1|C#cT6vs~e)Qt*L**7Q33WwS9RELL`L27<^53#PM0VLIP$oY_$}$B# za2GKduojmZNh+#pMAUT*B5KL}K5!cqci+3ga5m6su?2|f0R&`>Df$g{aI0)%K8V2wZdPH?Irz31Y z(F#rfL1qo0z|fh9<@lxU+{m-*Za5>15xo}yo%(`3h)O+fqlRY!U7s36-D{cq*)O;j z=UCPRhc`4ibM+5^>Q5Sk8@i#)6Mh-3_#Gx4!rCWrerxJ&H&20r9)!}uUR@=oqy%UP zr@)*7?z~rLqKX1s16E9ARc1^B)+x|?_K5qW_DCx3nEPIIBU-8!7)X(la`aU^f>qQ= zD!2#?SjXY0^F-7m82Y5P=iKYY*m_mcoObM`tgv`hF=+ZUbIuYODyLY>?>PyQEPP_! zhw0mXRR2Mk)l*=QdAhjE;Cn!FFfpaj1~j8qY4^jcHHXV`+!Vrvb)lgSryBlFZARTp zNJMXVei=cX=6cq%bV|230a9q&V4zm9rNWCVlHo}v+kaE(i&y?j>VpPFIdCv{0LdHS zpcOoxp1uoNgkrl{eC&wR55~-SfDu!nwZw_wCW=gC=tOppBdKuIs$jky%LH<5+0$S* zfgIQ3BEEPelGb`#oEA&ZJ}u_Oncfixr-j@At+Nz^d=z`+^ljA)METTQhD{{O-61jG zzIbV@FK$Ub6-ZyA+lQH=jxsv?AI1T|(=juO=I1W6d}_?dh-Stdz0&qH=DAH2ownRV z*S>{k<8w{V7ZglIv-jvAT-4aJF;Ujli#Ctd1<_BT3nq|a{i@oXmqxiu_KRSCu~p#5 zXOgT8vu0HpT*vr1vdAwyso!T88K+?vM5uowy*w!?!TKP{gW1H#cWF?7CFfTLb?;G7 z*_>@x`>^U1e|d%%_{;Myyw&ABC#h`Ccs4g z88s5(D@OEDBXlsiM8xO2)tw>@_~^R`Y4C8Vll&A}d__OcM%@K%=|nQG&9RwBMG9`p zy;y!T4jZ!uC_kgsKKoFBHuRfz=zw;pK@mbGwl8mgwdvCVBLC!-)0-N# zBcqbOtsG5+oSMS&#w|0V6Ly8h1a=^oeBSi6)|C2&bb{XXM)dZRX6NnbK~y7O>xNDZ zw)O15!(hXBxB2XS3hJDVhi;dwXM7lHhmLKR9Fk34cwnNehHaZ1n@Q^q7bQkRLw%5Q z@>Aya=iF0$Gb+(aakbI&*`?4-h*<}$r&pvOxTjCQM{*C9P7_B#0&SX7IslQrGJL-f zJsv29RgjxiBONsO@1v zOjgatMy%CJ_ITc|nx7JQ_FO*m!%Q|L0lPp*QkN=ezfeeWb2Wt~Pg?hr&W+-6LMof) z%_3crdn-brWH#%k`@u`InhLQ=eT|saXa9^;ChL$X)>J*Yw*7+wY2E)fv=%x+rf3p* zNF&r?XlVXqhC9-vI}pTI8Wu=#Ya!pbaTLZa+`v~sLT9a&q-rmT?%x;VEh9z5w)w)e zjxC4};AmM>(bODJ(0ov^70gG(79-Qf9*JRs!8c~#Ct$tN5Z4ic{=t-lO{pzI;dz28 zzf^o=cXiTR;KxeW_Q!YL8JJ!_;uF_ZxC!QcIOi%2?wDzTdvWr51MxLR!ob<_Q`;&o znLjhjiRw~Is%#rTnIi5Q#)X{X3$+;Kr+1N`V5_Pgxw4vQKVnAn^I|EQXUt|USF0%m zP9KT--|}M7;7WL3(`-#BP3RlD6Jf<2I}uMyR3-I7-W6Z6EAkUXxmJbaYBynFXXWJ*v-O#M&a@NHFURv-lSk)p{zO6bu5;5t>=I~errs15F|>A8cImvsqYfe!0*Hr-f5 zfP~a&kjWVeG8Kcvg*o&L0y(O3){&z3T%YrE!HRQX_gZv5{bq<=D^Ps{_YwwB1Bva zBzaJe(H=dCFkW2D5%{jV_2E$F^C%4Y)iMVZJ6!(frqeWrh6n&7d9-e==wR@SPSZ%Z zettBluWa3E46FK((Gk0cj_V!%Ti$qFPZL9kn3v4~QPt}`zek{=$i4m#HPt^8q+^0K z*}rKZQL}>2CPIJa+q;wnNWFQYE`9XPp$@242T&!YE9H7#?4Xetp64iLksFkN3Dyy(O(JqKR$Y&nQv^= zycd$8Yrl+J0Ds}Gh2pQ&3JFrAzp>f#vf2OtVFd=;SCg3rdA06G6E!8cmmPnr%x3>v z<*jN8SZ-xy`8k+?mR4A$K%9+E=zzC@R;mG`-tyx8^5Wl-2l+%32fs&EO534@pPU9_ zcXkc#ry#L&t#8|R_PZc856kaexZBGtrq#qe);D#|MG&2m6HtNHl2sV^WF1;7k$;JR4Ytc-71%cmL(2s@yy_IhIsooa+E5d zNE?3OB6<9@oXVVG;xwCTWbS9FN+BeK7!T7Ov7alwCcKO)W2iqjI}F-0C6@E8HGME* zS?hnufxf6qRy3dNM96+qjt~(tk@}|GFVdGT`AzvvL}0RkF&ys}D~%U`Z}j_gDVDp|18Y^Ei@dHkCgh0*s^%+YuM>am}$z*d`7 zL)_3c@%8?4t`3O5(yOyd-og%@z}jLN7;3JKm5RGYo{BR(NUx=8f-=X2IoJcTrHtC+ zN}GV5pTrc3d6)bI%@{uAVbJs6s}K@7V3|8Zhnm;Q5`&$ED>6`l*vUj?*GO2|=i zD^HUxL^kodr@molq{So3;QKCryYW4Hnq^oavQXmpE(>*DU~e{!J(Zs zWl^N;6Q&x!TWm>cwV5LJ6C}T-%8;}gql}Qn$C_$g^N1&y5{n;K*QQJaHy;wd?~U-*DYyRB21BKGuN z<46w~`mhdPSG@Q9JR^|4$hXFVT=FM=0R~kSei;U}OyuRloAGzx50xGI1x%sNp4At{ zx8QQjbGa5z;>+Mv*ep$NmaE<#FUU(o?-_3&nr-G8ohOR4<>7VJHP?E<7} zoCAYbbkGVZ-Z(+8{sSCLy*NV}Z(#2^GNNgmK7$uj(8?a@UNt2wkghA>wJPj-{VHR3aYGOoQN>sh5FW}39f>;Jh#3&UwZBBNqPrCI zMZFfFHHISjwRQXT0TKB(=Qz+ z=*KEI@(c9xJnoyEmC#uIBx{*og{-*)m1a6xdb4}HM^rO4*8*JsY`Jk0~Bjw(N?(%G%jjs1N>2|TzlY$9?*~R zY3aiun1#4jJu$Iin!@$<#o%DX!u0ij!@(GFl2Awf(07Q-b>SZ6=a}k71oQ**0TGQJrt^0ba_cKc0jUJ z&a@Y5Y^6}H#t=$X{*l__vqYW!!v-C00VDW_3yNUQotM)GeLg#x z2qZ9l-BHC=s9f;m$^A4z<9Azqh5Z~l`Ya4*-(kO^OYC&uQAJ}T-NuTS^-tza2bkkSS+df=gN5U;Ne0Fi|fz8K<$PQ=86 z5*qE>2?Mjpok&Hkpu^D=MTbNrO!UR9X48%?{Wb7!Zct>PfcdBl*YA5#Ydoqrht~k_ zVbO1U+|Rco%TmK9VT?5#yFuyz#_(~ij$AMbgy!D`l3@AVp2HdN_9!2x@duX!NoIYw zXOS!N2|@#?#cCXBR~D4QzC=@nB`R!>D-4WA7Lie(N}S>55Wi(N zr+z`8$Bw~8pMid+QXSfyY*5Aj`r$ZqvRjF$th+QTt=)9o`COn6HjEMRtRE}%-T<-x zg#n~zWA)eYMH{FS4l-1HF~VB>CpnEHOEm#{DU13C#Z)oMr!zwVs7hJ31P zIrDcexA7qqtm3I_qzI$|#~^Bc-joqYNW%ZSlF0-%6+d}#=uD7V4@HfdjLx+olmv2d zno*YqDri86LH+*@Ja{$gSg=tc4*v!h4Y$5)^^=KLIGE0zLO4s#zQ(VJhq3w$Zcst3 zq*&wly<+k`>&X9g?d|xt8sSMJY?NU-Ft|krfk<@np|Z{MZcU|_%6O8TLL+AeUgW96 zcY&rO>cC(&&iH9^3Y67-5uwa13G+nMlUUS$kq|H&N7FpnNt%X{bo~8$N;KUiW%z1p z<*=pCrDH7DRW!`4vAHr1O9JVuw3+&@V=Sr=nSseYMf#^-g`u!n%aFtse}ezerk@|N z@cMH`6mO6J4W%kbq|K(!<)=go5(@m1AjuAw#5ZkN4LEyzV~nbxaZrlJ6#LG^qyaCd zihLnB$^%EW?6Re)K{;xamh89rLJ=VyseePknpTN)L~vV@#J?Z}%!RUka~#Xp)-;dK z1S692Bw_X0F35npvrB>dBe*=%{OvEud)9duB60WL*b3h(vA;g=X;3@enNbaQFRN;;NV9--VotP`3Uq~=%3B=J!ec2?^h2yBc z#6F$mGM!EujrK*um`&*R%c@BE!lkYypS^m8%d4J#grc0DRsHGF!A}`v27_#}oWZZ2 zLiB!^Jh|7oO*edg-bV)WLAWZ;EvMQq&J%y0v3pKFQ}F%ck+g+f%-+9X@sg{WY3uQR z=+y6K19_u$a#z^Sws8Zjy%dv4z%(jSlVSA-p5A@ux8|Frm=Hly5P1;t)%RBR2a-R3 z@5bRDjW0V%-r6QOII4R-)B7wy_=w{FokVLV4)v43el8~4l7KuR7n(m63D}E}KIAZc z&F$kGj(|^}E|r!176+>Tu%MnMDDJfjECXLrdOs`!(@eh!Q@|BKitTn=1#`3qs-DB> z-7#2XP|SV~HT@7(xKN7)!P zQQmi=>F!v<>%;UznHVRpTIX+*>})~tPim9Dfs02X8NVPHwzMuNt1tjoEg}e@k!V}& z&+6%j8n@*+=qz~yB{x!2Si89}QY9N}oddeq^(Tjwk z>cQ7k62+91^&FVMe%qS5>PO^X>OMg1&e&OE2AYO$;o!s{keUgY)HpMl9Up-nGo>@D z3sulTwREtKL^P9gUN3&5@U8L##hV%Xf3y;_+^rH&yU{zeO&oyT+E$qD6%iX$p8rTbJt%8tpsD8CE$6H=w%f%#cwNYC5bsSdkEs}M6Xbrx?wKJZ+G zelJBgWO?7@mHlBw7#Mwu-rb{51li8a3y8yT`6zeLo37$9G; z%9TV{Lh@UY&$$fT1BzkTDJA|a4GCtB!lbJ7F)?6b`Q#b5lI`}K^~CW`H#LFr;yW$w znR}vbKHr65qAfScy=4-`*SnBnu}_YLFu68{)X*aWiGsrlWj(n#$>PzS^AU2VA{52d zsi^B?&*z1<4|PVJ%K5rw3e}!ekdL}ZRjCkXh5lR~sw368wt&(!wAcVGf%(#kd^|0R zp~aC}7sv0}cv2L}!kVSJt__Jym-8JX`M-5zD^rIIfhVO!ilzLW+7_KWb*Bg5QRmM@ z6d|uG1!VLzO%=6?khld;PpqdTVc#K~i_!Hc!X~RVFq2)w_G>#qKXerkCg^m$OG9>P zH|0vav|s}^at?jIzuO|$p-VOoegc>A$ zpQwQoaI8^^)2LOn%H3eldkcs&1r8~Ql3j?IX9|X>vLjvK5%|!sfBih!ADMeitS|T9 z3=>F9;sI7e9H=ooCk^d3GXqDDEKslAS5J6__D|j0RlPM% z%}sXk;(0QbKDx2Dzvd?<)@NqE&gHM=Gn@&tCz_>A%@QJMrH3ROEm1Dv%IEyj*5gCS z&aAeHErcgM93b#$E`-H95|c#>)`G0f_z@3=i|8``T9MJ zxomNzZwIpoVTV2ag`EM=tBIPq&)&TAxl0> zCRnE9%QE-b^c@22nv74Hf=70A083~;%b9#L7&Zz_JI!!6Zt<{HwuvPr?bS2xts0ZZ zn00+MlOgP6d^2U&I}_m!tD!+`?!zhWEeX@PJXbX%alOCcgepMVi}wiki_U81eJq{8 zvhyYVz}MID>0k2@09#swEjU{DJ~a$^*iwQu?(>wxG2;`GxCvXyZ?@IEtmK6kq5Z? zL{xTTqh4CzUH=+H{tv*1UDDWF*lj+IhDdvH&E3W%69DeB>~38ws*k)YmoacFS>!AJ zcxCUk(`v7(MBb0vc0YKDca*c7VHavmeFu1&HWyPfvEfI0am(j!K({`m-mWg;wCSGD z{u*?3W?d}`Qgye1T|M*2?R0?sd#yI!+HL`8*PBVGmu2IoVkYd&RPE^sD-+(Yx*ria zxZT{hxN0u}4~KNqo8z#nbD6QW_>LA+OTRmv%m;lue5pX|Eh6K-v3nh9bJHrit<9;I znH{oV7%LRlevjjc5%t)&pc!R8k2muZta_MiUFSY}ul(Y5bXS4BRevyh0dv+4<4xf& z-A={7hw~JmFcc7P@yT5Ob*#+^1HvQ4YjN(9*X-@Msb#tSS0`)r<>TZEAlvB@efE;R zzjH#ZdxQO`rkN*bz>2==Bx_-yQI(tW>||l)t^RC-c1xehitjNeVZhtu{b6QGttPB9 zRSiNA!-MRraXiRKiDAatEW~%UD=e^TGv#HDuOlqH?UbSe;D~KO0=&O8-17eDVjnBy z{SqbBPN0d{dVc!$Gt%zG(L(LiJ7)A87k|@pllr>q5w{wztwW9fTK6N|t#i-)UrLDcI;LBnQt8+ao zO^7H5FXvYFM%D(5MixjK<5KEosSEVmJKps!iWH=y8yOp*Y$xg$9~m=Z7@3m25%@Bq zPU3y*>DHDt#xP6GP=3H|H9l%FQ(NN^hKj<_(ekNKKx8 z^7ykH!&+kgMjt6RNR<9(v2N<$tssr!wmgcSq5zJ<5Npu}dkt*UM(fME*-je`Y`MmY zt)t{IDZP4=!kpE*u5UUT_f-|1YO2Ns_^zfos%~6TzNQGo5v{;+x=L@Fk*RyV7xjcx zzLfo=&S21n8HUXKoC(IQFDHmBoPi3-2?-rZN&83N$aFk<$J#TXXyXi_X@jr?rOi~P z6(jbi3rJ}rrNuR;nOaaA5ETp7y)`E-MPZYWFkZyy6G$7Fu2_58yX@4F2ZLa%mLRcO}ECh(SiouG+ zc#`q3Io@O6TzJAr`Pgy}X*a^HaY_)pd7!VIZz!pBfR8^>9?K_3UPvd)< z*va|0MG~w^4;)Yc@Wm|}M{kl~0Yn)xqV(@DdbcGE!xPL`+gf>7H#T|O`P;jYb$tvW zoJVv8=Mp0a=pb#hhf7dmqZt6^S`JNu{0p^QIyUK3je0u0*eeuEp4HmrosP7RqpTOn zR?F0C-D*{~)!M@vn)*{F0dHB*50M!A!i^L(HeXJ|CUFid>H%_F>-g=Qzc#XeJ#o@i z%c}qjoQ9tykAA8xh{Wb+g0*Q(R!`c+O+BWk3AXu6(QR>b^L2G&s8aOarF_oBflkJF zt>0KtLkbHG>|D7>w5XRay5~z*eKpnu5zro_8u=pM|A()4fU>k_{zcn%PusR_+qP{R z(>-n5w!5co+qR}{n{)U3e&7Ayd(S%OuJx-_D#_kEJL^d$>q(_5Pj;I><8Z!Y%v9Up zG!i9tKLR|~7X1h0nf6$Ji!G;fIyA@gubuL;%}M{83>yZT-7B-Bv)UX!=YKdt;(vIP zf1Iw5mY0it%pXvEcqDr;4p*=_P9o&EQk+-1!)HA}%sU&gD$sY9l?G0Z>_nGADz2~- zl;FuRmZ!1uU8IqAlpR%t&tS;0URLqXM{^6NR*wBC3MRlJzj#r_vY$ml(r>UiJpS!D z9MKxt>;JqmKD>@B$Gu}8I|i&Sthu#F5y2E2tibuKv%fmU7A;5QN7a#VBjq|+RBLp6 zid75KDR{DG#65bw%*B3qa%vcE8n73l>4ePR&Lt39cv#Qgm{Mn?KwzaPrfEhL>rOdv zw->2T4b9O6OwTm{Pj{98O*l@3D%i#f49&3-62={@bI)`hMs8)4&RDq{&%!%(5&aol zE9T}@#LqiG={AP2{&-PS_g*7n`j_}xtdiJ~vvBv$Yu zLfAp0an6A`a0XH~=5z-4O<0h^-VQ#f32RBQE|iL5ekYm+r))vz?sSzKZAthC0#`#k zhwMV<+w7Hz2)cLRArJhHyE~H(AKW;jcZ4e1II9URcOOu?;^R|;GSc+%^#|Yo?e2XD zI$){nQw_p54H0lAM+{VYlFx?QggnDq8*EU!nJc#-*N~#7Oq}1EMLdrbE6RfTb=cZY zjH!-Ka2&>Io2+jGWVA*pFjGqt*J>q<%P#$*CX88uNyn_p<3|IPfi;lg6~~!*i(IGX z56@7(rCAj`ICGn{n(yrmgE#P-#nWmLR*MeTEzxmFYCwqs(i;MEK<1s>5d6UgY87gW z&@n_%vuxu=5<4^&H8xa&6MILpP9~5Mh1w4ewgqr$GnCUdMc)q8i1WcyEjQy#rk6~FzkWP?z13sIoiPCWjs6p5 zAAf}esnHWVce1nO*DXD4)1y)x#vsR7p}PvWjs09Ps*w*Lr6O`<-LEJC<>`(k-nk!%L4~ z4E7?YO2rIl1}ur7hH>2C&q#X0uHf@* zq8vye4KR%nifg<0&uRA3OO#sUOa-~7p@Via?xMT0;}Lap5^ANY)rAw@TZ#QQ#5xI+ z&K*l`?FrekEe2bvXRB68X(Ni>P79B}AXUrEM3TGD)p_<0TGo?F z51kfiOQczJf7iAGjJhEzH&gkrHxC=+YBx+bV-8v>4_XQiT5v|~ME(om zV>=Ow{{%Hi?lGt33*76GIh8d@HER|7)KY9|?vf%^sw^lASJcbV z!LF^+Y$Qa}bX3Y))XPnR);cAb=#o}ds%+#iOjXD#%D*qz+pUr|3*D11Urp52I#AE_ ziy;&6#k{E&XcF|J9>t5r{#yRDUMT$8L_sEm*DGf4mQopvshkoZQi2Pv&S8K{j0f*sN)!9-M) z{#!}*l#<-{2Mp81^H4Cnu`ndlUeM_-;k(4OSQ7fzh1g#oE&Zk4sAr#bf%TtEJwnkx zk-l(5|Av}9M|&XV)=x-0L*(;`67U|RzfJ^M=z3iAv(S&X6hO|l6=VNB?`QG9jRsUE zSAu>1!Ag4!ve;^2_+S`$Y2iDN;bz&fP1O03I6&7CEUy;yjC4hqiPwouCvO;JPnelA z2{9dO8Dw78EF=*=Q19kwYZ{x_MUUr|fHi46bBZ3wXc5+j9|#H}0d`DT3c&$2C8P*% z?juA(A;D~oRz@Gy>BDuVlvBHHApg_M8I8(GmxUq(dRe_)zG|1kEn;8VMY>7VS5BVo z((DMRY~6Mtx%2V3>9{RtK#i~C5I;qAJ7{u4&Rj^<4VMGu#{nfiM%lgiKt)%ABeer* z_5==eTyKgTThSB3Y(?qJK9*x;P2-u?9Xsc8lVaBoc{aKqH=!zWu){RQOlr-#>?TkZ z59G@;ZYnZ#X_MmSX)(MEI9dkk{4`}VnM+<9ug26qzq4uaX(_UL&=6Bqqb?5j5VVvhCLwY}CYZ6(>5tKB{t=07IQ<#gFEjv6BW(jOpfk%MRX zou%tGk6)G!AC}6QuM&}WUUJKx$rm?XFqZre*|3x}{+Bq2>E1Pbewnx5mh)c#GE zQzz}|%PP;{?d>PklJMFpXW}b2U@Iyah?ZBhmX$vax{j-!ttoh7Ie6BSJU2`Y5|eQB zD5@fhiVR>IUe{E#WmWI$%bLqvH5VLWqA5_+VF-_x{u9vF@KV z`row4!1uC3py98v?>~(TfBq*W3YGj`y6!(Q{}EdgWJ1#T^v6ZubqkT-L!OP#=eAwu zy#J(Dc{-Z^bxTe8_atOq)&ijJ?;n?wrqf-8{Ml*!gNv7cT!!8!L3R#0)&Dt3MbYN1 zF=RTG@g!g60-S6Hjnm~kr^@@tly#RZ?o3!Y95uB%ZyZqM!P{RowYqN{)CFd39eOKl zjbFSxvR{qoIP@a~@_`uTkbqEl^nhzXWpBNq1GWy4Bcdl|V{-X;qCVjWNO(lpc_0dw zbWZ+?n}?TL#k07JD&7&B+X7tv(%HcOF>>@AxUZ=FiT>63I>8z<>XBi| z+jA&(aEC{M9d{!=-^Q2pnTed#XyN5(?&Y|`*-O}Wc=`OAR77skI(p;C$koaB{ax9A zrvnEUD=;N}-9I!>;EtX8h;nD`j8adCi-t+}OC}(by9!{QBj|v}7|~xoKF|u$!{mt1 z(zgm>5A7z#x&6So*U21g7G8{wzkU}rK;-rPc65;hsLfG z8#J;t*3HaSHxs+t9MQ&$*@1&}t6C#QXKvl>y~I;QI&*Gk;C*qgp5}AiAg0xgm%W2x z@#LO9@xEUOS)fd)%M{OzkArNDr%+Q_*NLqN%C>T9*SS+-zu~~|y7TJn= zM6;T)Ny?fgS&MoEU0Q(8d}+0QngJ$_C+cd!I9+s%t(}jN%_gkQ>?8Y~y2GlxLqwx@ zXM@i_$ozL4YX2P+cJB*xv!|9wLfxJ7s~^4T*~^=!$2!Ss)(6V!0xk6f=v9uh+g#)gB zwialmC&eNV?f)Xf;3qtwv&>_7%wwPL?tpG42wleu9eW4^dLIGj9QJ>J1cX+gYYB9K zw$Ld`!iXY&jiW1-B>OVjV=y@ysyd!H5q`WZcmV+%MZ$`j!RVHDtFbHKwV^qNpG@?2J0%W5>#LG)oMLeO2U=Bhz#=b&fou zYL3>l&2=YRi;HvzGWVs)`W=!{T^fL&mLpr5NkBX$t^$dK4y$B$;0#!O+)%H(~nTxa5@&sb%C+Srt>ZN|kR=4YvXt?1Y zi!}Vs9lJROckqc}Ml$5FTWDb=NiQFr77#K77mbq(VIb2DKN8lj77|dcIbta|Ed#R7 z;r(-`7l0>6OsO*6MjG1@hvnS;2%g#WTrQn%;ej}su_cE_5)Zx>Y?Bb8k#S_`ZzLL5 zmcOu*v#jCeY;JAZEr3II%5fKpW%fo_ulT-?$ddL4YZT+l5D68jNzwHKLg8p7Xlqa_Gn7Rx zvFImwBcpPODqCx*$;0-<3xd)p<5Wd1y=x?FK&cnEcH!r=ZnLSPkk=RD2aCgKi=vv~aAMr0rPW@4xpFT;yvcw44I|{#lbe=+J$-A-=*j)5)Df7Fu!fS`5uszo5 z$jh{tuKZ9)jC4cNBWwzrb$`MMmv`7eI)y2e2_LZN^yZ9wL`M!BsDE;_J)iDK#3 z=r>`vIWBerB31%!Rst1P0$Wys5LSX*R)SVmf;m=#Ggbl+HUbupmCK!;jNT+Oj4;CUZM zTt5jCmOte1<=oynra)kv`@a&552^tz`F%2ZZZ)uT`tkr{<;Z+3DNZ&cS~cNnIcC|~ z^%8FCiNs&|lZ?5Sp_q1#LO1v^ipF1&ko~eH5JxViDA-9-ypfXR)u)hD5bpjT(Us&s zVt>*c&?C-z9>PB$=#W7O1gt+lxlI8c@VLONKOW=>1{2qK{Mg6zpYd1U>5XggW>|!3 z;+CCj99Eob6jq&W*p3OxPCERzcCBwoJOKtiIj@gxlwa4q3cyAkwEm;Nu3_7Q?@k}a z*VoVXh_}mMHm=|0I-KI{mvo-bAbNi#8atCwNN@mLn51P*G8ss4WSJz)T-M-j{yPsZ z+Tj}g(g{X5vrM?MOxUta__9a@xU7!XW{wE+`Y=YV=?9(Z2RYM>(kB_Kdw=c(W zu>w5ztIKP~ZDq~>KOP8@W>?S}8HZ7u)`~Bc59hU)MoL%Mn;QU5NMhL8)>Iu-T3E-d zv3`gNqMOMC5z|LCln5c`imfl?hc6V`S}B5UQmblK)G2wF{or7cc48?4Fn1nU??f55 zYhHd4;Q_a`=E+)J_p^qgNd)@MPTP|PE>%%m1ZL!nUed&|hpJ5<1aRaoLB>&2D0iQf zAal`UN1OyMMF~@cJLC*RNTiH7G^7kfQlttv!FdBSc9@cuJlTpX3Kr{+@#qbI=AxFo zsY9O{5|@!hboSkpz&d!|-ATGzyAFODM{I6#ZdH|VJq!r@qZ>du9sRAZM|)pEFbD$K z4@b&^01;?#TtysF2JA*j znG+(i4a2!q6YyUK88MVD@RSU&3f;D2Kf~XCbG-qa+s5(pEk2|u)cJWIEb63P`&A@h zoXqzuc^g9nD#C&?isb}qa#n#mxkUUk|Zm_rF%QPPSuALNd zIr?o~CNR6VFPmnCjkogj*AfMg@>s#(p~EuECCu(;qDJ|oXz~^g8C#K}%P?1^Ozxk_ z(W=J`by$mST>kc?LK0iXac5gx6E?-x370j2O|Y^hVveB`Ev*k3V{Ji-V8663t=F3d z^k}g5xb`h&Q5^c1W!}?_6umBbXg?)Evx^xeN<-ZEZbFh)A4^M@KB}3go?6*xl@jR^ zswK=ag!+X9Sl%lqV{^Sm$f~C=x1=V^NW=>S23srW>u2-44#)yN8(aQl)-LEG7|8cc$}FIyaQOzbSpxss7Yc z>gZ7U{+lW`P@?JS?f9i&`O(K?xq)P0El5P2XWk}>l(WF-Nj9Z3$~>YoX%1N;%S35n zfvuy+YHpKdfkQ6<%i7Ww!q?bn(aDywP$+|MXVfnX15za+4|CPI94s_f3_m6;mC?Qt zrE+zCwvt#HW)pf~Kivc@73cRhVwTdwM<+3J+3~Z3$f@$}Umn5Za?KoX4>Skmob|CE zNSdQhP}K)5U@J~uAQx>ifo{4recblihIkk^pA+Fss23BJ9%jp(4a@^$Lmdt0dRp_f z7r$$(qZyrzttQ9Zl(yu#SLQGT+g_QC#$JNyX9kS3bh0cenmfMIuq(*x!viD z`JOw5xGM>)gY&ifGvaCWAV1ma|(dsL$e!f_}*gE3U&-?F;N(lhMn zLnko!2Cqw(y}Pi!q|JO)+3VE)=HqV4m~F_JUa$##DsYOKhGo(WUZ?%?MW>577r%tbN>l8WNPW|~ zI|S)&a!Om zdcAAoJ(Re7UZSc3DP^s9VgVm2#Y=j7MrS>pv|bQ(a-=Rx3Bo!{QEfuXxhiEYmD_hN z*8feNcxc5I;^12)o8(2cy2}7}9#8w8JLLolh)AAq1HevnR2q}%4f zMWd32WrojEL~ms-UXwd@TJ;{n{L!uABV>NbJW*~gjZ^u~st5M)R-0h7xoB^)FKrGH=q-iUPSrpB}rpL8S7Otc7 zAM#w1A zLYJ2XNj7#$E$JT5hnJUBUl$#~Jw2m(2~XR1hAtC}2MwMq^0Yf+s8KlqL;E${^`2D# zu@W_^_58o$%)t}eHk3MZ%=WdcNh{Cd+SLqd2;YJ12Pg^0LQ(xqIxBK-!zQtC>1%32u(8ot$_MiK%!F zAAmFf#%dHIXaLtyTxsnolmw>FXAf^GuqL3bCKe-kuHAtJOPDC zu>ysOTGd6j(_89@;<#M%sY&sO7oDM0>uR3-k%M*AU?JZAmcjI-mS=80qKom)%EB^n zL`$NEuUa5W6%U9(;tqK54qcX8WfYfC2v)SThbD+u(Ch2NS6J1887||>w3uZz7l?f#pk=gg-s%26H?Y&&k*=`Svam4}E9f--&uaxMx%e;M+!C;7mY=DG z3H6OW$BERAma-iZ2>2NS204-qC%67@VHB{eZ9#<8JtFW|ifQiU4?L_>cqn5C5eNdw z@dD&KuJ{5%f#&Ppzl5*)N0iGBuQHfbs9j+vs(JfQA@3{6FP zi-DquL*Bo-)9v3pX#dqZ>H_W%cOv~W`h9#?5ta7o)Nvaa2qZ(>Mx#dkn;yH$Gz9{CnlR4`B)bNS-a-O74~ zraJe*&38Z;7$1ycu*RpiwrcJApVW36*Y`# zFoJOC3mjR+L3nu3mr-a3h4N`7M(Mgzzvg6It1!EZNj9f|J$g1szhN#N|3muR(pFg{ z={#$xLCQ@|I;Q*mT>4k2wG}C?zn?;QB3^V$$Ap)ZVzb{#;-T}9B)uRX9}yUtO)ZPQ zyyD^KSF$IqtQtiIY%#i~g7IvO=v7rB=@$2TvlN-)w?Dx`yVrI!cXrq^zz)mlgD^{* zz1`$wb(EBf5{SJb{Lf7aapC)tS~X9ExRD>5K(yLq#=@|x9ME_%c(Us2ff(HoULp;R zAK2-$t%no=Fw8((SNBin@5A@+eaB|a+jbps>%d}<5gb$A3zjj@6^r=0>RCbwfRigz zUPZPs&mT7NcSx&*2O*R}#gaQlcvyF3zI2Hx^W-_!XPX)bXW_XZL}9L?yFP_CUryYG zH+=IiXMnLV}hR!Xfo=!MH zaW7zACf0%-wk>^WpZn9f^{RIm&}`SGT(3%d7^B5C(wv^| z-@hL}k8cly?{ZY8H%?l#I^4}~knI-Zw`V04RoVEff}!5MA|U06(Y{jaEc@D~$DUEg ze^5a-&w*%L0@F1GpnLD5Yn#LJIsFGY*BWIXVjlcbk}p+@U+1p9+}m~XFIB5w=We~< zfPmI?oe8?D=vUJ0LU-{5c@4$Y3tIAf>cq+6e*oqg678rgig@N^k%j|Ht`68 zx|PnKS?2q*^5elT#X-~*1-@_p2SM-Kh?|;qtOXF31i8U0ftunLC8^adrr43O5N$$1 z^YcI)mQY;SlyMLVMS=H{k9hFO5(77u`MeFvu@Dm^JV*az8v$8R^^bYPJqdw1f-Y{) z+n|Sj#68IW3Qyj*|DfaLe}lq$V@cLx+VRzkTJFW>sNyHrZHZ+kRzid!N(s>YTg8@} z2x>M^_%o$%qI{nbUhi0b?;e5o9j`~f*Hf-{C{?VK8_R$sRuo)#r-kL0L%oP34>I|k z6izRV{ln?M<5_=`IQ~*Nd@Jmj<^^nUC)iwB?s#U8DS5EY-k~Z=P~^wT7sujGzpO-* zlEy-uOCWRth6ecL4_I~(sw0AY9~bBUDv0(J6$M%Zo|(KkvCgp@zRMp9absI<0-a09 z7ncC5z?h+=FQ77(P~4OLGt|CP5};3@rEg%e576nysEpGT4cVy>O%u?Td8l*B6#3Kg z#q@aaw*fy&i?(@YufAN1w^c`6d<5p@A+l0ht!pNFm8CxN3~~u`5V3^3*@#2+V`2+d zxvWYSBMKer${rS5_6y=)9`;;2e0l9;cwj#7{NH$4tg~K&VhuU%KRqezHO)Z~zrlE4 zQE&e!^aTk3|G$NVhkd|9(O1ElFnS2c9RB3OE+=qQmhY3|N^m&+r^JdIyFBkjr=5q^ z>W~HcR}*x_B(x;Yr=y~|9>V&VaBP$hWgz*;dyRPHQ{@=-PzXkhB(v@LwMJt8ItUB9 zyBF^C2i4B3IaU+tton^bBa&9)&pDq1-blFd&eCdIHWH2Pax5*?BEP{MKAq=gZ)8F} z=&@pIy^0wYa~or+Tu6UCh)fmjF=y6Yqdv%InmSt!x^WFqc*kDPk&)&2&wJ+1jMPJe zGmNHniY8KnRD&3^li5VUy90Ag&aWw)SIaQS!qfxLOl^LSTIE9LB#*E$9?fUa;?zdl z@0jw_v)Ia*v6pn+nOQDo?-SwDI+}Qd3t=2>Lae(;0aIb-$~W2F4??ejL#(8i^k{45 zMGGoPao+5=ho*yNU4B^+Ze#R$CahFtetco>{Wnq zasi5+(O3Y(xW|tsL1|xum7#1ybR_#QY4Kq&SQ{^~W+H-g0m=e?IZ`bk{6?f>PdW z6BbsY5{(6ac<7j_i({q7U!sE7yIjTJ11{1?N!tC2rUNpc~gdEqG=ds9B2KlTnj zXQEKU%1$1h$JYy4;lmIYOnLo(PwfWEf}H+{R+vdYFIsE5}R)bvVUs)WGPa_QEc=vw80|%7E9MU-POqNLY8~{E|4bXG$ zsgsL+?pK>y^m3qEZU6qHaxp;qef931D$|UT?GGqSqyzj6@jtT>0r0VKhz<6D_w5;H z0JPq(XffsmDFCzDJv9n2NB`3i*?W~=fG;8T!hdzcvXpnQXa^flJJK)#WU$}xljg~1 z0JW+~fTRoK8PEkqWEnt}YQN>0+-ot&&%BpIK$T2S&XKd}&=TO^ON&LGyQjh?&i4UE z1?IH;C!iZZSS`pnXMlFHVbeqSXMeY}Y75Bs4x!{`Tgcjnsn7hom)ST#PPM zmN7gq_v_;5Mikfl?9SQky*4iQUwBbeHr$>XO)38vPt8^+u0>_^Yq(YwG2A`~?d#w{ z2vi$DlI+Iko1mZ~R?Kb`GE8v?F&MZ1e!EDZ;H&uv_wD!;0tLjj62(P!5+1@r25eg+ zmTzT@**+7YX!0Ceaou2*cEED-RU&7oIuzzRx={;XsNUlXVL66wn=Hv~_caMYk^)KG zSxFeNIQJb1KpJc1HU}VaiQ{L9hYasY*L%Qx*VMP6NbgKJ`V%1(Dq(|TR5fm~D{3Fu z7IM|Ed;NI)b*TF%?k+ywdj0wMlwg_qaIld3bO@09e3+mVm(mbh)B|SlQS%=xSOx3C zIGZxdvPD9dgNT5tKGuQJF-ZpSO-_kIuT9ElR9omxhPC||#cEs-#cHlw3s*t_oP|+r z`(e3U*_IkoJ?~I)EUzwYb&`Gmhv-qYWrAP`y}B8=Tt!-&>Mzj>F|&HduCMQh*SGJ_ zi-VKN1hB)8tg63%D2s|@W0`p(Po{E;wsHHMRwSpcUs6ooFpN~j zkyWw|qLQ{_9PXt-73IIn&NqoP4+@**qp)Q6e7$t}WsWj)l84HQ<7oy??yDIr1Y~*a zcQ;>JzJ+2(D*6_#@rxX9Y?2u((-oNk$jovE= zM=t@9MtN!(qP@W`bY;aWi#nDF+!{To`Hc{y8Ww{Xef`j*7py=N;8o{cviBz|t7T8G z2>hIhqWR*&jvIU!^+l+EDAU+7wm>X2k_bJ<1TmNW7ajcNo(p6i_v>1J7{U(N$R7uS zveh(~VE$hGzi#}?ZzVzsH)VN5&(ipw;md=QKsFDCaZj&~tNk}TuOg5JBd%JOaE{o^ zUZDkPMwe#k-dM-_p?(a5dJKbp3?gG=a%7_5wy%q66}FtASgC1U-E@gqC+%IfUg~sk z_sN0CD@lLKKJOg2Y8+4Q6tgwj9z4t0)Y~3jvj;zLd#W}T`pQ;IoA8#BTY@qd+!a+e z5Uiy)g+4H)sdv@eaF03Hrg{IJHw0pg<+0Jf7m!FbHi$?$DowRs zf=zM<$Q!9ZNSR#SQM0$)&@kKcD#PDNdwX@f)zu(&*^a0*gvzdiSAevwUfR2eQ3aSlFP zN9gOLJAPfZ-ofvmKfG{k%yewVzNw)&XuCD>FIgynE75pzhmzGu1^ zHs*1ETI|?eS@!U5JfB$g@Yd!Qz1>q@*Ok3_DAF1zJly=D>kNf3wSZt|2i3|BoSN}% z6Kc#A#=$|kF`FaZ@u`vW^&LPE)8KV5S#ADP1trVQPhloSm_}o}kIEE#tjT((g(=}e zo7qMlD!wUB8}oK-DNjlc18iqCWhn75%e)F7vu8+Telk{@OdF_I(YXN9seC)V1ATjY@%VQ4nB&eL zs+l8Zec8KmBJK7S*0=i>gNdi&s;P9lVxnC13!H9m;CjJ&b_xr`; z`+=Dp3aAfOZjbB{5ZF{7-rDq?C_{m@$^aI&w#%v6pXD4{PzE1UtjbTOs7r~za93A> z_7faZMZZNQOJF%_R>bPP2LXFx^mmgr$7rJ3+qK*1v9K6r&>SlE3SEm!& zOPf-u&E>5XBsNM8EK)1P+ydzZT2c4#jNLVCT0Y~Wvvbwmhc6bEEBYJKVC;$mHK~p& z!VWgJ3TQ<+KAu-{XMF8SFT%o zs^p$z?|3Y~=ym?m_v)PBrJwd+3r&1>N0i{d z;b(!#xmuq5v2?I;Um@;cAq5O_M3yu!b?u&@;XuW;|KH-~qimr^?Hw(=ASoM9X4F95 zvhaSU4!60QL(7WRHSr9Azh-NzT%b~mUbz-VLB10sZj7dUHVLur$Wz~ z+XDzY6^29xDI)r4zZxrX+o*XA(CVvAs~T!3 zD=K;E>I|bA&(#l_s5!lxdXNRQcPgp1c`pr4o6OxdHC)|%VK!EN6Sj9q;dtp7{5srL z-z!^H(C>MF{!(D>N=s8_9{bVDT6&JhS#*MKf;%(&%ve2RPcSUp)K*fjUt1|D4<{1|ON z9@jSlmnc$qWYy;53fE_w@bGT;Nt&ulOH=pT9U=>}D@+q=#rXR{?J7Kh^!PA)6hHWD;d{Js4=8jUA7i7 zt5KY9mWn09X=56?bDjpWZf+{W3IY@3+fu9wV%6qwtFf$y%+`!+w>na0O~)IYK_d@U zE_z2q)HuYd8)MN+u;?M4-PshR;$HE@Ur+z37s;8CpxS@bzFKz^mbN>yqp38%pxF*5 z!Gm7IrzHbLn<7|~Y`G*IAs)DzAIt(#6QW3XtD0;I^4pubmO^SzYjI&3w0QOic;Vz8 z=*-0{;IW<8O}X}d2t~awHrNUnM5I|3B5-Y0syK%V^?6>kknTaVbU?qA7KoySq^V^K zJnd+M8o5gix~s8?B*ElQDa?2MzOR!dtLkY1)pU8_z~qwkh$5hnco&TQjxmijWsd;C5f+NQYH}!aCHR=PhB%{ zI4hQ=XLx$;Fkewm13IXrv}iU`MP=N=Xnw2{i{A17%jN25E1{0NnSj>RU?8||r}&J) z=FFATUADf_v=aa-KC<|7)ZY3qd3Jw~^8+Ts$O`v*=i!WF;iFH4ESjOy}c`N(>rQw@5P1`yh5nt`Z?mA8Z> z9EH60WMY9U52}V=@xguX&@h302Ph3${O{@f-eE@)Z9L2f*m?V*=NGH$)}I&H2zeTQ-6Uu_sSa67C%|NyET%`*c67^_ zTd0`~Mi0~@Z_2)8zEbvAAy;mh5qCn@_B_$T(blHBZHWw|HrA8SjCK~>1|qR*L12VR zF|v|)sHg3@wM1ab2d6f#(Mb67#PvIWvF}mpc0%Ql7o)n}X2v*oDH9eR`$DP-S1!EM zYhgKud|;dR%vuHYk3D|p@0)uty4Hacasl$Vn^tnF{81bz@2Ei&Cy!zZH@_csMCmBV`W6>goobzLT-%~VdV{5?B1`D~!%XBb28huU zKWTb`S2^&%H4o zAbvIQ{SMO29bRcTUG2f{##hidu4Qb|IFMy6wSz2Ah}$_QA3PEd*W~U4UcjNvW zj9_F>G|Ck2%vJ>nt2K>Z>DKInJVpTaj+@no5qQucezRg8*Bx?E^hpg;rA`;4v#Qtc zSO&T`5Lqxm<&tOt1(B-j2NyTj5LTRv9_E|&zTUuQjb|G3&CT2W?bMPNrj#qsrEmh! z-Z{>k3UxImkT-k|8U~gw=l9MVS==LYK=~_N$jM!kqv(Q8sDkNH&|r*vfGXDUG1{U+E-(J3CgM>5siV3(`Cbb^660x z;4dw6)qJUb`_#dT1~uIA9mGO#k69e#qh8nKLJ+qkBjG%w#onPBh+W`6MGpY$=%!c@ zVz7j-F+FS##UAh8Y5Z!t1y2YafsDtSUIho^%@i^$&R_s#V@1F}u>8jJ<@jCn=6*}I z)& z(4S^&1JBBkWiNyM;o##eRu$zf!=)#Vc>D-qk&g%yrWXzuduNjTGVW1qLx<=g}v-;rSD8)lz@8h#h*zCl@0SrHej-$!B@|!=AB#whMH( z2)ob=vsoXirDFtfz5@(uzJnQYzPh{H(ap^US(HV8nySv*W83`d3kQ^cnL!k4$t3R( zK~J(;6(i|0W^5HaGE!&0Q;YGUxtHZtZ-oKZP>PZ-X6NVyZ>MA+*#Pe?FWMok0E>s) z!}T6K4?45{;07)$gNX*QQVPKo@SY_zLhC7Och+EZHEs4~)xu`-Lfdb$-@i|)`c{Y1 z{~S}mEjlmJ0G0NKfLnMTcV8-vft+m$f^+mXt}&`lJm|`|ZEwD2IrYKv&)4r~oBBVy zJ8>rlqYv?cE3>5SnuFvxCsA8DPtVn48WEt?{4YwOBnDa4B4Dwc?PqV5Y%bbjb* z1e6b*>6jrPX=XWK_AQxG0G$SjP(lzv>IaVR%$cwj7~>c$U!j%7+&D7NX2QrMk1NUn zfqL+WagZsli>X2%jfF814VzP5290r=r3gZ<`zmv%j4DMnuZqfwmGoIbFOtMGzWbL2 zAaunMiCm=X`n{U45mGW+2Ab)~}dP&R0#v50w-vyQ*Y@!n&CPK=D0^4vj%ytj&G-lJ; z8qe4pua-tZ>L+;}%(9&e>DP0)oK|&WHV`}yamQid9tF(2YpUtP*cu5Wc}EgK&utJO z4cF;isJfAZTrsDE46p0fGrkmR9Cv7i`lH`jaZL<7;N_Wp(Bc~N?)GESA%&(d$Fu6% z7=r!)knuL#$7oTrg zRt2){pQ#oknCwqip2q|eDF`$~Vnc%KErKe*pw19wgJ&|eiw-Eo%rpVGLW+w*$PeO} zvao@H{z$I>QL|Nz^~;G^kp>I9Ik7;AQ63CtBto#C6PXf|0tEa(G#}CKsn_cNV(%S; zGYj5D-*}QtJh3yeZQHhOYhv5BZQD*JwkNi2-FN=j=j>a1pL6Tf{dlVS;aatN@kOs+ zcPA!I7VbS=GX(C?hm>GvJGLs`bzpZ-?}T7`pW8Vv16lxieqEOZOxNM$ zO*<1LuaLa5p&LB5D#h{J_ty>*A0M_AXEMq3^HNiodI=k@`eXVOWr)@iPY|vk9s1#M zY(@`JRO*s>udz$2)ne1kl@=|L-8dEp+D7nieSWwy8|7O}olT@a~j$?|TziL?HO z{gBlK=bI(7B6{JZOTUEBZlFRJO)^Jas)`76@UhSj7<|WcET)~cXmvUjz}%r{F0Xy zIddm03*?(>+K&fgXiF+*@SW0T@C&7Od`tC|`s@%?zNs;@0#ueg2jtR@)*~X!R zOT?jfoHydELOb z>uN=BR>_Xi`^eit!$j`RPb>Y=C9MjG=r30Lqk-WVD3WdqHmOPq_KD#E3N_Q-OeHdJ z&cv9nve>-}PpBqrmbclSjwlQU4E|ba?(*vIjHe6A2`0J6n2^ZYpmkIWdms?z#8Mw&FCMsb-fYF3hdEp`V>6vPr8R|-0v0iWKV;#*deEa9nkho% zxBOjJQI~-|QuLm>MamiZqt+yWGc5a7W)V5?xYWBO-o}!khGHP#mumC3`&z3PVm||N zr!m#o3J1O3Qb#@EV?Dn5=f9*T&d~>9R;<(`xrtW1{DI3V&_BmC>9#GDq-u-XR0U{* z;6XH(*%I_P*_K$p<~!WSeN^hazd;e$d8AF=2Jp0$*=Uh|BstT&rueBGBcoU9D&5E# zs{2`QQ3{11Hz}$_t%*m7R3*)s)k0co8~4x|Go-n}M(q$k!NidZ9U>skOVCCX9E19X^8lIY)Tf?Pa|Ln| z-XOt@+j~jte$9=OE!Ld;d|ywQVygmIs*67!dHFHPISvhr^6H-$*JV>gP_#Er5(9=} zh?Z+`4uU);Ej*MsY@ZfQK9Wo4iyQc;4?6Dx-E_*Ir@~FPQ2s4Q<3W$2SfL68`Ioe? z{<6|vGfyC$5sbuyGbhMV7~&)bPhK;esA@P?Vf@F}am2FF#1u)8RN2>iQpuMIcky0O z?~K^=S|05Q^Dvx-&XB6L_nZuM(qN`N8M;xX45W&)g0G`7k8l4}389SxMW|W^Jg!2K zfB%$;1Yflz@Asm4DXe-^zIztTE7dQY$8f0^)0<|w+37?d)SB-Qsz%UU3|Zt~RNW>5 zy8BwiA&FadQTmfVNy^0Ju5pC0Tqh6EL7x-XW>`J_O2+g^i!349s>8~4RQa|C46MQH zh&9ACcj5&s854IBH?ijA2GfHfD&;W{EM;D86i3ogMk)#D3@6FE<=ui%EHmY@$5`pr zDppo1@mW|XA)_Y4g@=Q;yXycy%Ioy0=vYYU>!SIMtSCYwrNQIs%J9?aa4Wn;5+;KW zr^8`KktS(QOX;+nwa%(kOR}aI**CX6AB8NZcZIu)nZGR~kD5-4olyD3Ii$t@FU2BF zfY4b%cRF^ElW>u1>t};8p{KuqZg>8SW(`>;vC=)_q%oz0j0yxiJJ(_D^RJ+7_7JyB z(H(SkW@EZ#uHcK|fGel{#LroUNq4WXrDlc@?V&`q9-}t`<%5-Ku9N*^CRy-tHibe( z6uAI$mP#scdZt->(&#}gA#cFMD3T8LO8SX_9+M~#c_KGn-}oHq0|TDB$>8Y1(IPi1 z2&Jl=?XA+;3kupm0@i`GzysDHv;qRwzhgI;gq!P|VSnqp&W;}7j6WlQleHDEw%nP7 zm60M7^Q%H1v15%tQ48EP{AS;Xv(DC7R+8t^dbzt@)I9v$rSpre5Fh_FSPdw0EmcNOx2;l>KTGd2G+ez=q0&NZ6?*+>A3JnibFjM#ed zv-R~iJaX=50A-4ni(DgxC`emo>D?q@@_u`AjmZpPrm0_AXo$1+Y1NMbLL;wXAd?>H zdki7gcP|K&_PCpH(97?9kOGKzC74yr-O?3q-B6qp(qkPWwK4B;>SwdY}H)c-Vg1(Y?gJ zXwSZ9=^`vdCRQjNrVRCdfR{P=-C@5OfzhwGA&5p&9SY*p(Qc?IgX>)qSLH=St75L`$nmy}!jpj$3PrmUtad>-jlH01 zE#UXltg!uogz9|jZofzV5AbgHzIiQc5`2Hqj{NAuJHIy2t(YD2Y)!rgFjl(ub}1`b zX`aV0?8)VruAh5Z$g1-{Hs*f}*&<`KNJjDWOmtv!1_PrU$D%8@9PqW;0NRzc?Ly47 z$NnXiA!Z-f;Ipr@F!|2ZxVbJ9(J8md~VNXqH` z-$*Bj*z`?UGaVFadN}nQRtj-iTGLDhXm*`oOg_F+>*E*1NpQb72`RqUMC?^;vWGj| zq~>dJ6-!0$N~&BEDgL^?j6ziSCbnqdRb-K)PyE^AC@cC$*Jo=|xQlbHUY_}&pgi)h z_WYfteN+0^>hI@u8`JTJgs%5wVO?3~)WVV*DFsJ-5^fIYPUotqNX#7FsC)_Kf>MP= zvb9nRWa~kneQ7Y?)`)7eG@%yjDIDu--k5jow_669o@wvqJR?hOzj`mPT`h%Ji|U8( z?Wh6~76grC>t1d{5MFV#tXA*tFWd2giIQ-$o%=Xh8NeC9#lAcc_XN2WtDMf+7`#D+ z!?FZrHv>e>-l@0K9rQ#CMCTJC5~a`evjrdPdif3`jemShNzQGv z&BI9TtIqDu8@9@K8C;d`hq%?6AM{%A(0XcZGq}|u$aI}Qz-Y&>li)Uj_QH?6XkK}S`GCd2$bkA=HV%d0V#jy}b^g&E5l@NzJb8kY38}QGmfASyn?FQ z&P>~`Ov_4g_NY{yT(`z|R;WI>RBL0fTWzu_+Y`>+Sf^1y#AIv$2Gsx0rA~GnZ)*1m zt@nIQ3OwJY{;lsQVZ!<@t8K#`?2_~sz4c*@Cx;=sWv=-#8?_G%Blxa$!gi_^yZx~l zyl-%JS4BvJn|ek{8hIj74U??~u0Cn|FGKA`n`_Ffo1?`GcQP!$ckT`dCVuu(SH*RQ z?4j!{*0a?}-;wt$z~mg?wy`r%(+x?vj7ByJC?R7mq~DFFd8=Gr<0o?rm)L*wS`8A?Vk{bcl&B`ZO@4m3Ope63?@@LFWQP4?_E4{Bgo4{yN|oC4o_gBtf;J% zSk~!J+B0Ne0ef1zJ147kG8Sp>a7wgae6c2Q>y3;oWME?E8>2$dx89f08n_T zOCTkEz96Z-2`Qm)SEG!(uE{HJvX0j)!xbeVMcl>4+=*@px&J6N z&ywy}UmD9!h~5$C3+QG%xJzOmtohC+Gk}p470beR4pwUQlNKKn3B+2tjl!`QJC;-6 zA02q9j(s0`u}L&C6?8$9>JA`@W8w%!fkL93xYxf zAiivyL;Lne-y++FR)%6!4lS&kE;7L~ZvEelzZZ?`$}%3m|LP$UI%B~KJtStmljAyZ zXxgT2j40gXXw55vg@%1BPLz}gPz=`Z9fJ}SvZl-+Rh_rL4*BXVM{;j8?;aB#h#_on84ELbi#>jqN2m0E`47Q-(GT%&VTQY7w z$^^oAcEu${FA@)g4mAG`Le3ZhYgSP)rtwh+uVKDWEcs_-7;nh#_|1{}g7=o5tXKY| zd2qaM*bvt9?C-&O{xBhG7kDZnl5P*VpY%6bpqS@Pfo6c&ko7vy^R3VYP1*wLNAfZ8 z<=q03O1VZPhTM{l)XK&jE0qq|RVcXl3Qa>O5pnVTMWgi6uAh;(j=^GtUVe~%DnX<7 zT1Az;l`iuw&lCCi@VF`34IISX_W^IoL^Iz@jvAp9l8ZWSu zr*%3TNu%U*rO3!V0525042YvSPiK;7FL0lwJINm*TXU$M;EqdbD9J#On$7*xshXN8 zd~*}#5+T3m3s&L9%3lS#z`2|{ok&O*J3kKeRyo-;f2vGyFF9|JInBD9#>#X?qFp39 z<_oEDwc+P*;dCDw8OHa5IhJSV(c%wdWio59ETI zb30NpwYNAqY`4)Jv||KwWl&np4P=(0x9aFz1*+#91*YfdzZiravk1?QTmBs&-I&^q9ABc9UNhKApQ2rJ(jb@lE5Y)zmJW@!* zn0Z54^b#H7l1<-KcTj`VrM%_vvXPK7(z-5@32yr|k2yZmE|3pdO+P}i$6#|GC$OR7 zv_~URIjcOg9yhR|;glA$5Vdo{na3B%@SJ?=x4!Y{i#djnDpPQz#;FSejb(6rjb&tf z4Kx9O$m3&Dr0l-VEqT5(?d@b>;QYL^`!huX7I`RrLy#;kab6r2^^RJQH`L+d$mLY8 z%5|Tw+WGWpSKhGeg7e-TP;!{)@&vJ=d@XZl&XKl@^EhY3FvNLYRAvs-cmrsm<fHhILt_Dr{U zXlO^~U(m^`AGFkGes+sf?+KeC#5RrL*1VHBm%Vl7JO?bPuz<-nLUlHZ??#N*8s#30 zOxE?1aoe;w<$KuoT2sHj^v+!#pA`Ujspn*9(T)Zzzk=t7YLd??&$0+_WSv`ZhZ_UZ zyE8J>h?jB(o(_b3&p-m97b3yX5A3ehzO30*Jei*0b#YCQk-7A;<|7PpsMKIFUY zIcQznay&>GTrIP`Entwi0Y@-CiZNKh=)-Z)dTpEj*~)J&W7k9c>4SOA%waq%?0t`% ziR^8$Mi>-#VZxV|UcryQNEgJ}g#b`fzOw?{EXNDDnQf1T3MGRjM*3p7lxgsx zbG0Nm!lXOFR`tsIZ6biRTBNWTwR8Zu1VUs z2b)|JmGS-6+1cpD6pYqU_*_{!l|bDfd$>rsV*JbN1%U(oMF~rPbAK`Zsqa zO)+5NtcnUCuhxdD|0nW_bQ`dfP^u-1P+=Y!Q$!|z$*Zi%Tu#9D8s!Y}KExZZ)^QiLMgp2Zs7sTfU9`ORTj*qqN zvyj+~wbgz2ba8?Axk^W&%5%s|o%E@8p$|4N3_n)jN$BxIq&ht5Q+Ye`2&c zY-JXe?(`!2go?p_D}Ejj1E5#Jup!b;J9fS$k=t%W#sMq_2J{^v1V6Y}xC7IASnC#u ziCq0jI2tp>VP`k9Pb!5yLFN2SnszUaW+8W|cLDX4cOdebTeAZpbS1Y4jp)r`W={y= zPm>$a$rDnQ(Wf#69WX)sK$(n34S@P_Y|;xRWwFjald+Jzw2wrGh3a|QW){5|vAg(* zch&Es__+a{fV*yY5J)d`UF)?S+iUS|j>Y2dsSM|)3^`Tquw8!csXSsEHM{=_?at)s&;+M0%Dbwh>Fb~?HiJc%Jx67#*CU$|3wZ@uiG)|t(NO-0NRUR6cuXn|XwGFG~O3&)V&OnUoqMXxYUqstm8N-B@JWd+}O0Z9BB zCl_~4RI^%dQ9a(sz&PcFm(u@72219@XRs`pB;(9a>i&O)ux7)S?KNKivS@R82*fh&tuf5=BiIX=JYTW7M3(TV8Fgm zYkV}KCa6^cX;R3SrzFM%*9kzHLT^MB@at8SkB90#Eo;pt3Nn?JWQC9AN%0|uCZE@|AaVyP8T#jxfH!!&4*je4NWmFVd;2Q;l@%L<`u z72@luxoDwizYGewf5BK)6|o3azzxdI%hf&r6pO^`{{+SIQ2MV>EJxUHS&6-G0$ec? zr)w4y+k!g^V#ge@=K7za+b;)Do=sZ(98N$&lILelP6{L`Deg|%jFuIWzV^zA4Qq7e zQ0SKt8n4SgMQnS%usPV82`Qs5enV@l(&nDCkiA7#V<5y#{Us`Ra^D>J{}SE;s+5g}EhNrwN}hY=O| zk&WKSUDNxMgPey?+s>PO3B;@j1V`rU=xxN5+y4r^O&kZa-dZl7z69mQyCd)qvc0!S zh*?G=c>BZAcpc7hy&C(3pNJD8{rE3x9}F{X18|wxivEDeKcTm+Nyy+D(~5o%f=D1| zq-0AO~HdUOs2 z)+Ril9SjCrchk1BAOsgM_FdKaS=w%ek?wv*gbM{t<;z#~FjLyZg$b^qliS-2xnKbs zMx)Sn>8#6-NnvyS79>=Haz^a0PSQwp!jLy4odQLj0D!al0K}tY3jodn`;|81P(Q9j zTLHt^)G=fAf03;Ji)8&LBuf;ZZx)4bKLSdKa%Tk|fMjh0kgRcrzerYS3%#E!){hWX z`6eN&2Z=4%=a?v=SvX5)BA$;n)8s^AD?c~Gi zF}8n+AKdLK8&i-+MTs9i4KYH9SCAoBI}6hASueeoR?-em4Sw~A)W`+*WY1pBpfmq+ zd9o`50N3I#!Q`H73AhBI(}r?S@tBN%%yv2wuZH@8v&gin zmx=r|Miv=I+@?CkS8IyEapc1Vg4Fu#1_5e&ILwh=Gp-w!9rz6L^K?QlPzOssEPzZ6 zGnNA`Y}@=(osPo)w_da=F}82=tmAV;KrAX2eaYV6jSg*<8eQE zG@qJ%m_AJ}#WmTJvYX`kZz5}J$>hHzvc&47xh9(|z{U)~B#ey|P0V};2#>>t@a~-< zkmx|fG2(=PgGO(DaZPpw7@n=T00r^rr5~Y?+44!ilZ(prAd;gLdivII0_C`Zjah+> z*#iwb0g1Q(4ZHEB`%W8In6pf_&^WyO7S&(p9Lp*wWdj$58#Nn&wM3GvC$2kWOzcv( z*d@O?>ETJn#yZ)SY;J-`PmyjRj{0cfZp+8>{NLeNSpOZ4_4I#)V_`WXWumzub*g%6 z(-A(NCOmD(nK@VCeLz5&v3mIZZM|kF)7yhL z+XrV_5JICQhQk~d$%>2MmU{`SFj1S8th?N9KTtpr%+DdK^yex=};paoN; zv#SMZQp6v2s&HpDIk}oWl!tsS^R8&|E@;%nirlH#(orx)6VWtmVQJ%VW5-0C&OuLK zCG?1EM8ut_y{AyukWdey%)i|w5fPIB)5TQy)7*ByUK4d91DBKwg!c1Sn?TCRmtub~ zXel#t7UZvMo*okbm{2W=^VEy$WQ+N(9|-CPA%+`pC-3@+pxR5mNk6 zu*8emN8)ebHkcvE`c7rXfQD}FMl1M3dJT3eZ329lDfDm)V}%i;#oRI^Br?W_``px@ zMC))`4&yI}B@N)P4*WomMSqHKd52)j5dG34#LiQ+SSp^Vw3nJhmx}xmG8NS~$o4U0 zR*Z-?ocP^vIEa2vfON^cAN&<5(H9EsQz3CdNI?Q-5lniR$R~Gv#z^W`^$P|NTV~=jzDTHiwt> z(iV?&)66`8wN>D?(6A+2gO4+nJ0HFkT{IZc8~|QL^^e;aH83rx)z$Mq_^?w6c5LhF zi&a9XB>4lFHMTHkVnW5p#E?tFW18ScdZrP%#pKe*j^;~3k{R0dTZ7QBgjOWRGl(v# zT}u1JqIL*|alh5KVuNd7CZFbV-q7L^0ZqCFod;p?7K7tV0d_5!oh_y1&bf3Q_MVa5 z1TG2-_?hx&0*xpLp^82~GiDV8@S`tq3&U@flh*mEx%srf00e7xbS1vI{(FeX_s+BI zHG#s_lIR0AX>(ziwNDlN83tIefM+t0tDpcMG{L3b?`DDDei|dNS=P&N@D#Od$XZ^v zNf$uohIceM26~P0MXEF}!4PSxxHDN40eXzMGeHl>^Yy7Ak)cb1bXj^@y&7JCDCoYy z@wfmGs~8;5<85by;2SutBWrY2hR|*DkR$BPqib!rt)pu}INo8CBp%NoHG}Ard4o{m z>DgDe&{anj;a^SQog}iDTswGZ<%}5BOP=QU-O4p}4&>?{pdVcRMf$jXb9C_UR(HRs zAPhgo*2aO)ZijInc3#%cMvj)Rrl-Z$B(oRKHd9S@-t|vsb7n}cf#-XWIToSjKa7BFR^G(Ia!qx@_+uUm&An{Zk| zI$88Ke)RJgJnfeX{&{t;BRN_6-a$9waP3M?!tMG8KtM{pV7!nNd`KOObtmvCk)}W` zjv5O%JET>YE8_Z;!(~x2D8=rYz@w&l!R@`TwNjkq$O(ICnN(I z-;vdCAs@jIznDN>bi~T?ztZ&LlgUM~p5~^Hk5_{Zjto0Vl(y}pOMC4$-}XLk)r%`M z#fZmc6$MX|T7%5Fj!H)|f1AE%{jkc>Yg+{Z70!LKBYR)(4-Q~i^c7Qi!X8JUS_1a1 zh=mqskhLiW?POBgq#O<)@h(A-U5$T2%_8?^(b+ueH80gOXRzABRURat;XmPWRor-N zD|Y)`PAKluezJuXf^t)i<~b62%IVesT-+tBuP5TysG*H2-W} zWpJ&<20;$^E7~{pvCA2o>s4lZBrq9dPjBA7ml>7JE(*%pM(@>xlVHIZ^qXj|Tb2E2 z3I0N{XYzf)!R;E+eh03wldPNx0-6#%NMtSqNP?)|SCZ>-Q73O%gu#N|Dvx1(7YqUy zsd+magdcrJgmMQA;ohnhDb_H?@r$Aan1 zXni+rI(D%_$p0l-CZl!qkOWN6W}2(Luez-bp`ov=S1TkxBms*J-W*kj&?F&Qpt57W zP_7ZB!CW=lWpA?7g}G2-0~qEp=4n1A#X6)Lh@qI4lC1<4u^iX*%)H2wWC(I7(Z_4Z15`y}npkvm4OQ~rXi^Wscu z{}x(pXN~Wr0;IDscckeh$)n&X&?vE8T15oHRAbR$U?_q}xpFoX6v!!igcl&8{Q3)A zWD|Mc00@@x34b0HH(6rQx1jp_T)AXisUjr`N#FkLGP3iu@M1y@Ku}ERE+%>(8+}ZG zGb=b&L4UBwklX9FxgFL1MpxVW*~GNmxq9O+F0&k zw?@hsR>)7&`DyI)%a<3CpKH}vgsj4BfOU4_!?StIpz15u$=l1!K@FQ(kn5WAfHjpN z2A2}L50RC*O(^{^G-YoN#waUSPQhLqy-Nj~!eJMo4FsF~XXL8Xj7lLdu_(q+FervW z1f4+`o#1~8D)Tc*bBzgKfeFtK2Cp4VqfFXtmR>gphdHHx$bd!6ZUJdWCwxd8>lis~ zqh*=7sV^+EwjORn5b9s3b7m3O{-XI&|GyOKd6SDyEUm$oa{^hp<91c#_79XG#o%y( zk?#B$Gab!Y*8v~D5NupWwm-AF01```K*$eW{l`B@EEf@H?-IwL`>QNkZYcV<6D5O( z{CF9_gn<`xi89eLcUqMS=mL2A4#1-NxgVlfd?~U^SZh+V$XwPyOlWG)TS#6x`I^61 zBrQKYi};!LztmE_d*AW>8!E6Wfd&=8i~fLa1!jvbJ~AIvG( zV6caWerQ*HyEGKNvxQ)|+k(cmwv4g?1*(s^9L6AX55RC(4z_V24umw34Q zsZ%)2CA}lh4SR4`1-hKm8c|NsMyDu`xtg?DMoP0HF+0oFy)qh#N28|(mFM& zY8PWM$?tkGkLl1wM*um`${czD{!9{^LOMS5fwO?l12Y-b7;0(1AG zW!rmpIRkEp%(Ow5eR@umb6Ib%EhMzOPfs>?L(mF6(nQ?qKXP-nM(MiUW!>ACy<$GQ z0q0o+_00L>I%4L)^{aGT*Eg%=^#u;X?v!t&Nk* z7+N49mzD==IXpQYzqUtXYDowl9oEw#Itl&d-pNMaK>Ln_g{|<=v?5O1Bo9fiVzHTa*##9i+ ziFklcfy!!&)?PHjoTp(BrE|3HY2{b8-n8C5&`St7)R*%p zeoijaHmcAGpXCZDaFoU-!ch>3$`d9$@vGxBB7SkY&{NG3*!Jee92|f|0!BfgN+K8| zBo|ukfttm^@dn;HDfuT`b=55;mYJM+6vwEeGkoy0I?a-3RYfb;TqBz)8QGX@Srw1D z1E;Jpnm4BEWVX>%JWB|Va}cOjYvU}Uw?P!u>qNC2wF)VfsO&y3eY%XAfXJP`Np&|3 zbB@RCBn7+jwY2>acT=$afidw1L_?Nc9ZUY-(x!+d hNo>?goRIUZc+CSNLjzr*G zT+e<@aO;fniC^(hGkzV*XRlRs#T@we>AqqH9z8Hkd$7-o1RuO<3LgY4C?B5&`9rAE zCSu`Fa1t*_XtP=>8ZOc`Qp@+yS)v!FfJAlKoU3$A`ShyC37yV=`OuioA?pC z4TG2+drtYF9b@f^}-(xPY>l7J^TrN$$!9-!yDKHpLl-4Y!gvP9vrypRZFliOJfd?Fx zopcS%zl`e5NxBY3^+^(@9rdW9EC94xcV-ULa4XS-WayfG2zxGd=ant@S>pPjDuXL* zsDNT!a^(<@o(Bv}G95J$gNXyzuhiR}u}5Sp9aD&=f#RiFcL67m0L7Hb|)+E2R59 z=_rHR538GfZcNT+UDCLnZt#g`cX;F0S8s5sHb$I#vC2lBrSG>DPpLL$dFBJ4Dxw$Y z$NQ`<;%O8lkxNrnSyE$fpKw%Z-BFyIKyy>WJyh59Y6y}VbS#bn|D+=x~u?zo@S`5aob&9WYJdav2 z?Us9rxhQBD1W!w*+OdYabi#wq8fEMRDEzxoC zTjWPTr{#>b{x9V;XicZA2qHjlO(-vU=Sqs7#it?7`+Esy)yw|#A1)8;>Wh>YG*;^_ z+hP)SPD2lye4<2s6{pH|D?B!O zyrh6F6`%v?0s1Hk8IZ{<1^VktQ~veuXhWwsn+b64{9MchIXth>7kpq&d2hY3#6qr! zsnKR`JOUn*yG~-Gx3HR07RdAOsulijI;#+n84o_1oqY-Y{!=!U%K$*n)s}fyLeJ|f zzPO?k4;0e?c5HJA4zOdLNjP&Uhj3E7*t#zfEfPG(`&}}dC;K|2rdgRz4h!OnQCSjj z?J5D)i@BD}#~QHza)ogNq)s*BYLr}mkBTO_%awT~wJVl&Nla2Omo1bk1W4$&h3+$< z#~Vkm78<%i1@9xki1X0I{I(Oj&2tk97IcX67u?_5`S>`xx#ss=bw3YNR}n5CsxV75 zLWzp6%$JW>W|F867ZF#PB^#x{%&g4sD+8GF|H2$RP$++!#^N=NZ`vhR&rptUnk80O zSBOv2Cstook55`9Ru?rFU!tEFRbRGPYD#ymGSRO1w|nT3?j?7-{&X}QGj8h{LLN)X zLlE&>j!c{$lmU-a*of@fQw$|ef7P=S^{@Vg8&^?D=TZL0LBddZSmIl`Qj)Zca@&DY zlDUks+mRB^_sls4db2e2MyW<%wRjDZwQXu~>L1qIDTT=kCbAL=%jK*2@e55Bzbc8G ztI}bq#I-9-cqRX)H{(5pHb&_^5HP}_N0|AHO7++o{7LW3?Sz7Zgu2PU74WU{ocszVGfa59DRkW8i4uMeC> zf{x)kUrPj+lXLWx zQZoN?=UJ&zH^*9U&|~&Xzeju>7j5yd(G0c3a_fBQJ?RcB&%v8;0ZtdH5Q}-I59yLR zZ|t{7?F0Ts6_m0Fi+>gQDui?`Gme$?=%bl`m3hKpl%C~Up&Q{!I-EfIcYZO)Siwlh zyW}W*k)*N{ky>j4Q>h!PeOvAej4pfwCv0>vi~L%1hU7@J&^ctfa>?Rzfuw z?@QU{ceh_V`%=#>eqIgv&?|_WE#4U%Tg?OJ%f>X$uqbVu5nE?6KC5AfLP&2!fRNAl zcC*DzK3IYf_>An2kRyPws|YFJ3Ej8k^K_tJ(Wm|9vGvK**b`IL9554DFD$up(Q}-^ ze?N);YJ%X^uu%1ZmWeUwA$qeZ@1W3`k{E7LAXk!!>PC8#E#7IGoUYSpiaI@&@6}+Y zi)EXkjHs;%>1<{d$ic+o-_#wxZar92+_l3-F#*eN2n9qoJXRVp9D$m!Ru9Q#PhrBOM?vpPSpVywKD;(IZ zR~M{>4weNMY2b5><;#DUInK4MwI%wULSK8P7Xg7csc+|d7e5KvgH1_?Bcv4Br``YbFaJ?ei)T{#fNMRt{ z)V#p>K)b>j@~D6-{OZ@iGOk4ooMeSICWN%KN}LlxKZoTLTYK~VxNgvysQ9Axn? zO{EXS;%Bm9tPhscK2MS}MYU{SyJ6P8*iWza?gdCcKnByRS+G*6r%=>p`q8iAb68;$# zs0HCt15Q?ugH-V?B0}*;(Ibxv(gRnUqDjR+!VA*-@HW4Un5USqB3Kb!1G6SvDHFI0 zWcAOYV6t^5ge>WI-N))%YIJ+}t0!Rqx4U_UwiTG>Jd5BehFGT#vo8xibfA_(L#_2&#A?KNQP6bKTUD^sdf305}a zFE#&a8oj^T#^|rEHX~4Li-)kXk>T1vTKi-@3bO&S{>r!$YVm0Ps?R(uoafGZ=t+f{ z!O6VnakXWRz1MAg=x2?Efj>Fv%a@wcad# z$dLHZa{t8jR46n2K_MZ3B=NjZxRb?V-Z9r*wvbU)uTQXwl*B?s)Q~$DY;2^av<4f- zN$u&8#dO>y!*g7y-=kH2*l514x5kp%9M_OPS4_QMUfQ?=uKq2F<$-WLcdppm2t#RY zA;zglBI7kqSlqm*cU;soDB=9|7NoWY?J0%J))Dm%{L zKXdes97=)$Uqc>4l^^&f7vJbDc|+CT_$Suj4VJu1gJS_t3fyiNz&C4n6wGMCOZ1^` z>nf(Mes^5Wu+(^YQovklOvlp-WU4aX(XxMnItrgJ_Lfdx2J8VAtmWE5O;j;g70DfjE{VAW+4`u z2_fXjaw{Dczf@-A_jy$F-wsx3k<;~vgAxCehM6?8x(yFf?upiDTZ0?Ke}M6v!X0e|9<_ID4IqytoMor7FTaol2BzHP#YoDwulT>(VJwE#eL-T*&`d zrtTuHpopo6kZe`L6=~yxsQpdc=_%p(l5}=MHoqfh5Wxef__ZwUw1h<_XYJU_!tk{$ z>b`{KGbdfe)uMg3%sjS4TT@T>K;I#8RvtnW@u1Nyz5dwYcOwg~CRR*U)X37Xq2*p( z)6L47tCclZ^FPx4DchgO-5@1FHNECLly(}=(3zw%pWEWy8#~*eX zWDAM!962%%as|#C;P#u-!|ybvhS+IL2(nQh5@e#@A;`3df7VgsD&1hF&%hFeKd2|W z$)m|=YApoK=hI}OIs?}KVXrX}wnVR@DtX>onaJ^rvMBmW!aM?BwrWz@8b&$|MtTTF z`UXZC2Uc1fR@xa>IuEw+Fde2DJfRo`a6=f^?Gy4;v4r@2j&n&rX6*5QWt=BW*?z5` z>NOw+)Z*OhcB*>z+p>iI{f@~H20U5j!Uk^&)KS|O6siV{Nl9`r<)kX}lwpg}@D(^{ z5SrkAL8MR!$i3A%4$NVEP2TFUUPj;HfpQyB|#TE zjkVI5sLc`c{mu3oUV*U@-e-x!3pG`xRpyF4&H2s6(jRNkYw3Uw6}K%diMHQr3N~@} z#8|w_Gz<>qGz`g!YDPx>cBvab2@|@RJH(TaMUvx9Ajn~uh=X2=3wLZAR4mu7UFhDE zy1`3eeTr=R%?ET(*6r5rd$dIJ8nsM!8q0FDO8%jb{-H^C|7J`9S~u$))&Md3v!xC& zD*myLb9J~dG7k)K5d6TS0%;I@0jhz7!ZTgsKXZcOI|FinPz!cv0C1DhWwLk&-0QPh zzeAi1*sVRoN>eN~-eB!(&;n++r76kyVYgBicemtwh_iqalUEf0W9@8YW=SHbDUM+V zTmNajv^Ud8PHouyB^}X1tp2*iQ zuMuIAssSJWJopuJO;^i}G%?ftEPxD8K7rJvCia$M>bW5DwOiFJMJ=0hOqhkJ?HPTGOF!N~8&YP4bah51+q?iV4w4JFHIlp+? z$p6&}0PW^!BwzSang6$Dr+;X=B(aPe_VoX@s9y%yoQ5`x7b-ZBFvftVDTPOi`b(9g zO$X05=-*qROZRz60qR2M#uE8=>OZ+lbvh~HLmW$|3}tE~3|sM3w+vH?yPw`8NG@j{9*hE21`RdiF8R)#WYLubl_a-AhL z^gS+&JudY8ACDQ9|bvRvhV?&ZrFom*p_8D=4Wvl;0&Snto}#2 zAF+zu`rnp`;TkFIZd@I76!Iuo3T5HU!v`(vw9214@ydge^~v}5cTti^d>m4&EZATg+#1sb3zgh0Y#O?YQsW+g}1~C^~lLp=?0@nfVCu( z#72*V-q?s8p}XxQct+l>vi9T4McWLG>M5$?E5RLqbBx-l6oDk*42=mE?mJep2}$sh za^_6>y5>mLNh6SdWO{9VQO%JLVU#5pU6Oq$<`U6QF0t7eV@IC~&cR>jc-jt}E23EPM) zbyJLRMv2RGjsL($FBZ+WpALU)r)n`+1HwK)$hGHfhc1-Ha``QUdjYU*dtSEAJSnV{ z>;a5Zz%^>U$8MIZRXiSzXEMX-jNoC3u9NE}E~6Y4E^Dj~P1|?4rg)*rntLcM_fu51 zrXtU?Uy;o*_AIW-MaqWTG7In#-RTH zZiZk}7Y zrD1A9V}uA`Pt4$>0L><|aF}TeP5B>;3~oKv#%ts)|_f960 z$xMb(D8*98QF_A&HFz`c+mjuFB1K+-g)I_4&ogHi3zDdB~ znpJA|qE(75kxU@5eB+6l0=QP3-%|^~=PXctfSdW_9(U(2pFehYBMLv_bLR{tP11jO z1Y`z$htwhwIiaRlBhU34B7e51Fa1VlG&`qKbNCyv>hL!`aLHC3+64b{`@GqVlIfBjbw##6UeL{xV;~p$-w!V}z8+uh zA%H8)8pTIiNKMVfPapn5RPDczLTKor*h8l**gB9#HCo4bIAe_4JX;*Xl5^XFgH=;bRV zgB{sQ^gngLkLb^abj8wl7x8r38_E&WujY4`_c|G_H&o)Iu4C-;PJ+8~jK z%>q6!0w~7pwch5J1MUYLt=`v{#;#M$doi-kyZ`0Y;wvg69>L+?RG+0%Kan}oR#dtJ zEF1x<@8>SSx!;!nd7nK2@_4I9frl*eT0dFUN^9l;V7dzcHXzG}5{tw(_>qrF7X-PV6d2gRy3OEI{}ag_HmNs32&;qW zTOMW5IJ~K_ckpsQH8C(i6zc23A-?>yazDRmjKN`05mR8}3Q$-FNGWaP12J#zNN?{* zxg3Cl8^ez?&2F90=Aj}NdM}J&X-qK(v(XbGgr5@r(|Ma>N(@j|LW1CUwG;9YP;q|d z_bH<%1kBU&*#^LMuw59z(%2k=n%tC@aFIxV(AzK#PN5`3Ad{Z+ixna$|IGK4=F_-) z*bidUV5ki4UxP;=!Dr4)Psq0XQv7zq|MuONX-f93-cO^7*J;_iTNSI3+ z&1?`G7J~b(hZF1im)S~!*oQP^jh}r6#a=jnvJv4cp(bHDuy`)nw&o3Jj4z%;Bq_FK zslewHVG2CIgrC%U7V05l-GsS6C<0!X;Lhz3ppT18D1Ljp2cJ`m|LB2+Y-7W^c5g25 zGTuSM$rUpQ_E`o=-oH4 z(`v|NAXrsStoLD@Ug)Ahf%!KpDJQh{LQXF2AG=n!J{dlnx%B;W)m~77Iy#c)e1IAwF;>*XwHh3fZz=R#2~`rBs%0#uL`qG3$#SMJH7zts%&-JR$)RA% z9SGK?*zZrVNI6x3h{f23p0s;Mn};th#gbwK{^C@Z7gxL5nh_sy$@9V|&&U;{?R3uk z!7pH|k#)mM`p_s_5}W@o8*AA|NL;~0fX4zfRb-I;Sb z68Js6Y(HFnU|t*LbaMsvU)10qJZ7D+oZRXliQZ1Fgz|0f>J;^5X#)Oy{rkf{O1EzGmki@+O(8HOoBW|-^#C@9~0 zDlwxfq`$X_lcPubySrEC*FLA+k{FU;9?;TB`i!Fc0gKAWnH?)^py!J=3TwVEtuPZ$ zjKBFIb^h*JM`M-aR-%`PZ>Enm^Xu!QCXMsud#3Mp@CAhOWHDdI?w2oMk?9V%XoLM7 zW>228t6vUZ>^c85OjC^_*}CYf z06u3dgc5cTEt|_}qT3f?&3wZbLFCg$(SDvW%tnn^AT2IK-6t6MG^KpksMAg-@XKoh z$YJVNKT98(q4-qBq-Y-4zq&>u=+q}vWPAF1M+4&sND4<=ynAKV=ADra94;Qm$NVR} zPQ~dbJYTtK+1gL=3P~A|ZYwzu2pw5fFq@m@4241fW>vg;h?`1|9Oe-;1x&*ux>c2^ z)_#G05y=;YbK@{!IDyfKfkDIOkbydfLgDb6aIW&AB;&x8o|HK z9t|t+5cVYk)#t%DBSzRSmu?~Y?CkR}eKo$1!Af5IdgrZfu5hO)aAHTO_OEA?KO<$p zq(kutTr^Yg_pYv~N?dL8a$u`T$slOG+cixAP(KWO+r7pFeUhvOS$vEaeBxU*@f|r$ ztUAZ!CVz8~v3)<3EpyYAM&M*yxpCejo}zyf^1IO|FxoG2c9TS7neSBH$IgLy(Xu{% zo+x=)odXPV&rDVq=^tNSAAO<}VB;u7uO0`V4xe#^@jsk$GSny~6_s@>SV8x3wIOud z*g|(F&5e+8z#AmKrNJCj>tSlTdTcP}`JUeT3$GKqdbxJUw`{EC4#Kq-72;U5EAb6; zd09cOs6$AY0B!o)U|rEbU6D-PhdQcFWAbg{zE#+o~Rnc_}a`JOVQ&+fd z=ytZA-Vp<^pYL0U5DO9+0;JayzTh}T>*ctfvYfX1{in&3sVT;0xQ;)Ol`cZShLkTD ziINZR@F~uf2W@oEHLfA7J}3%M51?-P=NQ73wYAB?vorGAv-EV)1hR60^F4SJdpYUA zbPBbNBUC(>xHK}sSFITFA1$V8^jNnyH!mK0H%hf`YlfmC@ZYL%>AA-Q-|e1f^h#ow z`E`o0OI#tRX^Q@Ne!cMXp>w{J(585v>Y>#wyb->;xH9uBzZMJX@tSE2DiR1B!Ez7$ z9h=a5#T{sPDe9+h=ofrexC`zcG#Xl;WFO>q;vqpI9Y=tXZ3t9DblAuUoGMxq8+!j9 zIkY5~KP1%aQ$EO$z@sSs_?5PCM016HeY>`!R9nV2NW!{;LDS~wu`zwZG5l_w0Ywu@ zJXk%SjM#7;BR!p~T#FAO|;%Gq-#=c$`YF!MN}S0j490XQ0pv&6Y9+pE#?WxiG?vwT%gzx2IkwU z*iTt}DPK+Tzn;&c7zcFuzg^5h+KS~1Yi|dZ-HZ0G$fvBA&ruUHqo6Y@K!8VkMlEcX zN>bbP{Z#iWEV+TnI(dw=ZU*GL4E%zt*Plnf*V-TYeKOQ)}QjiXE*IH#Ph5x z?4e3WcmC^Icxa8Cfc~KG78Y(8_GB2={_ixMl~Ilxu9!|VNp@JL^0hxc9>ngdYGHB+ za@`%%1~)fvS)0Qchz9A|jpnWcl(Vm2_9Rd+VO`;ru%Y%);6YTz;aye+Z(zO7;g4~A zINRZj7T6$!t)rxW8>PSdE^Xg_m;WxZBq5rua%Oz!D&6gJ7!{B@j1SG6%*+*zr0uVZ zUB^&IB^hzt;jju`;UcM;pgTX>J+kHC)y}1yg!=z|?fC^8&O)064y73VUEsFLTYngYKQc{8YoHWbd)gZ`z?YmKCA9o6}*SXmz0SX;7h3K0ya0u|TOM*`iWIc?hD4t@by_AGuVK zbc(FAcfGj#-65Fu!^&DpU+CKs^@?&3jf;)GQSE5Ce%&9u3Wa)l{Z8&+P}5MTCMNOR zzOiTpb~arj(u@M zM@@*@BIbJP?bkD;n_0uikI3E=_)0p=k(}+7A0dLTnN`gK+g7e%Z!PN&tt^+M(4y4IAgT;<*(TE9>^XJ?((kz+q>E6%)v?MLa|^~ zc~c6H#KTA6vlj=;BhEr-LJy7K_quT)n+j#{aFPkAlo(`4nirtJZgLZDq=6(Rp_59qRCphyL>GFMgIns&`Hfh=%eSS>tU@`K6h~{GYi}8oAPejX1 z55wt7EmZDTkGLBqsu^`3x7Je(9oO?jH)JH8SD+zB7bHR&JNToIJd0xMs%5qN zqW&(5#|aLWALcpFbW)F1O%kF)RqavpC)ws)T_MS|J>pzTL?POhk4{$_K^zrr8RlHUL`pdq3V^eu69qyah9T}F{ zYXby~^VqFuryvQ=?I89tGVLKpiaJ4brRZ1^jRmY2dKLr{+^sgY4LQPR{DdGE6}(w7 z4FS?`1q)>Y`QI4B*-14$Eo2wl%T5h)b4Fcd)7=?IjJehGLvhkwOVl(8CF{^j7EIGF ztql7EBb5Z3Mo5_#)L6U)*6P>>E`tjBbVfRThf=w8f~rwvS$I>#MWr@H?AaG&-ji}% zB~WK9y-%T#th3+a7!ZoaCyooTV*rCjg`(t57@L|IXF@$&Q++U&tANpqi$0|^5u->< zNybtP9-RXIn0h2_;FjK@CBr~e$%4xRqe0CfToj`X5_mxz6SggW01Xy{qZ&EPbN4-H zm1Z_|_YH|8r=(?pwoCxuqR56!1>L(AIyEa|jTj;jDT=PBsb~Lm*$uaa+@s1?#IH&h z($HV;%$J5PlC(Hg`kk@Nllyi zQzqRW+gl`wOHa>t8}an4QA({Dn`Rv3(v~&Q`acZ46==Aswb*YRpUC>U_=O8kPa5N> zhGAkT)wUR||8ni{>*9Yo7x>hI`!9=+bsA<2Y1O1Y8kU9SSxqLlH^*T_QPn(0P|1TI zmRbpS=_n;HJngunR4cjaw`~}jB#x;_qU@QB^e7p3ztaKlVhCJ5m%dG}ns9G&b4hb? zQBi9>9#)H4`!r!2RNw4IUR)i=jgZWZfJ*`^$@!XgwaDo6&3E9oZMJHs=*&%UD88At zeUrC!Q>&(p2XDE+&qI(}-@%y+kGb7PGK6W7H=6b%ZWZw++q_PUiCcqcnw>HS*XBEK zaH2HsF@ss267OK^BQCsFBi^VJ7}BR!HQ(Eu0gA#;GF{f9v;0H9zczV%_w`*9(XpGN zgMe*sGiwG*>55Baugu~vsfRzGB=LjUGh`puno5l92NM-9Mgst=gkz3?)~sP#zP6pV zPDsc0i>$_~R2r!3>33It1^qG|oq`AFoYgeKYpGms=Gz4Pj1;rnl({Z1HJ@3ybK*4n zxx-~$C7orq$bInsy*-2O2cC?=h-m&2=@R<3j>p{VOC^-6B#0J0erH`;b!)9BW$J3V z#sahZ-WlRmv*%lUw9Iybxw~b}^n=UKI^|R!?S;4KU?BLePPl;^QGzX|ak{+&rky!E zdr@CFrX$19en8gdZbX@KO;~Gg7mnMsNBRYly}nFTr-@}!xvTCfH@4TJmoC}JYOgku zcI-I%tK#lhbF-l9R*$O7+Uog65=r|a7N1n8n0jYbst?foqW*m#Ripo|CI!qdi*G8e2`@#M)lZ_PcoAu)b9~jq&~Dwr{gd9iuMq zLQ3670|0W+R}tGOWO#o-Z_)}@#>N+VFq$(yk{d9SHD%A@YvbV>`b}^){_kyU%2*{t zM!|E=xYu~jm^z?irxFqdse}w59Wk`l{j7u_Kx?t-@h%`yrn&%e;&pfzES3sdfkaJ4 zKu*=fb}@Lusg%;9_DJK)z(bq5MN42|jBzmV7RX>r!s~Ir67p+civ1gcUgpl9Bm|NJ zWmNR0iGAK2I((q<9%q(By=4_>+-QRT!g@ay6wi9U^YT#uI_48RJeZvUG>n2G^F0Vd zx$P#^bve%VdDivtm+vJhL?9joG=x~3VDX|pUy^3BUu-C9 z&M0kxom-UM6{l*fN6dvad}j>mYM8CWtK+Uo$|4Ftcb_wc{nTYsr;bt> z5)`D}l|SVQVCY{k`i78&2!!6!e3>(LwE`|AA?G<`fQuWSotGjY!s7UWuDJFkmMNNH`GO`Z9Wk;R;G*6i`v;?--!lvXe+ ziJf7Bd$2mm`6cdY<4>(%dj->uL-<&r)IKE1eTQX0afiR#)Y0}=lv{ztnx;|A+v@0JXp3Zfa0@!J6^{#8KVG{7W&-i023dZ3at zdJI4Rh1qq0E}5JHf)3;?t$DDsK5v|b&|)n%x?jmPU$Fx>CU7QKIPul@XCr*+1;bV+ zpkiY6w)F`8HT}eRqKp;d^^jJCegt4gpFdYZV({w!^bFk+P_Nz)H{sa(Yz0)H7SXV} z0Ae}Jcz6;Y;AyK8KBDs>mAfng%7|2v0@R=%pkjQp7~|SN@)v+YpcrPw2Nh_HkJge_ zYyWVLi*S=o!;n1xQ#*XZnORYwzWKuZ0MbWj{-pCPi23VS)xqb@yM5KHDkXJiUFfi1 z50XT6&~us(!eqT)2vS}155?`4`=G{=gpZe^Bo2}LFjXMm40fp@wkv5QbaD_6bVY5m zpD{=l;W;!*7I{!c549@)C!165id}rtcHf2pg3WnsUVcbd>CzJxe&hF zZrAQ;M6~TM?z*Wk?gLsb+^}^JfS!P3fJF-8DQaq1M}&A@4gdzw6QCVe0AtO46ZyzYaDw2a3Ue;jFVYfH8oG0mrL}Y4Y%44Kz1wvTy{l(rstC>2?6TGXoHwc{3BRCVF^+!e?s9Dbrvd&M_9>`KMwk< zW)M0UEE{Pkg3?d^ugy|Fg+%MMc^AZT0m`?2cR*qVOy`Ve=Ygu_vRg~*`YRk|i>NwV3_e5pM&H)!%*~A9h=YkTh~{N*XZ@9bFE5WC zg+9T{z$Y*t@Q^nT%@hGNAwU!XSfJkNijS=O_BC|@jW!Fo2MQfbR8$Z-#?D|8+F?^C zE|xk9P%a@?0#x$38Bo?tcVJ6@o4OOA=FEZQ)Y7Wva?`3L5?4T12H?ESJd7Y%*Z_*~ zx4lWoeQmX=`@wk)G&~>Z9=eJl2wr^>!PR%3%4PEXHX|nUK?U$xlcc&%%R%h~K30%H z0-wuQ=4>dS3kyoD$~UHAW?*Rk|9i9lZ}nz?T;PA)M|bGM21FD*0cf(xRnxOMARL0@ z6WPLN@kqd+>N{i)-kKlu1DaiQQ$-PYP)ak9WjFwKy7A~Mw-?d-y zwO{nEUFzyHf+i~4CpmI zaKG~P?+DALPA6K`jC>EqQTT9Kw`vlQ+^hj`cr+0pju}0M^+4P5uaQ+I1-f{3biS9V z2U)O6uIQiN&Elp3$#%T0FOl-?qU4U3=4UL3!9-1riz$%b8+L3W=V#V+_}=Esmw&)k zOa9HKMBZ^qRv;6>`#Vj~kyR>P(c;FE*VMP~nbc0@D#Ti;OJJ^vcHK8oQnKcm)Eo@I ziM4vN1~HcQ-@3eNtVRfeOIim=Csi9Ahq=z%>kIcQ9htHPI<4dzRgAk&`$HKuB@Tz( zNs7455rLIS1HFEQ2v<+bvlvwxSgpAm3*|?7GU{dCp_Hr3m$;F73$M(Q5 z>9bq+&V2x4kII*)1MHGtw{o{3ys&ujK%<9OeL_U@PI1!M zK74j^W5#`>l&sAf(P2rM{{~+?E+*6>sjYYKgBgSWsiTf(X@U9o!jS^@1(-)Bbupt% zl!I?3&?el9_6U5dea6YEXkKibqzx-OgAx4)j#JcKwl6&k0e(%|N8(=}y2%!+OVF8*jmQ;*nb&-X-=nckt+fYYP1N z$;2f`{HlcXB`4Ih-AL7IX91+(W}Uj7aHzFhaWLQ$zcb^wD?&df;hvpZ<$Kc=i08odBeri{)<4mV<#xhK z^m}K+@&uB*_t$xZw=(0lPv~UOYbPU}BM9oi{I0TzCap7gLd+&@dAq&P75vaX z(PUBSh5n>_bK|ZU1}?!k@K?$E@^I$iyV@1Qxh+HeFrw`fkw}|{aPrk{w(vXN&-_XO znTi^H?lx;k4gBZ&L~#SVPillDL_g>naMi`ZE3&H&KF3-qi2Yt?MH4>L=G!~dwCyJ zmy)lipyp!-L2lau=_Hx9N<}9x$VZ)+My;Agh4FQ8y$_L&5AA;>A@FTmQRpdD z?fu`~lXTu~w8#me?-KiCqtUf>*TJmGv{`TAeE4n|%buII&+>oWCh4)g^b`LCqLKRw z!K+jBZ{CCdyj1gGuFGBB$MUF-TK9d4wr+DQMqZbj^`{;`kL6i*EPe>fD@kQ3NqqI; z9OuuaH5)bk>e$g9;~H%ZRwuw&XDMAAL-l7FpLIzZ+@--Bm3JSNZyJ>k+ogGNNvg{( z*8X5F51zg(E;CZPiq)kqngEjjBqo9;ob}o6{KR7jJbj}=72MCWZk2;m!cq!kM{BC9 zbcHUec-@q-N8*jEr;lRWrKo3 z^jSs#pD(^;PYR!})@j6L-=?nT1eS&n z5=UO!(#gz!3Dw#R`@O=yA@FGK=C^0-bWav#I}e8k>uoHHmCKQ0EEL-*Iy$r?pGjusrc$#%HI{f`^$6gJK@P0v+m z5dbf{NX1kFRfFK8}%Ef={70h16x%0D{DfY zsw_{%21u0F^!ODbepH_y)?ts~DBCmQf$7mt_n$^Wa?K$%>ir8fAxPhJykIcFa!s2Y zJ%+r02#B$6D_tHDX}l&7XmYYCdjQPY%0W5#h?(8dLD`)HNY0bb?RsJn+t&%$N|)>| z(3EWGE}!72`<%rw3XMYqRD8NusJ*#43R2bmkk5t9wPkNzUH$1M!CIiIk9kohi#BYi|&bfLs6EbUdU zhw_2}febbT|p+%^=357skM5=^gO^IN`x-i>cg0Svv4g#Q^9Z4;xX%7@y8{FaKT>f3IpL!KM<1%s+ew2 zBr>_k2qOzg;F^sjLn!9>tQ!u^I2JZ}U?=cK4L&kVAz{kX<(+3V)}FEu$?1VzOOfkZ z+@l7)E@+BbF7pr#3wCDcb8aNGHILAfq$7yl{{Y8;pf`X=Cx^>S%%Nls9`=$-mzINK zVg@BJK*fRcU>j2meryzzyg%@*!{@CU)AsNy%cMdcB|k7JWy|@I#`On?a%~qJY3=2x z^GF*4D*7+}g(>4@gk{RE7lBjE!EaFmd~CiE%fHdHKD{YAD+T-BuCAxgp4@wi-{rtD zJecRbQEo*i#pmT)KX(`1H*=WcmHwjBvGNLDCs@s(l%BVgn>HYR?*RbrJL=LZUXHDC_^5__`YIE_)?H4A$eYFF*j>ZU2?&)vUC5px6Hc`e3rDe zT*4P=V=^wk?N)HFAykBG(Fc+Vlr0mf4+Ba z6#$PTLc+-|W}XQLl|kAG(7vTcagzj~09!MBjBZndaJJvn zIDeQQD2OEt5>O+iU2EcuaTA%mo89;Q^UM}C&x&SbH*nr>@xOSe>@wc5vGMDte12Cq z8<#Ez+H;euD3Tl-Q4IIQg7(-g9V^do_5Dlg)h4>dKX#tx)s_+dbP#z7;LeMbLjuw5 za}(GPlwsz5bCRQE(<Eh`hFT1hyj1oEc*eB`qU>~?_iS!623VQT>xOfXLy@P0nxzMGo;Du1blYPaD ziGYVMvKAK~#=}chDph4gqS`&Gy)c@eRi=SvfK7CW;xOWf4 zMC~xzFbVvEN{YdGSzGU{Ahr3l9ihtKKj;>frF!o3`p(ME=IAB@bADmwId!t@Y;YhM zo=~-kx9)&M;$2f!v5UhZkyPFs(GcrWqPGan6Kwrigs_Kn~yI?7|( zquUXJ`5BnfeQ!B;LH%RaTWk)koXr~eX4Z(X6tpJ-yFCJQG@>Bl2Y2>6MlW3l=kLl^ zLYr*(DS39J{^aIzNfoC8CLj%pyQ9EE_m22}B#Q*>03=En4#ijsjww#470z3}vp-&f zMn)q2lL5J+Zok>~C6*E>ChlPkP?6j?nF8QJzP|>+H#BPD*Okk!rRk94KMjB8>pyF7 z0RJ&96`0G@M_M5r4ui%@po3Bn6%K{QDlG9gFX5;31>tBs z_e_UQ9EnE1u_e~D^Q7LD#DtWLc!&sWg7fWF{SIZXpkM+D2a82g))8?eY)znOeLe>L za=?ZD5)C)EA{9W71HBdvSItZ>aTfNg78iP#KWY17`?5DZG~wyOoV3aJO!WKgk?r#j z4cH(g(=dwL49bZ^q+JgSr^Tl;k88dTB^07{r}(Z(nx5JMHkoxxoC4`c@MN+6Y)1j5 z2sXz8jRbR>4%8)eG{7LckP~3w5fR0gMRCF=}A!-vMMNZm;EhSS+_%yuEJprec3Bd@ncs(GR>=h{vj9t4e!GgHOJmG9L!@=<-k z{lRC9uz?B|B*&5a%SRw&(wpVP9K|@FY!SSg@3S#nkf{M*l3+ol$acPgSD~(W=lvJ{ zN_C^=qfs}JcG@E5sluC=`IEa7^CUVeH4a>&L(#HH{uITRp>LyBVE6dF+U@;lAKOi= zrf6ggRwTM2?hx^QI1>Rb@%z_HN_Jy^MR_=P<-u-*RLBC^v+K&Aqsz}1M{k_@Ctti? zecDMU{sc_s-WicU9c4wKJdE7di~0_XG}&(3lk{3}nJp+;pk)omm~dxaAaq_GUJWjU zuVEK@XVd+3hRfRNm>>C=$9egz0Kbju9#TkARLFQfbN`UgUEUmYDbz#0e+OIni8{&l zSuq0q-Y4Vi;{dUHS~s!&joAo%ivoXo{`dCh1pcmJQ*xK+trVg^E(*>WdG5HzWi%0A zr*=8;3nBufu~D-mR9(+4v?)Ik@mQ>P>FaODP#XsKObBQ!5S2`(y^fnbh2x7*Oh=O$6kQ@SNf`;Jqyr*#SVGudWj!4e;5 z9ZLq0_Q2?I0i?TmYwTWj?_yPb(;@PPC3e2;4`1aNO^6t?K zf}@v*@aekY7R8w>=^+o7w`1J%ZV|3U^oPYK+m3pFt8uB5v4JPHw;>@qy5!6-yy23l%q6YBonYLOy^1ui1#q6Yq0A2#PL{T( zW?n>M0e^6jMWQcD^c%(P2`g_eff|4o9NuX?tBB3lhO?EIQap5xizf^6&_E+~0W(&p$9LH>AwyJtni*I)ZA88)Xsmlb2!-blcTUuNhxG7&Jd{hcU-DdBDe^>TQu(Df!!lw`-q$ zNp^%_ux8f>k*8jnx^!Mz{SoJywtx>ey$$0)rpxm}o_-txO79&5qFSLB_mBM-iyqXl>oL6@gnXFUYB8X zjLfjc44vY}k%h?p;RE!sWCvA9C z44;H_p)AD`vmlWgaQ)HKp;z99WP0k=zDnN4%jL!EW9^sQL~Zg5jb_kdrgB?aHFW&B ztRZaJ{Hxsj(y5fmD4FCkS#Q$Y<_oX4D$K7pPgCHhw656<mYCWl@Gy zqv4{MRHG7cvufM`FOkmsl(!oh0~l~6c8Ebe*1niMhXr7QVqv5;vftm_a`f6de#?5O zC6-}6QIK4PL3zBz+8>JlUiL*MUcno)gML&QbwlT~E4*qB-}{zzZKLT$xLL=NbCIB+ zAg2@E;~Oh|EYZfR1Xq5q{DJ*;w;$5!@hiRbph1*i7MLQ>+D@3-az zZo60rK`JSMUD7?`!|_A<66oxJ=-X#1!T34dF>ef)ppxPE&RDRPH8lL@QSk>nv--1= z&t*O>A9j|EztitO5(P8D2@ck}C{GnBexpYe-215;V;e-8Yr|Sv6+}90!#aQ~j^Xu# zU>kY3upt8n!;8s2M=q}E2QaZ9$T6>LSGo%_1m?@r8YHXxEgq+}-Uz;%*0nQFOGZ=9 zGgUy;bPz;a(H;AC=kl}c$AS!tY=mxfb*l?c#gB#b1ZveIf(lFDUy(cdYPR*Ylx1dL z!PDT-Y_L_*!tqdI99^WZt04W1t{wdB$8x=NR^oZ6-3Yy`J`cAk8+F6t&)@kn(rS6z z`4;wDF5fhEj7B%=%puGowhjok^t{nG!35VKeq0DF+cWkyX%fTLmPRt7e^8UvpdN zI|SY$m|V%Xi?%FRSCJ$4JSR(FP{%Gd+P*;{gt%*0z(x%8P&M z+9ChYz~FFIjQierEY_rHYxQuvEueb5pWz%UW6Ma>rXsUDm&xwUD;CHL%tn+Zj#b z&Ceqrm(!n`P*FYOp|8i8Do)#9)&EXkdaSDd=dbd>5A-g$>i8cU2xi;M1+ss*?U{fT zHBH{y)?>OF6pozg0Jg#j>848$%lcO}>90bG(VuQ2ylm@$?>POz;_!LHaw z^I4l+SljtEekF>o=wiaMX8mPe(;Ll{`k5**yN)1Bn%`cFQN^}i$ITY@FS3Vea~!k! z2WRLOrZU~=@pwHnwb)-v;vxZR!XmcypT!A_VoDB{ug%^sevy@&UX%`QFFt55Dmcj8 zMg6hI$6gb;IsE)$_3Fpre>S$RcXem{dOE%ic{b<^zqDVqP$T(p^Cd_8v%)_p{}s$#ibbTI#6LDhg;sfl29KVRer9 zG_7-Ndp{)ggSxsO92Tvl*)^kkY}=wO&CB!efniXzVUP{>T;xhIQ;WQo6SlO|g{0HA z-#M^iStDhmloQgA@IRfnSum5NUmD@c4oAzPtrJB2|8(o{#fghb?Hd#=|D2VRnvQHQVKl<*_Qy_bo{Tp}i1$mzVNhgS-$28vCz=Ygx^^);VCeE}K-Hmtj_+!Q z{nR~9_`Ts5m(A1KZZ`Q$DCe#pUEtXiv%NMGCEGV^?aL*amvQ&oKv5Jfb zSB&_@@*YT3wT^wzX!iN`IQ+|WIqiupKWU0Dw)wtnIc%qgIkl#VAWM?asRF9u$2+2Q zd{!<*3Y?SEsJx#kOv*y-#>Mxs5tOjB{g?-6jDBvGa?Trxl<($MufQlzA|x(}BRM!d z+4(_bAslz*JB*ZL!c1NYNUrfCtT;U@xbTFV(v>Z8z`YF{a9>mz{Wsbv73CN*Qyo$c z7Cr&+z8DEPRrIWdm@}1YewS7z1GhY-mCcH?$7Q_#Sd)}cC@wnt3zM5Hk}D&=%vm{y z*I?*$YZSlM>o0RznT%~sWKbKu0(5V*=4Coye5^cC1dM=McR2$Q)=)$H=2!1H_{(qE zDaX*&UkD9~v1zDjT!nbJB=pO0rIgC|N-*wX5*~{0@sx}5JkM#6Y!d%~R?hYEmvEO^U3H2j62eBb@0xa8F2|2eNa&_|> zMslrSa+6GViFBAV8_3r_s}jF(EhGkpqG))c^p*c^{%0ToLzZ6b97l(V5->cb=^+6| zyiDxBc0cx>zm`a8z!KRfh}|YtCiT}Q8S>92iSgGGnM?`SsM;5>qLB3tp|6uz$XINJ zWbnOPB#R@i*a~y>9vonkOo;&Olmih!N-I1dC?5koO)CW0F)x{;b>I@7f8U2d4?B|P zOwkGnn23$2h0~$Q5&fnJ1`=U#{>=^w2qKdkx+%lJUSb&}ieW~g;sB<;_K!p;X>0}cxILM?z8mTD}dgB^lk@C7WmA*y{bG}^<* z6R#mh#12o%&m~;TsX^s!%i&FW!1m3OsX=fk!V!s`Rh@nCNy7Tp@TR;;y5VDhAKzF1 zfM~6t?ai}7EA-R1c?uJ3!J?>TWkssx<@FC?m4Pc4wo#|wJp={23J(U*7~4c7qfTcM z3a@xW_MjMjY2Ld<0S3laN@+q{xoK7s3Eu#`Fq{GrF%-#2HFAp30;xB?b0e$-jU8iR zqoZj5?YX1hZnPKQyH_Z0eU!D)j#S&Ho~xEBzeXL=+Jk|p@fm-r7iFlF$VEERTUbQGppOOc`(AN%owYfW|1pfR033acq=`Pe1u z(^Jy;E1S7x4Jdwrf{^8WS4(l&f5H+b`u*8Xq?!Cp=`64F7%rxhS*$}`LmM!E(t*_% zIKyz!6l+p^-e(`1Ekb8x=0@Q6`zn<hlOKJ24i`MhSL{3ojFKOIQ%*db4xZXn9Ac%brp5&?O`CkE zff^*Iqta*@PHurfwL2yjlCwCFe%E(hSMZ5l0LPwdfmIh@Fc9;j*F9O?`Zmbkj{pC;u#@9NP zCQ`L9pXF-XP8_|6#=zN?%WaGq2y#+akJ+%qE|gBLG{!kpF#m@Ek=lCy8t<$5S5CD= zYA8iY>;QRXI5%ho71zP^sW}!J<{Ttnv?M~+`G8?*s_J~?`O~59OH+%#7R21a?udw@ zq9^$SN?3HTf)J@v!aFajo!A@sK8+DM?aG+_VBAyGrCTYNoIPkbDCu~4Uy#)cS|b61 zTF>FVy;HMP_v%dj28ClJlqwBONBYD==@&`c>B#f;z)OAOrREA_%NZpL{YN2>@` zI5fVkkdfjUVfo$kZf#oHWgDMonApvY|Gh+p4a7>x@ zb@aK8wPrLx6Bt^ut=e~a_ z6Ne~$D*lr#vaZHO^sprSwJR=<{jxe#a)FIbpphaYW&#TVA2(!k2yXL8+MxgoO4GYe`0se`{6GMh+LM zNcYj!>G1J7i6JhA584?Y#Ohrn#Z4@;8INOTEEWIk)xY$jkYgX* z&nTRF5M@Bd>pW=>>@1Pm4v8?X9#o<^?xM2vFp*yV8DB=V_qu$W>i?$wc1(Ndji_|U@?JWR`*l+jpF zEfCT!xV)`6&Z`BuUlRo@LtOqZw!lJWWg>=(F)?{_zI!Ww)3d=mCqA_chd{)M!BQu} zR>QYnm7j0He~_EyRg6@p>`ot#fDx;j|KjHT^Nst%|Df(IfZ});^-bK}o!}B2g1fsD z+ylYgJvhNaa39>=-6goYyA#}Dhy2dD_ndpq-FtVdw(7rC-}KjC4}k(QHO!lDE|K~U={ZyKw`LsUF4gZYNbe%;9X{3`k+SuyzgSv6 zygGfsc`BUr0yDl$Iy!b(SnryWU$V=EhqB34_`%6J`E+xAOG%RFeYE^y&0W5v_vlig zFlghT!Tu0umAW6A5B&sx#fl5YoB)`F+(zk3K|C-%YiNOToIrY{tqW+EI|VSR@*MEY zB0XvWmzt*r9e|}S0KkRGzwZu=OyeJz-@gHZ3KL|_{!pilxs258iaZR5;Sq54@x8=Y zZC?FLhb7iKvXJq<@k0n0k*|+1s0#JZ^GQaW0woFA|r?U2KHtGYG@~Z)|z?}}*6dOrP^)p3l0v@69+jmS)%L&IcmfNL}`QmHFcr?u68P|=KUREk<9S9w-GLo z8Z)E}uwh`TbK&!?=d-Ha!gsho43K^}{L|~ye#N}eSDjdqc?@1aIwE7yH!7)n7k?n_ z+ai0+gXmHAKUMZ$RrZJ8DWK_Em=qGgCU|VxsD#5Jxc|WD%$_Jc0O76UZ9IQBeZ$`s z!til_Je|MmX?OeOM*sYTtcriDz=pY+HPwk;8=Pn}x)lnc;w7fMZZ)hsfhV}0Cz!(3 zX8Xn^?ep{TU6%J9y>9_?7w0C$tKvfI?S7DMs*fUV$4?2|j~&th{aREYH(nkWG_IY@ zk%TbPi%1Yb(~m@f(<0vVlAb87JTvNt+zn3dv(di+qzc5hLhO5ppub%{jKvU}D4a(S z#orRSDI}y~b=Dm1!DVn;=h}5t0gK^b^Vg*}y&)hWH<#)bjwc(@xc9xbt(jifKk6-Y z6M9G;#A|qm4IGGb{xY?)e=WXx;A;1v5Y6f4uIn0HRZa1nG!PZ@Q0ps>#es~`%gf2P zD8^z;o8{RoT?^B^kuOu54L=IOuOg({{#3Xj<;3U>h&o zw^_ygWo@0)RZ9tCXkB~v=91xZt&@ymzAH}aN6t{$>&(^g06 zQ!3{(0FMHkSst|_y}H6;tV75Wj{?V89(v$6Z}3$>;{;_o(Qw$lXb>syx$;5_Yu_<(%R%MiKz z58qSyLX_sexDhu1RY1bdiCEQT9vUXwCbLcdk9e=3p3CgF64!_XbwKP6LE2@@e~FWF4l_o(y$)`$C(Q9jV4|Y(Z(b9F#b?x~g4+<2o>UREsO8=X za5;0X^|o1trpdih1xWIG&UPs|Rg-fHEN#{G*GmRQ+-mz}h?KTVs54O0Lv^~ddDaLF zm9w3t>{gMM-iLQu;YTGa-lNBy&dYxzde|Jd3^pgL6XaYjSn9(0_0rq+)_tl_n3y$K zS9bBtHCQUzIdEF(gL0y3dZSvUp+%{s#c?_>OzRZImFaa0Ax#WQCU>QM7z+EO+U~72 z8or`ZW3>M**qgTe+$ClS1a=mtbosBvg1L1XiA_k1#j0~*X+t&Ara*d^of=tU1%yF# z&6q)BaxrRkGg?+YIw0jkMRP`1+gRYLIdv{SkUywKk84@!+L4YIV<$ZY6~5|SuTCmD zxlC>_&^(@*QG1v^2$waDhFcoBvG@w4bP$iM^IYA{J@X}XcwV_O`C?yWd1*J`&IGHy z=zdj9SAO5>{@L=WzVG*3AK6weXRqnZ1M1mZ4ug&aHNwk+Y>ppd zPD8Q&q=6AQ$Fy29__3dduXcd{__4d~^0f;^l1u$!_+`6*TbwmBf5VuhuGyqf^Un>VfOoK`{R+?EgJSRTl{wuvF25AL>0StuzpU@t zn)dE1{p}~V5jLzRJO-o~;497>kvO@~CASU;`(nb5)U|*IuuBSq^WP6l$^eHVeKvPY zswyN$5gbD{41*7h%?h&53bM_2v=uhWcb53~VP>UhGB|$epGpA5;fct=3G-jZS&JVV zOR70G0mNSe5s5bZ_~KZPPD?gg|Hf$2;O~g<7(^d`1O*`Cg-P~MlrC+q6&@M0!T}P0 zRg1;r*W8pg^g}D0P&zKyKFTV^Y=tOmts zU4bmmA1>pa-TnN7_zwOcz6K!DQ^3#(#4g$bvOK=xrgy|w{0H$h0}&rpVJE4Ru&M^| z`JbfZs;{lv7QL!NI*Exu2@#;Y*9_=`8UBP_qhhafz{%jSRy09KGLol?)0WeHK!`2A zPrIi2pq)sFYZ#?MVQ`Q^4T-?0{7YYWp`^H;k>o^8Z511p!MFTaI0E>Et{I(#XI{my z&7TKh?4yw)B2WenuTjv8-HHPEfDjI^imBUCkbsKc;7qA##(am7J*&@gEIGhTEHc*0 z3w2g+_ICj4Tzp{?1qt19?evU016k>{SwWGbwfI7+MFFhtP>Z;(kU?M@?fIVSR3eH4 z2jUGbNz}9yBv~&X_or`XG4}C5$j2A)V<{(=M%-gvwb}rrNjfq3!(zg4ChYZ(#t+{Z{%5oBt0K%nGb9jE@@nO(U_8LIl3<(n3c-YLvF&{b zG?C9i64u}q-$qJbG8BYUp>o0b(QS1fF&1)T$wP@pDI*fn)={z!#v|3)gbWj??c*x)H?OwBAB&F-HLiS+-aa8?Ot zGiMIX(e{dF*Q%#oYFRm9V9=br_&1fXFSaMPo1yI*^u>zp4FtOcVxVVTY!Yw9l|MdB z7s?k)UO^&LQOWoUQ4PFldmdxep=5%BRo7RPJTnYkx_OGw21&*>EJq{~(6;#4`cw<2n$5-n>? zqFDPK@L^T~p`IwIP}l?M`rYb9vx>SY~Sw$+cIxq!NelY!3VWn zq2DHbIO+j^$9pi`7K(v1pQyo7@pvB+C($*liyQKSLYgUqY=(f=P#19owdz>hAkZ4j z)|N%ZQn?PNfzt1*rUNJ1DE9!Q6yGn9HpvT1zNYjautz4If%x-qn`BY1j@^-Xu+02r z3d$B(ZY5C>ZS>=<5`1;GCRlPK2?ftn+5-AKlKWR)0^VzPk0#HL3B{u%=Sc!e(P7tq{{&t`2I`L&QOQ+T-B4Fv*~Yq^UTFBr%&O+1BADC zyBdb;EQCnJj=dyqF^3;)GS?q|{H9JCv4vmZ7n!&lMVT2Nj$WV63Y~+N;uf}n>y80N z0O7=Y{rx9v0e~-X-=!(13$P$!s?*HIkpxB%M2C{U!SK{XGMXL^yrggx-DuVt31E1xM1p*GP zn4$+hJkps-oIOaMAosSD-O^VDeAd~4tDW}uXV;s!O&3~Mp3sf0c3nr|xw2L`DJ&UH zhe;|P14f3Iu|!3rgT3L|9mAo55riV+VmHU#MM4U8$EcSB^Hus)gdTp0bF1m*H*W_T z%6?m^7{FP+;Kw3M0(u^#fiRtPpZq%o2SDuRoab1fMdqBjhj0DH7Nc2T1?R!QbR*yl zK*clj@Wiia|5FVdE^01I^#_(zvQ><80Z6dHI3&R4Xh=ao&A|ZI(<(S1BwEebH{3s6 zW&zKXyQcTcDlfoXvXczQ_r`U(S0397}8K& z{{`b<&H}RDsmea{x(ybZFJ3)-ul%tv9l^~w-kDN?SQL-^u?})q!NK>Uod&TmWe1mp zp(s9xzDRBO78!>&*WS6|WPu6DM^R{5yFmS(vd~yQa1Rj@JO&WWbBK4ObiGK0`bv<& zkph0L#;z+ejNx4Y6a%LcDnn4T_`mT(dt=K7{;3HG~DF@JoGHhFg1E zR7|H*2jODV&fvhI$R;h%C^b5Q^-2SCQcql?rSS=)$M2i;qYD@*QvP-~>6=U_NYbghP5EQC zqGSyo{nC$rJytMIY)tGS5qf5yqBwwR_47*aqrP|l;MGUW2*!nkSAXUF4#1BvPwHMg zg6|XG9`A%PJMTUkBl3`aTX>?=@hhJir6@d6r6++iBx#5YJv6*AwmYF+viuZN@vo)qz>F7fAkUsY|DIX+BPwO z;^^6n2h^mK9OQrHbgPhlQH9~nzzxM<0Y?=#^$z&fW!g^lm*Dp|+i&bgsZYm&l=3yfP)J(k1r!Vm|43a==kdu7y57>#}DF93*A zQwlWdLu$}%gOzWP!=`I#m?B-2(5s*OIQZEKS)C!8zvet-=De{pxQc+j);7t0hu;-k zSg7j~3)$Wrn_JP(Ug7!eOWO5SsXZ>U(^aA_v16-PXh87&1nfgH>9U#pMvF!3WP(m5 znM*>3NgXP%t$x?zYJGuHmrJ!t-#sji03Dm*L`$O0{(cSqjk! z5v2>49v0$%(rpacAu zx?r%@;Uf&*O}>9a_5P;$@d`yBz~R+y|7-^=2);8u7TSf+XucZPm$;S%74$31~IDT8vApG06KEf}Pb&pZg0KjnL7;&`K9W9KneG1Irmy}&Y04py< ztwbk?8@0j-69^)?!r@6O+n%HGDlQ@G%R<-MMfvgahj~=Lq;@o{|C7zW4D!uo*ycQl z@aTg?Gz6LV(4dP9EYS~OK-E|R8ff($?ECl7YS?WAw9YK*&=R6w+uua$t}DzxK@c~W zrU|${LYyZ?-_85B)kOC`hGsVR4USn&)mb6B1qxi^F}2=GG|#zE!D*l2ES=#btTaW= zFWYNfRIG>Gt~NZU_d{$4Cfe)TOl;HZk`^%!@MpY{dyXCD;aVkk2fvcn^DA=IipY+(qtffb|sZ-LpMK4f2@U*nT66;mG55qrE()bFSWL!8Nsf29-rY+a6QA5SI`B!OPZL1 z4gK!%#rhqmL!|9A`TXVa6?lBD>%#CaPLD5#)%)+r9+3G-RUgph2L`Lt23s$X z@lmqeFhn*iG)znuy0jE&mS5qdE$soiBzBpIvk>HeI@%4L+uS=DS03j>aSS#3SlTH? z9uGocCn5SU-Ml2Ipb)Yh6!UKKaM(xHEa2^ni9yeBS`(!g9&Ct;K~vE#wbakOV?A)W zbEuTH+4wa?wgBXQo#gMKZ8eR-)=ydmq6;nMLm_Yh(Zp&et+encs9Y~sLVxr2&_&PF znTkalZ#8KnwAL5fgMyPy(5TY6Io)Tw%%l3nwFQ1%4=qgrdDEe4dIS6aZ0&8&SbP43 z^>kMjS{kcdMPhdrG<9H;muMpg>M&JsRaHl{MtaoxN3>+EBWcZ?NjXr}+&@`Xk>=Y} z7b`p5^mru}cDu|O5$`pN#;*bqAa8oO)$YZZ(F-aCSBWUY&snk~po=xwm+PV2QMbU; z;wFM@S3xgdp$Umy*0(27l3bPaSOOL^XQaEw-CC%uN##I0PMd5_&mL~WUS6F(XH;|W z8H1Zc?DMQL5C;AmZ%^#q+v^JBEzvVL!_I#6oc#ZXxYsAm!-prUU257fsaW54zrs!C zoFx{1DD5AP_)hk09vB<%g?bOu`-+@Mv<6Vn;}iwH z;%+~AeaSuo{si@V#3+jm%z^Arr!<>3<<9}eE-^--x=>(QoigUTycbH^cu&%3FK}-! z&`2X~H=Xnj6aPK{RYLJ30>kh&4mc|(pZ>D*{%Ly-HZ^FKuWN^*^JlPe7SfduRCrdI zG4uJ%hKmIpUXmaIQ(^@AWKw*zo)Pmkc z(%G?T%o{v(sK}XAsp`E-q=79*x#GRHC&5(JW)3L-u1+OeRH$RAiPmFf?sch}boApn zk<96smK15Xt3jGrR#^{wV=F3|rS@w-Pg{#PY{q|A*A5@ef^SsyUj+KL!{4!z!BNaV zwro*-%9D;AW5A*DAu}2jl)3=t7Wq!RimOcE_627AX1cYayL~)ZC)WW8^r#9q{s2Aq zcW3YWAI|6S?&yhjiMhql{sDR^f1JIeKb)@x$oc%?=!B_6gWjK~5f+A=;3*fE?Hh4+ z4@2F9pfxRr*NUjrab)Jf90-cqX4y}NTSe{Gkigjvr-mr!h#YgR-2VO8}y4Xg*G;*GA7JBwAW3%M8gm|c@lff5=XRHsz zuX1U{0nB8*MR-O`!aCT6c%}fbk}b%+4?uIz(NKILz)ftnGZgjq!xHEbK`iR6@ZYfB z$^Uz-7mDumFmQvdDeb3|r@|wibgY_@in6DQc|aM+6))pdLc|Ky=kfI~miPZD*xRQ0 zKNRet|0CEt$j#5G`SG7*Z{eNn6*&JZ*-IabgEd^stub5`?1c$8ED~@o{+qQ2`flxg zP5Cd@p47jw_OAcK+Ix6dptAs;w@@cN?;w?In5MhfO&>FY4jk9@{YOODfF)%S>483j zAOpkYFc0|v_}2q;qXq>h(JRCExsCR#qMZ|#`x-kB?#ICIc&WC9c!Pu;`3DT)iLqB7 zzuS!b$UbJxJzzkj;no*1L;5i#K`K^YCOH|8uk2I>B!1N-GtiQT)Tl?`xlC~|H=xQm z(mRvtWh+KP|0L`I^*yV31LSs@@3x6c1!SOK8{K~7+f!xGJp%lU&KcK-%2Ywce z0jpj<%olk~#_@i9@%k^BVA(#Qu?kvbf5wmfjd&QwI*8wy%b~<3lmbX)i-b@YW;T9x zL}U#9RBNNB=biaP50HcM|{&+%!~kXsjhfcFA~WA0#&v&3?Hq90y} zfI1S*b{R*UJ9Gc(@T%R{C$UFT>z{?a_Z7BY#4R2^aR(+ELn)Dt9ui^6W0STa43v(h z_{!YiVfe4z-M#hA$B&Ugw=l_v#q%#$H`o44qXoovJ0q7 zaRM&dObJwA;&I31c}B!8nMfy1QA($_>-renXO$g{18`3Xhj#Z#WJq1%ve5QV&toBh z5?x<>v^Q>X{o8GW0X}*@&lyN!wfvG(s17P9Q5a5U%1oqK7!mo9kQ6G4sw~O;bss|G zc11m1?hQ>pD32kCE+kufxhu#b<9ldqv_Q7&ZuEeiW4mc=tb&DzL_AwsrCsn+FB406 zHTM}OZG6+YWK_HQu`n}tTw;dbo3K~m_3ACaoR$cZ@Y#rs9tKI?c2^jqiap#4a z{3^ubFfL)_`{9d*NSyj_{b^f0h#0_7uF3Z0l(xp=*66+NtUs z+=8`I{{c^#8iMcGGCMCl$G1gFwse*ZxFH4hsiGs6SwboVW4Ik3 zzW#-%yDFS61Qsw96441(pyz@EGS)oiv1c&YEdOzMuF&OArvQ1WMO=P@~!t zst0-WL(h46{hB4on@g?XufXr#4Hq=qj_}5wWGA;6#D@=ZAaOn(aNr+AW$v=|B&Nm= zY1oF@i~*z)APK^xAh9Vh2Vik&dr^#8C7P0-xltc+$Qd)rL1V*3wS>^iS7W~I_Km!2 zd%V%#P*Ei$?qVlIpzyrnoj8*|xL=D7;vdI`7R1F=s^X4AQ>|dvo`&skkAlO1d?$*1 zWSV%yHKRuW1WsQpZ`g$Swd5Ih39X@lW=KM~F{WS10pq^e=$o$K|1R+RkYtV z;yHq{?-ZzkSI=37r9&AMVcR$WO!#ijD`MvW)xC*q(eqS>D48%gR%lt6y6xbo z-4&bTS*{|ZtgDgj(N6Y(6PH4(N~E=3xjfwv&Ld$Htyu;i(5~&NbVc~S^FNVyXZBo) zJNmT`uchOQ6ZVm2 z1!De_qd}PR0qQWH$LGV40snxm8i0Y#=ZXKw`KGfuQva`0zS+?F>qSJ-KghSX!wXd3 z%>N(8dZof(A5ss9`z*Pk*b5Q*&o(l*U-c6!!u9V$Tinm)ulARB?Ovh1ZqvT3aTHd2 zpD1KjRi7@oE%#R@kKnM!;{Gkz+bVSK_4v$uo8=mKKvV$hOwdKibzXNl`!#~ML%HkT z-x?_FnLNV7B{zl$mv&{r5El9}s)BlTu*_AmqJ_4NcS9b~uIl&?$R_ZM4OvT3su8|pV&!8hmaZr&F5rHJ(I4pT z-L_x0W{xNBzrasHq@x^2QUyvI)B31(ql-|amBh^{)Y5Rje6(7fxWVR=0i4_IG~B{Pyc91=1z|K#V+D&E4LhK0mi%y;;2d4P%fF zxa99$GDL5ba5}BlChyCYdB7e{7L;`0rj4=D-`w-(RSN`17kxW zbvUzA^0tA!?6x2_e>c~Ba z_L6>l>tB#OMqdv#gFTGC>YavqgP&?G)UO~_A^O!#iu$o;L+}D^JwZ@0V1(EI8|ZI2 zUa+BbP6!;SW!XfI2bdwFuy2bk<$N|=kwM=Zywt4qE)8gk4aCb2 z7WiB$Lc98TEZ|}}9sJ#nowdKCL8)J1Hdt-Wsb+|EOU^kF;hH0kpDzYoD*S(A7lirA z=4%o`arcM_dO{%hgKX zRT*c{5@*m5w*mTKF3sfPG%*et;={mM>JJIcKPZzvnm5HPM6ABPaP#8Srtmv!c<8~g zK;gH~gPZTmJkOJ4Y4m-OcErgDrS9;YpTs>`1!#|@Z?2`aYj)*sRMu&f;ji>%w>H88 z%(q8xvvu#`b#qxJdABeD4EBl_cfXjp`ENTw7+sa zxX@;8JM`wYqCWf-5yUytjau^bcpwlNOuvCR>Dxn9f`$ho*aq1Ht4n3 z-F(R3rOi$L1@ibbE4HN-2Uh-v4a#oBLPA1~F7&q^Y~_JtT1i|<7%gM9C`UfRp`M^7FNnzGdXa?@9DAOD8=ZhRUMIw3+1XG78a!x_rZU? zk>@ONHTvA9VySkM{kSP^HSo&~k@jABy;oqdHFjF=CkNB{4rG!?K_B&FWB*p>nJSUz zn;X^C3{On{T9HCYrK)wM*e-c#I8ijo#s3D9r;eO_9N&$lYEMrCpvI zwsB3WQ!3*)#8Rvrk-huFIoD+qBkEI+4mbTk0Ri_^EJ>M*K!ztyrDDxLC}i zt9b2D=SCjB#FaFu{i6eIQhR`1b5i@0LyB@+tW$V*kn?f1*ft(O1%x0CWD_u(-70zf z#hQs;-_pgpa@>BGkMo9;>PH!U1$)w;I4)4v(|?cSz9T-2Wat6|cFx^*TMtoQ#L5oO zh*af#$}QX*o-op)AvI-}JG!gL8VS!!73m6fXoH*!y5RXNSb+no#m2%eC*rsP3VXIO z%F6EB;s&(Jx-A#vq)lVIfZ-elN!BzaXQyX@@$CX!ney1)}| zxlmu>EFIW(T;7;6uMr6&5;hY%*^X9y!l%k*M6!f zAXc3)ZO_cA_9j-gqLjXkJt;|k*4;ATSE>sH&|Y+~R(CeX4}iDiT)y{)DH*fy8_ zNb9P9#Vx;D?`m&+{@;gj#r}kGP5uhw`u_>z#skB+AB~PEOK2(71x8%MXsv)6nPIt% z>LQ;dbKi8+;Af(9x;bK{pEc4uq?&x$Yg$&pI-Qn$`>_ypv6Uu?N{PZT(|Jt*atFeRz|o34x_eHgQbm~ zHdu26x#vSt+=1=w%7&4y%ho9Ky`2Z|dxmDaqNUB%RtiqW$a?hqO%LwIp4&e8BJZ8A zJrj@zHbx%Y?0rO%rLb*;-AzPa?F1!EE~1)$l^wsGqTJ| z<#w_;LV3|ZVm%rJ8j|1~4`oN{Y)K29qfTmjo!o%6^*O-CmB-pLdz&t7e!BH-_A?y< z-1(vU;*k2J@;&W4(5s!!`JV#0qG@ZIvirn+G{>S0K8mw4mdxI%v>ESK9wKYxdjMDD z{S~L*BSBeA^atmC$%adK$9a9U#o2!jT%+k+qp5}$%I{FBb;%X`hf}|YeDUuBi0=YY zvmrPlVHwzA{$t?btKwePv~Ey_bdBl-z$5wpm+{2#A#%iY2@<;N&kza-7~1l9ZpIGB z`g;_&-wI%a~_Hn;s8azPtwPtKpBV_jmhs21$TMN`j7xN3pioe2QIL6ks_pXqjM>c`ev3`!rk} zzRE{M8x!DxhdQubmqoZZRzEna?`=G&gAf~~Jlfy6#Dek%<#6%;?q?c zrk699FCujcJgbjBTU4a30q9zTeatr7@i5Lxgn-kJl$=!}%35gigK-sHf#QiJlsmjG&F8?;=!Iv$QELTTV4cFfDR~b0jo)Y`)(~^c1TPc{&$M+~2896= zyDj%HV&tLSW08={s&D!UE4O=ps{>k1Y!n^md~tL_d@2I{#|8xnEMGIXjFuG|lxiK4 zHv5MFwBcYQ@GTwCtt+FC>^9M6t(RnkOy|$Lyvr2hOFp9P>izmC+b4a7->MzLE&H6J z8N?sAse=y?kIAwSj<~wLCzEcLO?=C0Gtu~RWv0gqapd=n7QS7Zyy;~=DPa`8hBiM3 z{V)*;cz|Q8nJ{RtA0J8V>Fu|qz8o7H#8wh-H(=db{E=zMk~@tUF|^)hOry9ZSvRtSOVXPs?ERZ`nu>pQq66q}#OoOM#BQb!*;X zb}v@0m{<48eqQ64vBh8t?r?jd0h*NKC)b15UD=^EePJ}GH-^>q1Pwe7Z$9bH`5XwZ zf0~{<&5)-_Y=O;t{V{N`tX3ju zh*LL~><~~Po(zat3DEf4GRw>mH>B8xSI5$Mh?k>U{d#E2aI=@m3Z+M9*(bUV{-^ai zyyJPj8^`#ng|AuTdbgSfSIN3`5~;!`Q=CgWMu`inA%%@^eHza-UU;6w9SG40N7fmW zKRZTi-kbpIG!cFL@ZRT@;|}X`{>y3*?F7vYegb-xclNfZzV?Q6^{K>ObAAOXMtz^}aM+qLo6tU*6rn@7a z)ig`T6`ENrbat;apm_+`9S#eEgK`0j2;0+p%MdLMl)3u3uUy`1yB*s%&Tpjq&&4hXsG@^Lm+l z&0;i3_QLXMm8DY4>Vzpk^VaCW0@CH8yEES5Gx@Lk&oVUZS1B~|7qxL4_B36^Qy)&_5>7(|yr#yG@1`YvpT|E{=K4$)ykskch(#lY>k%hpRwqpxUReKqDPz-gjcut2Y}WO;KE$Z@ z#|YVedcA9y;`JgM-R79$r7u8Tr_VoZkD>G;lY5I~>*1KP>WF#ouKipcC;oT^EVp$_ zh@b~H=3bn!jM3*mh-*rE)g+mg;!h9J%Uso_?bvhu>T&qF>hSZ_;in;&R7V;)9o1n% ztXIyiltKrv1-DLIJRSI13O})cG2}n=d;T(O1s>%~6NLhP(n)R#Y0W>4xxKZ28gmb< zfmOZFbTK{^xI7AJ$)B+31HWbhSA_Kn^q^4UIobMhjBUwuzORG&LS>d ztC>C0vUy{swEtD2+dpY~vSaadtml%VdE8n|(c<|R4qdNpx?YldZS1@`Sc00L4#D?o z>BN4f;&Y2-yjSqJpj;nB0w2pjotW)WSHaNL)8OQpLm#!x3p5lNjl(W~@%BN%I>cqSK4)%xW=qG*Mf>h)Dj<*QH zT({%#1-&eHpQ54CwHs4_FbSW61hVC*wa;JbD6I959o42HPx^D4kC|L?3Py;&uAuP95Qnx=xvW-0pEOfpEaaS_ zfzcH-L*@4Oh4hS*qRsS_Z3h?yQd?Zk=I&339OZxTbtL zbhTb`pPox~N|nEnZ?-P1obhW57@BVK1fD^9Ct#!sLZ0+eT4-yzJ%yiX9^Y9f2bA%2ygg| zDoXTEEEO(Q^2p<&lyqNcRn{`D3vPCvc^PNLstSuuWj1F`nPu@#-ZD_#m=212Sg0V>9q!mSND#?fL`Vg#4PIlH zSdWVFE`5B#X|lm$>wK1%l(yY?CC&_!vvM;2R$%nSY#o&cc*AOP9cbk~&)FbNkm~x2w*p8s;tR$xI0FbdBk~tQCh`l3 z5uDj?(m{BWp9tnv2%4XTYJO~ft?26^pRVl7HRHh?+jPMtJCM7%Q+t)4oIbvAYkX_;i9|}0abk~( z8GS;fKsJ&^_T_8KxFMlAx4qLBp4OlJ>c=&Cs8gDpIabio%vA9h?K_}xCimK$$>@k^ zZ&pr%H2SGGt0|;(@=CKFz}oA;Nt)1uT15~Qx@#v&7h=4pi6p`1dxYY|EmJ^MXLPmC zG=~hNAyltPD&yiKp{(D@xMI(+Ns>%CFMl7Dg1SYZx?%8w+ z(_DI{a#t%{shvTuXOAj$$AO3}!mgvhd#+FVsVwh<7T4E29blb55IQL&d!-S4O-rn} zTUL@NzNFvBAp5ClodXC<_ zdalf-dd`HU`K#5uvUXb)!_=2j8Ae>N7F`&>|pct_@PwHU0`s+J&vG9k42 zJgTr=Nn{sG_0K<3Rz38j(ON!7>~9}Gcsx6DzO2*&Z%UB`dHkw4wC6LuZR__i&;r-t zaRr%NapBbQz!!dt9o=S7=Ga=*-4S{=(QUdeRkG-MXpwR3mm)s?90N&W zkfyC=7??Po-fiX8G4`pwc5Jq|b_{rr)%353mvB|A@d8Q(biUd0ny;ei)z81q1S-DH z+`9AS)Q=zI)_LoV7oJ{uh+AjQvyOOZ?EJiNVO3UO=7%$WyAs5KUf{$T_{M{D z`8Ev74kK6wn+w)e8Xf1|zz?}Nc;fl=83Y-8gL^?*97mu>5x0Mhf6ny^bbY_>q>wRs zyxT?R(Rn5wJ`S?)ZM(}Y8UL3vLXniscND_j);IS-gv(2P_#JyE^+X+9QX@}3xWq{d z)-=mb(+>*>B;J9~>*&$Txp=P2xy^odm0UIvUnwiyR^f7whbI83Tl0$5cnk( z#-=sZDs}cs32Adz=kOJ<$S@pEbA@VP()1<;!L`6v$Zcl-iw4ebc6TJTy)T!JfyVb#$Kf z$kpL=t9`Yao?QJ<$*<4upB9TbmF@pRy@t$sDPnrk--3*L+7}) z6wF7(XTj81RSA{q#MF@0CWn$EkIX*yy^S7e?B*%mC}N%#L_HQ0Iv>3rw>uCG9Un0x zGuC+{(H}jHWQkd4ii9sAof8l6m}QE@a0~oya@B3~bGdB??CA?dw)>~}LelSiKZDi^ zfM6Me0&baW=w~}_)=3Q^BR_6sh#+YJ~REe`V(PG% zSew%v@zeTtcGhXMlKZ!X|7mG+)e&q-6MGBk@tYK;xhj|HCnZdAH9|G6FHh@*!G)>f zv+!yZs=E_)g>Y|QXPHK^&jyY|PD&-Gr5;$V>m^}*elBOrH)M>V(+=V9x#26 zSASoVy!KxluOV#|wr{~tZ7=vXi;DBzr|Whybj#iE?HL41K)wES$C9pu*1-p=)^h*+ zRJkQfm&egyevEj*xe~)Phn6NS-U6H;W>AU0|8dTFpp<>Ob73Z?3Dt|{~$7cZ^x)j(1@yEpAOPk zA`d88IO_s@02T@-x5$53gUCQ({hgZRoV=VW)xn@dR2%!Mk zzg|ZR65_E>@wvSZNlzJq_h>b>1&(x@p-mes9{hiia6eZU+GZ+@NhD~N6V z*Eq+JG_tHU)g-tI@LzS#xcs!;5qm$dIQZ2tQ*>!-Vc>7KtyD!0HD)8~l`x;rediK{ zDNf6|a{9lj2JPu{@=cU_e?Q@%idh4i$8ELe7=}0L_@SFDv;}lPH>s6EWi$ z2r;bBz6(#yH~sd6%j!iEl|Ij|hYRI#1%%7=AB)NzWAA*!$^3F9LEu$AZZnekJda5A zbL*(XAOuk$%wZ8?k|9LCPqW!hZYQ}?wDwXwU5~Aw4^6aDBU;~CC%^4Bp zQCyc~z)5=3Z(kX;s*1{5UVR!KJieV{-$OpomxjOjG&D&xI5!tf>z&(s>~}oEMc5R3 z?YhT9`TwlYmWYNZj;*lckfWdhgGE8u5&L}}kKIq0^%7m$8d$jdShif=A@`ZtuoaDk z`0R3%o!I8m&|WhWxy5T?PsD@Kn^(tsxxeSdt2Q;d{%X>cUu#_A#cO6%;?!$qMB*BY zc<2*BIoT{9k@D&`$-hif-o|p(vX6AJ{KL89EQd9J-@QAnhH5VT#vo8OtZ;le-TYkp z54-FFWupp~SJO++wg0@ESD?gB@tu)K`J0<$VxLH}CU34puZV{oZ|+gANOjz@`;~(a zMOz42b&#Ft?FDbc#likKKT$d^89su9lQn0yWsg#OLZzMPZa1o~XAAoU^-A(k5E(6Y zlml1)B_^C@$LaRueq;tgISCtq*!BKOaRKiZ_p9tDcnKYC(<{`P@g{Gt-uX) zw_AeyUkdHP(GPh1TbB2s6`R~F_{ZsNo%6+H?W7HX(ZVkjsTDAINfk+XI7_%^KeZfE z>#(C(u;{)dOqPCIwl;kTt=Pyh_$U>K3tda z2`g&B#zqQmlX`|}(AY!T96RDt#q=SBto)R&Vp%8Eu2*5KZZpSVya;JC^wg=`l5^-5 z=P1+S-mzVubL-=l?<3gSOI3$e#9!yu*4*87@13qm)HsK z7MyA3Rr&+9aaYmMzW4x6^cX8X@aLaq;I{O|pzkESxm3I{2PV*$M3K}JF$s+akqyu6 z6R=xB9NZG|8t;SoYuYYxR5tn-uEK;maUyyd4!r`d@FX62=0A&b?KjyU%llDj-ML zXQ-glHJ(&~DDhmIjCi;0QuuM5)qhCv5;$h|$3bLjHJy3@~y|#YZWGhUe z?YEA1Mx2Gkwwsol)%HXcsm6%T%&PI`U)G>Mvv;f$W);c1bHort)#A>_ag0LxzFqC5 zPjJ2TT?z5B6nZKT$x28O z*Ka&JtpbN|_{RRa-uo7|PxlLdx2PMqDo~7jRnh47is4FP{w{Ivw}r|Na0^7HCfW?p z##V2ZC?}% z0RjXM7A&|s3>GxFyE_DTcXxLf+}+)RTNqq|```qJU~jU|KJV^#_u21{d%v%0{nlDl z)oW_HySjQ+b=7Y^k;%pfk8@R!JNRj+Jq@T^3{cfoTiFRhK z#H8fDT3H0Sp#47@K{p-r685=;&on@LP-!G3&rAmgq~zY2?%%+89VL(h&~ z=MuA3%Rnp2O>fz*rjKtOzvK4Mw1-i!TUFV77m!uobHp%`H^fGSd*@K7V4bMe_fRjI zv?&jD6li2^t6^J38R>>9Cz9ue@kw#`{Af^`CGn6mCtp9>>}Y!L8<-^~_zOB&6ABQ@ z?j|cvvQOwH;1=Mm)eGF#=0p_fx%p^W1$u>YDU-`=~Y z%sJ~lZk8_pOX8Y_jv?1kBSZeasJQ^&8aM>dHgo_Tr~yw-+>X8JrdGMj>P$=|Tc&rq z)WO#JL)r7U$=zt+juQ5dv<)!VYr5d~SLi2@ff(?q5a`{Wj1Y)u@0TwKYtO?E1X)%P zTAyWV*@=;4>dpzC1dQzHO9FiP2>S7hc;tVp-Nd~=4t z8T{j4_Pj+vU-s67LDE>i5I9CYzI>!JHo7T z6Djsk63*~3#d@;4MTNDKdyP20<|3p{EwMo#v9b-fRP_mJXSTOY^do%aeD5N= zETsjEB%}lL?f1p{&uCuZC*og|(PYot_ZJA*Pfu>a5zjBY{TObZ4;SJ5J91ucF<Hql?N$?VtXAqt?wNbPHE*XFD5UiYJG_uA1Ji|%qDU$%G8 zx?S3(fcFOSRo*-wblkuZIr+4U%?lH5oNfNV;OM((SLzwa)ZZ5`55BZS zHwk%-Fj`=lO)gkl!!kjEP2{3w9{DndxdQnDqY!o_3%kOty%>MSVc-eW?#tzC)dQ^t zHw|ch?oXrhXOe`H^%X^g349SH=>mS8PY#mx_Y)Yo|MVvnS=}UYO=ZW!y*)>HeL(lx z!SaxwgWp?CSD&ck8t&CW;44UkR2Z&N6|VA+x1MdSvv|3g4VKd7N=Z>mbGr1q$z#|G zF;2x9g?KqtkYKceXHELzeDcKnEoAet@d+wFb%qu|&X61qXrupFLjc8i(m6A%-|YPi zzb?`=<-BH|%6#Bf4FgjM3|5K_>9}+R)VtfAefbZ8iQbTfK@(4&-Crn%IP;Qnp9y2> zTsF#_HoO#pZg-0GbRS^y*%hi#7$;U>sA)fhtk)SJuM9Ns(`!p8=9Qhor>_5K=##69bmpw_@;F&oK!Ba}Upf0fM;eBd&L+4h$sdCp=D_-!{1H;Y%qA%XdAJbLYs zBO0#mgN;C3>*cP!Pw7X(d+eG@dz%m|+BGT1N-15q(ql4i)lYrp9SDp+B`-p#+#fkY z?G)ZaQv$!1{qgLM9wEL;OmP~M(#HVmRP`S*6Fp!AaIwj)!r}QT!Kxj1C4j4U2Bt_J z*%$S)jE#TRvm7krI$1{pIEM)djALV1M$A}8RJJ=U1-b30@sYujOn&2JAu|1dCuWba z`%f-e<;2hBk+Nvm%IV!r^=X{jO1E$o)bEOiU=ImqG4Mgek`joXs2Ca6hH5L(Kc%vA zIV(NCnO#neRu$kKC4I_4Z`huYU2-a_kTzA~7s(Iy9|m&6l9+JZhKvRIrOAH}v=0=? zjxnYkr=5XJe#YQ^>5x6V_Ri*QBPkhSp|aw2`bbuYi-DKHo+qiHPNTwx%9>_DCT6A+ z$)QUvJyaD$Bi2$hU!BZLTHU2e^q^F{?1(*VmMPrmTsn9AX7pY(Dbrf) zsU~=$v*xg7XS?#j{9L}BOd5s)U-}f}J z-#(UogweEXbW@sT7z@O{UF)~(kjcybs}7v6MiOMz zQeiCGu8+%b6G*HT&J*csTpn56p(SCZ`G16u!Okx8o-a6J2mz;TNIftKEO~i51FhX_|?)FH5nZWx=ZI!yUvG4AmHx**3nl#2 zShtgT*j)u8rcyRNjC(X6O!MCpg%M^J;9Z^b>vH`LH2#` zYtHO%CnLq%(U$zhnrrEE9;MBWJZ{D69Q!7!l75)t5a~Y;dPEy2JHLQya(=qCuaF7a zT;{bL8Z4P@;mb3m$hT9vytDH}qS*NY7K2u0wGN_%1gE zcI1F23;3WOo?U+Z4Pa>e7QI*P87_-gCUZ7!;-n75fd+inr9EY)KYnXflA;Z%QF>5v zduw0FdP_~gi zTfmyqp#VsVK-95FUP|KJ1o&V(L{^KgAnZEL%4}a_B=wu0vFVkBpz*C11P{m=!?xnO z64CU_K(=I=Z&Mj(xA@*tfy{x^KbEs~6BVA-C@jQOk~ev{mE{OuPCrU&fX2TN%n0jr z&(|0y422g$)+bbattq7v{N&h}6el>TnBkucQ!ZnAGcP8udc&?PyZf_AULNzdNlCW( zrfE?o=(b5yzVWt5On&#aDfa|igoWgj$rtgntSlngQZ#)N3(Rw0;$*|4Sz>EfI)0@i zUv0cX7wu$Np;Kq(Nh3E2rv$99YTqCYJq*+p2-|J7T|Ln>a^QRl^Z;q(aJs$@R5u&I zQd+wIfxowSm9}h zLd4u1)GTomJi@6GL}-#uui>`b=r`o2>9L8u7Nmy7kpV* zh9loFjx4^0Kz`2@5;$&)QH80yfuj(T{=&<1i^C}ium1IfUQ#LOU^b@rLXD!ht((M0 zZ6iL(?HC=9orUI9+NP|rK6aYla9_gOAhkB^S8ZMOttXu)1*X9Dh}4zSjs|99>1+>g z-}s7bBs%?gR)G?8`&v#lIxD!N6?1VF(3zgDUO;nKKb2zZycCSFrJIb;@>#Cb72@LS zH0uj3BLMe&bChjm(8C4~n)DjC*l8*@`C+9aMrm5)U3ZlBDTiiUT3?4}~POGFq zsuA@2T;bpO^Ha@7&i7$k}+twr5yBrZ*6zxY!n`7 ze)~+IPViw8UV$+ZcXZKWBl{|4y>jT++M~=)4hE|M4N0&Z03GSBclfFFa|NW8AIq*z z7Pv`8lQSGJPZ%x!#j6DuUab1-v3!2xSw#Tzet*68ig04Y78sIcnDG2lDN@eD>GL>p z8xMA|2uL0{RsHhES21bt&1;qN`q$=iGp^EoyP-^4X@l-N{tFQXc`#LRNUqF^c1q~` zmSG(8;(Dx)+iZ%kP3Mt4GrV6GkS5+v zeFYpCA45JjnvIm%wr+5gr@h`>-_GW4TvnvP+{S8lvzNK89Ty9C8>>%GM|i52 z3%tpnu`;9{?PVlq-_5)oqN-GDQxG(sR=RyUF*QgP^A3_r*H(mo(r(Ruzs;SQYA)NL z#`DyTRVJvQbi2G+>H98n{8jJv$-L&zK6XzR**>FI@wZeu9h@u%`RY8)I+vw=dL7&% zy(>2Ad)=n^@66NETPGb`_>?+l!6yYn(tfXQRoCSfm7QvoZEp>?T?Mg2nZ|_9O5i`; zAh+jFI=Mk7v8Hn#`t7t0ZD@EnuhW)<8cnd$M&I%3R8(yi&={IRZn?V~)U_Y!cy_xS zA3{uf%dh&+CvM06K#4>;49{s=;H1#Jq#%cVnrKAUfQqyaFn=x@67O^6-%_Upqr4`p zzVv>ALNQWX@9BUl%lz|^@#bho`L>|FNHg!Lw;4)uTW#H@h-pm*>3yXZ%oRd-C9xGpt3s2L#5@Zz&vCmbN>^~^6x^zfM;W?Xvq^lC!acO ziYN!3?(^ff!_0L*UkZ>W=3ICCteB@oz9H>Z+^denI%@}X{s>=u`S^iioxj;aq)UrJ zuA`zXX=79s{Y?Nx*Dv{~=C3ZWvIuYMaWF{uDzRGxa z+y3D&cL*$WY_-X~l?04}t!;QPw4&aa{q)>IE}s6i+$ zcRc5(0##28KH892-2n6%v&Q-9ZmliPPH1fopTU>2jZ=?jl`aKs+CR4~T`pHQ)Bx&? zva)`A%RV3*eQhs2Su<=+E{@L&D|$(Iyof(#?{ChEOA0e>-BLPEXa)rOmO!tmh!g6G%=cTarG|uzv;04Imky{Lu1ufx;w;4ff;yOvP*Q z;FJpmp7Me5KHJ)O0my%}*JFTbvk!a6xMn!~=#-0dFy*7=9<_}U`WPdPbI!76*fwMz zw2fV?i22Od>l?c1jIj5uu_bs-e6>9I2+g^mmP5PheL8b?I3i|LI`dF?wX>=mlh=nc z`oXAPj2#zc#A*hUXHbMdeOBkyBZw(+qEy~1;8IFinx6cdU0?zJ<4Fe;xSI~~>nrz~ zEx0n?Z7{g&3+2rx7SfAw#8#)aSvh_O#ecgcuQWn1NJ5P4L^z9|L~>2^WlSEaVU{ zK?#O*J-HoSAt1r+SQ6}YD+J24-&;cjbFsm!IjifbO#nzkeRX=YOGWGeGx0~?rlO9l zr=prOqmCA*8X2%t88O+z_LYL-x`o^?A_U$2A_d*uG6mf^WJ!j#h=vP@hL4GcS&x#k z8t_`bxpzPzCcsIAlM8+$?~1J={ZgEjFgq!6WLD(7n$BXt{hI%D`uHuOXi;zNy`u2c zZUByx>{4Xgkrq0aF5OOvl~`Bl5MzuyG;_BH9%hVq6H(tuO1S?=V$jsJM(h#$!6);s zKYY3M=yIvO*48T4`Ih zu_5UQIYcd>4oBu?MO#ZIx^(aLk=a#U9-$Ii2~UhK+ZERM>M@np%^UfNY3&y}GN0*3 zDaYQxIy_I?Xs1A4V^mN2DJP zdNL(TW$}-r)+d%$R5o9!h}KZy!^I#`mh-ekQu1}ZAoNR|U`96ytP{w_QR2#$lw@(I zMp7y(TdV;WEl^V>Pv!?biV#vGfjPJ=NL>tJ?OkZ;cG(k=izXUPlmi7TcsM(ty6>`~ z*_k&TvxfWF*w|W3D`(*Y(kYR6W_lOw&CD9&G>%ab8H$6}mDF}-_2sd@#NOIIroD}% z0CoO=?LA&tJe`vcy+;DiEkAudzW1HKc#*hgT=Q7IHWV9}_zBOpucd|8n2t?XjjQo9 zyaTqtmS)$M#fw3~OIp)c8#Lcuh%FYCZytW6m>_j;Z#~YwY_v9C{r>i>y(dq6jQPvJ zGOq$ukiqHa?<%5o2})CmB4YIfd4`0264^%4`_*EL+|+5+EaS~qrdJ1WK^#ybOIkts zs;E)FI_yC@5z(oy^k(Nva}D*r-@HGx^;`3P&+a!m^gU?*d=^ocPP25ifv*e_NaM=H z{W(fvEvSh9DdE$XMOx9lq4096-i3VUaab! zrN$mV0jVRv{~ZW6ufiSa{F*uiJ)%@`A>k9DM*plDfVwt$90NSa-|9vJL&k*A5nmA zF7=Ys+H~+gDSgb!!;jfAhZ^XR`od;Heh`PcpNb)fg@Nb=c#>YJ3r;6@ij`#jCxoRi zJJd*G?5C3}#{MVJfZ_U8&wD`FX$*4E`Pr_f)L4^mL?JRH6&jg>j7^89Lq}SApn>?r zo9kE=Og$nM?kGB|iroHmgA8~VN)&RE(612ZxFWMqrtlCdvu(*7LN}E>XA$nmgQ6%` z3aJCTC?GpD>(m+l0P>gv&RL{8@t`=>ggj)20!rtY!T;17CvAyLQysGtR)RFm&S?Eskg5I8A4>Lk^f}}6Oq00$NcZFHUvH_aRzsUq z@_(ab^e-47sprrv0pLU&0ZRZKI#6F+XS!2%5V0EznCJc1b0d%gs?U%EbJ%2&X6Nt_ z)_J`QAU244!~t!e8?}J&1KD^z$^U{KN?x2nps~#0Hv2%KnF!|2CAgJ#)hT}GxIPO=6S)>$Toz(x+JSUZ+axns3d&WrFP ztuia8SXL3Ddj_zxaK}>)E2n&tzzl-5FsdV5Nm=bpcbpf(QX^B=xRu#{9ZH_-g$a97 z-?D#0B0Sd*7SBqaQP=8Q)&ZrcG25g$6=U-xP8ix@ai7M( zgpE}F*k+-#Up}ilsB1)$x}{m$%y7Iti_si&^TO&e#HZmyQWuDko>psb1w?~g+w^%M zbUBC{Ij0vh2z)VUKvOi9CvI3@QeFz7YLp#)iG;A$0^MUo$`6Jz+qA49@32dcP=gkv zk=2-3X~^Zi z|8t?@sLk;}NBtZ=F2HI1_QyFsLK6JXMbobMxf9V8IGgbkPb|*(WU)Kys;T70JL)OS zcGR5m%mO-;0Z=aW6t6od7q>Ro3qq%0|G}TsU%TO2GYq;fI^I?nlg)3P^Nly+wBB<) zk*LT0y(FKIO9*q(xvixF1_Zv?FPp=mK1Ki7{>@~+oC{%-{)=rWFWuVs;WKJlH-sKk zos4V!YjA5r5cynaa7#}d`MlhLPsmJ!VQ8H+hLR{mR~cWL52;hA1b8lpbuKCc;Ox`p zBIGp&)w3N~-aDU01H^})8#uHc+W1}M+O=Ob47#9%YVeXHnQ!f#CBq^5Y*kQ?l z_8~O-AM__^pODTFRF84+Ptc*egr_Wl(1WiskSkF3TZUuxAi?79PV9hz8+KX(A$+Hp zQ5_uxe5bxq-NeB=oV31HX`8H1vfBbGlUdQTC1Ljxy2I zYepr6m|wNVWr`WeiSCL(C)bxZ2lx!Hn?(G$N3gcSE-rNS#~;LCW-BIFDfcGZQx zgbx^aQNiD%8`<}g!QYD+d9U95>EfCUuM9!m-9(v4eqyp!&;dK+zTwliwIQD{FQ3!W z^;qOD7ururOw8ut6h)4U^}N!i{J;TWoqv+q-WbF>50Wv&q(b@-zu~pTo=&qyUjh^i z?M%WPWd_5s{saUdJIRp@0RIOOq2uM|nO0e&RK`%+0BQB3^73(dzkolDc{1sEJw^c` z-CwY6FsAqkfV2?*3W@l_@ztMz6*JH+;!>o1TgN||osIMnNP}~}7y9=ZF@^$Z9PF6` z@E8A}HtFvD-c9d^F+}nvhpBz3e~s;tD^g`ASnxKDYq<23gIw@^+SLY zAA(ctdqY(1_in=0%K3hOuyB}_H5g*R4rhe`?krmUthT4sPU@hIB^OIDJ?x2qkr5%pnYcG}h=chEWDcop;Q@1#a(&CU3Cf^6RWozW8=(aj|4vzmf^k zL=H_AOG#av?OcEFgy9>cDa(Zk1H%LieDT=&EkVRBUi{PWqaX;{3(A#%F^C_EWf>Oj ze*o94Lqh+<^sCUjJt7^{EZMEPUvU2pItlI2dA*uRG;8lN`ah@9tgUDC%LUS$YNhw1 zM$nuNr#n`glxakq=)i!r{*_AFGv*zQp$XO&RMx$1J+&j>5f2)?3H>{4fqpOyWe3o# z;idQg8_1spah0%z6wkyr8(1*^uiMS=|0Brb`ECWGr0Jmt(`_mxe)t+iqa#vc->x-k;YW1QtycEYPD_1ftvN+X}K)Dzho4l=JSylF{Hzg zrboAXlTeelTGJB|iW?VSo{n zh=I@PG=!M(P9q62-dRNhTN0t?2W$_vg9cpRkYgRfFIR&?e%N&k6c{79;g;BQ?JjX_ z`A%S+w?b}%@X9`w;;RQu8AG3V)JuE$XghRw4bl>&&Pd_9I8&r24ahZOI(}AmE)y?~ zzz0i$G=(4Dha-X9qGePUhxn*>ngtW)qqX&nze6M*ZH~Qh8iG4T3%^8LVTeM6LU)lS zU0ZxjZOwc{;ZCGl4u8HTvyD1}^%p>s$>#nWwWr~i$kWmc=s~&+Hsr&RRPb~;T*RHo zYTl;WUMnaUiW;337v189XC%k3gK3{8`Y(e zz4I ziA(=JCue7vHAHL>tA~^qyNad<$tse@8+#K?`Ib1KjEvzB%RWL#$Pr&O_jBEi zo^@QmS=vVbnd0)FjDDX`nzgy~e%lZl>uOQM4^;4-*hY2Qr2h>c*$1}*LUETsYi%*c z9#tOaM;d$H;@+yvIue&C^`048b7`s>o;pO}%i23<+>gpAXe%Plvbm#lGuOA?UBDW! zrV+Z|nqN-X+zXXY@~|PkOYQl?F0)R~w(>O8l!!Hz+n=pwV zu4E8Dj7!Q=`*?w+&o7CvnaNXgMXA1f#oq`EQb=WL-vzb9Ing-I9Kf{lhx+|=|4+op9^0gu>&sUMBHx+T zZKPB0j33v`ST2^eX{*E+AOFn$iLMI0&V97Cet&s#Qb2$_5(n8+R4Y#zMYXSW;5UA+ zwynZCFYLJfkO}+fsi|=S{jXq?BdVgTRcthj9yolICVb}mLyo#d$V5LCIh(6i;o& zWDOA#4U>Uh$V4h55`nGo@)LBq_*k~cQK+?qY^lpu<}#VL$Px74f)7vbCehbq=hm7v zw!-}$m%W}4$B$*HUV_bQuoe463JmxDmhEg6`=Gp3-XN`WWQb7q{|d(fIF@mO>ufEy z#H&0$^xJ>r2-KG{W;!;wJ5U9LPk8dQmY^3pP|Frw1hYJ-{W`m59=v%_JaB<^+qJpi zRr+qIy5k1n56pB;P>ufvS>NH8eu;iy&iW2rfK#m)wOA%*HDADCIfLK)Jw~hP8^f_; zl;?Z_QFEF2zq6>#Wvh~NCibv(96vlEPD?B3k`6fIf%pGM@5Bl*+mu?#?LRWF zoAkFp%^5*vumvzvz@M*|jnJ_$mkbW!cI0|88dvy6m%B2TtW2cWg{YWQyg|__zGoIc zgeC`@?gifDFQD%Ar^ib-So-HX?)ai(PQ|33lb4&~%=^$wO0Tg-E*Jt->o%YfYV$i#$c%!1!2`zzXYuGAkMw`Gq39-%)LZ1 z&s$etL6Ip6rzq!{3(Z?25i^8~{vyfelHf=AN09@Zkm<(l6ZA6JqhXXW0xEKO$fY!n zGR9UYa+QLaSeqyVT_JMie;m)3#~?}&Zq|oWmgCD(R;rJDeDo>E^UqM;zlgsSCRV;o ze;-}A9iMUOFW!L9RM4j~`WXTChupAdTiro!Y>XV(spm~sC<+&w z3VZ^y>3qE4JRu$jIa-9oHxxushD1dX7{w@Rl|}7(;%=D3c9(4$NkWgB^@;E%Ixxzv+MhINO8$YKJzIQX>y~AlGr1Dma_xV&PFM z`vh#W@FKUsncJ{z+iM0$2F%sSdSQ5I>s#Rp;nI)HCVmUJuT%FAonh z7I{lQv|tHPtfjZ`aefw3m6rAph7zYaysLvZVEDn~zpqGP>;cNG=QE#NcGW91Ew9?M z_#&_Eu`)KNms7KU^ev|Xe7;vcO93EpsBxCBsp6yZqXMOzk2ys;nP%+;4YfDOULEY{ zEW{1JgV=9Xy8K2_6096e1zNbK(ZT{B zKeD%kJ5dnhD-J>`5%cBmp}u|mTNTJ|G)s47$KpZ3-0HW5`9gmy=1&6R+Uz*|7Q3l0 z5B|6Ux_*5YK*3zP7WA?Jja+*FSsaQQ7an;MFV{kIfE=Wa}gJD&g`>`6g4ua`>kMWb-Q-kn;QlE6*B*HQYj!s zd&}X$rJGpp^@|bo8+!c@BrYx*adxf_ZN=ZuOWZ`>cdqUkwoY%0q@1+sd|%|C#o7m+ z^!dWRtRsj-dh_ZL$8A5qTrEx9`aTaIGw$4pWSUfwl$IL2435VTZ)_fS=mcaHF*w`^_ z)G=Wl)(N)dMZSo0;C|_tTpg0$IrDftdD5m)5PCO3U0qUSb*fFWO1rA^3#dk?xpEnD z*;T8w0|1a-cG)TKc<@kNb}^~!00PJ_7l5=GzY&4fbcduLyo5i3w@3EGK?Aq1fB527 z+Jb_7n7k_w3j^eAFLWNZnl2rRNG|WTGz9M25MDI!22(9iAafkKMpsA(g2L-uB8_QK zlLZT*KMN?cQ~#x+|DY<=0om|(4d)+osAxo&QiAEAl?z@XKU(%EeJ}oIs(t9}VRW%U zR5Ekt2z)+%dIZ2<=+e0Peg;jM+i!(1(N3VGUEFVj4I2ivkD_AlTU*E0`Kbsf$avo* zy0VR1nO;=(81E&p<7DR7HW{ZB$nS?V_V!;MT@h?P+)q*Hg-#sZ5}wSPdmkqcyvVsR zcBlbha0J$ACZ00n+eo^MQxRy>ZPQ$mqyx}9nDG@DH>%JERSvxgW9N#CfYvhTkbx)S zw{+Zvu<{FP6Z80P7qLnV{G5RV&ZAG$4_B`*ze%OumDMX)<%5K~Ll$8&SH=k@3fD?3 zZZ5T29(abB{6d=Ba4XQSUfkPdoerpUEw)0p%ib!N<%lO{gXEV^c5jN%JAgEC&=`$( z;Sri%gM(H4Mn;Qr$6rzI#Wg3#^lHNNcYO-z`83*=^K-XH?1?W%c=0TLRRv^WH|jx^ z{6Y>SKyJl7XI?XQkAaNKwc>6V;CjI`JiLO&dFUlRFratodjHhD8O=P3gDj zcQHzUB!T~wtR-PUspMM_fEXbs3v{5PDMm0DrzeA0zKZ^9`6tp}c?Fcx;Dc}t^3Myp5?_1u&x3)*&(#ocK6JCu)Rx+^yI7VK(|Th(t@EB~CSzT8## zda3sQRa-d~H@DBO=vdg)G;ykPH_!}!f!-Z0!Ht89{*qkOyk7&`_l2(X$AHWIaqSvG3MJ7o8(4Z01pfjk#a(sZC1p0ohzg7&Z z|5_0uefCVdp$$0oAdB}=hHJQw6uh&;{LQBq_LcoNF@D?ktuS~!j^Dbl<|um}GH|i@ z?hs!{&OzyBpB-|d@@K@c^4Q?h>#4~(rc-qs%bsSu4rE|Waqd%Zj{N zN-%bbEPl5Lp$hy08`l~~YiQclu(J=&^mCH?!YGW<151801|ub_czd&mI%_uGm6 zE5X;TtnM7Uv&-MssY9pBw+3;q2B#miH? zNg>gQ1Cjz!Cp5(ZO|H0;-4UjZk>pQkL`(DKzH1}pB9l&!5!8D8Mtvnvx!@D$)!(S` zn#j=6mcjNOQRw{E@P?9Sxq8&`s^ND|Oj!7IgB#*c?VlpYDTKE>g`4brS$4;w@Z|M= zx?dR$Cw*ee=}N!c8;Yi4GUN03wD^< zCLBFTJywIJY@SK`_Y6@IL(WXeQ8eT{Sp*jSRXfuBRXZTfxEwS?ha8q(TLy_n54wYj z&|aK#LTE&#qromnGZ?!W1&}f^gYJnHkWyb*a){{$=YKsA=VbmDf{-Kz{}5x=cBi|a z4Gmf`@#uNYT&_gLF6lXU-8C%w=iGK3YEDH#fE}Nip~NQ}X@=q89Z5*~6YkeRD|sZA z6;9vqv~Db;CjQ5KBh#0&$4vOuxyRq$ITnf@e92z(kz`H*b4mfbN&#ubFkv44sqYR9 zxqeuT+x8I!e1_46JGktIa@xx5Biu5xeqTrU-HiTy5#B_f;;^HCRy`qtIsN;eV#Uyu zuCd+SJuw4EU_myedWwh^48j4mxRKQiSN*kdAGkO!&&!p^se#Ln^>O}}Yz!j$t8%9w zXwru|4 zC?GBZ{=9v`xtl`3Ccp9^%3XRIwQ1AaVD!+Unc&|jK}yR+U-Rf9-XoHhP1C-Bb93K7 z^-Es;j&uj)YUhp<7?Guuo15zTVEStnm>NZx7S;7rS)P_PgT0qgPQ(MBSHz^sxKf+u{? z8l~_!kxnXi5BJjScGtGdB1h`OWs+ro^H#T8!({#RW9^tvPKm(ViK}ytsMqp2*McqaHeX|fFwkWjW8%cg>W(zIaNEgo<1PjRMHf=DX2gxD8N-A z*ot`?H8Pds8%uMaATPB5mqz_jWPR(v?URptp>9$=-Fxqcs14Jn7tc3Mz2E7Ltxc;; z#a}I+b}t=@V?g*Y3$)Qb!;x|cEcP}tW32Eh%FZj%&S|tqB`A=-*4ui z$!HF6_5|9Eq|wBgxaAnyVRTq|s_IsWwKb<6`N5X_Wgl<+@&y0V<0;<$i`Gje%DQ!?x4(f zkrh743|{0wy#4deA zU`|NF;EiyC5p5nf3&e3M0f+A9y>YNVh{(flH^gN~Hf@XcctEH9jcdML|YRT}8HgFOLV)H)&2M6N+7_e89pflVk zv>f%fug)bW_T|g9Y7WB0GQ}OpCJWnYbO!$T632oaKOZorc4jax8k}$TPR*6ugYUOX z;5aAizvoQFu?aPiG@rjKcVUvK_7bvO|DbfD7q4|6INx1JE{nz>vCa#pnH29(5LhKS z>aHQQNN&PcMPh})O4LFg6NYUfD?B`a)IgcvLP@%7_zsfuvJR3HGLKOgzq8F1I?C!( z-G2c@8q*xaYj}cUbS3^VbPn6L>;shs{0z(oiu`nGo~~Hq5t*K-y@Y%Mc(s!RaavAk zl0`(FFhZ|HG9>L3><~rl7Fs%_B3f%zH7u#e(+b*3RaLdhiYhf#&FYEin-*Q z&#};S4bKJOWNA?yoTa~iFImJuX=I-h-rlA_7H8KA+xs=>fCTWQ5HEvmIG3^U%0cLI zSw3^odaWOKonQnt6Tkb63Ru610CcT+m`vIU;4Vb@h~FA*Ds}^mRP17L7qY&5yFCd1 zKNepO5MUg8Xkh?_*a5)nNI_7f7zuciES}8@eH5=6VGpPdmrTA-7bAWvwX4`Y>#kDE zPPFjvqGxeEpZaZ5?F}Tc8G)kLhb12bpP3n;`6)*L|Xspi0)N{^=@FPO3oex%|YHe7d zMY1RVTABVwasMWPThBI@ZX!8ho$RX$hxDnVb?p%=-zZT&JMat(48$vO_3A?egp*>x z6p-$Djet6nA^1la`$3VHO@r4A*ThC4lIrjJWYPs`7bt>K{A+0N%KyG4F;moK?@tIe zl@Ow&K;Fx$@-faxAY&CMGbo}CK5l43pCx(}DF<3$S)$kBG$q;Bj5VN?z`yXqAfG<> zx2Nv{bDE69IgvItHubo}Zza(;tQ=KCJxjqy&kleb*o7w*uyVCLhX93=ZYg-;iEWFl zS>->+HxZQGxYh3xTl_6+>h_Jv_Zyn;@V8#qRXNvwhnIh0p;8K9Mg zYb$UzTOtHq)zL>$8KBs6Bn4|L64?^{_1+i=wIG%IP>Kt!JJ(n8n9)v#4!9eH@ zQAY`p!E}_w)L5`!i9wpmDpB_YLbA|nLSabk54k4Xfkc>4Cu}mMFN5t!=#t1gIw5uB zqgOz329PR(bO#2QHkiUD%1QNW!zYMui>mjKy@H7+<~T~O@K3?qsJ=4>#E&;`2XTSr zK3h(f^=PqG)GkIJS8^2o`5(nAb*tpsL*!ZfB9_q#9aVmyNMK;YwcXUx*ub5O7X2@+ zj_NttY*4Ig5z4Qr>jkoQA;d8`?;`ceAujh%)Azerfw4}06o+?txv={Lvi|O!b3ZOf z{kmiJ)5^a+22?5fl2|1ZkK*sYZ}<=59<&sYZq6$CD8UUA3|TE#{+VwlPXF>#7R`@H zQ9-9<$}PfT#I|g82eM~}Ha$6~BCOtdi3{CMKB|LTwJx-;Nr%@xj|25rh&Itl3<%Q4 zNUY1Gb>dt20sqwj*z!fqoEQgB_d?v#2N3FXs9ylMVVWV_5@Yw1Qqzz=(Ugv=rs!YM zB7;mNs47lcB(v%bY(OeYRTXTmf~hdYR$!>~x76JK7%Ht<&8JyiS4CE3ifzbHiJge; z^M3tIb4YxawB||rnUfdFP*PXucftX}an3t|Swf-p#vkIDU&_329weM9x>|5<5)Ohb zt%QgzR@uh||H#9E3DQD9wj^aP3;B_UE-cuL22&A&roa&FZ%GQL@*&@? zL*~LIgUBrd;e-*>&?bTZnDs;}jTFNrBlsk?u4M6;ae#!roNhGloD~_u=e6L#H#akv zRfh=KFd$o6A*L)XVodW{eWdoVB(Dy(!gH3;Lheu0(n7YhU@0pe%1gxw`WHd1tSePb z&}9>;>|7gq!G|ud!|t8ReKqJvJA0{>XRVd2>Pam)hE-wn*vcnL!h`{FiStK}sJ65{ z6T&>UiGucrpkG>%n>1!tG(W5v-lbO;Lp3&IZ4voj1+63gWT5@ARsaJ5!FjSr#4ET0 zoS8rkol5t@I5oC57!BAu9D~o0v6yP;7HHgxO;iKnjdGCi1|rs#Twc5zOh^|d^;5;2bF?`0!+d?MWW$AiPhwUdXibreKUH5+}P7JB@P_XJ84OR4+S`ie})6g#F9tr zAzi^@XL^W-{Ht5;U)$|Z5Zhx@>r`mX629jG5WoJF)BRuHd2XjX#N+Z1XFAP0ZmAC?l4X2MX@t6;a7S^4$#nE%R3`Hj4>4R@ri{U=VKg!nmTF zDuGLcXrXQYt;V>r9{+Ku2Q$oV*+d?Z3*I~neh|+$V}+6L$j7)bLZ1s+!kuLi9bj8V zp{O}*g-oDk0aR#s{>~soQFxMUCzu3DX)vMC5fBsd^C6;QR1stzk7dH&bcRF_;IC~+ zfo2IFFr-eBU?8M^|B`tW02UjKyFL@Oc*1fbKDy=L@+=CMUKn}jAT zamxUiYNc7|n5o)U(r0vwpYj=X-84Q8O z_ykWqWsoy6VAG?i^OY=G06yW~R<;^t6F*}2=+MWCT>9t?pcm`+jC4^fsdh_Q#GYE) zxVvAGfsnSpJ~Sh6eM)2Ok(yG^M=+sb>ok0I%J~0~_LgCFG)ud1aCb;>cXxsYXJNtJ z-61$ZgF7tTeL-+{mteu&AwUQOLa^YHGvwL(eYZU4d_TVHs;RoGX1Z5Twal8fG3(Vj ze(uUy1p0KUSmyOg8X^d(b1q%+{dtE6TyGezOk@syUvD@q8SPxl1qy7Tf{a!s zop7VRe5dPrZy&!+^4u?K40Mo^4v>WDq4MDyrbzdm!gW6Vf;J5BhN^kf^# z&b_iy!1wwT5-9Tz0lY4Xi^i%{0?VD^;2Vpej=>~6rqiL{5KCUTR;mHtKG+Lj7fi%o z!8dmRZ<6PT=>~JdV?m+%!O%Gf2G_D*qoTM@{f9Vpif_q7xWj*+NzcLEjnM+^M&ao_ z;~g2^5||@%N8uBPL+bPfeJLRf#y`tDE(h8468GugZ0L zbQd5bG~OIPNVziy+Y0K?m+W*Wd^MViU1Cp^?H*T~Yv`!F_+BsTH+JMVV0gY^m1XdN zzmri^TU84zCj8q%c`ATl{=x|U@*(Y?>UxDnu<|W&Q>t|wt30<%Ax&n{BK8E9W8@)E zR8@m?-Q^;EvsWzTUu`f}6^%#paFZe#bmvg@t}1^fyZUB^9X(57t$oQLfwI14G*`ZE zww+A2ol>?P^CY63v$S@!YplRl$>ME$<3KzTO1~Q6=8DiD^qWB%(-Px6Z#QMb8ey9_Ycg+`d{&EOI=T)6!gi5Y!nx z-zRkG!O_3tEL7_6m_>kc+Rv+{NvJSL3ploGdtfpd+cSEl$NHOg(}hfH`RPVy;K=<6 zG9$Seuqm!d@f9cc`kF!g+|MKToyfslomdaNEpzhj&V`L{fP%q^(2}!wZra+><-_@r zvzG|nrJ;*CU&8SH@%`SV-|JKP@+2v2F=4vq(6@9QtvNMwUFRXHz5M+z-^JC!WmDNh z?IY^_Q>}auqf_#E-=6xB=zV+2N5S{)d0ZU6y7pkS{a68~h4&vPecVMBSlXw)XP=AQ z{;+y-Je|MFUt3{kbvg-f&l{iGAH|tq3%&nqVB0rq3(rDqwY1%pvq$X%3D%mpcN+!{+gSPxgsR)vh#tgxkc${ zd=4=|iAqu=7L!H6KU2I>wOZO)t7yG~;{y{xB<5-gi>$=zX7wUtjb@Kl#a6|_$-?2a z?WIrCU6*bohV)MG44Y@nj&w*VFBkRNIM|*`qJ9E(^uLtOr+H232zxGy+0Tdx0BYnE zhooRpR>ff2ScHI>s3hgG?dWMH!HdcMA{6JhAtU=ZlzB=Ki>>cPBy@L&J`>Cm@@WF6 zTkBlH8i!(2xFB8W%`Uts!PaagIouSgyCK$*M^J&7EY76bQF5L{sC$wJs0oCq&G5_c z#(EzZ0-7Jq8y3OmVz3Nkl3-hCv2rBX@3ibCnZ4<@&}QXGF&VVAx!mTNy_vIMo1htJ zvDLkrH!IrQ5L+>DIh@#MSDb&K`B>Bv*wJ)sYBRwP3}TLzESQi?Q7|^O*id#XfE@xk z%qACK;&XkocL-Ei=kBu(B)|MX0>&~sgt}KE#pj0P%7VRV#pEtv8S1bgpQOQyLS}OI zF=d(@CAx#@HYs&hK?)Ty)JU9FoL359o0KN2A%%)cVJ_fo*ZgZd9~QHrGHv0L%3LH> zYzmNU2ssT_P_iWAvmzhDvA{SKbEzxLWvOfN>pAocmsp90^b~A{rp~hw&rM@Jj)--;-S?T#Z)ABcv|EbSxAz$Hm5jU=oB%UeZfSQ>Yjr zuMkMCA}lG(`_^KUY3~eH?R+W6)CqjO$|$M%BBlv-6q%h`V-l>+FQK|ODr*fY7ivR9 zlTD%fNC8{^kUuQEt4Yp_IH(Am47=urU zjfII7H#gg5FTz||e*KePl9xA5ppamw6F>3WAefsi4Dz0XdLo*_#t>EZ!W1OGp8iTA z-_y3mlCcl$VON5UYV!wbb~HOTqXkMWYh0ZW z?FVai5P9DXhP2Z_1wjsLd2%51Z zRU<{7!5Y_SNuwa?!$&a#$*>RgtNxUf5D4OUIRuU~jtNy!recX1AP!zWP?Fcz!>7pi zvF#}#n_^%Jwgx0w!wcjYe?_9#6st8hFm#glJZ4`|ZF($Gvnh_3Vgk7!aD3LGT#(1r ze7s4pW-F=eG9&72)QaxhCuyQeDE#(j7;Ow-E)VaEHE4ST_Ogx=w239~Jc)#=C#viQ z2Q}UxSRGeFRR#6f!k`UXt~-X|mb2vRSb8Fx*|VaMG0B?H=_oR$JH8uVr* z1Nvg&b#j*DZt3~v!2~S$gRVXG;F%y5Au(wb?_}cbdr4pV%%`u2$kG8akpo34BX2gxLh#)9giG1W2#cl>t z;<>|$7DJJV0s)#?iIL8$Nqj~t?+Ewp7={gYOK;V8OHz_ASw33Za}uM;M$$FRfRnvA z3DIO}sFP;E>f)UEXnXX(UZXF)E~kf_3SuQ_oX~S7m^?8q=ZK%jVgoOqT)_A4vqdo} z=`ce;Qdob)f-_q2A%0@H8K^mrqD&wRa)|$#hfbwlo*R@ZD-KZrt*SN?6A(&%PQ~;g zW6%?a*dry>(@1(kv*?MtwXoM)fILyC^uqoDqo;#;ARU7X9?=!K*(ypi*lav$Kr39q zFHAx!DX*^-jx>YKXQs{l4z0|DC8M=3`A9+9k$q1fDT8QyoGOSDwIqyZXuftRop4d~ z^{e~Du=(1TK1pHfzvRJ$-w{=*NW^R*R3p}Xu&?wrir@sNIV>A7!;pZi4+-oymPRot zWly)4R?QDHzfZd3ruM1|VsB~glgtORWq5&Kxf2O^;Uxr<1_-3#ou{}CEwII5`3N^YzQ zf>OgPSu6M~%Z9_6(#>xy=Vs&FYYd|QtfR`PyTV}gv8lT;lDkoksd#>dT5E-&W^Yw> z){Q`wvJge1%S&fOd??fX_x2hSOrtD(*e}>LN6VNAh1j`Vi(mq?4qF@yC5=bMs@4n_ z7iuK@^60uycE@zPSQ0p&Xn+2}emUC4UM31&ISW#AJL&Xx93C5r{7M2_FTmWU}<4l@&4ZiflE!{wV5FJEyMmX|2!THWj~ zjw!90gE|`ZEyJc1`z$%rN2F>a;Qr!;6#Y`R-PC2JbzKw2|d*u0n0 zwW|A`M|t~@pK6J0X~y%T&c81`N|8X#Figq@z5=R3Y_P(HXTn$x+F5u)T`D$fy(^_8 zX?LbYqD$|Vqg(w}YA9K0&kl=rcO^ZP?IhK*eJ{o4R7;By>ElWbWAU%SYw`41igcRc zPvACH=_}5HWK4_nvv0*I!)*<$#dqS_!mlv*bNY@odZ5*8^W}4|g0sr1i7yK%_Ez)N zgAEQ$KPx4krdUNcS5e@_VM6S%D&I=9V;9ibg5K)~HDW3*+4q@$5)cTR#|fN+Eg%>5iJ}Y+GrVDuXD~%D_filBRk5fA@{xtSE)j^Ih+w=WGUkYNl0b?9?=G^@Sbu$ zme4`R_j3nls?*CobW9wVuqQM2IeS-!i2alX=q%|KxcuRA4i*P7o_L;_6AcW)f^0&J znVZ4%=P8g|)1?$N#M!|=XiQWTbsX5?T#G#xi3J%Yvx?qcVi%g?Vuv9+B67MidKH|X z!LBeKB*Z%AZe9S>k$!oSb_3n^Q%51!TdNyJO7gp20nQsce%^eAJmCd0XZ!UbNDPyy75IdR(T2LS@ zoMhA9n@2f(D4A@zWwETsyi6|}P$k=uC_6yud}N#cRy+Ejp==4a^%J zI_<~xZfh3b{rfJi$6|k`NiDH4<>$@ge>A8a`R*(HP>9U-%C<+f^j5Aw7VC^g#=oE@ z*``~$P(v;SHKi#JlYmGkyv^McKdQl9 z^(~&hVhZ&zV)zAY^|fWh`YR<$?KdFsOjJ7?^beSjOVX{{rnO??c7OmzDky^@W1-!Y z&QbiSD1K5bxSq_f?&Jm;uC!Ty(U|zlvKXnbhdKdQn7ZMoq=*2r#=a;#^EHdG0pSP)HBNsD&@Q~mJS}I;-1y%XGWsM}H8Z$tXdyQ8E1%=5B(ZlQQ_ zp}6_WyY}|^f2Gy-`G2L7KKJq*^UpD>`(u`6y&h$~j%6>b=4|1_rK@#=xRe*Lvj@vl zzbI%o!CK9g)4ZnAV(HWAvPGIi)s%ndQKxIFQo>E8PhUr`mYK(zd{B9ARDHcm<{G)6 z8ff`v2g#xqNCk8cDN%$Yi(C(9u(br6xF)^BRR24(le5QnFrK;7gN8_u5>(@|7vN0h z7u<9x%$l=$d4&CU^Fv+f{rSjaR4rpJ$-8y9T^ibPF8UtwHP=_#{%{>Kygc<)z^LL6 zyRYrij<)7aIUR&LX^sY58dbAyh5atX2lX0yMQxIyd&>)E!JaTLCxef2w6b0zpGN5y zzo#*n)vvx_mT7(nuGED>2fVZ>54W7Ngv>0PXnJ59h-trw%&d_ZwBa2{Bc@Voz*bvY zDdo;afXd$hSX7eaD_&_QQu8+hitMbh4qGj?EMfT(Tq?C}pmz5n!XwoLE9p zPrDQ^uWk3mi&B5pC!TPqs!OlBvDPb6cHS|%(%0LAE;YU>L)u3OqSVvmBoYpT?C4buEYPJ= zi}j3F(45_$H!U=g{5reJAN7b!I#R_`&TvrynTvs085C#q@;7|k(4d5^U4huYg zF@Kbv_xYEJ0zKqCwe4)TrWC<0PdvcJ?hZLmMs3oCMCx)lAbI+Zw=gy-+|%l^Z0Zh= zEZCM2;q^0w!o>Jph+YxIP#JZ@iILV{9&vK; zj(&bzbXsU;UFN=SV2~U(MY=6x{C= zj?8GVQsfz7p5MYz&=_H=p8r!M6zv9$ue6+-#xy4^38Voxcg4TU}!h~;tZo;sBGShl{@?QucMt(>dvq5s$b_xNW!%|+tl~M z;>bOpUP~wIp)Jiv0B$+t@>TCI&bAHVyKPNYi#^>T4>z^>1m?UR!Sl;}JkM_5J397- z7Kujo60zI6Wu^sBnL_`K&|UCdWV~ah5;*@>d=Ldad{VP-f?pHAyL-3m`#LAw^lXy> zoQ9tReY=OKZu}(I)ps6^$C;`{mXpQy5?RMl`e$bIf;bfNw?nwpMo}p9u3pPc z%v_Z9pGi|a0omb3E&K;l%oBN2ABkGewuM4E-WoJ5!+yopp2*UF7ubn}c<~+w^BX^h z>NbBJaB!$?-^1l5p>PF=#Ip5}hVLi2yx7wqo2zx>S8RART^H`^oPF0aJG-B8dHRI! z)ZdUY{=ME@V{oTOjhyXC5H8jGRp`Jaw0u{^a{gCbYsjat;cYjoLk&I;uc}&C?=MO+`K(iw7|B&)U;-|$9x2K zp;$_I!Z~kPKav*Ce!+=4>E&k&-s$V!^JKhDcGXbm_ri@+Pw!8VyCDr!YFjwn;VoIW z^>QZqtL%;iW!qxzsZ(_djXq~tOLaz_%dd9S|tT__jlH>Zix4AUgz~YsR9!^cA)}1$2Bm)jOpE!V ziILZrRmrh#);jPm+T`swz4VI<_)Q5n1QkOScKR9-d^fa)n(Z!k(z#ZJBhH+$Utpvf z#tVFX7}IxX{es5ALluE^!KbN4@n^PQMnB-|2cPcP#`p1%!!P|&JaoprI3q?;!kSTg1EKkOAWqtyCd1wsZOx@7x-c8s19=nQt7(XY?(Exft)W1cIsmXUSD3xWVPn*vbVZ8^B{0mXFiy)RM!Z^FwHaW zSqsO7MMPnB%kXOrwuQ*>A4W91jCU!=+LuYEY)iZCcW#a4)>{B8P;f$2BcPHPK7H6r zbFxyXzJPr`n0ttX%v?6;jcB7d}6?j`-g?7wO;F!U-r2&TpDpdE~UFHkkXx#rc`ib zZCuJB$-MRQR_`t-aN$8s;gct{-Br`eTfLp%EVk~NE2{67JLD%0pJQVlNM|~yue2~^ z`?JG_2}_GVF|eLl;<>hXj3ld}1w@!4-|W4-S^Ez{zga_{uYuW>Mi`2HnQSN4hThl$ zbF6WX4Gq7#7I-yVpEKk=ZMga#aXiNx`gqurURU6(RQgAefPa9Wj~|iJb(zqR9>Vp{ zq*n?9Cl*0%1%>l3BF5qcKgA)+e?EB|f)p&>8Fhu;?Qt3#>_pyTKlr%Ctq`bx%%r;&qI1F=g zwW#&tK=m^4#X%IkTRC;)o>x!oz@yyS(~ikS72C@W^}6e`CzsY7f5W%C7^@V{_lu)6 z#f7!3^fX4eLbLGcvhyxng^aENJ{?=F=EVg2J<_^Og2DkCaVeRPH?nBk?K!MdpVhv< zV-&lmYx2V_4%?OABOQ28jGjhBv0O-JMSD*fD@&M=XR#CC_MKG$CSRH`_@Kh8zYz1b zxaj7r1sbX1C1HYA=mKW!$Xy7#GG)66#lc)eH~Ds`JUS-8ASK zcl3m0>0deSIlD4Z$kdR1Zpss4>;S?9&p0DnjR;>&P@s*Z2$Q)OPvJ?W9@crw^O>zZ zjfhUyhx%$!w;TymeX6_3_DPB{HA;$(BCHKIz*a8(m+hnw%g@SS|KKYgWggzFB4NT5 zGVl@J1Hp@f0$z;lH-+JL@$pAq?*)~%&xTD1-*ju&KHBy@>_F?Cy0j}<*m(MUCk=kD zRYN!#Fq`k>0S}>Ea<28{fpKY+Maoc}VdXZL%jgqs-^7kGRF^18Zqss$q%rr)AkpfV z3zcrfnB~P)JtmwXmATHV#m-MKTpQgMLcJDrm=f9yH@V}>j^7^}zWr5|bT|&zqeSu8 z#9U@dtbXoHHZ*qZUJu=JdhFle8MjdLYLZVY0R~P$?aQz8CtpFKk7NBcd~V}7@_1S5 zW?)L1l&FnegXA`uyW@wjJXN>wfp@q5Wd5Y^cM~ACf%N)iuYeBXshWY?+pk-2Y^gbS z+xPt3zx3!Nm=7>s8{cPcO;0L!{Hnz-OIUPtzt2$RPJhwEh5~ydrAyxHv| z=njn3^21JWacuL{o~?@YY!8QM8LV!^A@MU!hGdgjuA5_p;T$K-;X5QSc@OM*d%u5t zO;(&pb`jUzfx1aYd3^9nP3~@c_-1L%#H(xlt9gUD3$!K|Q=JqWcT^YyJ>jn+3EJtC zR~e#jh|of;ybeB=1)g$8`acmOPMy-1X}I;@;JpnUNud0i$}=0i&0=|pMm;>(YHFN6 zDgN!sdpS1J1tw$d=$2UG@XBN$HE{lT0GGaUpP5Z zoHN`$zvjfd+k?8raD~DuEe!G5BU+nzy@~U(m@3s;7%SxAw$x>bc5#d(^ z;qs=UF~h~XKg&}F>05lf-0e*gsc3TYcHiACM3NkQM=}{KDSOwg&F5dt*Nyy4PYsLR z$V>mEXwMY<&sr3ZJDWhX)8t1g-Q3;{jvU#|Jv!+Hewm7?|+ z89zTLc#4(?PREg052H7lA*|NH94`lY{16rN$S2Xe?K-=upo1B$rF|kE7d`B+-B1+e zMIlXd8ejUTyc~@M@H%T zK`GCz5|fA9?A*?exDT01H=K8)Xc>WF;y$prA&mK$rm4y!SAna$s-aM>>Iac1#5CYjfT&PYT&vkv4N-tPx!PjPdrA?h-88%uzX+EcLWo z=x93J(7kl5@@~=W(yQ34(l}Wx|6|4mW|KI{?yPDko{_#w!$Dr(3xW3JFX%OROaBkG z`bLTg+d=0Eant0_c9l!`|GQbGwU4|vH2tiGyfAD&D41p57=>qfK;Ty`x^Sfg#?dqY z-;#jwE~lfst)+w;3(@sd!mCOLH0Mp24r}q;m!kyirAV6pVGbtzkq<98o8NYZJZ2NR z*VylZ-1jOdAzS<=)R_7s<_8M=QHBuXl4IgvDBRJ=BK8lI^82q*^G9a+v0>=z-Qosc zAg{i}MO2<{&KHS&y9u>W8Omd4GNHSSKxD6n)?5X@rWx!|(bv3Syk=YLz_Z*;_?LNA z6ULz;uz5jr%{JeGXS$iNv=834HjvO>fq4ExG@pXx*DoPhYY>94uwVe%_xz7mEj7=! zAE$iKz#L3t!4D3=oULU-V_{%5aMKIB7nYKfPx=zlC_hGd%vWpSr}TrZs*kyrt}^WI z$D0-&R&D(qczT*Mjr1mJs=h60UI)T)BmJ7GdjyrztxFP_}yjJ8~Gec!D|(xWGE z9|Ep69kfzu+NvM${KfBRxG0UX!1K^3i7)DA;xB&(%&d)TGRLR8dO^W{N_|24fz9gO zk{n;wH$GR_cw*;}Y-w~VGAbvkxrjTykKPoA&glnC{1-P`WcN++kE_G}$7VfO9DZBX zCu^5}9o?U1ZS= ztK60nGBkX7Cb=t$Qsv9z4<(cu_TFKxmX!>ugO<`+fM(e#%u@b=%M!*bG@FB{m+2rT z0~ya_NFnrdFkwTpI_-RVQ?X0IP$f$W8jmHG%I);WEG~-j5lYG_d|VyLuMEMI(Gfyc z%H~+kUXou`2IdMeBtIdj-+VwOQ{GNuJYY~lpF$VxlRn)O{Jfe7C7eJCdIQ=5(ai=n zQaON(9N#(45$D2U1d#=iE3o2|1nIU}yCK@{A)a9R3lF~!KRx1K75roru3Z+2s~nM{ z`xYuxyG#o28R|YmxR(Ibx8x7e9vw`TP-aTgNB>AyQ99At^aL z?g7x$TX`OVee6forlI zM8%yiFDK5Q4lAF4LUt%cCdprik4R^e9)CskEgCCn&=sA+v_52#LQ>)7EPqJEsEa^2 zCWlc}dS!*0ppyELWYeU&{BQf8t|UQ9E;UD(zKQn+;a`&0F9cxozIHEB+(53nMf>QQ ze#0SS>H8SxWA-&+z$0Tl3?cfP!CEm6QIw`25j++((ZC~5lsj-JTWdPTl886B`24^O zqHh^Nr-*RL`U5`$(6$2=H>k9EToiz706Yk`^;53GD8H0#aw~D-DZ&i9G%?mDgAc>= zH`d-p_!j{#xA+AGSEf5erVKz>=x0c-3}ze`l~dIq#-@d1!bVE+@hDXk-n;; zhGr54(N(}M0hRAjKSN~zex{*Oj)Lf`L(?ej*JIO5sj@N$s#5RGV$(Bt)dumU$;7_dr4Y9QDqQ4exV&+rqriE6E? ze8}kM%rrzbd0R_L1(ve5NcKThR#2cS^Ijq@JpkpNVLbq65zH90N-SMi6p_@J7j}|? z>sXYKl7gBuB`Bq)mlFDu=dwU4xGUs{sGl?kLZz{8h8 z2df8)mG_cs7=szvWe4{v17*cnt&VjjZGX3N+J}@;q?l1Dpp`La@xWFr3u@MRR+^q@ z4{p{)b(!J$3?DAUEmWAmt0EVd7g8z|s5hyHFeH~3MzJ$!yK97)G$cPxQ2c1BZ{fFx z?GvlRghPOX|1S!|#6YDW#TW0ixI&^2@fv%;QARvVC7{p8++lH57G?NUSK4K!;xk-$ z6(@B}61^(;vdNPf==(uscu#VDws83&$YJ5^nH@i~?*Kz@G7gBY%kN4{u~fR|~U@uuQZJ%lpCI7;RZz@dA7CCr#ysymm)g ztq11HUy{uvwpoA^?{H)^y-YcL9B7&Z40$aX=lkk8)C%H~V>6HqHMhDdyM1*0rnt99RFa zLY`jsqCt#Z4azduxCD9}zE1%z53PL)e#0vGXM@=FO8&k5v>)$*2oZ3D8OZLX7JvtL znaxVXNz4hj@D35jo-;*jNCB?LYvpmr;yOBQgU!(6UzIB%OBHj*d8p{;?9v1tFYcwL z&ynChM*;zo`S2WUYJ*M0v*%|05%{j?KNaHV44fBhcn+8jH%^Y-dRpcl|3$(16A&ulIbhiHFVa5;+$A?F=_gY6>Oj6s4g|bU%ApKloWFnr zGR3=9G@)Es=_S1?-p&l_e`ehO=4aWLj*xGEN7fZoYEboffcHi;VY%?g^{@cv+Did` z{bQWUx*jSOO3{}abl)pn%&fP)NC}}6=&jQtvB7J{5#PSDNUVg z_kc-xrf(LG8M#l$7XlJmN~e(AX&XYJAf(YyEe91rqJS@pC#w^bQN|`Rl50RzREvwE z?8n2JeA$T_OjyXqhwQb-5E?j$7SH?sGOP71olpE7&1lM$K%2h`%0LDiAX+ktdQbpG zbR`rhoqvqEC-#3wG0$xT`4$1-c3&&N?dLhqdEp5U5Ka{ToT5o}>-j@16SdcN(GpFMLh_9mO1$0u^Ptv?OrH zoFvb8?Dkyx=77?7sxbU-&@&+|0gFDs`a$9mTCzG0iS6 zX+uDIMpOxu4t}u`QH_^8$P9oHA96tRJ)Ai3fByrIu+PSFQyrdd6RiJ5_`xJPBU1Z!fLOCp9lq^hRR(jP|@XZz%7^%$0*OU46kNmFo8MiFQGqqL(%`AVSte-<)cXjTf z28{DCyPAK#vspals@bM98hjH2O z;}!|F@r9qeK`l8WP}t>4AF^VPoiZlO>zh{uuJ~K}t3s7OSEw>%psoklaXjz%7hxdbz01CP|V-K?$%vT7a3{$H1Y)sr40nDf&`n%!rTN4t*@dLrbrk zR)fLJTF7c-#B3mG(A}-w9?|aWSLcT1{=Pas#F}~O5R@?FQWcB)9bcJ=N=hJiz8#bh zr`W`(3L*UyX3g*(Uutzaa|zEz!cJ2Qf>y=vh_5BGv1~#$u4LVNyQjrI%t^)TiCEK_VM1^8Y65G)Zyt$dHWSY|O}z zHjl7q5cPPD zowNv~r}L2`iktP2Svp}zDu;o7wzU)oS&H!0QI=q|wSJ}3Pv*iWgRONPN#XA9wuT6A zq4>}cV8gqz`x}`f#%oI3q4v*R5t((>E^LLCl@;sJr34u{c!ieh*j;>(K($*YDZB%`>2RHlX95WZP7+C>7Us>6Z zBgL(`xH3se$aG|Hg%z73;G+VmQ>9~zn%{N*SqQl5BkME#=Hm2FoAc?PB#HJUE?Gi& z7aE~}X9S=qR*KngQ7jZS`^X|xM@Vns>XSxly`fiR&6S1wl&A5y;o3PHx9j3OLkfi!Pp!kvE(Qo2a%#{^?hd-x zZTvd{@gAPd3H!GXD_!4wCw=2ERA$OXAppHPNogDI2Ky^Vikt+>&k749Bf6#Ypw7fF zi#6@}@(qnDhL61!$KMf_B6lHajquYh+1_X>rh{p&9nuVKwbBRHLd9_|7K+tR0yC-* zF_nFtC~o>gZutawsT>Q2+5FNZW+_74Bb@wbYvs!4t4WRWUKjucDT;y*$D=ebCXH5p>#FRlNn?cl_{D9?lrbuqc5L4O zSZEffl6fTg4DZb24kr|oCu7TxE(n8E7WBPwr1e~14HNr;eI&je1g|@D%8<>AEN1nH zOi{BxhMr7O-wGx&8= zhUdp;%7q>*<23gY1YBblrf4)fjv|rql%rG_+9=Rphj^He;8M1az!0c_yRy*2k7%Aq>;-r z#6Em*Mi*@iRL1Q)AQsDIq@wbp;Mbm=B3h>qG#eQK@LPxzgbae9+8hKa|0H0NSe|$p zB3m9K_la6#h}txfkqVnbM<+fNi1hvUSAz0cb@Hzzlid zMrb86ipu?pY^R)WbAvzU=1|_j8tI1uRdZj}&u?yu{%zhg`7XrRa&>*cuE;}P9ft2uKWIh!e>4QQV0|0Te z0oVf9y9P~;!JaD$P0kgsL=FYc7^efj7%7Sd{V80LiKcVZ5IAk<>h%|a$dV(NJU)k?qXJcJ3{h+@~ z^M{B8x)l+N=83kepyyqeEFLgOwyVVEh(m}LMi?aF%Lw^GN60mbN-zl^E@IEGMEa9L zfnI#CxRl7NCU#+HW>@EQeRS;_z~@MiruVkzu(PW|q3@w1LxmQextbY;QqoU{nyz5X z$k0`O#02e9zhKNW$12^B2v55SO3BVFrk6y?yucLBMVu0q2Ivp$u_JiMAx7Yv@%$Iw zl)_+taCgF62#k%)DtJ~w(?l};TRbv-Kg2k;GUR*w*Yx=GesU|^Pq^^>MieFNH!k>< z@d9rcLi_GYFOpRMUGe2W^%85)dHQOL#KEAg25;ixuSgm)ddnaCf@Xg|1Ufl8PYp%c z#s6noVB*D_1K;Y+vPUl9qT?bYwd=A+Zj(cirW#&-S6GufDjq$|686&f!R(=8ljNcd zs4ffe$^GO@PS21AA-VrcFZ-9>E8Pt&;3{`g6XamsGpy9I?zrlZkxz!Hf~oB6A6DB+WO!@bVLPb;2|yoDeBn$OCnrVU?4_0-sd^e~)%(Jpk)jC0`D zL2Iv*oBkI*0aoKT_Z?WRy(iLNpaUM}Prfky_WK+_cD_Y+d(!f7o&1~he9PdeV>DoH zS##~D)f+I$+b0aC)J3FC93h$!E-@%2r1NBzUbP^h7TnY1{4!WYnvwGB;ea_^3uUQ&GN zXQnfWE2v}8LVD)m08g-59p~WYwffe_!F|4nWrB((^7BNWc)Be)-*sEeFK*+VhsLM* z-XEnAWq~V-SZXj0Nt7kEW2{l>yvQqJfwR&S9dyd8^yd4pazvp&GDU5Qrr#CS5{$NO za=l0p5 z4Pp2p_zEpd_(R3dL1@}&qYtXfRs^WZ$oI?*r^bDt!|ESmlVTHs2DX()lB4;5SFeNoIlLWq!$3$H^C0~Ehl zlirpHUeCC4_E?~Zrj$OHLsOo9TzHLU>GYU!xEIPU0dIecjy|@nBFO!mY7$Y-=Bp>- z9DZ-6R!T^`ANwO}+<9|ka^xAtjWeu!8=}xEY%jLKw^h{M;@8{lr}|e^(ml?66FhHB4@=s1WE3{Im+m8M@~alQS8}8M@;`n}`+=2g ziBZh$qfopO%rrr2VRQ9PzQHrU2z6(#l>aa;tmyl}@xkuFJ2!#5DB&vwl?{E|LPKq( zVm4F5QdeWC!%zV|O^9LXh3zvGPy^uZYn4k22*G!8=6%C8A&PUojr02^L_EqKjWJyc z?A<2imz0N#vw9JYZ8b_R>$>!Z@YwD!k?ny)TsRpW)pXXt8_hkD8qhT)1H9y@=c{b% zxC-8u9yel=#QsPwUXfL}Fs>euk~*#7{(5AsmOyB=aNr!U5J70AF)>jdnyDefSCQ|i zX|Yj>-BHEnw60qktU%)SMwvwuuk2Bi&G6MhXzAmXwPS;)XJ*<xD*X&V*}2`$4nF zoR?j}s*&C+DS?s2nFdOSn>+1$%Bx^^NF^wsHm(fsd#Rz!RuuQA3RdX^Ip5Y#nu~Z6 zJywtCedVP!o(slz}yGWx_gY>^zP#H`*;*s#lJK_g|g zU>M*<2^}2>!T%cUy}tiN^x2p-(Kmw?Q#$N`?#PS4m-VbqY#uxC67v$F7lj@=lc7Hc zdyNV~Yl)R__!ok0;9ciq4Q$-*c1D5}>=31i5;C?qkA^h|cn93yUMPOPy7)~NFjNC& z5A6eU|3dJ?%!%RCoyAdIEK&P|yF^-#3;xjjp;2 zkzKCT(IVt>ux;kHT%Gr|LH+U00p6NRmB`Fk20>6@d8RK8-D4VYt}hPC1GUyH zog`(D)6$_jJBY&$nAabpleP8bp6})ftvew2eDYW}+4VY2n!N_>&%#!A&>9Ab zETb<=CeT*w>qMOWC3q<#^ z=pV)-!!@*w*^D2m=5ki;@(Bz%L_$&}ZjNWTK==3PbDucx)N`M>@N=K|<#V6-3Rel^ zFI@SAr;3CWswiOjIf;t7ZdFa`2H2)aMC-joiH0Vap0lbv>{Ggph6XjTKwS=nh^vZ` z!+yN6e7=^Wb|CE)o3>%6@%yu&wnI4A1(a{)uf{dl(kl$vwH8Fy)0=8Mc7`hbQntA) zk1L4tIBfH7+k*21Z~l`N<@uEyu&cSHGYE|1l2}Heb4i6~6b>lNn3R zGuPx+t_rk8Q@6|4g;^jhT@-DCFX#Dxm{#u)7tvO-ft`A#c&*GiRT3r$GTy42yGqmI z;$q9DjQ*3X^KSXm@A&bTP5jZ}J<{`n14+K^8ykmDxXxYIojG{O2uLe#4Zr;_l2%iM zTOQu*5e=yOJj56jP;9xloj}Rc5EqAAmf`*mG9r17_Tv{CFm6ia@c8Q){wvvYFGvQV z*|Q%o@=C!5SI_2GaQ_3Es(m7}RFh*)LC8T|bOUhQ(&yY?K{_5XT;%!_h>aI1OI?Y z^b@Ve09`?iigYg`!h0<1QUTtwiv^ri#RJ6IyohM&F;60Dw%CpH;2V^ubb5j0FVZ(f zcXJE-ChyDs-xv?9^4Q;||AWpoODMD0&kg@@=~_x6zw@_$xaMiYEy9TV2mSvCOIfPI z&Cq|pEtfEwqE-D2-|GNyDGey5?tv>nrPcaBoV^8HRZG`6tRf+Zgp@Q$qtYo|0@5AQ z-6h=}64Hl8q*F?zr4Drf>5x+3ASK=PpX0sH^WJ-(=l#C__x*nBtXXSj&puOo&zhOF z*8Y857l|OJATcHA!mh1QwX_^r12gUq$g1ChO6=OlKN?!=verL^%o5z+`jCzLzalwr z*<^j@(QK9Osi?Z5x&A5#X>ZvR;)?7Nn1wD?Bzg38*33Pb!_PQIUZS{ykac94L&HKs znh`LlDiJ}NezwF;wnSWntErbKZj1NdKrr2!=}Vv?I6irBHyE7vP!fUDpK=ks9wpO- zBkR#$6Z!LHGEJI^ZdG*K`tq|a>2=;*R-6;f7!&#JuqGvWV%T3uW71NY=W2;4ptoZK zaLYko4dW;U;osldWh;^eRrJiVI^iE9vLBq~Phc~joO~C}R{Js5EIO^;mDL1RUh)2s zS)~ry5E0VzSM~fkY+xu@yGodV>}hv4>r^9T2%9q8@J{FlH`KdON*&lk@!yw zqcioaN-Gs7kpp8hPHE$avu6Ga9K*fFwS&Y@BJ5<`|8+|9A|XNA~m@t#IZ!X@rYMaSm-*{`?*g!QpDj`fsp% zbrSsPbJSKZzbLVQv~0iVfZd zvuZkWbRb#lgW||9;A!ZTWBt%c7yPTpabEFzV@`*waOkEV`qUNPGbycFJcaK;X$6kx zgs>-}s-F!Di84EoIl7ndDmxipfo%A}cY;9w$lpgiq(q2^lsOv6*#Oad({Hi%fTs2 zk`Xcoe(rr{aO%Q$V0gGA_p~<+m7|{0VnGlA3Lw@k?${HQoE3F(&(svF3Dl(CXx0K=zzr zfASl=Zip1mbJJJwN5&Yx1L*%xgH~kRf21f7gA_X#ou2zqPfFjJauQuVf8)pS=&DoT zybb&6gCwT;2$t@+;p7{mH^iV79+7@5Ci_?n8X~9tj~Kq&f3Ob?-!x`lYk_5rR2U9L z@PbtNs-r4D#PhzrEGkD_yZpN0VH2F~Gb0%+DLi8o+(45Yq=13%3F3eVwEDg9bxTB1 zuM}w;qh8z(#u}WSfr<@10ZDFsuLgC&n3FoR{t7?x9&h%~G-TinP=cJAADpJ+D8mo= zH`#bWyZ$RR|FJ$#w_CEhu>PF{kG$tCARiSZubY7B3WxVQYecR_Q4sl|(=`}eXc)eU zqK>%b=wJ~B$bsT^`g$*L{Rh%mXlmOFcb9ShR!`@P6`6t1+h4NwMF2wKx3RN1c(%YD%>BN-h{S_M}Y}Jq)2=vw`q~52&ZzA@Lm}>`>Uup`chph z)G|Ryl|$J=WTu$nsN@>B3{6O_dT0B4M#ev=!_x9wgQE%ke3VG99dn z$dtj-Gn|rn>E(3P&of!A)MZ|GNIOh3TZX8v%D)9;oGu$#B>Bh%WVTCtXymLl)#;2>nyfkQCC;k9lYxv6b?W8jyOHnTp{<{? z=+8+S*Uu3KLkb)L2P6ckmy3bHkcSU8J@KnR2b4vGvcj;^=$+6KrOaiFsmZV2dD zz(Zq}Uh7xIrORSgm4SFMtuY~~D*8J8hUy>>2JCra#^}SDGt!RJEWKeW*vFZ@tu?2Q z751n}L72}~Rs{l7J{Y|y4M9~x=gzd_XxqXz{|0;ZJYjz}EOGqOJ3=FtBEvP7dAvki2}!5E+%3_d_^53QBeO_0=$M2>FIXl6;#Q>y=7- zxoL4VN;wkA7rGBTAFzP#;yoa<&aQ*^^n?PKy2{=7flyLE242`@SzuYCwf!2r$*qfk z;HL2$%xV9+bXYd^(R+W3Pn(P+BCjB;25lit8$D{E$Rt%t2cI-^_@b>bnFrAXdpL0c|=aK7?*xR}xsh zB&hWJj0bLJja9i13CO9MTCa+xQ>zYCxhu3S@LhSW9sW*|QXTB)=jAZBo_LqWrdsv@ z7M-T!s$~uvFAb?;*TncGiJ1Ok1H6?Hv*P0=eME(qxJiF{Fj_u&pwhs{Y$ZsF9hJtnIYKyrU}O(+c24D{)*WiO>k$k{YSPqud9yI!A6TH+{M=x$GSk#MZwmDARGoQa}8 z>2t8YP?HG*ZQ9QUwlLJAj6fTsx+4l(&tE(t`qY2g-<(N4z9dr*v5X1o%D`Vyu5 zcr{(1-63n86(kTLjXlJLR_!J@P2}XKZAQFDbkoqb9*!9K@Urgk^ zyj@t%iaiQ62|If^_qp>1D=UJ*R;Bf;Z4$f^&g3(t{86<9@pxHPZ7i^gNGwz#2a5_i zdHC~?V$QT}?7UIaO7RHU#Iy%g;qhY;Ud=V6;1E7}SqU7%-wy}cE`s=_(!OcPc4FhH z+WmAAzG;%S2(lGHhS~s9y{1swSG(If3Xp{e64^S6)2obA9+VX2$jd_UkI)nmO2v8^ zDaJPny-W`|ZBs@ny9Qg^TFE(4<6lb8)bdd@>WiqkK5Php<&S)}*IhM`W2J;p}yzc@&@pBhE+X(hN(a;a?{4DO4`4g;5h1#&_=ro?EYSnTT|{I>nXwN|8$68vMVhNJ1@!%S1jTg0Xs64 z1R+LR0Crxjav?>C2SxQvu`?Ey+KbsJ&ht$X-FPW~G7DxE1324T>?1SsJNJ|g;5fg@ zTL^M54#bjOGpHC$j>J)uIczMwi1%ua^~Edc+5Gwflofi;zo!h=0M)Ir-sHdfJFTg% z9n{hVN(ex2PQ+kyRa)EQl{l$8y_b$D^CFRCl2`x6pkh@zDFyQ8mxz)49tfqjh_OXn ziHl$}!WM-v+kA=rE;uiT-U+^J)*o)Hz*^>6?(;bK^n4k5dZcQ3(q)iOKZ>-Dx=yk# z!T%OG8(-hdxem!1h0(GL^IT5v4u`ez0HuuS-PZ4Rodz^}rRjJ+>~wrnk8dl=Qdjk$ zW+zDu6(A|$@}*LX^!@B7d`S168ABe@Xa#ol8?8za$Qq#aQXfB+jl%NHQXmScI8K}k z0olenqWgY+zG2qShu~OB;nukX_?k{nD`ag6R?YHJ-oQ4a_46~cds6TB8wriT7qtod z&{VaKoAaa~9W~EPv7tEJJ6^0kINS~i2)t7QR1gjb?z^ZR)hh#V)my@eE`?7}0@Z?J zr49zSV`T(V0q9WGQPxcj&Tw2=2G5J&i^>|HhBLT5i-x?PuIF^-DcAK3Qr>z+h9jl! z;wwRI+YM?02FP2GM?NZ*^}P1@$OZ!6vXoDb<(G>+3*J@;15 zJX^AN^Erj9H0g7a;A=23n$8aut=Fiu!{HRvC3q+76nD zi~=1j8$m{y`fM(Ll>}?S)a!g2b-KNP1T}{wCPgmT3cnXMkjjO5{@d7P#qD|?ZkzTj?e=CQ|-4~@(0 z^2BlyOj&(lnd`qneP$2Z`)RhsKvicZlUU<5Qz&Wo(0Vi&3J-~0@SYcOg28cK8Dog| zoa1|l@)j)gp;R>oi2{*&R=G7zSNl0Eg5!lc2mKJK`d~S)iyGI#51<4i|7-g=uhmd3 z&cz|d#o;NBO^9;K+-DrABj<-NAm!yfE@+9#X$q2Z8s_ZyY}#ohYF(ygbSgMwUM4M* z*ZFk|T06{wj1@eSP4bGen=wb^iM%)It~7>~IC>V+*LmR6x;$&t zM?bh-wkk4Q;+KAs2@zWPJR3cImA}^@z3dVXU89Z}mL$%36CxO`Jh24@rQ7O7pne2` zA<)nlj_pp;8$UWf$j-Hp)Zn>PieYp*>>3+d+;@p(1jt)BfF#96kjWf9#eKGXE`Ur$ z)Z7G7^GrS$HCJC%8P?KtPZxwH(h#P5_Rr^vhgtOJLl1pPiP@!=J@bZ*DAwNz8)J|cOAJ04&hbXLC*Zf%opA`JOyv#5f21CVx_QIk;0b#Iv2(ld8NX;RYuwPBU zMU5qK52oBA*Mlf6)i9}gMN)He;6zmM2v)ESkbE%OZ7-wFuPzzUoYI64CFFovGDg<-MWk7#`)oK3OV#ktk!F= zxoF&Av_-o*H8}7yqs?)~SxxoO>Clan4D-djvJ0@cNM-^DE>a&jROud1r7?=*WRzi8 z6v|aHWu~$Fo#dZgm<}4S*<4R*9}teDw`ELTy4I&KqevFYkyBcgH?X@h_kvAd_h=yO zIJDg%0Md>PDiB*5yK-TbHOL%fm(`GdTW0p6iv!N&K@C%d;hJ9-og4F{Ch*rD;q(wb zvW262<_Z)`hqwPg;qK93cTPy=K7?i?1{X+dinzPnvOtogj}%aL1oNemn!9XFnw_io z1Z0L=^!+_z`LC8iQoA}fthE*P$HZVu>3ySuEs*$P=qx!!-wO=5pt!x2!rZb1w<7d3 zUjy4%>v==#uX#VLcjOdWdvc##nz$P1r@hhrv=h_5=;E~5-^S)5D@bVw%XALN(TFd|Rp-+^pPXGLS>WmcUsZ(1&!4)G| zejm+Sjzqdd;UT$nxpQ6)EB57IAX2W0BN4i2Zk48|X*z1Ep%*WcIP+SPPTqV3e%%wT}d5zGTpB(D)S{}ry49TY#U=ZXzJ8^FvScX2RWzpi0QK0Nr5 z`oKdFZVD67R}_E55jQ2S3WY6G?4F_uTnC=rAgM|SmY9K>Gv+Pqv4cj$Q|1`~vWUeYSmWcCej1FU?Uio5>@+F>gRsHb|&# z*V=1+AgPhTQZws=gHhw$#)i?1`vn43e0dMg>aq?`G#-$Tev@K=tec4cc)hAP)Oy*M zmMScFu)k)bf89GckkjFL=Az8vKlo#HAUU-ydT;-PLqA)^>r9uF#lL!ab;qmu@+eL- zw)F%<+0*diF7EEC2^By_2xNdjdQ@eJ`lhaO^?ZWgR#SHnW_E87HdZMk&pFI$d=}Ce6U`2W(pNnZN^@r@{$CLXn(>66;vYMDXJnaNa z$V%O(P!Od2v~0juzDqWRGW+U~;m(1HEf31C!-l*`8|W7wtT#GT#7LjKdAZTPed|9$ zo$#V2v}{|^A-oQ<(L2Scbp6iqc`~$Z>8}w#(bMlZT-5giEzI8t?1y)>v&XT*#F%4&XccS`jAg@YTQSB>Z{}L-^N~*_oWRv z1z$cIPR5G|3@5^z)&j~j+^D!2NRfkqU2qX&7s_hBx6`E3wh!{TbzhLqXogBB#cb_~ z(ez8j0<}zlg~Y~}`N9Ny2mE}^dqA_*l+-76UI zN*3ycl=Ho&tk;Z&W%r)ud97J%0z-kRLBu_57G(_L_*wGa_m@fm-EVT~7s;go>iO?w zC&rjYl?zH;fGW^FcO2@dlTV9dYeej_wlSkb&)!kT88v?_@TNnk9EZRo+*PUTgd>YO zEMqKrZT0$v1`-o3`0VpCIIlk}&7dP*?awqU<-m&@j1nig(N3_|J zoE`QPYCQQk8udV_y`yyWWxUtr>$t`KCI@K}^J8Mw*e$Bk#O;-EZGJm?VE``lEE2NbWZ=cORFvbv7|H&rP^nNLo%L#7HlDwMKZV-0#=WAtHKsm-+t-)G28Zl{ zEh_up0r%eipf)Qw%-VfBZHqb%*PYmB-m%Nt0>) z#{|q$b?dDqSou$P<$Wpb0_`@!{|-Ip`!m#~4YYk>w0(J@r496bcS@E8WC*0WPH412 zB@ld%pv>fx?Qa@XFluWf%4*iPT13)M?$Z#U;9?*}h6Z+Zh!`7D)_+AAWSYaKW>cr7 z6r(9Dg-AqnsU;VnDaU=w1-fifx+$NA%tL?1&G5;R!G!!^!^(cKX80w|ezE&5bY;@3 zM=nV@NZOK2RXOiDpTCGCV$Iv1<&&*-Nitt!7#vcbEk$jLVBz<(FJ%h4d6&NV*tDgS zQev0jn zh?O6+I{}&^r0N%v=wmfEgLxj^`4_fC$}@JZi?)A)0by!r@z%%2ID*nGL>BUs3Ex~{ z%$-OLnXTovqnn$Qo9kYLVs6pp$;s>!>-8NLpu8CL&XCE2`ILbdFB830bKvHHVt?&M ze}7T_x@o}QKy?3n@0ggB@a$@FCC;3DZEvxyZSP?5d?&}+>>3XAaqHRL4^DTAbkaU~ zUY@8{^v?*i13dK`Patq=>5)pke(B_9p+=AZf#2oHSfU#Z>4d9PU52h-x%S`SN$Ib; zjMPu~Ry-_m%%g$z&6U!qll#roWLg6kSl(M&LX$*NDTeTuBBr57-Tz%1W zB6Ms>HZ9-jY&HdmSXb=axno6n@r?5A1F}{Ow0!aKojZ-&ck)=F5neum&TjGO4wAFC z&>X+nPFXw;wM4S5)ibz{pO^5>yh%udNrLv%mU^8M?a7upW%69DW}uq$us*U%pP)BB zPz0PQ`!&Nn&&Xm3zqIU@_o^E}4Ejo9Dc`g>T{vM?48!zli{94PAF zuzGM7bV~dsn1wd`3g38KbG^Mz&vNd{V30bT+Ddvq!}y|BHP*a{bWnj0#B)AhjUXs( z_3OuxJ~~p36)COCalWhKdzJJUs23_Ct{6{L78^Gg4!rTqmyMs@qTdZfa9H`=7x#Q9 z>t_^~T>8%L%8P2U;`Iud=;>Y~*9>cE=J>alh)h)bO4XL8n5#b>EbU5HkK`)Dwb7s@ z5Y$sYW~vq`tCb%f72&#tj)R2 z3#SAeV0q0Nn9wKvHkigRXgXFj7X^{cu4rGlz zyu=AGHN9r}dWTHOIApzVFvgaEUCnbn+$G`Hh6Q~BW6 zP^PEvq}6|JRz#7g;GcVy{RmSFZCxn+Or`$LEd}e1-*FC9Ei`AooK5|^g@dh}`ss`n z$#16%z;?ei;TA$OuJ(e2on)hT6mkXUziFnr7!;6EH|?+L*>vblGVshIVPEAC&+kpD zGEHPtZB@~P!fcY!IL!Msbp|1f!Xlxd7}1L?{gGPne7U$sP}uF{V;=Jd#o~8O-~s)4 z#2lz<3X>2%Ls}1q-VVo>Cm!MiVVh>{BsHwC!+L6rPPq_Z2CWI*JFA-1mE|#w(Q;s% zf6JBU{TQ%q#X3eXv3D9B&?k7-Pi+xoCxR5TmCKr$VjIHLW+-11uy6&~2w z_E9=ac-qT9r3X}Vl&@4TEacv^Le9%P@wnqU;L6hi=ov4JvYMDx{3q_UQXgp^SbZ-t z{sM_bZim?vd}brV2wK;|mg@-uLVch8)={Fl_k5Ieb}pmVJ7;D!oEouJjbGF&CM$NP z#E+yJtuhd1_med>>P8mFyA?RUu_I|x=X&MS;iJx5GrXElv)b?xa-5--o$$3_D z`5I^BBONbQo}IkCn8dgA>5zzzTqXB#>}(itjH#Z?R=(P2!M4*BIwy?y$Xs-VVf#}n z=S3403IF8Uh@Ue44sq?f_p*U8Ghf+^eiqkAn{dBYi!Wd!;WspKVcu${BKhKLGUoF) zKv-{A7*H5AQC)N&?bVai7+jvRRK`_ZAR{ZfBo2Yc-w{LA61 z?}XFh+x1o<1)yGeanAc4s9I_lT-y?vV*6FTC3=5T@d)cth@pA21$Mdxw*ABnk16!x zLE$qJh8pZcGC8mk4K>8vg+94!^Onb)N(-q491Edb&gB=n{EP}Ki!&~&o*4oYfxQ`JyY$$eE?hTvXV>KxW(Ckj6fE{9-6SL2_!HgJo5$vLUuO3R z*BDQvmC;kpS~6>7X_WI+uttiPp^Z{l(i5H{07 za2sJjn(r}f(p~COq3}$Fky`THJK0txzs*9Ek@|jgafe&7@vM53l_q1MUIFCa43GyM zSB3FPI_f`bWL<|fGD+{?_O9_-k%rT`ly3CBE04*brhSd1qCnE9Xy!4`W|ep@hSb& z0T2FN9=FhbFuTlsrJVz_%fef}G3Loe&2rZ&tv3k&8rE%Rmlpcyvn_aa-i(&bLBD$a zaAie<({`26&kyiQ*&dS1iux}YaG7_AJL#}KTzE6qNLb{`qOhr-IoZNn;q9bz@}n-h z+iLjZq+cgwM&Fyz#N2y3I9qtrbNttD_*MpHtdHL0_2hgSrZ|vV2=$vU>DV#acP9_6 zVbmY!Y{0&R{1w@pBA>5N`0QY1R_HQJ+>^A*P2ypb-XIo6`EQG}3B|~x? zUJEb&^lQZdPdkqCb1RU2yB|3AsPAms5q7ck4~heRRZ2wQN9l1Pz0LYA8Q5u4HraU8 z%q8hAp}n#jAC8WV9tFKZ7Z6qbihmzj6<81UOd||6%LM{2WubEqd#g;hgaWUkEG>tZ zH7#(di8Xrg0HP`!|C2WH0a7HI zHizFh1K@mzJO2QhVKX$708{=nRupNvlzV`TN@G>{lx9m8U1~m?##cJaZCwYxe)C@H zTk#0D^-2Sck=kQLhI{XcJvC&ACVEwYWw2DX0j?C+K20KDX}kp}sc$r)uxD2v*m3Vv zfEQbndsFX_czY&nXUKf4!aCI_iB#_1fxbRqzce4*&EV>En7rSn&G9#;hE5Mm$U}+X zklDdvj3>Whn35Hsuk861JDWc@!YX~c#HRF3;%(OIdh45XR%QdAI&Y5>jJRR3oRK!r zxffpS7&hKK_)9=g*%0SsPBljva@F{CcT=ph3Int48Rz96jLmhp0bvy4!7Y_)2XY}k z7I<(7i+Atf>*sbbtAE(zCmak}CV_`oY4qcB7^f#Yw?sqC3tl~aT&T@B)ejC%QaT?P z)v$9DMI*?^Mm067Z(jn-ZNBJ-&<2Jz6gV%TSutx*1CRJyzM+;!v#=X2Zzjd~0PcLU z^*^-{oxK(F@d6n!jibKUQN;dIfKaoli^}0>rDGSO`K$(@ey&Z&J4xtUb4+h{6j5f=psN zRmgkTdW;z3;E?4HNj*{NWOmuljzecC< z-x5gZ1y-{&7*FQp8rIDF2f+}u+^$yMoGx~?9p~q^CL^hjjnV0msxWy!`QM{8G&-=M z$SNA$*ib7@W>d7~JB(#!Xv?oM>94_wkqZZ5PDaD&%C@Lp41M$2)X$W11G;GYzNR}N zBTqeT0$3Z(?C02d?ECmd;Y3^7{=KBZf}6x?CLb(XXbxgpd`(ys1zk9fV1wfvJi#+_ z_sv~6AcKR3+&sbB8kZbBZsyypZ1`TxPt*yP+xsWBp&q<{=TH(0*pz5ar@#H&H;Ak%ly)X4# z18x}7-L-)eg`L4CnXVV$xc4&_b`|B%GYwY3MfAco-S)$zy)|`Y6R&;`@4W9}he_4s z-b(pJ(PIvVa&3WZS18a!5+WeMdG((jFom%Rnq7LDbV9P(fwL+VR||0XmdF9jsF+R% zi@&W-8XW3gwEp223EtD_Xau{2oEcicdte;TIfTuxXVcF1ZV8hwy=c?myV6-bv`HST zQdjsZ^V?HZTCuA+lj)u5v=XIHJ~1;l-0m1da_w`Wyd20b?#nPd;IUHp8P}Cl$$H#N zdH+||=gTT%6shUgpT`e-3i2vq<=RW<*noA*b}W!tOWhHwOl>B>R02J_QM0PoXZ_@9 z(c-5}zeVSB-vOfAWN9oM^h+?Gv<4Il_yEj^m&3r^zANp_SxiDP!qT71`MfNC%pfN7 z+L~rABj?(Bt#dkW0RfPn73rr7vM24mI zotPRV{Q$3om1~(%#mKrvYIZ={RBE&%^>c{T5(n%`PR zVjnf`?%F0Fe_m<-iBV;7f|gElqsf)T8s|uFp#(RtRd?}@u7vNWR>qGJQZ@^?5A#NJ zQU`&i%t7z)TQ31DT;O9z@x;Sy1|PU5aVnR-39skW$XBU2nIKRune1eJQ>?Zbm?o)K zdZaW+&7`iNC#F`K{u!@CjmwTvrNnwvC0}JC3E}$ZVG8xpsn@X1i1xBYkyC>(*c`K1AUU~ z{n=j9`uSd%&#kX4YTwkN0{?KZlem|$7rxhiY6{bE`-r<^W&V!Z_;*M{^e|jbP70|`6@>S3`z$~X_U_E&L?_%qhQoY~*aKA1fvoJ6NV1(O2!sh7Jle&*mUWWzJmve8|o{ zKL>`v8nI5ldH$x`3CTBr&g@Z#{qj2{&^x2227#VNKgP(`a% zqqh1)-D1-|Tg|!LSM)ohZ@Wxf+*V95 zX`7?{e}blGGD!Atg@Dn3j2WWbE!C}rWDIJUQ1>(KJ_hh#fW>?a6SA|Vb?aksM}QAA zI$IwEA4|l_bdVT5m$SxP9YqN}z5L*otY~8LW0Afr^=L83oHp&V5Jt;VN2p^fN(jV{ zeesT%oNyY;ZK^`vLH7V`)l4|E2x!nV6l_rT7oUA@p@D{dJQx1rGgh4A8UH=w^FE)9 zyI@$f$^}74b$1f9NZ0mIK*M?(0^1Q2^7dN=3y^Sr(7bRq9Pt0aJ!AYqRIp#RTSHLc z$QHK6m*R3xjh;`R8tjU~G>jc!Yu?zk$RX}sNBQtMpSuiVDE>SK#%8f?7e9KQQXg}-y@}Jk`$Bk) zWD+(qd=|w8WWdwUlDjc2b4F+HS*S2fwmvAQEVn(1TZ$hJ8jIszK>9exid$$u;mj-x zX|!FIQvxSPMx*@KT-|cJF~A*dJC9!%sKGDO|sc=zFvCkP{)>F_%8yTIv+GOOw-TW{#dX zOyfsCUt2>Mq@pX?WjWd9oBNc!){+uQCbuWw=$!(2{n%O&tHe|ryxh9J0ep0&*_GAv zyA0k*FJ3D&)Y&z=%o#dtWURrx$VEBmJ%h(AA7*;l?8K-!H0fg%Ulu?r$VgOy{EAu?L4jB@!Y4-PrM1Ji>Dv$j7uDh?^)y_N?wQo zDq%7Dn|z?KZ0z^-!5-XT43c3mB`&w@xi-=!q$&xKinv50FedihctB+I5qE94@xBzO z%Aw;tdhn3Md#44RTe1KL=jMDn4Q?TPUwvhDJ-rAY3pk?{?b=d>iL6*);?yd#5svb? zjPfy0^0;(AYiVDc1J2WdZ?9dR6Mlb}s)K(99i)e{DA+EfN9-W8HNpo-$Ga@|eL1#@)mq*S0U^XP@SN zoo~>Fs^+IK|T0JkS(H0uTCo2i32;4o$a@H2d%YJB4wRO{+=R;xYS{Y(J(l@326FNytk99M#n3cJqRKv&vHu#l zFD+)1<$s_j!m%uT$W_<&3!Dy4U!c05R#PI^oLZLaUd6vwo+Ilu`a?sTC%bmxKOfyL7 z)RPu3*&tG8Rnu7I47%WAveQs~GB@pQza_|XoK5201|Z|upJ#jR)Cxx!&%S3u{;t8i z#4ZRNu8;qRapB>sY8Tn%B0@7~R{Xec`gb&-;WyLIqI+h>+-AF)T5(yEY!H3j`iSe? zeEesI@_PrVnEz{r?A-h{QHHjut$BQPnk3Ym;8BJL(H}rE|E=)KZtVJ_mH)T8HoMtH z?rn#R<4^JepDOf7hwUEzzk}~XtUp2C;F4;9B;!w3Rsfr38o(hvw;UAIvm1 zAuLrm##h~l9;dI4Jku__2gPk%QtKzkl${AuH8OQ%V#H9OwQMgjeaf5s8M!FoGk9fO zK=q%@BVHgF?!gXQ-3d(~t~#IP6huF=&A{zkvfP31yEtB6*ewssQ@wUPTr0%p=RJ2( zMI$455z54d3j?3W2%rP+>PW+4DVu@5F?QobXj{+#%2m; zzW4zf>C6z{OIhO&apH_o#Xnv4gtn7*J{cBFbGUvmS$*Zob`Yj~RE}a8d&gApmJ43t zgM${nSB{IHmxPRS*eVF9b9#v$r@Z`0lKS-p5>HFa+Ce#%aqM+JIu(3cxkd_&ZD zq|;~2FI%zZFr1H1nBu#roY&ezfe5RRepC-^)z>S`k0TiQ_hWFn@FvbW&_F|;d_HUb zGyM2Rm=P{X+;(^Tlr|U2a{H>?)NDC5K&SOC9@6sOiT{=2&4Iy9&*Js5h4hR< z#?_;R(ChmqIH{v&9%2ybYRzcDhw+a~P^lm2=LSElhN;lpYrOD;&Zg!07 zV@U;Hup+Stky-a)xX;FzH5@_(_kjA^x8j6BmK(l9vdQB4Vk2kp)0QESEI_W$kfkNc z#!#GFHNdFkn7Z#k*hWqTajF*$wcE{^a)WGtYwyO;;OI({6Ko9E_m zU540RT5AvJ%M$r^I-J*U6~jh&X4KQ(JJi&&zgRSNH*1YN{TO+w7Y8<8Jtq<|(BZ#B zo8KW}*1+#D?&OEyf^Z{5di&-DAw|j6%}-<6`WuQ@b940+FIJZFHNou7OAVxqH;z~5T(R1t&m3DLEZyL!^v3PUROdw9=OKjXf_?tEL1 z$GoXekjc#)zz#n$F4pLlACg|IO&<=+hcTQ&7xZpc>Y;SvcwqajiVJF9!NzS9BQGQX z2D-kDsZiz_R3Fy0MJKM1ciBG8#TSXI*v1a6Jli?TWOtD3AjLDOWxE$^Y|Bm8t{goG zrQtPx>7UpnjPyY7 zc{PvuI9tyG#0jy3sObC13o$>Ar;hx|2K>RY^@3EEd5-&m4x`NNdpouOk2qu;$}Z+> z2EAY8zPG&e^OJlBFR1tNH##FyL~{u88eO7}vEq+FAz>v$_m=+wtf=aCHWx0P+sBTH zO9PbcsiHwF!FLT%?zP^>_1RY2@enN7%b!v>lBvqtNYsBmLEqw5s_3N{#vbe<2sW{j^XjZP!~lVA%i zq}kou>m{q_&hcT2;r@b`+%Fl%yeSiBMOmB{@ZVKltG02Wh@-gzabAD=nl@AqFkN4^SWZv?X z2l!zVor@Yee`QkjR&iDuf{L_@+RYn#syOQEe$vXuo12_`nnI8KsowR?s!X-}+_PM? z!guR#q6)0ixI{Gf?kChWtGP0mO{2L51uxN@(w$OyU<=$!$SMgQQFl7cXP7k?RPV$y zN>8%RrIzcTQj&xuRkcU4dYY1<2{68fmYyKX&f6ns*CtoW-Td+G$-pn5a-WcHx92Zy zi-;gBYVJ&8lQxn(n8ytN6(;W9`L5~v*LlaE_GuXsazni^8e?ZEI4zDUuZ0FKTPVly z(?G^dC;Q0h7qZ`BE(1(~e!xg6Sp;E#Et|XZTJYInKG0zN{54U`*U1cJ4~_k@b9H!XGi;n-?a0RM6K1WF+OjXRZ}TFlHX$ z;j=M9EQ%v!+66=#Wu0I+2W7eIU@D1_b zy>Ll#MLS+2+Qi-(#+js&uz|Obiq&aMVlYV9tTqP#68f8L3IN8{+joFnbmah*mPUqQ z?$_DbJ4m6ElPE+Cbp)T<&hjI~BPyC3cd(6_S$;haneMl_ctG`Wf3$U0+x<8&=#Hxi z1w)s{{1hs>^@N=-FxZ}-0Hj&rJe9}0{VkDp3{>C|Z6AcmZ&A_pi(d;U?TXPZPb|T@ zpNBvOc??L!VCI!DsxDjeegv5f1BTz)?76v!?|9q78)mhrh@J{ZKXJ7(3 zL;$AU`33I?!WI22C@C+yC0e^P_V4f^C@;GO%;>p|%qEWRspjBKasik!O?xPd0pjzlK-l}+Df z9|e6+N0mn@Vk+}9nOHNtZX5dt7rh-YU00X^Cfu1H$n+miUA0vEjHIx(dd!`5FAuSh zyZi0&QglmRn)}Gh1XVH0>~hi0?=8`ZknPYg04N z+lCg-T#tvUYTf;^S`Ie1vJ5PCF8`6F7+cz>%*9tq_hMqDIGt2I@x8UPn*9H_$Yh6{ z$A!Y|)9PoG{6?=Q3^g&`{)S?*${ed%J^pv_tY!sZQmw=_?moAWLBG8C`&aaBG2_qQ z6DgDT#3U0Q$m1Tj>;-N)2)QOKqKU{6bCCy-V10=}U4kMxF5l)AmJI+ao7=o?(uh#m z?1V3fR0CpSyZWW$vN7h}zkZ}9JmENSlBUyIBt8p{p2b1NB)-|?F=}waGZX+Dx#2@) zf8h_m3njhc?JT>kOL7>_z<_?hN$5z@(CQ^cm!V8 zU-`M1NWb|%@aYzP&q3dwkF!7y>F|G$zb<3`OOnIw*5=n&`=dvOt`9WU{x6WJtAfVb zN=$bj$(uwT0(yrh3JY49&DFe~CQkd%9~sb-FH=)0K*e>i_R=#EMs+=);E0`hcM*Ip z2`h-cy^5lHoc3<+UtMaCK4hGp-2GwjN=-yo^k{YW+L_d!FSd=e$Kb*A&ND+N=d1md z^VPnifyDnq*jqr=6=MsaZPDWH?iVTU?(Po7p+IpjUff-ayA*ea;$GaXxV!s5bmqM` zGw=QX*V=bma_+fFPIh*ZeRB?zDCg+q%k?rockncMx!%3JCc7tH_t}wY-KriSL&xUi zWluS)KB^QP*Xty#xGSB$Vu&OAXqVPab1wMt4$|L;VIX6Ie|V47d~oJDv;O$A`&KpR zv;<*I9lyPT%R|pep#9*x$ISctpQNXf+PisWo0nn%`A)4LD5|P||5Z3l&T^U`ejAlF zq|2}Uo$k9NiC9j_+n7;HC%>3TX*C>2@LB#=h8Aj0cx)DdSDV{W^lThmutJ%NmbK9W z{zS{KW%f_`zp~#Zad^z(Gio8_wfrd4AJQBT943vrB@6i|d5zPqqU|Py`v5`LE>=St zBpw?_Ac=5{6yzK%%-xfXzcG$rXBNa!E1XtGH@28)YBgL_zpc4+QEKHnThC*mo$(*) z{`49Gm)~y7oQHP1rGEtkt8`)Q-SJt@TBrYaHmW>`KnD?j7Lj+4z|E55O2U3`{dIh> zD_c2iGecP7mb117e~}f@#W?jg?e*T{Zfv+?b+~`3drmmr$wXt<6npl^=RhYE#y^`& zdKG$3_8)5HjK9xHB93zje$b*+7C7vIHA^3OSB8UomF*eOrFtBUIo{LILD=5GvwrzG zW}2-KfIR6rxAfNcWvIMac`k+j3QF~CoRFc^4sNOnZu^>@!kuxxL^Bu4t`hlwh=<22 zU5{6SEl$NgyR#eG)&zEr;y|X;5zdSA`{6a5JDaM@} zF);)!+`~T6_+9#C^CAMr5c8wzlrNqYVtEm7=#XlLjBFl7+ zmFFHTOy8Q4doWjuqbwebo8J1w+9WM|qExRlR4={Y3|jhRvXpPx5^p7M_Z;PdJO{7z z*@mIl$q#ETLhv(U{DxZ=+8kJZBLP+KwZNc2piJ#D9aqBbj`f5&)0e`*-}Q8+K} z@J@R7k{_hx_wWT|9i2LzvYo1e2Q-jXB~|8dvF1231&jkLFtVu7E$Ar}NX|4Yql8Su z$66BS#ZzdRT(alI$_qM|JoOViQO7FIb0jSm8U4;F%@&19^>3P?#NDd&9AS<&>g1g& z_>I6(s=5VRvHo5->C-?k*{pVUOp&_+AOV{OnpkV#!a`~1T{AFmyOKFCm zi_wf>L+$dFqRajhK)8JgQu&rx>Tkd6b1_h&?t?Ucn`YyQv-0x-#;s>~%n0HxE1oE3 zi9r)T>GClvmMCU1hxft^m<-_mAn_=_1t!07M5|G!e-IYWpM+p^RH4oc%+6KX_r>D! zHQXywX(kGce7g(R+g$*8C=ks2tu{z$66Cvis+I0pMo9e(2{enR$#!BAq-5XNc=*c! z;F@Idw`%XKQ39ntlTGei9o}CaK0n^}1IvYaFK3`dx7S?z*WB%fRPixF&)3W2ii+#Z z+sq3;`%}MO{@~wMgim)j7x&lKXD`=RH%|{|$Bz!M250L}CXs||Uaj6VwQH|tEw747Utg#|tlqUFU3_g%Ith!Lb?aldK~bhjV!tw! zepeR8GarGr;oPM-yZInT7=FB7K5mLP_8rHqS)b>5p1s_EENEVMzP{g;{j?4`_c@Ed z`^B3d$#d;@Y7^yM?u*`uakjmdnVH$n%k$!@%zX2GpY-Vclz5x+qWFqN8yKaVd!v;R z;)AVIP#b){w89_(26_*?w_s*K~|F@G}64!h(G@otK8z@N}#u! zdCcC8H&R+--}caLW;B{Cqc()QZzNVZH}N5mE%y6}v_PO9W60EMGfY@_z6lVp<@Q@8 zXh&M0a1TK{fD>z%>NKT+$Sgzis8>2$coTqDJW&T)$f4t{g&tLb78;aONIQCK_qcSa z?WxK@jkmV+dTY!7%(+|vbfF!u652u)skJOaqx?L4bX=O_swzcJQ%kI!aQJSjstaF# zK}J)!#;uQO$Hw39vx$=laeV(lNIGTjIaZ3}r;q*tD*W)QTWAM?@3XD3kO1Ny%if)_ zHCjpO%6ufb3g;f1q*X!WL~{#%KrQ!3gzY#bH_O1G*_|9pMY$9o2LVpGG(QOE6NmkQ zk{Mj?OA*5dcq_x1;UcZ*w9dwv}AWsz?Bj zBf~jQfnTaL!el~LhE^kkElJZ$t1LDt#TaTQIgAuY`^g;pgAJ3&^C%q|DQaRZbwbQX zi$>Pafpuhy#ssBI7wRDK(secJ+)uN%Z`BIl;0$Bwm*$}oY84$9B~=A)f1wCMsBErY zvdttiUZ#Y`-lQs{X@Q@@BKxrSle*=7(&q&oQIol+D>QnO%vaa@yW7Wy7V_%Ly8O!h zhYxe6jeLJ_+SkO69NRjtVdfypZH~j-gg)zCZjd*Dq<+}lCWp858!sCPck!~3EgA{u zypczfoHjj*{~}H)z0qh-lN7=GI8Wmg0sA=5cu(U6-vNn1{;~PF1*0S&Go$1Ax_InNm8LLv{H(MT=rBu)8BJ(ybM6T>Kw@sb~qA`y`SgukAX@5F$qBa?Z=myMf6WmmBJYA9tS3>o6wPK+X8;!W= zpTpdV+ub><-?`QeeR#|-z~SDo=*nNdu+NT!8w{<(Db>%V_qp&7%0Ns_ zS9P)sS~AFr8toK#ED!!*lw3=;e&fVnD%)FsVd@o=A%x-z-q)ruJ_9i7smK; z>ywk|A9W#Gpl6kThT!|AgD*iWfggevm(4nx3Ajq~c`I9P*WDI3?=yD^>1{IQ>21Qy zj}PlIa3ovZEjMi1*o~Lnh5OzJ?&|qwRH0&A+P-$2`>#{)`hbVu?SQ4cO|1|y{E z)EHWVIYVUOu--1wVeEctEoTr_~WU4 zBnR^P`vS5(9`J3$sc+wgNvbyH(O%V&sn@~RL7UY!Yx7U$2=2Fn94WS-=g?yCW+9MmwivpPkAK+b zNIx#7#O_>AyB(5ruu*wgjiWtx@DUJX(m5WwiRua;s>m5#E`Bb&aKB8^E7Z^k_CQlK znAT~});N|tuoxDCa~E3Er{n8UK5AT*@O8h0)WW{rfv>Io>c;!v;&+H%4*Pj+znGph zV({trGaGs)Ue1qp`DfJQno^O+a9ZxDyaf>MjFklA%zoMB@0HU;ltg(c%HiGJrDR|b zSaJ+pc>rry+R>y+s6$Ip)+|gO!iX8dkT!`UgO#qPlElj)Lr{#(m&$RgKRL_AiM6Xh zHC{dh$G+tf>}!*YwyOvP&X9aWXqPIHC$;ShU^l1&n2pO0Dlhrn>xZ1YTE__Ye zf1ToGCyjd5D|pQ;d?lQEm7jXO-G5!Wdfjh2yjpg0v;L8xU9g+D-(>P1EO-4(o4400 zSAWBr)JWzk|JoW~`wZ?H-8!MSV@mrSi|4ud!^RZZIN!%w`47i&0+0X2PC4A}H$7f0 zd$L_zEnB&ru<^R2X0*tTtrYBP0dGHLoXI44FI3A!9nP@W3mrA_si@WEs8<3_ye5ky z1)_I?mMZd#pdAZrXs)J0_yaK4!mv-)>UkSk&c%S)mMAWuYOq#bA6{~Lx@CHCCwS%U z^OoQ2c)UBkGC91n!G7&UF3bOn?d9d^(e1NHj4+L0m*w0jK>fl@E&8K(*>Aqf%frOc z!10SC0~h0mDO!6iHBiwwmF(~b-}*PWaJi_ZCEA-ImKjd#tR2Ay$i#ySRWR0n%Q+Li zf(Vs+=9=9M7i@5(Hr-Dvbi&d*;V*?CoF34~@Q1EeTB3g^&B?-!Rc39zR52+@3&asD z^x9jkmNA#rQs#Bhoq>Nvrx6F}_X#&jjKq8M)#Ea@f#JyDE z#tGGE8G=$$N=2>}ioPxfge)F{PyNH!dP%2LJJ&@%Z;u05(zHw$h;Di&_RLeX;1JcCB# zMozv6EPLtyM(W|_UJ5doeuko|RyJ_Z$n-?v9->ypaETpV|ROYdt!ZT6}bt829w+} zeB!PQ=xwO5nJEGvbk&Le*74C4H5+@ZB7C*lc zo~2}%W}zOspsoPIEt~VF`7^>t_4~+gW2BucF7LrSYf!3%qGe_B@l_UvF-UJ3kB_Lp z<|_grlF&5C&e^IU5iJKQ{>PoOKz#%o=>H+kVz{{kvrjA34X=~J8$E6kX~_>upB{Uf zkJ5Eoj=-E2pbn}Lr%ecphWQkdJhMJM3ee;dEJJS0E>#Q=1Nd}40P#mdO1(vN=c5MM zBQKW-ZR|4wJ_*L4mp1}(m~jVpP*Ir55}_Y?4qpKqi%aN>N$-ZkB&1E)*UH;ANDJ?R zileBE!3D+HXQQ&l;)sH-BfTx>;2O>(ZY3}%T-O>*+S{v$f_?YpHH>2^lB4AEkdQOZRpR7i=*&%g-+vcAa`th917!?%fqpCGDUyuLK(-_QTkdG1R$&H4 z;{Ido2#bO`R%aQVJf6Aj*W>>}drt~@4_8rd zzKFn^FY^Aa3ccQ{5S$W7!IG01Fi=bbk~cW2V0YpJD=r5MlARoMF9~$H_J;+b zqT-vke&b-Ai0OM1R#|j;(vtTiHha56vOkA8YdUc{qdhIybx_G5`vRHf=o0{q$+qJpeUL!oczo={H*h(Tq%-la| zD6Ciu?V#FClCPSu`tbVVv4&I%O+=KSTQk}~_s)`13i58W-ZrL_N&522=8%5ht$685 zC~4QxDX&Did2wncv3%B1byC$W!zp$DAhujdoA#%idNCbFdSS^lM%cxa>esLcjLjjj z_Hj&d_ zQz26BnRxF~AxiD`CA&O+7@s*Bwae&jhe)eN>L5(b&oD&j!2chFpHD{+lc`X44zrQ3 z`}+MHW#j6)+nZOF4V#KPHn%gdkF#j{JFPt1b7%aG4V0N1=VLZ$hMa5P8J2G6*&Qa; z%eXMPsMKZ{PHIYeYGI`*=bMZK*Hg{MI&-nIb=$Bn!H( z2IcFrS)20{W5;k*?|Sp467mmdqBBJxMj{LU5@FObmwa zC`AVIHI4j_f)cjCc~vr*XW_9Vv4&trkW)=P>gyosDj_u=uYm1)~^$8l-H z>)6_q^VQPpQl!`8YjFHCK7>u9&Z9I8-BG^<%<(}`M-hWwe#~;H^3)7gv)3Ig?4{}u zbtyEfPJU?@jQrG_FwdfsU$5a~-YjKR)wJvg(dNq50JXpWla;$%t7h{*Neds}TlNcP zo&1iPY18JE*Waj_b|$W4j@K#W8I-CblT-XxX@H(^4_m(DBA8KUbo7P;z-xvR290~y z4Xj_3Z@SJefoMP1S2u5Ox0jc*wWwWh@8?}03E^OK>^4fz!`gdH@AZCdu78c6z>8-o z^EE2!cnjg~W~^b2pA7$Y*4!X-GyL}97!Ex(_5FT!Q5-=z*FJGs9Kj9Oes)zH0U!51 zaeW+YUCYVv_s54l=Ear%BA*8h1_eS!$b-!x0naP!q9{eM>ln){zE${X@m`qCyS z4e?~V`}3=do6UXX(Zi>*<0`4EfT6YR_WpQc-5 z*Hf?JV-kc8V;aU|3}59#&8E!mH~WW&=e#o>D)!c)_R*^E{T!_qo;saR{hn8$3f&3u zZuvOY?*H6huR;;-8E!|0aZ+MB41M~-c+eg;npqvA?1+E!)sx@Gp^86rplijA789Wd zz`TF4(O(?$7grzvrdYZTO!4p;jzHu}XUdfi40v&`tVdfcMZ2yfs$NB2NQL@j&)i|1 zDs^GOCt#6It&^?Oxl_(J21z$qNaX9K5(bGuO*yzM0At)W&SoC;^z= zVSir?P%%cRLjubWRoNy)!1#d2jMU&|S&JR4&ChlW2izX_J1}lWjfw!-LvjJUUQJmW?NHHK^Iria`!M-*K00ID>{^}`~>xg z{KX$_%pDkoX_l*RYqJ z(to?MBpm;?#D~QinyTdf7#jkUQuNh+R&w7vRGPD%IALD1GE9HPz0FCp->Ue~q*S&~ z$1E*0kwWe0n9eoEA~4lgRPgC{>&dLXR(2|JZ%k5^X~9bWQhxe1KYl5VMHT@P|_0?NZ@{K^=k~CIg)ZjRz#reIU&j%Zh;Q zv7ha=EY^Jki+Dnx_Mz1_=ge@w2Rc8qL2_mIFp1ZpwmZuEvYF_GF5;9vt-IB>;Iiv} zJj_HE`K1hJ>(qQCi5#%IvG$3WzlU`>+}+plP6L=bfm6ElI7&R$moH6>i)M~41H*k9 zk$HMu2T_Unzdi19b2w$zN5n?ppWbZ-bVT4&t!p)u)gZ41TY(JIb2EBu-L9kTO{yrW+4B>BMG>mq-1n8?Z)rdieM) zsOQ)cYJs1xt)9=kDYIiWQMW+47EwRs<~{8M-*@uG>$xIWj_o45r)v_8xyv56_xgry z!*ZpV?I#s5rL%k6`xG}2}up78AUtWt0gRvCT`9gPQp3zIXJv>;KUUHY- zGrE_Sol8b%Rr;Z%;m=Qp{O``~p)e!VBiQkqPW2JGKI6Ere^?AlSg^0o{epK-SA}h_ zLCAf(iq&V zu#lX0c(U5-72F(D%9^*npC=W=y@LKl_AgwX5|?3W&u2IV_JIN&hO#&cOMxf#6Ma$q=w}tcHUA9)7Ic3c!Yl?A{pd<#A{qgK z{gd1TlxWX;U2rZATg>FX{8Hn(hHCp3N_e#a@){2-yc5(j?M6Idbvbt8f67vaIrkfG zLp+geUx{0O(48&CD)|$!gMCxCx$my*ylB1ieppZb`}<*RIE_C2UrgX&iNsiyaGl>( z*RI9D!PGp-KPVFJ7uK_-YwXyDMP>*(HDnl^E-|wxLL5I+Ppm=#%de~xs|~2fc0>~d z%qYj_pZVl(*Y zt5jt@Enhp>rD<-zm^Z8zW$3Ds;Ch(4L`}Lsey5$`BU-9Q9KcpG<^8(3zP;aKHI$8Xc4qQ1 zb?2G=gU@ZI804z=g3CsfbE6&XBOROk%7Y-_Y&Y741kx;!r-2jXz+A>iD8H*+Q@_b*YUV(o;e3_S~VUBmh&QB1@*A+7- zS1e9a)RI&=Fo{<~NnoR&+{vwF0{p*vsf7!3%JlyW@71DmTew zAWX@tG!S!Uu5l9<@OSfVj8;ZPFw4l>Cj$xbOF;4|%kz+Aj^_x0<3mCmb~X6r`aJXJ z#is^g4!N3Yol4nC)k>22$sxq_y6&QdV$0L$tVz24+aKwZr_4|ZI+0$PoMxFsYzIt4 z2dF(hv0`u`Yo^xXk4!RR77RZcI=7(VmC)EF6qkua?flSsXKwz?QxLhOodoR#-rvej zmJOn$QxNX6NV+|0&FcC|)0D8>onPGF&s}bIyStvu{JdFcrOT-TUg@P!0fLMFOff!0 z)g(g%2eSeO(W_6&)1rLe*3|Eq;-+4RmF8Cx};Vbor3zSvRHfl1KBWth{lu)1Y? zxlwsVcVVTE&F6n<@;-!X!BX*yEY zDvVYITCFayhsygFQQ)QmY=>VJ0lTStia>0^crLI}4rHP>5srErT?kY`B4amxxu8k( zfm-odCJHEW%0I{KQo9p;&$@d8(ccR*_wb=n&aP0~z$B#&KIj%tqfq=w7&`3*Z&liV zpZr~&n)e;*nN&WMK9Cj<|HfLrX-$Sd-r0tf^z7Kd6k2}!6VHv9#&qnXtjlLRv;if@ z`T9!>?{J~Gur^+3r9`wrAcr9=!`q6+sGa*YR=p3RnTd?bvJq)k&YL8*e3m5BLDQM`H214#b4DB@;mQUNKM=1PL5dfc06^-w$X>qPtvYsZn@F5I&&yPf9xMMwj&4 z&H__z5w#^qUc`R`?qUO&2073&SHA6Gd{>$B{|I2wVZ{3%Xx@DurTv+L?LdpuBFf54 z6tR+%f*sv=qBUxq9Ls_!K}%>Tq^2pgOfCIU*W>`(WfJV>``Os-CV z+|H~4j|X=iDtkD*}_k5G*`YzegijBvVP`Tk<{$5hh1`>zj683PKI{rsyL z_8@%^CqjjiCG&0Cgw6l;k*tn=eO9^M($l+^g?(p{G2P#;C(Z) z@b&KvD&e91tbR=&L1yobi>1nnLnHm3WX7siLpcKTu#R?@+KpkNG za}o`Y3kdrmpOqq3EJZ`<{TBlCT|f;wYucug5R+RgLgeo7_T+hLj4-G;PJqu{<0H(} zb6<13=zm-q+l?x0$Xt>9!2fi2$e%g3)&d>kjEr(jLY9&;V;yXN6#is2)X` z`RaE6Z1EC1#Q!qsCEM+*jDwLjS^0-Wvu4WpUhdI?+F_U$Hd$C`+v+IuBJg2Q85+db z=<3rJoXFjp3?YK{T~k0H83MdDpmbiv2Jz9uBN+Z9F?+rQbj!DR>V3uEe%AOpasMKo z^hl_4>gCAXw-Pn<95wq$c&&~%DSnE$Nr(89)v@hEc-6pj=GQVd@4Xbbwgj~VN=oP_4;ySZNndlz>+pEFHv2?5WlHg2HU88Kzpc(*+R<$AI0OG! zVa@S;5V)z%ex>ex6nJ~O#-DMFo}`_1L~oLZsJr@-!i=#yyH*H&{c^vL;qj1+nt|*2 z67@{UThTd2c)GTJk~G)o{+w;do2MU?8+a8Ig8SNDd_!F&`qWRpxDs|T>O|b5 zsOH|C)ZCuR6_&lOdw%capa_9EewN;wq`|#77Fg-bydxUV*e~w;anC1|AbLRl{K<22 z?Q@R&qzsFvJwL(1QqV15GhtLI6&ugd<(C>$X{I0flFtm*p#nAHYtKZZR1Z)Mr?F4x z&-8aW8KSm3r*u-+{yxgr27kB>_gyt7E~|5PO`0AU7~})-3WxfB6(cZJCfZMH{H*qR z{qkCs|31K7n(5@DS8tR}MMuXI@=Co8IcnxLy7u`3zRnYqp{|!x_jQ9+V?^`%EWMYL z`29BiA^qvRmuvsaFt=Ps>*n1y{;uAt9iZ=+-hYrzFaHNgIgKLDS&8|A2x&oX8ELyl z$lrC(^Ly0C#KX9$+*Z<^+Kh%Hz0P$r>GfBwfpF!#$!Jymf~#n*5icksq&&lf>bd-zsBmf-9da#*gPtF^kZXal%f1I#jS|yP+9DG3??DB$ww8BQumz6wf+o&S|J5b z`g$U&`q^U6imW{Y!HI6)oFE8MMA%RQ48Uk;a|3h7_1WK9>JSDNrUKM(xOrWbKs0NM zubFnxUiyR^L|h#YW%0Q_c$C~_WTa1ixhsi!oe*Z$%dRGw?iO5EI!bG2$Y5Y!f;8`-NQI&z{ zuW4ai8Q$8?cn>Tz@z`lX!jx+zq1|Fi7Z|Qm7SUWe;`^%Z*!{a$Hw&Ck+_K&Bg`jcg zl)yT44Xdm}${6?2B|Joth{w&cQ)bgA3l9~}!p z-&|nSiqJ|GfMY|IDuwd(1AvNl^_>LVM0pRuP1FQO2fWF+Y`}Ts96SKp93oa!bS$#U zdXiyU7ALS-vRi@O9P5ArUU(&MorMZMEwIu@S%CluLeR|ux)b6w(4DF(mn#!d^xA;~ zW<$`^0^=T?N8a~SZS5uqdFg`wNk(`RGk!!sMt#fZ!|M1EM*SWLlG2BXg0DuQGC5|s zK9ak~rLsDSC;yWpC6M^e6b$}T6KzXyPEE}}CmvWXD5?)u$vVmjUbsNt$NQ?^zO>w1 zou5Z1CyC=vYjaO%8dL{owtA<9N-hFQhl!6Z7XY!ss$gZuxkmSS)+OXzLW%~#QFmb8 z&Zvbl5>fSi&%y%pHH3o(grazOKnpyQ&58=8xPZUgUDK_F=WCZ`fCYuqeo4-Nzyh}^ z(EUcz%MA7`;e~I1bRE#P$nmJgE`sg^^8bJ(_#&#n@G1O5kcE>>T244XBN2b6#m!1p zzXmCzY469-1fR@w3duO%077=pypPMO?l6#TQCe85EYK-FveB3-SGi%w$w=2lm$?jr zlwA4;tZJf@S>g6%vuai7$L>Wj(#W{%WI~yrt?ugqL*#%0UzGN2jWb04$Ep#XVn=vd z2lbyF>dlaeO*9GFSi{Q+QVsn~Ez2Y$o_ z*b4i@?NS4|Bodzk<7qd7#`*GTPJDa-6)m}u1t23;Qj8h224S^y%8vxD_?)n9Hv097v{aJ9gguw zhGZ@@8gLH85?Y9gH*$jYv7eZc^#rZo@V_z3y=`H$$)G|yMK0S{UL9aX$6;heJqElti0;$jd!7lNXLG=Qs$D?aKy|VXtltInd z*HDy#>fcF#Tp^yc@t$MzuSFz`T3J?=aW;wGT}VdIrb#vA>6Ph7gIT}`R%tZEBDPhy znpi;0QB;%hTyZO=LrjXDf#EpAoo;tD77JX6U@yiew7{M$0|_{se<5__6p-+Mq1sf1 z!>>@<`<5WoUxLP^n?HU|9T2Gwa%`0{fTrUiG)D>?7rKlY(ua0}6fR=M5=!qxItYIj z%YTHgsZ(iQWAJW}y2agT(W&rgF!H=@`&96ZKlL0~*I@*tY5JpLndUu9=g@@rGXNf0K8TuDzAD?ivwyf$|PJ4&b?oQ=}>aN`E3D(utA*xRW}=)=+fIv5y=`b z+r2w)?7$lUl@bDOu zZ4_qvH6-9IfH*x1X?<{zzQ*VQ?@>&#jVR-cMUZW5 zZY)}M)NfC9DD^pA9^I(5w8^;o#HvZD2CfaEyp{ynHI!voUAyp6_{I$#WuLtBJ2hGL z*p<8VNI(Cax31UIb*6WF`fC%d|8I3MOfge2s9Y`CWW_Ryk+*3SN*QMG>sgnc_qy2U z#$rs2y)HvN4Ngq8b#!#k`+nzZ3dc$TDA@lKeetn|hG-^6+Y404!WH`8!aZjFOP5w# zr5b(d46McVf3Y+c*F#!u)oK-`GylO-x7t^<*{`+RgE!izHCE1JVm!Y6nj_dSPlGCY z+M9sh_Wx0ap``lm1qdGpfL#Q1-vszQ1_XVF4ax=}EQpxf?4%}kir*9*6MT*XY`d71Xb{zEN#|Tt1(1e|o~# z&xY07^TlY64)~OJ2)lp2;g;5M7aG;Rn7iB2G#OWZl~=+Kf(Z1>o$8#}|Fh?4>&V5! zHCo1_AP7ahm-U5kD+B7IeY86PK#Q-5Zg~cH$Mz^q9ow0?eq-bBhDSPx9i}VNn@$h^ zxU#39OOxzkmTZh=Q-^`3fryyN$a?R%;{U-2VI3V*aLUL zH>C-9Sw9e3{3%+{#vH6IAO4Eosj~6sF~coFBlmZdP3iC7QDCX7vi>hLg<%sMWgAgx zgMRy-=ubba>#NXeNAxZI*0VwEk0TcABfi>qJ~peK-#>J%!Ns2Np?v6^zOd9vSFP_y z3M(=}Mg5?I`}N15zg+lRU=kmgK6%}<)K z`#04^+UZb!Aq}Qv`Dd~w`%-S)`g)63L0%v1} z1-{VFEIT~G}TwuQ;fkJHG|&THw-r*bPUlyyf1i9amSn%Q7(geqN1m9PQiPNoX2Irp57|uYB!f80K9gz-!YE?jB z8NP@ot=_YTU4HUw-dqNNC+YmN=K9aKj zCd6~2C&R(;m8%LfV_seUXoB+Q5%o3_^)@^1ww47_gBalwG8J>m^W3S&HjOc`2{?d7 z?8?oM>aefVz^IcA!`q15>flgv9XHj)Xw%AaBLd&R$Yo)^n9aE@0^iYyeRY0;{R9O! zO6v{!Ga`(}`l?Jf=WFba7MiZP8@zUBPnRFkwL2URU96vfm#sBj1Bbwi{!S;|{T<`5 zJJ95?waja;)RE`q82sY=ZQ?uD^^EXU!+exvc!vAUiD>wuJ%$x$SbbWP@+sbm3jvrj z0mzv^-kH#8l5}{Zo;XmJIgkWPSTUm5)Y5n2%F3=YIQt3K!hC(a!FIxI-K^1;t}$Us=}ZmJIAE&^-_PLbtLp>J})=3v)3+0eJQhL_JN4+_>EyIf9|L?WdOcKyhB z6h%(K*E3*e^hGp0jb+EYN91u{5?0+qx)GVF95a9vnUoVF+fQ`wD>9er4Rj4MsZPj2 zIpYi;G1Q!9mmm<)*VvBQ2K+<7?@($2-P6_3iW{l4SKznEU4@*8GcfQZNDx;ORaK!u z*DZJu0O;YD3`yVzpN?i^Glx5RB;ZslgKuO=(Y%4gL|43?R7GNS`EwZk6po{hw9d;0 zyJ3;orO<5PBz<-q1>hhzIwGlPAvY1cNRVJCG8Qs>f|3uG7ZB>0(5FpGAwm$`uEK+2 zY-slm`Wiayy+Dzv<|~vCZ~SAss0Pt=&G=GoBaHuIcpC*q!FPpJkOeJcA%z$+!L#LTvH9A_An`U_x>}pobBd=M0I<40MuOs1ye)KIX^)?Sz>?Z8+Utq>4<++aHgB zeaK1S1cX7B?k3M6quN(y7<~;2XU_SC7>VVn*QzQWer1p07IOPBBt~~5LdY;L>04nm zP*K+?74^uuyM1ByJ$p@x??}bGamg7BbLf^ab9N-dw<2Ju8NW(f_EJ(Tb~%Tw-1Q6~ zBCOK~os|gR>CqyPZq^64q4!DDYcx^-w!p;JaC%4es!Y}Rq)IU$`P--4;rV1C86Ndf z6^+ONGvLl7+!SJNkyQlPOLTqihGgR5_rNb+UNhVRLNNclU~GDSF|>*5mHX-tZ^ab$ z;qBJ(3L!C;iX!B|*E3NwPI{s##Z=Md#!CTp!G|_8J!0qr#rW0X=#)r|D;S@kr--hq z-w$iBz}dBD6%V+<(G{_nRb;wUPtThDNQix{XuQ~YaX?FkSCgSNo@YxwQ&}DYQW;M7d$6d3hQYDsfmXEbTPC|FB=KM$g3We(_jTSQ$Ide3!uBJI+AJ={kx#8 z2zCVuoIQoF!J?i>KSeCrIU5!u%g%v~Kd}&D{qb~oB~*{C=3NCAT*($W=T8V?6@z+)5`;q-9Z8M$#QMe~tizwWfrrTn z%4mO=EA?+EV9rOxwHuA;73gcYRgo}%6`wvQBS#p;T)%(DNj}E-MOH^_|qmtk6`6y z1SU3Arv{g9Fdn#3afc@yIJ)}$3FYiJgCI^x(lZ@2xQ*8Lz_597+{K$^%MV&kg$a7* zhrE5S#t>~e1O|Kv24XcCgWhN(0wW#I+V_u@@@(JrY0ot2 zkrEMRwhGJNb#`1hsK+vwBku%fPvLZ%S;GZ=HDVDDmXL+xp21NJPBw#$pzZ5%a6Ld{ zr7_&=u=|5Ra`e@13!w-rC^+KDh4fX&l~(&7z?oRelUVi_?ict4#=W1f2#JiBilR_R zwTteHQ($}JL$K}zWPSz5==C^8V%;0bAOJ>vzR*w%=|PzqHuYA%Jta+F^VlASj*GttJ2*n4uh z#t$Oh_d|bSXGqjvepv-Ci${O^FQB){%6yF|ejIRQY?8AxPMcyY4u_7k^CH4S3Rmzd z1+XKH$s|pH75@f8#1KZFqT#mn*PybjobYU+2!l+j;iV2;_zgx6OhF+U*>g-M=8Ot(H-5$O+_Y{WuU+)nD2>>?~eHFtoIgN*a_di*;ye4Sggpnsqi z@8@h0o*RFi;Ez_eZfIW8aGO4i;kbSNs+_!`XYzo>+@GMH%vH@3XS@PN2q23^*_+WX z6X+X@s0L#^Ulw`@8vj9>6XSFRqOWZHRi zZvzoA+r%5Rq67wW`OkGtCMC?{i^1WV!UZq{cHs!;V=0e`lH&>+#cNy=1xhheY-O2Q z$_xl1n7<)w0P!~6EuxbgdG@MTAQ{MiYWE6&&J{XGrxn3MIu`vPW*ndaA`(*z{>jf+ z?MtPAlY9FAF!ml`O*LD?s7MtM5^5+Sgd!3U=_o}?2u*qmU3v!rMY>WA5JV7=CQ_B& zi*$)06hVq~1f{Ap=_0l}@qNE@&inu8e)m4l$||$>%$`}ZW@gRonVkf82C>61M-zh{ zag-@@+9?OXo)NUcsv=ESg>qY{cGKS{h#c9M?7RpJe+)dG3!8i{^e!!YZ2tHPhT+Tkz3{E25a&Hohqtt1hR&YZJg5Pz zH4R=Pv(_g9c2OeW5~S_O?RuI?t4biV%nQo;3^g zy^%YXuv30K@Xa?O7$+TjK09Gg2Hv@IxPbegsA`>cu)@scllVTvT5la-XHnt8*5G8t zPTu))qF&|Yk&W5F*SJra+{pogceuyCF3I&k;%bnuqI_6X`3fMK+*%3*jaLP?9I=wZ zS_VY6!RdNKl7d?5I2QB(M#zi9AO#>o5{V+doj?@%*NkRT6}ME7xj3U2IK~d#+iu(= zRLI+S=-F8LI6=jUK8844l30orQ%by`EE_LP{ORND=d4w&tW`s-RUcTZ_E~MuDqUN}qJ%HOcwb|Bs2v<*OtA6gFlM!u zS*xd#+l@-dBH!wF+yzIdE1W*Oh?_r4Zl;yq6e+veQx<5AXoe5i;`^uza-6Y63{LV6 zj^wpwIGDK|EAN|2D9+l)uRtl(Icx9Z|Dtz#09~HAv}9szi%I4z$a280Kqhz``0kfT zv+-V$woB5PRyBS_)CgArwF^-75yZ*OL4~fVS757q`MzMarO&e_j2fkbiZoXUvh+PH z>?!){Wr15Smj?w1nKYsXy>ir;Po=E+qX{EF)X<;c4R@fkZ96H6(-9mTx1n~D#&h8b zJ0oy;b76IM-fumAMPez}(s}cP95H^Yi;DxQ-_l~A2HH`5De&FU>iL$9GuLGOEWK&N zx){s4;Ge&6vz1D(3&Z6LRrrXr6su}4Tq^5IWa75@CW+Ext`22qeBUdEGGW7eBoH+6 zmm1X^iWGKd3y2-Zsohz7XtfAC=$PmsUjzZ&ndWEtoS5uspS%F;Hw|no+%Y}EiI%b~ zwAchb4PJa~obZK19og|hU$m)7oFRSj5=WzeJx=_cQ(>zbtrH~w3S1UGu8yU|=r@bC za;wOx(W9a*5=tU4R-c5deh68Go)oWs-az}sheElbabN8F-Fo|Cr1egcY)G#_uV8ZG ztS~hTrL5M0`ztXrOSXZ$H-5NE!zZon=FiBDNE2v$0@`=d`)H=Viptw2G*dz2+VoMo z+g9y2wR6?xcZE(n{Wy^kTODO$s`k9_Q}MF7tPQ{JrvMJ2udyR!J$Dy5yR_fMuypbq z+!!{$2mXEvx+#)tL9*y#=i{qAuW>-~U zzDvUiUGHy?ga))OIUwMhw|dmgkkg;zld3U&)T{=qt(efG0$QCYok4bporeQmB$XwH z1iMmdY|jrWFddSfOE8uYHw5Rvw2I+pQPGv6Toe$y4KGtX@Okm;ice=uj~$zF&mS`@ zE>{G~_i;f;B49!B770lir>F~}1=cVa{P_ZQ2XPFQnr+}<+zbzVJa(8>!OFYqNflF~ zw81rr*yLUqipv2Ogtc1{i%onVIB{dyBr4JDtn>nN>n?RS0YS!54e@MOsrgHoG(NcC z@JWxLv1}jn5@xPk0fB*@lle5UVXD-`0Y-(cEFQ+I?di#B!#*GAc5AtKH1RP?<}ZQq zH90{;u-57Z7R#GXgH86u`$dR}KR{o)EUMLzeVgj?c4S4EQhtO)nohqW;wpRoezAvP56FGX5qPq4nG1z zj7msnR|zcq)StF@{G7oG;nnUPyazMS8on_9La57kD}0&yH(}!xM>UIQ z`?H$efmehL#_Vc)$2j}iD4=8ET%wM9U_>3SxM3^w@fZ_4hFQB#)2epEYdPp0VgE(q zM_=b4l%sHNFZjaFTp(BTuDthhP>xg@mVeEc(1$K)a=>Dl_l4{oD>7a{c^!Jj&$2yd zwND!bT7H`#=mJ)sc5W~;pCwL}EAWbv;IBVw>4#vAdYh^es4dHwT(f+a+K-d4&*qyg zQlPFB5)_(2-Bb_*K@#_=|2r+D|I*T!Nzcom;;AC`Y@64vC!N=UuVQ-E9UCozb5(=s zc-9k>D~uCq1j%_y))o_!OB84t_iisfitTf4FP@aY2R+NyUL3G2(#YXcIv=RLMX+?Q zEOm^TxQ}hXeDJ1nW!Pb4K(y%L4m*@Ur%I%Ad=g z-O=cKhMVv+lChN%{{V}H9{EX_`wReZX9Lj(vXB;7;TGX|rP#SIAMi0~^UePBlaIA> z!wz?Hd$;A2=7YG}{#>XaIxuUsz`VqgdUj&{P$DeQ0k#BnjQ$(+Rh4MJ_?W^c7i#46 zZVc(M*=^j(eYq_kF&}igZJ#XQy3Pv(3H`` zwItxgYLq&5nl!hq!6#M?$s6l)A7F|ukA`m#&`74RK>sfU%X>2KnX~yB)U9ERUuzrj zu}B9;ky@Tnn7Ov6h9qi|frEkAF<7+o_%5G~TdT(Q{mpXtXuJzlT_SKW$Sgc`C3XyF z)N-P}1Z;NQ3D@A@lP&o`SUm_({jB z>-|;*nD8$U6YB0H8_Ph+SI#GuadXjr{`;Jm3)n=x-oAO*cSSe{&FOSu;5u znKnfDetjc953lhKox+1!A{5YyG03DlVUl0eURDLQ=A6*T2KpyyOW|SAUDeFk$HW3P z3<(@%5}h4=p1&z{RfpJ%2_a)7q#-=GQ%rOBA$F(Yhg31gaShU}8(Wymp#*num|CH7 zsK@Xxsuq6=6YRl91y)s!haYU70=>feOfoz7(&VE?vnrRlFEkRk$!e=%n~vNkoE4Z= zS%-DSTb>S|rU@gpiTMs3ujnlBemP>Bd4!rMkQseSP|iF$cTiR5#;2Lnmyer2hh|ds zX*u%NG5(Kll$YJJ4uRjQM{}q|2g?krhOz$zubL{`cbfKa*+XM5~0724B%vS%@N_kdmsu)sXj*c=>b zZDK5gn7vm`UZj~Vn%czFpVBUfH<5MVD?`Ufp#HFq;819sup0Bqar%V zj`oxhAepnYprJ`#1`TcG~EO zHwL2s7p{kM0%+p?O)+(%%_}Q|i@+P1cYSd3`(^denshvCabj{OK4FZYVY{T}=CIyU zB)VJB@RLDu#=2e53sgb?tEd*xV$FOHxG9=5hju4n4ACXnv6nD*^r#0b_--eOtFnE@ zeRaqb;nQRhRG&s18>B7SfVc3th`0=6vBd8Nx~koj2C=&IK+Rd0d4g?<%{P9xeKVA! zNVsP3>f<7_e-JRN{&jogpW7Gt44WTm%NB!9O!sUKsu4w0|feFc9$T_Jv;=Ogxq*-pvjQ{Yq34o*H0LO!5XT`brwt2J~vFpSY-KhOSDs?)VX_)|E% zq%JQcA#Wg3@icXr@TcWGBFj!)=D4pQ6)EJ=`-VLxtwRukEJZRIp_-d-2UucsWQHXqEZReC)(uAnMGDzKtNi!(ODBjT-kg(q{ z0Y@jxBeTKo&I{!9rzJtn4rqT~GF}MMO*m(o=jI1+1 zUt9GPsbyU=@DG0W2bTiuR#72XAq?9N97n#U)Q?4fdu>NXdJ-3fAD)E z#)VQNM5#%IKw{lC`cBI9Un7NRf?u*8kTEu(1C)$mi-VUYIU!qEJR?2W_rZ#qdT;u> zaeM1&^~d3Au*3U&*Y6-kPqEJj>Z`xh%TGRmZzOIReT&&m{((Cd?0guD{8B{Q6S!@i znhzW9h!SU7Zn>iuYcK!xO}~Di*DW38m?=~*lX2kI6K^)e3+nO*EQprli5^=^24l&Y z@DP2&(Uqm@%V)||io5#wN~qI*U44`%WK5tTp)S{Ft0ot$P;qMK%&VbJ+l{{mbhBGP z#J{Q%fsOnk{J{%<@DUN`YSNT_F_}Da%o=B$X&~CmcO#;`kd_hch0FwPE_W0qR8g@- z(+>>mdnC}JY#H#oO1IFU;6iciSTZ*VoGd)=RVz=Sh3V%^O38ttP*5_>0 z9zkwd`8B3Y&&Zi4tI9M-POzq|*#7O<*;CKrc!g;}mw&PEdG5 zl}6Rh{5%>){W_QpMD}FLCudGiWB`%t4DNx*(gVXFvf8e>zMY7uf&|m#9!^3PuyRDU zKte?{_Qpmb3%sO8*;s{)SsHT{lZT@)AP4#7cIS?y<_IK@&aa7>%47px}NzM^Ccu zfSjJ}om1rrGfpGsG^>u7Qv+g7*ZU)>U!$amIj!a=W=~5T8f;8)K>2^-t8Lo?ruiizjhs0dMO-52%M;DVbx4v+2X)&z{K4+-U zlikrTQ2Nj-&4aw$3V~j==oXryHf=GzVJIl4u4ZWG^sz)!s3Lg1Aml$lL~x3_!ctoZ z-f>4U79Jil$_?1~y;`Dm$p5j9-pH~CjL%Gt-^`a~$J}N%ofqCr*s@&J>RxAIF?M}LXO?X2 z>X3#2*}aGcp+aULyM{LmOO)7}Owr^6HBG>F?`(Fs(Rj~BW;cBh2q|%qq*bFo42{kI zlplI9^F~x))8HE)af{d-_&}iCnEK90=L}7nw9p5ohVgBsbbe zU}5G6mR=%jM`YvM`lc|COu|ahoSGh3W8nuy zL|1@00bEqH4f!g;K#!pD^1V|ciC@ztt0B1br6!=c>J6s;FsIIC&W-{5NbM30Lm>8LSZYI4=>w0 zR+dB)tL`io?TFZ0bp{4)C~18?^Gf80uKW?w_16WU?yyY^V<%@4z#%%q!pTVzVq$!UEk z#|wUNKS;M}?;uI?3mT^cH&X7p>r9G}e5ZoV2r3aqHF~g^QFq-~9)z?nkdTQiPtb9@ zvQ^(crTjXun6xjmFNVrpzKj#2zihCq6wRJQO$i?l9G78;uSXeahwZaN#*2L{Nh4bi z&Uz^6KkhU+OSL{GQ%a(2O*oQ7mViA7u~fI&EXN@EmmDP6;Rg%Tv9Z8I7E_>GR|URt z2G{cg@Xafu6%6kQSX~kE;qVa7a4dLMvVvg<@))nN30-95tyJgB z#hkMCtCeoU$O?+7#>4*bnX%&lc1YgLCy3mwN57u`ccC=HI zkZr9>QE_J=0?AKPtx?hlRZS4uAW3Gn8Y9mtRx#QgIgX3wxD5~OwvoaX{)@ko3Dlf)tu#FEad7K5s zpFwe+VxRLQYCyWSmjb8{wK#nv3TUEmCr*8lF$s5pD@KoNHthaXO0(BF_NS8ITtqgZ zoS?Q`yj}nLSm?9bSbfp`q6?aLG-Wi+G!u$m`^e}a*H_hsMDHAbqr0}f^Y&cl`^C9) zoePVrbhfE+z0WjXvL>co>9sqRDa4zkN+E87I=ZEz@8qbbM`og;e#YF6{}$6TFffd7 zA!^s3d0WSs$lRXOs%ZT@eVkLe{%p3&LEHP;K%_&t4-wOdVR%Y`XSs_2&Y&D6^63t< z=a7@_ftj`B(b2AxEBBnsA zNo13WY~|G~?eY^A?xD0IgHjW;n^;*F_4{w$#XThoh>8Z-p=V4Qi)e5t*Zm8QWrUBu zDo)SbL%A;4IunHgXCQR;?an;b)m%ZpHwANn$w6jQ7VM!Y(aF=%0p0=nxlv&1O|Gur z--es1j>7qDE|`Ih!7gN!k&Kb&x?^vs=|co*dfd}+11hzrpVidqSCn0>5N1N$2F{{& zV@y?RQX;RYxJj62&IC}s!!qrs>n7(pT(n)vPNq$c#B5*iCl|ges1Y|wy~$+yEWYj` zZot^U-4LC*UZf-SW@5lN(>>>QZmIZ$tg3>MlaQ?s#5i-EPiJ+5lh02W1s(;Xu_F4V z1({$}Z)>{2)I%(Qid?^#+pOLCSL}LcQPyVB7z^79dK5Ex?=P1B$6DcwH@X( z1X7n&Gl7LDX1HBS+apoz*^9NYG8@(@D~m(jEw8IC=W7~rt2&siLlBDQMre!S3*OY)8{hbJ z?f9K?o)p%kjJ+;pKwRv|5UNcX)BeLg6sjGNA=GYWmW4WSR;QK{1)Vx}97wr5696 z!ga31Vv`OIk4u&7=gdJn`Z544JlJK@%3`9e{)5fYs8x6uYq(_B*Pmk^gfDI+={Cy~ z=VOYe0)ML8eU`EzF+>L^PTgYy!Hs@HAh^-W9|VhnGEX4Zh)E4ufp)q3UHcw*jCWN{ zLz&r|Gu6R)wueex>k;7-q#Yvc?!qxsq#gIe;)-{I^S)+?g5vXb;B8i{LHX5D=-Y_CkWYGJ>0ep9{i1>ZyF(_C|(MA%#=SU(JH0C;qDKJH*=4Gt*C6RvqMeSKi`RpBI0-u6cSUd~5z?YKU zE%#i@a->ic7NB0hXnYKn*I{abCco);k%*aPf4%|XeUk7t7@Pd2=kqm!#>ecDZvwYE z&ph!_`MA`;OLS6sm!cVnt3kZ@enFzMit@C_?x;~XgM}gFZu&*B+voc^s+$;igYMWh zTTgqj2F%6GR02EzB*DY3BuHS||_MF@2A@H8C6u$)SW z1?hNNi!zA=@Ar10Y=%z1ixHD3HN2p9ARz+dTCtZ2T-EZ>*vvWh=OGZ@%%uv5qbEl- zlNj$@U<`(BhVXiSuus}1-3556b2}}UdS35ffE=!lY}&1pgV!ZKo`V{2Ery7U&dDZW zBrXw^i>C$3mAwmI{4K%>g&8d_V#me>8MVCd#C_jkF>Q4u``4K(@Ua)e1JGo`GPj_8 z(XOm%Jj_j=t`v@9zZOlP&)-$WLhr+P@fSP)D3jA3i(wrG`@jORnC5vvh3T9agJNi* znjF0v5MH;QS|);qh3{aDSc)lUwjH)efu{UP%mluci>P2J-y96^_APZd9l)!HZd190 z(N~Bxpcwh!z}qRA;Oz;0wFXeo^rz%^?u%2uSQR;M*s&h=_^ZHnLi=+d6!Nx$7otgq zANQW|-H5@mRJ~=R?`$UTivfPA@(R-NLLJmRjtO2k^vhH;hmO{OsBfu893s&cFRu5A*>O^Sw!Z{gJ63Wwes z!u7rr4u!qJ^{R=4=Jeq1+X#nB5^$8R!d6BW$Cn?(ByFOPZzRPe{YD=@eAvUg^&=%! zbjkS?-TA8&r|HhK6VVAdK(plHSKW9EA4#it<fN|!2tj7iD$6Z9ID9d?g@mz0q({&iHp zDZYL9eeVra(9^E_-Pk?FyT2nrMcjA1`|)o&d>Tqe6LWX2Xh$@U>DQA~T$hj8u^Bb> z@AQbqG^jZb1F;2bqyT#h^-z$g!}^rEpwf3M4+i_hvum(jQ_&dVSG{AbitU{{(62G{ zIMGn6@ZCF)A<0fZe!vc-ny|88-owmMKf>>Uw?oHv%*0(igGOdzde%MiCO?#juO5bt z%yggF4@hw__>yrFigase*Peflg60KX>3e4q5JopqbOxgaq2pr3O!SKt~2Z-}z4ozR8$Rfo;IL#EP2%ElE-?(A* zy}qkadBM-m7BYf4&5;pudfRvW2RGQe9Wold6I5${25KSOq{yQ}(~A;9x-LEuX|Ipz zO%kJxWI0mkQ_?qD9@$qO!TlTSFTZu(inSMEgi_0e&v`(YCY!fTDckk>gq)4UJ$Qe_ zP8M^nt4xB5T5iiH3({(~nXVGO%^Xq<$q(t#y@dQ^!#a5!BeiYmI;K;5jT73$6Ohe| zljeLE8#Q-1T*ed9(CVW{5@~wAi$j{qBJ79vG`H*FZkt_H-o*1Tc}A7;UahO}hTw|V zyr4Kj*WjG*`>x3x>8WO1tGUaL`w{W;Eo6;;^J(nmyElGIMt^&Ce6B(H^4&bme$=Cs zL;-#d9WCjsR8Pr^Gp>epqeXZN411EM=84=MK2hG6SMOaPqs$Rf)z$W&=)J_;*TJJ= zjE}Ao*_Jl+ZXu@20RLWa$%koICjQudf^%EbOSozY9@jg+oFiY_AylvAT&PcO%&bu`k;55>(|{@SsreO6dMeCSXMX%V z*O~cVta)E_EpzUSL;}^F-<_hmCbiUXjm6U?SeYf{i|kAv=QXISS36!N`e;ZyRMqu8 zV8M)?C?vTaaP#z0QAd(=({*!ovqh4g_Kd4Sb5An_>1Z46QMui=kE4j9T4mFrfTq`l zZFZ$Bj#&G3;N z!;=~)YXg=EUMGv*p-phN?v3N@PT*zCJ$g4*LFd63@qJ*x? zvP0mhFT7RIeinGv{~K)Z9JrG`_yT0|u^DIV2rjS(oHWM%VO@Ldj2Pjrb`48{0Hl70 z3$#BIZTR1ynV|qTqvuS;{3cvnwdCf}BQ}+o`lo{sp`4$NF+UugnUQ&)(&bO9$&me@ zkW@s)t$(0Ved;uUA&y&us1; zIQs57gz+{e?kXO6&c1+dR10(Xk!}<+`BV;=uAX{+WA>Olc&i-pXZW!^S zorvVKnzPv;%4grUD~$dJNHdZupWSZ{o9)C>Ne%7?sFv8}Hgl-N?YGa5ppQ3Q2VCZH zzLCqcz2pxlWf!`4xgHFEfW7uttd{<`=LzK_V^dbX%stIB%`2;VQ>i9ZGI~QXlS`QY zNw;V5TpZf=Nyo|R$>J6zy={yC$L*~ziS&I_W_Lc%+L-)m-+p2CqMctb3S zO?XW#sz=`WQ~|XDDTzT$dc?gFm0l$!<9s`A?y?b4h_Pr3W{3il7u>Iw)At9~*IvX; z)97`RomFQ0`8HW254$U-#jQ^`Zs&&xItVZhI!0z*zw95cj@7{AjdDbye5lwvcw7%+ zfuOQWI0mOWxg3nm&f8piNKRP3cf*07hG`g1gPrrAkJmng0R15jxz*w=6_mr7D^5j`ha~kAu zD8Way#3#UwO(m>e`!!@e+u9;l|NZ9J-j`vxa@-?r1b}+&1O`Qhm>I_Zfh}?>S)G;3 z-w)Qwkbg0q6n~gDo*V(c426YLr^!A-nVgdz3y>(s8D%IK5MkcNN`>sH+9DSD51{oA z&kMMcV@K8adXZMO#G1|p1_~|v3gZ6w6ezx+eeaZ|}%S1Ev zhf^gd_&bI!+Whn@-(Ex9GylOZ{j^ci4@mvNT+K)tSy#AHjqJCLOX*_Zzss-ntB#=n zKWx3!N#h8pauGzK z$fV$A#I$maME6nT78$Qd^eSVag>j)bq`y-7Aq8;Z9XuWe!uuPmZZ$MBQizW zQf5vNnU)&-{)jS1Z@09;%G!%?=-BOp9lXYrm<@#2`YBEAl-arz#u#l|I>qfPYG@Z$ zY!jssqj-rI?u&=$VewE#3VXf>8Q~D#w@Ll9V(a&g3P@)Y=t|zyvm-4^s!4nx6N}ur zL6G4JhZ|9!G7l#T&ccVL%o3zAlD4)Yv)p4pBBX3>UpMDvv@-Gz^{U*1X^NyX<2Ckc zHF!{2*BC8i87)dSp0ll<#KuwN_xXptLPqJY)G{HX;Yn)zaHek!iu|$gr>1Rq{gt<~ znXvd!i#SHrWR5@Zah=ZeDU#-52{F^ z>55nBkm!?7(h$4Pg>RLji<2&qj;c0*30aVKG{GJnNfQ|g1I zX4>29WjW4oX8>yAiKLCDBv2 zEIX!YPR*O@9iJ}QD0zCt19JP^p@a)fyr-@G_-$k^uh{xkxJL@?_POLJO>FA}%`%VSh`$T=8ao>_&&12La=CodDH2Fo4M@thOw}Y%H>r9c`PhVO_t+X zm<+xT9$n8<`xjJ;*8T&A2L>?0a2gTE{21YehZ_S6%rqAaE$F7YKdLqPKC!qNhCorq|O zXpp9_-6jLWVMedi+HiI3;-we!LBj zb1r6N$(}2L>igp0&l*^fgRpw^B!@&)l_I$Nn2(f7t6kU3nSqNJc`P}rCdLrTzEuEo zW$jUF6Qlt0$ffv*00Y{F1p7Q|CQx`ESusd{xI-+K>X2jK3t93$1B|S37t&>kv5Y<` zWvkpL$c%7T_}^l|)VvwB=EhxBzaK%h!D&qauaNL+XgWg$Z4jSzRTx2SL`YlEH;e}7 zB~FrhHE_8WHl{&nbmHEVdR4+)J$jTZ5frl)Vk48(9tR~mXki|enBFlOsE|C1A4Y#M zhS>%Ukgg?SPzY?laQlz@<0IznG9TG&O2vMRJVz$S=qWm_Tccb9N3#f@k+xKOY9(T2cL=rc36dHx;0Jq^X_dL#=+~93H%qd(`BQI=G&^e5Io~73OBM z5~wSx&5z1ry^{Nu!y+zuP3=G8ieGr*qZWvxjlOv$=p6%U*g%aa0QyFvQ`-*?L4SO7%7n`L@X?R3lLmWRzr=Cwm`~kr7T{3-?~4&#Ur7U>gq8Rtrn}a_sq{N}vYisaiQ8M2y$;wjQkN>(%q_vrAAXP(iF zoqcsG#b>25`BvD+h34u@RbM)eb|QL2-csAPbyn=y#e6Y64v%?Sx$}zp+Ei$CR`s~d z{|hu%jQ@A&J2|0bl6t)QuIiIhTfyV4c$+3f@XQ~9^|w;-nxW{gMeQN8_430y>(SQ% zYNB(@9iC=~_UCC7E^J@&ig`pZUoP0q+tpp}Ah@ufmrS?NnOvscw!Jf9wb0weUf$9` z_nW1|h7i0y zN5kI(5Ln!IXX-E67u$WF?Q~}TLs(+_h;jY4s!apNXaUE0Zs86NdLH49Y(5_0uqtRJ z#SkJcer*E9zdu`=5)@|AkKT()Hf_Gdq5P=G+|Ua4nCb_^EAkdGtA`wa6Qkjtzhu<} z!FGv~oi}+nxhU!{v_gLipMS>Op)faR%WrEy3V_qBDxP3Bwgo0p91q&Hc`VG0M|u!q z6qTh{&TslERj=$1E(LgeHhOjri}SmDWLj8L=Cl{CXJ_(yC9D)zmfru}C1&-*%KE4k zoo-dY5MbAeS~zpwU6*xmI$>>HLbX6cLL_6Y=gJTq{Gg zw(oiMY2@8A1Cbv*os@4j)p5&OnnQ9bg{j_{TKT#l-moO4xm|kw*;iu7>54+^vlij! zy>BHS2hUW0a_TC0{4?I>2w3qyg>^N#?jwY5&Chs7&#oo1xoX+}Dh^=Z*gs$LOVV_^ z)DwUfd-N(klhbr?rN&<1KC{G?ij01{p6hf7XTSfym$>d4qv=|?68_-FM9fmiM1IZE zV0j(_@)M&LS+>O&eCS8JN;7_7dqpC-QCW4CpyRRbz36+MWHXphJ0o zr2zCIUo=LEU59zH%X^PYjqBz=v$QKuyh-}^wZaZRwfTSaWqnp>#(P6>5EhGjW+n(R z`ua*A{e1!aL8g+p4M_wQX$mP=qYwN|c==t1VxdcH=hs;Tf|pi9S9F{^mZbmlAt(Ma zw;Q(M!z2M9(?M)Q4Wq?}Fq0wY8S+fw2qt)@23*At+rf_4c(5JejPkoREG>~z7&4fd z%sCuQgdn4}m)YW!3#nIjo()FGIMKCV^#7ofN$NAu0GwBm7q%H}ZrwkJ20^#smI3=Y z`4*p%Orh`-%jP!nb{4P+Bivnn?jOu_&;A%Fjj+8UwS#z05xzS9vO0(TIL*k^6jjvS zcTDVia?XR%yzhj_w*O&&0QSSV9MUfzI0Gp^zLb-tTkNIyU~Cc=r^8=Tb|RjmrEj8mi$vL^=Vc6)3G*u! zxUmVX1xDXQ%#lYWuJ>LH6~B#bzUdjQ_HcAFLuz1H!r=Aw4Fp2j?c{n3!v1 zQv-$Tq4{`+D&7M2?Z$pO(8eh=P88$O7z8ItSMt``watCZ2t*9z%8@DgeQZ6 zCzz0X=3|6dO3_U&7_VmCeqPN#VTZ4*X8$Fa?eJa9p(JRe;DT;oH?N$i**gx8N-*$> zAm3|X8(z}&i=%g{2oASTeh_F<(W5J-` z;|Bt0d%;r<{~hi{+yQM6;s3!pll02~XQ0{I%QnzF)2{4PT?b8HxMRmA1`5ZG-9JM_ zBt-P>P;Ir!|HFTQx14xvUz6I@m5!{(u$iXm{3{*w8Gkd;e=|FDLlJje>DpEOKb*@V z{W8KCcz^AsoFwH!zMB)d@v%-$&pZmFZ^qB5j@LIM{J%iVd%QWg^}iBg7Z7t(nh`8h zwPk|(=X`@Nq1!CNC|CKD9K$GAlvc2Ppn>)&6+!xu4A2zU7kP2X$X91{uzc*^uJ(>o zix>e^z_}_S`(w5VSM7!PH(BCxL#pu|M?Y0vlt`p!f2y6+%zh!Up3wTvuWMEJOY^(W zKhxzp-upfOYPo$7uC1qpuZaxr}h#T$^u=!d?mBlxd!lFs3c_(F?U*XXKcPYzr zDVCT<*~&9Q6^R6Pu)En6_awh2*ilxK$ux;}3Y?wLeU)EWBc9dao!EJ(Z*Q$V!yfiN zAK#i@Cw1~nHO@ptLBY)aP($xc8d_n@$e-UdG7)c8=kQgYrp%)7dmXt+_Hm|JnGdU4bP$`o(XGTE6(3VZ%bJFfQ~i zL-TiCG85GD0<1vMoYDC8Ew#kD`w3~~IE!b1-md>c5v}+CE9?&$GL);Im|l#V;0-HG z#cS1c>S)>Xqu=ut*LbFMs%a5R!>4f9_AeUrlp`ucn%v}P*>^1>4zVRSIXm{VrQl_q za_l3toru8<_bctnn)DLShf&`DhRy^F``cfpRdxJsKfRwaww9HU?>%eLuoT88*}h9t_$_bJvP%+?}|G2ij3z z`@;4R)Lek$uN0EF+agZtYd)|Wp;hOD{so;U8vN7#^X$WwQV#~s`?iD!e(!!|w*9W< z#r{~Jdq~)A)*mu!1?f`)x3}`Dht_8!rxrSXcI^6@$5vD)iesn;79@TksXa0W7WS>a z=6-C|%-s+Os>)tz@lN?)gay9Rt^;{mWs{_h%yW@thR8Y$PHQqbvY;mAwyZ0&X&qg2 zH3(R|ZVGa5k=n(iWpAQ=m;51qJ^9yZZcqz953eyGx=&u;uH2bYlLAD|KD;ceW zk~de^_rkf0F9oSYmi1#?xS)ra=)83|u4Ra^!cd_=WLfMdUzoCq>Omjf8CiQJYD7p} zUkYx(25wVaQ}-AXd9h-1wPq6H^mU_J?-4o;Z<{MnD-_ptRmYy+=Wc!yUTfjk)$>GM zem}VLO{2o&;@tDDK6U#EMG?u~wp;ZV%v`Gi7&*+^;u6c}@q`KQrS`p8{bhHVTHnTy z*%z}4URGPV(w&C3-=0w{BM#Gi+%Nwv3=I5`gEJt~s@p#+Zam(|(v>ug_2M5#vjt3w zP7R%`wwiZY$$$&aKmDxB-++I6jK5gSY@~&FmRfW%$R=iOuO+uSza_NlV}$D^o#}mT z$;SdYrdggAZgq(FoZ{di^NwbnR~$o&J6rp!LjC(_O%p&PUsP$|-;gB%D>mabH* zH=UHzVm|qd1THn?4XDU7XCv9agC#7Mr8d{14`cC4Q%nLO2FqovQk{ENGYRZwg|WU; z?l4<1?ki`-fW85KWl>t46AQEbAG|sLBO#Qb{OHU3&)1Z$9QT-MZF^ zS5MzEua7J{GI#tgTo)E>TAf!G7Mxy_*BVf#npo*c?GZ6RC$;ehJephXTI+xU_%$s) zHGKqH$s6e(ZSJ7A8<;^&)+biDaG2k<7Y)%XZSj2N5mxMB>pv4~{X6Tm;%gBl{~4E- zvl_X?nVzc*>7N;&YcttjREA>6DJ+blyrn&9*p7cHtYg?->apK`P{o>|x8kD-s{xV9 z>kq~TRs<_$FCS1!4^>E}hsFGQ={$LUdhmoa+x+egi4A&B=WPBs_mh#rmWs|_)SgG9 z>UQQ~=kGG5PfXcH44oiqf}Ci_{^Cr}xsB(7r2|QKz0z?j_QvO$?w1m0A6+K@+G*o& z9{%*P>!qg~^;|qnodPcS{X@KE8&g>3M927a{)6Hc(0K-7U%cT}Vc^)~ani?V`fra@`}@=;;< zQz~dyOxvk5`Q|Sik|FE|5@D;H5KmaH2?-fL#PS9tpUmF!EId`ob3!@#EN|0qZd`2b z$11@9^sU37XFf^mTmc{McYLQ)H}v823 zg3+k;EUOG3^So2p+m>|c4ab-JeAGMgtEc7lMotaCz4CH@g?>lggiKyfm}K~^{>%E_ zqTc#D#m}|OCibU|io4fGH%rIzzHmW|)AF~qK9vfo&TowvSyMQv-8M4ROJkn!$!=(v z=^;g%C+mgro0_gL+Qy|Zf0MMbcT!XAe++_s`WTGeYN~WB*3FR&h$~)=Ire+SH7Q2d zyO>P<;?HE@v~ zcBw0d9E1jD3|26)9uv0>REOdm@?psUE{ZA@QgM%HF*KHGPx|Pm;@4O`lZCRBwtG-v zNK<(sC%%xv%oCarA81s}cs|IfLt;`#L;0@dd!@UER}tk^)ebo(hG=zo65-c=V1jh} zjPx)nRMGOy1}&$YOeeLs@EB&_%zp3XZr^YHjrU?X1KQ{Lx|49+Z=FWqf@c@nr=S&Z z8)>@Y;IkZc_UwcxLKBKhMAV{~eFQ!VMk_eMiC@{5`aFv6`4X{!uro9x!JFl4H&bY(DzA80WT((KCJ zNK}unB1gX=K1|N_1cqx!z_6_*S8AF;eKE-r0U0ixrcqsix}PcnA+X+SdQib)#M3Qk z>u_y?4MYBZEj`PtxiaCmBrL5BMZU5>oOY;GLT0y`krhQDkZk~D_Z4U&1eQIRglA1A z$~WO4oz#ZqB8A@Lp0n#UxlVCer?F#U#pIduNKd%jiBlF z*^H?g<($$D9hm@S+?8Kh8JSYItVgG<8!xOtVZ3yd4dYMyX+#Y>TtX-z!nEX?f?QEX6hJd$q+F7N1_`sJ({?J<=4iyh(cYld04pf#jr!>eC)G@LVQ7a@ znz;SLSVe=PGMf>)jmGo((xk(=ld!gj91#Nh+~5dA3|Z18f#sDE1v4xdSdQiN`R!8W ze$Yi_KWFE=>H-^Xof$<)(Djd5F<38e|0Q03h|WwRByk$gaI_|9$NEBnkOR0H3Wi-( zNWiMX3pp(Sl`Td%aJF;mI-Jajz5ZcFebpKYiuC%pY#4o4yDTDxqV1w))DeS8LkNMW zk4ni|%-I@@@U#)siKG5-WMQT?ncX3GO4^=9Wo@(bYjuHS-eIU>hAaZ?^n1ZUeF*E7 zzc=x)*t~j-g6uU$FA(8XDH`~N0*jTrp|#~dB0trCMJ#GSREir*s~Si^Tgr};SXfz{ zfz0M#{JmE&r;=6zfdh_CI&3JndpOqUOHpNY?r#NP8S_vQLK|Ux2Ajo z#avSQt%_!>JOiXEF7FI(1dEg*MFUR4K`1{xZ1D~ybiPW*%%n0!!_#YUI&IV3`By;> za@FtfNx-1&s;4Novijv49k9Ye0ACu84l&4D3NWnVBw?sggvJ13EKVwhTKx{Xl28J} z924}7%97G6sgV3ff&fTN>-~+LkSQ8w;ZW6BQj(FwRIA6BQ4R!D%|DFmIDn%^SoQ5M zu~=YnwT#9Ht0BWkKpj2$yv@&?Twzr;M5(~ylSm_B)u6y)1bEd?SjDXLDws?xaT-Nm z3uKL@G`b&rTc#%P{snpK%6_obU+c_#jMqAkVru2@w> z$@FWCSY9P--e4ZEx#e0Un)`US_3|`EqBCN+>Y2;OYBYIvl$EZQeT{CT02xG({n+L-K68sgh@+ z)Tt(Ao%#PH=98t0a~T&dr*0rHox_8u3JbN_d4$APv!`Hbj}mhi`hxX?pdlwPR_Gdk zn)n~2pc3HxVfo93)0Q<|)- z!B$XdCTBP%Je1a*!X3!r2v-6;<19&pz2#>4Ft7r$Z!$#$b`=$^e!K%iH9c zBesG$^Jo$>Qig9@_ItH!qn2&|n)U*o+CZ%8NntFlL1B0nS90KVO)}v0QS6ooL3=ns zB+>DZlhBh81mNrSGUTX2OUt<+ruGQ`FK7#HF?CbEk=p!wbj1x%Y6A+~un;npI};DN>-+TUR*K@XiiU8|bNJ7K{`J*y2(!z%N7*J_H1X#R_0}f7tnKRz{Z^lWQE<%(u0V?~^8ZdO_0R(* zLsWwgE94~HM?mtG*7r`*fh5XlPj(d?4$(;P=YY%De+y9-=2FyEHq?164qmY<= z+>QFxo6s_XVYqt7m^=gUL?JMlpRU=aNg0vsqT@}Wf-q`=L;p|DJM z%UKu>XoaYMA{J)ol}13x!rHop3{il;+yWCV40JOEC7tzeV+XG@K=^+EsGpL6-hTkZ zM+Ny`sNs+?z}N=@HTs`omLdH+le+GULy;#&+|DD zEx>d-L<%CBz*g=bF#X6(5dBI+S{o}#DHfoHW|n zQZa*J@2bCW0?X0ec-B0;sg>oZrcY-L(3<_L(0{^5Plnvo{m{-eNaO!oH1IVy`Ue#J zOSK<(K1_ifGashF{}2~je*F^+9&-URHt8Ern@}8ZRuv$M5s(Y?u#Da-@idibkjw%Q5VZDDR9~J{}=OsGD|7BeTm2t!x0JpsIhdUj~YAt zAMwr!_(At5u&|z3*G;OtrF)CzEt=J zUy4c?;7j?y03<38l8?-vD7Ia%8+<6gz+>1*{};bWVJncY@xL$+%|;rBj0|w#K)T^Y zBEF6RUR-h3G zOGr{+S-gV&8@C9vwJiTXZaROy{2SiffceR$T122yI0d1U@nK*HLioU$#yLqhTt5Z| zDx9>8Aar`hZ&n<`LueKKSNu%FUS9a z`O0ag8d6!#Qeb6|IRg+q266U(2$C50Liiiqu!0G7DZv^SLi_tWqk{s0F*p#^oZ9+D zZ2#Cm09f=$T|Y932Vp8NMKgZDi0KJbYQToI`c&1TVYt5yUF7U5g+T@ULBKimo~6bphC;?V5&@8riUg=w?EN?8 z8n@O337M9&6@S$H^A$T>v|v-jU;kQCSm5%92$bYtG)Lpn!U~- zqHt(1d{c|(tFR=6##iJakS?Wp`?FE}w^iGo34i z2IBoVSeF%h)EZRQX23ffDz2Oxu$E*q;QU`=IpE;4h6AeeGDTPmHnBDIlpRKTt=9!N zfWqF02eCVLVNs4k;|h1V2*Nh6--T94yQu2V*GV-in*y}jgpf93VeQaCc)&&0tNX!w zJM#Z9)Fjnk1gfF^52hZ$K{>=0kRfIx`{ng?LcLAufAxx98GN*5MDLTI;&E+*RTrm1`32*pD|d=frF}I`WGhxrkK?h{%kZ23pM$l zpe9Ocz+3N07%_l?6HUTQC}O}H)aizh)$5LG2t(o1k0wASkaGHEV7f+q1SK(@&m^ew z=ERmQAkTc_4+;G%_i}g(oL^?!FMEc#f5TXqBm{+zK`4<1;NpDnqwvW@rHXC)VSp@2 z2R}m4P54V4E2t8BCn1pJ1k~M>#gq>CEDDgP90ritwKOsQ2^y%OnZ3r5>$*EJ48$6u z(bk|{r1SDW)cnP6eq*7~8;RDUWGRaB!lUAfiPn6G^#2m80de-@FmXizP^}L)DOfGw zCY5bYgRLOVXIB-~K-Uu!jiN;4^Tsm>4Su@4F=-wIn|%)s6mr1ZkUEJ zw!!}+QV^EF0Pfr;#vjR*@3zBAVgZ5X@2Mp8ywB?hhMP<8W-##bZwfC~z)V+n)7Ojh&GjySCmsFqwlWm3uhY>01L(-p zL#?=>Wgfqxl7?2%L>qgO+7a8AvAl#QUPVVB`*~K!%3h;t+EA?I_8Rv`o|^YjS!HQS z#TI)K>qTAGo)T0$u)=wmc)m*K<;fDO6G#WCX=v3~3}YrHo*sJz=9#wa3mRH3okdLQ zXw|oHu>;hqflz=%Qnk3f<$0rSs7Z%RZOv%CYiKoQTE_cO)>N7hJj;<(->hFX_Zn6< zkE{fmSby{g0Vl0}ivSszSLQMPvwK>NFI?ELNY!=Qg0T*FoTR;t=LI8C%oRJkyZT@+ z9z)FGK5wu3;0$9<2RFAxiz5l@5J_h&PsmOk;d_hGuiI_ZA9+H}_gaRQzs``=HLT|N zPT-`0du`P=`jlrDNKMmQ#yI_QqB_)@W|MMeh%fH0Dz8*hEI0u|

    ?QWG$a^N3)-`J;(+|EaWr9S2iGnX z;m~uqh{OgZF-@Qlxg+j)5-&f$w;xQ*>5O9^Q%!@8JZ6WB8*=MADbmsJhnIf| z-1VB075d0no(2wC)#FGi0kH>98BzVwKnJi6C)8^_d8P;j*{&FC zp(i6+!B0Wi$Jh=_g2q*+z+Skn1J)F_W+r` z9g;!LtR>_Z1k2-7utZkTlFvN>ji1k<=0wO^rs!c5(UD$P{Y- zFT#<;AJ5{^OrP1Ng5iHpjOTqifE^pY88DYdqeJZfl~sx6gFpyJxB1LWT8P$;Ozls* z`8@d{RwO~HcCSls9-sWt5TGj!AUd`PRJ{(pt?e#;>}^i@7J&*^lG9+xR&IK z%UN*0$>8Y#Frl+e@&lESdkpPd!LNbco54&YTDk4@|ceG{Fl009wgHunCXz zC{Ikca!J>fl1?^xU@aWv8iCV58(b^hK~w@h_5OsMk;eGE5*GpVo477`<1m`(z^NxWwpf zmD_}oBBww41)1}>bhXsct7aT#oIeS$QF_ly{Zu3JBRda7=#SHfP>ZWcH`6xeve* zN?7u=ipU&3;gb?q$m>dA5T%2)PbZ?Pn$9#|mnvmHXz~WM7i-l4)3zXHAhWVrYKQF? z2w#Daf+rcS4@((w=)ubYaxV4? zn^+r8mi;l+_7a_uzTZWd##XLtDa^^d_WK__)AC$4bz~09dF?S3R-^yHKAZ6g&0BF| zyXEfaElz%l&^MZs@os0TIm>N+*Yx_n4P%zQj8Y!kc zGZ_$#MyOeaBj9rUrlO|Or#|I?=QtK3x8+m2FoFru%&O<93oC_iF_Xp%_a>rdVUX%Y z!sMZ3v58U#!VLJSHHf0cAOv`wRgHp!O$_g>M@vzoxT(ol`~8R<5d8#W!I{4%m^s?y z6PrUrVzGeoFDM-H#k3zC2?_r~o#gvJEA5bACTSO~n;Gsk4lPSGnz3ARcQHbhHW zDzFZoHo~Kd019i&s4biF^62bm zwz@Hy|642z;1d15%pM>@-XJStKp9lIa}(AeQ{gvqQ`{(15jS!(+$2+xH*#~-tkaRB z2P;LKxErDXRy8XTfCh69N@_tJl$nJioVuNF36n7>JA=X>lbTO*DO&s8D&>w=hP2Sw zDkV9^EI&WF$kGfDKR24-qKqO`z44U4M;&);Kg}TY28co&%d`EG`A?wGk^Fr~xAmI% zOsFg&xw_NCXc)v5-RJ;?wzEm{fFp*|weF)^7#LuYt$Q-*&C2SR6}1or zxJ@{b0411vTtHD9Pf)TjSfxp7Fb>cSC}~M8c5dwYPSPjt4C|{13Tkz}iE!oZ`r~!e zFkr6ejR-;%)T&&FGDXSE8lZ9f7Dc2~{3$hSP#R!B8`P;K2yHG%8mWc8Q8T~dmu{#uPpJfr{YubPTa0cN|L|}@A z@EKU*&cZc?V}s}i^EIu1L)7?N_D4GHpsg*mxkq$0D*=UKd=*#%&gB{$RJl?6P3nJv z#kk-9y1NerD-D}5Xi~*((+Ebk51a8B@4n(}6&EqrZR}*@Y1h-Wf^edXAS}$D&Z@N*EuDA#Dp{`SFFG@4p}J_RYZ%uC>2c3u-&wk8?C#X@f{I zGzP2VTrBP(yp$_1+3g%UbXE}YdY#TkD%QA6lk@6bZtRuuG&I0bhDUz#I=nkow_CT* z&AFi8_FR69)=W^mduqTF(U`yeS<`-NQ=mKDYZ6Y<^!#arW@e1QX7g)qpI7sog20BwBeiU z#Udk9$Y@R$vThTuPPB=JbPHb!^VfyOrtlW#A~%2K#Hugc)9?CNam`GTM{{{B$<+rh z`sOTR1nFvw&InOehQlF(1sOzAqE#DjH!iq5n)dde7G2r5!=8(EK-s@W1 zyK}A;OlK<-a9Gc!&756!XyP^}^KHJZz}h{#ZguIJ_OBy|T;*lk0AUb@C1UJ_N1)FX-~bNt*_^T+G`F`tUhKJ%nz_r?G~YgnJa@%-CXnr~L>ugv z=Rda{eJUG^YZ!_1{>rM~)YfipEbByqT-pm8)$r<|Rg6c5k74|}qU7bkc)uTu(Z&UB zdN@wdLKUCKGmvdkt^K94si*aRiy_ta?nJfuBuf{H(~(Nbcdo=~O0&X?-r@c?MxGxJ zJ{I2^INyN7Tn$mGbs^81FiRY!V||roL3pH)U+LO0=7mEMdgnB5^D}3e`K?}2!?_}s z1f!mfXj+M5d67a6!~(;EMoA}DEyZeMbPbtwQZw(LA&sZPBl=xBZ6dGd@wuMx^T|G) zKUwdOcLx)z3`?l&%R6^S*nb!%KtT0Z%c2XE&+Ki^ZNP`8|EPXO5@fndipqC%@FdLr zV%@9-l?lmo>Om6z3#;0~j3k`U>}rEQar5o;oV3}z@5tBnP;NP@(YS%^Ec0+MJ2X&% zkFkg_NhNw@Xi8y-d{UdbeWPK|T{wE*U0kG%45I$UZTj|gdA)wqhp|iaT&Ml}?Xa!( zvW%(j!}pO;oz=EVqaYy}hO@QsBv-xrPjK5`u5~M&Dax1PO!a(>PCsxe!WNW`4UOk`QW1M+)}n`7dyI=te0$3-LD!& zQ2t%#f_~uas;vDn;BpVWKh-`dwc-w=4n9}e@^zM#N=I(heJFied%JIL_5AM>LD`fR z>q--+{V~X5YXjY$Z7b(_S*ol0)0cFGfu(fX;{rjYQ<`5Yo^a&5wx1~KbYo`c$>;9> zN>hQC&1#96GZOTF<} z;!a&AGLJ4Eyp)+|ZTs7`>^1IZI~vkp7?XYn&mZ@1&*QA{U2#5)X(6xNJfLFLmYt>d zi3|kK2;OPOL>4~{wNG*(&B|aP%wQm}z~5g2q&SVOlSeCJn*b7A+QTf|{^N!TIrb}J z8=otujh2r&mN#;>2V8{Iotl@GWs{fH!7*l>LCuwv3i>TcRmG>UVgkcw?eT0Y`%kMV zgSF?s%xS++@k6TG$akHPPtns&(T@xx)Rgwtl#2cnOlnHq!@k+4kk$q>Lpyq6J60yI zANj0~ioY=5veiCHydsPhO^`-#j3IoZwcosUf-VI zZhJh*f~k|lxL39T)iPJkA{xK%xP78Ko3M>a{2nQB)@KuyIKa>x8mIQhqln0M`9WIr zSBh~*GtWH0yQ`ju4{xDv+0JXF6ywqgyV)9RIg_)CN)!qrlr+`nMJJcAUgOsK^Tpg1 zE}RYe^kIFst);>Ila{en7#G|k{@#(48uhtkBHe2E8h)LtCGC-fRN}Yhf+5{B=eU=* z+ef$0&FtRwxB;qANV=a+m-HM7SuLVgky^IjT9=JnS2A2VU4d|5pEJ*yWBKr|k`pW% z)oI|>-QIK6!FO#Nm|*y>sV)-S@AD;21EG-CT_h&g*~HIU=odaoPY_FF;#1Ha4nZD* z6`T~~$$pT(7XuB!ZHz*AkhO|}{}D8!ZrDa;xuDXQ&t1-(Zn`8RJfIwroG<9P@7D1k zuLLg35|a`7JU+1^7CN=wovp1elgN=@MvS!Fev)2TyrJBVQLp3v`&nXaa#P~8$?V`F z=PnIT-f=elnCCQKgedx(3Mg6R%FjKV2D15_i}B8LPQ+J*&xyOvyhUWj zvhigk^84b%?VtVcV^iOid8z~Q&X_~f!djvCLBnvKx3c$Nr%qY`E%JM@@Cfw*$#N3 zrTh*p9L?+aTOBvohYyb_6mg({z1@f+=jjS;H9Hgiv=PmHu8#jU^JCtaUI_!8l`=|# zHD5Grjtiy`FCLF2$@i8V;iM&zH?VF8X1wng4IXVn4kywK_ty>VC_REmnYv(ns}w7( zVwmP%ycc!HNjHnh#woDhn&oAU6otx}m)7z^dOrD`Y~&)d+a?|r znAg=`m3l9@YK8JNSd^1>Jf}=Neuoj_*g`ta5b9{X#fxiY^JFAU+GVtcsxcK?ITb~0 zo3brq6MsBVyY$ymCI^&7To#9vhIzuc$=T&}vC$;I5CiMs@Jtd4L1#Ef#1ZC1sR83{ z{ps5+`=Q8cVIz#|q0t^zKCVjYF(~I-9wmuv;GyuUgFBuTRQ2z!m|q<0<{QD66E9_u zuVUe}&63aLIyI$lg-#MpJXeOZ(R%YFXBNvCnYGFe6o0H%Mr95Bw^qIB@wemle3g+e ze$~-p1Sj&Pl!3LYNj9W;lp!QH4B%ARtXxpq^Wf#pRXx{HUzmzkm5j2;Top2d^>;HP z_!+{vYS%^g%)Cyp9AydHuI{d`szUJeUOi-048RUFL?6gA_MwtoQ)(F@X7Tq%Pm>v6 zTYvdO`n+WmheA8y64J{zvSF&i{Ln|J8|FAE>OXuzZ7xwsIwF`o?w{JQH{9( z(ov6D9&DOg1*MLVU-7qcFGowy8NE}p#pc_FyW&&lx|hS^7{fQ*;ew3i7-$iel}apb zWfh5Wrxj_5#+wpC6MRvA8wwxVo+Q>Mnu?oh(s)p!Ps^8E%Dp*=y%eY_o@pCP9)mVM zYnQ|tco*4Rp$Ih(57lQ}8D^E&Cr@+6%VQ}&6|g>= znnDhJH-i+^AnHhsCR(JZZo9_)5%K0PQ%FuOiNV;d&X3v-)v6htRLW#R3i0 zycu80&!FOMy=_KN%W?njs)eWAv+ z-C$Y}+&HP+92?HCQ28xdhqvKvA2SB?AQ+v#ZQeIO_hoaw)5avLy3RJ2EFTqk=}Er zl`~AZw#mSgsVUArS>(;Xuv6MnC+0?{dg^y^C;<{#4&N5%ZY^9?dcE8JIud0S*Hn{j z4k|$I&W237Y&vXpTnOMc02a;w)1)llbgckn`!7V5i53V2G4LlD@ZkhaP2xYx}5IVruJ#-b&R64+m1v|bR1{RC_TxTk4 z)yuKpy}aZw*3&3)n7P{2K*QmTo3xh?WnbK*3X)XC<2vf;GV~Rz>~zUH za>$SF#(@ed|4Zg@r&eALQ8)1l-q3!LiH{EVtD6DS9E-lm{zF)6^SGWC@=8vP#A=0~ zWyQd>QEGm{x)QcegZXY>c&>Ib=c2nsK7@#YPlvNa_}p$)HF5!?H{*0){QI=-0BlrE zYFMl0A}50n(>Jig)}|tqz0=Uk9GXO?YAxh}c$nFJroKP}PBw9g1uZygl;L%u1#NOE zarKS^n?!Qqr$ZmUbF4I_o7mVP@AeC;=GbcR)cT?db>lPj&0YoeV-e_EvQ-sMfgrsl{0Fjpkaf(kCz zO&A?uf#(zz{OkDSHa^vG>?~GN5Y?!XlsxOgV%GetigFuhmRrwlumbz--#O6K(R2Dh{$|3E zFwVsOx#C(X0krL5(7_(*6DnLtk+O3@*vrD+& z?U2U}gl70=a|P!0%&DP%#okS9@Lxhk`4n)0Tk`c{4&E5tW&FDSY2M!GX0*b_ff`~`_Hw5zGBCodmHUl$qQ4OD_M=xNM^@jSXCY~kiw!c&g;dS$x z?cF(65K28<7-S&(#2=)sK@55B+i4tK*Q*=`r7estqYs)Rtwi_BA(`8 z^dlw9pvv~X)}u0-h3^VML>lTvjg)u8?iPSW6}alq>qo*IGXR>A~jE>%w8c_Ej+J`=g^(kt+VyJPqdBq2aAI(pn{|r&YmkE#45Km;NDyw!gN~Yxge_@Wgr`I zURY)K_sTdquxA7lk}%qT@(Nzw21E?4{aW;6Bn|NDxv&g)u&A_oR8QM2H7_;bI&SFZ>b`X_s)jR($e)^Z|&L5?!zUv)QpT4Q=-{pvKl5e!gx|W$ z>~_tQKgAh9W5DV7Jni^(?Ui?^Rdsm06c(b0k5Lx~DK=0&?STG92mmM1fXNcY3@Y8t z3_)2*{psztvW|2QnvIjr{1DtVAOQ)^@(|J`1SigKTFLD19gRIYdW1K#GDvh#UBCbZ(*|H_(XpQ4tzm{F!gnoY1G&RV?2s}RJ{jt=k>1G5W|c@kcvzO z^`U2ZSXy0}|BJ=Wos0@}juV%(nk|J4B^^l?0-FaY$}n?IgGa#kfh+_y-ecF9Qs|bw zf^Fs`c@%eVK zyOv&uQ7>C#MqW_6B%?81w0=iA~~FS-Tw7>F)o=NV%7MRPBwAwqE&J}Lm`RF z@`MuG&0{03by-}DeqMycjIIqNP$DUSp10?0!$wL*|Mc)L10NzjU;gq}b5@k6`5#U5 z2@9|DSfED8{SZC1^`<1FW_5-D7QEB>@Ek2&DL_M^@q`j!M zjU($+tNF!&ihxwb=j-`Du83p-KAIwSYz$gUhPmAcE3tGL_SWG)#x)DSO)ZY4T~4!o zv1?06@r04OsC$0c=#JKPSDkiAEZTOj0L>P=!lV%YYu?W&b-M9e*Z+uc(^dP0?Evix zcHGO?Lz;t8$Wva;ch(v~VDTJOiDf29XE|)$>g}N2>#a?(pCm~%M)$9(j!Y@lFB#7e%zMpzzK;Kc^S6!Ekup`) z4IcgdEflRK&yivaUbHS+iqeLYJCOlH{p)!|Yk||!kAgE`Z(w)M2%dWyRlg`hn z!*jF3AfwU(u}Z;^rawCEk?wRGKX-Fv`ubRL!#(F*vFxGs<$2qxFZ%f)&O*G<`jJSo7LZM%Nn|OiyFrvUnA@IAph8S!qGSLL0%T#&7vVD z%PZ=yw8NF4&0#Y=!*ym4c@dOB5jp3g^;6rn6M~5eN1~6pO7A_hvrM#~nY3HS3>5iX z|L{jkf27~E3}QcaY~KV+za96VQ$`7hGaD!fYS$Zi3zt$E`So+@^r+27OJxqH}Mj&}%M z8LT6JR=`r4vEeu(QULe%8mkfQl)8Ar@^Nr~1B6Y>Dl_174VP^B@jd?duwe>BQ+)6< zKHn`$e$F((?isbSgfyoR3HNpP+(!H}-?E6S`3TWx!xE}xL^cA=PsiMJIZb7KJ%o=O z`+G>IucMevSRt)Cs}jtAY>nwijnX$71)^aOQ0l{1xx-~rzH#(^IyL%oVn<+@x~n$! zIg*=tNjLQg+Bvw^(d4<-^Gh~ybQPP#>OPCBC;PqS`IF7v4SV+=HWFM0qld?;wPZDp zrGTXE%4ZI-5~3>0EyYywZ_2$hcK(?Wk**ilKe-)cY&n^D@Uog1;b+CpeMr`KBlz{1%k#c5jWKxJ~~S z<-1A#J(?Wn8FZXag@HapA-m61YIU|k(Qh$GS+JUNE-84XbJ|07`L*FE|87H9{)J8| ze(BRE%U=YKc(BVo%`u0oyuY!+=rWj*^Q|sdm`Efgu`<(=$_(UFpvkJW zTUA7TBOUd0PGQz%13;f_&<%a0q!`gnp`T= z2gEUOkr=z?;($N8nO?!Yncc1|$MvvDnC0U-H*ua$6pzG*Fa6<3H;yCj%M@6<8-N`z z_=`90Y^el;tH*$xW?dm{7bPRPl}jOK1E&0INXtMe=4MVSKQGbgZU)x+5;eqe;7Tj% zG=eX2rf2^3cc{$o1P6NS9L2*l3RW@b#3`$xw+*L6cLXc(&&?6BRw`$*xhK4=!IafT zqG56iR!^-d>K5 z&z_wDH`nQDskumLy!Ap26k$;!1hy;2XyNS9r@M&RA@-T~dEFx8p`!r@Wdl)BNUC7D z_sy7}B>=n?*0SE+LpG(3&}?lbwZ<=c)7(RWj)p4z2+ZxPYO8kE4cbzNid>cvh}lC9 zRt&bozb2QI(YCJ>M@!|loo~pEE#zZZ46p>V$EF>52Xl+qEb^s{qxYrEMUo7rbjqnl ziw<_({CINL=h@*K3*1oiO*Kl%S%sFfbHm)ks0Gw=9VYErEOR{JPi&Z}_w|XRe_ca* zI!}gwxlJ`&TG>f8^ZOQrVXmk;G%Cg$>k84K%ZoRXz&l<$b|AU!nI=PyFQ37>C@@!z zmT>PEmgY(GmAtDpMBGOAGLQFRmWNKvj4)x%?~oRb6Zwxr1{cq9;wV_%qD+xmBuXvy zK8@E=h$^-W*8}GtC$NK1J>tn!p}4*>WwlJuV?sj;^wNA6n$}Tx^MvfP{FuzS)z~f4 z?}k20+>G+6Q%X~$$)CL;23em7q+~Xq>B+QHuh62;0p0|KxO7vCOxlo^42gXF4q9_g zKtPj7Ci-4_i33^8tCEP`tN1H_S)RPR<+Nd`%57Q#&Ul@~nHs|FJ(DHoA*$NL$lOiH zVQJ}IJV%abV1@l$D4ndvnI*dM+I&2WOsAVl7zE`vURqOsG(qCoufe*z)FI1%0wbUX zU4PiX$jo)#>lzh9<{KFlL*;)rD2C4W-?(P^38M5{r}U%4dx=n*N*LThn5i4wL7JHx z+(DVS8r(?`g`WT1vRIEEWVaMRZfX_DCRFlKdpDK|0IB-i5he2C>>FW;`@7%IlJGZ_ z|JVLvBjtg9sK_K%5M~h&<>jXwHL;mv&7_<=e9CZxbg*F!%2amOo|@N7K!2;r}`ku4to}X#lf5A-eRNOpzL=S6pA)qG+ zU&S9rpN^%|4pb#xEk4gA;g3%RBz}92EE}6Wy1Z>5^6@nZ#zSJ%yMwRiBsCFuHE6tC z)xOuiDmjThUS8*H?zmjVK415Gba~mhTOBz*Uuk!De|~do^*(!izkYvXdOw#~dwyB? zOhqN|Y~HZevGQhcJv4K38HfG$v@ZY`>s#r*S=;*U$}i)!=JmC1hN*vj7dmyF=T+F# z^r>qPyr73lj{jvlW2N;inDcE@yW%f0zsJ*l2G8S8#G1#pefha;!)w`$fSP$f>C4?= zMlstqxX=ELJiqhR)Z-}f`%!_wYf|fD?_maqD$e=K;f%fa(~hyg+Ym#?QGxx7jdjQC z>|rVqpZi;|{cD?k!{h3Vz{Adv#9q(wWrw|eI?rnkat1Hw{NUHixtR)C=pToM-FZyQIGm!dYy*F=CXSyDG@Cw#{ zBlWL)z1hw#m`!>Ih#mSFN03)x*R8AjUR+-e9nU+6${UriUPuR+k1D@W8H*`c_ z+}Ekg#yI8eMO6o++kKw$&eV;UJ{NEj1fIN#6Y;(x6X1?KF3ohDOg{8PXS`*KY>NoE zJe{v1fz@GMr503hs^T%dt{f8a-@m-yzBdW^zJ*hD$o9wietX@E_PKMM>DQ1F#?e*IzDg0f}1V>E}oU94jz1@Rm`p;X;J1e7R*wx#N00r;SK3RdU@M76T^zvt8PNK-m{=qMfM9WiSQIP^f zWgGKuBl(bh6TbU5)(q)kye^;}3tLiW#u=A$Sn_CiW1Dnj{T|WcuVYvf(Jw29=M8S{ z_vZ2)Q(KQ~8QibU9Lsq>5uO5Pm^hu<`}XBKB#(yX^NZ}+0#wj)P4-wwx!+8wsH zDtsPX?O)y^!-?K^^nIT8*56hq>~~JNE>^sb%kX?2YVeGyZu{lm4jVXno&1>%-=ph@O1I8K@gu;CH(6OKst);9Iddsc3t>WofzC zx;>>_3QSTTVtlzqPH#Qmd)S_di`%#Bx1Tt@X-bycaXU+T`^CQZ;@j1J8}r)L*52!L1#)V%@5xT!!}U|X?iq^jdi8b3GXC=8dg%bR%iHx>)t~n=4=>Aod-egBw}!G&wOf15DnM5g!>gh)s=kO~y51G^(YCidg13y2XKnUSO)Rf7rmi;(KkMsPFSq$P z-ydx@oa@=G)!;9UtqUaXO_M+PUGm=rukIgj%QNZvQ{5#=Ux>J5VLl@dZ0SS^Jltpm zjWo8~$no32hHQHsmJ3`C+kM8QU1mu0ad_zqH)p(&f6QQ9myg=l=Uvp#0J{A^DTxes z$b#BmY(Kt6Z*xcU(!DudYyF0EJ3GLTfxz!g&5p^7E7s1cqCv$<2LbD{mtlMlUBzlsO%vZ1^!+KE=Z0qz zS;>&PfX@|b#>wjQ+3E9r1*5$^V?T8N>DwxG>iH_~b;tYSJO9Hvu)FbP+w$G9z^4oW zjurK_m#MvZXt}kTRWGL(-@jfjO>y^Y_|MkQRQBl&zQcPWcN3M|RlY8%*rioxdaGW2 zNcXA8%L3{^Rr-pfYNO-H`wab^w(v|9zd7{xgBwNKvraVh7LL)z;k}-`&LA-u(%bx+^ChkC)}O9#8A_^j?iwf~#J0dmVRO z$4kt5tu$~M+2{S+G5cuDHm95p{EYWUR6RZ0cC%n(hlV%%wcIL=nm*gN75dTh8|Rj% z=hTVF_g1(1$Lv?fMKAZ4$f5)J0X%)%H=6+U)<}CmH<7Jc+%9D_k143M)^F{~ z-_!C1>GRS)ak}y-CZl~9Pf;}IL}*K^E*7r--I|#={V^uqXs!%oWXQ*bT^4foe_Ca7 zAt<|5`@q?Gb$V3r_&K3#^wmpg&T`-8<)1)uHPs6P^f67}rR+`F(QeJW4@#6jVa5mL$2t(l8 z`Z8-(m9qDH6VMd!gRp9r#ZmDzPVs00IE!M{_1`_Hi5@z=`5u$(p8{*Co4Kly4wRFR zXqbf3o_j|LgCiSrv-lu}jv`GhS8F*@B#+7Tx6DvB$GxAuqNvMkXs91k zr)J(5>6J`IjjO4dXHhIR_KAB6Ly&UuQcA5hcW@>Y#VZWc45Av=+t{n>q~uxK^2%nF z7d-?eMn3B)XLJ!q$qRG-CH2lMZk3S0V<`!T-kb=CW==~r-Moyp(7PX}1zDMOstJ=y zXSM%o+Uy2qcg=#)D0{`a!um$2`k@B@yy@XpD80iNiLJm6dF{RS_9rRy_d6>lOL5b= z)4sqY`U#VxxKv7#?_Z4@Vhn4C6Iu~xe%Se`1vol#&MtN_a^@d#PAesmtzt&X;To*5 zAYc0qRwjJGpZqR4ZB}qW6uvC47K(W-OMRFW4&{JF)&vNDmTJ*1ZQ~xy^PVE{h1C^v zkUk@c;pO)ib(Je7BA&iu>EjzSOw-IoEvWoep~7UNK^8h~fpUZ9$I%SOVbNw>%eFBP z8IUY3%gbT@CYxk2XFhO|_GQ#nT;`r$=<{%p(u}RIjFH=4OjLE&qR?1bwRXDpk%Cb( znFnet4)k@LAj#XBrz<7*tT>w}VS=l`d7kKveD2KpL*wFLkGQ{dz!SKp4QSceek>?~w9kj3L; z;L&MG_{2m~QZyxyoI%GXCc}~m@e*?h$F%#fuU}V~gA)Rd9CQjk{V3$YX-8p?chHMI zoN1Rs=)9v42S>+vM~Yxu`vK_HV6flM8pemz5&nS8^`G8(LXw-7E!)+z1wg5qeaO2tq+iQ9u&Jz zE)MnP-o3oHTmUCDV*z&wk@MKZ5m0+lg+<*uhe)Qk$4N zd);mux5s9uU#IM`8Zf2B`0n*0?mt-=A#QOy@l?`%n3oqB8g766&J~~Iws?~W&iS2V z`JRuP-1qXXoGxl0*A?A5mtswi=*(jT-U`lbydacq_m0mIY;x=c+xw6H8nK%$EAhX8 z#gAS&$ZbwaX;y44O)x6@jqPzVu6+Idf8r#{ibg>x^in}O_jGM}_4YuZuhF_K#{aA7 z%V6_F3n-B?$hJX=)HUc3;AQKM)>N3DoDu^|B@^QfeY_#d%|H_SBpvKauNA=R(-%T@~1HcQCVeBigwGLqEM1moW%l@UubGtF{6K>ldY1c);%bqy?1x@-QafVCC=No|V zkRanVRbR897)D|E`0L#0lOQ&5qgxCDeb;Z$jo1ini|YX3uQ+1>2An!Z_3{i(qNU>x ookF;TC8QoheRIm=5YZRm6B|S$#-{nfJ?SKJsJoxfq3_-P3ur!3R{#J2 diff --git a/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt b/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt deleted file mode 100644 index 59610cb..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_power_routed.rpt +++ /dev/null @@ -1,152 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:19:06 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx -| Design : lab0_wrapper -| Device : xc7z010clg400-1 -| Design State : routed -| Grade : commercial -| Process : typical -| Characterization : Production ----------------------------------------------------------------------------------------------------------------------------------------------------------- - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+-------+ -| Total On-Chip Power (W) | 0.113 | -| Dynamic (W) | 0.009 | -| Device Static (W) | 0.103 | -| Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 83.7 | -| Junction Temperature (C) | 26.3 | -| Confidence Level | Low | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+-------+ - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Clocks | <0.001 | 3 | --- | --- | -| Slice Logic | <0.001 | 21 | --- | --- | -| LUT as Logic | <0.001 | 8 | 17600 | 0.05 | -| Register | <0.001 | 9 | 35200 | 0.03 | -| Others | 0.000 | 4 | --- | --- | -| Signals | <0.001 | 24 | --- | --- | -| I/O | 0.008 | 13 | 100 | 13.00 | -| Static Power | 0.103 | | | | -| Total | 0.113 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 1.000 | 0.005 | 0.001 | 0.004 | -| Vccaux | 1.800 | 0.011 | 0.000 | 0.011 | -| Vcco33 | 3.300 | 0.003 | 0.002 | 0.001 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccpint | 1.000 | 0.016 | 0.000 | 0.016 | -| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | -| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | -| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | High | User specified more than 95% of clocks | | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 11.5 | -| Airflow (LFM) | 250 | -| Heat Sink | none | -| ThetaSA (C/W) | 0.0 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 8to11 (8 to 11 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------------+--------+-----------------+ -| sys_clk_pin | clk | 8.0 | -+-------------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+--------------+-----------+ -| Name | Power (W) | -+--------------+-----------+ -| lab0_wrapper | 0.009 | -| opA_mem | <0.001 | -| opB_mem | <0.001 | -| src_sel | <0.001 | -+--------------+-----------+ - - diff --git a/lab0.runs/impl_1/lab0_wrapper_power_routed.rpx b/lab0.runs/impl_1/lab0_wrapper_power_routed.rpx deleted file mode 100644 index 782e11a05a6bcd1a615938a05dc78e630bfbc20a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14388 zcmeHOYiuLc6`rvZXMB@v%~3 zy=y&wCqBA-uO^Gd4YDo~bl?U$vE^?3rOD#e23bMUbikWi$|CM_2R6sOmNbDM zQi5CD`SMFoZXv8WEhV^ie(T)1EoA$M9e}}Up;)Q{oi#n?lyE}pkiXp?@*51qV!;_8 zeB|KFAmD4ln|ebR&Sopq8jv-`E7m%l52I&ZxMQC|Yb+LN4Z!Jq9PK&KYZS&}L0EHj zIv&@wc;vy6y#`<`7Gyt57ywR%qEV%S9@jc16-vZXd<7;N#DxmPHNC^ph$c&Z_dTPH zSj_7^N8S8sVpCE}SvjipqyL__uj>|ChWGCwlS(SO6v@U?No9>D+lXyAo{F5#sH2)9 z+e!0uJQk6;@zg>rqESy^$c-EV=qCelW1 z!R(@}ARx`Xi4{%Lv4vzft~EiEW@0_uA9O?^i(J+9fQ8JEwv~wQR$kyE@dXdh_X#YC zq!I~PiNwP3j;8EtIu;4XyRIYzvXrZj_w@-NQm~_=;TFNk_r3G-%|qe{d3Zo#1{n61 zR*YS+etod>z4^z6y4}&&2K)Yfr!*+O{L+p==>vMGA(=|bM^J2%xWqzQU~0%$I29KAP#cme9vp zdbY!o9_yk9_gI6A2@&(1+Bu_-oL`)V7S|3O!(UyyNpog36Nf9`7~ zru_GbyM2x(fc`VuTgH_8-aqSeSU`x;*CBTvI<{bp81CC2t{?F$C|u^(M)&6L20MWS_bkl>FhEx%R-$Cvh!VR+hO#0j7qW|l98RW}vfN}WnIX-=rEI=HhGNl}qTOga9OTA>2M-T( z)1y-(qtk#MV*HR-l70$|B&ylRspXnv`k#IJwR*}ncP@~E4TpT32dx-6>i1dq=nxxF5V&>lkb_-&a; z5o>UMUcF$ZW@bVY!HH4Qp#E+#q(hDn!6iC*{PGY+a(di8k>`nZdIVbGwHth8SHf0u zTJw2S_8!Zo#t79JV;4lH*9FF0oHe|-h1Dh2wA;&m81p;aRXw!$wCl_*0v>wESpP z@4(oj#770u7qG(EWAAA$$wYR+rRP4(sA^s0)JuI71EfNIYmhH`5dZU`Fx^>*vBSAvykaUT@W%Kd#-c}_zsbQP+e53h2HQV;Be;5eZ;&K$T?WORI%juJN9~hxds2AVqg3DXl z>4HxZYv~)?>IXUBK;pd=zVSOnn4hKUeFI~vWI(utG6TXqHq_e9;zsV?y;qAHNWz!G zH(sYCihe<;I&M50eZ%vt zoijJ8`!A-sF`pGT-jyyeuWmA#Tg~t3OKvHE+raH`$X4b<=j#hscqE4(3rDLTt)$mk z)H@cqTlj!1`};Pgkv-o=8r1EwqKzrzj+N;qm93jQ6kAwiZz5JW8z|oEX&lU~CL_cy zdYvwx-^II$-R*PYb_dVrj0Z-;HTGD=Gp}Cb3tW*(tDC|7FujI?u&s7dI2D3@xojvF z5;kqYBRNI4D2Tws^{t}P7UDsx+Jd@;UTDExX$xLh8wsLUDBr@!4$78b|IC)b@&4pC1@h&WZD)rS2TQ@eG{ z+2P?FAHXwtWB~YMVdvmn^+xmarQFm_Y-8bMYAH)vv=jeaDaVh9`T~G@kk$^!I_FRs z1ab`E7VO^};K=W<4Ww;Mk&^3tu3Q{1-Oz9R(~efnU-Mc@tUY*KWnrW#E92@MBA zf>YW;>whl3<_{E4PHgO=ny?*=r{==(Twvz+v(;g_|Hb#D0IS=ePJt?yGj$}M7HfPL zBg`0OS|nqnK}54J;(`A!9kq#P_Ih9`CbSMaAHI#RWN0B^*nZ<^=>B(?+oZsDJ@Xhy ztBPzwNh?Nv=$gO|6Q$^lDPT3$a ztY-_fjnFRq-rCPMMt1uGB(toNDOeM*Gv;IpNYx`xLNKdO3i1IZ<{8-cfupqTQ2H_)P^9!1C#u#ht${uL{F$I6}Fzz%)z zhoezh>5qgJWmTwJ+h?9Ws%oo^BvspLLy2w=8bb+szFL1K#s=M5g*J7ttI!tXn+nkB^_ToL$!9Iju6_W!;%ZH{r z2N6OAeIH>sB@xN2ooU9Fr%gGXeS;Fjj`ypKm|S z^s@-PE=D=X!4%{~D(laJ(K#@f1(OokIS=|5z*y;DR#_Qdh1^$0^N@qscnEBj5zQ493%oix@9;RC$+aj_UZ!lmJ-`D7VyZ!azR zkMXaE*+TdPLt%Fn1fSsQU&FUEz(5_jvb3R$X}6#rsQCu&PbuTpjMoY2NP* z?$&#F{F;z+7d5K03X{{cxI>A%pxGpMdW`T2(M_)37OQLO(XBOnW^Siqa!|qSnkUDu z$qy|i1hcEe`{z+z?LhZ4PR&t+dDcpd)BiP93!$23{yynaNA4oAxk1Iomp{Mq6(1?l XI@4t%d2SARmK|<*aw)mp{(;eNp&aOg diff --git a/lab0.runs/impl_1/lab0_wrapper_route_status.pb b/lab0.runs/impl_1/lab0_wrapper_route_status.pb deleted file mode 100644 index ce33660a738be8487ad2ece8ded11f5d136c6901..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgQU~~c?JLq;0)3L diff --git a/lab0.runs/impl_1/lab0_wrapper_route_status.rpt b/lab0.runs/impl_1/lab0_wrapper_route_status.rpt deleted file mode 100644 index 938193c..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 42 : - # of nets not needing routing.......... : 16 : - # of internally routed nets........ : 16 : - # of routable nets..................... : 26 : - # of fully routed nets............. : 26 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/lab0.runs/impl_1/lab0_wrapper_routed.dcp b/lab0.runs/impl_1/lab0_wrapper_routed.dcp deleted file mode 100644 index 874c4fc8396c79bfb59c48f9d02296c10c7fa1e6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 223651 zcmZsCQ;=xUvSiz~`?PJ_wr$(CZTqxs+qP}n)|@vn^KoyykNU`55j$e%jGj+k4eaer9QB->T?}bm&5f;7;uNF? z=}|&oq$}bnwMZkh2a1XS^H`+o%iilfXzh6yJM=IbCHjlS%cbsONBa5v( zYu&sAU*71mc8b<{cADp3++$Lzk*K2uJb-{CQImg_T?V34b>HwR3&z`hEX?h0tO+3k&q|C6AN}$qc zq(}$>OktBrwb(|``3W zs4OTl*8k0yA*mxEsm3QErxDdV@zh@@-vYzle(Gc8Eb{RNGlRcS@8G$t>}wf}im2=~ z``cR7=f4R+6Oh-sC#D4?0y+`Inri_Cf_)UkRVH1FvFaqR|CU`(HVUC+&wcrT`{v2l z9s&6|QmruERx4FF=$QdDPPLyZqU zurl_8Pcb@7zc#5VoY3j0kAr!@EgK5xdRc4*g(!oka!x-6%{UBvVb5tVxUzB=9PUeY zX#fFF6B3Li1`JqYMdufZLE!MHbf_$CI^NgMOXMK6^HbmWxXJfBZOHrq`=6gRSPeoi z1QGy%_urL3`mb9->tt?VZ<3;J6|JR)_|onhQ(Jp|c<8f51Iuo+XuH6y;l(x{nkvt? zO6qHEW7m)szhlj6+u)o<+9|cjA8@SGERpXQ{{~3BzYakOQYKgc84~*Q_s_GOpGpjn zVgg~&0-p*oF}V}o>xXU<{x-SBthd=o7L$|djKhoa)amCcYKxhxgT?(&(z)oXbBB-j zk1?B0wq6b&WADOtCM~z`!_>n;{bA+#mAWZmj+Uk*vmc|G{s7XjHklc!*0N7=XbPRoaNj6l zwf43qJ8!RhAdtaGwEU?W+DV+N(cgOUdLEiIQ3bES#1L^*+U5*!`h5&(6p=4%XL4eihy0WiT9jcN`R z8e)PG!8{$XwNMCwHn{)coXkOuyy7P!+Xzgtmj!SpTeS_;@4NgRh9;OvtU8|OFsb9tmt7L z3f#&dG(JEGiQlhSwoPQzj2X145saTPmskh5x{L-QxL-JS4#+zK(<*|qAnmp=OQuhB z3z#CpgdfIbzh1mk&ME3n-xh_hw!oRz{=2;rWS}T+v*0}rz-08No|_--hOV- z7FW!jLwrxLHj+NtrY-6Y($d)P>r~GOV?@0?In{74FjiNDj{c-k^opRajsDb_n zUCJTfXxZsnw!D}M0$YCQ(TnLOC5%f=7#nv@lJv+ zQKCQSYlu=cKhcU=k_CE}0?h=Z>}gEIV_CuEl9&8~Kt&4VqKSM||}|kF3C?*LND$Fhr9^9qS@R8qpl0 zVxg=-D5hY;MviLpoJ1cl8qJX=P5q!H5zwcGeakxKl!$&R=p|F*@i@ekgoPRioVbM> z1{|Ybr%Kd7sYaW8*8a8Ne*B+PJL#U4DVKpe`o}|PBmZH5`Kg(8=}rH^SVd<29o2?5 z$01cxd5yXh3FTNQ6K*Pqj@UquxHTv;c2lbCy|!xU78iA6g8U`ex9EX{O@FbF1}8xT zIu0l#K2s_UXFh^0H`%4aJ9cO(2SzZmVc@KB*#a*Z*=Oc1r__+t?f#_Leo48(W|jQw zu`zGnsD#XgS%0!%LKtNGOc9gQ6{ir@MSrq;A9G0(P&0dBVK$9n%&v3!rF|!AVl8sW zyxV5`kl6zW?hv=si&nH)f$fhrpKZtK&2Efzju_`wDLvW%4tdr`;4S>7E)ZB|}o8~um=A`p~@B&Zi zdc2t`0{Eb7yPgkTA-2VLNuB^bgXTa#fbvB=`MzQE#m*~(bJ6{F37<>^^@vL7K;-!R zMA(7f%4VO6BWTP4G7-E5aC>tR?~^8j#We`9-dg%MHo5OEgHzAlAY|slEJ9@GDuaJg z=NLZJ1htrlULPQAb|}kcQIoyL{uAw{a`-vA z-p&njo)6-ErvoA*zNEl`*ur&?|Lp=W?vWo@h1Iu-u&-;Q@qy9h7QyM;f!g& zH6-|rgs?lez8j@Tpl&u;4+!bNEvO1?2MkXg-iYVuiy{K=m=EdgcUT_dtwr>wo)%gOyV&nr zl=m>p+5oycx>Z3h(7}<=fi%Ce6uQS=iJ`(grzya$1rjRY8(XLX4JA-ILGrf(1FLEJ zCh<^t{hZ?KS^rf&^TpRyajpOl?Sp=Q$|Cq^$V3!@vc>eLlxAGMZ8)vTPe`#CC@L!_ zG_D3uzPHDFNUb4nsq#%G!XR<=vS@Cji)}7K5RHoooiko$qu8)=)*s~4MoZD6DYChx z;wi7~=mMPZNDBs7ibq>kBgGRQ%aIgV*W3*G>@`eM6~>p;4COEP7t%w<9Yun+4`w_q z2-@!Vg--^D*xpWxB4Nom1Dzo{@Ty^Cs!C@45=1Qx-8$6{sZBYJDAiD~>1=SV8@xgS zCcYviY3(<|mjxc{*pB_^!2YgLSTj@{hFjOcL#UN$83-f9;a?xz#4?Xs>=q%c6IC{A zr_m`I+qIL}tSs>7p$UuI7UUBh+NF}eC%CjrJE99+iy<3bQ(NX|bGq8st~1$J3;g`A z3b2Upm6?jEA4HtL#p7@6BoLFQp!@uSakMme6wYa>MMP%-j`b=9z9{Y>lJb4OUC~N7 zQF|owQll8abN83&;i2BZS=kM7CpR%2FiXsBDdi zu!fs0)ah7L4?9mhJ7(#x)+SAMvSNhs*gC=sOhlp-Ra?^!f_>V+fHnpY9ZxCkOLvV*Y<^%*OyYEDn0 zP5pHwZBp`nI$RBN^gipUdYQfo#AKQC z+nmvJICf*WPB|5RNSPVR==}uzPn`pPAaZ zqrpV)wqaL6aV{<{CGo%Qu#f?B?OeDk$VfttS?D#$f3IeKUYDax##>@ZG7>{+odGsE z8uCuhvI`4|_EUrIpTMR=S7U!M;BIdM?ZNXI+usm^E6*JRxR3sn4%E5^hUuf__(A95 zrR}@(c16XR&5x$!JXrE*@7B7?zcXalto8>ssmq=A4|}rr3gsT+{W;}%fQ#1>vjx8H ze9Cp(e_+L#Q8=G`GGNzDmCraNPawVRQVf}hNqzLFQ!iZD|8{N>eS6&QKf?R=?d8AW z?b!{x_=K+slFb7CDBDh~Sbj#{`1Xx~A3b_};8ut4Ej)BBJ^lm3mrZM{U}4vg4er&p zzfF%L^TMgF9zR2&8S@)46u6I2@a&u(f&D=1wU%!tERl3 z-^ICjX^+91Kkk098?yNB%;N)5ccajzPRmbLZF||}GjtQkWs1dJAX1krqh{qQTHH}BQ0l`FUR1Z`Tn{&p zwNK9fg>+*o4gM_e!3`UP=wo~9Xz$9QFPCP#(IDNKg%AOEMhD3P=JW6&|EgPDBiAvF z4&svtj>W&!j{^i^YxdftX%5{ESL@UJc5VA zVz~0!11^4wre66741R~mg(Rjha!O`x$7dX8o{Yk#n~%3V9!>q7;dBM~)q-=qCOkSj z%Hla*#JYh!ktjXV$vEi8d2|fnk#}O5s4``Q0A-IEXS`A2<9OK5l3VfL8|`7Whz0=! z>z}kR!RiLrRvrW$o!V|)>O(TZpopQg_nqBIqdLORr~8A8>jyml`P8ukVr0R{f>Xpi8)A8G(Uu92E|p7e z!a=MB!PO?12YcUAkzGuI*)KT7p{RpPSdjuIK^aPjIAwq$QLycCJ)XN2sl)wbQGylE z@q8VRYmyCBQ999q;vscN{Ey~K6D-dn-cfS^5PflxCM>cw#WDFsDuRGTwi=tUGfewv z(k{+}3P40I_mbjfr@mD62BBc+(=at!7#m}h4U|{)nbv$$A!ad79at9SX^7X5%QW9VserMe;D5X^wlwR{jf#xb@+z#SxblyQ*UB2bKd0wX6TtTdW?{(i+h ziRe;Ncg>*-9BUUE>`JMXIDItZcM2(BMy_UNV-!n^vdN`|ctJ=$DUp{5LWzuKyTUPE z6=S_har#gpqnMsz*6^fbN)suYx$8=2*k?|*ot|!|SBtuHs~}j7Qw7F(D|pNDFxwk%hh~WQ;eeOgfIw9;VT}IOG%B8|1#s#xbt`ZG(6pt;Yl23yTL`>OG-Q-g z>RW5-pEKPJT0mgu`n_Z9-GwZdK$>&OrQ@<1=Nfof^QVqLO-q+zeQVq^;*kPg8Xm6*css?;M`<;Gl<5?1yyIcv*M zmB>TO)@REW^r45=O~{li4J>AeCe{UGGjq{g!DRQ4kDh6}8a`UULt#S${4)SsQBU_F zHeI*ib_-iE2<{CyqX}2)k)3*}Ugwk!OCsPnwr03~1@^6>R zl^b7f%aFI5x04ay_Oy=H$K&R7Or?faq%l+#4Ta@(`y~wm_^bu<4i#0+e2}8b#pUxr zDIFl?J(0Bp^ME_*;>9iWN)-{O{vdNFzI3;12`lhUQvj|lY6ozD6v z?t^XRidDnS(pnCVu-%j5SfG#mCSO@l2w4PBY!(NKui-g$UI0!on>Obnm?jH1qoloi z4Op(@Lb0fOYVuI3b!08SfDCMZSF{Mvh+h0Q@LiA!llya!QXye?Cxus%psJ;Ae77HU zMJ7ZUNz`)Nfk5cLDhHLXbzH?AI}>))D1{YW_Slp|a&KH6W~GgZ5h0>6d|w%yfn~Hc zpllW`zX>v0D`GzGr+`l#j=1+(J_(9M1lm}*aJ}^u?l_}Y4^8X}v)tJHIb5=Gv|6(% zj@%!XCAzLyUg;}^eg7Po2C$6d*mQc6UozcS7cC=#Vt%As_^MD1LY*Gi@bB)cLK~~ic~8P{lLWGn8e?DoX?-u&0lhS+C~rxHA0A3)sD=IBukt>teIVE6|BtX z!?n3mR)x`{4HxBNt8lzz z1=-dHQUH5wA}<59qXM;os!9N3L?XaA($A}~LziTCxDy}&(@l31<5aEMw>vSsuUPqV z*@j`NACJ$P+!U%wPxPSrPy`W|!njA3h2&7r^c%qL&Ke)+7d%TG*h#v-f%{$w{%{Q{JlTQ56Frlsq}Bd<#fvs<(x z17;U6sLfL7B>4)+GT%VE6`i*5VsfMoX98`5THw_w?kjtms^+70U87+tr#lIf25THw zv8EG^8m7DKfCkV%)0*Ph9*cqc-hf94YBE5T%nXsU^MwMHXNk=O!rOcWPyH17xM|+{ zzkHNU@d#z`Z_TZV_>0&{&wIiTNgTsT%3@=6Le!lVQ?~4+(5jzv*qC1t_Jz+P%BJ3+ zIWao24Oj>np@WmQ6Y;b@kB`+;vksMrx}B4nVj0$ruh74F^P_3me#jyN0=;XKWLK4kEH6o9~}tPBJ&!G+QIy~b1i(`+T_7Orh6K|IuO8+bvWzp%Y z_X@%wP`#6p=-QbyQ2V6%>9PzSJ(Z)ny~(ZY`{nlz09!^JESAR8%1CO;9(igD+~==C zx$44M^t4jKO!v>*%fgQ~KwE1Tt;dqyvA79YkEZO|pkb_(nIP;bfJOcw5-e#TXO#Hg z&o3~a%pKXlWvuyM+a_Vaw9$S`&rb0x)Y8^i^y{R~F(xhLbbFZ3rp3qJrWv}8?wZ|h zZXW9#schWWy_{2g`x%M`v(xU~Z&NMHIyQ}&AfBm9TZ~vzublihsz39o*lX*he!5RH zU|;8DC_3)wI)6$^#1eZpJ#HQ69cp>snLgeYh&Qwo!_6+Sv|jPTEAcfpSHy~ z`0W_@%`-vl9&h|C^)RWnMGpubT)z-0>oZOKo1Q4tV)u#W&MBIuXUZ~4L|GdE5kdl1FLcI`QLN@>}a3y+#-4mDCs21u^+$(9&1}C0m!X)xeu3V~*5j+bYgN)3tRlxd`YtZo_ zOYXs#2#&S^o8+NAv$w*If$P=XW9YB9@gDIIZ3O!WDRZ4*W|^G6OW)hTN$6uW%|!J6 zTvLU+WX24PkLF;mn;xBJNNg0-(Us<`@`{qw&vn{aUfD<*?mpSYVA%pbX1k}Q=k=7) zM_jgFIus9y0>7iUe}E9g#^LdQ+q`Q&9H7-M#V|zl%;$|lBVST)8X~AG%g29aH3Sq@7amOp zo?#*c(1S15xGL&P(ipxecOz6X*wnTDfZ2+0hNq%CKIre1XW9U#i<%~NGisca)`jv@ zx7EJhEo^~dwMzBE48y5Xr;hZ7I(@A-NANbiAA6)YDuntS2t$jO=q(chvGQonVSI)g zR(G!o?NA`CD3!hXAZjD?Hd`Bs53@Ovi_*Fy|8&4^Wsi)By3>v;&FKZ!v|90L)HEDx zsEx~9|CqIhlFNK{!eo!)vxLGV zS}&Gvo)C0K#xuBL?HIy5;K$mvu~r(>=>Auzj3@~6Rx-ERC{PQ(X8X77nHc`T&w?x8 zc105|{2Zwztq_8>a+gDERyPY|c$oJJM&adrdLU+$>(9Fc=!l0a?)3NPq3U7XMtqAjFvwfEKE`oYow%ZR%&fwY# z5wK<;WBwWSiSsxkIA@&o1h&%}NURQZ3r*D40g;AET0$Yq{nG^#RHRqJ@D(?P2>U)c z@Y2v2o5UW8X$6=K`5}`*zsPMul^ML&jl(nBJR~0Dpl{W#Fh`_`C=}kpMmUd>xAX#0Ks8Eu+jZ?C0ZC7M(bba}w6Lbcst*s%ld-*X-EB(eP5vn7)o>0^&I5J-(3RYXNw60ZV?coBVRiHzH~eL|+Jr_sSMEN;Kqm!ZfL*m| zwEd1J^#tkSfi)|ZItJ0U`1H>aKkE{jdS{Hh?6zkCzZLVYC}n8{ubO>{D7V(SB-8kJ zYdGw~507bQwqMjX>+Ya4>yeINue5+_*YtP7jAV)j-JW(S#2C$;9)E{yLLKxszl(`) zjAstv(x7)MkKK54*VZ-Qz$7elxp>~$dJ8NI_JDM}Jb{0{?NVCajec6Nd z;0yB32JW8qp-tOiwBi!wATk!$)9J|q90-t`b}IXl7xis=V7HaW3#hHWQ3D@`VqJ(L z+oFNk0?i%a==Lxb%Jbcud`*K5fGtEu0%RWjY+s*&HyVE(^zZh$54m^ zkBCKsO^8^Im6fn+dW)cGx*5iLhDLVvkK+ilk;~iW7IT9l$ zve7vhrOS@Ze#1aFtas?UScJ1?hW<886zA%RIY_8UxE7wUNtznst$Z85crZKgRU(3g zlk^ZVz06>m#G0r9*t;tU3@oj%PAZRmnbT#&5L97_%@Eb}ADUz3FoYvuYWp;z5WZdDY%ID}uXtLb6m3p{ryxsgA6 zDxN0`%WzCpj+wY*E?*lYG}Ct^E)v^IT0k=15?&J3i&#|W!&>f}bC#BLjUM_>uZM4tJs{>B!W@|JDgURuPi@l+PJ=cCDGzQ>kj zn+6x0q1+CxwRj<2L=(Vl0?Y$%uSB_lN@iJ>q+hOym+J=+jt)K%3M%Kwia=;OYF1a~|^#~~*nH|Gj z;^#)PYv0I9z{;~OrsVUGFl-o@WUV%N+_?BTBcLN>{u>qum#*NF66jn8zZPY`djWR; zWmS#e7f?ozljvVfBl1f@bm=U$c7CZ_6!;93A*l1psHVRrmy%HHI0Np@|Dlg7L0?#X zPHYt{!tqG}K05-8o5+!pw4;&h#_q(qsY5FM6i*fYPhYWpJlkI@4#?zovxw#k zI{K{rQEgqVur$Z0_yzvYEY)A(FBR24Q9DHVwrtiTtwSt$#+1=pE*!0`+ckGq**tnNB zqAknMvW;ZeN@g{Q|LFN6u9jY#T`8n)a+HbHyF_1%`PIw0TLE;h(|!N>l}DOf&#Dv8(~?+2nw{`^Qi|Tws()DhdJlsK5 z{1oXC3Mf$7B`-YzqMiO&dG~k5_Z(2PIdBf$afBVituBrkUZTMgP;GZs(d=Z%WbBC$ z3)v6S9w(dE_N=Ez9nr+Y0yd-OfOmKuhwf&$45BO&1!T? zmqs0nB2flGeITuqwlv=b^k}Jn_q=&+-)NEU_}5J3Ui}iF_tzc|G}fdP+f?c- zfftcm6RCox^!Kb18k*_yIpVC7u+ft&1ywU(2Qn_G8-vy?8 z$at)Afokk~f({ONfTcG}Bf~<)->7-EE9>AXz_B z$u9G9UQY?OGek@BnYQycN31xL(%3aHDB|sT*ri#R z-v#J^#Hmtbaq~?O5bINJ4v%|`T;lY&M^k>vaUgrHwozb&ffRRAWCvDhMY5Lwiglfs zz3-S5{cwntq??an9o1fJb>@y)F#GGGr)ll#GAj&iQAG2~C}z^{f{fG8V&Z290V-F7 z*(m!vFYK0|q^IZ#&g^RT?BAk96l;ao63O4yxT?ZNzq9%$xS2hCMI4j9kWZ66Mf$C* z-DQJ)2$Lbms^dGl4^h9vSr`|KzkyD4?N@?l)jG@Wd{j3&%W}S|Q=JtEQ?-Fw^Pmh=wgX{V`FmJWXf=R}oDja!r`o^G)UL=biE${7UM zq6L!!mCS0nmSw5c-cT)vuC*b%>D;@aC{O#yH**Gw$b{+p;0>_5{e+{&-ed{aOHLdb zU+*&n@$oxk>p-ZHKu^E%-dVgP zSGgyhG?_5eIQ9aBmT1%8>B)<|jP!s8mb#yE$NLJe1r{6(_`IKqGVOE3xocIemN-mF zg4!D=^0msA`s@QuyBDQxuMpemc9>VmaPO|MfgW+XNFl%K;#CptLB8WDge44kB2# znmk9x8K5gm%`VMzc-kV$D}3}YsMaPIp>GA-it2Q*xnot9JS$1CRif}o;7?vO+sW{j zR)c61$}>RxrbrY4@jEtA@gz<^hmiw#Ylp3 z{OlbinvORl|Dalj$M1LF(YHp-Eia2YP>}#h$MgLdxI&gS$L8x8)S(;R7zbtjtzFF3MWh0CoQIcRh*CV@SAz9P;AEzD_QuG@!e`w+ z^A*M`?k+2wz?F!!x%>%k(nz;e;0U8M7i?oOR8tbC9d)ITCh#lXUxl6Ic14jnrf{XvR2 z`Dw->2K2Np6h`LUgMNR~RKdh>gwKnVA>W#?TqZ8|#V0pmuA( zeGXfUdVEi`WKV=L`=_;5K|+KU^Q`mb)wzlZkAT ze5Wk?U?M>B?UgnoFKf%IQq&tg`t5-o2YbKjZBvFbn_mPx8JlJ! zEM7z&C{0+M^}-@LXQ7^2L(;|*3XP!!?fT@MTFu9D?#gDky83-v>Vo%EM%o5RQ*qwC zBmoNnr5zJ763v%C`jp>juJheX5%mPs7AP9OF`_X<7Es7}L(_n#`nr(E;fu|XLdQaH zT7ag4Lky%x8!((mMZ}=`kox4WgTIjC-E4*y0v~4(iqBEo^V-I{63~;ld6|!PXTDTb zE>RXsMYSYyxE&AS?xeh56{6bRnZAF9*9~;!!sAx|cA$a6xBP&Snz!gW^g&bg9&DE( zf0dIk$VNJNMJucodxRGK;Mx8p!z08*Fa6;4+@M^5W9cb<4%YHmnv@~@`JU zOr_8Q&anhq@`JxObIdH@0?r1Mcr>I2?C_Ou##~?%9{(P}NyZFR@&Zbi1Wi$i_CX8( zZJx<^NFNm>^dwFlt6kb=xI=Xq*SR`D>o1tfJBKQU@T`HI3whmNVk38prYChD=iPxn zM^_Nab(v1uE1Hq54CT8@J2HEfDW!JdJ^6qwtXz9-s3LSJ&^5ZuqxBN56d3TIFW06jn@f8V(8UD>tM=)q9jl@13qTn3`sY7%O9%I&j&T;xJEn zJE}oREiTx}Mw@8kL<7hhdc=Y%$dv-$Y%Cha-R8_q&KfDli)r)nGrcN=@Ttq6IJ`Tn z-C0!Im;LWFzr4TOfmrlTLRE>2?A;Iv4Vz}G6am;e<9T=IHPBvoNs}qJT&o=bH;+Q| zdgnNA<>$VA3kkD`H%3k-XT%o>QjRy@&5y(SSShl9?W~>Tdx=?c7M^Kva;wJOo7-0+ zr1bbr+BbADJ93prwZXvfv_vSj|$QGdD%(G{VwpORPXvO^FZa+KucjG0i&OG zxu$x**=xyYLdk~6gmGWkf|lPWp15-tzZf13u% zHZG%BVQ===yc=;B^$=7^-TVx4M}c<+rk`xuFq-MovcUaW0Xb{jR-B)7H$VAM+oe9u z$c9kMiCIJu0&54XAV@+a5}>G2AA9!&(_ZMb$WlFj9BM@$jrUXS(Hkw+KxRfqqV*u$ znbE2mr0Z{dts$zzZp9`oQ4F^Z$4vc$jI%$Iqk`S1KE>Q&T53RL36=O7_EjOGrb2^kC&}}sf-P*OHwKe8$*k_IDb>y zlSxV2X;)D@&wVC%qN%d9t_LZJj~nf#1;bSG!YpqmA08HCw+RyRM|I3mI2KqX$9X=l=Yslm6w8?)ibWkjU-PqqU58K+bcdCb?#L;ixXlS zh2(l5M#i}SKqoDC$C!v8tA@n_DCqpnHZW2Ly2<+{(=4wD!vUb8HuA{^9gZs_CNTlB-IOn)Wg+fq2fl!O>L-qry0z) zuw+erv-y-e$vsJ@a+RV~y6x(??(3ag>t*Dm{fxMoM#!u~nCe&w44^ z;hJ>7qn9QLNI&o9zUQ_6yRWc-k~#45ub<%dZ~hedzxoRQZ)B6@fJ^I;f-88S=x8 zTGuZ346pN>nX#p#cb;!mc0Ku8hLYC(f9+ODuKnb+|1$~4O#T;>(B0UmJ6p@{KoTuv zcea*1!Xw>7e3UhfU-T4^o`9I2TRSny0#`%76Sx#ov}F-p%rFSbO@?P&S4X&W@{H0zFo{dM4Ukn(}` z^l*8DeRsZ>cPIgU@%HwRI8x`)`_mhuFxZFeclww2k;zW9z3Jfrm^F ze&8hE6|aO5>$q*FnO>lsb-?8Ok_N4K*9X$kZ**Xa9|yMcHJfVL@|a;`%%U3M(`6(# z=;t5JYjLP&ifHi$NC@bZsX)3Sv6X$whx{?><1xVpq{TXavFuoXSe)U4nLuM&`n8bD5~stEjof)*;cu{vJ)}0BPteSn;N~3J zHGHT+d}9;N;Vr}WxmlVk5XYyaUNYq{9L7b#9{rn&0yC;uZUaxLg+ zWl5jPJuUH4SUPa$Pem+XuCs+MJk z7HS=lQVO+x+cgafVlC90E~|dqEe#Lv9XU4r)>~>OqP|sG1Y1jJ=H80sVF0Xacc!vx zvAZBmJL7O?pW2xx%XT%K`oN_|pZjWMgu6S)=c6HQV^s=GV@3XZOz=TA?EHxn%`t&iGMTuAH*!VzHgm3}b)>%JkpF;e?sF zM=7MMIEsb~W)YWM^L7kr24a@ga?#AWc|ExEx)-%^#PaL9g3FDPeS4MDr>h&FLq(=i z%NmBh=BTcMtf{7~6GJwR?)$1ZhjI^fmep!zH57O40comfbqP|_Al}US(9Cs}B$=YD zsDC4xR;8Fm#q6l#bJ;9A{ixWtdy%jzYDYNt+;6EM^S;eQK>sLJ)0FirOI^@fLi1vl zI=j21oAlLB#zIoO;<2MV>Hr-ezmYfJBo~C@tjt|QI`~qBB2c7xfj8Ur1Z}XXEdOleMvrOdFJ*NYe|Ko3 zMMdzqO{&!2=e3@5s59tgS@-Z#dZ{AA%oKlup<_2@bu4{%Yz_B{a&=i~k}!h{DJgwe zGH)^x&*?I;@0zSukH-<|8BLTcOPXSv&n#Vu09AE4eY30yfE*sbLV%e{&o5H;dzz0( z!$sS~!&LN^T5uII%{QGhPVtJmA)GHXDRd0z#5$|rXm(UJM$OkVMI+;ODi57)6mMzh z-)LIyXvnMzY03VbbXui7o)L5^J=s`x4r1KiG?F_35~%ODInlTb*SLhQyS9;XkdN<6 z+=wYw&kEAhKR&+*Kksn0hLKujc2vm~^$kGnA-*~H)T5GF`?j_xiQlF{r*&ViEw;kL z1hW6a%e20TNi9&kCb&MXu>M?E&ZGDC0*G zJ|>g)^%(ch1V(Rq3Zd=I&Xmcha3}GrmPF1THVyc2Xk8~)z9_NkV0!i6|M#}_>i~Ce z5~GH$8l~pwI2j`2^o?C}CaJB*xQle-!5se6JJD0tj^-{nGHC`of)bUF=AyAH2V+?5 z8ML$6KoZDofoPj**&WpwE)BMW+K+;1Rqm3{2y;tP${^BRn=3fVo=wLlG_jEmSYj{m%O3egTl1Kf0PdF=W1pwr zsz?_LTKyV9aM2Rw_x4GdS&-9!7TQ&9AoT)(#+PqQ=*p)S+R-lXI!Scr2i!?S$Zm|e z6_XTr0L61C?H(fzf&h&LlejoXt~ze1u84GW!_*7&ROk1D!Yz_4ZYjdV^kU9T5*^<+ z027J3LF-BRKP+?9<(T;}NQoqf6wU5%kW&j>Z?6%_kNu#PRu(i8eR-7+ z!~1Z~8+yUdyOAdm?flkZ3qTPq&v12aULg=7LUXsx#t;KI7EFAh7ZXV--`m&3%5vP6 zy8iuGArVrpw6BPkrezLst5%qoy3n+R=e0$IMq>}8h0?xhiaJ}o@kL!H+^ZnoaCVuW z*7K?g({3}yXM#A0o5oz;^MFkvUVFbxhl!9b&Pwtp0n7jr&CkCG?joRNQfRTYfwYUO zIkS3V^Wxb9^IvSSI=1W~n^PcCvAi*1rqgMay3)AnXPHRT56=HI?g@79D0|a}!=zmu zL!{CtRI)Q3wDoy+Pd199;EMv$7MS-G9K!dBxU3&`Kfz#hx$K(g!UH1@&6~u)FPvHh zv@sZW#xAN11%N)BJq%-8WWc&7nB-kf!7qThAc&-)>H?E@eWmAIS)L`70zRBM_IXi) zG;seP#@+&|j%Mo?#a)8C1a}B7!QF$qySr;(10lEtg1fuBJHg%Eb>q(4Ip2TIJ@>vl z-gslwuDRyw?p4**)zv*_SNBGa4qF$?@@vj5ZbbYfo&3O9(82t;v=_@;l7}c|ha?Te zW1g2n1S=Ux(|3oJ>X`-inmemZJw)4T+45YX9XT!??PeFsd~j4BC~6Iipy9Q$iU4YUZtI6WrsJ>|{)$Kzh{d^rGmPbgHfBY7E_5n>chf%t4|Z5hy-GqJFbcju zC+$n92*xrmElgjhJZdW{^_cDXWsbX_6oJCb^R8UD>jV~WdM~aX=09O3f`3jXmq-v8 zTlPw&$e-$^`H{t0Kw9**rf#d&=^335#)PfeDJE9Uxyr{XPM^{}RhltVv2;=c(-YT+ zhMgt5u46t7eMKGVN=|F1X_eCycNF5!BN?}^)qjSb6r{$e=S9WM_@ZNm*!3fHU?RBu z06D*F%&r@{1{IsaKGq-039E({8`4c6C)qA)Dk0>?uTzM32#JZ_DKC0DhvWc#6fgW` z3X{KXUW$HWZs2zr5`J88I5yK#^v=$t5pXTSw+qSvD*ry0J~pMrG((+3ACP=gO;{(> zuEn;fI(w%oqzqEH7h+WM>;Fc%ifp`PqeRUXoCkC9YP!Y$?OQ#6i{+1fg((?#S$R&~ zpDm&TVvCMD!!=)O<#oRSCoi~1hVKXgGaSGE?B#S4=KQ>Ym2i%UFODMZdiy_abDc20 zNjH%~fZQKH~k{BCpI^g6fTbZdmvO`qL@y+omY;drwL#CRKS)alm^NwqR(~+IpOx!f~-|Z z6nV3YC#{CL-`(h|nLjKWBtl?X|1JOB%RC!BJ$+s0;^OA}{p#iu^+VC-^ZRPRZN^Jo z!1cJ``=H1Bk=40O_@w-;n(L&cWiN6&`=QFIs$JQA0M!T2iYmr013o2^c zxpA8oO4#Px#P&JK$MLqt$1Pvj`gQfHui27+fexX5<_59yG7f56-#C@3Z}V5(k0&yg zvOWj@mzcqUo!IxRlG~3rJoU}fBtm&7k4PyR|uJ%seQ_)c1)qLlsJy)Oia#%lb zJ)tN}wWn;|oXxhe&v>519#^1cU5`*XnJdk$X$5Ia-ZJaQOT@0|$(ZvR6W1Fak%#b6joAT1^}E;Uf5^`f^L^7||iB$g%nuQ}?Pr0bf0N3QQ+_1=HZ2H4>#l zbmscTd9zr+<4GgQ%bhuYx#ggONb*G0WsHKtguOjYpW_m0U-kLIE*0F~?OuBK7feD< z;o-TV!1D?F3H$Ku8jp437HwM?{FmeT>9@L5B9}52=3QJs?S}hY(d>HNi?#DY&XM=L z$1YW5&cQCGn=tNoT?VeS{a-Ln-Z!>u>26|nCG%>V%tI_^=i8t$BdzYGjR4<=1ki>Y zOc0v=IjxWSP_eR2v-A>Wako*Y;+gR-F~tb$H)*0#3vr_`Q`xu8g!SqD<+o*co6&Fb zMxQ2T6CxHb9VYGVFN3SYQFE7(cAL+GmqeC}a2AIoakviias6=BHyH=*z6t3QD>@kP zon9*H^R>3}lHVO^7)7q0dpBHg>ZGR8_T8CHaqlb&|D@=gcb*+W$ZWuh9klHYY$_u? z(u6!5<^Wi?-8pMIBnw;X_ARF2i%tjiTnul-aisJ>R8L9Uq@xLcKrl z-T#n<9kIi@o}Vu??WZC^q(zH<6fN?O>*X zt{}f)I99ASW3Q@*sGuz(*QX{*eoe*~mL0pP=9@B~nfPwNJnmlm88&-{Da}Nc6OXSiC! zle@a63q_`hXiIq*6G3}*;jp0nqUFx^uPsOz?yk=;al$yiPWQdBuo;%y$5wZ#bxET9 z+Th~xUkuGT!xqI6f8|4$iQ}3sNj2s}huhX0;;}ax#G@nI%;cTs!qlgLi;Ea2lO*Tt zVA=8RZc`*;EzgfP7v{`@Rh89LwPrh|$u9+pB;?e#t>$wZi5_8>*>@~eYOQ8wq-U)^ zJeazvo1!oHRZFsmhT~sY3F^ejJv+5&+bx=IWp=n@YPSg%Vd2^Cm!tfE7c?*88yM)g-oTY5~MUAF58}0W~fs~U0cRVERsV$brh=_8Ao-Q~7 z*H11qohV~h%anONw@~DG_foZWp0r)J0wxSd^I!7wdU&?m(>MyT*F5KcoqCm2}j z-RIwa_qdUU=cCa5LmJBilIy+O#laILS53NY5$l=yT$Ct@@Uy>|0TkPp~1i zKIaw^S%}ipVrqtkFU8ZbYS~GfUf`_PqMnxI>96TRol_j!2El(GYa!z7k&uVFOFO$X z){SpjicNNO@u_d?Ah&6QcZQ?-tVzBCsUFsJXtAhhNfzXUoGO4MtQAxMKa1HowF7TE zoM<~#lgICk)&K0a(!5x9-iVlrS7-;U!FiwL@;~5t?uzOBxEvyx6!gA$-9OBHi3oV9 z*?i^YO9-3ne2a4MKlKSK+I;Ncdfy`0{8*?W$@G07d7r)Pc#{lxg>=3d?8^gP6=D-M z1z$Isf`XqcHlO=8AJ1-bO8XA=J-2stk6kAH-a!+OKyjx@d|9ak-;9Xw-xi0qD~uj@ zgl!+6BwZ@WIqK7b!yPfwCzpV6{W1)jU!Ut-_4@&X=`k;1-Cnmqd9`U{2%25zGo zKZ@e|1GaHkUdciYdauI1$OVAEB7_PCZ4cqT(}W6!ZpWBBV&J}0ga*L$2}W!y)&#M< z9!-Y--FDCHe z3Pf#Z4P9BVylNo@p!9VGZi^xb4h202e9+}@J{)y%d)^*}43WIfg`s5Sk;Kfz;DFL> zO!7<&>JSNYs50}=e>rzzl9e%-3#jyEpQkLz1rR zl9+yynAw<^LK2b)!Oqz0SL0`(?C}t&b_NF|u(!LrXirH)SyF-mcmck&pABS&lQFLM z0k75~{v4mXem&pV=(F0%R^A zQTc1p&DSZ!8x(mjlw`VR@*UZ;Gb3{(`ND(|b1`!z4de1J=%W4~QSGo85*ocH3y;Bmdy>pL>@wKu>KYCR2>&lc*A;sp?rTadw(f=eOP?Fth(9Mc)E1B z+U)8Hzv|Iukmuu#?!zzRL;q@1_T6V7plTLVP!{8j;~mZ1Jvlb* zc9-+5SypgEN^nC?uybO*b08of?Bkv3`B9u8}0wm1U@&pIL`_k~};(gzj^_J?=k>wl%(R_h0^bd((*tpnQ8& zeg^J8?m7s*&x1afFcU*N>A?Sfce@K>Tstvuug6_+{_V0u-lc~7nJ^qZ-# ziF-Pdf<7duF9fd-Vb^sZfgkVH8}63RY3aU`eiwp!UDG%3*dK2`uMeOWBe^lyB(L%V zYCJjI_U1_vcii}Wy>5D{x*sEH@%OqNsQd8iA2~|>;QWXp2GKkNV!ivL1J#+sl9tFK2qd<@@Ga>+O12 zQ>YowFaP&#P4A#h`ppjRHWJ@g-`z8Rn%{A@LP5I(tbWf=Hv`+?4_uH$;BzV$nxQ^H zqWnGElv`V=gd15uhqpx4O|L%V;eGlh36ow)2C1MC2VN8~Lmqrcg3=KnM;=U~N0{yB zO9XqidpG2{Lpp#ld49NiI=q$P_4dMZd^y7v;5ZV%pzHh&6m8o(8@}{A^6SpN8r{8k z`Vr8s`g8!>?swpK+rm@z8g@ODC2H?^cJiNdnb0|I64YXplS5lITgjj?L|)LJ@oniw z>NPNo+4o8_*uAd-^1b~u5Y%A&J6#Jsk7JidhF|ZecnJc4>t4$a1$&qIBwcC#uNk+y z4>K_!YpsZ+izI-i>!s@*;i`>m;PQP+F?>^xuvs!@6SjSQs~eikvl7y)vR(+IyV6#x z7+xXT0cMFK+J=j_6H0Pu(fvxcNx!=FPGq*iiXHx7V!&QJ&`0TI7wuqU&>rnmo0%kf zfey2Pzu<*BsYplOnL41%UYyj89`bAEQXiZuKLzQ%W7g4r!4OF|Ka$vdACjYJO&yx% zuL+4j9}+%-@1=EUTig8;=vOAV8<!SYh~Kj*jT|2OYPewZnVH{6K-j%aN#W;{`T>=|i@DPj1V zr6}t=(2-=O4PgYu|Bpy*FiBnP8GoD1^^5Hrb;9HaxnzAS>T%#3!Zq4b!Vjh8UCbmu zc3#*swEpfhljENwLwOk8ZBF%-RryGJagNJFC1;WfjdO-oqYqTI@=#pwCE(De0MBHYkG0W^j2Jm$j_CJKumzzh0$tPxGocA6*HSB?I*m{re*B+Sds zPabZSf(9jD9WM#LZcU%#-3f4c%wMBEIYfGNC0RfE91oFvc^{%}2@ui^>#e%p#C`WK zZ|%7X93sx!R$Q9C8d|Y@w3ufMt9eBAS==5fYePZR)a@D{!G#@yxDFxk7}H>6xY?gn($Pa-a5jc$Zd(oi*H2kc%=mr8A#fKS{O%%6bA!7kU& zmM4X`90ewRXY%}t7Yp?Zq9Xyrcd$txlCQhL1w~mA{BJiAB}L5X1|%xfO?^0v87j+w zaf$eBPvW=r&I<=h%BQ?}PpQ zCTk$z-R$*HFh+%E{I!Rk+5c+w@2xJ8|0R%Cejy+3<64HU_g;^tcT)T3Royoh9o7CPeG^;p|=m%3=r>S*s{79wcBE(ghcN0kAJ!s3Rv!f1~U6>wShSlc&^WWLWC z^dgINy#Z|rKUq2v#UFWO(fm>9Ao5Uub_dvqKgyNC8B}9!vw?zPSCG9rG>M>vCRMRq6(5{rf)=ZTYH8LSO7E##?m z9x-g)iBtE-i~sYNGK$HXP(nvS?4&&$ddl!eLD_~km%$Hlx%cYQYgoa@=v%V)OD;gE zYxFox>GjQ}n3}(SF+vfE>V8J?sI0V_e~DUB@`kLMwEv(*Ua9YOg|r$mrP{KjiolHm z`#zh93in$!?WLHCKrH)yL`9+RR>xf8-VeFdYn?87_JMG@pM}2n8J43KA%%|5!YUi# zg?E~^|rC?!pHV5mK%f5TD`EFR@kO3IQgy{4}yeO>d( zrDN`T{C@dia$Nk%^&p}$*wL6};7Of&jrhMx|INNH3o8AZe-3lI=(TDyg`M(Tz~^^j zpGPiDn1~Xnk~EiMN*h_2`(qWk?H~NAm`?iFmz}h7qt?s`*H0d~v{^W*y~nWfUZA+Z zeA=!R>(QZ2QF%1`QThNy%Te#Tm_pxe(2n2${=FlO7|j0Mouq=q-NeMc$A-YQAp>7pf4=X12R}IQnjj&X@E8g-iXUq+ znRyloraVzuqYFkMQ0T$jXFXTaRWjuHR2H)WzWg|-dPj~3MXzdqO%8a%`M6IYfwKv# z{9TJLAI~?8*H;zrak*Qiq5odi1v-4mE}Xa9=-!ZTZ%^}#Zyt?4&aTex0m4-)MU%d8 zDQ&KP9zHGs(-jtvE!R3(mrrcuD4XL~9h0*-7P|hGuW~O$0g?j;O#&Uj;|9elU+?4D zJjF?P`}FN(w%5_am!3=g%h?RYnELhOn}*ntR-YKso)}J_7;a|p z4$Qv(u^DHn;^=E%K)TUp`y< zBr2GklQz~(GJef!d%$XYT&Arb3i^QB{=t#C%WWM`=rZB1ZS=lv^t^5Koo)2EZM4?! z`#RQh3p0FOEHnHpLcMXB@XiaEQDE|kcA^~JRQGPgX~Lq5n-JtGQXNO2+LSq1>E|=> zPesGv{j_$Yw$V@iVA~OdLgL~m*|}t}-<0AYi;IW9IFwkePnsSddQ|)S!=C;FdC+Xh zX8vOJu7|aME)7k89Mt}Kfi?XJ0@-AwQ{7))$I5FECY^ebPwKgzUwANqJmm)Nr!|XCO?p2se^IsF4M#~<@;mRx$;J@!&*01))ygL8c`=APyBB%KoPBi` zHUP65u|_-d#QTQHm1VU=tmFf?t*$Uo*8GqhtUzAg(qHhw9`LyNeGf#Z8T_Z_ z6aNCfXDPlsoUrwH0lALwk-XX2bzmCb^I?!cM1>NkC4yOS!4z6*62oo$nn@B z{(8KZs^yTnO5a(8b@R|wpb>XBpSwR6!hjd-zfzb^@J{=Gr@o!`|0Hq?Bi`?py6G?O zTas-m&xg5KE+RT#sO$d$%%+8(JUJC97%TsQ7|X0Pm*r}sd+f7S#IAd?M4N2h zdcpL?jHQQFJs3Awya>!@Jj^m%D9f{mQ9}l(YClBQ0F_Np4*x}MIuo>o*<`Jje60eu-V zXL}0Eis5Voe4Z0$=MTfv2>5cla>P$VD}$+Ej_Oc9cAvEbNuyWSanEacg$bL+MxmV6 zn99(*wGu#HJ-qW;f8loS0ZdNRK1^}M=B%w9dM-y`5<&ChD6+2aJ`A?Jd-DiX1QiBD zs$8`tYftV7v_0#Hm}D5c5 zrnd{O|05Ek{oi>16WkGg5|@b)q8`eaLp!JupAlR?3PDc_-+=)t2N53oBzdcGz}gE8 z^U%(RYiGl(`CKn_6$DrTq2dWktfrdm;S~yh&D^M^cQX*PvjH*B6-nEDHip#xP^P|I zX%i-7(d0i7EP9}Dt-VPUn#DFjA+1!J?7t1CDL7s6u;qajJn#GRpb6#B*9r4{D+O8ssf`a?n=o%VsK! z-p1wHedV|k!?^8657ix$)hWgdmq-yP_-&AxJ4ghF#=Zg}KhF6D5>KCevC(`%eWn?> z(Q4jfr}$Vm#`UgV|Ugg;3>Y;vQt97}VSDza(X%X2G*oSY5g%IcW# zGkZTnttu^34nTmy+%=&+lHu0TTvy5Bv9NMk-ms~qG0Jy(?LH(q{9~)mNiO>?^`ceC zhQi4*iUOq~uD^#PUb}ek0S+{g!T`h(8tUeNrS${pip652PR0ic_4rP6S)kJ(`uT6yRQmXl_YUgD|Mp4xQl*0y z3OG!Fx=0zZfMuyUdUge?>J8kttxAo9)!aZ2JpzXW$PG8UR(TZ9#fI%)>*Z&S;>%8` zT6Ph&yTrB2*k(igm}1(AL=Uk(wn~QP*Ref+Oi}*G9d!Q#qnw32I#lzbP-02swrYmT z;Oyh!5VPqtEdM|efuYoYbCz+hVZ&55*g_tc{{Y$Nm zCcb9d%i4@Frfixz{2hBWgtO}AZJ8({Y}96D^RR)SO4Su3AQUw=y`=HeeiW6g_VKi5 zk10NLiX7@widXCH-2sznYb&Gu3Se_%Ewp!_#Aa(J25rsmLa{1Fv8oK#2Y+dJeIaAp zY*sWhizws+8)gIib!k}9(-DdqT>f!+zizb&rOm3B>S|@XZJGUJ#rx9*3#Zpae;<6!q9OegD=doSX~XwKFzgeK=o7%JGW zv?$9&atug8z7%pa%QZ}!@McWS_^W`j8u`D_#H-=hkWQ|jNp8D^9J+|BZ{!(nWF}0u zwgNzE!p@I`?bAFtlMyDA&CuigVNz0O1LwqWcXB)qGpJm2D1sJQprDxE=*T`L6OE?s z99ezxFb;fTT*fwXbT8`OqBt!=QXrell?J4ChJS;x=uPVR?P%smrHhwtEA^#v4if() zi2g2+K)45IoC?En!xRv?Yd`nE=;?fYX6fPovan*RPF2VLd)gEx;aI+q4bN0Dh7s`( zKn+6MkV=Mz@I4RFx@fV7H5oR@rvB?E-MoFNW{EM#9u94VOzZk|f_Y0GlhSEtVzs;o zhCX=y*5>xkmZ*(kOe2mz`Ai9&`=tO2RmXTWEe}ThuX*qe{CV)UARI%8cA7_P2-I$8 zXvStntREN)vIiQ2X}9A>!e>FLpBWCuER%;gE-&LZpV=boGB`uxM67iSr9tWvdsiuC z?+$$%iphy45hW4~<@HB$d&NEBMuyB48m%V|OoWF~roxr_ZAfYs^!+apC@qkqqRJ7+ z=g_9m$P*vs&^FJP7(1J{JY}6Dl5lLRfHY!ffg92m=3>D$N*4|M^;9{XOTeLhTP*QC zk>IUdiF9A$(gsuN30LN~1bDt&wb{T=otd50cC`lXC;pUgFrLoMD<>}fpgoeuB5r7A zZKQj(b~3Y7^IY5+T`Ik*8Aw{1X--^1&*|rc9-Q^(kvFb0P>+@=%;ln*--Dy$t3dhz zVJ2v7R>l6a;}`i}yCg0^4vHX&MnkZ7bUvoq`A(5qLr{Kj?z}cwU70X-wK$4wKT#ix zC!Uz>${yd`S!m@3-|uYtC`hbWkqAbmLflL@ zlJDn%!r&%W3vJt^ilDmk{=&%5+u{UjSRBLEZs%H9gO264;n@H9DW%o+a-(IJ_^ zY_XK%8*I%!9kQ`c^0}`2wp)vC4=RbWkuXZ=iR|h2A4|)j{Z-nZ12qRo-I^T^|jD%YEWl zI={HtL?-cALM+#0^k|%Z4{zWdm`dDjn#GVqW=Tq-5|%VuX|BD5QwLqDg{t^_WT^^- z#2BSw$0y_t`7z8BJN-Ul_&d(HZw?rL!QLTfg9=^yR;;&!G;Uu4lPp*jKI2zkBkhuN z?;w0_QYNX+G5k!Oa_I87E%Vzzvy@eoHCf`$06j$BP2Q{vkv3YXD7}cArFgM`B&li^ z`KQuJG`RUgIKYVul*k;r)0XN)Mu<0MBGlVD;AN@T~S=`(o7_f%{J6o@c zgy|pQDnrIahm*adFpE^4$fqhUp?4)beb000Mt;^4)dCzAnqD+Wr#2XX6VQ)tGe&9O zjivN!;>b0rs+T}-?tJleF)p-n<{vuHd8(7J-YqnG?mh*|or=I|Vz1E>|0_vrrI*(p z`u7L8d{3pDa1XIA>iq16cQnUE!H}2n9Lk{Z2F0b|*Yi`wpn7KxN6s#>x1>s`0UWWY z6Lb_u$I%r0qUgA&dG2<+pP%ZgQKkx>!ZmTBn%M=>ilKh9FTr4_!&{4LNm}>yj)g1q zl;qZ`$Z`MHZ-BI^)U+SRil}O-Rk5r*V@ajwOE?&fF643W6{kvYoUDX!ad#^MR#Ru_ zH9QDozoPJXsu0XiZ_Rx#K|^-Y(^u2?{V`dGemoHNh{PE8mz@8Ll(WQ5QBQ~j7ODLX znbtPnwo)@UB1~CU+VBV}e-i`VgEi``Op6#pYcINyTL@+E34$zOz9AE? z43Qa4{XxH$Aj&?(OgBoP_n8;DPlg6fT`#=)#1k;jLS7C)H=^vd`s$q0#MR_*QF$y| zQNfeys0=PglSPfz(T>6HnNS~PT`fw=AOIHYDid6+Fhh+@*CP(CjzMfxUZVX6jq^lZ z1=omU8%@3G?OHwf0wJck^9T>v)9nMP!OOZW!-@tg``xe_6AtDdP>&CZdMWX=a}Zfw2azIr`x^`CYk&DOc;q9DZj+JEMOTnpYgCbz4F) zD(%tI9QS0=Q2c1AVwnfTm>sCwDXHl#Z|)MPv)!({<_=i5M;{fNR~V>t?U*p4^JTc> z>9(ax0pum%k-<&!Je;Funt|0G6MkMBJvCi%|e+y_bFV723*gYr}hHP43 z?319}B4h2TV8_d35;kflQtpq5dIgXtvk+ge$W1NwWR+$=RtLjwH4<_BONC=986oZSEDpjdRS5o! ztUfepp+e~t4TneUGYiegY#7w3{gh7bYW-2j+*_R4CWHuoK8pko(QWQ3?|ABF{s7An zq%}wPW`(URob(j+N-Dat=j#`(I8k;o3xJ^iYIL4mLvrp`vJ2N+uHt4mnTV37gtpM! z=ZGsDO9G+FrSDkn6{5GQoU3D**VLHMqzt%|Hi&TYvFHRx4Sn);=(xui$q=8CW+WNY zc=O+HtvgCveo@KZw_%ucd3EXH@1^w;fvG9oZH$A*_QGn&ZDe;2TbRmGEx_=Ubp+E-IAeFd$z6lW)QBJ zqCr!q)vmc_*p52)xhXSPT3Nsz(*e192!!T)CRBfF$5bXR7gQm0LFezXTs;tFbIt+9 z6NJZ$=BSfE0xe4s>nfhUHrj|RJ=s$d`%$mFl5?U8Tk)GdFEF@N)b6{pw~zZynVqOP z?w&wz)~lWKRZ+%zjVvPVQ_J;?h>X6Stw^J@?8UN2g#;t?;*CaL&O|B#C7V=8e7h>m zh%5*i7_~%_+c8`B3Z^KT?dta`^k{(2I5G*mHkc<-o0EoGQRzLk_zgGU9L#1*2w66u zw;x%F?@Rsce_DGi%)bBe<440UFu;^gMmY_Rl)wXSRUTk-KDn?=G4v7c-Q_~W0V^Is5q zoU@#3vk&{wr1){YM|+sA4O}u{7M3#=l}^lQuB#;q#Jk8Ratg%ik;<5_;iTa871u&( z^)%V|Ns^*OiuQSvxtk3Jih*;N!l5ssM6{p2`P_e5A5|XJw+^62jo$Odn^UTY??iYj zxaHH5q>gYs2^3aXB30b+_PXK>yeVpo)?fHCtfFLwI5!eI6HtQF@wJ0M2nAf~JF>OX zh&gOaq7Ut^uoVHx$U>5gp=xKtNlCqH$4S^%KK%Tz-aO6vmE!MW29U#<_sRymJS+N{ zSa<1psWvMR)CsM8XTMIbx5OIWW|0-a&Oh@CxdLeHD|8)7rmqWk{oNef=3} zK+9m%wu@sK#rK-2jLTrblKueDXsqxTb{4e%Bg_7y&K@e&8&5dWA0RdT1ETz;_NEoA zH)a}8eWm-p7P#(dZql*SKR+Y52{AMPdhswL=yJXp(5yhV>hkq5>f2LU z0-f1}qjWaSC{dwzoAp-wzeKN6GUI_vXC#nW0QY4*Y`;hZ~g!nO{9i8d>@%200u{NEK=FTO~Hkv9lM-NmsCi2zTiGT2dHXK^S$=sSQ)i zh_Fdk7`J!iV+f?pGKUC(=x3B(+M_`bjeh!DSRX8477uE$rtu~N59YgCBD1ql(CQ#y znp;N!R9M})7!-jb$k1s7Fc%B;)>0DE5dfw`%~&6aQKYU)1gRpymA@H%()4PE|6x+F z&x|KZNYB`W$lKpUjtfOh04oRceQ3}?ER>s=U=p$)xb!E6>m~_VZmY%#&i<1Gf$jVk zBTI}`6FJ-tpjO(7xLGe=(}>fx*QX7~gO90tfv^f$LRT3?9>l10;?? z%Xjevcx?Im|3gg$=K|@NAWin~GLWdL<+p;~lPmq2H1k)4)R2MwkLB}i;kgYl+5?S}q_Q0Sa)3wt`>TDe^CqNE;;1j&TvGI1V^kp=DE^l})4H&s)O3 zSgRh1XkUonpfk9B?h$!IUu)E4L=D_&x4V>BYeQ=47;{_&!OkQ|BO+)8m0y!H_Ae9d zznJ374`jW+lI;{oueJJ9V03h~7|q5ohZS(n-6x_4{7X{%4fu$w|7YWW#Uc3rU}dVG zvh9M27P^s|kj@7SCO{e^0wXj|Hb;cw==lI$kb=tSzU2jQ}MUu|N5w+ zl(2P@H)uZ1&O@_#`$df~64|>p_iTHIof6u|Weu(aw^GWPjrbS@YIk5;+KoHL+?jNI z!McCp&_mX?*Q3Vny9ER?Fe5;jdNJX}=1HOuFZ-AR-N26JX#{0Z3nLv!S=|6)VTe2k z(qtSw7EZB3N+zxtrFz3!926D_Hz&6g%5&d9-x$_G^;PnMHWS~x(JV^@wTOpvG@LWqrj^QSk8v@Uqe+qm=>HED-e zpF3Avwd8XBGXtTGc}_YjVpxKv!)zZ$np81*IAAnUsyH+pz?L9Y{2UJGom9S(Qba^% zrmAz?U`0G+{2!=s+(3svWQ+rWmOi(tj@AbMxyZh~P;MzVNN)Y}P23C2&Los>uxyI1 z|6h9S7I?i~1;Qm=J#QxjNS}4{g#IhN9+ire_2~sRR;Ynf39T-aUe$_}9ifBtS~Xkv zDNdx(p(i_rq;1Y$qoC&}1hP?&-#&wSjBj!5U-eZL7nmagI{N>1vh1kL{yoi0tUyl9 zyV9G_fsLS-8YqNx=ILjDwDb69NwczlA;(MMeXW+>ds}!Zk|(2X+Tc&B)3(c`3QJ$J zK4s^@TvH_|8p**eT;Y^Q8DN<&3^xzIykkS;=|R3M;0!mf-jKFKA2;lXDV|>;cBWa&HUMuFbE@s?fiatV_AjR}s%z1<&UY3k#ym7}XnqpiedNg77 zJ01Ha(yq?PpOr`qF#4dqCgJ4hiT?A!D2(+e5TMmL=&i|HkU=1F@yh+hV@p?Psew7p*0_lssjaFpe!pX~!smjr-k*QR}Z`YrU#h`Oa zdkspN0p8ym?h2maHJFz&9PdOn;F(dm8y+pUg1j|{^ zjm_mYjFiinTd`6)|4w=Q?D4i>fBJGUvA88GG}}%2YGqh}@WghHtD(ZNZCy&GD?bk? zD@naVZ|7+C*Wm4Y);mTz^(bH~-%OfdiRJAPI)Q>L!9dEN*zIBY#p})E(qA(-J@(s5 zdHd`O%8AihDeNEOUBi1^*g8q>6#k%|Gc+Xg7(*5xsNiLC+&{!UhWBtVbwi+yQ#wpc z?s12do6J!=wE1>4PmaoHBTY(zs|r~_y6*S;`mnQ|hu@$NlJi4h=$U9jq9NNLU{c50 zFhgLt4OfZRc2vTWR2#1r9XJzRhfGnr(Dwv2p)-5(i9$PA)Nd^W%B1U}b`es|ACYnu zS_z%2XHpb!ey}3L6nxNQ2H|>X{=3GGp_NB74amS`JGWU zn>>i_uLnUJOpFHfy$uph1PhlZ@2FQ~vGIyG+M@zZ!-+4>|Jk zwQ@N6B8J`-(99He_*}oBo@KBe(n3mHv2Lic*-4OmYnbY|A1TMZq&tj{?}!%w89W|h zSaEtwJF-JKSIoH$uy#=_)@KAT-6S=_S4>>qY3HNwi)1r>f2q{q%~_%QRLTgKb3zd$ zaQtxaZXn?x^8@CQt!2OT44@u70|d@d)`_`UXl)nL)8!*O1IEJ&PVAFx@>|hJOCD+I zzQu4^7!pTY{X+Zs5^dA{HtP>H>8*8(T<+bI*JHx)5FuyDA<+TR;tjblmSS{n$%=$! zlxo}DN`*2p(%<8i)x5FI8>S;va4Ue{b$NK;mXNSiw#w`#tb3Zj8Z3EF3@;fk#jSzS zG7ddUjA#RGdaNj4Y7`Xjm}14W&4K|R_FIa`ps}z);%-@y{TgoGLU1~kI_CuK7j$+@ zts#d7gXMsgAJjF|bFmF$Q?AuShJP_vLj4$#NGd(DHHtkC2CR6(Vl#{Ab>1SLz=5J^ zun~{<4DJQ~JT>Ea=(ju}A5Rm67! z^(6pK<4HXKt-F9+Q<5JEQX|rEU%R%f2!#Y!4TU^2;^qK=nanq+g0<;D7TuCZbIu z`R`ertrm*QN16Q4bcD%hxQolPCe;X2GLdCqyXVu$839E?ssSoIUp&TBj+edzP*F^9 z7s9?Eo%Z2|-WehFJ+p)w`Qi3e3q%@ek_@txqyB5L6L$p#VkN2xS!E?iX==S@-!`fH z6?)&de2#!+>a+-ctiJjz5Txs6YNH6H1;HR>f79_hkb;))?@XpK6ed2pikQV<^G=FN zbs60=OJs4F@*I2DX64{Qq$c^?|z#asR?@Rb$>UyugJ85nTH5l9lTLBb}(y z6xxhjYZuU6!Tj#GK-)@}vek~Bmbo*L@b8_<@2m|voIPj1tjeJ{q3OTN_so3`g=4i= zC5p}`h0Jc&FHV@f|FI}cw#_R=p$i-Cx(YZYjdLWTK(7oI>vfBjYDTI3w8cBjpbPsu z<}ut$O}XuR1k$Q>s+4Y&f75bT{`8G&OxoMu&H!jr#`> z!B3RUJAQw8UHc=VH_CviGDF#LvkBAZ?(LW7{tmCf_W>x&2?DKaRA1~Mv-!qjS*Ug`0aIPjSyh#@#Ow_1Y_zst?iV6LajGW6<$)AQr9aQTyT4p z5v^ReAC4a9OYf+7WVJIIdAVLcyrd{uJM50{KhouL1eufn{Dl*|-9s~=(MMNgm7gi+ z96IpFb$bada!o2nK|Tx}`WBFk1b?cd4ap*3D#%md zcKuJ`sCt_>d4s|oS1&7dnUt^440LB^Md_iJ@p|JjJ`?^oY$rvZAz=(puuPAo6$gt- z<;249LG|uHf=N@d|2Uy=n<7<*AyUgQ@Vh(Pjy)SVm?HWaV7M z6vd?t;S>KQ^PBorUzK&B+9u(z3}gC zybMtmU@*4xxqSLrc#mfpv!`tbYy;OfBjjMu*jtLi8fwFeqA}Nbo7ePG4LgCLLu7dTE5Kd$|hN4-7>e$xOkSVWdx5 zet>?G`N|tqmnRE0_P3IUri9gX=u_>4i-QxJ(6X_~dr55EG>9aD_1R znx0*oyG)8?gC8i=o#=)-!uU#R-^a#Kq&l;$7;$Oh{+@_pdmm*v-vU+uQJ!=!`S?dVUo>?|S~2U>})O zZ$RHWlwVRSYKW56k*gGGX5_TMU|m+jck2@w(LIqKt%iz(cQBG03{SN%f<jv@s3m5_Hf;L;o!K3fx=`{Ntu=Y1I@ zp^ag6_{2cUp!g!yKw);8I2`W^jGUzi1qA~}s`j+Ib=j?RvoWsvzHm@J~(Bvih1fS|(I598xzo)=T2ZN|472 zw7m+*IR;G(G#AJdCf*+{-ry)fhjSxZGTS@37b3M)8(@j+2x}7~jyWDZGP=sGv50z7 zIoo_)eW)jT6YuwrXI~inhMeWL4fL+;3^sE3e~D&v0cL01u(m$E9=_Hl_qO{jmUf9P z+Q>J5g-x#zA6aWD(C08&>aiIuKQWK)yfu$b$Ssy<#Q}%do-w}teOSob#dEPYasbx_ zbR7dIVh48lHT~!=nuZwP9GT8MuF?LM1W|r4SQ)}ApoeMCqUbv>UpQ@%ta}Gmf3oXC z%d>S<0WLIbrwLg}BSV1d_>A=_lsyL9kg)$1D{2vfDOqs|yMEc9E*%K8&<5LexASJcuvjNm(o#8EY+=xBkIc0W{a`&cr z(VNd!g-FpZer(b0?4~)d{oN@v?`%i+%{s3v$Jd+d-dOqS%FgXcl8Oqz$@x?!*(PbU z5@ts^%am6lNYKiHKrvgVQp=euz~9m9gT=#XutDUjJp<7C0nnNStWN{hC8wkKZ(TqE z&QIg4;VnL%eqQa`wie!1-x_dU>SyyU>3`h#;2dB7OBUD7sn7MZzh3I+ejS}Yy-Id- z3hW;3?>)plmvHh=z5NrAUwS)V29sw#wphv=S1L7Gf{pwmFih4P0->3zMd2G zpmKjQ&uLdLMfXSfzLf-pMs?!$HD3_wagAsgP%lKcqY$aND&A*!Z+^S_4hf6l!czB| zr#lb|_z)3_TY_VrY@DtXX(T}ntqx!JRy)Nso!*df%4^^e=+fnmED^~x<4xy3fKuB^Rz)xFnf>JqWR{b~Lv4FBa6m(;mHMIEgx&InN070_sn;4I)V&GYa@CpnzN2SiNZ4*THpkxyZ`= zt?p~|Khh=^#EG)D5~Vivy{+WB+YrN_QqgXG35WlFpzh&elBcx-=rX< zJ-U=0;nVU1&$xFE&ldD&U$h(OIkYWvH6xyQ#@uzc6?DY8L&n^`}@1@ zMUHca`^<+9@#D;i7HcnHs$>gk#U1Hc0W8f;&2NoR5>k%E~E!|Uf z*^c+j>%6UFgFC;swf@dkPSXbO(Kc=IjzOq*R-Ip${fv>lCv?=RzV0G-Rg`s&oASzH zRnZ$@wM(aWz;wmuQjj9*W%B)^D6h^C#hbAX@;lwF49PgzUjZM$)Gf<hir z=+@ZPyW-U6cJZw9+wJ*{cI7p8Gg-&^jyvC_ePB>{c2B`$IlaF|!DvS1>3K-?VhDlF z{>Rka#Zw|Ltgp}W?9|2GSDLum(fZijS`$9X!ON+aw~MMlm**{<020OXSc& zG(;GR?Ob#3jN93PC@i1FE7F`O@AXrI{7Fd-%Y-#c^i(Zr?>Sqa z&SZSg)ZM9dL+@%D@mF8Ek>)F|-ArAse)c?mwqYxkWLJo!8)#DcpI}{vze_=^<2F5t z?<0YZG6?ID7QY%%zDFt7R(rNND5||^b#-NS~x)#(NIu$ zQd3XNO3QTKeou2}htnn+f!Bs+{+>EhnO}lFQ6Md;{W&eRIp55I$^y4os^g_OdpQ#M zDLEnL@?J5E9peCSqUfx=+nGE9|jXC_4RZM4?FmKd)c8< z)h+7yvpe1aUy^im4@0+A);xSL8oCguy#`1t5Ov=?*E%M=D%>m9sh+kF$E3gtSUQa9ho+~wW2^E)lS zbrS2Hl2tNumhrBSC=|l~2y|6ah$opi)gs8LAg&Q-(!OH@0q9FqGlA11&IF7yWWydf zrvE;WFpNqu-|YHNHV|(&e>Y$9?$=?+#j|ZU!{p_ zCy*u2y07y)YolWAgMNp)_ks3hiuES#YQ09SU!Crjeg`P6XMgS#_!~FEIVSyhn3bX? zkZ1`dhkbImQrOpmf-W4lz zkjq=HUazB{ua7@{wZiukdVCIccpCagKvz>8Aux^G?VX4Wl zM5@Ew1FFp&?YJn8LRFlQXDMLQ5&)+ON`0i!&J^7hxzBJY@&1<4&nG`-jP2})GZc+4 z?cB;%H2}k$k`mwhTBDLaZXz-4G5tTzYm%ax$TMBKw+Ip-s)Os>%M{T~2;lM@&fA9T zGOTg3L|&C$$aj*CLnZZwrsr98G2H@Z8irhAx2l|N#%JdTP$$5K5E^#Le4L#95XC1< zY|Uv6hH?cK@+0dfMF=jw1@8@E_Nb!USwQGI1>6Vy)Y zka5uAnZ7TXk z7XiJ!Dp3dPAD`dhhm9`o$6h>^a2?NeLp%nO-OCoyD1|`2?m>9M`_~tbh&HEBR&XX%N{j26NdYUseS(DKl%E zmb<;Gqq49D)7}v|vi&00uX@MR|JKo<4i}d5i>gle;r=sEuo2*Tp%lAOo9pz;ukxhe zA_e4oM5f@hd)I#W!&TH;w07a2@PYLTEjtNph=f!GNO2Bq-3j_>;6`6mUvaQ(*tdfa z9oJ}jfY5^uhc}rdgkd_=twj+0BQQowlzq6GZPHhlEe;3fa$M**zj{1i)-GIOX(qz# z*z!BFGC9oCQlDnnn*aD%`yfO}&L$HPc5b}t?ZI5|Yj3ZRTX^ck<)@TchRjh0p1V<*QSg0|I`7r;1w z!6PdQ_6y@drl*}?FZyVaUc+>6>?kIJ;0?8{*@Zh$9I!2TBpAW-6p8R>B4gX%$-FLY z!?T&{I^(JBM<4IZ8D5`uZI6`K^&{&3OI}AlJ28*ro z46W*jgwX>dzE1DZlVf973#R5TqMR>_)TFtY=Lz4%xBO#0>Uf8zrNMBVFdHr1eEm(U z{s4On8~X_xGyz&xzzmvk_Q0d*_eckk7>$tTQEIOqrEsU27=RFsi=1-yq2^x@N2SKx_t29@5lN+7nMSZ37J^9hcB!IcFwKjujVoe zJ~0B7EG5R6YAbuGh<@1Xk-DjiCAEun%jcF;(D~AY>}q4vdQi_=A>UlHy4V7`gCTsih7r-XqGuE3Z!G? zt=5axKIRl#=nykenQ&uL_&sA-6 zY(^9?HKZ#$nnm%nOEMGU?KCRPzZ7?=RpP=~+DRwus#lakDR0m$Hw($=lvFY+g=@v6 zCw|v!n~=}i#A+!r9V2e4T*#4nBI6Ig|GFTXpdWFsUCc>F|0?;Wl7#h9#7_-(`b_Us zRi#QX9A61Zpqz3oT3J()*&M&i=y|ZzSv*Kn!Nnu+weWBHL)HBx@<^1*| zgSmMjA7KelxyYC#nc3Tg4UxZFF>N5=adRgsRdKm$ZEj6T$DO)d{C0Y^PO6fM*vsUm zmMd?abk+V4sF3}vk*QKxvTN;BX~aki!}Y^FsdTf1vy6T0;&bikJjGLa@hOq+iX96= zVdY+XLOzbZ#C%VExOt6Y4`7hONDUbIWn@ZdocMk=2SFG6p726#7*~qqmiqeqX z5{F950uRn?EA6lPXi*iF2aUL*QaPLzZnat3Rvo$=EL}GHp6lbUjkj9dt}Deei=)^o z>p=&mH-_Bn_cb2%*;cRWe3}aEzO+PiWtqJ>9F1Rp)0IT7N>^}JDe1D2cb9iNDJ+{9 zqZCbSO#AYe0%?y_SvMZit?IH>xZ3YQbLgBb8HI&Ijl8s{gcBuqpr6O3v$ z(qgs^IIMRYwHVXX-raHCe}Z0{bJ?-|N_lfmdP+`PmM&!Gb+c6?8F#WAy)f$kMOh&E zU+O`#obTI}RXmX?Zt^<7Q#vlKd2+U%)Wo{?}oJ9^cgar5* zH86Q^14n+>9nO^}>p`=6$|wTvDOTq914p%>_fFt>GZ}R34JMDbO#F`@xUbzKoaY!sAWTk_nlB~+BK!60kL3@B;{U(W8Nxy=Qr!*fBO^Qf-iO4V?~dyWQsMu5iEaYx@S@^gQt?I(1g3L7Pl zptv3Q1qo2pCEyr#{`s?qh;_tW5ReiuOXho+p1UBsdHH+dMr9YVeaIp1*QX)!(6qlR z(_(%hh3?Use%>6>D7ED4of6x-p0YdwTH+vtFYR%Pq7HlQBY1v;Rm zE8UXwCN&wWH5rSJ!bYg{5@-sb|5Z8U2Q90tciuRW;hP&BB%kS9o7#~#aPF*oK?!*D z)IQ~~eC*IZ_k(Vlb?nH>UO%!N*eG5JiCB6RtTbrNzMaQuvG zDIM>{C^!0bF~jV*XE6Qb2TPBZInxxPdB!{)Yo=MMc?Lz=C-4}uenq8~STrrEL*yUR`cpvf0ZUDO-QB{O^4@_~)j~>$H8XYEy#*rDL`)dmh=Z-j?9p zDXdn;PiuT_i1}dRwm#oraZ781Zfx=HTIT&ZarUGbdvy{Nw8c-DxAvv4bBOGprCb;B z$p-Rvgm!xl)?rch&O^H1txHZtLoI->^sBj3;RC$7-uw8BOyNVn;B4Z2sOtF%a)rK8 z_1Izty}oR=*n5>A9&n9ujT>4Pr5Hkg>DXC}N=O#D=YEaU&;{nZ-O*aYFrc;UmS!mrj-X;%|D-meLRHVO#VHc9(9gHE@dBEt`d6?-}|4$T3 z0;;DmzD9<*$Ja_h{=cDC(^QS;f6y)yVp%naNi(fe7gRPDq|x}K?sZk;;x$zx??ubc zIa$$D~Khiw3&QH@_>H^io#?2eEz-dUiEk&l;_ct)6mPd`91? zYsr7A?Bss^C$q}3ZtPF4nf+scvr9wD;b3gJNo}N&I)NTR_2Re>CUcm|NVQNG7kXLb zS9+?U>iW(XM5CULp3Rw96JDJ$AKHc@{n!U0?n7-{b7wk$j@HZy^E4cPn|b;-35y?>?~(TLe26+Mj{CJ-bUEESJN&GgubO#!sRExZQcJ?8L9x== z-?|+6281CtRqe0uY*LXmIVff4t66h@_TL%1?6tRTtf@!RH*)iE+b)TLYt426jegSr0PbP?(%wHP^_Nsw`?YGt*Bngaf#vcd&+3Bg)ucr#({ za`qJ4jM>_>*)ibhk7h^`Io(r+gfVnkq@BQ+jbztXL`KQvvNRL7`VSk$xAok|CjJW( z#j|mKE+f|2g^wjZYxOPvMAhn`WAFlgpVq1!As4U3P$3OeJ(14>8_PpV#ckoRpy6GZ ziL5;u+E)S{n6Z@>n4Sw~&PSigsu_B~?9q7>AE*bTx-zf?^@Ng6K$+{15HH0J*xe0pNR0ts+q4@UCRR~5UXV0>j^8vOH}pRzjA(a zLz#{5M>Nm#cgiDmw99WPA9*M2Vz8G6?L4!#U+si4n>>M-`nl@`FiG;XdfiO&*`)ho zv#?s*-zu*!K#iC4o>%crK@lZAdpYYg0xGQy5{)>u9^}mzlW-|Potqcl@IlS?D2x&v zs0+Mbiki?m7$+1Ljt^Wb-wk%xr{#ki=2tB<$OsHIc8 z?sUh!@v=B^0(sdvIYbN#Ff z?LchmXCK8xAJ!-l_*~F4jG7(M5nhpvSezDPGMo{4sj*_2b5GSe; zx3#gdRiVw&27)q?1j#>en8^lJrwS`Unku!jecf&Rs)P6WmsT=863Ew2rb3I?*NB9q zyyBI(%Q&(Yedc5ZF_X5Ye5wxBqnSO{FJE-S^t#R`&C(cnJI{ZDqQu-7vP8v)4@oc* zUS!E4`1(3~j=rG|AV50Hg&MYLa9c>2BDbMsK)8w>q7o;(IDjR*Xnsm~5du$`1pEA< zW?uBo>TMz!=*Lpjnm1j3LB$GE<$70nSO9#sMt6F+&%pk>o=xzb(z7P;vI3JrQTC8E zSEHZmzqkAEwsyg1-O~^VWWN6VCh{o^9iA)sTYo{Yh3+>Iv2=LXUrMF5dg+~RMyJ4# z3TteT@>PS1^)S}a=n@C}KlUx``+^qNQcZy97W{wABVH={MMZJFSa)a3Jn3>iNAXF3 z|M~uSk(J-zUR6u^klkTAZPl%mtejToUNZ}2et zf>jqiyql6Ra?q&Rg;7O@=@tV%5Nqfiu3qjWi2gHqHKQYE3lHiMt0a~Xz66;Tz9g0l z#3evn1;mX&+z#GMHUUB)X-J38kKnE6Mp^bGANwoW6v)ZVnyN{x zh?Ud)5IYC~%6*aoP88MHk}`<>w*;q%Ql4Kv*jmk)KLXECqKeNT^=&S%g%jis<|&#B z5sQLr60=7GrN_c*Xbw*ij;9|8Ue;^WiYFoLA08zG&UL0P51R5glc~++;Ofw;f=Wym zfCSW5hLW)RJa!VZE20fSh}D6L%4s_p>mmBw7-j}DMOhpzi;0W^v4-hra<)M2cr=jS zLo$6+)jLVBk0mw|)3g$&8^4a7hEICzbt8N&(ZK>JB#H8V1<38_VqRwGjtAL#5p+;`^ zYP8dk)h9Z>1^RXGVxG$KiFxw0zoW?(NjpAe?)LMjOOw>w7)7-Sz21a+=)0|N?CJJj zst3fqQdG9G42MyHqRl~HPp#Ly!9cUyc!9K_FE(6csM4q4|G^4|q9rJ?uqE)@mkPqf zC1h!19J)6#SA4KZ{zU+W}K#+oONWag;VWMI}oDQ z;T%HYpkMm3h=zF~u8=m5QEcr{4e*{PL1|m;qSIO#gBM4k98J1&<{7xDQ8`D|wq+?DuwnO~;^r5vn!p?h&V+3Y)QJ%HaR5(VWJ+*qgU!O|qgTV3l$4H8fPu`mmm zUnsX5uC4ckd+;{R$XoXFQxCex_`xEmGe9<>q}~>-vG!hnTsqf5XY^^gR!dXKaJ!0k zjMipJ+_m+T@&;Z-{A5Bgqoy;hdr(^=G>yYuD=5g$^s-mUY2wJKc?Pv@q-XV~kF~RV zMIs}kcmSnE@Y=g^3H}}^49)q{Q%o~vnjriz)13V~UbA3_HbvDA zb82>9DI&PQ6XyoH#yU0QML0DBA)V%Wd6G<8%Rpl`%ckrJ=AA{ii+qBMS5a;t0PS1s z`Z}>Wz&}0h#D;KR@5g>Rp)4nDoZ(tp&jp(N~OuG+8HY0GjtDQ-EI`ec|^xVBtq)1Vw|qjb9K zTCgNOEK(sG7t)v#f(%PHnJQr~8>GMh5}-#eu*_tK+L;^$whBWTKYhU>epNVMA-`ch z72Abaix`${!-zofr9vmhR{SDhkt^j>K`uQ2xCx0L-bEmdcsPPKfR(1}MNQQ7A^p8b|#0 zj)ybe92OJ1>udDWecyCM)%cC)YJAF{1Y5UzE~sJ7F4lszFh|ELegvp9^EDssq}k+n z>4Bnf^Vx26L;V9$d zXNcn58{UoKYgmJmqT8;-h-y0>-mT$j@TgMwPvz4$Sd1~GSX{f9VRP$ZjoG^7Q(9x4 zX%=L1BIn2By~r44@Gk{;UB+#|sS2;Wg)L34(qJWnxlj}0fk{6u}wx-5Kl1_OhMn6mR?`{kh^nh&uG23AYrVx z$D?v5^4iS)ztV)RK(5d3X~`H0-T|obaCy4`3>DyEqs4!tM_%rhBffYeU#0nbGztar z!WQoGF3lmkT88oTi4JX&2A;opB32$}vwDJ`0NWz+B7N-sL{%(}|m}{6`(nEz~t~D(+Lk zzkgZwfL3mY+?L;!l=*BOB0|gkRCccqKhyb8{R2T$QlcYq)Yu%Smrv(%cdDEE(tCdaLB%9{)*i3FM7&Wxz)vT7 zrsl+bw;t6o`O06+#(3tpjsD<$Z{~OYLDbsfD+X(xk=LLgco2|VC9D{jA7(L!fxfcG z=V;&A&F{Cc__FX>lfTPn@AvNO$qO8z{^pz{9*OYIS^%W)Gz9wnLF5FQ4czZs1oL*! z@wqfIrXhnr;Q#@?*0;KcF>stOOfrVlfhmwH=*M5`xfHr|Al(3*fVMEbbC=+mS|Cf= z5jbq$!sa}a=V|3^$rqdb*@PC&_iM9rp&9J_b}tAK0px6IU?|Bzo_eqdfG~=X>hSgc zJw^%qqu4Q9;Jnbb6jHjNIChkhovor*nm$W)wRmZ*s;>5nMR}^k;O^>E@YnSLi>j*Z zG)T1#cLr$&$>@FXr9}*pZ;gjMdk2u>GdqeAV}q)$i5)^#fe&9EH>H+(X`}~hKw;IY z;0ISvq&ZouKgx$ZH9tOn;J2YPR+uG$@~ryGC4L7tOp1j4txru>si-&7nPl;KMdNNr zvn88aPi_@5UQSy`Iz&)^No13>qj5npz;4zp?H(3+OKauh->C|a@s8W+V8iA6u0MJjTia3ulFe{z*f3)gm` zBDL4|AU^h(8r4Zk^1g@ab(^@1{B;|{H&0+XYVBT0XWA7R5XJwh$YD7P=Wn@4dcl42 z)zDSSTLIj1R+{938?Kn2DzNz|IM|R8#@KeEDS@54E}MVxWN$egpV02a0Y5BCBa%t7 zut8eKJ2iK0WpTBTxs8MS)h*}8`o(FpEK$1I*@EEMol!oQg%97zCfvvo&T?5yfpd0J7#MQsyVq?+XdQzev(P@}$l= zDw*S)%OPZDK&&$UeOn{nNkQlzH0ToM@*XpA$LYZjEZ(z6%yofL>x{o93-h61pk^oYP#$754i$V)4K`c1PBsBd?~d z@;%IzM2ECRX_4sJi{IFf{gCp-x9UFK>$h>8`o(lU$!_f`x3w?PGq_%*c}2@^)s6lDo^t?G1~#M(d!NA-aNXARqN{qJf9wDgSvrL>-z^h-yR8ry7~XaW7-;u6x4*B;4k%Fjcj-+ zu^eDPSc~%R#``KuZ=o&-wr>EuoLJ~n$-TvSp%05W9=!4$!hgVDzVlHZ^B{v^74Y~= z+JZ3L%thU5!b-NZ;MgJnpACl)$ss5-z9{h40+k!@Yn<55ypZRY_gLsja@qrne7;7N zzasA$NHlCA{y_D+hxiz%3W5>jB7R5pyZ%=(sDt?j-UfC5A28z4hKh+!Ci?VTR^sHW&}MHFxin9o^pSmj^AlTfv=ya z4j{9WFRkvf?|l1Dc0WV9Zty;$!Ic+uOs?EsU#otco@3 zBCADoJ?6u|43%E`QNU%=GntsAjm>xG?S9y#3d`QwJos@SBv8D-^l;7;jmLLePfn_{ zIxWX!CWx~GInTG6%nYiqKP{vL$W<<+obs8pQl{>E{#-T7=Pn7Mle{B@_A%_copf7F z^kJU^dx`?s=ps!pGB#ydO8-vr6VCD#k-as0S=My>O$YZ`&@MD&ake`!&dr0wiaWNf z;=p;2hJuFE(>=U9$V^bkihJsCCp07o1)!|(^ z2kd`J9;k*;&BnH5JjBl@YyDAuO5j=8qg#$R%&E>B08bIbkt@tnN$8*Vw4cG&Ky_E< zCnd2vq61IDT@GjUikKxZbSm!?j-C*7THwePZ*^n8PxurN864V*OiEKtaG@JyTKu!L z(Ew>dNnY~|f@XJn`t2MI_u)k@D}fZZ#py7}B0SpEwbU0UKKe6MUMbYlX>jclIblYx z9Dp(YJ&`V|nU_+HImifKbbyoaGd{lH?S+kUY(pj<<+}@L^Ue z%bo;RJ+bwN5Q{08eTuN@_$IwrBRRR&p%HYs#dF-+cFYW|iw*f>u*5lM%-R%Q zgCfVSW&ikM0Lz=sgnb{ez|2)Qm!pT5fPG#zz09J+Gl~4@9?aA`;kYW(4GE78<)f73 zd0*_BYMjj~e+GcDkmjnbNf#=*ZFXzM$<}c2bwjHbgcP_NhxVL<$$m{QJ3xmBowdQH zJjjk2%lXhPmG-g>WP%gL?aVvn$~&djAKV2xhPXZBWQOkYS2u+O$#Cc%MRjRAN)EhJ z%Tm4QAdAR--b=UCDv+N8os$pAX04V4 zK}8|xZ9MwvmKtS|Zwpbrb3wOyL6=stGvkDYF?QVC@fe3p?&cUF!5$J=6m<@kzFr!1af)#15pF9;o z4Qkv6T~ty+t|#Z9Qnk1o)KU^$q<2sg17cr~+)@$Zjqn>1Kz4&JYjWoazh`p}Xsn(t zHi!+}Tv`lX{+wmloztM|c}Qn<_|esPy|OT3Ot%cANC970H(ox@7(!2}d{HQ%R(|;C zMs()cOuUGl@_bsPI#XbWT;aEat?t@G)uccSTsoUC@<}8EAK}J_RJWwMPB}l!>m%}9 zSnP}&FPkD}Gvsp=8b(8-63a$w@PbIxMC$vZKExPNe+%b6rPPLcAU_AkQ*xYL`1ZMG zgO}7RuMma0(?JwbG0J?9G8T%A375-Qk`+8DkR17ml<5rEOz+cA3jRVTf=H>Dcp=*# zX1g3Cgs$j@L1%eg+$pps|7%l`$IK@8WKR(v z*JM$#L(#H|oRT8*ff6#H)WTLtN=D~%;sRDkakDrnHAsyh!wO46OJB=&8gTzksh(_K zIXgETnQB4?m#H}@oLd9qaEgPtFAK03rPJ@XS_X!G`a%O^L;n9qD z3Q$>4{_^?FE!-5Sp>8-BCXNFcV7`q_Y#TXAKQAGY9Opq{u@KlzmsB8)eFOe2lv0n4 zg%zFc1ky@r;b-9NGNr{rap3IAKTMsCh3y1Xxg&u@GzqtPZKTaSIamA#MmuYAu3DPS zBwR^^#ri z^6`P0re7W!7nTG4lU|`gUaERbTH5h^1s|R~P37BVfgyWL{$HXFJ;VV;9pf0!b3OjF zBwt(TClYYKt_X@F^f~@1Z;1H&(b3D7Tk`ns?8e|oCC32o{@(8u*SKy!Fiexb8?l}P z|Be7tOBHiYFZdCRG7Vc1J9@=Kcuo8tRYRL9($0z$KmODIQxs+<7YiCs~0{BGhsxH0M zy3aTor%H*6o_cD5Pdwf`7-_zWKOgxO6!-oQK9a8RgDRaNF45z&dc9 zJXG*x@N@2s@mddg=jd7#Sx{oDp^+ka2NMNgMoXm7rQRF5Tff_L#Ct^*l>W=qO1b;) zeeKr{j>3!vpB{zQ_1c#YE_b4>Rac>)KHvIMdE!f?J%z44@d(VT=B!ll`V@~h5(MRH zf6`?8KT*pDjn!T79E9IlZR%1%59_DZSwH+8l3D?O|DucPu8VX7iknh3$qfh~qD4~C z&7rL1-NB6|p`_@+$?PA57|wSc^zo8bbOKBNo^7;mQr@C?9!dQpC4|~ zicBIEs5{A*ngbu_xD)rTrr!z)f84kqZh?l`Q$@D^xrbAGr`(y0al600GW}sjZXekG zAa6HXTL`(ClbEo^kKm40oqux~x#MutL+Fj{H{Ekq zPK9*p7^DWGDW{l41eH1!3@E$6Uv^SlAT6#4!!n5 z;qnu3`}O6Zw}vYD{pzT$PoYjwf8`5vecG>+DOF^le#FhdEds@R^hyqj?1BRJFnwtK ztqGRvM#A6*B!9M4eg|sG@p0G zA3#|@|4{Rbk{U5X2#QTBI8L*{i!6sCs!eow&bUFE<&%4>-};`)5|SIKHZH1iRkx8z z9Gfhk5eiw-E%S!d_w>%YM1^yZb9m5lS1LR)dle=N99)4u5%q1{)jr?N(SaMU+0wXO znsA*_?n;sP3#iBLW3RRserH`k)!EN|K`U2{4_CKct{<`b2iZ1*h;cC$pBmAA-EgoA zaqJ=!*U7F?)o$Dx9zh!Ek%{~SO)5iECiZ({m|P1$B$d`5#ta0DR=bqU?0w>c309HZ5%w z#%FFw--3vVAUY>1p5DpQJWaT2ke(9@b3=}OY&8ea@A8VGvsIaz9x68l=N~;V%h{MXMHn-cr1;SwrW3s@?S#5A9 zWi6?1vIO_rXkJeo6mqLGMy{Sx&kWZ6;8*#*1)oH;;cyVltZ-fL>z&YV_1+c_k9DiY zy{|^fRW%t{)p)0dHYL+avk{qCIk|WX-^x{G$F5NIy-4y5|EB1mrm}Ek=y!;MwR)Sc zxW-snzAX|$VpUdiLfZp{)V3|ApV!C!L6!Z^pD#n+o-bea%=PO9z$ercS2%2DNO&r> z=a6W2Yw&hr+e05Vd^1!t>Y6#4+0Gx{rl{yu2^IVaa*wQ8L!}|C>OWbGeWId zwhWRgI#VbYDZ!X6-je$lG5o`Q^nCgL98-p_7kLh-;b(qt;~6oW%j`U^>K7+$b_Y^n zrVChFR|!oOfwxL=%IMJjR9=4|gBmKpe0#U`5d8C~zXcJl{sdOI{1Rm0nnJ>p1?Mkn z@Q16<-`DS>!8UZ2(7}hl+dtbn_Z(B*fz1j^vS>Le=vb}Dr!1|DbK>30({lMqUe{@j zl80OOb{Jh(m^KU_mO?X7iqNS??(Q`s{?suep2sBvbxM!2xDZ5|{}C&Qvr?<9NUk2s zebJ9Xq`A>H**qf{d2*<-rFG&FB=%dN#?Yvvhv=ZRqmS!9_;d0;kTtB^6KB>?S5Bkq zvaE)zn;DI{4&UmeSA(Ux0*|SewU5&nh%ZXOxQdvsF6*7iEcI<^2&sifs>oGkH4@9a zKjI-hOPxVHXr1|7*U0!Qd*z>N2XRoe7T+SK=OOLwc@I)@p-Ie9Ld?r*Nq7>jG^TE@ z_s6TB$IHce3??RhOv;o)v&lR#sU^5y8E#1?LZT+w;m4428AHY^mlqPR3ASXJ4s zSK{YfcKR(op;uiZ5?=5tl3PTI$@R zRS5eQNw<*B%Uh@W#3Euw85~>&?K(d}f3wlA=5^0)cWp?miA~+cC*^y;ULJCQj^c#S zYX$e$tp=O$PoU_>+(3l2%2j{fZ${QPveV z;4gUO?C6kEk}v@l5&Vy-3X}V{%5inp=C-bIOtq0t>i81upN4#uWdCRnA74D>iNcJz znT|9NY6;W6K_zcNoB1n|r!B5~XV%D{A#oX+^7$I%dh_;WGcfiE7sTVHj{dsj&+{Yi zLE&QqH%M)8w^X7h;W13mn;%@}3%bB6@Z^ZY>B*~h;dY77?>|BnW$|A@2E?q?VWPGG zHH%IX!KLwpLaGBA8Y(RB_tk4{<0Pmw8B$R`_7No|FmiF4`Rn@0c&G)0^c?)CSHLYw zzzG%p9KDSJ_(QI6I;08l8g0K7GM8cA7`qg#@Ci1FHp@?fZ@u0fNZ}!w9H>I$&5IAy zZM3|b<-0~;atYVMqp&!UPwuHJf41OPgjzw7hON%aM}_5MAniISiaRNC*YpeSO5(G@ zr&YQYqg#9Zf7rY0n8!(*&q25482%!<=4^Iy?P zi4G$AcE_DG?Dcb`&mgz%w`5Z3ZCa9UOeR)ya)4eQ)xp0pD$F?2 zdH=jMxqbGvP5|@l2*?}8v+yB@G@FC9u^;qDtj4bG1%Pw5823PFAgt^}fG$6I$o$ZqeYW!wJ24zi&1L!ipX zYdf|3AvWlZ#~(Qv4Hn;R|3@3`1)dT8HdL4L0I^lpbt|7Xs@Pn4dVn}1M|u)7Xavu> zQJ&7s+!8K$Tokd<^Lyb^^3sXgW+WefDosscHiE?h_A2wmJ=Q!|*y^#MD$=rGkR4g-MHtF77R zHn}(LrxU5=CXWoSi;*XXw(@6UOybbd;1=jJ)|mF62!VU=v0=Q%rj{0lJChrll6NjIbomJ=211otI8ig!{ZtMpkTT%P z!z8GDlY;OPgNwU;Jr)N+VL3-@;{hUklgp46al{MQp3voybg{^mYv#SRdqN~RM>zxm zN<;xSL;NI0jJL34KSV zkyPwPr2!Oq``7S-N!E`;YOB1zizeRm@Ix-5@#fOdA9PBY<0o_ zl%SU z{gilkWs-!m4*Hwn#q=Y5L`XTS{zurAT{kBD=f#Knrwbo4j>U&;LL`UHABV1yO)a}= zZO3Hpo--#RQ|{Yj7eJ~nChS^`3h%OR(SniEkAc8I(pc$u$jAu#(t2#GrJkf!B}z#p zrxfEpd{gKe93o->6oI#xn7iLAKj{|99wDl^SQd(dnAl;e-N5GK?1$ddxvTu=GA^WL zwpXj}Kre$#yjJqz3S5E%uO1<7F@|!e@uZLnIC^Z^edL6LuG0vbh$*aE=oqM)1mEDQ zF(qUGDSC4xl|4(^${)uN$9rmnZu}q@YiO`@Byxx)1`(Lb^#&Bp2Ldp3x4dWh*}}iT z@1%1xepZI#FtX3fzj9L>5=(?wM(~VN(=Dzpt1W;;1|Vt)5~)} z8;uDv^O)CDW_HbR7AqT(E0~&a?ro!Qp`#>6uZE8eEvyZbwGPbL#9}*3nM1;7O~6TL zpN!9lCA#)IQ`QHvAeOwig+9v;*{-ealI-srAcJJ&!KS_hsfJSO9>uESWY~M`D89x^ z&1vkAgrWZ;Sb>o|Ny=!XVY9~9V}nB99}spnVP=u{W2v?r2w^$wOLH)qXqoxtnPDH$ z1xsPr7t)Qv#1Wr_CdVP1$TFIH#>$S9`2Ha%3Y@%Qvo^$xE`|xJg9nm2VK#^#slK7b znqr3arDIAZ(Uwf9)^N2YoV=_LAHTh3&>mE>ahynS9v$iQ|$1Lnf~1)%O}1*Yjq&oxLL z;c14f07T(DUA8wj#2&Rjuxnk(-Zpgp@{h0fQ6BxJbhT}B-{DB-`qV8XJOE^}g>|(> zlDruyRNkfaDj}Uz#;P6u?!kOyD=WcH0IB)_ReE1*lvgt+onZ{3QOueIz&kN{npS55 z(+^N{Qwr(%wr{kWru#^S?g*l0!HmMS5T*MYp*V#|b|5kY>DtipTr@=%auQ}O8Yxk# zQeX;p(z=Cg!~He1eb5{e@>B3|8#iW7eqh+@$U*jC{+)okufva`0YnQkW8lI-DS`K1 z^G2X}<|jz2&ZCGf4Z&DM5va))}<1~CUkNi+x-^C%h5f) z`-bm3vOA2V;r8zrKojU(o@e}Z)K^_B<6pJ~u|Xteu`&Q03@QW}-N2tY)??E9YB3`T^{`TG}|m3^-ve^SAh4x`P*!;bB0h;o5RjDm%;Dz}wv zC2}TO+pc|1~YH(DW}* zY?1BVeSgmIhg;Jcg8L~$3X9F3-sz+>J-227`QYywboH#z?VVRs7=V6o3qmz=SXVDt zJ|Zm}uZuT=|53ukbM52%Ck4;KgTE1ctb-F*?X5gg{{7i;3=UBw6&6us3*XbFX&K^^JZ~br^EU% zKgl%aW5(Pwi|xK!O@W#*T5KFJ$inY=h8w{58V~qhlPNO)gvgMuG_fW5j_qwgB-4VY z`X?*BOQ}bgE?xC@8*6+{ffZK!_0u~lwG(c`16O{~ufsrK908ZH4>QGPve9bi*$>@K zz~(*8aIYe?f1o^}--fR;&;@EjI%Ka|^yN7h?pxPbs^Yj&J<&(|dKyV0D#ZFi;aMAV zp}Zc4B~(OpfY2-l%D4z3IY5va)9x_nN*JXqxrA1g!d*WDk&fZs+h#}|Lp(*Gyhp1^ zTLN8g7T`JvcGxPB4tD3lF5Cn1RT1)CNb&acYmWzgd?Ya<*m8SDe|o?1D^%6IGH4if zRC;*5L5-Y6vkG;C#Ha+ce=A`tt5!9CU1fuPn6*7eG|hzEV4v=gJY6*G!}Apfp6 zaj~MIMmJsY-QwC1kq(Y9>ij$6sUXa2Wk87N_l@S*^ob{s)+Z*ukLiJl7~u*3$&|qr z(ZEeA?6|gw-I@+a@M?)c2h6Q4W5&Wh%DbHKS<912)->MFh9 ziBsm;kjtEb7FLiOjzx%kK<}$K0GT5Nu=3a`;w+`aYr0sP-C(hxO%n|jS-Yh62(Ln! zn7%xNEigC4R(rgEG5mHqJl+&*08)7criM}xOCmOek}mQC36-Vl{ttJA07&Txxc?DF zH7K22pJQYjTkc*9J7ioozmQ^ua<-~!3onWrPl3IA4guh7CQ!4B}?$%1ygQK`Z zdkB%+twX}fm6F9-8S-RV7E)?oNlp-=N#7BQ$i<7?5 z!2^K*+2%KGhW6scJ!JFgx6^ypl=tFOrk+wSh|AbZ^u`Gh5Rlu#4FSu#F)aQHWr#lg zSnlNruF7p@apxJOXD*Ns0`yDjC^`ip4FE=(22N2N`O{7kMDa>Xiyqu$(7L0dD^8b6 zEr$tQFt(+vJ-lQM&AK7j!!mF5pvH*kNjPJZ`oNi$O3fcOFqe3EV*nhyAutmsH1u<9 zv7zF?krh=GoJ_a^*!{XQbM{pmi_glHIQrSY1u<~_YQv3No-S*re2M#rQ|1Z{kM#Nn z*4j??a`E1eQAty1*fgoIIRCp=mO{g>O!e@9Al<>HJbA~!s>9hwMSXur2iN%!(WfOM zPBg3ARB-Ua^g6EJ1S!%^1185QZP)`NCSFYi%4yPMyrldo5yN8Ta;k+bozse% z?5_uzCL(3En2WJQ`55^-)!HaBaUL~Ljx^)`F=Z4{bON_MsR{7|E?o0^ax6)J#4lF| zRU;HDjBPg%b}Uiu@TU?v~CT7VF|P z%+MhR6oji&?hdk6!oBPJl!G?Rt&N?5;6RL(2nPf=vh)~nY5`Xi$@RKu(M(TV;MN$7 zNcvXRQQPOHVWMzg1$M9@l=tjO)19pw<9oL+n1$}Q&tu@*381Q;B21vEQwS$1*k-AP zt=`}$*cgBKU~DhNK{p!x`%3zCBK=45+Ebwj)k6bJpFIk!VLPy(x5f9ZR4$ul$&i@a z!haXGmP0Hbb4x%k-?Ti=4U&PAzOLnIgWtd~BHTuSZnBd}+>LMo4tgy7Pcr*%)NSK; zjDvSB2@MGBS-?8>!@?>HJzSNF@O3Pas=>qM-Bb*%B*9v<2n;23&`?y(J-E5MC<0Jk zyKYX{M^WAz=mTbr(-1-hG**Yx&2ax zI&BCU%g51uehJ~t3Ef0VY5)S(83QX~--STW1X~p!H^U^yHsHaUem8A#0AY1f5aY(jE@c-b2> z*us*ZTt5?T!61&9PF{OaVJ=~G!Q2CoTdFO8h#C*6*LhKr;Ymr}LGERS^P&5LBL5U} zjH2P9E%QL#{$ot-C7DiO>Wo3L$hS{wmL{FnJ{Q7N*if|UfEg=Pg8lL+D|7n+yTC0e zTW`m}Ic0k9<90ZicLMc$$Bf)Sc*m{}FR6z?xz|?Zva^@pxVmFUpLICZF{E_-IEo9> z(>V(1lH7$!LLFghSH{EOnf3DlOyUwx;sj*^d#s*D*_u0FC9LlqrLSJoRleqY+RxN3iKjNtG zeepgy4}3g4ZR#$xlQH0_sR)#hSs;y1*%h8|{z#3E>b`Jo(iL1Cx6`_RPz{py#GNMR zfHs~hEbP%)UK=UBd0C_`SLKMHRf{f&E|-{&3Bus3sMe@taud0m`Jz z)@&#1)4(5y$$b7h0A=4Zg(i2D89#C$xD7^)E1# zKvllUqPDCzonhLO`1)wKu+QZzOU->=U0shI157W7X`cn1`ZZX0=FYp_9jjd>QX;|q zPId5dmdSXo4)O6$->>Wd$;b%|l3d~#>@73}1Ls^9;zy^IrO=l40%39Cm{pK{I8&*YcrRW{KxP0QP@>t$VXf2NdOK2Ngh_1~Q0#>6h$ zdv}EH?{nZ2)$BgIBMt+1vKP?rzq$FZrz$m=dt|rAvH>5Cm(^vDn;eT>?ZsZ73z|gt6A40_y1!32;QRB{mQKR7{up%2#|3e-~ zXfpi8uBQ}ee_00KZ8qtfFR-MA>6v-ivGP8>sGv;rPrM&S+b?hdMAbNV{TwE~EoKwQ z%TX`887zXjY)4_%L+|#{DFoHCe?-^ltc!=~ZuxZMk3S>$+%yi#P;V0W?f@QErA_1t zh>Ix)WxEpzd=K^rWA)-e3il_xo3?Q~HROReC`H;cH$y*DR0)#k%Xp}@lwB166qyAe zRZ+Za_n(k|lMkCe<=40pJp1(>Mg`Q3W<2(yl4)SQl@G>187YggHK3)av*)ZK`$Akx zK6S%a?I?v-iYeA`|GY9UMe0n@n^S}51 zdvH8Hy^V80k#R3i;g(z*%`*9WbV9o(e&w8el2Bq@iTUdsw}L`pS(Cw|ZOCeLoaWk zKWZ)D9nEpO(nwWg#B9xIDyp2|CUE+~fN2d;vKiphQmNV{K*dz2oHFGTgi; znm79#D`88ihsp?znZF%oRw3TvgpCqdsR6#(iR&#zw#!WU9_G|C?){ zsOtuQTj2G|)_}p|`@Cl=vgv`HzCdAO_j#<8Na!wELJq1>_0G*Vfu;is23GLrU`J0- z1#VaQQX(0)b&YH?yV2!Lb?!`)^#P)0U4d=nj;E=mSj&qb?rwFT0VY6Q$ZVMGGJ~mL zO?B{dH~ybH#P4OhI2-8rZzKa*+8Ghrw_?NDzhZ^BGZM=xnw{o{v=y8jL#OnU z85B&F3M}y)Vm%-(h#T}A(1m|iaN!QpL*#{fO17Yp@i?QIxw0xR$eb5FnHGPUoGz9y z-F2}Rpsj8(WPiFuY)HSW5uI_DX(n6cPm>i7)F4Zqy*_N@_#mP)txrj`dlBmXww_gk(eIk<{6@h*x+z0&nAfr@ zS90xoW25{Ik-j&b_UuI6h3}Ume({9(xInd*WkwB~rr8ld6fMg~kR-L>_|zj}yw?s* zfrh^QEw5K!CcKz88oDWxWGs~BM+z^s7kxP>S~8dBuQ8`e)hrEU%esyt@xveXfs_n;i)RROZMhl)CP^a5KP(>VNiq;;wstQor})Z# z4aCTwm?vLmyH4|zxs3^&7j2z>cJyjd-6+ciA~`=t9Ag$aH5Y1l+aSyh`x7SD7m{I$ z`8|{jFf&W^pn#rqEUz7zA4V+A6L5aHl=LpOC>F^6WcK0lVsHq%Loi|LpBb-R7kCiE z;c-ufjO_Nw(l3IDbL|jQ!WNi+_GF>POAyPZwl288$8`A#xhQ`nPj8A{l24VR^2U~vV8t5q3!2Mle zQ}{KOc|g>cr9e2TH1$SqG(Y0@^V|Nl_x=1F^$f3mhfBoa*0+e9y-cqNyGxp`){sTPu!hX4xZcl_j>GqnniVA_B;28c-(6O+&cAJ z&L8&m6woe#sRa-EEWk%dvFZ2>jiE3vj%OhABRBtJu)~>};~8Rj85eQ!PXf=XG*9m| zPqcOGferN3Rw_j2CAyif1^{=Uv0d7uq@!9R zJ;*Ee+~P!&TZK8^5PLoeasam$|^I?+gX1 zRq^*`ELJ(SZTjt(poOie=(Sj8k4z?cR||p+l(z2%K77>NzN-vX8y57&QeQ4I{Y6Zd z01wbh*_GKh@V29JM;KgL?QM^xww*}sQWy|pF}bpK#~9b8)zI$O!;_3jVv8gxum)Rv zqn|BzEMh3RU({Ge(zic+C28>)e-O3LOl3kAV?k!Dx<1T*4cIy84dMJGAV&GdCkawi zu)%y_(Zxr+en^Qw>1khrV1QpFjc=uscw&->ZqqHAF6w=5gKxB!AjGJlXN?wDzwq7Tri&Mrp|PA=vvg^$`Nz5K^gC<<6=&Mg6~g+%=Xb~L6!!0OCkYet z=dr0A(jRN%*F{Vl>^Lp^ZQpWECf#7D=>`(va9_u*6VVKlzi4)&4aEba|=5+ zk?bTOxf&zUraDBaN;L3)e5^2SB&|=$*Qy$EHnY$_Zc_!Bt;D*$i0EWc09q@Zsy~=F zN5McFy0HE;zOl4f21PH=w9g&VGR{Y&~h7e=!|>e7Jp3`;WU?FJiS(cQcLeS1;82+xCBxS71PS z6>smNogLTGd@5@k`cGb^1Lf5>V;rEo61;Ui#lF{>{J?bRj^422cv_$RNOAdM?0)dE zPa;4wbJr9>jEjbet^9|t+@4qT`vlskXdrmakt^_`dsx8FaS_1KrKOO+yToWx$Qe!I z1;K`CUJVhm1$-Eyfjf>gjAI3zCQfw0sIn0!1kgQAYDM58$=-y8FW4JK2u2kF>D7Y$ ziWn9WwEGxLj-)cD1sNq~y%#p?Y|y4?+KU&ujGjm!l|_N*c=`g$#YOs*_5c?Mg@roZ zmM>v6$`&bM5Nt^s-c90C3OLBDwTFx|0%g_is4T>77AX`uM_&SNkhF@Xya}_?EWIU5 z8gsx;DA}H(rfl#|Xai&e@k+DRa+?ana$1FPx|O}kwngqLxER7JyMfr0=JE(k zZ!(6$GfNvdAtt-34K<5+CJ&)5NAGxCTC2;E`MXt%NJw4UHwV6sj=3$#!T)y# z>mvAnX|OsZ>M_z&2;cs{L|C}l?gY)rOwDUeq$PKEyHo@$4i9Uran^fFw=lY&xl=?P zEQ5=0nwW19XTC4C$5^t%Oah6lWWyV@U8?SO#t!Cqb+l8%l73AjJ7M z6f1(*c|5q>%2245$bM?KVj!9g*E)s#%3mn`?B@`(OehJZmb+a*`7ND;TfjbA|HP>n z5yO!q$$4auN-A$gu4eCX`EdTWd)QphrLNk*uFlv$8zXM5u!IICL!n4Vf!nBDptQq5 zy&ENLO@aZ#2cSp?smzHumsWOPYH+>-Id$^zzi<5y$Wq8os^F^&2Go)nY5VsmG9(HU zKf0hZEgAvVW$RmMSmf6fZb9C2KiqYYo-kj zb{3aeN6qcx^&iEW`#+*sl8gTnip95VKO+s>!8CAJT2x&%gdl*y7!q6!o{o9s2a#it z)1xsCC=!JhY8dF!m!UFs^eB^D=BRIKgTU4h|5kxmnBl+MRr=eH!TA31Z8|^5g!j+h zN=#*5@(0-1&!9iurSapZfB7euitTDj*sdrYPk>vNw>IC6pWfc$VZfyuS>j)A-JNpH zz4eHaU7O-~VMTPM<^gxK0Wj!JvaS3?%`m?UpM4wsp8By33+Ii*LVjm{VZUVYp$)23 z5zUdoNE25CsyYIPjhC4rq44u??a{(H@X+{WMq$eS2m9_X;q^N>`hvtg@CC@@RAUBz zb=sNTd%rF}?EaN-g*PGg%^c zi=z3ALYnAp8|X8@Pg@(>#5`ZcNr(S$2VLZK-Q4IY5_jAa;@oIam6m2tT$nv&FozWl z?x8|!GNTS8{Qf~ToUI$UJkt9L3o75~bC`@c zATrmS`P%GfW#LQPd-92NI1_Qf6oSM_BWf~tc;Ii)vi&%ioTFUGLeg-ABX_kvPzzil zKA@h`N5a^%>|zuOf^Zm|;Z@Yr_3)OO7l021K*QazKmCP?dWDJlf}y_~=?ebGt14d} zY9V~VW3go%Me)-_n6sdNy!6fxXuff0T>X*U_7;dfwXA-@?;n_d_oC7NRq`K^Wo!FC z7g-qV1M|8S5_$9zd8!herlC;We1SQd@4^P=#GqtxNvP5oifQ!4W+h^}V-rp!Uh{X91rt&2zM`k`Z;=dtRnGq>Wm zo=ErFoBIF2vAS;lR~+l>|Ab?8DpB=%#8dTp9WFz%8P^DSY$JxKFRzhkW37W^7z6@I z*0?DCgGl#cvPbw4#qxzPN|jC|aYm!Xr9D}N(-rP|W$dSNPswWtOn~R##EtxOndCF$ zgl>k1HX$VkI#0Jx)6PdXsP6Gsif+#v#(xb^{601O^~1XzVREIH3u_qpSi|nI`eNes zt0rV}A}twuksO*;l+A2%qSBV)^SEaJeEj(B+^Oz%7&}4c4KpH_XF!2qK%NX#x}WKh z0s7m}Po%m+z7bGszouL^dR2~ntBLOJ5ABK5=BNFa?iGIzn5?AHck?j5g%`iyF2OfPTrm@7O z^R$HuL#0l*GlX9mvdpHgNux>&s>Pa|lB>Nk6VOs2budJzs9W5=vEgpvEs_H`z;sD` zRHfE)ygJ$w(yIz&g+3Wn`*PY~YWxGMP!K@K*enw`EgV}v=$gOFDlBaT*q{OdprlDk zTG)MLV0F(@2Z0i^a$^^te{7j#*l=?upr`E$Ccwo61?>EY9zuOEqGte>no#AQnpc)3 zjr~3scZwAZ{nMr3h~nblmjc2q=Zfj47FDk2C62L4;Egv=&w>a9A~D+?H91j$$|PUf zEppW8YSdscQv+<7Dt5O@dR+AL6T}0An5hV70V_%44Wi6&9gJjKnay;u*%+OTvnh4+n1o@| z?01>OrRB(f4=Bpb{E)qcZbOh8BKLck{x`V{K>+A;O`ls>`eiyi7m`7Off{ljO`H&M zS0S&sVeoYKr?~9>p}d=@OS8iuX%zZ#rwB6}*o0D0tXeLic*c39t>ot0A6(>e9B9>3 zWWZf~QKtg3TMm*Qz;hQ}E$R9Bc=O`>`S|$av=2-a+>1`(bPu8{Qs8ktFN16V?q~k$ zAL;M|JN_TMqVD)B!6_pe4WPqQ{Yvd;ZGis-gbr94s?oq?O++MWYOt~nN_ha2PcMxv zh(w(b)NFrQbz7ec7=wNMVPFbPP(~cI3Na)VI;x)*^ zlfD6x?Oe@3)t)YNiYBT55aUzMWsDuir8hY9<%NWEme9kmu=9AP1@-FNk!5HR+n8Ra9 zul2c~-B^AgcKA!U6Dvan)Ki`p?W=gMe>6bluCqsgl(*>cO0JY33|N?2PYV4p{ViFj z?#XTi42Hnu|0s%pz&i4o_Mzco!6ioGhsLWNr+>t1r8f*opUMpTs>fw3)QBVqCdbiz zYS#y8`|#QaN$}v>#76zJUn892C{2JNRPz?UDmN^R-(2m7hE9+5ZBZ}!r$atZ;;z+X zs>GGf11?=^LL=AAG?^yu5h^|6UC%V9-LNt9QcYziel4TjUnQcP?oMBC-;dAtsG`mT zt4-J#=O*x2oe9nL0J%s zQ;p|>hHd9&rjv5x?O4~QgJTYOVZ~e=(-pIIDOIUbPs@1@Z%WQ)T1n9(z;;>l-O(6T z>?Z_}o(+W0Uut%m9^?II6IXeg7g4Hs)D^9vxX@z0?yVtS;TCf~B|IvPb%q_7GxKPH zg^mP$i2|+^&5;8bW)i7YIa<7ng~_cTLPfNNi}bQ`MEW;P|HqciYWm*u9k)NM3D%?YUew->5r>&SSvy*V;wfSc6;N&z*zAu(l4xIZVJR)s9UB~& zKB8iB^fY}1hO9*(k(Ptu5RN+qx0rHG+uA}@|Gcwv6zTucNgHyC@tpYOJ+%F;_YpQF z6OmaF!V@{HubaV!+d>5~{D;eQ9YU`$0Z0p6SseM@ff-MajObyfF`vbr8{AD2gNNO0 z`kwjzp$}44C|n9<|Ko*{corBz(o_)FqHV5qMpz|Jf^$jcXQzWEDstv;uyW~d@T2oR zft+y+7Tuo9?qqrCjL3$m<7Dc!;y%IDZC$qFl|JWCpn&Tum~hK&o#EcXD+pg%3mRr5 zP6B9MlK`;gw3#EQV!I}E5j{?Odx(r>+*EHk5!*3yX+dqq8aa`!b0x7S2vaBrVE|bV zj1@7n2gIYnuQ2_wx5PGT3B;5OHp=yJkY1;R;z$z|i##afM|LmB6_rDQ|9+L?jspPS zk{&FEz(YrfdWnDyuolQ>wpH&-g|2Do?P>ot?x!Bo? zp1>2&!?mN}i2Oi6ZF#d!3;nQ?13!d+{3l3zlbGfT+mw1X7A3 z8Y?e?01Ag3Q&qbDPU^@fIA21@zBc-EY?ajgZBDJE(Vx~C?StE|z{4tCS214^bah+} zS9ze|ZyJzck%Ph=78i&(d=r>s^vA}Km<;N}_xwk&QV21|=7L1DJQb;Bkl{>EdsV;x z{sTe%H$9>+r_6$<05}2mVa{U6r{RQisQg78xGMS#;BZ-3`Xv=pNmZAXx!UkE)5nlf z;PP^&5KUWJK!jyf2}D=|tV4lsBQeIXj8Ii{K!g=ci_%IB5M%rf86T-Ed{6)cSpS*M zrTOb7g_2_Z&gTSMjK~P#dqR~m-%akIV?Ep+IzJl(q~F@@+Xm$2!urrVrOynrrvA49 zp|Y=?43Y)CgwrNqNCVDxhr>+|VJFdAbo<&Ti#8~`pc>}@jjG&JPc8sC(o}RH$d_V#v7C;0e zJf1`8pTu%ES3P#)(UAJudYMZ^sn3HfhLFcd@x8$a z>`cx*7Xiiu(asgrC>z>dX2hS7Dql0VIIzFRNSAMR(Q`)tn5Jw-%=T5aGuyOu!U;nB zcJebTpnxll#Lf1^m8+nT`y%Clo6F((p#b`qYmv|>EgXu9jjB})p&fN~2s-ph^Y=lu zd@+*dReWS~6h2?5-u)mi#VqI9PFh<0842g){J72-V8S%xj)RG`{6n9$S{Aoc@OK5L z#k^8F%5^fq@_PO`Jo?cGOa%1{EBJkl_LP3Z^}N?=eWe{|8OMjc(yx?*v%zL2%>)(R zBKl6LK<>9*Q(ArJfC6!>N?jiYG{rnsL8d)%tBH9G!o_(-fo&f957-V1*bNvZMlM!B z2e?&kcvmP!!PGpT9raOGiHCkrq*>s3mN(>6=@NeMvVdH^8~@}ou7SwmK$abBJvo=a z4uMfMAwK)a%$SQ~%ux%NoeH>Hiv0+4?(_px$0d>;vxM+G;(pnb{Ou_t-m^*gS(&Tk|g6sQy8fpq1R!xjhT*OR{}4U||p zbL;!O9ik{&GZ2I-xubxj>k_d?9ic~E)}Ic)b#p#zF2D9529koi-3NCi1at)wsrJ$` zhpk5)Sd>%Yu8~nbkm^-C2(>R&z&&H1&VYW`vWvnn7{M@D5t5;5L)Q{lIqRFkeHHlK zwIeWTu51cQbP}ErpKnyn1k4)y2bgX*>TDf|UJ|E&ub>h+f9|vkbQ=;tFUR!)Q07e) zT;eecqHYK#FWZW|$OnHUj^8~uubUktv+kYUOJRS~r5^Kede>?Wor8cw;{&3seVN8F z@8Cj(u5u!r{EK2zQ9`m#yuK1|Ea-*u>0@#O#}pZ&4S{NP?t-Uqn(3~(+6z4|h~UUb zD9rB+K=p8kBX(}gE4H3P4)VmvGKxE4)Y^}=eG|#na(N1JZP0E_Uh%N)iZ0DX>3F-< z&3opsfQa$X0=|sQ53+SLFK3SJsw6mINXZx5y70*qoq_*nKVXFfgL;@Q8(+K~PAq?z zUfK&;R+*Ec=>=6bGkMp52|S`80ttd%p^JLJRrm zoF43U6V~SZQ`~XVeZ|?@?fs;^Qltxi6HTNv`d*rRFDco2dBiTGB!H}PmLglA8XNMS z-+TK%yo89Rw$RnkV9j!NKZ5 zl(Z;}chl{J)lW)r3x)8isn6I0tb)+1j8njuAd>WviLyDni*=pt`(L)|^>RFTz;e`s z@Z0{OsjhGChmGjy(kqzh+v`Bje`CHZj3b9Hlw zCAm2m*0XmMZUHyIJ1SoO=!L*dOnpzhZp=m>=3tza0KDDa(gEj@*a{B zfxmM6r|~wTHYTc-jg6M8mX7^fb^99f>R6dYBKnx#*iS}Cy1$%fy^AG0EbCl*(`3Yc z|6L>OuCH*{k;-QKL$j+}O;tcjFQi(Z+QR-pq!qNULq()&!KIM0abS&T4X35Sx>`p7 zh^p=^Qp$dc0`FfSR%sQtaRBdMaIFIGU#LdV&jTRkVwZn-wos~U3S^qL6>Ah*dz!YD zyDZ<2Im^||cr5q=7Y;LMqk#+Vay9frhMP4AY(8|$YWLP3?&3LNbho->?+nc z0-mocwJUkj+0$oacWT}$d#L|m13vVe(gR_c;Srm#8C?xqzxepue=EiEv}F(*3F*$C zgR=9jV_fwhz6O5vsea;vUPVxbzXR7C=T&R2&Pg{lvO4bh1{c7l&jvSatvcZz9f4D$ z#InypYbLweVA@uYw+nnyx6nA$8sp1et_A`4s{RuIxz(r1yBYdrpvypLn)?HTqa(Itro-2V!bY>d2@&h8S+LjG<4b~(rR zt*O2f#SVIs#9R8?q~}e72iZubYt$PB*xYf=TZLOGM6-ZJRH-&L9Cq*timGNu@q#KQ<1)i5_Ieb{R6di z7DX<E{?QsY1|&k8x(-sIany-XCi zZy(umyIW|fmd>$0^A=wpr8+xm6-lTuRM=kCxz!~xI`DP9TTq;%MvmQ~J4}PAnJ=YI#@r$MKr@CT#<=PS2w^LWHjv z=pGN($s5h}_={oUJN!FNU4yev0%Kh2+Inl8J94qAF2*ekBzOy=b9NNaIn-gtdauNC zl{L6XhxR@||MqK|1x(KOk}xlmoEWYQ6<=2$NX1Z&y``Q^Sl053CwAWH%~;+zaINvza<5F+JYYe=l^fq00tcMdDMT`TzA|!QEJiYCp2nNXyNH4BvVjm0c+bOXH#gU>m|4(rTif1&&qPCGSjQj zTDDqh%=pi+t7H|LqT9#s^S$ML$Sn=bV-NjLI6_DQ1{?96gR)pC*mRgGDo)Deu=T&* z{1~-$46eRpjG=^G-L%p<@4MRSfoigjyjuE^YOs>!IWGOYL>R z#emnfWMuBFxlocnG^GwQ9hu>h2%5r>CA=ID?C-X0rNY#sAFT`bEVrOXYgySVAP(|u zkif!6u9HEVxeIVe`!yUpfCs5Lct*^b5T-=I=}HjQh>|6Yz}`-GUYu$-*fx+q2aNKJ z2T3!58zopOVqm;pBFscpKtH44hl+#|woPVNZ(Cj_!l%A&KPj4`^ zEsK-B>PkY=T4`7rc>MCk1DDyme(~`2^We!AoF29U(D{(jJw}>gJ!UpsI4If5N18db zJx>0dH2BtyN_)&Da&et3)TV?^NWZ&Xf*s6(yJ*`rAM_v)w9;v1)q8Pl$C)$07D!&X}xka<$Q9=8EVx zTybn=uuXU)IExVLhzAo^fmJl$`ZElzzfav$o>jmbK(CPMU3}@kW055XtMfC3PI2lf zTIMS?m4$wXk8Y4tY5Js{hsl?QH$rLjK>6BC6!C@=4p$(7xuJm|5eOk3C_%CLa5Erm z!rf@>e!934eS2H6;)Ri;)@i`l`i$(t5!*&6u!EZAlG$AI>rEH#aKpGFpr9J`k}fOd zgmW3QjCVu3N+0ktD?1(ZGQ0Upzs42$*m%>V1(1p!)AU+*K9#6_Y&xBb zHoUN%|Bct1?x8bZEW;Iu67BQG+uW`4#@o~_`qo~kAa(e4PL%28*sZ(}<>)2GAkpx- zW*ak=v7gLPMuC{a?wN2hE`9$*ZYq9+`oRzDkrT|PkjZxyym1-KH~%H~dD1;%Fbw&z zJy!Cy?sfX5PW0NnnD}Y;0GvK=&0bgeH4ArIi~1~M^i;cC{O7^YS@=<<*Y2d(uA-+y z`#gN}eD3k_wLbn!z{WPG;sUEYd}oy2U3r-BBlQJR;S9U@tAOb&tpwQr*j^O){k7Mr z26fWyZkLSn%CyD%>nUcha}jRFkA@8xb7uW+wv;-W&xBr?{x&K>;R&yJ7bQ!!@8K&6;}`#%rDAUpPoTi zo}N(wn`GswRnU^N%WgAVy0e|=jdo)o+1Cj&nf2w zYUZ)~n}Tz@`5V)?r998(yw+FbD9C2->SyGWI=J+gxDJyyc)Fn>2@Diw;D3d_4j)$Q zDLPvf`tykak~J{>Tn`_%D+Y+0EfJLe3ictF%~v3i8eYD*s^ty`?gD3H7tW3|(xcRqJJ)E-t{A2+(sh2z$n4t##-U)yV6$9{{gePwbA3bs%VR(BC9nYLML z_y-GSlqH-M{EcPfWs_D4B6$OqDU3b;7kh6RR#yxxisFUB#vO{gOK}Rt-5rX%Q>3^{ zi@UoNcQ3^@THM{;-L-GgbIy0}z2AB7{e3^)x06XSNhXtICdpdaE2$XS4qI~mFlMbi z%*&&D15U%HN6h$V*`4IeI2I`xXN9#2zf}aT2@0Ut{)|-~8*8ZI1P-0Mnug+eP8ZFlhq47#BrL6~S5%o$aASlF(1N7fFrM`VwL-*hJ2o z{8T^b^v4E;{cZ7@tk{9lVCmYC3}mBSXVj2A5;OUCtWLZ?Qm2H5{{zQfB*H;)t>3CK zyoh2n>w{W%8<^5S+*AHSVs`S~3jUzO?TloV9`JKo3)X8r2|dikEm<#a4hkl>{VGiK zW&UX>SM~rFlblM!9>sFacBtgGJ)A3WmjgJM0M4s>S+vleD)h1aSZPUa8_lcr-9t)U zOfgPPF2O{NjFRWbqzhd0#3*)7lH*7(hH91pj69OL(TvPuSmo?w4R=U zW)|Dn5QhGwfqMo5q1HeD4Tr}g`lzZjxv42>r=jSvGBccO8NYm39GuOqu=yYw(pc+a zL9g@u&3T!A#(wO0VC36qI!;>?URil<%qv@~we}gRd|>>&`-c3_!1a4lG2!Ts?=oIx z25cUSdDgEx4yy7sZ+OGh{s-s%iZ^D<6OHqo(*9}w_=-gC?`R`Y!d^+9Ff?aO@*^7Y zWu=IoeB4}#g>T^a{Ph{7^K%+E&xAwqUp)!s0vN_+8nf$LyR1q^7gMHIG12&Yky6x& z$|%fTIvRR&O+Fdf5~4voy$)5C1%qOUGPRU0yApq4*?W~wlo)1+{zqqth(}vmg*PCs zB8YloMIrBZwy3LM=&29-$_quylN3@7wP9&?T8WR<)^$nbY7u8)_M)V()z;q<%iy9y z`m8iK_r(U-TQwpo3A_)c4wy>v=|!~ROy6a#w`v+L<`>;;Z222GiF62}DeaMcHsp-zHw#6vC>?MOgWqrl zse~gR&VyFen_=<6*rlN-YDl`oxJmi&zA~W^{&ITp%S_*R!oaxd@Hpn!`1Hhh!t}W6 z+&JdqXRFEq105Di?buftEo>Qq%_%D<-2IN>DU%K!qU(+UfrIDUjtXQ>0#^7Xp})PJ zz0}WUfp2q6gBGA!$?6o1%rlelm4%L!oGMxfy*VC69Bpx$C->EhUt=dzG@~t%g|W_N zJJhgHe5xE3{7Y)&0@Mft0Qccl~Za_L% zY?xgDXhm8`5sV@t^&hwdOaUayV3h6|Q~{(Sz_r}sk|Yk9>a&jl!jWs^1x9iN9YU2i z%G#ADjx9Wp-!t)f>_HZ*Lb?~Yi@blv)g?k2&DiP_6Z~gMj@HaRAd}!=vq46Cm*?^ z%X@}$X#hHBzd`;t_)S$0%~bk|6eYFXxRB!L2i*Ygs@?wU?@Pviac{a8eQAhTs!}lP%e& z@Bg&PA6*VBZsp&w*@kHUa?<|k;%-))_&Z8XJ9%sm`wdcK0kB^JTP$siuJo;B>Nkj@ z5?YU!o&SGtb_Dhdqqu*|(utX~U1`Qh{oHq>_*39W$4L4bW`@50kAF)=~1f&MU&f@`PRs|BPVcLSJ9FtL+k16Q?_|S~IlF`RVT^U!8IU+<{(ki- zOWmxA3qldOA{TccK@M5!Ek;JBGii_=(*SRrOzo|U)NJgRxJR|Vmvv2D-ik82Wt6A^ z$|)d-`-K+}rOQ-e}(ib`uQNe?8#I$OWW0LT1bm5tc7Fi$N-I7W{kP zcncR1_Dfug(U%12qp%byrgv3wXOpzL(WUs@YBPOt=%qV&g@83etM&c} z9cbDZB3fe9)sAH-Q*~aSGe*T-@&286{#5qX6d@{9czbTGr7zcPmc%*(?m?+PM-HyM#HaW?OmC~hO z6eN$oTT)Nb$&sbf&@#ML{k4A&JR6;kEQF=LA!i&xQ)6{63jnyL6 z0=k-_LAD;O6Q@nJ*vTx#STd58P*AB>Cq`LfJ#(xnb*Rljpc&Vq-t;*&D{9FJF#$mz zsMWxh%N%^KQcGP>8|82ki&mEfLLlX_c3Vo$x1a+LC+!oOsq*#u#n@ZA0Il+afdW`- znPAzLlgSp?!B*J8F9KhO+UYted&**I3<8yUAFECX!6Agb1(*=%W7r$-w6 z&V?ObfNvzQ^Jib8PFD#S0GIlkK!hpH9Gb0k$WtlG8#? z1IMQuDw7~}nQq7LP*fdk9 zz=Ic(Dfu#2pci7oFE+6jyg}jjS3nJG$4I|(fOYrH?-Z&d=g7^ zj||*HUa)E6rvQ$rY!9geyBrp$72tw5>Pyyus^0!v)&o@3fZ1K@>TRaaH~hCUum86) z|1u@MNaRwBd=pn5mP1jNMl(3M<>deK63+)QVPWz=7isk=-yI&YX`G$_Qg4rbVG8#^ zfj5AjQM~}AeLQ&DRUQ=U1KN_q_MYAwMV{bQdM$XW@b3;ULXq6dHZS5o2&^suKMDYW zUgx3p!mSKBH{@pY!j%VB0FNvgoIZiqhTOK#Ayin_i}xVMisVU0+vK;lI_ZcJHjI7C zr6r*%HG82RS84^I3tIto&|L*|oC)YJm1I|-rc*5dayU*^Yz4~n&;tN;>Z^e763DD$ zge^!-HTcGmqM(Qj5n=3P|+MB8|KW!FjaAkkU3cnaK5@V?0z*m+nqN$S3&baK9&bw;z5#{(cpV@5d#LJ$JO2f8r|7<|A56S6>3-6ICM+*lf6$biNEGYAQ27xdwHvuY! zD#u4f{q0{KK0&V1!}5)g6_p=MP6#bz|EUjzU}BoeA||&iR!V&_=B}9Y{+u5IT8R9N z%x6Um3VTd}8-~q?ViOU?s94l^r4EHM;sXH^jMs)1BGi19FvOS{YNr4`Twc357rY?4 zDm@`8KM^a-JHja4ct_&TexhM6kT!Q{o%!g{kl71C zq<-GGFb=@4+CI?#JTyl=cL?K&h9BJb*&s}Y!RZ=)>^CcFsM5Z`IT@DdH$xp^v0vf% z1Czo2k|h0-Et;pSsGvlLFxGQSXi`(${JC>-TzKsJu_F~!RY4Te`jsP1mGbfM1d%w1FAN?N(3fE2YKj zaY~*_*r@wYSAFa&vyG-T!7OI`hRrrY5ia2TMOGK5;nhvATZYl!HQah7@5f!AmY$~i zjgDgA+ld9nc37E@?K@VHsr6IG1bz94SF`n!U5VrcC!WGW1JN}}Xl7xJm^-6qqqqFY zBB8u%$Uf_r!;j<8LtXcVK>$IA^VU`$Jv{*wg|R(k{%w|RnZVo?AKEsP@1vUq&!e+! zs3~*NKgXdKH74_gyYDrU1faA}M`VT`sfbGUrjiGe8#m9Y6vWV}nSYWEsiS(tPJ@dF zB04?@#u&sg>9O7KajuMxEi7iQu3=ww6w!nryXnJySApBhHbbh6 zg8>-cqWx7wpq&N7H{gKFB!rhYuwWt|p05s`<__s7_D41L*@+m3_|o$tged&6V1_?c z^j#&2u?Rsr!L}$&{KjlknD~zAeJ!n?LlKIH6cG~SKM@1mtH9HJSqTw9KK@}kjGsK< znlvXG()<0s)8~He$7>Iq@iXX0kQ%bP;wyZTu%pSA_)g~)}D3( ziP90zL9F}o@b|YR3N_c}>78}0XF~=r7wFgs zW)$>L!$dguzubEEl8erNEm%hc^i&04`?}AZRgD!De_Y4CWUumV%^qc*P%+#g3fvr| z;PH509)$gwA>SIJyb&9ML91dJQe_CusISu2H>KXpd<#$itR`E9;j zU!9y^KxUJ1N@q1K$s3tET?U4E6QbqRsWjuBG=*Znjja2YJ7; zb!T*|AV>26YO*_bbVc?t+d%5(YV3^otlu0^fFRf|6vi)kl-g@f#DaoJ-=$F~Xv(SO z=()o%ijfR)idW%z_ysZcDgSQu@9c2hGije9dOZg}zdSqgLrc{8cTU)lID904cUgyv z!h`>G2nu4LOjOi7bQjSqmVgV}F!kqp{Bx==TqfJ=efjBi&^pYto{A**eZS*D!V(G0HL_q)MFNF{<$}i(^!0o?_+w+Q z6<@N@gz6?;Y+(l{V{P9d}&++iOL8FERDf8V}lX?G_CjR@iine%~aAjRZ! zJ4(*X!GaBn_+*Q*gx-Nd`@vv%&hlx;G~2-7q}0ejT%UDaQqE41EpF^usO zB!e)tznBF{H9V;2Cimyl4=&j5R$AO$IWUX;`;f!SXa3ggj#>)s8+lyl^<3S{@hHgt zxJ$eskwZ$7>P3*Kx2n*z1lF6=lMI5v@C*BQin)J7P}oVEajPwthrnOR%tlZLL~03c zOllZpYKi8hz$Cl+uZu_eqspH==Mz}BFJR4rU_1tERliR~cU5P5f3=5h&b%I98w}*{ z+Wxw8e^|vPzD`YAgfnQ7MgC74m6^S?PiW99X709c+I(i(eDrpk&Tt!&3Ul}T5X&_i z#P`FOvF}BOz+Y;AnE1gA6RfD|cT722|A_nQb$O{Khce$IwPw#$aL&Ra(TNFZP^sug z3ia(ie0GO5=be5h6BwsX<~;ZW-7EI@@Y|sDcJ30d9dkOxQ9k2!_rW!Cl7I#bLvkg& zX6hHTYPMfwPCxu^im)KVq3GFy{)`^Yo(wV8fe&q5@Yv+mz3FSA|IDpH z*?s|iaP;9s!mSO16q#jk4}jFYcz%4mb>@z9#Stf{VfwmS1*@K_Is}OEb9CY&gz(B4N0ue(D4&U^~V=I;WB5wKK%Od z)o0948?!sS^zUIQdOFG~K#On~v+7tFm=Q&HjR^c2O-p>wMXmv_p0A zBd&V!K_>GnOVTi?!zQqSoi01wT$)U&ex-A2_ zehsC#s1U4mWafKLeozMT(*ABM$uK1d`F6yM*cZdO}Cy~Ch+G4+{y6PpO11fMTH zTc0)+`;*k`QV$G%ZIBhwSiYmxDw6#>Atq-%yHTTf@Oa4=AYsI z-pKlG-sebJD^|N3VoX_Os*ibiOh(4*t$UgF#@oE~9Ez z(#j*KC}*%fBrVOJC@bh=aCZ%Un0FX-OPb?-mr$blw}dv&!_}RIU;M7lmdSZYoEWg$ zG+%i%-ix`g!YnCNdcZq8E}Kg(skdQ4E0%X2}fMxV%m?cSG_|$Yyv=d439Jl96XRnQlt5 z&cI&v2ygfXRX>(;LhNR@W?0FHq+y;am@rWjou)m}C&Z{+GmJ4gdvYgDJ_optWl?bHB@jRYj27_I5+2p zJV}GlTU*dLq~MGq%A$g8SwbZg6D*sOi+v}W`!loC6}vThxu(}$_zi2fwRr zR^PIgj$2Doy{ScN)V8Zn!!{$n{1P8jWH`&LO#LrItD%S&=9^rB!p9L}v@i(fa-j-q zu_Q3lAG1rzotLgdW^uRqndzxyht7tX-OrhSUqFY!R~ceN-@{a!J70L&fEB0AWc?Or zL8@Wi;xuq*V=hB&PD{N9lQ@)x)VnXY+e(G&DRU>Zc*t$c!eh)*!^(oWWzUnH+10dY zZd1iKA}#PJpB(t?rc+Cx7f+zKQz|1;^WpG&GJDq!Ho$uA5SM6ioNk?_BL}z??bI=| z7gwC?$#`HEh8$zGZjV6gBRGofc0koBqfAgqQB0-=X^%h_sn_F^S9oZyCMj*BuBqd# zujA><^WVC=ZwrxI(%7e}R`6t!1Go7$1M(HdI&@N_gIXjN`<9n95!nkFVEEzX1Uy1IF(pn{QF^wV1 zhPG~6So9yr5LDLdcZGbMBb6x=dtLUG+ItFEDG3_1B6<^yr_D<)PYHfUZO4-i>R`3Y zU261lD6tLfD$t8$_Lw!Nf%+&4U%#oT{g5iRF6B{>-L!kM08zC^NE0?bdq>ih8n#9! zcs9=Snq{7eFBc!HYCS*wx?rF)n+{#ZEmtO-HG+#74Nmk>t!PNOpwDu$!O#jvtjniM zsG0x%t5Zkeu@U=-Ju@6X+`EM!Q}uUVW3!(c2Wxq6QZAww-1W;kbmt@-%RfBaA^f+_nS`#JE@qvl!0aP zbRLF`I!^jm!gRltimEk^hu2Vc?XSMk;j+0hmYy}0Fiq?n)hdcrE_(FH!35Cnu2VsQ zv78)w2^&Hpdp-CDMzGEd@-RSnR)KA0N4~23-P&JHY8G5-62iYy-JE9VF#5BJ9cK-u zTe#{I$TrMGRkv93xDBkmW>Ni_GSkGb*G|rlnr(bUXAgTsmRwTF?78Js%a4#MmzbV# zS+&t|HOxKSn-;jBg^TY}N4&c*LuY2LTu8g!;QN#~U7aN1M4+Q!qw~#JcUr2d&B!U7 zL8Tz8|H*E~K&9TQn%1zYdN5IE4FvS|BJ8K%vblIU1G#0jSb1jaJoY!gM=88sa*u z>XCX8Cm@q;2T+m@$2=~r2c1Zm&mwAC6M&pCs2h`&sPBXWVu1pBgRig0)B-CabM@6h zjcfydDM6g$>Dc%-nPb+xa&+n{$mtp(*vC2!7GPV%Q|muTBTQgFq&Xka@0Ehpr9C0{uraxta+au8I1WVO1vn4l&rD=!*;y1zybeDtwy-q;OAw#We{wXf^8@ z11Esi2xkjGY!O@_a$*pd_l>1Qi7y4FfS*t6Nr65tj4NQ3JSkapIH{e;33s1jY&EfgO>Hn!)s9B{rS0}Z2PLkUpXEdV54 zCm!$twYR>oJnsIoUP0}x6MnN$3O1XXzjI*SDg|S!%+r4ZxcJurq4_|g+gnu!1Kdvl ztsK;L_y&0l0bS}^1_T`_IjnemprZ=R338b7OBz!%tyCF6Ncp-NE1JpiRBVYTyQS3Q z2^8#SG-m_~(+%gK@ljR|GzxSAg@Hyn3|{wK{NCsN(?WvLPC$JS4m|KLcoMOJUc@Ew z0hl%Dv#=n$LX_4d1-c4Vai`4G`yZ3Lv`wrPKsEX#Csgp1uct!B zTLIR9V!h3H^I$pe|EV24Q$dk$CBBU<(o3yXb)wWOvt_maYwfrJqCnNb#}Z9Bo@4E5 z_oa5&@7T+Y>y@y?+UGT}*{y%yQ~Sl1=NM4X)6k>_1OQ%DJyGi_*1;ou_C1BLQ?4901`uJze|ZwQA{%?PkFsA>krh8$xO76>vw zcsSzae>?(BorLFLYu4G2YWu88B1Pt326T|q2r$-I&jYezZax6}!P7Yh7Ry2iwpu*~ z-uBpk&kqL$x9{w@o(}dnqHNm?&LB{iV;*7wXrhDwn%o?cS!SB+Wq<)Pq$lDivjg8gfY_uj)0pT2X0K$V%8U<<>@&~8|^yN7q ziRp%4fpV^&B+YWHfXa^ov=+phtg40hQk;u{rTsLpw6_A5_6>nj@ry$1m}`ihG)$_7 zpVL|2)GSaAhGaSnfg%*GF$+dgTefbZLN5$T`qJH zABT@gkYTN8<4_5CC0GTmiV6%NKoSQyps!^m=cay)mDB^nZXd)2P-!@JaS@DEeZ{W# z?lT5~essw|*965#|FWvy=m+Y`elp%_GGnj=FgXZh7Z1xCjLFO94B7>zGJxhW`xF{u zH5iC}8*fNIy*SMnSR3yH15btQrLR;V==w)6oX*)*ntXiNOhR`htOz1!Hc$O}Bdjaq z{dhn$pk+q7V=2Gj^k%4Q58hUNM;6ZWdd zz3DX&{>Aa8;lN@FJG)O{7pfBb*RGfCmN0dkrOWaBtmHD`w^+T8q@~OYy=#GL&T_1>zoUdS>^}S8Nf#CkSCv}M za;v((AI`2*qGXyD)(29i8zbQPQR6KM3v&8 z$@mjaaq~gsn5Bc0v6K70=eFEC6C!2*AN+nj>5)z;b*FL$P5F-!O4?wPcBfSHu_M$$ zwFv&0#C$sT>Ls*2E{<$THLFsg*iC!s!WzQyK|<46lH5y7B@@t$)=W!h&VHYjqO&mv z$%!zZHB5a~pCA7h&qK?EtT3MJd#zL92w9&8Rbn-r#dk($p`TEHpV8)ftJu6U9V^T~ z{}@4;Z|&Y?{teM)ix6|#~URxI*;oNojh$c<-5-pgz^VO%JwkO=5r zDrG$k8oD1x1TJCQ2-Gdh>>B(!8v$3s4sD&0N4Qvu(oQ&reMPUX+q#l!q|shtmvl?Y z0xu#LWrKg7bjtBLPa!YYr`sLU2N2>Rj!?LKAF1e5d|h6vy_6LhG7(D@(XWQRR?G8i zXTuuT=!WVtOIk#-X$b{WW#e9dG2%A+H4u#!KCzY~;iH5mJ54jb6ik-F(nRmOqZ9vO zOn56XtavnsS{$m43Ntr~)F-9A{dn!@WP0NDjx?$e;i4<_d0#58ls&yRGaQ#Pwcm|NI&csjB-6JkD2D!wHX3T+Kwuc7~NW}KhkH_vb)dVMA? z`8HNYbI)wZ&sK1nnXu58eCjqi%L6iLESkbzto8+gPnF%y3DRzI zuRD_K>dk zi+sPEAfCc?ZT)p}PBK^Y#+p}JYywXE4NfHp*160IbAQ|N`#{q!n^+Nqc0|9D^=lqy zPWYI3nm1*l9p8Se0)2}}o4X|_)g^#hI$6292Yb&DFKc+cnEIb|)!n9LCz@@)D?7lc zsx=sk$%fn`@JOh-T=?_H?vG`w?AaZAg1jpqcPS%`JF!V-7hYIx)Dp*+u+iiG8sB$U zu9>zYsyFzz4Tnc3Nl4x@AIAS?2%KH6T|Bn<;u-fvieDzy+@q4qFn|_04hqFgX^Vx_ zzD6kP{xWl(3eZJ7G$Iddng5f+#K~4%wu5xY%NICu=Vb$KbX}sHVo(bO6V%&Jn(4-C z2?w5Pf5d#3JZo8SBNcb4sT^m-PORytg4rLp3Da9sz%BHZfmU9TP$mq+CK(+`2I281 zj3KR1Q$o)Dd;o@XFKaDDuVW}Sbxl0xQZMH3UQCp5S|S`3e#W2h;Y5hxH(U&l&c70a z#Ii&FcXJyqaDwB#$oyEZe{I>ZKjkw~)ScHKB36Ymq@Xo?P<`L{UqQ;IFOT6I#XvH_ zN9Xr>pToK@y#GGwSQ53z?p_dBW+hGiIK!TDUX#RVk1hNwp0+_?*|;Wo1rn5@*ODfG zc`!<|=a=bA>ixLp=8Ct6J>`|pK+&!xTbGLSs+m@_LlHeBMU)hOoD^@99FH_4^|(V} z$Rkx#J{aefzayp4k+MtBATFK>WH07mgJLRt=<^(~Xa%sJGDP9RE!!t}DW$b!--_$0 z2e3X#`?jnjT+a-#+eY}Ae03j%%qh3yBp zpuI<0pK@rE#nSDVjIUkrPQtGPj3mRK9;loASnmD|Fhu}RNfCfO7?$f|j>n|rK;&H~ z5V^eu4c)a&-v7wbl|cf0ctpLQ%XCln5==nh$O%DiDbYe+YZ!>O3$xG(hIb0c2mr$m zHDomA5IT1vqEzNp#@FfL8p@A!Sil7RV)~nhhPunk(;k8bz~TTj^}1^qBClAR zZo{B9t5?R4B*$ty*C>+L86Zhs9+4n`GEX`TpAZV0KMpL9XC&HY)`M%4A8P*rDS67a z{x+2G7yRu%@ZvwV0tiLH@Lx0T{eDT@ni&Yw=Re1|ZKb=_yuhw0HU_hFt%iQ{Z{dqZ zyOpkAn%4DCA>GQxRLB#2Q$YvpVh|I@m z5q(FEB#F0sCCN`>nu3CG36%967wLzBvme;+J_v=mg~}h&{YRx_&i7%7ZSA}xG+fmF zBP$rVo{JW2f5@+`m7)efN%WWidp$P@&Y`2(sDG%h+&+7C8H@1>h3-XdhJV~73F>ul zR?at1X6xEy1@Xa?nigX4AxRn@)+0kJr+~627999<-lKyONpi2Y-7c|7htr)omjSHA zUh9XOBKdlj9E}*ZDLfLmg4iQ|4CqM<=iBeAEb_18lr0P)ke0Q)rhR_P(q)Kn+hX<7 z2A?y2VnIP>E*p*a6Z^eSNhTHugLYj$?9uK0hO#$CA!eEK?8PJOurXJ(UlEY5=ZnCt zx0Ae;-~z(NFSoDG1vi2kMSPyVE)z#-U`5(~c|>iRA>bU~7hjX}emef$!CbU-aTRdN zhoE>lB=9NCk${`d%BFqe#AmdBImbHewASs{i+2ZO3#&+2N0zf0$jQhH+jvY42Dat7_U>JFYc~C=+7so5{i5vQmWkz(LcMfRE`f2g-UL zDkgcj7r3ih>YM5k(Tx}8GF{}dd|U|mnOB`5V@@mfs3cA<_Gl;pfUlC|z3XKus-1aZ z4;NdG-tCe3`OI->FTalCOKm~;`AZ-54#y1T#9NcYCr`YH9dUw>=V~67ecaq^d->Bot=u=s zzPsrq{0gtPy#wr_M0YkkV4+9fgnnPYT!LyEL%sH9hq<}B+;a7jI}5Bq+L5LCMGG(S zp7$dyE*j!{@u`4-I9eLIRM~88RMEjX{jHA5qI5|pML4pZ;m<*t3w_CVJ=>TOFWpdU zjOQm%#Mo{fo!wAp*xZCh+xo^^2|v2-`q8rO!lDl_xw6+Ep54dir)Q7Qh%0M;KG0|T zu0=;uBQj;#SQ|`m1-aR1N$o7xvi}e$V~^3PC%^NexP51|hW3;+FGOFd;AN*c-go3w zNPv0Gcylld8eH`jIUa8MRFhxH=}Kl$%G<4rXs3e;qQ$#qoF8Vy$7O@zxIEE2Rk^NT z-ZV)ccka@qqY+%-eiayup-@@*+Qm}%`E(9?>covW9Br6{90E-k#vln_$RNiUqS^S^ zcJ=R#V|%+-ST^J*-~DOb(cpSgp^S@nE!e30Mye2qERW^U-bYe7$OmdoFLKO*3xCc3 zRv8}^8sL+l$$c#q{Ds=g69G;fvUzt zhfJ9k{fv{dT_kjm-jc@g;UhA`%c~EPOK&YEE9)e8b1#sb)x6o47^JMfcBn*UDw3IhZ2MR^dY!`&| zeI-oA3Ki5THbUvIPzVJIs8$>VZ4LRLRZxXKHPoOzML+Oopzq9xq<33G+%`|Ez9vO; zbNALoa4={+NJ7V~A{kR?gBQc=wRdY5`?hYWm~8DEM84TlLHZ)P5$$6X!r|!N*;2m> z-9<#a$PB8bg4CTKkVWA;LIl6Bf;h&UxgG^3d(ni4-nmGGj$g)}!edOcQVU;&1o%si z_h&kTZfG8lz{^nu=Gq*J~ck``1OThPWqh{UUoWWwPAXf^k`ZLGi zGtQ$|_`5X~IH<&7&UuWnra4x0?!zW>zGT7&Zf}||rbT$B0lAS+oN!Jl=&rUAFD^dB zTcc0*s`>49bIAu@-(S0Fs+P`{hA8C5a}221CVl0z?P-!9V>OZ|yiSNl^!vwf z9%j2pZE!FMwNYio&EW&X5V{;p0?uD{MD#jelj7iy)1v%Abb&Q|_j}EM=XQ=Z=RR%P zWdVm>JbdHH_zRi+>8wNGc8&`R>oW1g*!M9a(RQwHi8Exxq^~-47?(31uiK1m3*@mk zwHIB3(nc=-E5f z0db1C`(#w_pZ6?lRS_J=4E`L=hy4kN4vBV5LZ+?{r1n1Xy#m|Vy|nDFI|-XCf+tj+ z@=~ZAxkH9@!f24;WZ0a+S-a~a)xv++EQZ^Ce>L~vNwmTRXMQcLW|z)pd`a)WMWOc+ z&DoNn|Fvam0LRt6o0wCVNxENX&h1u3^}>A8PXiq*Y(~=cr`(=}Wq$ZhbaVifhgxJi z11Z*ttp_j7WtF=JPa4&n2E3|~b$9h}+3K76(FPUj!$FXurEG7sw3|_JkW+&ti)t5! zNQ14BfffsUbwu)lNT9!cZ|X(#@Ye7At`Sr$yO3fBcF_h#5!EF&nAOyzefgXMHfYL3 zJerbWIN}q9C)#`DgHdCb%PGU5>`AJ4VFK}!@xRn!)ot}ia!88G)l$o+d& zO+#}}pw;VhkL8ZG9--Ae?nz6N+f~AcAqnbX?26jEIXC0KrSFTLjJzIbROblW&zMP9 zmj&EUtreX_Vctc@Lq4;yO~Ny4^%Z@9T?WD4PwleNG9^IMzt_0j z+8CW(?!RX9gZN90?uET0^UES|kxBJr2iO4_XGO)`$HSE@{KJ`sabJmVUr&j1{3G+H zIo@Gtrh5bVEvVkwaLJLchZAB}90PLHEuP6p`SAt+VVy%b#&E_n?3Zndg zV8AWHfmzWa%VC#-asC|YCms*KzmLA~ILi~)EV06M-1KCdVe$IMC|(X(*lS@=Bne&l z3hpcu{(NRWx^=&ikLxRr4vJh8CMOWsNr^%8LuP{-7ZQiy{R98`9k81TOeh~rU=+s5TLR!tnhTg| zI2HMr4#FeeHzxKFW4C$rEgqEFZhy^;WK&#WhZf845a@F1tUr&2qTpoOK=(L1dRoPXb@O~euf@U;eDctd|1|W74Mf7 z{8sJSdi2Qo#|4I@up=5H=S9t@?$PNla;a@E@Zi?e35HVJzUYTd@VCmwOOY~%Gn-5W zf#8x-*q{*|Qa|12O=lnX+M_p8(YKt~Z<8&Qy??JPxRH+@^+bRRal;0s$UMaf38&a! z0pYUz{y&{8zvQ3Bi97!0szc5-WPNHRq9xomu(0pgx5Dla2^;eQy46)3=EL42TWjf+ zUnC3owqf&ar4hCiu0x*KxJiM&c$AMQ1N+6`O>*FULbr0jiE_Y-a=^RoB3khLgpeQ( z;p4CM>i5`$_aw|NoXOJtFy3Fhjpy#BZUn&JndtY%G6ld-J6kU|`e(=FH3FMOcC5AR z>CTKg&_o;2t#C+HFR_&kE1_LUd?Af|A(XCmTQ}b_)Sr*;a=q^ueT!JZ+#8gyiu0|v zd%?QtK8kc5aT0j+9nyh)+SCv?ULF^;uAQurAK;}IkYR$S9*KgcM7$X#JyBcvrZokfC{XYtw=ICRvs=hYz; zu1Rlr!@$CBEH=y^O*EtP?s;w5vb=E8>n(PD@Q~V1)btMR-xuc|FthpkT6*=s)9#_V zgquop{YNUb54e7s&wBoKM($3-l#GXSngUN2orkL;y|hI88gr62Hbc{j5v(N}va_sp zbzUGMNYL^~6_{aSVYiuWvnmx;(;&rrCGX*2n<*(@-hdsSxhkkDU(sNBytPB2XI(={ zzW1bVPij7oAIM0@!!S>AfpWQU#oWNUI>D}fBP}AeSj}0e23Dhkr&}RtuIVZbmzT zI)L!nim?wPPRyK@lJHt}kvEpm)*9GYa5SiFn3o-5Z3Xtr8O^_IhmTz6m+?EgFHaDbbob41VSl2{qMxi!f>p1OLC|lPslS*XGGAfTfmSYV3%9%CN z7m@G(=$-G4$m2ahQL_+B{y`4?Y1dJ{pke-fVU=PNlg`IV$U(Fw&d`hfoSD2<$s-e6 z7Dj#RZ?@HAUw1xPxF_RS)|G+^Q5++k9D+YJcM*9RqEuGD)0hv$ZmgMi9iQaHRxy9a zXlGngwLuqZvZ!^evu~mLdu5to&K=2dw55R`@B0J1X^hiSMej5tmsbnxyo*X8f$5hH zj^^S%7n4B5VK~8*M;{saPYp>1{2Rb%7gaZpkF#W!2+tv(F2N%`r)^_-K%%4VF*obM zHGXZEbFlJx>UYcJZ-29Ma0>m@DwbgtrFxU`(wvon6~nHQfGV!nGtFMmoGBz38INge zd#t#+i-hGne4gQDZP{NkLDt!ndnM_7OHJb}b+TmN>y{`QZ!N6LvbOu6dG=e`EM%%c zRD~Edu*NLzQ&BmbDe9FcrA)TQ4*nHzW(|hcUyfut=CoDycTTPKB;>=JxM~=?dn4Pz z2|RJQZtX1M2>HV4NmX=yUNkov(FIC}X@$EthoxwRmsBW0SIBQu<3UZ8}`wK3}@gF?FNv9Z6oDRC2QKpnYyjH z@0#bZZ72JHecNXX4t8u6Up10R?ed{7-*MFFjzr0L3>i7Y?G{UwGiHtI_GjU?0b*DA zLlk{RS6fgHYVr-(>BLRAIrtR~fnE@~9$vFXM*&RYcrp`B2mJ-LXHg+}b>VQXQWLHc zA`|RGI94OJdS5WQ;~$@2m6PqXjqVAR(;o{ZNuoS@_kh9Lmu&vq4)F%&z;AHT1jY!dxLONi+z4J%+ER0gjreqL$tx*w86}fm16voQgeteT_+1$-~7G~ ze74;GvR+67mNO0r2mQC{gHsE6W?*g}Fm$M!TMr2QKTSKLBzaxxH?WYyKQq3bQ`=0` zaoU^Ldws9Qj14O6ukxs|VENRJeBJ;?g5>SdV2#UOEJ-MAn8zLPhF0VOR2{lFeW(Pf zq+ypcXxMpf(IwKy95XjAh-v22yO}PZo%E%a+~b^ z_kF%|zFT#x?yXwARa zQ=R4}YgyW6psj|ypyT}+S~d9@&t^PC%Q>yQ(EeWIIoA>LKKTDn1UrV>YrWQx}?tWQAMF-B8kUwRi5@n<2O3V zMLjCAc6=~zd^onql6l_*a|=T%!Wy3_|4R$X@B#P$U&U_kpoRid;%UYRAROEVxO5Dm+zbM3(Rbm-JfF6idPb zDSWdRdYE60PTg{#qW2PauTMeJS$$J^xU3F-S_lF?xt1ch4egl2wQvaLE&rn3fo(eK zP2r-QNXo^i@*E0|apfQ{59alo;AQzB9{^~&=m0!3PC+62gPGZzlL>2N^8A}Umq^qN z2z@jY;78Wi4Eu8~es}NcBkGQd`d%o*Hrh(*K(ui6s&>%Et{6M;Q51*TS3&Lfu+k*L;&ze*N6f@JXZ2o?q?7T3XsT1fJ8<(let7FM7%Od*xyW&@HdGRg|W&C z2W?K~@I(mz!0yuN-^3YPu!p9-O6lLEi8TTXFiC1ufK$exG6RpKMgiYQ!~xHhb)o3? z-_pdYg(110Ifn)RxW>hkBkSVW?Vpr`B##r%GA#@RJc!Wb_31!gcLl!feTIPAB5ffH zAVR~Y08k&%Z{R3lBt%eY^P5A;034F4MI-?9Tv{(nC}r;n7F55n6X2EukuHH`!~vXJ zWHDSY#>@nn(=IMZlh)J1Fi`_m%Q^oBAFF)r2E;_)NbT`8=UtjTUyQ+AQf4B$yNoU= z`yGhEgL^^Jux5gq2;nu4vNDszgff1fpe%zo#fhgZQ?)?&US8K?BxE2~27EKxI;1G$ zKj@)+Hle*OG2Lt7vCr==s4aMX=BZPkwvfu!Ei&C4rw$oG2M+7|TA<(&XF2D`jh3L6 zNIUuxdlI`D+O9yKZP|W-V3$D*^sI?b;4QlgBxmSD`C%z2N@gi3n_eQSgEw!^VXT;+ zzkly5J`sbf;^GsEu~I-PzH0+B0;=*LmA{7p0LJ&Ckqoo(xw;&|4O z6OI^%qgD}e<6Uu)5=75a?8u6nH=yH+f$cl{Xp%3QZJV2!+CFeK|Z-6n~hW| zqV;~f6#`3FVEx2{G#tD@dBYCBM{4)dThM3qcI@;$jx>A^b~>|nHSRx#^X{k#1JljT zMv?B2nV_Me98+~c_qPaL{%?oEm+-iKsFf9Ovw>F0HG-BUa^l!^cTIuMX1C+k&eDs3wQO_)Vtpn##C-VvYM6 z3h$+z;!$zgNG42b>8Rse;1ZUYs7$C2Jcn}>R49UQOj6>{F;Bn5qU{r^rQkxnIy<35i*d(&oFynNf|q_j5%f6QW`v{4>%NzUn)Qoqr`NC(JNLG z0=D~xUkM^#TmUMnq~vYlxF{5!cd`ptDv9Tn*mwNH#PFh|geo=MF=(n~?7HKKEuIl@ z7?4P!#0RGF2V5(9gzVs{^QCqB2>;ds(=OpvG|(?n5FU(~m-45fv$^O$-NCmxKW?6H zUi74d#6O;!6Eygo3fA0b*dPf*FpHvm7)IH33D&}E;3~(`qYR05Xc}0vMEg0Xgq?TF z8Zn+Lwq~hPVZAzzk_CffiR?nq`vw!;_zzfrIPwV&bbQaNWP(+5P#&@$%yCeSW zImOpP&~vuQ*Ft>UuWz@nycZA8k2r=~0GNJs@ExXNfI7nW;b}i?ATX$_HrppLloC=k zIS@#m?d7M5;=-u0EEy^Ctr-kRtrxGw9_hW`+PunfOwP1Of(_+3S;84MmRYW6zQN|d zdr-Lc2&OPXcWBt)h(F_cgI!)CN<`Rl?>>ZqUC7Zhy6S>p!(fE{`~Od=Xq@2)h(quid4% zY#09Dhl94Ve!?_UhDzUi`7ulok;M0eoUkd87aZE3 zdUkjS$!EPvcIh};Si=Z(o8~v;M(esx7Ek2PYOvdX!2-oJKeH&Qqy>B&v^|@6>0ONz z{AruLu>yvi5hwqX;eDGMTl(Fx&o6ZQ#EkYY*$d0pb3e0dvR`U?&hHP8FRp!qIQhR3 z-8wKj#ouzry5Oa?aZ0{iOu*KPmqnNP(QM%f1u_y_?*(a@O>B%DC+@aGu@AtoE0H0% z7s|KczJX z#BWiLvM=O4iS5zidT!6TqovIWqw)#ionXRxEw$mG;(BY|;*g=!%&QAM&dL-omte<6 zb*9h0Y9-4skIE}M#xjl0d-+cC2;XY1yJ6cc+z#Yx3?*0w>YH_8P;n4AYA_`sR0ITn zhYi%%ZTu)O3t9{WD|!k(@PrO^JdFa3CtFM?4u1k%fSC-^r@Z36i%KZL^> zAHBb;h`d`}Z$k%d$9qh`UUA!{JP{eqsw`@(Jbdxx2@(%anQKf)E=-*5D;^dfnd$@lrFCz0e-T1iVqF3K`b!?Cozp_Q<+EB?h+f8p08yC~|ZG<45*L`&T zl;+a+G&tH{v;dUGd%i~f0$v#6hahw=36kaXK``fKPseA#T4~%|Z#Pb|;R9xH2bVmA z8Oz88(>N{>*dnXYc$G~XkmQ;)f8yZA#GAvr_XsGxVc z=f}Vjonw^{rstCrsCo9{Z}1n8=3)Ub^EZXVonU$v$nDhER3FaMgUf$J+CSnqOWl+P z22Ly96$fv=KtTz$MT1Na)yeyVPCLC7B5VZvV+f>LH{aJX_gu%FVbT8@?t}@WOpBx| z+|@PQwDAlUR$jbbg^Br_0qT6hws|TTGpy_zZK4dTpz#pm#Wzp^SNwQ(3<1!-KFKRt z*w6xqr2>f70tn>qimHLtc|pDmTvyIr#+Y_{2?g)172(l;3{fEa~ z<#%&HS>Y4i7X{Nmr*7G&oGQ=bG5A)F=WI3KH*qW)zR6HA^$H*d_g5RLC;p~*yeLUE zgfMVL*ZeR=VGla-HzXeJ#g&t;_WKv5#6N|_zX%)!*$(4_tse_zkP8leS;gh2J?20A zLdQw(Z#gr9$>+K#_0!kXN8A!!t|Pho5e5#fyWXaHF3;(W%jkXolF@^m#<#i<-m8z} zZ>t~B;wEAoKaR|AJgM(qI(uKsgiD0Dz6(ldEn&OO?Fx^)Dvea9VumAYlaZ94QKL8D zDs%7FZbPp<$kZt-#hyXtG~rd~w^8a!d7ymxv6Go$|M6RZClzDoG%vlx!~i?iFPEZ= z`Nsj?gu>Mbwrd3+osfce0PUkPKHe(vDmtWaIH8lkFTRygY*Y0*$ef&74}PB@I}#NX zc;-4O-FdA5rf{JrsQ3209n*qpTkWC|obb%3%p64J3r5C+s^fuTSBW5G(Z5b8v|X@N zySvH+A*qH5|KeUBNiC}}duT?k6|5^X3z}OLnG3*a8>a*hGG|pzuTs5=7N&U%idIX6 zuN9a}-Ah&4-YKioPr_?lE9{oK>u9y1cU|cxsCHcu22b@}t)xsP9c1R~VlaHwO`*q| z1IYwiUx$E!F2~vy*P*frvcA`;wF&ZxeS6wfGQb^RYv)kbE_W|I0cilvKzxiI# zcRnu2+Af+nLM?90DDHQZHuath>f5v+XcFyDLdGAx>l%S}7aC2?D|JQhLh$b8f z&qe*qS!O!dfVOSSWo|C`y9|yv1vMAP+}t2^%wv7s!&j~sQ0NXWe|jKu3iY`Q+O$GW zymwVwucyJMT$SudUvpbDq-!9K*3BRpGCVxveMNaOpdU8(RslzyOz)8nQ==KTiQCWbt z-8|mh!{li_){JKJqyX5}O#mEu_T3QSGou9yR z7JXrZ1ZEviLV9@Vx6k!jsH&XW>NL#{#_h6d#=JJw$j@E-2VkYrDLK z%SWoac@XF6^d#!mndq=rl z`YKl|6G~UZ7a=;%>DY6<;Eg-_n>07AQK zP45Qc>dc)?T93t>-_oqN+YTzWGR>1vJg@x+)63EP&GcAlIbK%@?DysB=iWvgkm^P$ zUK*9w7@8uspx7|f&P>y^G1$pUD)I0e>Ciz}4eBZRr*J z&BddJLBD(K`f5Jq7phrLEL(ipi=vIDaVNd4Z>l-GBB`<^OkR>96TA%3 zqw3#EE*cqlS-J^|WID(BmEmv<@;`P2S81x-8H&u8m9{XF>AmR9g#cEf(!L?^M9)zS zlXnTI8$^USxTLXD`=pd==PJ-200_rsVjR5d&!ynqxtym`GWFfiRwQaSgB5xr5|3)( zj;yq;^d~g=*SE^dvLady)X70AfFcwX}u(sYV=imiCGuO`(y@0;apW-i97cE(fwDx zrv~sng@59EokC-sokHi%xp)|YRHedy+X3Bw?*azFm{5QQ7OrU490C}InYhLP;=3e! zMSL0n;*-um2k@KBE9_GUe`Rj_D6iTfu87bFaR6zt9drRSF9;eye4AF03<=wIuWsQ) z2FQj%4B9K#!}*Q)z=7QeWs(-L0Wt(BUvuWDSG6$bRV~~E)WWb=weVm)7?eho(Cqa& zNPixjc$bT7SJT4uTPn2v3#o9`WSrBt(_O=fQ&2TsJf;X4A2Km( z*%)*A>lBi`*YlfokG2M_IisAeP8ZhNKUKmgsxYb$eXo7+hXtz_PzDXy*szYMT=u3@ zZ(8nFgcrJuFR=nSx&1r%rBLq&Drs7VHnz8(B6IOs^Yu!L&6f{ zHzJvFYK^aekJbc$df{S$06I|0_djxL*^l?7l*sZGB(84La>PTA{7Jv2^BC;Upz0KV zSvDB7J`~AZ0rfY$hw3t&4WkP9gBA#vhc{R@^C9j)@%7ef;h^>88iv6 z)?i~Q8C~HXwkkq=W=Sdjdz4v|icAB-e&GZo~l##>q&V=M2~is(S8?}-LZAgJai)JFFA51-!u-4)~)v1mDP{RK!8*>0En z&;;D9j4i={El3H*BH+U~6TS2#&$J!4f)%|T;g7n_BV95dwo(NCp~6({ap@L2B$oH+ zbf~(!-JFL&U?*JkjBHAHS)k*R^rtdY+8S1qPiXdOI%#oM3UNn~C~7(KN0;Dbj9_vg z0*DbE4hflOEiC*410z|kP>~*n%$$4T3!!f+Zg>(aII5ckzTqnRR8J@o)*axHW8YPE zK0*>a97h9gu?+Z1t zYfKa;e5E}eyL_22PaN(ed8BIsUEcH^)2av(((YUKF>g?NL3S&p`Dr_;+s@LLd1cLX zUQ{Oa%%2sIjr8H6NeGWvwmVpe2)KcGG*mrVm!U|CLz&7Au<}LGMS&NIF)pPoa;^ig zJ*i;LhH=adn?7_BOn~Ph27~Ia{SG1^AQp7}>)}^Rq|mR2E}xD;Gi!-0p8_f%AzE-S zJHes_WZ9$l)c>Idrl`8=azajlL|7SQISYw0K-kAZd{q?#0h@#n+Ak_%0uiZmVLWi9 zI0h~-TPw#3Mf)DYh=u};sU*UmLFk9|$*UO{Vpf2j6DNGJv5&{pER~PR_H&UCSIYn( z9gG(JmpC}6PA)Eju>5A(U7FHiL9ibs75_pEl&YBG^9RY^V=h4kFV6~i^#ZvFI}lGk z!8GaeU=eK5qpnAY`QJ`*uU*+&(et4Caw_?fa}xpU&H>RU~`j z=5t_!-Yc_h3H?O#U;v1L1@y+qq;=k4K1dG?88CnLs#p44s5$}{`t$CZeufHTMQuc5 z_B~%8uYUGa#73`sMSt8Y8q4zapyR^fgJ0yNNo~f&kW+_)gL279v_LW^QTkyU#DDJn zfsi~P&zHBx`A0(BR9LsV+h=7;oW&*wQ>HK)r@8)l!>jc}-kD9*k7C~5=Sc^Gh;SBU z z9n7RRuP{u#1B&QF*W{Z=WQ zoeLue{Q5M6*8fzqAJ0t!tol~F2}HjjT~=wKL`MXpTg0BG594Xb924p^N&5`c06S$@ z7`=r+h6b?`i*TGD$%9fiv!ySmQ2SXdp%7{lHKs zf_>=7TVYFH(Q)}P$1{WEhY}PIK2{VOGbpm?;Rh)2pb>AyKLo!&ZNCgs?PuSK#Nq!? z{e(YfqyNuUKjk+`qH0aJxq$CC(}19CH-zG0sgsMYZ#^g^Z4MBmy>QV?$g0^9DLpMz|Y zARfipsQBd(Z<>I7S}1~P*-j2Yt$$d^eE4;MGGvNC?Hd=XL_5F#qP#-c4rzsjS=T&K z4*p}ao$MPy1^H8Yugaj4HT4FjRd8Jr6^9$@nTj3#Rzr2NgEyOJIM0O<;TzPd(d6$! zuv#NB6iSoIVEtW9;P9VDzHz?|4U|V}DUWpUw&k%nfN>dPH`;`^A5KU@duR9r0qwSq_VIj zouyBe~rXbP6i8{*51{-Sp54NEm zAxjS!t2lqqGo>%{#vpi7UnUngt%rB}TUdE49;$=dHudKkg)7KGn0?;R{oic%@kw_# zqPxh#Vi8X;zQOr~280HWKB4iE00GthSR}ye8y|xVQ?FX@g!U|{ZC2x<+}k|BZ*9-2 zxP=vP(dPC$xq@9~BtEVDiF4`3AM_)$!P7c|ePIr=k>_ z!DFqDgp>x|NT@SrZ%HzU4s3)MgVtAa+GXaN3x>*kgP}1!AF7aRm>ppJ8^N7opQt~0 zSzZ;_-FAMcS*`>5qRy##Hf>EF@)&cCnJLfotO0jQPE8FnSJ7t>*PlGjy^HS3 zkX>E1-s9)jRanbwh2c{7cjcu9YJ$t*$6UCT!jOgs>33A=?(bWqFQ9fZzk#Tp*5QZ~ z&uKkPWe%DGg+RqVdr?hJ^IltHekw(5D9#A3Pb@mftVsOUT#I9uz515_T4BG$T}Cko zeNdc%oe}BP;cR#(QHCn4i@^A|1r7$ z1^<%{&FIrWC}NxAEoe4K-Z}Wg;8XZj?5-o*Hf4H{X`yQ`f zUW!p`hBvcp)!O)40b*9Yq0q%H2>wwbcEiy>e5Py?%q09=Hpfe-JteL7h$# zwsq!L#KLWxkqX2c?uu{FMMS$0HTBywP^$AmZ;!e#Z`R>o5g(xNWsqI2V+=zT@o{h= zR(Dy41B_43>_8vSq1quQPx;tQwN`JJnX7(8I4Ew=SU?0fgJO3*X>H|fzu#z19??F@ z>3McN7jG^Bk%0;S$>`vbzKDd!YFyp3+ueSHz_OZsG%6CQ*lCfEM|q+vA`(eKtDzC9xgyV6o8cuzCtq(jR>kx$kkE`QFY0wlwOiY9C$Nl8`f;lMksS+LsyZ_$W z8z@=2`4j6kRl7Txo`zEXsIF~7W2slii?exK$WmOKTAvzL7M)g~x`?MfejsK~R(kPn z)mcl&K{@33YoEZdYXohpC4Hd>5B9>~W@g_5xy*Zw2tA52$!XoFk@zU- z>wI6EYInEuP@;7M-3)_TI0nGciql{=}N87X}l|13f<~{i&@!#l`e|ySOQTC__isS z^eoUMlNxS)itl8SDKqt%RGuVy;{!f!K)Zxu2)3~1bDe!h`Epp~X&B;@h1e{$t?)|f zx_$$_i&tk4lmC_TZDRqBUPNHj8JYTTXOI22vp4gb^SJ|@4+2vwSRowl^>&ruV7P2| zy_nR0Ij2rV`<*?7c?8O$mq~-({Y~1krzId96{|{u=1$oHg=QQqaVtNLTX{LJ#_mCF?@COgNP5_>$aul$5Q z79-WJCdNuCsra0w)Pz33^4dek2}la!#3B_Y;&b0}=I@yR?w+}5F>-vj64^Aglrc5x z0eC)B()YYdo#wv4e-ZWoeQ%+ni^w|#V~wF+`sqLl@h$3scYaGUjH`eOs%)@^5CvKi zOu0W9q*>#XeApE$^TXBS{l8^`(;|a14AqGs3^k&i*s1%Q@GwmE5F@kmpu|&Eg2<{$ zx)S|x`4irhdFkqS6x*om70NwQ5i)_n9=<&$XwDe&ZGmCemnw+WA4Y90)o|HnD zmPE$Ue>|iJ-m0{Ywx9CYMd_8iMGX#?B4YBxU^8ldTxN)f1Ma{(wOVd ziNOx!Qo?()+Q1y`A~1G4wd?cii6JbZj_F^7y;psY@f*%DJBNVpTM9ib{-$pPJQ~-| zjol~+O*PR?Ri9M#-Hy` zRaq?WI0Vx89W@xyDMCb3&q>Sl2^(brDmsBE-tEx_Sc<o#w+WVm0g9O>b<= z;&Oz4$pL~peUqIqTfW(RqY-PPmC<*UX(?{pmyAX`4;_23T6rrTb3V+ZEZcn58S_$J z1)P42@6TS*)r6;}%z?OO5F{eu*_MFk!VHq(nt46k74MokTEn01e6txXI9~5Bgq;Vb z5YU?r8TNnS?L)BH}q`sZbSZ$c;-_z91_!FQI?4|>8UvaALQ>ecR{9ba; z5d^}Rgp%B(l8)}fRI}MS>CiXH!Qrks#&wKQbXVpuNC4_yj(9}-SiauWw;WOdRHk_f zk+&`0&}6}eJz1isp`R^V@O_B)H(+wMgIe;$tnQ`UgJBO+(Q`aSyM!qAHWgYzy)b-k zq=N;+c4Kxxe3Iqo##zMIY^K=j>fRiY27gk_9ut1m^#14_B41O#Lz3SQw z|M=#hWd1mxC17g41YD9j#+WsY*_M*^&tR`d(CYowz3GwYDa-`F0{Q`0p#1w)o9(hO z98ceZz;no@NkgT8vrC$ccV0XUeUyUs(P(EaZz>ll9G(#k3mc9`q zrQ7gsL~ zfz<@?T~pPjD7{L;{|xXJ(-n6dIRd%bR`0g8b$R5iMngrP90pfkM;5SfN|Mrgy$t z>)*Dg?#$vyk#@=`e+1TBGlp~VBfMMh=wvOzb?@l6Mbt;A#ri6{W+SN8jEi8rn^N3_OY0w=HI!XD_c0iSd&H*T*j$gqn7YKCN?9 zW}Mt~>E1F8BhF$|LC+!gX$S#0vg3P!PT?jaP*=>iOZq|FR?t4l0M9FQ8^;ECUM7I| z%7N)uw<<%WV^HxrHxAb&C{ zpo6B#)$$>@gogRwz~F!&Z$}V9We050Wcnobd)t5I%`+_|=AGwVb@jpD;sHaM((K;I zUeo|itM2dwbV}kxetJT<#W!iM!k!Uj=EAs3)--MSQN>h_jF;-}0#(H115&06cW=MQU#GM9g@IP;`l~=Ob_9xfid( z+n4Ld@%G109aE`B8E0sI)V2nXm)#Zeg4D@|Kk}lJ4GV-#%hCP41lsPBc-K?=ksu0e zp#!_EeUQ8NbK0@;`C(QG56WCJbz8n2NO~wG6d^y7;9rJL)4BbeBh@z@lhN)cQX9pU z%-8l_Qy20KGu8$!znS|d0>d`6TxH|TMWZcy+L1OZrd+bNQj;0!=s>B;No7@b^(gGo zgG@(_)84OMMYE*`;@3Sf^RbENcxq<_?Gb)8o>WEY6b?qKKj4|J6{3`{oMQR}S4#M9 zUd|QrOWeui+f=hOj7t`cq6Ve4cs)y3_j!J#^F~cT9kfmUfzqznxN`KiR4zBNNxU;% z&>Y(m{S*1-kmn-x@a1mQPQP-biYV3BPO`rmdH<&B{X^oD#>(tVay$csK3Hey|GZbC z*>`Q*K1UhnHr3CE>edw}s&f1OgU45KUPblOy!?f$)*QbX<2$7`)#!uFQngAQHT9^g zK=rn%=7UTujZ-luAI&W_CLidZF3di++iV41RQp&V@Q1VJQT^;fMIc;Upxwe{;+_e! zKNgL2+Gmdzjj}ceKR6yZDUj&u8rU)YW_f_F*Zw<>3m`rk7G#AkTgO3Dz}Cah2%TSN z>lG_pEjY(|#Nxr5vFBtTawQ5PwJ9>kEC$Ff|zBG;I=ZoE`dAefXGnl zM7XdHR@;SK*uM52E;k5DKbgvVmvYnzOLy~I@t!|k(MTRTCSgYL-ny9hhrf>Gs-jlA zW6f3B86GCVe3(RZsGS*opUcAKAc!l^R!wyreOHEvtjVpdgsh8@AR=V^QCnVj{p}vIL*BOVDmyW zyw-Nlo7xd|r_^4)gz&DX^reEeSfS*#XZYO{{XSysb@Rd@Dy^8R1Jd_PhXY)N+B(XGyLPX?HQIT#qRH_t9f{v- z<6x{#Rn{a|<`$f(zXCn$BFXg`R+~C17^ylNq4$(snlfwhz@g4p4_JA47I?1# z+%PHN71tgbC#S~$8|Qr&!=(F-^VHSEr(XHq-W#A5V<~5TmoE)WSA)b>ehv9@oS++(yw@FBX#gdfqyh8=;XfZJ6A?5 z`oBeSeP^P?gZ9r|{VNeIV8%(?BNLvc6$${v*e`X0??33N6GbSrqNfldRo;hGV$U!K zn0(6I^$HZUK%krT_>+a&!OS1Y+`*CG$y^@QmWJt|M9KYJ z9bDB~vPwfiD+&XPZS}&L6r1klaAdFNR53{q%`WpUTuydyjRdF{45kzCe##1R(5?`u z7F?*2y*vmUxU_*BJg&i{wgkbP$N1n*77yF0?cRXl90?~s74(E3D0Qi~Il<7U z>x-8>^xv1NO(qDAgvXuv!v|V};8Zer5cXVr??e+%J_A+kMH6r2brfp&kM(Af*L)Ao zXU7FlK9IP%{X(Qo>A8nZC$7E+O<(%58c$$%J|ZO{eE^znOrK=xmg9*);#RoC#V^}w zWV`C}2_J9j=ap*EQOhr$1xuHUXwPj53o1fw#|m{~`nR08IvVy_v2-uvXMFC>sw8I3 zB3_#p&~H8=_CfVFgZ1wAY1l3kO?Yfe@))h&4|z7oeyM*Bx2=foAn9L->H#y~#+r-V zC(@6;8c;@A!JT#ve#~V)Y#e&gu@-#eC6!W`rOcc$+E)d>KlIWjaGOW1^o;6y z4^KK23U!HTWs%e6ZI_kC7#0yTr?i!snZTGE?cHV3TT3d~VnB*R4`4dQ;`zw?AaB}mnFgf z^lR2yzUs%Av-S^ZX{QDjJFO{c_b28Sd1WI;4^M6h#6Q#UuAgpD2bhn!<+uHOqdJy6 zKH1$_yeE6UG>Y-;C(Eowly$+{42)G}o4Y+7e;!IpYU>E5*n81$>7cJ>yL#|S@~@PH zz5CZ8V1g)rqPrdWZLB^C1b_95|7`bO#8fjzcGr*-+juni_7qaOlbB!kc}E5`gor0U z63pVcnHVy7pc@}-X&e~svF@Az@;L#e!}G3ICG5kDb%!nTEY`$Bo?+hAVd{;xug^_~ z4f||m+rciaY4w;$R@+hS_%3U!RxC%ze1TJ4b1y@6E3&fFuc+!f%X_vP0bg7q`k5uZ zyf=R7mcRCeI#h9@e6Su?jkC7f(;*tmV7$3q96 z319FTFRCrhrn&3b9=-*fAWN5hlOQ=DKnF`Z<4gA+>_&GxrsG4&B$?q7dBs zvKp^ zgIZr1hqk^6w^FXu6%SA1UsX#J_clkrt7ao&6LYKN?6U~RJN(ue0*yR3#>TD41& zt5tgwZ>ywVR8*%uXGUKj?An(;QBAm2dwIY%c`yC(y!?}j?GC$Y#)dUb*QQEfSOG+z zHVIHh_cM$F6WyD=6tv)i*YwLsZe@>2Jd-|gRam+Pwu=fiHy#Rj&63X zYHw;=0}aau?_b-$l+1n3ReTiBLW&~7$7&Ox6EtTo>e*QO+cahQ{XXMtHc(mjd2fow z43Y+E;P&~{p}_M_;`4w*zNd}?dAqLMln=Q)aNq^sI@BS5!I%8Cc5S&CP0ZCUP~7&M z4p$ebn7g@Ro}nvu8B-H~XTdz8#aWoF`)S9T`^V<{k73&st=kk!+Y~+TKl*Y@Ybi|9 zQ{77pC4TV*s^GqhiRJ;min5IvgdXtl+m90-z)>%*2!z)%ysk1yHNPw7hFkxxm^-lq zlg1VsAN)0*&}$Qf%Cs7(JR>_x0nG(P<9POs8#mkg_UASEyC&TXif)Eb`LUQrTBIG zW#d=sRu8mnK7SO^jmaCC|1onj*LK8KJL{<~>vqfV8;7=AJMY)0KRE>)PShh-6d`lo zxdkxZDSDkz8lGicZOFGL5JF`L)}Nc=3)Y=i#5CIR)d3eI$%$#@n3We`_kHnM5IziQ zj6H^0CiT^6$oc)k z<&`?0&8lt_xqMPd)^0l-(ZLvff+&w0FHIiRt`FoXI|+BvI6ld&51R!kC#bY>+Q=@p&+eAy<1fUd z?3>p;c?$T+zdwO-L4bgIfRj^vx%4cnqy}lr_4NQfhg~_v_BO_lgx*)~yG-0 zVd`|T?y|6)bDtygAk$?@$-D%Ad^$Ns-%6jHip0n5=^8{LAg_f;(~A7jh;(vof``ST zvVsRPn=$_bkdAB{hMB%@009T*_eqeHA);PTt|>6f4&J2)jIThQ;$r2TcG40xVcvre zy#|^c^K)Oeie#jz3G-SW)Qc;8F-zCP!!lE@-um$ptVj}T#V?ahuzgJilbU9G*~IZH z5z<;SD*rGUJ-ulHdVq7LJ%EPWlTe>%icxdTFEQ0{3;L|TE8hit6vF!kd*x1oo_z|z ze}sNgk%{PfSp1k}NoRsi#c4We!B%Gez|z-M+*t%qb6IW3+w#e8tcxy8pca<{+zPSH zF1DSJ{88)rk@@`!ot6K6W_;4>;O@&~Lc`c|2Y#3z6-EW`m-(g+?DLQKvjQb1HrT}+ zrdtxdvZzf9S-%wfzK^$)yJ0QVNHrnlN8Xmg*MgYYZT?b(rx4gM%)sn~`P?h@z-uJ6 z5tqtb3Z4wdo@>KZQN%?Ajzh*qZuNkGhdc%Ixqq1t&w$*@R+4|J1AnFl=H8EN>t;I( z%p5_=|MEOWDz!$#<=HSQOuEoGN_xVLHlyd5MPfYt;I!;1Gq-4N^W5>5(=#3+Q_7w# z0eSi@xeQTnD$$FV7yqHC%IexlM{r?B=@^J}?o_iDt6-+0pR2LKHSw?5>gDt&S3$!a zyp3@^QG(Al;iAsS+IhRRw!)U-+m2#%VdN&<1gJwl!?lnGhHD98tzM={_gp?HD8B34 z88iM$4BcU;Gb8ni?n88@y&uyK0}i+=YkeBjDf4!S>8_2uU_)*ePOxh;vVH< zG(2t0i&fj!9i@?=sGVe!1#{GF91a}xG~a4AOu26skL-zhap&?QD*H@xN`hRbJ789> zI|*znX5~X>E@Sf*1r1)N`uRV!QVdw^FTBJDJHAg^7EvtWU}TKGihK+m<7G+>=}Y0! z_402mKM<%J<1Ow+DlZ+zO1~2qbTij{Y^tL zbaFM;b;B@b@rEqi`DWU9TW6N3TW9jdPx6f6ZDE>N()#5pXupVM);vYx?JsL3-a6N=G zOy>1oBi7>)++)+iC|59PD^s~pEsQ5W4h0AC5gd-qV~hMRCf*qRFS`LuNL5ZuvEc3m zTfb7kZBhJn(4`;)wTWpS?S0^C5*BW6%0Y;rI$f)hqnUg|b6BF=e7>IUfVYp?EGQci zWrZ9!T^$y`#ioXj{524C&cgZe5Jx3(8H1E3-1FCEJnq(Z2iEt^y$XhA7O~zNFARpf z84IpC{}G`oT#*Nu`wl9iMrrnw25D1oyTo?{c8UGX;3c8I>WfwR&(?4Z9(HK_Sa{#< zTu=equAkv|s0}Tgncz8xbS}v7qcE#n!o}k`|dsgM0XTa*0TG zDRpLgHv4pGWo z>%IVIlTyf1i(;PKcTuH8rMbzh_%jn4k-ySsst0BB4svK06$!7^c@L(a=X|#LlICVi zi45L766j3drin%^3WQ_7P_T=>MtM52Mue~?`0ck{eD>JraYnZ&owaoFTUOaDvn^=8V(vDxI00E zyL$){+}+*XB@o=*VQ>xZ?(PJ4mkBO|>o>Xg-SzJK?|c7RHG7|Ps;g_dtGjxpx=x+P zFQp~7?UV$i?SJu4h;q3iYn^qQut@dAdLv#p!h;(H?PY^hVJ zRX%N08E=`>$X&N0k|I}h8BUp0=~$k%BG%hw(b4*8A0G1e*NmHp>111e^$$bdb8y_?2|wc40!QW*-ZDdEsJjVPmd?7-7~%< zUx2ckjeh;Ff=?Bbp)g%HFEH<8Qa=*yUr@ZIH{X!%y`Q<(>+-6C*SdF7G@61#!K-I* zH-M+tuZ}KhsHyJ1AX+RM%#)FR}jscedW*TerqHA4`%s7)7{_6D0k#F;eUAKUUyw#6DZzixW& zxPy2H=ad45!QSc8@NUxZP5VPB;{&L^=J`TP6qnkSDdlHL96LjaV#!sVxJQ~ zu%q}~hh|^x=rwUWkayYeK{%*q39Hv`fL9M#Og&iS#m$vb>DH!JE3dh%Dkqi#xsK(}4?*VD7fvV^^V1^YqS^l9Bt3KNRy|A1vH z-*yTPOwk%ke6=J;9{Ae`U%<(MG@96FMXmto(v)3fd2^tD?tI60agC`Kt9fDSpw=oNDX4=y5|9-w$%ddb#0#V;b8S_qvXS z+(}1HVq$4aw&|-&4XI4WV0tu83+JemgOR^K`-|<6Ry)*EWO<@L8Yr*Q!=zg{(T=wu ztdyjd)}6 zs94UsbNDFh{g{rq?bmfOP!%6es>_Z@0KHVMt8arnxe$&*m^luow_9Bg*FES?sO}m) zKjoy^uKkTub1>*iYQ(2yJao;cWi)iq^oQW-wP7a8QRmH-!>ug=$~|btPH=TfBJjd0<z;pOlyo z1m0bt>5og~>9mZ$tvPRh5qiWIJhXlYtKK2+3OO0Esc@hDpkE&I5V4Lfh;W-~(?w=s z&}EcjWMSSSZ8d7UsK-5~Q4^;rUJq;6oPI#w6%ZRxNg)tYU_d9iwL|@fN~iYSjd344 z<`EpKs}D_xX~}C*gWIURm69f?K%xJ0>=8|oF7udt`TNVq1zp|a_N8g>W>agjJ;eyYZ4zd>dUYoaj!NXCq++<<(U5VQIe%@Jx z4o^Ah3o2>o9gn$>i+=5Ixu%&%Bza3H#AAy-!XG@ZEeXRvp19OVeq3`A?&jTrDnln> zZ}^(7Unu;EBS&cZ#&`vthlHYz&D5=S!b?0Oxz)y+aV>w`B@an>!W1c>&lSmg@kUDD zadl))E!*w7A+^NXvn{?vo0swk9FC0AR6%o*kmPqP=i|?YzK?}p1V0yDL(n9neE;Rc zOqaZtd{Hgen*NxSnJ(W-`VX@F;{{$r+D|V82y%>H+Jn;36}wmg93x$1zG0d+yvGWD9+{dXyP@M-Z8irWbw(Ya`+_d?9dSfp~OhOJox@8yC^fa++ ze+tEQm%{Fc2o9J+XJLsT`JkESM2AddagDd%6j9rsoilO#*fKo1fG~-eyg9A-LCoSP z&1iy$mpW$r`iJ8Ll<^;OXKfLQa9hiK^K|(@WTRv?F>11@zGNH!ultYjnY(Gekts3m zdA*P4>8rz0!##Mh9P;us%!Ti34}KW$U$W~d?2}3mz*>%o;*-1~JV@-&V2GM{2P#71 z;pZ-zV6I0HirbL^3Cfd;r+hpzi9%ujN6e$kuWimb=j$bW&RS$@g(KRSD^dByVuuAI zp_rNpDze!ZiDxV!RC|M%oz&Vgz(`47mRtE~Tjg)7^KovzZs>}cqVtpJa%9H|dP?zB zSe8m?*&3H$6O0lImkUF=j&@|dJQ9gF3;CLzljpM5T!1M=c^T>-ZL;Uecs!|7f&Cyv zj_F-st2)nK)&&kw&&e(MP$xY0TOxNvKWu8^V`x(HcL_(2{V!zz(d;W=f*;>pf-?DBQA!-qvc}+H*pwwRn8bjZF>%|!;5%AegE;Azh92+(gpM5h)XKJw>q0w7uhrb z_!2P16ZCx0zRx!;uUv08#gl~pxP})8XdG>Y&#L~N9!&;Jw6*M_I*3%kra4TRRjdiA zK2UdB{Ax}XfHdJQzETVjqdld!Y)E0tGt5!D@p|gccq6im4ttM(MkyEG@tcwb$JD;N&P~Eldh!-+nK*FCm_CMI;iWmsS4*%Ux+n`A!6I zk}=C)I)X6a?4Ct3B2lsDd7fSWkq0M^4IDix&it82IS%;BBb4h5>odr2Jl*<}e{CA? zCxC6_(I4<>1oQ?5u;f{44s&fIG(F(V_+fH15&$tmJ#YT(iJfD9M;#jnLgI;ybNA@kVZV^aItVRl?MUAb9Qc~?@TC(}$iuf9=ggdZ zW=q5B^o1_Y34(?zj(vDSVgx=Y{hWVNUGgV0!yPNE|7+?Pyv?46=wpV1D5!(`cxIh} z_%Pv=XdFBwqamn24P7`sg1MI_K%#g^CFmhI#$jNtXNJ%c{y5ceb|A<1IYJk;dy5?O zEWoPT)}?=b?`<;DMtXkg`_xItvn%KQ9_>!a)DgW8wyT71UBuHM*rX!Zq##tJBHT#B zv=u>~DfyfI`nj|Q*nFw(iqza%eu=2n`w%Amo{uhoORe;-HOHP_2v6~qIgX&W+ntT= z9PZ4|go`cWGIXn(T;=p)3ytdf{sK;V{b;9~{4R{|?3F8K*&1{X&aD87`M$^0h%AA~ zy8R>`rXzb?mHpmh9EOOxpZKnQpP%FJ57%y;N}7jV3_o4FTtB*X>kd6%da{iKDuJN+ zkxTge_^bGJ6+L+cbi4ez6L&O%?%~i`SJ_tcM8Bk_-d`MC=}2vo3K$ZVIpjC}$hJ59 zB_=Y7*tw>}HsIY?%Gx0vg=}H3R=RnRVkJ6^bioIF+IXmZgw;S32F_o9(y#i^MFGM7 zh(-wqcZcDB>GYmq#GH>z6=Hysx|Rh)6=?4^6?5&UobRrc6*^q6k_0W20M<99VSq+AQ!_QobcHB=+&TvUvd+f_L9}bqd>ot>d_9&77 zR^fUd&q=Tkt5C~|x<)K}?XW>d+>&h32e8(E0^K0y0-ZaoW3nTC^#h!XST(p?&~5s{ zc<>zA8-*UZOd)r+lHh}&c>OQ!$DsSVX)wN<$1Q%A#ie0$0xmJ5_b@g*@^22s_TOd+ zI;^X=RP{AtRsC|H8?B^uMFW&YZmZ}*mmHI|Fn{U9$=*I#!tMG>1fAyamV111%S!Aw zBCR$>N%uq2!KoIZq~Bv(8hUer+ZMy*MG{Hl7f3w2IRZr}aDPt2+cqYeWq2sf*m$Cr zc;2JX*DpWd8s z=1qfK&$k28h=&0cXA=TpQAXcQOlE&l8R`}(OmdIt6o+G`JJKw=E$O?s!beM*nZb^;-_dd>_GKH@s2B%zy2()^362py}UxYa4-juaP! zRUeF?W>7lV=PhF2o$zv&#=pfl8L~50BYkTkoJ(lTWSK+^Gn`lXR){}Nz?_m;Pd6+c zK{>IEE9`uv6_ZkK)G#krxs!$~(ms!cB8H&Yr)#<+rC5j0j_GtWcy?V%{fc(1us^00 zs>DS#^W8D|ysl^2e)Q?%4e7Tqicw6Fu;qf!nzK71+15~TH zW{T5coha{@B7zfoO-;dOswNi6vzh#X@#b0F)`NH`1wP{CWb8DI^6H~s`F#nqfjiC} z^6EuCRt>7(ik|1HVJwez0UU#P=6wA-`JG#tVAfqA;13qCj=$Xod}Qa4yE@lW zU0DhCCHreMk4;G%~`WQ zN^A;@*G4yENYt~d#^(BPf|Yxnl*g2NX-g(qCrZYStUduhmPlG^@NQNrqeOl4>;O!8 zu&6L`dC-}|4bqu=Y<#MAs6`^ctP1Q2% z;*5kR?E{WHU37L~eK<0h3c@+$*3(7TMIarf_%M|<0qFgsYyeB=lHsrH2lP6mZpBjs z2SL%VYzfWBq@1R?tc`Sule&&t(EGWrziRqxO5eAnXntX~N$p5)yaLti-?Y`t)?Jcv zj*IVh2tyN5zy89AGpt=9gyOhmk4WY1TbY+Cn<=1$ACuCmPe6?Bju=xp2KyyZQ8^ST z>dCMA0B=+WXqwP1$)lzv*@CB~We{>2r#;fKMJ{b3(UJM0k=BitJqzL3ct+rr_LOMm z3iDRVSm!n1zIX@BF_GC#A;5H|1#lJw+K=HC)_o5B`SnHxqYZIl12iFs0AYd|0sS#~ z%A7_K?Rop^!CsMj1yyb!JY6BbseaP(XHmPKsk;c20{8X>ra>U~(}L zTOfLA&bKNjQHlD-@|uFRAfHO949(D28E~?mIn{V%lHS&tMLX^+&=_M`%{&$S%c(cx zsEC^b=cK?nKYlMn;yqD>jBcGeeVxBC_nS$k&oLJ5|57Z1-O5 zL~rw_SVz07Q|9X_ouI>}q~{-z8$GK*23mVt9^+OH7S8l2PF&b~m|i_M$ctn%7{twS zCXbokn$~!c;#cei3YjPe>#X)x^UhOvOa*%#D0_BIfe7c_7S>jTsY>dZ_ikmDMcNX* z3w08W70L|SMYE<()@2*!co!J{LIi=OGN|jbmd!s_EAv1qzT!5Vr+z-_4P~T$&_(pl z)rVwayL6}J7x2EMA z6tueSkYZ?LP3tsQxh6fClA-&a4~;0P*D!`+^R@U#Y1s%J$>Y|$ zF%f9nC2QndJhg?fjP5S}%xf=G;OV?p)Kf?zWk_?qb!jIj?cN34XnKB=+x#W5?_wu1 zk+myl==!BTbZj$oY=qX4;JvY~7XQ)cSOp<$wJ3CXrK1SWL%%uEJSlcGl90^^{NPNN z37Y0pWwAp)-jvg@2c8-k>4g_B4-2Zd&FErC{d8Bf8gSvxS{2KDJk5Y1$PaKhys;jg zX<%BD*Jx9roYa(zQBx{&rr=QaBmbIH!5z+c$GEb2koEH*(4)SdmPcK(f$U=tRhEuY z*%@WBDlScZDAz?<3c=){<-txe(R2s3#&>&S?SaXKI^jg9DUTUHpgi^dhHSNkP4(yR zw-Mc~$?8!djXxUobyX0#s0jp6NE?GEpEAN43^r6V-t#vOXICZ=ke1%uZFFqu*$ISO zsf|8smw395sk>EUn>z#9!o8(Neir>ipnXnJGX<6?d4rT6W!6*OyT_dAN!lH;?Lx$^*`#TBizZ#E*|v{Wl8||Xz)zjK zlV>s6g!c>E%n5Tp<0Yf-8^912-Rv?rCi9bk_*Ou z)lXQ|w9noP7dfrRsp=2c5lQV9$@rR84w^Pi{EY!-y^Ff3^$vz{UZc~w>+Ulm`MttS z{?#V|)@crn7*&zjOs|hywNoXlnx1l36GByjxfL4nL5q_)=tn zKMu-9c127y9=?@38q6Tmhv%90D5*B8erx!Ry|{NXre}Ll{U-`Ewu6)MT0HitooR{g zok!Zsq484RDoY+eKn{5*xrCW&1iDO*5$;d-xYVYf;H$PE6vH_~b@Yqg2lg;c*XLu% zT&rWDmfs~3@(YoN31Su=qCbiVcxE+SrlIZ9R}qztTHciYjo>!J{$pZCsxB%Po`KEl{jyE3 zA)Fi6Ne-6tE~j;|#A&X3>8(w7uJ!U9;7v;nQrU)TBmfXl#wTmyqzf}OHVgix#&oG z_W*o8;Cpu^wU@O1FVEK4oLDvnx|`RKHcK*YaX!KB^>+;pQ)v9lS?yUht77o zR8zL!`t6p$JCd1kiaxQt&TfeXG5h)wZoYf51KObnTnx8(zAPp7?0vAnoec0Ai3+u}DfI`)?At{p+ z2Rf4Swxy2e0$-{M=#_WhjQKle7X#SL%cO43eA|4w0iKi91Nxge-PDnZHCHc$!*jad zrupnqQc>++Qc!^@6ax?r0dh%JwDMTv@N+(^qjP%MRR>4n*>(pK#yl5+;$%kc8fzO9&q}HR+`Ygt2CS;~f{ zxGTOdPXl)6V?e&{?~FNuXD~x_vJACxxSjA3R{PZ_kbwItikF8yhxV*$kxev=>zK6P z`U627uu9JAVOeO~5(K>3V810E2L!93SnnkUI}VzZDY*FcsGeFfCd!7HbPl zH>qf}$^0fvkwy}{gWc);0=e@_1G%$d3AwYt?vHEji5uyKTkefJUMO!jX10#Ma|B5t zivF22;R9a$C2w6wRFa*z6c>3>X8f$J@zBqmhtTtSAc0tdmbW8`D7--IN397CUAo<3 zYq52g%CO8TtgCG7c6LFSo|{8c8Fu0sFX(D@GDtxmZlP&op5nPQeZbW*$Y)601aaRG z>7Oa6xW)9;JKhl_HZ+NY$E9zw?(j*n7QWiB>lx$$_>cmlDa3`DFOIFQ9*SPOaDBU@pOYnIu3x`ijtJ_6Lc% z491<7q6>@cxXHmj8-IE*X=ogPyz(gN>|%gS@YR%_xV`C?DgbQ-Rj@j+$>k zD!Z&|aZDMlHQNG}oi?rTc$qfi^74)xj=WNydG^W0o?RfrfIU6KZdZdgLq@j9(OIj6 zM}w+T83Pzkra|lEWi&v2*+h7FEn?8+3XcAjXgHIB7-?m$5ysb_&w=M=HG3iznyjv( zV$o^QOpeT?N^6$p**Q1gXFyam!a!vvj#gip-;q98%xi}8x+kdfMxyTIbd=M7a69~G zMm8Tr^r@{}_oZ~}S+V<`e52g~XgD2;g~Y^0Fp*?!X*yw~R?%Q&z(RhE9M)D8)joLI zD163X`e@6e42)63WC+P7EtU|Y-RQ5SnKOuVRBk4oZw5XE5L5O?AsSx@Lm&p!y5}mQ z^@>XgNF!qn+Wic1geG^5U`c7l*4)e0X&Rzls!ATs#6Vp9fT(MY6r#pMZ+6nh0}ddo z^;DnMsxLo2^n`cCu#HfEmg|ZTnfIIybZhw1XH={+?rkl@^Q^OJVZ=-xSOXzusUxZv zrBRL=k3(KuWeAGwwdu6b4jQcBw;%`_l*#lms3&r==()PJ@PXXZKpASUnU)lQTGj}) ziArg26s^4R;ENm3(u%52v(%J`ylERUz(r{9MKsfn3?bac^Ri3tJ!Ml^Q-~E2_~~AX z2mY=ni2F+rkHNZjWxjuWN9I1PgzEah)}I;V*wj=3db)Jw95Yh^UMc>cgR*9)K8M=x zBJp~Eky=$ZE^Qi9+pE^TooYVLvOk(K&A)jIQ`(rZ#}@sRGgk!qeUYeaqEBkAK3lD- zAV$)z#YXJ>60xj(yQ!y3XciKlam z0QSlq=xLPT8}oq%ykbl;>iJ?oiB{}gcl<+uTtDEy>@i|@zTiUtRl`3CL0dASS^z=4t4MFTpM`Vi z5xE{5ZgAX2$Lx&NcY`;zmjz0?*R;liR=Jzq_=b9&Zti8ipvI11Rw=lT%LG9^kVeO| zSOag*3(ubBUp;GL_IJs?n&tCCy3!YTeI#;{u?IcJL{f#~xA z=4b~R6tSBzVyr+73g`cmj(ZxvySWR3w^fW0L;n|PgRP=Gx>Lz&f4(z@b}DYya3K&9*F%O5SM(S*_hb}0E+n#)tFc`ItRFklIhO%gH`1b z7qPagMR)?y6l1(8#~iCgAM-C^P9DBvi^<9)kMUIjn4IaOd4`kO)XR(a#?qa2TM77K zr(Bny+O0p!;4^EdUWv$6W2mAx&{Ur&E(Do?Ez@XPP>dK6nlRIwxS`Q`Ip zZ1eaTb@-lxksswBa@FwEmSIgJ>YnEKLUT%lLSQ?HdRl9JbL%uv2Ye|9(wwrTIr)fh z*U-QqKS%W#=Adz(vO$LSgWbga(78|L|0#|aX9svg-IJ#FVoM-4DH+w6Vg!0av9x?d z3-l&pX(<`Hf=)+j#B>j3&+x!>leMJ3qCSO9cWQ%DY{6hTScbOWa5#QrRrNp%Jz?>~?))wv>w zXILujUsO3jke23DIgt6ze4rK1g=ad}h;FYD8_9a!t;}#b$26ro zH%x-JKP0qe16pMAEPc_T6Wlr0`bW28O#HXoNhJ9DjQGL@?i~7hp{+Dd_iskY`R zBjp3eFoI>1X(V#inPt%SRI;f{Mn!kQn|ZBDoO3oHBdRVho-z zdyHWQgK-m042*8LFel6$tw!#++^6DDp=V?;KZ%1GxPtxuB8(rg>Mzo!_4z~r1Z}(H zVc|QLqh03ZF{80T!boelra2{~%|vL)f2hX0pW&I3@`0I>ip|<_LW7#WmK32z(U9H3Y_EjR|>1 zlvU2~0PFvOy{$iszkK`98Bx{u7I*<|kzy@E^qAh_abB zg_$D$Z84yz_rGtq;Qyfrm_GP_;?(gHL#^_WmSlXPFLppm?>jR>@D5h^Mz7yhp)@$A zx?C9?y1;EiJYiU1gpf(5Ug-2qqEFNih^k+(!~7tb%;i>y^TPwPO5dw8Ov3QfcP5rA z>WYJ#F--7{*%X~eo=?3!AZv!el}@v6QtXhJ-uGY1J}SdACh=jQ?%w5R2aYBG!1|t@ z9bN~IG!(!d+^kmKIM1!g)UPkjasuhj$D=xx+1xMigvgnYzz5<(!bD1Bckm4@BRoYU z!FpwzfE-AU*OocG-HsNxfy=@@L^@=Tf(_Yygd7<|vu>Eyw-Kek^z)fPxn+x0wUx9A zRylcW&zvRr_ABo|zW_^@*tTJCn$#IBLa$&7&8cyEADEg8+StAllU^eJq~^E&46FT_ zW!WmcSCDGoyVf3o@Xpd7WF6*B$dawaHUnViRU+6h(AvY>3CD%f_wn*z`GN)woKwJ+tKMkT5(e z?z|@sZp_ph_@k)NeiR%BR>mY@8^QdmbA9)#IX;ee#;T1tJj){$? z{19@gQd9guTR~HNsp|9121~|J+X&%P78!R1b|zk}?q&b1cdY7tiGD*v7;woxO;WZkY9#F*aBsS;|E!_==2ik%Bd~eJY4L>@!gYH?mp*6 z5kJ&&zO!vFw%@@sME)xjKgt1oceg-QYX6x>vHfKd;zFa?HiG|)AqCPM+`OtDkT$aH z)|8w`oU1-Zj0R>)qL*BSjwV+t55ksoRd~K(7>gryNePMTYpxnXpw=6r)gxPr_%^XW z0kj=j%eRO{6eESL#IHZ(VRr=XrRIC{)le6#;7ipEg&W(T%4ErN+uq&e-p|(GGVwO> zyh`4wbO;7AtO}6=zG^6`o9Hf6oF~87vJJ`i@41u+&QAdQkCuE^9@*tm*X@8O&etie zy6E;q9nP1Vz4gcxU5=NPffs*H{PI?o=EhHkA6q!T+oQfA6bL`i1wigp^B@%d*;%@K zeDeX=mpqfK3{;(6F72K15Q{(M6AFJ~`@;U*CM!NY{51S8MdUyefsW(&FB`o*sGtLp_KwI5dE%;);l zXt6pIjD(_`I6FIa;qMRV7VN*Rz%h50dbGe3L2Wo{=6duNUcYb3kjkzc$=xUOtJ=`X z^Leh0E6-%f-$(OrjLl{7Z_q8(C;?Yp8;(1`0eIHz66|q&qZ9>nSV})4!ruPw%OwuN zo$tpA^nx~3h;2l)`a)9jfeLsx*Z!Q0pAgs+FzuU@G^&m1m7o0Z7;B<+dL-CpWc0Cw#U#y5{01 zUVDFdAK)raep=~%R5{@VR}Nu&nD=saExrdjV&6A(=nLug&9<+<8Sc+GB)2x_``>>U=l3$=oMTvR{^FGD_QsY0(hN|(!eKHnd z94&(g84G_Fli}wwYD+9k(NwsvrC@Lzr&bGuzmkwCr7;r8Lc_3%O~ZylPerpXWAB+4 zE_C6UC`j&|pR9nie>RL_ zJ&jMpXLQrRpv}*>?Eff|sf{Tz9a1fki(E*+MPUz!sXcXw%tKH~8aPT;?(X5SdBV}%Py)tBfbmf%yp2rNW? zWQDPO$%X>ou#JKD$eWWb^WXu`IW45pMJwS(HM|8aQw8hfua&B>U}gT_ly7HfrH!j? zTDC-$R664!L_t+>fo)@m$3rZogFU&}xPe*?h*p%$E$SIao3=2DwlEM2*cb|DY>;KI z(!#e+%6s%&9Oq8TW-1z!j5<@l(Wyg%p(7wD{EbQ_b8(ZvYBh9(Kcm0VcbU%>9od~| z)O&Hz`Pie}6#k`RtjLm|Ga`>Ai4482C2-3Mvk_rXIo2l>@I?EP6u&_#I-AVL2~&{a zaZsQ(eiuiA7iGv-m<;eVjI;5~twi~4&xPDI_SOO5D;rSvS%u}0HtRVfQ(l#<)r^x83Fd!^iIjoUTqU_l;HiDrK5)xQn0DR2i=M?BzV@(%gmFd zM@oOTil4ann}3Li(UP9pJha0Jh&ZTq*enI9Ww{@Br~|ECt8$uEfqbrAsla)~!PYVo zS9!;(V~H*WK>1U=Iu@|ZcR%N^+0*N<*;xE^XYTQSZ%?AcUk}O}$%eg#!QWbgIW`-R z{p5t}t22bvga5Pf!^wvfiR7pQ9_yiN0Eh9KXLL$YT|!ATzwLqL7kO{bwv-YA&$8_H z#1g60{htG^vjVMk*MOHSZuPb=(==1bwh1WMz%kCz%t&WdA$**H*0L?N1^e>jX4TuZ zvL{>B*QPRGZPo6|f0^fgNC@PRu{l;{%d=#2t526$C<4lXbIW8?$vzgMXyAijj|uy` zD*^DXNTTs}b=C^XNRZHXbrxbnVE-N%Q~>vu&q+Q3kFTFVmXHPC^fTz0T}Edb>a2)| zWEga$jl)FyiRc3AY<<)eyn=|rp+|Rg|Lc>SSO{oOFe-KJ1aqyyksrN?SO~fK>g{l* z`5ENaEkxDUe-lkdPmJM?7am|A+8qMgVF$c}=by4m!>HN$5AQ79`f@+UhQYi@3_#MY zuNM-|tpBkS>jmlWd0ein?U=SrzG{aqm+D+aal>F9AYb@h5yrTXBhp--dHmoH0o{-5 zGc7$|#d-!d(z_5H!7ALJ9k}ES=oZArmOnp)6EN8WpPwHbTio<%=2MW0DlH_*RNgK}jZzAp}SmARqxr|<%RP?AIv9+ai$6A-`E9y0P>=VfJnEG%zpr}IwW+|Y1* zz`$Cd@#FFy*^RL&s&+94c#h-dXX`*4M6$j`nwTPP1;}Vvmj{`dTxc+&<^4s)JZLxk zFLMsk~(TWZS&CgYZso?=~Rxf82H7L&x^5<3Q2KSL0s0?yG5)@DGLu| ziPb`V;l1(a`~FaBtlS}y%3$74x7b>rEUf74>0_NTi)V(dS9VV@yI-&tca2KLNAC9y zI49F{T#Eb&LJHohjre}hOQQ(tR>#gIivMvc=7;@0u}V&5c7}#ge-{?6$@lA>eVxRV zklKV7M4A~JoE`JJQA@u=><$O`z1*ge zN2uYt)EN9{+~x#UXt=4X?*ZRpN3Y@sg8d!tO%E^=J3+7QfBg3?eY3}|ES=EpjiAYe zr?_*Dspk6Sr}x%_x*3=5*Vw7o|DJDS^`tS>f1)t$P%P0_tnOtIIO6T-bKOad{JIoFBjI=G}4{W}6 z*!kxOq?jQKXyZWZnqH3OZHx2|y<}=73vf_j+gTsbIxs#5xI^9{2k3-;B=&FZ&nWk$6nUl*KRR=aO~h4cP~_G39_~7tA##YlhswX1+L}=kkVBR9e=TaW z86Uu>$};#vZ%cB6-cRs()DjS8wxg`1{K*KAC`O+oPmF^T1&yfWdz#SB@UtdUyD_$O zY0wOgP#9Ds!O|7=O3{c@K^PZd8dm=F32 zY9Y&r6uC(+0*h<0U>>Tn`LQWjw3EsD6-YNQ-x~HvmLLIy+qa;H1j!7h|$-YP7 z-xMr=hf8+b}yBS z{dnVuJ9NZLmUDqJhBQ~FK!_p|fB&&)w1h75IeNO}N3w+YV7)o}M>NWez%ca5ucF~* z8~jzVB;6h)%6h^cI?5$ajwB_>9McD1@;z?DU@{?nva9E8`}u^;SfH89(E1J-#BXZw zf+!pPyz+$ANLQyj6)Y-Hy-Ci1depS3aKRS{GhL5^QD2zM_&r(nMkDd$^(H*7jfN6& z*s?p)uJ->UYc`EH^J+g>sTF}mzGS~fWMYnHqXHG=B4t1~@I6Xt7l8%6fTCSQCd;xc z7u-S_KGXyA>BVe+n+!hTuX(KKuX%t*bSZra7BfF*svHTO1$~bvQRHUM6T29dfhBv1 zMpQb=JOW&X6Mavz3|uODz{9r!TyD?L!>Z~Z%uV+h?Zi)}?J(ZZZg4he zX~XxB6aLn)&ObA2iF%xJ(`yOi%;-N#;EhAr4LN-V)Dkk|B*UgH^W5D%aUz%GKuvxh zOrcnnazNA;AhtJO{ozP=kH)|GXV4lbgfc8NAP6}$-GKPRmamqn&;VbWFnew?Eev~n z;ELx`tsmFrQRgjrWuh~y-|07D5O&o1v+(=eHJYu7bJ~ly-ekpLxNn>j>(agUvJkmS z+z8OqNAH5XdB@AMec!T({@x>#R>w-*0eIyb=S|O{YuAafzT?UFqG(q@v+TUNzsvtb z$W_TLD+FRpGPQTsVsz4Byk?ab_%&iO`_jgZbYOXkcrbd7ctCT7cn}UkJUF^V{=~vFDIxR^7a$bk;gxnUPOCEcxUW zXq6j#(a>wnZ?ijFw9=6246qw#jo+<-J9!7l~eZ;o;fivYW+?maV}UaHq-Jkie#+qXQ1YcE%NKNOLmq!Gpj zsE%Dx8#wVJfMrOk;ewx||5NpM``w{n%+AJ+YatQeGx`@%$Nr+hKd@$OdF<}JGuVmF z37v6?!uWHrSUq~6KPL9110t-FBfB=(Aw+Yi4w^NEGMVK!a)cBT?CMvvs$O9}YOO`w z!FgDyv)qSugTZ3Dp-tqai~F5uH`$>o!pj{duhg^qlUMKpjK))&vv%D{Z-r;E!^6p( zyw-3k<;-_@bi86iJ&j^t#&H#7XDr!ZRg}5?qaYSAoqE?yc?k z&v1x(gCB%{hizO`&+oIv_tz51!YwbKZ@E*itiJ{?>4Pu0%dms%Pzm}}A%3G)Kv^>& z&7ay%RZjC$)w-?Rm2U)G6>#t7{mkdZv6XoEWbgF}AQDC2Z1i^fB9=K9Aq#A{;ESaz zHqoSCTEdKMAF#Zrzf0*CihIDC*6BG^w=}ImOvIWZ5g&ChQ|!Uq z^Vgte(~1cognXqih(I-p_}0%t$tr;b$+$_5b7i?H#}@R5`nnp)PT3&g^lYrVmoSC| z9K)90FQ7?tX{1ZsjiatI-cthlvlzBmyz;U-sXK?0HaQnZ9aWyItjI}5&>{zXr8T;?pA1&NM(=|3?rNp zg9Nm9HRr#aE!q#FX|7^B2&~&%`dX?NvO+QTLaWzL}jZz17H*qPw@4*a6UZt~_+xN>(^aJ8?f%?66fZF~}^XcM{n z8HU+gvB4fN*#9aPCD5~h{`dxae#3 z(6^R8KbM^5KbK1NZcV-uoRp4+k`Rx-;@@?fquoDuIY{K{y*E;0y&+wQ@t3~dk5umF zHBobnCs_DEDfRyO#J7#0|?sdgU|vAgfbJ+U zD|&uQ8c6==k;)1)lj*{#2E3OwhRZgEJb$Z@;KBHOy&;O@Nq#Stfx3qNiOmDsjC#f? z!59jhZD~m|>FSAeU=r`@GaCW7+xeN z4yi&bSdzCsYl4+W>aNi7Tcd$q(U&CWZ+bE9MFZ7cAv+0%_IYY`vcjC^V$L!FCPb7W zA}Ia2eqL#jr6#BIXEl5j;=0a!&yV*cLKKUg@HK?SzhmJUZDc zXh{2VZOOXc%=WNFfybSXe>IWYOn6E&W*45CivJ?fpc-%Mi@wNKf+`~4Fax0{ zJ90vOJyC$^jd;A~PBknc+CylNx(}*S4j{Kpel`4mh=~Yv&NcG2$|cg9Z?kUiPlPV6 zB83A!8`_n;V8nu&P|gf=O{V$cVemQXd}!N;cOp^cQH8SG^W-useM$F1>`}g8>gWE; zp;6iBQZ6e84u7-AWom`F1Q zbVV435_9P0;p^@tbDwo{imFce&}PY-vau>#{c!NSIaUpSf2K}lCu&GtQ4M)W#~51~ zfLC=0<`?_(TJYeTo7u{$snra0^&)nBduA~VOwP!}Pu%!x03;Z&?QCR?gAd+_}ABs1v(lCFJ*d`Lr zr9vLW6FRI5n{GE-#8?zb0#Cx50ENO{RcbI8yq5f-p4^YG!BBQV3uA?q+MWqW{g4=? z08g1>D7Z{AdA1&~kUy^bLj!pqoC0}M347*>%v0RA|9rOVPi@cww)22fA>gs`()AWF z+0W*7BHYT8A4y;4AcfvaJb`__!WINj2|7b3T0(N(0O4k_pW{5M@njUUMCJ=j-a(_8 zG-)tOZ>ZbPQ)9(-AT62FD|%m-5%2dQ&KI(T_{^It4OyWc5yXj-7P6O_a>BdE4wBDu zQGY^Prhv(tZ-YyO0v}|Fn7x?grNtl&belwypkdB-g)Iga?${(gi_A%ryw7<9*PFq7 z2hVu}5#}ilv!3ge?F!Vd=zjuJ9Ax2xgeP0Ei%(R?{fm~C*1x4-rL9#iQ{DN!^Zw-% ze#wd8l)h=smu9VB;+&!0Ufp1JLk1jcQ!Q;LU~+=VyHXuFNvV@yB|tHCV?n{B3d1?| zMV52w25_iyO+i+txNw=()h`W8J0{ci3Bs|)&R8hJ=2pOzqx)>rX;E^_p9HL} zpK1sm+!v>e?^Z}&PHmSiN063ZZM*gAzl+*pggf%%Aon-t?^UJ?F3tV1UoRN$`D_;r z0Su(RsGbB4?CVBe>O@cj_~#FEC|*y8a9zKCKpOxi7lI)p@6}v(<1d)l@MQmF)9A=h zTvGMGb|-$K>3H}iagX^(QT$BGbm;MV1*n?Ql;3^d!6s&=kY-Vz5I1;hv{?cPShgQ% zSxqOX{r&44OyhzB|BLksZU&HjGu^c=_%wYdv<{p`v2`eUOii{4??HAL@ts$}tLy2F zo-`5qo&6E72Sen7>RsUw@$b9DhN>T?D&DQ~QBFlv8hdAyhrch*+17;cVj>l>zfhdG zU|uX*>rv^-rZ?C-E%NsJ__T{^AK2UflB3E5KF?3twBi&VoL9UsmeCi5zkW;;75r_k zH1&>f{>t#5qEoE~n%JJ}yiNgc2h$8mRH{|HjvS|S8+FAJUCSiV=SAJZ<*!`Pf6c*C zO{vQ(WJ}!si04F|@p5}s{Yu`JHTg}E{S6s-mLksD%#(pO&NZaYHG$AB34@Ut#AtVy^ESYRScSj4!hLF4s9BP-Y#%hPQ@3ZE8M-n$A$j(~N(w1(w zKeCo9;K{&VXD#FLWq<$b(W7uXiY*IufT;VCw;#_bz78&n((a#P-6cY{z{%dBPL9Lw zp+?T>?qR^>r*rFiQSL0Bqb~J>Y`(LFd$rbYLZz;wKX)5*?>qZc*FqdD2im&2e=qo> z=l&2uGr!8n7YLJ^-X!M_*|jzhyX0gAd1t0U1SaIbIs4SG^Bs*!r_3D5@857Fs|s=? zV~cSl&q;AmNlw2r5H3zfv_?(xH4!trLL7;L30U3~s^(Cnln{!;xaSmWV!O9So{?=Q z`m7)4Qakvf#*9kLO0$Iu&Dyt9r?N}u$)Gx*R-;$5a;tLTY~kqEhFXXq>ZMfgI(sxR zX2I$>#EwI}VFGo8n}cfYe+yR+s=9=rhKW!xBc+56A!v3KF;PP*vgx&0Q3@tSbu0$G zb4`Bo8*v@ghx^ds18j<1#YjIPkj~EjJ*ssaF{35ec3q2CTxVx+lyH`aM`IFlW3oEB z!h~ATo$fIk3Xa1rpsoQ<<8S)pZA8zoVb7L~ozEd*!?sLhL>y=jRr0uU^L|1{d=vq* z$PYub0tvR92BYZ1$8;NL(+Z^6avC;^%#S{^5Nl{#S@9AiDHK@iwBBE4rZbQVIME6` zSo*2A|3Jz-uhX%pOl2XlCof81+v{6IAU4pVvJhB8S8f2ezzxRo>gpPer#Cjky>_01 zErbi6hw%`RiY-U@F6?bI4e_B7J<5QkpTI8qBn81D7S*|mrLSi%(fOQigKJu%KA3~_ zy83kz)o|13GKXl1`eF_tM|H!^IB@k=Wk>CMb1Y%0$#qww4-Hr*l$;tfI9UQw1q<{n zFk=p?RT6M4+Fp;RTc^xC$CjFc&Cnne#5U70e^#nbt2^^M1iE#hj?jgI2*cQ@K4gSe zf>y?N#2A7-cy&b@~Jn zm3oR?h%*dJ`9Qz!@y*i$iiigRqT#_3QE~Bx`rS}-(-mf732tt5PUOV6xPe$PGt-^6 zLX4H=H%E~c1Xm{DOnmX#E`p@}&k#=5@FH(^s)-m7v$LrIYS3o+MrJvz_-b{AEL+hZ zsB^M9xWbKEuux;4g;DKczY}WJY)cGeO1j#n(Mbp5l6D7%l z^E<+eu;?yRWWQ)FldB+wf~h|R8iG`i*w-~9)x=_d<5HwR3f`f~P_t2`0M&&SS3yF3 z7N{p7&x7}C2^Q*zEE^SLAEXKvNE#4>WWmkvslm;S_5>284NYUz5*67P1t4N79 zw0{XT`D*;)DkrGcQN)fzkW{a84@puwN%A#fR}$oU%|k?~58m?LbQ02Rni~e;Zm_0I zu^`eu0u;G+Y_vnfK`jDtzF>AdDbctlHgs21lR{x;XM5w&z|-=l%m9JXD%1ji`;9}> zN&Mup{}O7|TY{E_ak3N}#8LE=$?g>1WXJ(O3Bg;>!v$_C+Yk+)9@{=t#+7VfMhn}w z*u?gA@J8QO(TxOwwLEJt>`>}RKNg*4a&sDrt9YWyY_LF!^b?2e@;v6{;E#L@$T*+_a4wdpK`CYHY(KxLH@Y@I+}EEt^ZhNyzPSCNTsiaVNq$fl7Wn}O~MM>@!m!9HgkoP=i4 zWP~&IG%6;AB6R^v$ox$?7*q(C9cwadB}!XJR42RoiAx!+9B&2AH(V_SQRhID(BL<@ zI6RN$2?*RAL9Xh3>`JIg^C|r`XfPRxJym){OV=k@?9vK?;pS;>7E4cQDj$`*c$OUM zB{L;+d%rEOrJhJrgl?SLH&pmClz(hxRkic*AXjDsuYMk0Fg$FIFk#f zci!mjlodew&m<%?x(kOT!tX1MJdsCuWPz8@N%EUX7iiJQED;O}T**I7eTXZ$!W3K) z4_XNbFFGPfsxYNn%90pinIYGvK}viLvX)zMF|)#;*A@HjUu1`r*g!2Ynu@W3)MSS= zv(Z1C3ff?fwIiJU2NZj`2sy>mTw|{Bagh>~l#@c& zAGwOco6Ww$tJZK3w)Z6;%Ud|GaU>v)vZWINi@yhmOl`Daw)MWt5vdI5e1A)%GQ%or zQ$z`lbAv5Uj3+OI!`5?BkHh;eGL$|eMnHpV(S!ky974z(n%{5KKqwPLlystaGzaBDR^BV1Iu%gS}ExxfpbQ93UOn9*f`PVMzD@06 zKahT=_%l=pD4)(!rrgTBG%I`YVU}ignxQ&bMf$y-d1+Uwt(?r9IXEhkMeX{lIS7T& zcJV2~u@-fjhLB(uTdyO7otuM8!8aAnBKD->t$={~b!F36i_wupDx)%%LA?Gp;~Fjx zobSvJdpIbJ8Pm|Uq04kB-jHfS<0FOnqOiaQi!wNCv_7M60fSl1H-styiR8uB!O7S~ z9Wv5Wkk>U<6b}ZnVzA}t68IH0qL3ribudb~#n3eqTKS}vFG||$f))jYD%z`J*+^J= z?6=1Y#j|s@_^2gfst&=*b$FPD$mComvtbP#dZVa@s{sTmJAxZ>3n^>mM?|+W88rD8 z48Tv_1Dq)Xx4FDGAzm?I6?_#uZf(f|X9zvgr)y4CTrLNfTa8SGcdyM?lqV80q-)mM8sFqzJs}LYJwERkYE|GmkS;Q528l%Kx(`0@@e>SSsqn*qz zB0o&{kkBXJIMEEs(VRrKBQnPiB&!^3YJyu3Sd{416toI;u@R8i!8d(g0vRA)7@q9p zgx-)AD#>Nu+=nxsva0R+y$r)Xo^L@eGFll-z|aO79xwkAHadiDR+%Tw-Qn#s1uRFJ z-SWN*c#|Vsi@o{I)^iKT?_D>E@Y&hU)zxYXieKZ$+M`FReZF4ZFRedP!MO)#S@)dp zqQ^_`#Vv#;az(GKe??|0)*k;TQDANI)htab>M3f5tL8z`;P8&*>orPIWi7k{xy! z`(teM_=@q{I>p$-N!s!3Nm|VJ)EK+87`xP%s+GhAcHRzM&oxmN8|heoCi3+;}H2Ddfy!i7z^tu(75CWn2F7X$G;q|H z|GV~SO$LRhXBH}RG3kkgnZ{506hyj|R6EIu$h2bTrdeg9i?eRF40RY>!p` zoj$-Xm3t)7bJ*mvekg`sD~9eYhR%9hiHk_sZi6%_qAurzSE&=sVZ49^Xj8w$>NUe! zURO}R;m~64Tls7gC7CfB>y-bgK;Al_L#d!~^j-UA!n<*54LP{XKPO1WZzk0sKl<2_ zcQn&IjiMHybt7u$HwmH)#B~qd4xp`ji{blHLo#0n7DJ2JG`yJ3Vye@UarC!AJXR&X zH9-zxU`kkL8B>lQQu(1_%scA5!rZ;NW_pkNoor=(xaIk)Vr}9vQG0pfg2$~Rd23M<*?(fzTY0dJw(jBR)P2rgCPElah5 zkxbI=G9XaNgqU}KOro+btHIYwqJl%dhL%ez%J2hpc~;zptV;TeDCPY}m+EvX`miXH z6>`@3V0T9td~FtG{gamo+DytD?jNZu`0?8$+;3sh%1-mXU@hnq=oNIl50O(_5)JuO zah#Vw+;DkRISw$;f@X$z;t_=?S&Ee)f>q9BVW~(s%bVk~7*Kc`%Ok@^# zUW8EyymE_HlKA>w0O1;(6XIibo$(JuH6<$OVhE!DdM=Yw> z`_0hWVpVh?Wl}x>NpkZ17|9H^>ex#HzVC7_Ts;e!hwr(NW^h^?L_cV#+HkU7-?{nU z-pcvk@>Cbhdrvm2c}*?=?iz5o>RyvvfKvyYJK$2(@8Is4ANPY6<&GYMAN!Abc4NX& zNAH)ul_<2jKfK!`igHk*e@o7s|D6x5NPSjBmAYH0`MNcowXNH*c1vT+B-ph}xaZ{N z=`mya@bT*8lKYYCd?;GqMDX33n$9uplf`f`U#^XR#YOqTW4kZtK=GlwV#tkUu0ww3 zwE>zII{MB+VDT3=0K$t1JjU=s?eL$0LgDICZbh%p+i4!6XVZ(ndK7G>4B zh|Q2Pkhc>wIl%Od$cu!=j+1U8oAC}^5{a&5+iuP>;g$DdE^Wia!m&5$x8;>=Nk7+7 zQ0AoRN>%+7k5s$Yy>z*0d%yZm;#2gDL^PB?yNWoCiJ_3zY!CGd5FI|i4^8$H1&{ob zNyNvaP`qOy)4O(VGf-$7nA4>sIl$W7J5>>oJ)k{Q^t>WCn?uoj_5}uiHtm21PG)v{wxiV6k zO9kfU?v9EASAR?hNpvx$_~<&N<`3(eG1sHi+SV(iJ0^xN_f;tejp-snF-W`r&1}`L zv@5?g#(o?AkXFEmOw!w%6JgvX6rXUM0N6drqCfkViWr!^0_aVU_(@mxEx*j;4W#%#}D3$q#t|&haG&91)O}?8NQbS%lP9xXV0S# zNsi(uRI;YO{J`uU=fq8n^X-pI*?|pNxm?S|fuE*bNK+cGOUIJbabtcf1a;k=;beFF&?qt}j0(rN{2IZkbi#N(rd{aQoGkRbI^qv zjqo#dt9(~=Qk@-XNBND4F|stnwlA#Ep2k4TTDnW+_GkNlj9nC$3ejZMxbsV@KV8u(h3H1I5Yv#a8LUI~blIP1I>ElrH<1cto$!PC%VZ7wOPUUPTGWlUn zl%6|%PUaYPKUJ4kujx;5Jd9gxyOiG^@;#GOK#MM)qo>nX#ZOY_$ zGcOMYE^G@}_S6P)>pAw+DADD~p8dD!F2$G#vW&f$oBMb53tDg$|xsw1V+oH zvR)ZS?;7l*3~h7I+Nq1b4jYEZg5c##Fkn&*d$QTvC$ZT8SNG^}9y{V*7`T^aW69L( zA_y!YW41!rD}a17F+owSLHkKO^;NS~513Y#CU#;+jh#HBc!eVR{CSa~U*}9knm9c- zV`a?ik6)enLvp9gMrTyA3`|GWeY1vr)oKRqJeC-#NqDypmG8sDM;F-CHkqu;;uP)u zZ4Oj@(+aK?5=I{w$`71K6ng7WO>2e=>r%bD^ZuN$(Y*fP4D4q{&j8wF1*EFL^$3cl z|B|Nr#qoa;{})GorC#({Vp0Mg9iN>7j->0IiUw?Gy=h4M;n6BExpErkoj%|B9c=N^yTSa_2(WOmGj_&WsOZHPf2NN67D347s8~Eu4ttV2f1{jC6wjLCi+bSvM$aMCC?+Q> z<9iGzjkQETYLxJPNhcdxAdxj{LG4$h7_r^7_^(4-$W&E2RuTrd8seN3$JqTMQQsay z^Asr`CfAv9D9%VMB8d_`(XT|~hWUpQ43Q<4cqLSbW;_?&<6qG;Kp;7DkzKCfM{9{q z-hgs-(4{sAt_1VG3Iewf+0*DCIlN`_d?ig2bUAr3)&V`^jvnP4_l^IUFM>%iD)f82 zlw0q#J9;_MU%IXssUO+y*@f>lBmFeMJk}CIj8smX1!v=h5vu}Dzaq(M1chpL_D%%C zhF(QjKi--2-BiL|#h}>rjU5W56mOgq`=ajaciX)AEfdnSLI{c08|vF!Kpmc;p*EA1 z?3{+so5SCJ^O2+TQAW#9**BP>PK8{Xd*~rC;u6gWf!CD4&9)N0BpdJgWdo&r>}eye z*w)XQ1h2eag&%G?3FWZ9I+$x!sNleV6bk#X)KC=dtTZy@Pr%x`G=jX|-}-Z6lUJkH z;LVnvBs$JF`JlP6pI0a6Su7~Mo73!yOzL(jaiY=5Y78C;p33`Vhpkt{0kSis=mUE{ z{qMX9zVT3YqneJI>^z;g2=t;K9K3&r-=*bo6mfPiJ?)r{TAwHUCGf;HK%8IvWOl%$ zNJ^)3;lxsQ#t8bchY8~gzID)xUSkxAGt6x1wgr0ulRXU1sZXIx9|vs_p2A;Y8@OA0 z^3&{Ay}@<~NOyiONubaqtzg7XC!lAD^ctE$KiP3&=q`d;!&}oAcpxPBJJCTwd?*=f$HqJ~qiALhwFj=K{mmlvlS1FsW4;lNUHfTOezcZ}%Ee&B;cpOe5FB*>4japPg2N zhs|skMQO&X(&W>%H8bkSfUN?7{tm=}L3GwItMyPP%YhztBK+KWq{P$c59Oi2m*8)- zm_%zK9p6RuZ}M1tmC^*u#>nQ)6ON$GI$&OJKxLeY@O$Ku@=wQ;S`DE$nIf##!<;M! zdD!jU4(`jC5D_!4_a)Zg&ASJ>D4Z=o;t634M(3#(1Qp$x5f20{qK~4;MyG%rnnJt7& z4B{#{s|xWh55Vg?f3x8_WT&Qf^h$P6qQ#)7kAEn`~sI2#1n2TJ6mrj zuVpQY8@YCz+5}%pKWwkx9UC`r!M(+-x^Hg&I#FAVyTy9G0lmd?J^{^&pg3~;%2aqW zd}qk%*Ok*F4AZ=V-b4Q8+eZ@R1~i%-)|Y#ZxT*=qu@0g!j~`Pu7hpm1S5F(8~z`{FAiLNE^}1QZH2bSzR~iS!4n~$ zU@Bo$1d2s!i-AJ)D2!e8qC(#~QDbp$CJ(QYcZ>3cG{FPE8f(2ji|E6{`&r>&aVTCu zg(;BdO(VhL;82q@Uu37;#Z&iCmMvd*e2sv1Lz^KhAO*HoedP-^lFbLDs~91c;Iv5^ zA-=~U=%<3aLHv%fOi?GA#~stn^&cd7ES0{$=oVXjNT55%-cn*}_jYJGGrpdS)YO)$ zt{!Vy3(2kumRkdwm@z2sgn)RBox8=!N0W)SRWO4S33iitS+KH#x$ z%zssv?Y%rHU}rhs$#Jwnczqh{<0;k6U$|MVezsWQX}i$be6*o+?NII0s?n`m`A01a ztS0H9jq)G>aj13b_L?YTocHFCGk?m97%Gi0E4I11Fz1f?6ZqVpSNIt??_n zI(x3=79u-cw3cf4HH{Ga%D$Ebqcxkq)KiK`jd+Yc_fC#ASW(Hg)XI zN>eaF;cnA&R~UI9(jPy*KXMg?KSAo`q`h zgKS?Op2O#5BXtO2cZ7FRU+m@p>WGn)ivZ6oWQKn#n$zsZY15O?%B$>h_vP z+yJo$Y7Pp+50H6il%yB+GYQwf-_5LzYcM6GyLv&veoB2o7RYMpv?R;(VV}pTQz5E*Z!S7j!U;E4?X%@nJc*EJ-oFj z%Kokz_yrI9Su7HQIUF4r$G=jRIW5Gci@D=grj%xF2%1vj}aY z)#@{5N&tz_Q89+$OYF)E1CZr7{5dk8X^B`^HoI#6W!_*Fa|#ldg?+hR8N02VxpVLL6-g5;9Eh`SYf4FqjumxI%gT2x@ml$UdX* z2O0+y>M;H8;~QGcL==kr1-=JSCfi!GDa5yH#sj4CXRQ>}so?#FGsnfL4K^iXgdl>Vh)M*2U zGL;7UG3OB%A1?MCUk+wFo1$XQus3c1)c77MEb^OI|H4IR0@&eAh0tA4Hvk{Mg$p$y&}-K`*&7kL+CFeT9JBzfCIpwXUL9-Q-sU`Nm9d& z2$kuJmgqM#RNxRPC*_*KDDeRPfFzxw9PfQ7eUc5Y%ri^|poq_zm>!nWrLM*Mz@)9$xqvE_keZ`i zMK+ZFlCfMOouYU453H9+$EljfGHz(tr|zFm%FIAim9uf6kgrchQOU!RYo~&ftxt~m z1DOE$qfcuzr94AVPPHFLuK5kMe7)M3YJZUtvl6Z+ixvv1iaV3mu~yw;y-~GkpUzNl zb3B|aDas-rUR4+&ShQktuPR8i;v>1b5rlyaj7w02EU)6sYipze8PoPnZCn+uK_xb} z0q-p%s){p=S)lbX+{CMYC#_uO*QocbGC9-wyjkzlY!?6O4EyLO6zFhCehiX!G@NSRAn~ zb9iLBP_Ho(93^CDCYv*@`OW&WZc}C7p@N%u$rI9;RZ})`S%V>eKX<`ABVBiaXOI8c z;{&{bDmjH-bj4tVzL$NiIN0;kRo~p)-aNbs78WK&lipBv{gK2MmfN?qjP(7*4b%-h zcMzHpsTRzQ%3N1yvoDhEE!N^Gbrq?^%^$uYr&U-TFCWI|^4fx79@Gd-P4Q`Q8OZK+ zcH?Sgl;)--!D$Pgtd(a58e3PW+KJ^~%)2+nd^*#vrF2O%kV)0P<%uES@|8`ChUxGV zap2l4SOM{_zZO!kbp}J`hK+YkckRuH2&Fm_EhPM3#Xw*Cv;ALm0>!M!9^Hh{q}NL~ zOE&GYR{-`E8RLqfIDwkckggD`B2f!7st{vS2c=hF$g3lkFu^{(o*S@Y$Q{X79Ny`s~tQsh+FF>YW3xE zxV2e7U1-~=bnevL`O`3L#IPK=M%;)N3?J<<#?=B>$kWSTG>WpR_F0CUHj3V^$URq)%-Rl zZR6hs!31p_pu0Yr0L@+qtRxd7tsr<#KMu3PB;OB^~b5ENJx4!%vXD4p|E&xpmL=-W5&Jpw+5&2KChttc? z;c+)>#01Ur!0Khvs5s@w*M31jr;xEI6*0`ehl^GV^Wqf$-yQd_{}_SubxH~X>urB*v{ z_PhE!YFbDvf3Xr~R03^=ADn><(Fca8hzAH5ozKeP1O5+xN6&Kv+^{D+(Ck};K(qT< z0)26r{oEJNN`cPlzaxz6A|TqE-%sA6b3g_My}~aofjQ#KPZnYU+Ow5$3?p zz4HLxE<^OQw10y@0rq8v-C*Y;5lb+i2b#PzP~+F6&oy=fMxVeO`@d7y+RL+>fzGFM z2jTw>VLJTTunGU=d4Jp@KJOgx9Hg{#k+i6)MGmhe4XTGl1iB77*eBg+->fVG$)Z41P@WA1`WcGCU9(@pQ8ocD_ zBD3%k96$F--@axWI5rMn8u&BIKFXw3Q58prj@bhyp2=!uJl$x;^v7BA?p>Ozj+1`2M8$JI~ zCzwISvJcwr;eqOtQ&Dwp9mVDP{rUQR;JP{YfZXc&mh_xU5+#PDk1)=;7NBW>8 zoBJFP;_t}&&kdDP@q9y7Jl{~|&m}H-E-|3AK-fJ9S#cBz5K4c7-#v&p_FAa}m0<1Ohh!W%Ibe)a;7)>qwRc~w zh`UI&P3u6IE{Vl>++^Iv)8KjBteyUw{dnS??p1)biawawzf z?10~n*V>9lqzt^w%OVoXO453Uj6SByi7p5Hr$iHRv2Tf&#aS5B*CO=T%yF*Ib- zm(b5SrUVg^ayRX}ORi35 zF5p^=+bX={wDmu6!$ga_Zl06mhlcXQd(t0XK@ zm4O8fR4XVhfIl;nTEzwb7a^`vCt`ppjQ7cDB`Az*vbQO)kX+4O@n+i1{`gb~{2(l( z3u?0KJLWJy@uLe_knpdE2eDy_)lq>Y%z|pYt@U&o+4&LOa2(Ev85Ftd&XlsOU$?w_m;-U!BM_7Z3)xjNdp|~ zs`-%^ifgazPCB5e%KyOjbz)&VTK0dEa!4;mVtIG~h(h&q8h{GqBAePxndQQ@yUr5%ItxR8wTbaf5psRD){oRkcG3>OgPWu2*;;TK0=uU~xMILm%PcoB+HO zBqRVo>z?;AA;^vN+kh!K(!EH><;}M9^7$J(FA{Ency;4mU}_>=`whvh?2G=CoE!7U zx9v+{Yke#6x5POU39GoCllBFPst+3+ObdZkBuB~-Ida~TfK^F&b$T&yY2|#Zr+cPd zEwbt}1%aDg^lf2=v}|&C1~pw9CZx&ZBF}i$uj{n_CjsgDJh~<1o?gre26PN5esHc> zmWzxJ1X^(wL<;3^i3ye#+0urc#hmuU6JC&wc7y$q{aG<;et>LE8};u<0z0m3$Ts2k zowPTwtoB3tfsR;H)G>Bmj1~5kyj&!Ay+RX?wbHzIDg|0J--Jq$sIsMYG$-8=m0TkH z=Lgtt6ht`Bq05GrXhraWfmARSbI0M~0KvRYUfbxTYc0}F_V zAK+Lu5rjQ4h(+}~osl9${QrVS7psy$&)2rTVEKCAn#-Urx#~653pYFH`->UEy?Nyr z)O3;hj`;5=hK6Xyshl|-7(Mp=z(}+uF0uO6<@2+nmn2g#8-qG|H}Y7DQGbp2#i2js3hU4{$`>FA~HzVtGuch&;&XQ21@OJ}J**eHQheKt)`4|VW zA#J$eD-uYr%A>LkC34T9jXM`jP{F&Zaj8;{1M4E3Hz>GZeha*RGd{B}kH#+T%CY^4 zWMYC7&y};)KDf<3*vr2~h7I0%{~Xa2!%_qC3<;3{xSs@6WJC#Qj;*p)SG}HtJQvzB z2^W+0PBjYN`t^E-i>;(At<$uWt{j9z@3ITn(qQpE=|0^)wZA45voi~OQe2rE7Kqsy z^fPPXZ4^)>e>+|^gAG<`npF~`dhxosSOS`S&RiCNaHSH^U+kgITV4#q#L_}u43F<% zgI}1@Tp>}txcv4NcyP}+@q)f^C{`rE9OjVqQ>7RQ=EGmFlLKQlhO@U)Cq1?_v6?W*@u)1N4=f*dZ1<6y$s@Ff=2L~eoG znyFV!a&U7jxbmH9Y$qyx|1O9E6H2%&H93xTnVHT2BwUt84z+xU1I`pI_{$ks2Dzg? z0g~v_ZYB}?^g(^(8M>2*ndOZ!#M458SZv?qh`@JLeer@O{MHb#g9KbSilfDtQa=gD zh+3#v$Dr7}?udH_dG+bq-AGEc7PNqOm6Styqj))0J(H-!&=e36CihS2>&&VZA@;%VSsPJsI$R)aT zEAc>IH*^{iG0*m+Kf@PKB)*Ni4z-@IdOx7OTi*Wu^ zFhqqhn6^t5Zr2f$M_x=8P0uoXk6`Q)pg<`ya?hLpg8JZK9y&QI_osQ@?&C|o+)7WI z-||49pH}_z{x-sI(>oMTD$$lmMALtPC-6e)QEfKK<&7a6h)T#Idd*P9Y{S>Y&u|2Q zZ`e@p+PXD7pF?F!r}yV+_=TER)D|*=Ue_-fFK5q}XJBZ@&hutH`y)9R*2dYm$cfy& zcI=l8pNAikMpz-moBz#}ey=`NS==^eZcIhPpi|abBJUiy>CYT~bNb=@!-MlL+YlA| z!uJ4;#-G{~r`MU2rX*SV`0UB~rha|SeYt89rHaHqs7xxp8l(8Jk z2^_E%t0eJw*it86g)6m}?#QTM;nCEi_1H}C5adf~9^=42m)hJ?Hjk^tm1e`K>PBJ> zc}UWGE05d5lI-V$4}=Dy{=pjLAz~ZTn6vy`)JyDKSd{8rOshq# zgqF4tX-FaIq}E1cD(NPbe!SQFp|`|Eq?^r#fQ%U;0;I5-wlBGjd?Ud?!<3+U_@!>$ zrQd@`A-zr$Rl4C_9uJa2!W(OkNEjS;w-Tx9NFo{oWEZ4AIA-s|WZ~som}!CKpRc>M zf9n2x@`6>E__?;n2ll}psXX=q|-uQz}Jq{k+W@^h@3+8qPK06NSoVUcn5Jh zp%)e+@crrC97mruvc2ufJ*(y2N8h&L)&BifGTWV=5Ct5r;QpbS1 zUkvsgcW5~)lij|2b&_TJ#PTamVr*)1U4m27jVxju-R)i}#`W8C;A)z<2!Auqh+l_x zwWxvMI6x;?sKn4ocV@Blyu~2I$A}i=jDFz)Qr9|D=H$MWzHt5zQXbna;;5Beu|RPz zJ>Z_oZJU9eH+7D~pWVFK%jaC27cw)pPVPUgE7&?ek2z<62h6_Z(8M3tHI4Vm9z~DH z%|S_sxua+~)C8de|3oz{;E1%v4lU4hoVBi;T-}`FNpzW=`&QaQBc!f;29QnPMjal7 zb8BBLIo{0e_%~gBx{b*~{^Y^|b@U%_=fODHjYpKfc(>g~A;xubw{;l}v5oQhIZxc? zsBsFsaoV!)CB-vYw@RV&&2K8-vaXY&FHJ1EIGuNb08_3O%@}@w)WVbF*Z27u? zz0hKobqiaMe1xv9j@TO_xhF+JI_IXeg^Qp2*wD#??&+vu=ZXOuV`FY@sF1gCl|h)z zqHSTX_uuwq`l z|8d4++FsmVkVYP}z-xZ}8`vmi1tAyp_~PN^(wvG2#~~siE-zeB7&l+02c-|T55f_A z^(*gm+_1sW&gbvF=EFKcGFm)jn@)$7QTzXfJME>or_qn;9O|8nZ`&09>Sh9Rj-zqa z`<<-CCBJK*#Hy>yo1UzFyIbj>7e7mW#xpx=N@??(@v>hD<@8_px>iBicnS0uZH_+< zrf{ELH@+{iTlhLr>-eLDBzeA@;v*hRo3#&U1DP6mw9Mfc<~Z9w%oNbY8ZqM!a~Q@T zsyjkfv#V4^y)}IXn(;H*hq*k}MG$4neAU6^Zk6=32hmVL0aFTOG2?Wk*{Jbuvgwib z7(92^k2yiq{2i_zEnib3Z<9e3tSh4o(m4vuV6(;ssFss(pq*#3}W`BDYbq`6rJ~`~A=vixwLf89}2`^^B#sXhrCj=k+Q|AG4z1!z#=K)j0b= ztGoBo>1O_8)MTB$WAD8IqGpD~`-wjz?CXCe;*)p z;)4jCxco3eCoX}|iJwFjVM%<^%)PO-S_@|wW4BWvg6eK@*a}%0mJRDH#@Qqj--}Qw zDW>Ov80rwe;TbC)?dVo1QO2Rg!zQZB1U9^w z6sA*)F9g{sc~{S4XKMW`%HV>%=ULS3Gr!$$>v;b_Kb~c=iNc7eArW|da$(R9ohl>d z`9HX|TvOR>fATJ zvVsf6;%N7f$?s6+UW_aH=sY5{S{BP$)(g}N`P;)0&Br6@#GYF@TpS0J3hE?8)Msz1 z!Y{~ebiO#i&b=pIQdVD^fR0?+ruU$ZXKPPq&;iug{wem#9%=UyS5%uRnSUGiwV(Or zRmZQ3kpJrgr*KXzr<5K>^h8bu+WDGZC_B^TYWgUwfbQ zh4`2!W2cq>gb{5mq?5{1uCJ!0Rh1FnOpBmy9=VExr8$gpwh(G6Hr{w2WHDc4O7l%c zBPm}5`B$J+6^J)Oro?HCP$g{7F5&;dj{7G$O|&02Lqw>u6Mj^3?Z|z#V0PZru6mD+B@qs6S0&pt(;QGJ;C7iw55;69|M-yPAKQ z*GSIPbW{HeT65!&W7adN*R*n8Oa4uo=mPTC8g$dAW`bbQ5+jc5o?0MC0f=RBhx)q; z9vyhWs2&v8zJ2_YnXM6b?Ss@=5)}vR{7rIrtIF|AvQk^>jfPdI6gsc2MTg348#EvhsG2S5%g6{T8+1W&8h9^?nLfFiBgkZ zqI(7Nj)^LTs=(eO0UPc^PVGcAzW*kx$--<$@g{OXYiBWLqi~Q-FT0>5nStW@z>4ma z7RZ`Bn{6554>hX(6k`{6{btVG0P3jgcWCb1xZ7qF)9w5pgKW@o^QmjvJ&n0{vO)Q_{)S?{Zod?4b3EZ3 z1;;m?z5EA)2RzPXBGJz?CYIwEqN_zIyoBk#t58$?H&9MpeS1*OAXcRor>2wpwEm*q z=rpKq7u{(Af3cCaSx!r!G{{CDoo{av#Z0AB6f6NQuffSb1PPS80@;><8;l0-yExk) z`?4?Cj8C=dcMD!Ds4q8Wb+}1|L=sNk57DYOgRo_Epz=LlCgMzGLo0aEuEHZ6vh@Os z6leRzm+aScU@YC0K@8GR#304-PgTVMMN20G!%dLKRWS{nPmIon2Dbe=*E1~Fle-!@ z0p1S71M#3m#DSL&khI5AJ?+(hf>V_=4qoDH91d{GVyzF(<(G&Cmy0W%(QK*lR9XDf=fu21lJF0;$Iq(;v`4Eue)x zumu1r;@OKoV$@#$X4g@sKa>|pk$`PYym?nob{(Oe$b1qWgeE9`v>n_k(h?=RD)+>3 zR7U4pTh4a{A4m=ZPe8|~0dYVC@~mfj%JrSCim=B?c`C;v!oF+Yxx|Z9Jw7_c#8F3m zMdCrAb4gauekKm55@H6hZD-mr%O^2Tz=Ql3x$CFYc)_$0uBVXy)->TyZZ{>j={F;q zX3PE=k?1xe5&LI5d{Dz4JBV}U3W-be)?VTHb`x&H3M+PAbno`(5=lk96lkb9?XY$Y zZVDI`&fiHe!P7{$KL-V2!DJ=F1%vb+lC>^kCb)-3=Kf!hZOacjKs9Nxd=RunLx2Ld z9a21krvtufQb++()jNVn&^;FrFHSEvKAZrb401i(xD8SSP)k1bF>Czw*>!nsZ)Ab$ zILj$>Uea^a+5A=w{aPD$oEp$J(E$G)CQgPj-})rjA7#SeTwpJ=@GoA}VEKFxUJ@5&>J@3F5?DMkQyH%oUQ$iJkBG)7do>(l%ru0VsGBUwqGG6X=N-3=&IVgY zt`1WX#!cmc2GzSkbH-^*yuie7>EFV>J^Xo3aU`V*iD|-C;i1Q2h0@BbApvu=UC_f+ z{&EL2^U-=iN{Bbjn_GD%Y3Pj7MJe!o42{h^PsQ6wUN$tzAleX}8RSh=kRGVdmN7wI zV>B=l1Kr{tr4qpy74zKu@Q8d2pZ$>Dn5x3UX8rPb(gG>Zqi+-~n~2Nc+btq{I|KNw zr0;nV)EHXL+I`685h?6GbSnFr2ZZ?^Y)F`ifg+{IOsFnq=*n2-_+e6PY(M1W+`8y` zV}dtdKYa3vpGsB1Nnw$*M6WavRZef~=Gy<}aOrw&@8*)r|EA(*$My>MX<6I*l4Bv1 zyU$L z6ERq8>eqZ3vcG=+B>C(jcie6AnR8YWTHEp20!vMOI?34vRO`|6+s!=s=C^^&jr3mS0H`fuKjmnU zSTLJp;t-yB#BfrzOzL;OOinYtoON@Sa)Cxh;;8{04YkGCGjK-%?ka9*@5E<2)V*-aLsZ17;aufzW zr@||SQp@`V@f#@MH#o)yu=v{EmsK30X?)F2pppdiHN_j21L>&Qs6xd zQlS<@!R39qO~Bth`VXM`nXqbE-4a-)in2dE=H_QcO zKs?O_WUW0zMnf=mjViFplXV^Bl2Ihg%$F%5Ah0T#84asnH-U_m)rid^JKLTq9DD>y z1HNqSJWXGiTx1SxWtl^Pt!ygEZffD?9{?@9jpevgf3sPC6Jcci(F-4^+IR9Z`y2W{k87FE|AtaJ z8Z6m5c`Qa>cgZkrzh_e}7}XB$;uoG74kdF)d1jq4+9LSbME2A1?8`_=fw<4+U|eFs zGlJCvc;=r5=c_=&@D1QSEQ4`?)?zO~G=2szXhPn8>7tdSnqZ-uv_^xX$El458znni z9fJfJT#GF6D2f;co0zu=kW2R1shnuzJ7J^RT0=%v+8 zm>6n1tnEbsUFsR>E)fdfO~<=HvvJ8ou6edpzXCJJcjfrADJMnMHhO`p(D5Tm&9Q$m z+WZsRh4+B%-upY+z?M&04H(I>^H_uJOKuV`t=l)R^`otKeE4HTP(#nS{NC|{t#@M; zuhiNWMXr878quz4M@Z^NXOzKJRdvtFpnQ0!e^CUxgDu9PeddwkM>}T{k!CR-W=7> zz`Ck16bS0L*8ov{B??0bY1#;Rf#Qemun9uPQs;Fx3TnF~*6Z?ji0^UZd|o}xCzKgs zFFByPez?~eRMlSU@H5+<`;bSRl@jKIgWr!9bkY#J{BQ=e=|w$Fk^n^Vq^I)PTN_qh z+GlS<8Pa(!Uv6*2TB`d~d7rOLkJ0wTfc=F|f;p&DXB@C4O140lKDJYX%&L^(*}7>A z(4J&Lm9?yFt?#JD7p>u6sQXX*L%yp3I@Ur#OSMe-cZI4VDi{R{no83W(Nqy^hn^On z#d*v!$+*>VQdvT!Bz5Mn+FRv-lkgdpAL}QGQ^b4vtYf*t{XOupv zg$+bKcl8g828ajVcfytug)cL^mQU~D`M+l4zzODOT-(&@XTuuYA$)#+Xrn;4B41g>` zkO657(TnV=S|FznTNY>j2x)$Y@i`{bTDj%J3C#4@PUD}8Z?tpKbk_=Y^DmWrx_LMIl`AnB}@33+a!Y9QEkS5GzCX?5D^tt$(_Q%-N~{~038BF_zHIYv!O zLs}TFz8Fq&1e$95PZ-40X*H=u09jdeN0eC;e5-aVN)gn-csViy_yl+u_QyjC@UX6^ zU?_x!QGo^Z7`Vk;e5G49<@{&>3*C0#^yx?|}qu7%!`s+z9O@$?`+~&UM z(G!r@%2qWW#2%ouVkI-I>ENSb_zh(;vwCqzA-{neqil3Z8l{}AvCcfJ=1TFRe7v&O zgkXerT5&B%D`ZprRsMHJV5bC?kRDpng<$iS>(o1)vO^29l@h$HUfu&n8Ipp{ zP9AeVNtK$5nNhQ%l!lHKKPM*iZtVxKFvYuU+)9!i%EQp$Z9MoA-1npZ(cOHk|FIOCJoshsU=s}t;PiV4?9C2Nr<+kb zsdRiDv;jON^+3rf_7n&QrUep7+!6155vTMNp*1nOjwh?cG523Ct0i}k8-k%)KL zjBr->2hsDmTe_KML}Gdh!A~ZPX$j?ZTtZ~YbOr_P0Q(bc7hwNk@Ut`Hep&N<^8<@D zFHEOvLC;Fw!Qj5gLv>}%vS))?Nx6o@c~Wdj$x7nc_a-Rw`n7QR z8e%dLvU!Fa*g`P!cI1AuLS^C5FLDNySHgx8*t%HtB_6Z^Niz&5Gils}R?$OKQ|z(A z>x5Z@2#ejKRX!lA-kA+n+EGrp%UsK`ua-J~{c)C7*IT0OBscflxpZ$L5Oq5lL3eDZ@=HCsrVOgZJVn!1$bx@w$#q7 zOx%rv*~IGMi}Mypm`Kp}Wt?~%3p2TBC!cym|0j5`&eOr_4zv1}l+mA>Gc5w;QK)ng zwFF%uxSQ(B5L-0p9IbYYWM76T$Y2MY{NqD%ImO{P+-3ND9QI7bT-%ZMQ`4rj|l3vWb;>BLD+~6z1AoLXN>tTIRb5nK`!hsXDBz^R);Qlc6ed zD!LGMVjctN8||)|Mg59eDYi19$qQw5<*n#r$FamwFUuD6Ma+PNK%NQi?!`u> zwh6v*SY;jLJX+*raw`M9H6ll0RM2z7tgpSwvVOL0vlEv78Ux^<96{dMHa9 z78*6;k@>@AS|O_YP&Ug_(xQr2!Kcg0I_5Oo=7Z^yqPJ$+kEz50b>+KPUdqQxAWpqT zl1=qUq#yaq?)if2)Lwp*pU}c_(?Z?H&0+;Q#P;85s_y)->b}~l3!-v5cc!`IpCI+m;U9`Ez_Can{dO>bEnD!j?vrvdZW_2?`W<5PS3T+{9 z>h&V#<-xXYvfd!o4l-oVnm#H^I(#9hCC=(TvrANf_&2KP{v4z4Nan3{=61+?4(BrdL^VO=OVvWHs?ho5)i@QnHj&q#S`GTfdFLWv8`t8h*44z&vFg%` znU7vVJ*gtpi_4f0wXj#dfzUB@Vc*w(pk?YDAZ)4ML1upAmD2?zwnUWX=sQ3lRANvk z$^a@6qKS8!mJp)_4FSj})vwP3(p!Kob*ixZE+vroaxf`Wzp@S= zfJC`Zki{sbm&fEXXGDM+tFh2Ulp4>z@n=DiVdkL3js8N49{l^{j<@B{Q{(x@11DI9 zCO!rkUqJ@lF6MWL#aHs_87~n5f}ht(2T+B^J_k~yT57afo2+5_OF8&U|ELDRZxOJS z>q{4hMq+4>OLbYVxJj%CIbZD5m_|2j<@jq7&*3k0@oS`5f3xeG&qPnuZOK8&BMM(N z1IbuYZd}zB$Re>av}9qt8}wDy5;uog6Iuk_Fk=rxhEyoFx(*7T%koB~8Dtl!ikgm6 ze2@@qPiDR;#evot00(zKe{cK=*=hhMm+xa|K`cyE6ny@?S0(2++s`TaXlL#8%+zn| zX3YtC^U|sUW^uPrV~TwT(Y&S9EaD&4q5DwtYK+Jk*t>R6GKIEzPc%k z{?vvR5^XSeUwJ<$0Ub1xxA03c*zOg)F|YF~d}`cf6f-Yj3TW>8jx}m-EhTzh3=~Y`NS)|6YM7#{LB{#Kcbnykrhs ze0>wl2;D}`@3k{*emGVNlpB}ZN<@h)jGSb_Bx%rp5Mm=@VJF*f2{BE(*9+gKrGYz8am%8H)T- zSXKN4*nXprKFMP@Bi<;~Jg$jw)h^mJ2BtvGgDU~9RHj@RTpw0E-s)qGy!2^#(Hb7J zvK4YDH~t&f3~pJU%N^BC4je`Pa4JjLAbsNI6rv)OyQyoC#Gq3bQA$+Qs8f}vIPtE0 z*VS!mijw4sTXl^=cySYrlBujjYkwJ+W{5=(Ygg7YILIbv zasZOPi6QxFrAkkvtFvg!$TG5U+W?PI*4lK5!szd~jkR_qhrnXXnaNRxjc65$lJBDY6JRnOZON} z^y*mJLzkp1O9MIfimaT=j6QZv&nv36b!pxB{;hS^!J#J%Xi;wMqRp%w@Z|Y7vYyJiovO#*1dG zsaT6xl2~}C1%Z1L2RD~tmuGD$m#-3Ub`O^H;+p-MThMys{BDHKa-cn)m#2G9;2P%j zMS&r-4RBgzum$n!iy~dP1I^8qc8Rl~`cLhW0|5kfM&PYH+ZOGzl;Y#YqGY<*5Zaro zqg-lC1-EyEJMg!(c7KP+VzC|gnuFZz;J`|RtJ#AJj?sWx@d`!s$%E@o8nL&r0-=P* z_0$7(Zui^HVaKmX&T#v0&qQZ_6H?~-Ntp+%kw>vFxZLPfv%4fcNo9R)Z_rBj&>OxHj$G!&!xM4DF zo;E{f>98>tq55PPUr0DhlLKMnzu-g2Xb*A`mmQk+JzsG!%viHaM1p4h`2iLpV_ zlVa}je;oD*yB0F^$c5n%iL%FL>+ryx`pNj2ivytvUNCQkjs#)lW0!vB_9Z`W|=_KhW-z z@FrGdI^EWxOP;UR3($5RHbDi3fG5S?C;iS<`?5iV)Mz{Wtur=0)nmUP1^a_)E9vjL zm$D4&>GyvPcj894%Ka$V5BM9NFe}(U{+d8={L8CqgCWx&H@6K^K()^tL3kw~w)Wh; ze`Gr;XNFCoS}O*`52}fL2q~_}az@egy-H$?+uSOk3eYx{TEhV5g}>i8wn`$Xol*bh zPgA6sD|mtFLxUw{WM`=nrR#NDPHsYfk7eHH!o#Utb|-Ky=77^ zg6X_57>O&ed8oD7cOj*rZp%cUtd0-esR$U24wj4z7POBAfq}MWUA@Y-f z$f(}$E~RFvu~dZ!S?%aoBrV3tb$aC;h2E!_OgIHtEjIMHV~MNSt)3?`)Nq25PkoZ6 zJylL-M;O=uq8Gk+jb+E@`d;}lt0wWeSIPHK?U2^R;(B_W_Z4;Qj^G;qyS2^_Syu%- zI?K<5>{N7q%}`Qm5&OB%cK_*%;eExu&%iQnYx_DB7AjiB4{2){l5*6xsDs+_?H*m&1CW~^XI730Y~hlWfYQP6{AT|P)ZzJ~d0ERL1aNM{CJE4)J4 z79JPrysKy^@+Luhsz`QKVK)pZIZ*(Dr;oWvS;cM4g}oRTvg~E>GxRXzJVu(!%$cf)1KBd;P6SpWA z84|25kyy$q4Sn^Xd0^N_^Rt0oXW2d2M`pGhPCqLyn;!Q3kidcF!=TKOyRe7M<^%-` z5pW-oqyc(2&hhr6mjxOa&(QMXdb5_tMQ5ntSCg%a3#2 zyqbCs#^l~+aaLWr#;+Ab-j{kRTT_Oq77qS*aXP`sCuTwV4-Trfk7Va4l!Ns#B0q7Y zTw=@4CC6T)e%R@^xw%a#I=MdL*c%#i>{(-~{iUhf{zsvvnWdns3Ylo}{n1ohY8@*Z zSSa4~^gNcBQ!m-5%*Di(ZNpCie4YNnFqU_Vl~(CK4dm9>(^~l?DlBDUhb@*%8qeBFk{1d>PZaLXM-I}zzb4oqe?Jyphn5S%v zYJ5L%L>VaM->@Ib`E2QHZB#?tyPaY2C<5mPQX~y;StE@HQsv6?h8U)tpU2zv)%4bu z8m?mH7-3hKHNAg4PN^BIw`&+LIA6X3n=|v5IS&$WV+Hp7ZxrK|jmOd$l7H>oX?tqH zk@`lM`@uvV4`) zC{T>x2mh5tIX?8j9JhC3^KN)^!=~@;cp<%Eb-DvM`z5CAqVb?qfV*g7ogn_BnlWf| z?Nh=J?}k?2G4HvaPA07H$Cm25!8et{1!p643W!V8ICS6XmWr0?yBSt))>uqDe;-3s zYo(KG>GI$;@y7JLM7Ftmh1_d!cJ+Xb=0v}8)3_utQaeI1{@R2oooHikMkfLTnX&le z)4WvoWQ$py2pc`N{QqK}zh^f-!Q$)Ge=?kl3YO!EA_+ug@Clv87l#_CNkC3gN` zI1N;qtax@3zSKM>8T%_UvZ1p#Yt5$FVmF+xcwA1M%`o9zzu0+9Q(pJ9DmDhS&fFem}pL;UlxGB}srl3q>_XY2PfEe6-qtLh;}c!abQaFK|zmk<4Q^5H@E) z_;T)iDOD~wCCZ(Pd}eT%PtC|$~J^{?OxxlFq@xIM^o0xoKj zbEwm`CGec09B_~~shhPiP|P0wnt%p}hfeT>0MrMNfEflMu2lYV4?irV>uz{x1D)sX z{qnq=E$1i#o+{2+3_`{&yZ}J%GGbH`nswT1zfQ-I%1YpYSh^Tk!MfoGF+7w&sVI0c z@qSk?tkINu)6cDNed7JIo&=0xw~>X~T8}#ngeKTL^bCFvHiN@|)k+gFe9vOOwjs>i znq-N?7(U*+s~Eg4dXCxkYGa8dZlBT33*0Zo*8r{9vT3P3`y+i4J@lTSP(z~a7v9j`&{WkS;CuRkxrP!f& z6s+>y**gXJ^w5-i%D=&74D(@hOX+}J&VXIX!1T13;7Lq$i>0NL&sb7u7U|01*U3Mu z*LWyqg^)&tkP?<6tz+;8_P+A=-0It#`;FlMZ>0Y_^tq6CkkLWan4^#q-dH0@3+AXX z2j+B4aF5y@5>xysn!+JRey(st(6%O;!jn^pY@+wBaz~+jrp_ICfrD{yGdO-dCHP6S zc%M~_?JAV{P?dJ&i#p9qUIm@QkBK71X+4>}7u_bARu_)PLZzcF8XMaP0$dDX4JM87| zAc0kki&LoJq10q%zt+8MTpI<^Kdlkx7w@vknJEXQGQ5}z%W1lskD;PNpoQ|5IhgIX zsmM2^U3=?i9AZY~9P}N3P%|^ii;8}NMuEeS$3JK6F(uQ@+3+zj5}P&$sXJE7--e+F z_dR_OI}2hAX_^ST&DmnVrLKHT>Ujx!so$R?0vEo&#zPH`nqs$8e|J^S$1m(Zp`gDC z_Rajq-4^Z3C`8 zA4?}tGg`y*DiSVy$FM;&L7||Tc^rrfU+UnX*<0cpFv<}RO@+p-ge=N-Zuke&PH8LrhaZ@JYPpJ-tN(}MSK`N9T5TgTwEf0FDKd<63E4|`V0g6>s|?o#Zk*|IOITle z>a?e3@-AjRucw!=F72Tq+F)Bbq9hx0GE->_;JHGR2NGN+?{#y8xr#cu|Kc=venC{b zN{IFXv?y+56{hVGgyqM#>E3WmdNtX~^@m@ivr0~5GCnDYzI^p-o&?LRQJJZT$T2;|e^enmf|(muZ+ z%!i83`XlzSs=u-A`HJQkZA#j8{rFK&UcMBkz}3s5=Ov69?g#^U;aB(?yl|hdP?bNhAq9SgAIW|pm+j6a38q+#ag!>V_X@obM zsd<1esPtaV6BX@khNd$dKV5Lr%55!~bBGv!<@QD?{@igP)KJzp9GXs(3E;ADwIDFwTaUoK_2J!nUNbKVk3AeqLV))ctukO_Wn>GoH><&+8H=yZjPnnOs+vpX6b{-5 zcPh-D2v@2Ynb@PM)2~#!Q(Oz1KvNp zmwPwRKwL(YKJ|-BbS5~!q>us^Bn1M2k2P^Qkv}1YTl{|px)cJ~YLM|G?nHcN%yt#Y zUM3X2GxiiS*y&Xjn|n zcRFb?NLD*MzqJvv{GR}175hM$3v_5Y2ZZyu8f$RnV)QMz5TIy;rbYaHP(#6XXSlPh zdwe$QnOSsEZTtAFnXLnNtzfDlrAiqtWb%Bcf1{40V5vOAugUqZ4DT$si-u2L79k8) z{RknsS+DRuJpU-{tDhlxUyYUo_}RLju$-_q+|z%WUkbHQk6CA`~y_2v%n&scJ0>X3L89sRhM6OX`TO-EUYbG&vIX652Rr*#mykg0E2UEXO1h2g2c zL;KLwYxlQl^oy4c2WFE{dS-k`GWmBd`C;rooz1ZI9HVa_@oR+vi+x^l%p|`DcL}A7 zdaP|bsf?8fI*7QE4e5b-J|-oCL}O6dKUk(YojDOc?<*aVQ;~uaH-?|F?AwIhAC^;z z8T@YCwK{!&P!6VRp#W<%?iwfLtHn(cWtdu>B*Em4i8nZkO}!BW;eKrDe9G~4c%QGq zPAteOU7x0Zmpv1j(&QOq{yr0_gtW~zOpZu{V$k^sT9SOV@hVc@$bHgiGpZO!Eglo> zx@)9Va4uNH_60wscp5IvAbWvAs$UgvNbm*=$BkktReDMeL89fMEs=$@y-Cy~kfB#F zqbK1r%G)t6bJQCwhqrMo&ifj#Ena4LDrb*V@*QF(d0E0W12mmr+xIm#qoI4K{kvU@ z`ZJIYD*kE8!w&)$wn`?|@+uGd9#4ihFbNOAaqYT@9(%S?@EEprfV)mImZtJ7Ss`u-Q#D}OxtI=$g;Hvcz>AR6lhQIpkLAV#%% zr8Ii;^k4u1yh{;}RCpm@kToa_szYzHMwc2S1FLZ6E%DcyU#-?KG@PFi=MXTz(tU$% zj+Mrq!IM#eM4z7pt9wl_rVf8B9nPk9NKMy8RB(nx04lTj0a71=>SR#sXEdN!oOB0yue7)9e_NbB+ZfIa z!G>)JzIf4yOO@e>j9xJJx&2@4t_OO7*kZfT$w_p}ujxlW|Aonf&feg65hb1l;CH3B zsq#RFM;Y@|=f#zm&dlduhyk(uu(SRhZTHS4x8r))OEIaaIq+a8tL(?+Ohl$NZtyZvD!9m~c0S@xKIfe9-Em$A+0>dCe^s8lK9;~8?aydh9!Q`T45c9T4O|O`UXY5Z z#zW$BdMdQj-pBQ;+a$v{$mw!M=(?wp32;Bgn}olF|HUwA0I6+}8aEF{|xD ztq$q>o9X%;P*y~dHV}n?@*~Kipt1T?+8UYWvnhT!eCn8B%wy5>sA5=~FrSb?DljBf zKC2N~9(2#MYR(`+2nUaZaa~6?-^lZfI+E9;&ofTxeyj^#o9%shZttHaby9c6Z|0{& zz;i*wM{s5!)3vPy3vnIFa2<*`9yG3e?DSLU)GOvwa3$O2V9U_b{MJ7LZuFJ}q%JCj z794N5@TPUa?aTpn_^+mhTh=jc>5nzw!n1IOB#|M`1{}H8=+@|#X3f4DInT*^lN34@eEOOyG<9z_w#A?C9^USifvqB$x0L@)&EcuK*x!Oqs;K@9OsG@y zfL&Ruf!*5uA)mXd^B1oyy*Ry6oo4%5#HS=+LQnc~)Hr<)bk%MOEZwonZn)*frES`e zrmk+c=b9~Waob%#s1v(xTwe7Ud-zi3plvmD?8Zh<{65Wf(B%20&R4`F^<$2R|8mYz z`bx(+1hB^)ZO{59!ltlkO}H+-br`SFTWu1_RXe8bc(dMs=O&4LDu_{=2D4&-a8ypM z65g`-bjMp2enY*dm6-0YD%sa^+u}fJSC$}A-8oB!%(qHCsxp}}>ziW2g)2xIbFV>3 zL4{GtaU@_=F@e%GTRh>b;rfyf=~vhP|9!!@t~e%g~vN91RMDYvF)p?Q{^s@+<7IzR30xGf=cl1b@39Nn_sy|@P;|7uC~ zLd4Io3qNG;rQ6+hJt0CL5Tv*H{x>tPI%LO9;Dd`6IMbh4CjNJHS(R-1>0m(DZ>FEi z=**g<&3=tEr_D(|x|Lku95VhR{YlRMGZWTIPTdV5Ax}53vWLW1Lgl)dY5oNH(@!@3 zb2V)avrB?IPU$Cgsuy$lR}OcF9qdSZT;{s|@37P*^9PvTUG&{KnbJZ6%jbN?b(p_$ z=XKXjLVAe*(?()t%5ndS$8|UB;?@UKlob9Mzoabg-wfYe*7=_u`aeag2$yP}z5Z!? z(OI%H40k%T^{(c)K(O8s_}~K{Xyqu#;pv>zT6dVNO_XKrskMJMc#td%PzRN z7}4Vd9xYPJfR%<~dB84M-=7E3;!5@wev`I=mBF0C$yKNYnxDRDlaXgA_XlcW8oppR zz*b{&Y%jXU`3s?8BYk{|m_RxfzEOHtu7Ws|`y1GZXtcTfE^gu3riM!@svEz#UoKi0 z)KpguY{7Uj*YiY=&=ve0vEBzcZCn2tetGmA@rgKL#3yj@)GWrP$!^uEi-X#i2lPFJ7RyyKB+n?ohmVad&rT@cB0Hd(OS*oO{1to@dRn z>^(C{c9OM{tZe_}A05@A!8|VUrEf(?FQsRmm{)7FcH`)u3>8Mlq`soZt=z$&8R6$# zKoR!<2U83p7==c98pE(iIJYzV0R<^wBgphOZD;t4p6nRL^f5tkc)uw+mlgJ5)|$Vi z%uZy2>izZg@kt*t33;4_oHukl&Few#AdkqWqCSDHIYQkJOi#9ueaO=#1UPI~6(agE zm2?76*dkO~<5fP0iU=ycAlVcB4UIetQ@YW`km=6NeIK5oGA{|Dn4HWmn_)0drhQhL z?RT=BuQuETW>O}R#zrm@JKR*C%>dTjMQSHcc{9jco6!*=PNwSBXE|C9Z>o}WHm!)P zfiI78>YHZOU4-dwj-5cfz(@lPu&dviO{HN(Zkd~kphJ76I_aI{>RFmM10Sv9mAs4E z&R*J4>F_w$yl#pudAl{a_pC86=csqR<>zjhuTkJAMk?h8$at^R z7l=@^rt~oc9*4{Ixc@B=_dXUddPn{Stc;Cd!oOIENI8bB1E_PHE?ss%T{cA1C|qy- zlMLiABw#H~v%iX)`)Bh2I%M4oxUcu+K25^qSBqKs!^2fiX+}E`2#FTC%pn*eP|=It zN8Eo14j1j2+x%Jmt5p6Np5Rj!JubYgwK<^|oOZkO+%#|t)BnuF`Q#W! zhS*-^IxFN>RyBYQ3rsRuMpS88hL30)$DyIMS0&Bjr=Xbu4?G)7%RqD-jSsVnyh3iz zWJP$D<+F!i;V?lQGkouym7L!X+_VU3J51vmmN$`|4fDw`{cDR>a`&H&-@TYD^&@>0 z+|p?t+C4xo?i)>@-Ghk`(ZAPc>_qHAUSKySrr2Y(-AA1a8G!~k${nA}+Bjwamtp`W z@7*f@o;YBKKR4JvyLLqHt~5&L!1VtECzJJi&MF*5;5iRhaeZETnL$+14htB^m{x~}!H?4a5KK_%vPob9z0s2iYX_$?;|b$ySd{FP zbQ&}>F_&g$7!s*r8|s|Hwxv6*t(T45l#hrSkZAlY%$s$iF_2?n;G|#sQ!p^85y{|j z`em$+89zXT~! z{&@*fdbh`?8-*kQs&f@!yvmzexo-Rur|dDiDXKVloFCjGz(|oyesa?oZ4lbHxGCxf z+OUa2dQz7ieKYWRm(VPK-UG6BVIc{CJK|t*M0A544bmvz1^_S(iShi|Z z7#beqHf?a;-x_7^3RjM-_%0qr<+m!_yF9c;h`am}5^{(;c(g{!%!*2Hz;@ai5^7D zZJ-;`Rh;mBpBoH&KqafcSZEguE^Do=aGA;Q%FY&Eo`XlMQUhNDvDMBxBtXEn>kFG= z;fkPF#LuU6*5#{L6wj}8CQ7;G>xV;2gofFwH1L&!+wmNg?DORaP7r!c3Y{YYOIix+ z3rp%Hnv>Dp48OrsgFVP=*P_>A%+j5NQN_qIby&Ogx0~)_ToT zl?aXIwyc>*Y0tUQepoyac)?Lhp98|>N2)5qF3)%neGoH=>1)~J(nl;H#=2Sq2$LVw zg@SFV7US;mc|-hrWeuG_+Dl|nRTqlpYc}s|r88kEG^g;{)K*{7)|n|3%nc4|n;`TG z9&5huUq_@DfzWl8dLV?G+A3)Fx3iBey?!laI1{m}_j%)GSbv5-|EQGx+YUT^mDn{) znRlM@(ysis(oLO;gDBq0HfM1T{#o$^k9nWk&%%<2@gDP*)~L7Pgg{&u(mY*Ol!82& zOo;EP==fTG)|BwJ(2_(+$dw-){T%%- z&Hvw#@h% zFY7eIXT{1k4||||%|yfQ{-JXK(kZ>VwiWbY#E{Q%b`WqAAJhR4ajA*5|7Mp8>z3;I zeSYNeSV}zLJMJn2De3YlKXlXXYA*>V-6Ho}c;J%c?((sE(uBIixEWI}=;(so5c(-q zyp3R46jjr-@RQ``$6ow?lP|3%gY7|piHO!FzAq$c<4q16#BJkE@q(Lic<#Yl1NZ+8 z48I1>JstS72*;@VFaHP2=VSc8B|ALtY~E=FP_#8!hZe8O{V_yBjq8BV#jKDk68T+90qbm1en*PPGg4KYwpwjhiYi$ z8Ul@a*Z(Rw-?kr|c}=fB{Oks+1)Y|lu4)jqSMYl3I}5iTeDj=!y8HS5R9a^@uWa*D zG9cf%^)*#h_2^#;$B7vpi^H!Y@N~uopGP;bl^B) z+$~)wNG)KJeidy$A=U>7diJrJvLLD0IAUqkWAq@GU@^X)9HNassP^VT+_hrqbqr$* ziDuSAHTBzCix;KVZZq}#mO7dLq3+M9A$A>gU*b8m-!1)1K()>g)7kwq!&B@0e`ll0 zgNSv}h-T0P_K4lBxUW7q?5#uo40PqFgl%SueE{>+)(|alpt+i)fzu&(p0{H|9V zJ3a`pdp&zTyTdY+Fcm4l5LGGf1t*9~m8!PTJN3x0xLZI#gFc}bS>M)#ByJTAAuQiN z8^0hVh{*TPVVO+Ou_F#J2~YzwNGX{nl>)jBHR?A7YVMP?Z59bDs#7?a`|=4!nn^3F zpg?DU&BZpYEX52`A)+S;G?-_G845i8%E8$qKjkg>s)&&CI9XTZW5&Nh9p(h+nA=z+ z-^xX)I$N2O&reGUlty$id_|Gv6068JP?)hbsc>(h97kO|5I42O$k8M#f1+HkJ6JC} z?*dw6G+oTMYKga2w10|neLIVy{K=NN*V!L;HbUeRaImm@Hs&mJej_nW@3ruNaG+f6 z5(94nc*kbkg7tIZKyn6^u}kP?Rl0&I;<@ekWJq9GAF~3JHE#^re2vJ5d~Ah$WJ87i z;&`&*eeMKSm<-Dp|5bAM4hdv$pYTp5kCK%4ly@iv6dj$qo${S(A_sJERV7sx$gvjq zas@2Eml5SL5L&QOsnA{M*hffMhmN&BoEJ}GX7S3O7pu(cUh>zE^G6-4y3CTdSZ4OS zq&8aulMyeP!NlFFj9fA9HrnK!DwK`ZUu3AX3Ce^Hp_hKy+)N0F{xbQP1L;OtE}<4` z`OF6Dw5Hv)Kb1iQ1!@U0^UP&GRR=$BN+B9@Kh_f1Ykw^E#8jZ8O;`<^43){pXDR%t z`tz;h&wsFkfjnyY%ACW+va5+Yp=(LhhIYz46%FHNKC+7@U>#Li``?KQ* zM)6Q9~uhhNoK)ZkRa*a`~_+)!26&w`y~q z=XLgc_okqE{^|N|SDtYlbnbf=fBQ=yKa&62|I{|hr`!*#6Z>p?H7hHtU4Z}DO@-~| z+y46_s7a|dl?AC~%{FLicaKJEW3+oa=b$!}d>=2av6jmLZ*bi43H9N!;^49(Gr?L{ zgb0Ue5$5~6PiQ~?6{~^?2|i%8n|sdO{%NGP!2|a&Y-To^E@3o;du$|Dxikr)QY`fQ zinqXG9AnGX>M)PnbiN3%NaYS&C74Irpm0wSdw`Q{m+3U4gUu?#^sHAtn|~32T0GGJ zYRIwUrG_5VfEpT5P)a{~srR@HneEBSK+TuB^nR(!|GaXk0%$@z-X-*fsxqtDM#lMh zL|6oL$5mAydCaVEcfwJ+X{s*#zKgJ!AvbQlNk2CEb_cw5nFQbOZ$jQFf5*LC96xpR zH>e7saBN{71VZK5;GzS>BbKW>b8DoM+Kuf>G#onwkwp;d2r5YZPmv>7gR+=%pUNE%~$qq?t@F%9I3u zSQvOD8VDgFJt?=Quw5lDn;JU6NFb}z+>(%^z< z<)7}U8fqOK7bR1L;&Aa1gj(5Ly=a$3YO+L)fVW9gM%RKeiA(W%?-vM#@J|Nix?S)i z%**W#Il-&5+Y@gq5ZF^?AZZzJKxv){jLj|q(gO;M4= zSSH0DoE+ZLZ?a@8)+NA6v0yBg`$8T}bKCT({z;rud!sQQC#Zt=@gM(C1?=NL5kCGY z_y$PKiVw|CE!ZUiS(zPA*Zsdi7{^a;1zx|i3`s7`=7JvaZpeHB|4kIC)9WOrLwRm* zPxBGtf1dZ^**qD-bJZW^j&PJ;bz9m~y&2&rc24b!P?*G>w7`$z6$sO7LsW-3RHmf+ z;DfgvYm&Z_hLPaSJxCeiQvB{1|3j@M-FW%Dcnj9Mgz5-~0Xgf^w=aEpWVUiWi>utr zxDdI#)7&<38i>g@0-LLlFSOgGQdn);ls#R1wfl+YkbT=fqhP5@1*F4x@H1rX&Jp%`OBVnn0{>(Kq9Bw$c zj;vfioBG}71RcUZoNo|yCoKm%IaSrkK48TxFGV1SF$N!#Se5q=isAG1?b&UG+2{iE2RtyIav$$%-S5K6#0jIHza(Tq;X9hLJlLVEGs<72hG0(=@}YOT+zRhK@}YIk zGvBMBL9rcm8U0k69+Y~E$dRT4PwsDy(d5HDB5Q3HS&8WhUaq0Uy zaUQ=;ed+`5N85p(5}Z~cc8E6EhNd~V2!CoTv|G>$@zF=~@pOBTRH5}uv-Q*>8QPWq zA$Wjyht zb8_;ZVl~#_HyPT_-+{Yn8GF7Hs=uKGuKn%@$PR?Sw+*Ged>hVt^)b)(s*WuE4#5s$ zy{z^p@a}11O8?bp6XbGc{CLbq&}*6XqM?3z{EvRt>fkE2xVN{bppTFDkq*vc(5Wqn zK2wEal>X`iC;PLxZ^ftQSq(;Thr_-3ZzB=`PtSx3$ne|Q@%~ve#BNku(e@1zrpNxU z`%TK{uiqakD*7)j*H6s|SYeJ+9CBqJ7E)t(uBY4&$vZe{ysiIWK6MBZ6K63v9lA^C zi5;pc7+)@YD!lNxOw}*c)C~5-{Af6(+n%F&EPY@(B#P`Ix@y26*rRgPxbnfz;}T9A z?|KKNw)Ts=z`d*gAyzrkr`7#pruPv8kE2hVSXqR*Dea0+7{@iG;t%2Ud{KGxAcAS@ z4=mIBWtXGNr-|aFnJI=W)NG}G>!sE zwwgwoFqZ=LV{E=mu6zB-*$@0!`w9$`r9&7zFt12on?kgGMW9Lg#G$W>yMW!ermV$4 zWS90}Za%&C;761JpzX|Xv&|?+a*T$1gv&SfZ;E>yDbyxi8PVz!d$;?v&d0wpU-o1) z2e*l|Q4LoD$&1xO>F64$LhDPem4*``AwPuJR zH*#d-nIMWm<*!;=$`fKkGv8zmxhW4lX%2BI{(>!a=|k>q^#OzN#W{wWU(9h6@$m!m0|O03@(r<9Sc2sHwPijjS=bc4lwoc@ zAxOMWdoV`Sr1T%Gj(Nq^wzWC$tr}9OhObVA>e(;;uU1S>F{dF z+1(~3Q>S1zalgs*KUlu{={6tl72f`aRhi+e6`|Et!S-o_RfcsEAE(szTXwH=i~Eg9 zia&yHY877}#|c0Dlbv(~?>9YMEqQTXTrF9frVJ|D%+j)&XRE7QYUTRceb+p_#mc;4P#p549+WT;c9 z_Sr6t!nDt9v=S-3Oa60R-kzpThEAWIn0Z-VPtrSRtAmRE(8v$H_N#w^3)hQUdeXgV zGP$Aj&e~x-fQ;X}(gfrFmz+E9CxTkJXQ9>2e8GwQ-nRR3nL$i;C;Yh(gx>=i9(vu? zN>BRlq&Zp4smi>~k0vHHX`U>Cok?e_)hgz)TIO8qiyk)mV#SUr6qz5BgPuC5LB`}+ zcFO|&m@dFvA0+-DL$;tXNY+aeZjw-qnJFS8qg>=RPrhrKaAR9!dixBgt=^nm21}6^ zGOsOcQ&O9fTBbI*ss!yQenul!#_2Kqx)<*@_L#3y(R7rElT&Ne8c1tc4SB3 z0V|(imXhlyWFfKy*-Oq4D#>cq#!JYCuvG`v#RHdX{)2to2sKW18I%u4nY2>1F|tbj z{KEmmxSO3IAq|(HV7_RnXO3C3aU(Zh9Eq#+eTZI26E|LU4 zh-V&`X&WFDVjDf~AJUWW7a1RVnvXJcTaKWe=iv{k(WXpEiiQN0lDx7RAB5?C5HG=P z%q&*?CIj&4TmV{1Lu$QcbmxO6#e)E^IDPCBDiJyMfVU6o+c1+3zM!Ho)kPA2%3Q$$ zPIlMOXVcyd#|b#wurHP1HaJV4f{LT4%;dA>su*XZF4um^nUHHiq2vG?BLRjKO+{I% znaS7?VCXZzz;Mv4q@vow-JdoHiw4fnp%;ym_+3>wyP&5kzEo^YO-p{Ry98}TKudlC zRsRO-E%?47hsbLB;ESaGQ)2TEZ@Q+&yN zaqQ*iTIOGVj)@Pr?$|`Cr;Oxbj*qs$!ifARxjZD~jAw-`IT;~qlgRJig*QBXd@w*B z!(9K1zyt?JV)p$s~uQKX>V9+5I}0d8 z4oA-Oz>HToWR^(n8Pfb?5Tfs@dIvd-q=on_f82&t@HIU`oT-x_9Vc5C5GH5Kh5NC| z$^Nlj+so}$lGD43x_0iZBoef&{gZ~miq+5#n%yMDs&VVrkY~?T^il*;(geMlkp_lW zR@5?Zwg3!sbA&F+|3ZWWbwzMk3*~2V8U?$rp=PNYSJvHMtg0NOG=j0&o!|TT3udF~dVhj5?Gdaq?SavNI%$q_>YHt9D6JnjvXHa+LA7* zp4su9%$Deu+!m<=c{Rp-aH%+A47T40RVLdtonlHs31{G(8im}G*jSQeL$DLbxuzZi zGVuQ4)@M=67GleHcnq;NYkTTAE^UB}txmdJEkYI}y&oXK@lQmswvD!{rSECuGo}x@Tb=D+6(aWLU(A9;MICRU-rkHgtO`*OfoCiXvo^!Q_s7UsX=zaV zIYn{A<-Gf3WpTtey!$y-am0dr`(*WTNOdhIL*E|m_t+Me`-^<a_axI0$=DsLI@V*UcFnpyIz4O*)!UX4CA53aU5j)%yQ5kHj-5x zqvAw#^TkWZ*0D+`^mo^?J3S6+4}b;!!p48$kiT#RD$o_n)cEMNpC>C`(pJ6$>z{9@4cf#@bYGQYN(zh*gly;y-d44E!8$^m z$H>6X6MO^4&8QJ^;BrNFa(ZxJ=nWJK)-g0b?E*ZzYAxE0`dkAVF^OIh*ym{$uGxHR zGAiieDq7(V@#=cjb#Ymj+D(YK9!;qDjje?vix}Nf^^I-HM=y0q3GDM=&IYQHvJsx615L1i3ILt`z`-IB! z)RQI5X;p?9EPJ#$YxP?fADWiR_vxCahbB^~A00Ee#aITW`ALX8j<%l67-;9Dk@dzT zRhbnm_b=vWTniDE(phE`qr)8-8fO!Kf;+HbQ9@INzP963LUWgwzY_eOlfNxq!+P!& zEVJEqD=41tm6@=oH6b<;m)DY)mRFLe%;|ZV-YGgZ+GrC&z}3}|V<=;6Fn zI$_p;WkSrv;>Y9%eds=r6^!LT#q-?H@m`YbzCl7eVM>49YL|OvwBLh}pVc6}Jam{O z;8@!o<#X9g`pgh<%9P&SYFBXCb@wOCRQ~OA8UEI(#c&cO(C@}NB;t$?>2Z5_tP`FF zuyx{$;^=dic&;y9nwk_%A6*89`!>Gi?{yo%AQSrfu*=8ooK+tY8$on>yBW|CL11}V zHSqSp{%}JP%qdVNw8!QhPAhby^2l%xCVxuXjc4M&^u*Iq;@eQY=zTXjRZc$D8FDam zD<6F0s!f#srbDwmorFjAtriX{gzLMso?Ehex)~GNKx53GVIetOaT9^t+Ac+%bqhF=Wz&VUgh~flx`PyPh%Ds!uKtQKWEoq?`|OswrZ9=;JsL=b zA`r)&AM5@2W{bCH{i!=JM|gVp@HMFC*b07LNU*J5(4r};VH=121|#P867(FBekJbl5Pb2Y8;*Z~2>%k?1eG4S`IVZKu`xXAfMz+d7T z@7~tUu@Ys3(-^p7Ka!N>Da|u(#53;M|Bp#f9=y2gI}yL(1~QYmB^jn)K;%0VW8E$C|`dh*)Oc;%+TDi3yaJYac;;oJY8gC z{|I~hL_59$4@|#ujIT6c9NUwQ6SKWLKBpWf4ttpv#Z4-Tx9%Ag&$oI{MNMl;P$l>g zw1_MAl2ip6KN(J#(YK~5I5}jC3UR)(R=tLW(t-Es^frHL+&yDEntw)qXf+6&YCtg} z)d+C>T4x&gG-gI5LVjqwXMl{J?`Vt*-RNY4VEig+^e~M-m>JG)pTCWdy)GdJQ@1bF zCSvQu$jLRqI(^68j13s?Msx=G0iCy7a4t1y-j-S`q>#%gknY4Ef49sx)51Q_!hQ^_`+xaXsdA;yde#B!6;!9@bWza+cEEFx$FMH&v^BmyI-7UTawd6SSvJTJuP24xMbQ`T%#sD9=_2} z3z9C@qx~jWfUkX9>&2`bBn6QWAlUEENoFJD8HJIGWb14h;rlUjK&+focVN^CU&yn) zdYwP#UIng|;d}NCA zH3F?^O&P48%)C{G3NY=$P>2X9D0P6zmwE(xpm8Q9z$R4B(>4GjijG_qapppafui7N z8c3_6{O37YI<|Osy!-_5d_74E%8$iKAGM`b4@~3L-z9J|P3-)rWd-cNdYSnP3+jyj z4e!-raOZN2PX#Kd5IkSEcPSD%rJ_zMsy2}EWUca%6bN+-Zj4k$MX<>!IwS)L@ryw6 zDf`o)bgtJhvD1A*99}id<@y}kr-jD`F>ZyLYTZitO0`PzxrsrvjJock`C_Zn=tmf^MXD7LR!rDdzzz=>bNMZ>%J8$f}u*)B~%Wq$P7oL+2I(iZUkGhmT97 z688R>z0)^qb5x}6=_f&Zfp=i}iL!xr8B`?u?9%QJ+B16ovUDZvx91mkce9t9-5zcy z(?4(KTN!ezieK?4Re+G=KT-V|q-l~PMMhkPhV3a&mS49VF%*8?>A2VANl)W^)@5$(oIfXB`UeSq3LlEx^C$)}U zFhAm;Fjwp(?Z_%(>N><@Rao7!z0|0(tT(^h$LX7*ht<1P7%pZrGR z5KBDdB8(T#7CQU;<1Wg?9+CXu=2*W9zRxXMUfo4_t;#;ETft)SvmQX}my3~`-LO}S zug*RZ?Eyd?~1g!nkWC9Mb8|O5z_A@r|lHqy%m;D7LN0=sIS#9D` zKQV!6y4q4@bFKV8)iODz34@6_Qfi3W6_~Ypz#6K+%Z>tfRbV;%st8z3-TMgaE%@^T zSSSZBqBRwZdKq1a)j;B7H~v3B6Ij1%rDj;E;3=usj=5xZ#|5AC_JpIq6=v-bA-p@g z!f1n*mNk5>S3LEOYAs>#v=^pTc^@kIn+C1GD~vOle0T#OEgt2CwR+K-4!yax3n}T@ zu}3Vl`nnd+hnCKI?5mG^UtCxlFS=YJ(IA}59G2;0O=sNB2Z`0_ zgKcJ|U^r0J+%D^3b8py6I7_) z2n_ep{^Fk#fKtq`SD*U_8vR@4yP(??~&d~j~*{k~IHCT1h=jJX@3E%@k z0Pm4oLe^zOuG^A{;d-x_X$pcwSOkzm_YIZg&<;;+WkQRY9y6^At6|1E%oY;@FS{Q- z>e*nV-XKK2i(aIaJ-Y*2VQkw*Q+_S{GaerL&hy@D5NK&1{YX$hqkHS~ZDQ8L?=Y>C z3Nf!9M|QjN&A9G%S{M{1aUfq;2dWeja66Ctsss^pJ41Z6{3Gk)d9wBz%|T&_tU#|` z7q5wB1xz=pbpkVw0GphLDPm*rr2!!uTBSw8jyQRN=mq$S4G|j@Kuf&&c8Bp@Wh(zI zKu3p>?Z2jb^=X9uXDXf}J${P>2ODX`a&9VKbl-{gh)HrRJI)7s5+hM{Etw@+**AKo z2Y9X%&^ITK7!7ze@COKV?~MC-yF&9?bW-128F+C?&Hcjkczq~1{Fbxwq?^zXx(1F2 z080>0SKxSUeEw<2gZuNN`@igaz*+Qk*^RqCq24~B_WDqf<@s>>(7kJxc7p5ae)q7w zf45ly)-k$&yuOiItl;!gM7omB%9592aec7J%uQ<$D{;Gtr59KUG5-fLCJ z5sRASI4EGgHnj?;;Js*nG6Y>HxSqV(^UKpULc|%I-A#hZzZdKp_NPrkO6R(r_vXau=1%5_rut#NmlE`>N0&E~D50wm&+-#Y-?ThRJ(OW33WC4&uc;%roW5p}2oAoE-ET?!nKrpS z&GsSFe@4xXUseE=gSfUh;6D`52AKSuz$D}aw*64f$dG+3#eCQMF9c}2fEskxv`zCt zQem|SjjzMUi~q4P!m#2v0p-W4AW4?K$Ewps|HIJeG@_`pAntchmd~++LeCT4^4)$a_}J+am22!;HIpWH z3J;dFjzjcF$zr10)<;J)900qrrn zW80VHs)7H^zh!LBXEAVf5q@11O+V{&Y)rAjwxZ7)@_b`sD-?;!adLEu%4zk(@!@iH z=8<~RjOyO{&tosjwgy*eN3-F>G|EGT4foSQ;HC!Gm4?qzAoz4uDDxOANhkY=$utj5 zZ{<0a4SRQHwGiR@`EDQE^FA3Plfdga>WNyQqH~PobankCX|~bhOV4hh&{3khmp{Ba zOcgw;$Ld~uLtQ1-fBw^ zrh8^)#X!Qs!MKKC&9=c{_JsH&{1DG_TJvc{@wpZ4(_boz8i?^*;~sDRi`M-fk1^>aW-X`i`mn zd)bWgze(z86j|OG>LqyHygQt~>tG5noeJlcsVzX%9+|LF4=5YY-u>M-|}a zX)?25nR9h!+qH#&wbJv6x8>9_2^7Xn*D%B2c20Nj$A5V?fZoxKp&YhUT`V!HW)cJr zI(93$+2GQSp@?la8P1UQ@4oblZskBPdD#eLk~K;T8d#`_C^gK?AG|13$=v-LPheh1 zF4A#Z)c-!+{_5DP@J+rwT*HF)7tb~aX)i4}O&Aak;V$7S?cvw)uufL^Vw7 z4oNiSFC$}r0XgRnho47PZ}$zq7+smrI&ywc+`-~AyE4(Ma-4zQ@1YUi2+d$4BHf<& z)IHUkWTLIKT#gt;%71s1!hL(P%96FYr>JtP zM9B}ShNZvZdPA+0{*C;>WaHcB-Z1(gQ(c^)oThnu5T6@1hk+IS&=?wJq&P(d7FQcA zi#?CQA>lK9qv~1ekvp;4pDA1`s^rB~PfAliQ_NG5y=N#g-tCtg1S^4t6iSQ@bQ(H* zfbY0IGn%adYiMaEOp8pA*HsDZW^M5^*9qFo7rzcrErYzu$~wg(0>^{E1TwQ!69^I|=W1+X;EdXoWD zfU}Raj!Yf!Szm69N6`;2j+fy!D&S-`T@=*Q+imT)4Rjv$Hq-VmbH(mdu5c)rsRfN# zG2`V3@%MgrDM<{TZU54P!#+O)il6|xb7w~tg9{I@;Iu&m7m8_;F%rE3C9WD9J8tXf z+F$UYPJ^sd5%jbMRU|wL1B<_o!Xt~n;3xkq@cjpIe%$_Pv6fqv7+X>RJVY}>li8h2 zzr#K1_F7}8E3pN3FNk)BeGIVMMrkhu!&{hs&t-|bjG(Pqgc)aUA*Z*VMS=7C=d0Gc zir~q`H_8*n`^?SaccKH&k}-^{3?+U|i4n*N)ON;u;$lk0PLYtLUMq|47E`+-@|Lno z{7@jftLl#3zm0Xb#AoD_?^Y}X{c%YRtW%gop93K$h0#yb3pkllrcUO4s_2};Bapi@ z_P0>AVBXF{DM;i@E)2<#0gt|MDg=FX1x^gbEL8$&<|tQ+<{Jb61?}cH0ho!3o`9LC z1%m}xlW{qK@yIi90Mt2btc1i^WR=YX^OQV(V6$|$5|;(;0Tqhaa^5;S4N7`orLT$- zF|Y|iFB@o1Xj4FQs;XS7Onj%`ZVp%NcQmHQ?AJ#McLX%nC-IbPxiZ3uugsuP7@O!@f^%zX{^5Aw zy1rApw@%hoN$@5B+CJeIgZ9Ox-s=231_f#S@AS5JBxXT%$mT1z+8C7LpbW(L*m7YI z2a+moPMlkGpI2Q%?j@W=5Hf8C4tQEUl!cV0?^`x5w4V_&0w5H{qX1gqk!=2`R7wEY zyWKV2+Jt`g*@n3A`0baJ%&6?ho5I~MB%{o5&k98hoYHkb-=e^;7P|nt70zFSPVhrh zMHE!}TaZVVPFhMhz$BM?rOn4dQ@;u)r{&_x3QCJi7$V2uj#uJKP|{gjd{v0&?8}LfKd~z`E&Kx25NL*FT2eq4 z5;__%n3m5Vm*x*AWczB#Wagp_y8=sLYkaOXaEs#cxrko&!wO*P1s%r)L-m)^60nnFy##@WcI-3UjIskfYH5DYWDfp}vQcN#20NiEBJ8-#q}`GQ zek9P|>M-mm+xk7{xF$~0Rts= z_+Njx$nY;#;c3|}Ow+GmZ=(1)5L5TjKAwR$KfF%mfT*qWed6s1t{j0}PLasn?vf^A zL571dD493kV8H^hK%^HDO5Psd!e*>Ch; znElSKu-SA#DTC?<=NAE8U`FHG5tz$ptkea1YMkMhaYEEHf8Y&)d_pUn3S~v7>VPGB zp&_b$;wiJ*1%8ib*Lp@}@6!mgx{05W1QpG{lK_Q6LRphNr{-S^=-9RL9IAgfKlJXx zv4A#BtKm+s%!V7x1BP)+qhS~Dti#nM17eP%noMSkTX7s?Qtb_m{xIL_bw}f}BZr9e zVl!d}_GBA=K*s+U!azv{hXQm|n`+2J70P>G6GZzAUMN`6|v!pW^|$-gg;5(|u zjLNE=%u|ty7ZaB+4it1X3@$P7@R(3+6m7?or+?Jo@)F~Y$^)G^$uKw~)=bG5O14~m zaY7{g;#;#K1H22sHZV{7qdeMg3-aP2P;tGAx=}t4v2sj@2x1|Hus`N#)9+PKLjvJE zh{vmt-WMPJON{=tDN(9jL>W&ks(fQ}W6_e6L3^5Gsqg91$VRP|ZRQmthZeOuj1H{I zY7$)6V75_p?fe_D8+WXC`;?vEXep}4t~_Li`-NtG^t>OhvwYe!AWih&M>QmIB+Vq@ ze`w1me=MUKesQDTmEi|=UZ7Gof$0Af?r`d#yR_RX)tO4Cku0zO$QK?< zu-fhb)98@iSUHD-{qXW{j*!B<46B&vZvuMT|63XMF3oQ*KMwnn;#(M!!x1wKQf8VK(3DaTgj6nqF^44 zfxq<~?u9K{#`psEi)veQ{dC61_()`sgQUIZhus_Nul1fF&;`!mT_Cj1+RXb)n57GINs`F{(H z?NOUKwX^Y#;t}nJM>Jm+oSdZj9yBKt!N}jhN07#kMg*b~8buREtMth6)K>if~dicB#uUh5KkNg4RA5dN~Uit~MHv^j0#FNM3o z6Og=&M>+p)i-WrJcIm;O)^tM6Pr9+Y7u7}j>0o{#9gcMQCyFMAn5{vH*nbF-BO)VE}LNrpN$z6_#r&8@9+ozQ8d2!jzAgihD#oASD4)VF!dI} zWi_MuRAJeby6&hTF~thAnG;c$AfP-#boKMz2je${2m&lP zar31jHB!+{$!X}f_~4!s59O0Ek>7<4!b+}!(r|v~2HU)|gVU7>mY?ZnO*9zm%Ra^G zG}$#cxY=%#uMOsRB_M^;B@t;<3@oIM371H5n_x$<(QUME7-FT|>kweG>hypah~q-W z*|4L|e&05QMG5qX>lC@W4UPQy&h?$Dm5hBICFE4p!}>^y;9kRiR6UXTBZ!(({3-YDc&fL9#hC z02SCwHKSHqj*j6#qpJlOq*o6P8Fw^pOToQ&Sl83#mS#K}3J?&4doTVdeqhXEMAr4J z-Kc?c@(}P|9N8P#afTV!gJ9tm;>7S7KfvF9JzAH$5?K5VfDh>slU|1^JgZdwdPd9N zgGG#hRHz#eSJU-gbp7pm&w^0ZjUvBI`j+cDhT>8=M#FOtYo;a!^~`KYox9O;)|@DH z{bop+mr)jX)o%J+hYRtM>wf_%5?@e2#*_DMWu>F$91r~wA-2oky6&#dOvlPy!XqAd zkJ0~EHUxSrqJ*2*~zQ5;re!us9-v9f4KIe1hoVj=A&OI}8 z&Y8J0b5RMcNvKH7UZji2I;qx_`k1Fa#S=2U|(ca_Cn#s3KnAG-nHf2+0kbShnsP#AUaRhuvq%;u+* z(sIA`$~W%!VZ0|pdC!IN8i$^}HjaF?*utM+D4T$QYni52dHBYS?fC_D4HLP#DO5JyEfsz+=>?w{c}o>)-qcE8BFFeI}Qm$DzO#c(q8q3 zT`)g@Jb@wYlhz)i-m3ARerMgI0ao-q>tyZ#I|Od6Um9bLiE!~#hSv0{zeXR{8KFag z;mOwJZ{%xeV1YDGNAm*oleB@{8K!1&iQjn8b=L8o(e$9%Ghnmg^BMluWzQ^>x26CMkeI%bn> zkwR!*e5aWTldDS*1!NzRazee*!}{-OP1UTQo!VA>6Hi8GOP|ri&k#C<*eY(AAFy`R;LacWpLAE5EF%2H$*lw;v*b8QhO!|H%DZ^ng zRnw*HZurC7Kv4TkbuF;c&!m)K;%ciY1rl?eOHkUSL}HG;WJQngDV0VP<*o1ACoDA6 z6Poh=kT}4|uppJV^+@Z`QJev})PnC|CF!@(C=#{P>4$>AJg1Kzg5% zcSx3>ObR9W(OD)*8NQ}yL6E(SC~7>0<#I-YL}}I~APev#(1{_Ju+u2-kd1GAmUCWW5eI8w{vbW^jv?tv!Y?s z_+g+;Npv(>!eV zy0{T<@=(DTj;1URr4mzb;|`|Hh;^7N%j_FXEC;x zYtHE+8w$9NNA-+s2NSke#xxb;L&aJzyX8R>a9=ud z&lJNRhWQE+d)|C(+C7Gl@DCBtsffy{2=(a*ff=Q#ue_P2iNwKdtt8Y{Bz6@;8cp>l z{OSdb>Dp=Rsw_!5mY{IvboU`@UYDE7kX_1;U-ONj)D1(3Vc6Da#1=)=mUQ%%Q_R-w z*sT}Ww{l%h!LBDcL~m8PT~E3>Yb;1{8UOLNw8Ip4d>4mp#ERU~5U1)B%t+0ctk2%i zQngafq5i~9-ko|`#;pUl61QB%pAmd}i6yqyx;MMf!;T`UdGj9kp+0T(1eTu_+RbNz zPM1uDtxSbkw87dQ9#fMr&0m80=yPj%PYRizze#%kh4_Ah_&CJnol=m8N<;qPwubuX zuO(`tM*UJMJICp6s|yZzZYpe5R}38$tL4;J;mL64L?u1smOTsIV*3eTHg`6AREq*H zo}0_3N&KytMv>uJ4b`P@5S2`ELLx8HobT9H-*EPN`qL$PW94-4x`uShZ#hlzxt_GC z;v`~7#2U+aF_+b@ppOiQ4ey{&43+RieCn?-34Ww*@B*LVNW7W`Kf)R?0Hr?l&;vqe`G< zD#u~HLD=QspKD@t_yLKSTFMNQGpXsM_)Cft=->Id(h`aHMB13aAEoEgZ{wy1|;96^h*r7<@i( zTH#{5Zo8C=5)FqVEZiQmyM5;QGk-1@7XpwhoJe)92@BPsm5c=lG z+Zyo+HmY{TXqAI_d;A%{z(|%@eY&NaC;}HL-dssFdCM4R%r9f2iqjmjNsc zTfZ)vV8e}tp~j;L7_yx@g5L%k_^fs_+63I0`F_k=aS0^s%zSXMC79-8wKL&g+}N@2 zBuSDEyACnV+^|&pPGTCK^mrTdDn4G!<>#il%0w$_q7F4~TcftD6P`^0JO6H-3SZgk z@I(_`pl4QF5~)E)Eu9d@sfB|hKijx@EZ&A%pGV2Xqk_wHYcjU$S*y;YG9@y7Tm)>( z-jNLvzx)^(+L;pY7vkUbjNi?k;=#~ydC=jc6M8tc%HdZ=v<11euvu2Sdh@Mogb&9n{%avNIM3Db?I&6-UFNo7$8ZSDe*3K8yvv`XZ_^ zPQ-+>^jnHI6KX%k)!ejC24lgRO@RgE84(A97TRK2w6GO|Y^)BrfI(ZZD#x~dv z<-T(g`-Tp6+1)pvA2Ud>rzPsPJ7EW%Ym=zV$Iw(VY21K z^GvmQozz8YigNky*t5~x7!9+9Qv~xPHeO5M-3(m>oW*^akh45Jp^2-I26sb(IP0TH z;=ttwe?!pDZpDh*gPj#yMQ0z8<*K5BZq5h3M&jv=PA(9=#W((aQMnJARFCex6~Lw? zPy*HCyQE6e?Jc?LN{|!3WJ)HR+zgu1RkgDw2tWf|L7y7|IRF-#N@j`eBr+?wa%DP8 zZBr9n*mLDs4|7M)o9>=Fn5Z|&F!}_QBoVc@158Oh>8MOQmds=kMGhowGG$K8S!)fJ zE<%R6HflKchZ;YZZ8PLim5-RfpS) zJw*&U5(j8X?z7&gq6zT4uduiSU-tcmPo!K;68snhY)dLYv)7i6V8j z0TnHH?bBFIdEO{=)dzUY1FH+tLRVib4GEF*Xr)a1m1*#+RqVxMDWku%tyU3DVX&F! zTbZd-H@Ud3!JOsHW@A&fMiDC3;yRqjqrTuWROZDTWO0NmK6rUyVNmNRJK;gNGvr%I z(E6pmqx>FgJ@zm18xHIX3GDMB#q)t}5aTX9ZxBrNbB`_RaeL`vbyq42pTm(H#+bD( znv?lMKMG^cLG(!>=@u_GYh%k)w`WSoii2W%#syX)qPUB6UqT2X0m9-f$@ir-yH}Q6>qISPT?V^8_FMnCL3QmrO@xhY5xxDo1 zAHc~Q|44T@sRUEP-%ql`*Uwk6+lTLd!YM2|h9jMRdCDUu?VaDBQ67~i(FTOJZ{-Zo zzWXkv;+XOj0!w;sg4zCR*B+=}sQrFhl+x|j4+Yfn7z<0Cukdd*X=_CXL8H}BF46A^ zqf~v@7r49h=WuMD{CiF#);CxXVz3Q~LYor{9?k(l8aJE*oU?W9X~awd&g3IpjAa-# z;@enE*9SY62Rj5E?hMbv-si%V+^)L1eDWL1PBL9heN8ZEPJeQ5b>yX4^xT-{>!#bX z5}yn@%T7}jykrcajC=hlk@JK`i1>y9t@0g3lE(c5Cm-svk#L>+@Gr9SOu|Bi-K`yw zrgpwna+p~D7BfyQRo3gY+_1Hm?QyWswneNMV&iI`jwO2POLBT0et?GEl)VifeNaMY zc*}5z6YA`PWr%~=a>;V4XC?Igf`H+W{A`MutjuMw5A0Gof*uoJE5&;X>b&k}K?FW8 zaZT;Pw8f$8)1HTSS=E+m!c_)%p(k#_Ba&@W(sLBiP9-{3SK9n@g zkC;dpVOO>D?<#oAk}7X{Q8po?ACBS0!XpUIpHK;@AHv@{Et%g+wWODyXKmZ2=_ZL$ zan(V6+coR|VJ6M?gt4o}B;6$!+zYt1Mhz4WG$$)1xnpY9Cjml}p*k6k)c5t}cHn#; z?savkd@S`YM&TcZ`4u%uSERwti9kT+&=N9&h`~2eGHF(l(n6n{mhj8uAiM?AeCqcd|Mt6KyB+PVtS$|2a@*1c zSW^l}x|bWKT9abhNCPe|4<4f$bEh7XGh}1_d6ld?UD}PqmSWmgo)8B9MRI+6^FI8+ zSJKa`3iA(r3oj5b@?jJga`A zo?XMb-%`XJY4=&`=OFh8jH`HIKR97$Hk|kAwu=8!#QpQx1i_Uc(tuS-3zk4&-4%6l zt;u}`Lt^_T=s6y;yJU|6DZfb(@c^?=TTZO3^yHy(4N*-FJE7LV2>t+KyrCtF*|fzK zS{8dWe4d1VvEFDs59&%aMfEADn;LQ?$Rd&Ee-BF;zqK~!F(R2XeKk=PUy;szIY_KP z&D4xHAzq@#QyXsR`-GfbX_7=U$j(=;p`4sus!+>>e|z~s!hmOc`J~DX7(GXOdFYZv zGgm;>`*8hDlC5`bl`HOTBB2TY(I4U}*a(|Wx{v2ZzeY=<2N>EbVdnH`*VB?t7`>CQ z>6`1fO-Izxp&z~7z{&4H>s9rf*>s>&iO%bAoCIEF@^{l-3UptTc{g6$z-3KsZMz{& zj}RGM~mKH z?Jw;`!{5x~%f8+5RyQthAkN4o)XM$`*v$8-Ov1gV0f0N3$UcybywE2;39eqX&Rvzz z&k-B1cc%(IHz%RqmIbVH~hA`neKN zkJPNSzGCV_GVvkl`YhtEVLfC11+LWFJ#D}+S$_bpSS#gVtN`4-405jyxuBMaT?$c@ z|0nRxyXngMIS`Jt?o;9>=~`@;w4pN1+bjJEzCsRWABZVyWc`e++J|@2e|^nPlQ(A}a=)cK)Cx`lOXQ!oa^st^nP+aIHlW z7n8#LZBMddpid$zhUfLbf1Q%_CDib>&~kxYSISi^ZqGw@&h<4%30IZ)l1${WBKfGS zVfdgs*(>f#>j3X)Om>(HU5+C_sUwqt2==qG^}mE(;z4el6Zv5a{e5`4ORan1H^4^0znv zRUbG6v#p<;ipw4*{WMrFE+XpAS!MEL7D**^C? zbyYv@f=d8m7#2FnBRe}L{QnAJ%Z6kvCW^+%%0u~kC|G6_q0SV^!&>;mq$YXx^-a8V zG|3xmrdF*T?z{X?sGUrKkMt3MfLT?uk+cm8pcU4pGdTGcC+{>{KK7XXMl0JhS!Xxm z&{0^xU4vg%#IC7b^~J7EkwzO*i?Lk23^v5z`>2f~QvEGZ7?acBJ&PE;K~?cgOtI!H z9X|aMod+4XK~SkV^>x zG!JbKtSg-Z&NhP8f7$$5w&j9_1MUfheo3;qB37W<3MY#>CS7G3Xgml8LKX`afB>Qv zVpfKbon%*+(>Fmj^mL%3$w`BbHu}N|ywu5Cs7$Sip;X{uyZZwV`+Nx5!_MPEDxrtx zz_U*_0tVEq`pUhd!SlP>J}YAHhAbcF)!E59wz_u)4@WPPFN6SHq_NQhMsCpO^@I#o zqNF&mai+2gz*6`m-D^cPvK=SO?~+0I1pO<~|Kw(S<)sbz`89&xE2M#cNIo)2!wyU7 zYkL3+-tW@z6}*pggJOB)->26}Fjxd+#Hchd5w8;O@lb5U$g;42)N>NFg<&J?+Y_ML z-5)l5x&c1zJb7akK!FC>Pn}r%aN0}!JZKDWHsmHbpc%F)5~ZYxm`{H!7ybnPI1h1 zI1=>QwZyne#Og&Z-z~HJj{um}e{PREzI{R9^3yxI?~PnGE+jRq3y>b)fj>7w^nIi+ z6$^mdNA#`^a$zjzrQ3EA#>{=;=P{gYT$t31guVn*Y?*U|^90<>3Pb|~PoF|x3f@8G z68>KyF~OAFO9hGYVq~MK?2?}i+LSNnc~JKeeHEryfx0O_vKk|8-WUxfy*2_WN5A^B9Y1_%$rZ!bcZ`uIt-^M7Ks5JqF+K`q;h-m4G31zc}e z`LhdFn0x$odrIpv!cQfU`8d-*^J`|IfU1D1eq_d;C_0T!YoTPjy5X|N-esII=UL7N z=Q}-X#8+bxm5oJFDMf>EYLqn9;;TzVWRjDn+I3g;e4MCH|7%WMb~E;RYR}+I!z+xl z+vb!{X?4XNIgR1z+!f@XIQAR(Z)cPr8DGdW6+QWi-mkz9=>Og zggR?#HLd6_DDb?l08$-URabP3OOJ8IF|GpGtENVzMjXB_Mk7W`5>4qDjBA)Isc&?u zc?P0aeX@12+x8~KU#xDhn|WSfTgV_S48(~_?G)IN@o&30n(4)7t{OPsAkW64!4#Le z_#S}!kMRdG?un)mlcG5(3Nq_F?swHt1NRQo&tew7S>Vqh_KfCH8k<<_ z;oCk(TP8EP>DVZf%VVDw>!i|?t1x@d#GnF|TAqGXRia&7BSg;QW- zb*t0T7@oY)?ISF5Ez5|CwI!t|si-H^R32tFC7CP~M>G2+^QE5A>kjm=3EhfAk-NyL z5Gi9>mRqgt4>@RjBE0Su*ITeuP_s@ZH#QaZ*qCOqnOTWl8jqv#>4PFGJ1wo`N$h56 zj8b$sx0pa@5%F~`S}kYmvsQ4LSCJebu`f$8HET{P6G+@>dIKbu9~=RRwYRNJoF$}G zWmzV7dStZ#sZ1tIWHqG{oYqU(5S8^BW|~y2^7sq*qMlQx)S$e4-h6R1T;bI5#q~Ge z<#7NqJC97}awPLEvAQRK(m9e#SN)3o06*}6+DdU+(HhokZlIkt+!n5^uaR0pvE=M) zt0eR^cE56-DRS@Y|0CEcZpiiIU}Ki( zEy30Vm$)U`>xy0=f%_lb_pJTz#Q!jEmqlpTl5(8gx^q1jn0x2q)}nBdJ(F=X_VMM^ ztZmP*9<3Jjkvx}8`13nn3RT_q%l4suWG7~|Y|}0Jj>e+Z!s)VzvX1s;XSdIldZIOv zYb8O)&%u{AFrTh)BpAUK*KHAVF1Gitt z?I9CFivz|T+$x123xSC&1Svj1Chs(mTZhVV>*$ZGPGhbxKYabZIv;n9)#APQM#`q` z@}=%IHa0WQUIxnyGf!-`7%1*JD-bJc35t8!>2jqyM~j6O^9bUBlGjaJX zABCbSJ>=-L8TX?TidT!H_oiP<32m4j36PhF&4L30mCuAiLfJMDK#8nbo4yn6m@24=#q3yh_THs1jg(e!)f-)|PsF(cuVeopx>^2q@MqX-#>zZ7H8 zl*Q~M-x?+RJN`jX&SOKqlMdKA7fj11tD~2!4 zyiOeZ8V%q4pI{#P{Dr8U6RTuB4LklEBkHofK`1_B&E+21@0NrL+man_TH*p580cjBBmARmycvr|*qqS+fs8Gnx+{o(TQpb)$eBO9Nsp zCP+ZIC88KY4eP$Ni)(FVJh|%V382i0NrrUH`EVh5l!32H?i5kFVf%|Ys#ZOogx90y zyAY*saBgwp6ngbiko!r*)pErKvX}XKK6#0g!qlHL@z|}@XAQbcc0xPMf>!Q|zW#N& zFE2fCXOl{uCL?4C2!?iB^beiLct-1%;&qa*(SSt_TI^P`aT6lJtj!1}Ga3!d zR6tDo{0ShYZEG^Y_0;S&l>$xkp> z^ka58p%di+wkPA-_UL`oP40G@(?izA6{=2X*pm+A(3M~fLIUI(hwV7DSQ0DCiP)Q; zN=N`6GD?+UO$+$Oxx5eez?oN9KH-r+!0d`duz=6uiXfkev+n^qo{1!Hj0WTunVd8t zlWS;Vt?*BxQVAGrxjs-tvjBN)ggF1Sn^I#>+X$kP0fNvzQ2YxA@rWqySOR!fhU(=g z^dV7q1Gd17tkn@H#Q#VxN1!X~tVF;`Tf#p5qA-xkS~&*~O7L=~K`kC6noR5CCrc)@ zh7OeP&D7E>P4&QyZEb$Otb00?1_tkS&P9KEL1gHD9cNGVTxLlI?b-vHrCkEv6`YWD zOSmP25zO!0?r5huLAAMj9>SL^7EVnGS)p;bP7&7{XLPp2 zebgL*0mhZGbn3I9%*lHVGq)i7U-jRrAM!S()?GQNF?(2;zjrtld<#;ZLa%@~X`AxD z1@U9f2g~@tlm|U{{b>w01?Q|USpxD6{ilHVFbh*ow}2!{w~}-gnA3ZNd2zK4z6mNxVah|Q<-b( zoUwKmyvkAm48z2xSi@R{zkQOq!nN5;HEmy}4)0g3Rm?ob>ibs=#$g`?kTER^&tC;R z%WW~>3@V>SKiFdR9d>ivv$U7H8`CiNC1u&jk^7tLi5$(NSqB=ir*^+pWpLTHkN+gx z>lu_-iUGb!{Jy2C++EnDqrjLQ#_GDEc8Hhx^)r|dueZx-=697Xc)oq3$g$X0xWxi6 zZ*{#~%Xd>;c|*rtQSns2-)16x%GE35Q{UUFg|+YLW20=!TIE`VF@V(ZCVIoAmQUo6 z4mNb1z060_$vM#Jk;x1)S$pB0{-Ymke8bsgrd8%vUa0CWnh!_*<$aZ^Vl_?heP3KQ zn^a^pZ=$ekHR*GZrdx$~G_SCuJDDkQ2TbR{_VisNy-!vjEWlV`a){M}jj%68cJd4j zfp=i_@Je`AAaB>-qmieOgIECvVN0+u*n{eH9CI8`cY>3)2~>o(&pW$kP_u!uqQ23j zrutlsIE&ad;4IoV;~uxAry`|f%wv4-zXhVlF43(Tn4jhH(D$hRF=c*$a(pJ3Q94&r zFJqn+$YN2E+<3cZ(9G2PvQ^$%nc?}@ZwJltyzgHttde=FsHJ+vP1G>}YL>SqV7R=_ zEf6e@0gr;y+L8Uzl00D5pSL)%^pRgcQ~9Nuk?WwDb>Mxg%&JaH>!@EV8Wn>xOHWy3 zfFt}~fb8dfWw~t3QpRdAnTB`@oMKZ&OW+76Z_|kRoSm6TY&lT0mbl{9y={xg6Qxc2 zAo9}8di0$x@Pt*$sAK#R&PzVNpmcuz2tMa_Q-Z} z;ZmLo=cDNx1(hPr*F4fZ?1oP*x?+aka!b9AnXnt)UNqAiE;s)+M81<%wO=eU&2o>s zZdJ+Qf3ir&(&%Gnb%nAPwemm$jW+!*c$}?oDtz3*Y9A%0 zW_`uVW<=PZMt}WCz{pw9?S4UNedhS9DkiaW9l4?nndAD$wcNae%SW!I)ia7kjK+ z*Z1G!KBRA6CvqH?-k!ykzYG7ZB8wdN)w|Yi#=bq-A;IY_j(c~qBQYkad@Hi(d#)6C zePk!`(xvq8Opk8H{wlPo@Gd$lTPJwf_c7B1n8@^&h8N-_$fDWm9 zCk8TPjUs304xU(Txk-LvTgQrWdzvZH^g8Kv9Ql~+D~ieKh$zXKMbI7daa}&o@(al+ol}63nFqVB4ab89ak^vG38)+>^Wvx4BX>x&sh{ zbDoyf3N%M4-tCYlyQe>wRc)hYE5j4VXe1WhZnK~@mFxk-56E;@#&?o(lAb04FKW?V zz{M38{s4T!;-5DHzLetjBj1&KuBX(*g=psSx}T#}3|U%X8LvB@rQ&BeAFe}@1u1VL z2^p{ZzFZ{fe$F2~61v)XrXWD`^I{W{?4%+WWMjSALi>EP$Nzc#tmMXue(X>@ z_n^P_fGNl;?r@w}mj84*U&H-Ge$9BvOoT(RFvcc{eJ3gD8+M&^j)JHw7F0oj8XwfQ z`i`iHf=%vIB0vh;cPR-VA76VZ78&6EUMp41HGJuDg++=6QPMD&aue@avy%s0)ko0@ zd9$1kqoByV#TuxqFIOE43OO5&!*fiNUhNJI$UEeC08e#xt5ve^)fOJ`u{!9M?M7up zW9r>mm?`fAQ7p%K_e)A9W>|n zX(hz?vynkq25E^;#HDOo(IOe{rNU5!z;IrSCovXpYY||Ha3t|u=dm!kT?lyg5wH#{ zoPdA&9)#iyZp;xlI+z|;zb+K%)z`p6(zOX1!l5!PxbvL}WvaA~3UF_U4ZLIlpAWi^ z2j0G|AvYF$dg!)LP8sVJ%Lal`i44D%nFqd}FxKe;0ZpxD%y}=o`@^or?c~h9-xs0@ z-->>DA9_l~QA9ZY#K_(LGePS(0^8#^9E_b$sRwYtFV*;jb`@@fStqd|N{9bg=-p?a zb8TwP7+b+$^0_$t`~d?Ct~9xb3T~99Kb7c;KAHANOe**TyI7o|6^KV0o zOwXiLw9ICY&KvRQ8^b;QbK=qP*FF8(64CejdJ-MPqvc3Fr#;2(uGkz(r{U5!tPY*h zap`}p4sYM?LvH@c%#vDkr(igH;S?pqSxz$gK@HFhwaf)CWa%AwO}|2heV_Ol-$-gQ z+3p3%3xI5~VgOVIH&_V0s0j5ADVAsVenJi7n{cP)lRf8}qS+$inxe?Y3!ye>(&k1p z##0K-AksQdYx-eqSsJx{6;F^UA_Ftu#L6+{&qPkU+`8ldvxDA;aYKwCp;RpDo~y8n z5NE=U;Py#o(!}>Mla}Q6kq`Y&n1}~miQR-9wd;T5Kt&|FUjO{BaD)=ZK#RLRTec-t z#PX*gtEVf#^3alo=5Nl;=4_ZXKNGnJ>t=%X7VV=d+eiqg^1$S5*X|7s$mG`(y57a( z#e4h5+11)Rw_x9Kj6G7(cCp)GccB?>zkb2@&bJU0zkPsPV}8Zn0AGg=omt7NdWNo8 zqKxhPRLp;=lRrI-T(LANa2b(BTz-D~0=g{J_`wbmc@u#dP8CRoSE(|cb16H}t-xo@ zAd3;8do=6VXoKdpd{g%^P-Ee_c$Spo!If^)KkQX9d&_j_@OX%++kww$&xqy6KG&wf zfK}FV!1S zI%p@B{EJHWmDFjkqh&scF7C4By9G_Z8gJz|hK}yMVz7yw)_5hQ{Bg2{5OF)loN-*{ zn}$uOn(nKQV$+y&9?;uAFzT`jlrys!FE6O)uKXplTGh@^lvK6SvB6HziIKyXH#H8e zD<5p%IeDwe%-!2rKU`>{Z6$t2QL$Lw#;7v2irG6RQnS|BxN`r?nPxRr{$Nb-yErFp zirRUEI3FcF901b?YEEgYe}nixo1zqPe>N`xWEc~?>rYD+?Z5k)5nwLn{3=}KHeMTF zKV2%S0#WnNl0RMCubl^W-zd@u;YVL&oZ-_vspD`HLeFh|DHH5vKc#UpDu;%Oe&a|I zDp#N0qHeOJHc8oC#Prh`=1i6Jz6LKHM+8R^!!=wUN+1Y)!k52YNE16tg>CtEiz*Hk z#cinuA#DWN9r3}R^am~9DvEqaU&;)|GzVAEQ(uE7e(`~|+fifjTM-S`XJ9spEo%Il zwEY-SwC6&BMEet5e>#dTj_p8oK;7iZ(&(mz^)c zq`jR270>jU8qc2LLf|9^r5k*nv&4q_fUdDoIVVYDZ_59~1}9Fv}X&R3Wb zp3Y5+A^;@*#`3pUNHzVR;3-o{&$O{Sg!Of-j8-}GP|^FpU2(r$-C5B^ zt2ru^+6q+vcStXT@R{uC)=^NBn36cvUsxfQPeS1OCG*mz0iJ?XSQHh>1tR#mqZmkF zFX7~(^Z++ESeg9^gdEynuH?cBpjfrzFH}h5IVfQhD4Yh<{{w2`MYe~SM#O`@LYMX- z>h*sn_-6{k5LVaMpv>3sNf(G{9+wh^u}k><&kSSG$p^}y@dnNDb84n60p5l?jC{{0 zp2e*vJtJbj+Q~@e-qU;zs0RI;cGa+fDeLhg7`t<{O z?)2)A4ZS4}A%D99#XSH~1 z(PWU&kLtDHy@rmjRar}d*hWmS!diAJr4SpI+6Cu2{ol|crs^(7tD62NTr1FkDlEWi zRvK=+Rbi{Y*H3A&YxTmLmzKg5S9tSArRFPjb+ckDA7AyTG!$yS$_}Z%Ye#fHi8kr@ zgfRLC{6gjoHgFG};u4Aof4=Ann2-+Pf>04`^gI(J3w7s=yG*x%P_9xR5+i^fU8UD3 zRiH|phss520j4+{8?717l#cSQWRzkbpj--4uW!htPWH&4Ciw*3EDC)|xkTTtZt|R^ zY+$0?V{Kga+7}DW3IC>R=*st=n|PDwWAm`$(AK{GhiW&SQoXu)9)z8WJHJBxl)nZg z^^D#*HKN-O;xm+TQpQr#B{&W(w^Mv3@`a6EZTyoVYwD-H1mP@IU{h0r|d*S~Y42>h3#P=pNrQj+{} zBPD^D|AYjkizd&xUrWc&EC(j=Pe>-p(iMj)-FJpGzgi%m7wBY9AVh*_JjxC#ig1Be zF|i+55ZhWcsKmEG07^CfkQ}JmGWUOIIZCT*hXx_H&(&UVDLg}UP3uuwt}8?QWpgIM z>(*jA&sRQ4X;r!z{gH{c`46%#yo(*0#UpsVZ=HCfXhY;k%yh@HK4Ki|4j|*qKU`z^#uW4j3c`zl@gjFi0eS9d%*gu_07wrFiYTxi$53 z;#8r^w4qEJB2yaDkB%pETGzB>^fhu> z*K}o+D%%hp{`5+;Qp?Cm$4y<|QO}T^?*@=j`M5nD*7n)Q&@VQwQ z#d6fnB%FXyIEIm7y-|L-Uvu*>#`(wNlqE?zq1~ftV@TD<$#~vr2c-}Em!qSWqZ4(v zH?wzRtT{3kdturHmb3m6E@g*-nJ{Eu;;U5nxlTwQdogZ>ego=tQ^$VSB$J|N*_c2D zWiWOTxDoh(33(!3HV1yjNs4Ne`vni;MAN$yq4=Tt4u*Oy*MzV07_|E{4_V*3lXfQ0 z=3u5@W@&FvWf&88xnS1aG}7OaVrG5yesR4iIVN=yv7!8;^WA0?ge9xMp5@w^WYWhDKJ9oMWZn2HU3y@_-yJEF3@4VAmn zEq(`cuj*>6sCpWj0WE~U=?Z1y)z90~jL^IWBb_t|1JLW#Cv?Hluztm#wDlxD&g$NI zqj~Q>+xVxZ+d>a!Nc#nsvN zDKU!o^2UcWmFu1ll-8lwcs6A{#FBj-T_&!f3z4X`3kaV~_%)u4TY7}HG`*`lF12^U z|98N;xuMGg&YG@6RU2Z^wOV^bJ@;=K6GWw=Y3wJjCsyukT)`Wj!dLFJ zSLz)@L!%6WnZQs8&vUxELmZ$gEd{d`_}8(nJ=4-2ubE35As)T$)CurxZAGq?7zN@0 zBK`?~!#_|b)$kuMGB}7AN6<>R7URU5ZqE+7VXhpG!X&cSZXYfniWnqZHb!MMtfAUJ zgp>D-S-w0F8NWD2>PwRG5E{InClcabGL#3Hn)nosYo4Z-iEQPeo~AL0CW{M;7+_7l z^1{?mrx?L^8F(@{!M-F7`%4S>7`0075VT2u)f)*2z zpFZ6g;W*+BraGg6QU7k`-XvzV(k^D@-Uq^A5Kf9w81`K~0r3wa(l_- zP^;GuX9!{WDX9P(j4(7Rdztj}%mb+c@|BJCX(_o3u6Gk*W z%k4+>#43hd6hHL^{t8ySPa1NnWCVAsy`+d^3V4%^i|>cP)KWuD&Ekwp?OB*NOL9Hg z`_Rutgl4o+W0J{9p{52+DLuf*(F>nKOau(wh84$RJ5wS1Tu;K#BHKi!hai<7O@Zf? z)rq517vis_y{3dsDz(v~tv;_xL!ApsU#Z7mt4N)c2Y*Piz;M+H&v>eiVb+u6ZH$}7 zB1=*ynf;ntm|Tj_ZAX>VvNHSC=6d?{p>4&4W^9~Od0BZ=1ksgSR+0RgxPDCrm}q-_ z53LwLUOqT$u;N1T-|t5?D2s=Tr*x3E5a0y=J5D;?3~`3w-vy0Mdi8yx7h0x_64`jJ z;az+5Jo4_mmJu#+GrI8V{#AF-Q*7{Wg;1gwKZm=&-fntYAY0;*%sq0{QBKs|Mf8Wn zT7wP9?E~BQKa5@?$Vp}XPud)%FW`~ZD`iiHjx(*PvHE2d3oWhgznlO!FZf-$o$Q1* zyLOOH;!1*k;Gr`p3{$f;yV5J1Av{475OzHR7#gn2{;?Wd+o@rucG_ z;ZpL1&JEaoFbng->Z}$M6uyoPKS_y=q03fxs!4ZdhYUS7YQn2#q`dGriPVM2#Klp> ze^FfpZE~JDouX`A=sab3a{dbB<2nCDWYNPL45g|kPViu6sVFZHLK!edTBM;9`# zh70iguydg*(t2=*0Fs5|!_>X7A#~76Y}xOVH!qObDEUlu8hD)lMAM?y*okboqkI&x z(h<)IE1f?=M1D?LEs!b+TQStgQ=oR6XUU4VVgi_H1?p4@S_738WAztAtmG-GiL<*G z_=^7IA}LSoKhXQ_ArthVEserp?%@k01+(zWXL}e59=wk;)#%M<^4(W8+MMC)JMY^S zG96T6f8b_MOBKd0AI-8oHQvc$uKl->(*dh)UB!2QCpaAB zM>eG69PrQeZ5PUdCZBn#ctwvMR&MJpJt19w^fJ<}`}g|ed#`>TT4K-Nom_A%eQRfv zczxL-#EU1Q_rgxXwNLcx16-2}3#{M=?BPY)&R#rU&std7Bt|8(=1eZAVR7Xa@1ts3 zC#rvDoWE;xExmE&@}sY|Y$|@9FK-FZ=u1RUTz0M!WYiWFZ~mmAhbzxfQK_n*$UH3y z?%ugwzH(Wgw|&CS`(3%p%6f5kHCH!{uA-R z8D|>)!C)aq7WaLaWnSURe=sUb+%So*XfI%wKj3PVk_q zamLftTKRlqO&w>I;6<)4*VY?ha(ed!`*1-?FQia*x4C+$TmLKAxBD00gzr(lMe~hL zv|YAx>vhq;nEUgN;U2fMvte!e`mQpd7ZHUxT*`1$B3gtdXg*kl&F0cehdN(*)MVpw z-r%V07-x~OpJ8Rku!#6+Y`}S%M>ay=zOz^~8_eGot?|xlziwg0VDy-Gc=_We?{{_# zM*c?kUUk15HVaXLe^WTM^qb_={sB(-{wFnJY|}84SKzJvH$CtXdXP!M_V#&sT()3a ztbj$%>P&`}b;&x$#VzSE2kyz^@-N!X_OEJu@~%lddF(dX-1H@*r!B|&UE`_^oBgX2 zZw{#z)9@v4!8@N!DR9{anf*g77BYIg7q3{g=%tr?N&e(Ze{_r+j`7oD+~L(}>iGV2 zfjk@4!&K^SFRVu3j1~0$f3dx+VKad(;WY*!)<)_1UXriApyY<#lvE2VS|?rwX-W0d zcW^801<#HC-GiO5Q0^@iT?mP9<3r_|0{_m{&RW@bTyHN(0Le919Cgj~$82Z%i zEcBUPRw*F&Wkj#RYcBWTdFea3qP0Cn`M1&7ZLZ+Dg0YfKT(~y1)BPPU?=Dpyfg3Yyx;cz zy7y`(vZS$x%Tj-OYLa4m$V*GU@a4nStW*z(x1`%rUgACd>2OTx%J|~L)MAercx1)@ zG2r!^SsklL{jXqH_V@X_%X{~)zkDL@F+HmP{|yr_#qo3ZdrFJUzH{2ysEF&fOD@V0~cHHRQ>JV1RS@#_@{bj{zQ6OrT^n4ys(0p zxCD{8f;ES2ak`%7RV&_uBr4u-JCbQ`<-Pw5HP5TiZ(CdLrKSHSA*X^~!jQ}6o_y1% zd?uD0o1Ih!phu2Y&H&{Rt(DMoS-M{-|ZbD9GGJpG! z8~&F>{HY)`--X)#Ll2A_o$hO?3BH6AFOT-QiPC&tgr<*^B!Dc22u*=dn~yQ(@72OBHW9=@tFKZCS|UJ z*znVziOPz?^W93@46l>I0*%^ly@}Mu2snP%mqs47b=~54`R=#KkZ$MK2hNzCg7|1* ze#h9ZPsDF`S-94)?^F@vlwFR&i{JH)e#Pnm(TvAM@snQ7=_xYE`bn7}70a3f`pyRn z1oOq6bp9D?k5q)V*|I@Ig-9Cc%3L@#tk}hc4jrGI1cSmr3GS}J3GTsVab4UYxVu|$2u>jA;_ezOK!OJd5Zv8D@(=m`d+#~t=04B6 zRn`M~o@Ym9`S8l2yAa9Xez8&_gU{-GtBeDtN|@&C?G4sJWBA4l|{NtuZKnz^ot+ zL_<1e2b2TYoJu?j-~A=dzaXUylOso-sQ+W}-r~+f%HP-@)&HdiW6S|ZB|Q_z zPr#AvFy0rLZZ&r-3Uc@b}i}63AF&P9?M1J)( zPd8E;0dmj(0pksWOzab&4r*@?v~$v}rt+Uvk4Jd`)syMV=qN~mtwY-+6d2{31V53$ zC*p6W+Io=F@)vL;a!}RTPrse4*`|?hVsW>cJb|PAM_{A)M^NgJaNT&Bg?%!W;~B9% zAjnO(S^(6=i6{>%T^$%)e{4(VW=zVjnn=T#9A8ua4`{CQI^zMS{*9GBH31Yk6Tq8W zcc7Kl1x0Xil*0)!m?jeIKNA^(;eQIC!s5rsjQppy!a~F6=18{UD~L*x>_>YOdniCM zJup(;j|+%dM$1~ajrL*x!@g0)l|{nmox0Nd&fHd>No{n@^I7Nc%x$GTu%LT)Z;72W z3++CpA$G2v=ilgg69H@Wr@3^OSZ2!kVrF};j8R%8b1Udlzo!R<)1iVACRZa)$@G<^gutR(sqe!jWK1C3GAl%Wz@k2FX>~mJT@q1m zSs=ETZz8ZNbiKW+2lkV4bC_zqi%YlVE8&TwTFr-#z3?;|rEef8<+?>a!w8yH)52!K z<#W4Tuf!UsN9J}v{l;Q)_TKM)y7ZQ6=7q!C; z5Zn~S4UfxwiiwWLC0s(4r^2d_<_YAuI+~YSL|e$P-`VzR;giC$lOaaM5P-0 zWv2|tL%ZbUO%|#BVp5)-M$Y?J*FXGRg!lQ49dh}-=1uA!+J#(BRQ%v76$A-ErK|1J zEvyp@PuDeJQC%dbTlpXO;WrNKuhvFn{n!^l7t=)CH^dQ3eS149rviD|g@>)76H#*v zujvwlP}DanU`kjHt&4X;Md~PETui!qcuqZ+kn%FK+fLLJlK86}CJHE>`0+I^^4jW> z!;zmfa99Vrqd*3G&ut-@*K|V1u8iR&6+I+B^5-?*pSQi6 zY_sYQ_|3{a0cNZ=UiND9O7}~Z)hwPz?_>gW*wP^*_e=C4W%-A~{mRAAw2z0vY;89k zyR=@@Z_W#|e@O9uig8HBU!i7elQVRC_wNUI<@VWx(Ir%7H@z()^2>3Om_4giGWg!%0xu75i!Ce}JUe3qLk;Lf_cK}3E7^d@1fcYPos$7?;&Jvh z7E|wiOaQgkJ51#{OpR=4R;*`kV!jRMMy8CUFeOEqch)c;yr%L+SyX;Gg*S<`$}EO( zodj$=0pQwaNLg15txJ#8W~e-*DKtiA^5J*nkz9PdKlnneMRS&3Dpx7LQKR$@{At-} zm#Q|oxqDK^)IecT_+c1GhDTIx(UN3&v?Y&okdKLL+b!t->W2HH)V1rIYUjYTz==J$Q_wVy~l z>d5Q#xVtEf7g>nbFrbHcagHFcd(03oJafC$Ch^q-@m1>JP5%(V@)!o14f4!M`#gzP7?+*r203GKCd&A49taCMzd{<<;aVBY1IDNk9y3X##RY*JP zUzO()GMBl$_N=bW9~Bq!(NTd=+!J%>^0AcFVJHvMCJp%mtytJCD-lCW1H}|e*eAoU zE&Eb&jzZekg+sGtCi-ziL%gW6N)k`ytt2rHeYMY2N-@OyU(|>9H)Y;H`QyXQEw$Fs zTK5oLti35#W=z?Ay7_ey z6K#L6WPfa={SKTRmt%ihI!g`Il6th?)mcw%bW_rm9o502^jzM;*s8h>>+-3V(H<~B zX)>Ab-md%Xf-XZfyc2i7FS0Ztdhv&|i2cLk#9VcZ@_KDpPc~h_pWYgs)PpBV zzWI_?w5DW#Hs?uqQ6PR-)WE?nPy7P)G3X5!Qyj#o;59V!3h`C_uO5pzvW4+`xa>s> zxxy@wODooj8ekP#ze`oH*4i*x806|o+qnK0vfU~{$mJiYQd5Sgkxs*gHEu~AFdaxA zStn;H6kSs*_IB3H>!+>l&}5D6+*iuD>eO^hx75FVo;#@;;Ld++q8#HR2ZBgPO_QEW zig*g9OjKaJiB;La43TP3d?je_t?_0W0?R)GsRKTy0y7XSK{bPL#sTc9tnpz_fvcbZs@)Pf^-f{&~rb%jj~ z*2SaIOU-Y*myR}IDl11yyRev;&ZBAuMx%X+SZnJj^L{7**}UdR<=hK%w8B@+lu@ZU z*Sbm^cWU5I3?Gb;nfM3c6-{VLGlErD{9e$OC96MtKa))@X+~#A(9mi7JOHnmcI?U_ zCbc3S6dc_acArS=0RolFZ&yDT(5gyP*!_>y(VA0X~%>b~0* zxqF$9-3{k1Pj=9e{SWt+sz&??kQr5;|MXqw{~b7$BXDT4Q*9 zCb&iCU)llm>vTK_NI3R;`hNh^fTYqgfMLnviTJCSYNVZpVinbevZWBD(sUF6vl@M5 z0@0akqatT|i*)`>4+D;nyxXcn?Cw;*jpjP#pIYvJthPna$fBt}RjYOS1}8Tb z^bK3;tl`*#Rg@nkHj0K2<9Lq^xF?C|;=-iN_ZBB->fd)JAu%#jj)An(FsqrV^mU|L zDv6ShrBcn_e>l}KE9nJ88JO*gAV=5pqgwbS7#g3zO>OkS`SL?`vx6I|Dl}$``@Tq@ zR2l?~q&ab_CexEqrO5Wi*2@R^95^Av{w1Ou#xMxLHw(Bw}F}lw>k01(_p= zJ)>Uo&m;;6l~Il9xi|Mgkb@&ib*e` z^%IVxP3RkD4V_nd!N4Ng(YRx#Vp6rf*5fKTW9h@FVVHMVnFZ{WMzmZw(mxa+;eC;& zt;}WYg4jhcr*nVIvjZbf0D1P)SH7S;NIC?;*(sZ$1OAQ2W`U8)ZCMRfiHUy9BJcnc(9#;OdQ7jr+6Osu{ zgY@a9A=&ECRxUo5kqavaR1;Zqk$5n)92G^A5U-q*SpxztV>C3StyN1^vgE1cF8iWW zUs^vixNf2j#-;YPL#_x8O^-vStY4@fH~q{RBRs}@&HVopK0ITGnib*2qbZaz@+J`Z zB!vAaUTy&Sw*$k${lFJrHZgMzOu;G#GrShen!s45n}WVG!Nd*!hBEo zp5P^6)Ut9ca?vfm}6&Qhp_ zlF39)pUs~sutcD>6^GA^0!&yPia_fY1Od$GAO>tI7AIZ5?S}ht>#Iexkw{sToVjfN zDqdIJnm;4n|C*%@!>gMTAAbp4ZaOCmTz)Y~K{b^Po5+=fokW!cmlP#SksL)$N$-=5 zlYbaj@PEJrMfRuE!hlien}25_mSUkSJ%C}L(U=SIYcR+E3GwZdRm)$;et%<~zzg;ZOgt=+(>(;%VzJMM zWKm4H*#Ub=Q4q&WFacUDU>f8e5h5}tMdM6(o+%SDUL>|XO@3iz{O6tI;{op^wEoGg zm?#AlDh2b?J2AwhVmTce8z*Mta_kuIIODwd*Mv_70$_-MWd?o{rY;3wh{^~2O~gah z|9pvfY(vk}WxkUgXZ#mKJLAN8|DCXWvMY+iXFX}az>@~R&^+CZ2DqCfMihZ{(9Mzg zYV$jeM2x2sts()FXR!Fw&0kPT>+*qENWds(jBt`=VV+SilwZX= zx}IU$P#Ee5f*LVum_ZwHkQ@qZj{TpUh5|o(AUO{B*?9H?Hp22y#Ds2*q&;K6NskU*25{KJ4h|*m`|JPj1o4GuUgA$LAQ(on5ZLKw+5x82Bn` zHF?Z}R7p+-%7$cFDydK{ETrPdDS67bIG9(9rmT*!_jVlVywZM68DqpnB6{OnajJPN z+vkAp38gFl4b4v~3OWe}RPq#9@A7|%un&URf5RX9Vc!~Hm?!@UtUrhHpJLBy*uYiFgJL=!@q-Y511{to( zfRcuKm?-hnJiU2@CnYcdl)yN^()2I*W1ADZ6^sKe>iz=U>EA^Y;b^P$At>{|VTuyD zd93fK&Z(XG8!O8Hh)>U)-1o%E!l|C^+aU_?L*GQ# ze~K|y*F&EC&l1MN$(<~9fc3y;85`^tggjq6$?Qn-# ziVW_^P@|O~a6+b$fCzI;TQ^f`O80iWzrv`B3UH7z3I!abgfRiHsY{6`uPGD?Ad@WA z3jG-IBq%WFY5BP*l1N2K+g7fpi4F$sVE}EK2Qb+VngNF_w2)#h!w~^ z9l|L3fJaJbN=!Q{cg^wN@Bh*FXb5g_UO=qI2miSh*&3xt2lAWR*J z;E-P0^3CYGV-LG9LEh=dnT%k_L09J^``{!J`}Ef%=2;0epP|E}zzg-P{9r{pa7sAz z5597#d>Q%|V56V^8y+_O&R|3JIPm0I(mbi-O7JN;nMvEtIwL?#1nMf1^voPE?>+rZ zM~y>E@&;lf{dU6qcBF16;|hSqt{%9+8)iNqCTAU{l^{0;xq z5p@VqM}#z=>d3!~`xHMfhIR@2UOUF-0%F+X-|=L0FF5_2kna4w!pXDJ38TuXtjbBW z+G(NM$*IN@lsH;Gs;rCU?{8N87L%7s3QCD?I)t!iwB_)ncb^YUN?S`p5k7bS0Wbs zVr3*~5C5jf@2CNj8;xuEp_GO~C}F-a8up&j*buxZ9d8)8u&tUq294D^Qyee3k2D8^(i zi7*c|W?1I+z{5HtkOYrL4v~wuM}ko)8)70Eo;`JaByK8bh<_4y? z*1lQ8(;S;nkkg+z?Z3cptojyb%(J9*EDa^HXY!x|$2Fz4V^)UySOyvM2*@}_OgZ%h zgn`iLI(y}IIdN> z0hjqOv-EcX4}`M(*?g5K7F5pZh$;=Qnc0khZlM$tsrVOwm?$yXNtcDuhs;2+!x#h! z6zrrD#zNsL8y%7Cp;)hL2b6!v)En%8y{~Nu`)2AR#xc@zJMF`vmxrxfp(WkW&Xx)c z&=Shs9Ou8IGE!1y!!iw++4Q%F%G24fByy%)L;+m~Hq=4CQ5?c}K}CT1hJ8s(58AC{4oygH``NHI z^4f#<8VY8wz0i8pDnzcdz;mcEZ@cQ-Fyp$&E((pIc2%LXKXLqpr9r0d67@S*dW@O=feG8Hj}C%y5Uy>Yuv zi}dH5{@kdbHCOy;>TwSdRM-%VI@`G;lX_~TEaVGCe{_XQU!oFO1m?6 zx-Qxd#@gyjf8?>kb`(_;9XD6`=)#rAh{EQJs^#Om!C@*Cpkt!wNf z*Jq%f8S)jMDk!(?O#~Bj<36c7;QF@sfT|PR#(Nq}-{J zsZs-X(mO1*{2L>UR=G=d3i#tFi<+tCn5T6nFg*X1S_UnLaPmYqt;euUK(a4s-f!2v zxffS;WeC1DaOqKl@5 z0i}dzKmSReYs1B31F$C_R8veq-ma_{w^mlS*K()Nz9E**c@^8@*MtP+HoaMAV2)ep z3`SOTuv#eed+n%XD8fzS!L8_$2K)4f;HX!)iVYu)3*P|SZZT$9srO1| zBLgkXltv%m8}nvxg(!4N8!TnZ$o= z%^JHieUKC|<~MSirf1%XVYLa{@}1T!h32reF+62B=mDn`E>~>3;C{Ckb!|mHbuVfC zx(|^6=6VF>@@GAH1z@eDSEJ&eWhHqOPz752c)1J8C-k~m#OLu=CZCEeJb{Oup!ZfH zpOTa6MuHdkxXTkHO1Fib1&ovY1h`-mtCcOJpOsvl!eG%KWyb94Io>nO6qQP--$2-qAdsMuDfUoyA)ET|3H@TiU8ISj%VA3e zEWl8eSOCTHMrxy58^zr z=Bz9IB>Ry33E8-OzRSE0HeVS|-uEnO~KX5A|3_pX;6>COz)c*hrIij-wMZcddPB36mueNDRrshAb#3{S*j-`#sQ%^LD|1!=52_19haCXX zVJ}g@Rc0_1Z2p_ABQBL8)J?f!P-QXf^Xhs@YJB%MSwWc(Tn=Rdap*v{)vY6z8oL z&T0~ap%P|;E#!&D6y2<(x0Vb*glK4t4k-Du25T>_4 z)b<`puTI068*~IQ_$Vdpu6shl2h`9Xdqw_wtEvH^hA7-$Qvd zqcmYU3*;-2cPBJVHANkZv6$*FXc=pD={@0aHCS*uypYTBkXQK-G*J*7vx3XR#FJ6N z;&SzO1a&P-YVFcR2B-C#6!K23SeHwb1Gv+VX6Pic#@zSRsU}LM-0n^1yjpZDk1XDA zX1|qox^7MB8$GO7B5Jq?k$AD0v*-S#EaUW14#CvO?4%n2m5;Bgo55>ZoXBwoGom@z zQ7p-NUi{>XN_{T;pk!Ji_W9@?*c!SUUtuK}C-gjQ_6=oT5d1Db8lZ2d-=2%55_-mm z0LmyNCanT_j%fYd8-IEzw@6k|9h@mvT=t}j$+LjUVp!WESIFPPi>lE0ll?-_+_+in z%9sBsG7qf3NW6;5lfF45U0CkS@8GHaRUgP90CK{4WhPQvA!~S*P|oH`*Ti~D=69iw zbHU{n`@DD>YubxZpC1u4-8Z-b#8Thyb~xo-D==?;$o}~Gjz(+ZtL0Cu3XA;Oi_lT$-9FrQLAwF))+q0QK}Xi1aAPXjt8`R zGL}_^%=?Y%p+0=DnpKUwV`wpkv#}(7SR@OTID=#d5vDwaxMidYGG;tn)iGebBm~`k zGs{GT&rSW*P4-TO{IoJ-4i<(uA&L`Y%0aO+NHo*+fm%jee5#t_4+=QFDg9=7o`OH~ zeH4K}p?Dx*Xc9EVxL~W4lmJ$O>s^g3WR9Zbh>GJ6j)@%5v^vLJk_=5PvU6MRmWOAO z(V2*<3&VoQ(2eFRr9j@(V+%I2Il9pdDAB^Mz(s#zq^x|s6*K(Yn;abAZS7Eab4=~c zt6b>2Ey@2m>by|>LD5WGyfT2<0K;f!4_+h>W;;pIwVg3`udkbJQ5bw7J4r{88q0|^-F2Gzac%MofDfHnPCO3}6 zlnQFWg!30}AXNB+H7IZ7&6h+^N?m@QH$J$uK$I}ggyI`6^)KUJxw!z5Sf3LOWDySj z4LAS0=jyf7qxExBamwJUQbO4e|B1*{4EvuWul&u46FyQ=I^!-u#z`>?49`_FD zh0ouW^-|lgYl*YtN*FVSJ7M;s4PnUV5XVxa@bMBz&^tQ-r^T`WemDcxud=LOWtF{F z{7Oc-}S~DO6B7@7h+GFprl794TZd)yAwX>o8|!-$A&+5 zuYYN;?*4W!st<{1cI>GAhB$Jcv*Vj$gZ9(-u^-_8{nscEU;Wug$lKICw#?uvu|{{= zpLOp7`M!HzflQ>O>ib=hr4gQ$ehvyX_%XUK4*%ld(9Z&{aDgkHb6jcLvc z*!s0nt|MrTRju9Ock*jf#s}fu;1H_+-gFT!neahz(JAF->BClnRI2Lrbu+O9crK>d zG0(R*7fqg;23;EF12@>9=AE{xcjg@w~E$FNTtZ&uNePtli5Vi*IT3 zJc`)FueLaxDD9A>cKDrrRXg4Z=OUXpk1S$a{`AW!;N}M}hdpz^5ld9hFB^XNbv%4- zqsB(3)^j}psqmv1D z-CQAl&J*@OJ3QKHYVZ3v5t1vs(C-|)i8?H@d9`U{JNIt>IFR%h)|`Gnv$)b8F*RRp z?$vvIjZp!ME6I{ddlTBSnhAK#d2f9w;1)iX2nJV=W3IoW4Vfcpp zi5FosQ|B6F7wgr+;ml$1!qPK=Ii895HX(ai5_`W%DjA{nIJEZ8?#GT?`18SG<;V`C zf%Z>jtB*D&M(6upFoe~?R#P#(D*Ag@#$Y{}l%0rSykutW zV_X7t$FPJ7;^h`sF!yRWD-%UH50`RU~`udvQG>)6|qX)^>iC#?|U~-V;suoTIs= z)KSRy=i9sjb_cFCTs>`5>1kpUmV?7#Xp{HDfB;a9UX$qJFOuCCGrj2Co<5?u=<>?k z`+R7^QmE-2diurd^4U=Ha>eq|k$b&)+T`UN^*bI17ZRs;o6-3EFVau0CM;ul^Eg(0 zyH|bdORWsZ-^(wT&hf8%@1La~G8FNMwD_HC>U9_K=qiK@CT!cke3pIV-`^3Ju-)l# zK`OsCguT!ApbmM!ku86Xki4%PRNTQ*RD4aGyp&x$Wax8bo}a2)K`;*KS@>WXYu{!H z9V{WanAp+X0IeVeDj%h7;ZmZPppAIVmO`H`7w3yw)&hp;hUr&q~{n`oWF=)8xzzl`zB(CfUAW96>3 zd~NJoeQk&iaNil%pX+FFT~Rn!5=NJknGEZ(7VbL|u3(I~9VX&43ebrlXdWu}9#T&; zGfgv(jiT094%Aml{tf2!m5}IDw_M802zEqQAADD6*czGt%7pY!_BgJFEtyT!iI1Nt zW8Y4o3KU!))bfle(Hk6uw!Qm`#IjR{&8cZhhXWj0L>)rZ(hFjRl_^WDF}ssj32T9u zZBUf$N+G~)s^*u5yPB0F_bBK*YuI-@x+2om7DcOCfk+&PpPv=^ICiirpV>4q=}frO z*zRf9%{kH7Ug&-DM^d?CbQY5DS0#;~)B3%Qf71E5yBM>h%oIVNF2(f%|SY^>Y7ku+UX-U z8#`#F_;h0~hdSwwm5$@*#KK8sAx6U3)H1fK{JQT2EIp88xDf3hPu9QCCEyY2n(aq} z5$s;LUQsipcu*gt9!s6X-?zKrUKLR*@U4CM!8+L{=YKRoJ4xKl5B&29_|%y=fT)s0Z$g z;Z3iE5>$XDrums6#1CJPA0Y*x&*X|OVaxi!dcB!aqT>xeCTQRYdiC?{alK4oN*cx< z=RZiI1H5OuS(yQRjNaRyZUHSubZ{b}-Xjl8j$L=D!;bAJ9vPz2LO<8%=dDGHu9oOz z0vsdwk_Na)MXW!A+lQ)oKgt-+9$T%wd@B(_cD2)1(4TPc>L9eD8sKo)(Eg&6biE8# zny3|Vg@eH4hY)w`!`?3^4N3x?A9ilT#^y=Cr(cF7NwLa#pi1Ktz1&>*kp)_tNe*lxz0!7Hx+>BPxw7i8EM4*bIzj%^YAThf=rzeG z(ON%Id_>!zOk()vx;tyzFDE_}{D;n^g4g9Q+j8#f`wRBC^?z+S@ROzAv%0n(TXlYX zsna*(lp#4e_`vf;cDuTR*Gn8^B-~h_;Jnv9dqX(^BD)fiWlZk#|4ogI*;sw~nE2(3 z8k}C+cXY+v1jX*Vn=}pV!F^|~Q{fS@>=S_x{h$`SeVR{vtih`co9nLB6;uv1PahJ` za5q%KWkX>iCb^s&7ZybGhi{GFl5F|u5-HeoLP*lLiMHxccMPdYE%-cy^DsEt6Y01sqic7C{Tu$BdWtD&z6izF$Wgq1+36?iZwG%c$Z;{BL>O zI=zqw7V}`8k9h}L>70+>6? z*vIFyJKjBU-8FKut|s9s9{Q^hSwWQ+PBi8dH<`C4Ke+;Idp)PiqbX)YQ0AF!g&3b& zHD7|OBDMnzj|O{Wm8Fj@$x3e>wprQ5&W<_o;?LwRx<4X%j_Be>ON^0DXu%jU73(Rr zYlV+Ln8kwK9X#JPzmJ>QUwG8Qxiyij%^v4aJg;Mi9qMC84KhLY(7Q_L|FZd+W4}P$ zzJIHKMH60d;C#QJMjL7PCV@b?dJvQ1f>qZPtw4AnVMf91LQmj5)x(BeIxhJ*);Y#1 zWcg*`voE=K$n>V<@ zQ9~Wv)qmzBY@sA+G1w@&<8B-;FPI{{U1_X@vfW{v3ty~SMs6eMTg6@) zY5O9gUuV~Cy6>@ftnku4;9~F&+R)=gpBLaDLy9`+)UWkJQS~MN7iYGY>oYapiOv{z z(74-=s79l^r5!V4(JIe<&FzL`lra-TFw!Mejx>WUmnrJEyyioU{d!_Hs5!?sHpiMa zeRF$R>kpc%?G^MR#GQ|IF}~}be%GA}GUMVLa-B$Z?4rF5vqTugy`Y-Uf2PmBo@^Z_ z`8~CUfJ-g+EM0JFQHRvT46p>yBkmT+Q~rmIF4vL$Dk z_?C3OXWHEo%_+0297jT5a+@Z$oFhLNg~6?-dM~qey5fP~dB+J$p)jZkSjmR@vu zY3;a=tGzk*k?F-KlfwklCCIE?CWJC$ zBKou&by!@I&V&hL#`>=|If?OET4IMGzgt$!-56pCKNsbhUzYWVBQs(iWFHgwIqup@ zSQ38AO&{IlV6C!j){b?p)i|Db`OrCMgUx=z2WtU2(~B0(Ks*^6PE0 zI7G*;3Fv4-eFrYv+sYpQ;%h|R#)+dnno8+uY4{UrKCnOgtNpXfY;Mr}n+XS<_bYwc z%rTj7=ReZCO%G^$U)9#B@2CS^+3+{Jt7)D0JYv_is4lEx_3Ls>M~kJUULkrtz)&$= zQ03~i-fptZdTE@|yTtFk;mqb-*I~hWz>^>qe9=@G_@m$1eleNId7|~)-~HP;d#;+m zuG6tkN|r!^f`WPo@9a8b;22*{`?he~_S?4YDp(J~4p4<2fO zCA!k^YK6O0DDUAzE-o64P<{G-7MqKcuax16Fhzihg% zvhbDc($LgDRCX5#o_xn#TRBkb(*cE*_$>37C3VD~usJd(YrDOVhpqEo$7s*Gp%1?K z*%@@<%Al_4>Qd$i>2rWgc75eQFWfs{m=~wB%Tj~Qm5K@_B58BiM8xpb>+j7 z7we~^{Wa!7J}S_6s{&1^yb(}Q8|=wDncr!8^h53H6|&zLQN=1eCp1<~xI5CcAIilB zTf~g^-hx{37CK0=30VlTKK!DkF_cM}Jg3C!yA-1aXGlnQP8VQYSu=>baU9k@ub6UG)5SMr`(VFFU2@Ok0li z27zuG-&}=Y=XSw$#KF6?9%aE#&HHG|#Y65gowaW0XGI0@jK^ok$tJ_?G+tLsw8QQm zeYYsv0%k(bv9>h24Cf8mxv(gi*VcTyfF9@Xx?^2 zMKz{+K~K`Y2!K8pSqG%x*)|rTiw8X$Or0`)9eMv&!{9;e>q%$qeIv*yM%Mm$Rhs-Y zCaXoomKc1T$!hEv$1y6Fgui6xQMo83$F%&9gEn(ur$Ir8euK~6XLRWt^t7t%+Pd{` zH_W;7skJfulOdBcEh3Q@!k%P9JLcbNYua{F`)SzFB&EMAOKnO{DTNMb5J0tO8_Noi z8>EC@Q2ESL1{j#>Q$^HZT*o+q(ahttyLc&t30ZuN2JfULM0dWEctvxVxaFI+knf@^ zP@lAW{J#FI(%YFnEG+_hhE-6uT=D!_LHP;iR74S7L*bP?^3>x4^0Tf<%W3I=*I^uO z-&e0Cas97caciV8HY!tkmS*B0CH&cT`Ze7BOb|z+T$Ef zl`m0D;%)7P*oe-tp3CPehn~*)dq+O@o@7Mk#npB^I;DgcosCk4sB-w&_`70`tFPBg z7u=B!-)T^ri7fkEuD;cGY>M(?cgu^dJoF(vfLpsntnKFWUs+gl+SR^^I0&hdi>2#* zL66h&>+%XNWW^w$%rxNW7NSZKo29COR^(7~^$Cuh5QHcW#So8TL|q&e!=z~8MSM8* z?09($C&|%aaf%+2z=rbN^b|8B1Si%vy|)i^-Kop2j0oFaXEOXK-`i!Vxw%D9(GMma zx@X4&6(%`N~n46Wa>t zZZ|(^nM?I$?94i|PGcNOSV^%Lq>JnPGRfh2TP?kks>;)j?wG zwnWa356|Z+LTdakzVVR#Zd~YT%;+PE=}92y{_)LQ+1Y_5hOc=}EN8iUfa-I+-`>xi zXE2DEd{aHWpcmino>5Ov93G)>bq}8_u8kej&_YY!l+>C^}4lqmhrK^s|}uL zp5O2djdxK8I_3v{Bi)UUhRG$MvB#$Fc;W0%@>9Zd-81F}Xlya&k4SH$-VHgp1nnSp zO}5)>444Yym^N`Dt9ZJ}jr4IHzL?A%xSY+cUYWV*;V^Bha-)SSa74?nHSn5{VY#1i zU>#uob+Z9?cADaGrj%jiPG2!k5Ql7oy)T0IyTo;m!sBdunx4c!g zIEAU{cRZwVq|j;YSKhM%zn35H)RT`08wRA6QM|Q!3Se@vol*lG;r(Nn&L`U~hIf~P z#|G)7ht25k#thS59;|38l`-A&Y`yINvX?!4ibZxwo4p9;Lc@|{G(O{=>voaSc)9=f@y_v*f7ZTc*K8c+|PsXbFPVyr;Z-hg!7<`y-E6Jf+Mr&VQ0raW82f#M1LWtWU)t@9vCHPJ6YIU*@2l70-7>2l(3m6F@0s)N z^pj#b4J$D&h6(VotRI@FLYtZLciyk8Zqlr(zJNm)TprYGl0LELwTNrxRnBpm**uyi zMEBtg-3c%o9;fU`Ka$q*?`~u;wi{o0k@L&nK;QVbQwi7+()E&K*$^3SY$&qid@(TBLhqC_Co4KgIC7}!z z>g~7UrV3_Y_94b?-$jwMy5iYMmI4kBhsy+=-5baz5(bE9&=7^(vQjY2!Ei4m(`FuI z6NP<2TLEU)zks(s%s&vk3#3lNE zk9m z^)NQ9Tua#)k#yqf@13hUP%q?Qr!W<}zTjO(=vr!EJ@;oSz8pvq`t7U3)OFs z#glmV`c_ZcViA=f24|4u>uO?UnYihQmYFp6 zbZq&I6@I+!neU<*D5NY@AF#|I8m*oFR=&19?h9xkvignDZXkM@*44*XMC1pc#fYLE z(mpqh@FF3Sl69*iT6$_r!xp?8mu|~|-DA7Eepb6HZ=ml?#bhRE3E^&T^juKr-u|{y z(4E&x*$kV6&U=G7Zuzhf9hpJLNBY?eRv`4q)MJwI9jiW?vvhmLiwHfL1&dD2<~L?Y z@-OB;oQd^Q9FZ(`FAKj^P1Ihj8zTCDOoq>%)Ru(TmC%x0SCL58ePOn2l zG9c2`Q2z+@S~e8sewDV*H!oRVx|9}ToB!~8@2cllV`!jl6ui%5;Ioruy{M7>QZN#o zo|E{{x;zK%`Pt|X+@BNMttW3@e8$SmwB`P>dimOxRh@O)>DW8|O)yT#ndfU#I=(zz z$Cj@p3KYAZ^+Hb7od~V`oD@;-()enT6vb$5gXE-Uv>h|kbU7D3lUL5lkWU(n*|s)u z0in9+dN)k8oF-aBjg@gqB?@UHBrm}-6+90iUv$zq%7_?J(_toSD*2##SQL zKBMb9p`5Vx9c;{vN#VR0l=Xst6{K>wiW*SSqn#Xbt22BQQ*&5Zj0!*gOhwF~Yv|i= zGuNJiF2&#u4uEyTVKB+jAXn9cQ{d#0<1g3)+bfUy`?%f65KQ($$&5c)#ulYi5 zrQn=(8n&f2e|?!!2r~Kfha=#LE?9H*=*!Zfx4EjRC)`fwA8~zb0&aEFL3+F*Z=OCT zG`iF9N9`I%)8vqpP~oL+QuBL_ZI44m8f)9HKtpV#I?AlE z_p=7?blz9k?tAlrg6+!ztB>cRO;@JI8tUA>kj+Rb)fYSMzg+*Y`onb_pX#Jrv<9?z z0oF8maxzEp>yyAUov)+{Vh|;t&leB#wOmc+n1#~H`+OCN8IEriFlWa~_dHTqFieEF z*3lmAkP=tFGzWByOK-WM^S!<$qHpKLyTlU#UXReD8j1Mmxgx7kqT${jZO+y%*EI&X z2E7YJpT>)gw@V=^ztyM3+wZkr2hu-}BilccliLqmfAZCdd2F9=lY;eU|5L3A%rnQ_ z7k7V8Xr($$-}J&ZKF7CMBFy{`TD!G{A2?@g;Cm`5O!xvLZ!9E(olIg9|%#rTNZu5H`6|5YMkc=?epwJp52r4$7=ol9cHspj(uBB{qcKQrfhPb4P%Q zmjQ&`Ipq(RF&}ND&3fM3$e1m>w~;g3+C}x;_S-wHR)?Qg{CbjEU)uYn9Rt}vFyAkwU)T%U*7LO!nsI*^p&SZNzHabNP`TQhv;8*@M*NZFb^7Xok&9ZXn@0?_ zWVU3c*!GmBrOF)A^ak8b0+cqTnsz*;;2Ww2aI?X#o#t0m=&5uU zQAo?^;@8$CoIFx^cG+JDIh#L>8jW2F(?3FP1c^dk*9>Mi)_|(?i1_|={zheZZeP6i z5g`@9>)j0zeIh=7@=<)Q;quUeOTS~q3mh_rT{qq^KeF1cXIx**nv44!5qZEAt;`Qd z|54nIeKTAL)H^bK+!SI+U$8*e}XhdQfGBvQxul_POa)j27lNUF)^Ypth@BNwZV$ohzd7 zh8=N{Ua9JSwpO;p#SBfVr|uK{*&v&D3jEOeYb9q(LZ1|ux3Lx+P=z4xyA5ha3U3sT_t z%Ne-qx3BEetQ5O2+Y2!@cpL4g6K_yoF#C(tQ}otc5rJY}!@fT;0rEW4exA z6dfD7buq+nTsH9O34c9rW_4P23@wO&T#V8({x*zPhW$fbx{%M85ly%06I%qqOpV8us~y_L;ndqb6^PSCH0;ycWE7I}+rkzINWB6&E0uAqjHwVu=b=;WN{m`w!L`0=bEn?Tk8-n7iJVAvjsO@fr}wMVev}i(dKs&V=mr;rW$)}h zg{~xF-FE7ze3vO>A&FSl@v1OSJlZL&-8C5~vQAFu*B5`WjS7_9_$0Apx%ay z4bo^=^(rbl;CW*NqDEjEtRD3>RIS|Kb-|DxrlZ?%TX#4AA@0vCpC--4mXxdPvjpd` z5vv|um9j|0_QM>phnmHd9@q>o3vI`5c5CD~ zjZC`WbW^5`=yK(q{f0#6n2ED_UE{6p@&))s2TXpLvJZDWO2akXNv0^W?y=?Mb;blF zvE1b)%%=a8?sQglcX>xh;7!&*#k^CIH7TF4)}6Hr*ibp_u8E<8IX3P8Gj}PXg0U7!x z*et>1NLES30}i=E#J8^P#QN&m*iY>H91P4wKW@P;SeBm5h9<`>69P`F444M{SM?iV{k2TGQN{TK}Us zKeObpcl*N@tQR_rCC#3!9+{rZm)Y!?a)a?STI=bsv*KN{Ti(R@)-2d1_#!>zfn)Ns zG3BBk5qlpru6_Wk?BmTG>aaGzxn;Hapb2!tgD8k0doygku+1|1fw4(NRiqnz7*!}6 zZU+PThrtSHRrGh{X#LlNgll@VubLyc3ht&%_q3)G1{0~DGI&RIH0HH^Tjbq+CeDj( zDm|3KrUNidH%3c^O+cVie=xZL!ll2iJ7g#M(NJ=maL+jxpVmulrUM*$fQidvPg``m zLtp!Pjk8Lc+S!j;Uwk514|fRKl@dw^u0*3JL#(RcBxSO66-t0I<) z3rNJ)5tkthZsr|snioa&Cc*`!ae^U892Gl7D!}r_)-iFOTxUJ(Ff_Nbj{hWYQFS@4 z2-d{_!dX;MEVW^NE6O)sL`fgZbxMG?@T?i%?lROQ{$GJp^g& z%;`!@UY;fwQc*AZc&8dtQB`stLvB`}=H?ULWg%_Y$R;-a5Q>ded9U(8wfZ(jD@c_K6_V=1;L5yj(vtVtoCL^Xk z0yPrBem5!Oa&va(wP=Yl1d+|RFXi7F|>`BnOqL=vYJffk4OF$Ka1lh2p4etsE&olvADnB@JTpj1O`+_ zbhh|ri#=chG!hpHno3)q;z4O?3;_;JmWmW*V>}JSEXI0aK6dJe-z_>ZlIuS>)6Nayu2{pEqX@;TK-8vK7NPh#foWf^G$ZIE_hL-tvBH7sS}D;) zjMpXJprlf~^Hneu(u#d?K`OvWqcXXaDPgi&9=08}h80hUfqW9ere?k0ltTxha0Q2% zd6Hx#3JY3T#e5=?CN3rV0^_K?%rViV=nE!&66DRj!1tXb)*)mu>CT=;?P$J?fguy< zE}mw_<3yDJL$yb_%?+A z;7Znr>|iYdC0gq$CQ7_FV@UOfr-1~<(h3-(($L)tofM`xE|4`lTCkWptl$OKKU?A_ zjDy3&uomYw{!SIS!$q3*>noAmXoJcgA|D%_?N_JpY)aVbm_u4@X3%OF@l7Jur~BLr z4lbHYXX}*~N&XIZ5`~V5&3vNDm>q#I#?;&eBEXx}f@<@K9crj4RZS)%UQN`3<+}*G z3pmN_BnS-Qg5dqA<-Ze#O~c;-mr(5D2KC&vnMvVwBOa%Ln5-5jT8iYVvPsxVzX4J3 zjJ&vc>9iO&c|<_!W_}kd!&JrGMgu;UN-UXSYN{~e8qk6TH2b=<9bZZs6%K)-(Hj z32Ca!YD0XN%eXDR!*`DzFvbuWOi*4-<&V;Cz9dEy!Vgnff~e&e$E+M>0R6@DLC04b;S(>lzU<6*sy^VajrUQHYxCWN&Ui zV~1|pxN7@7NUg?r!3sMvQ>KbtE+%YiKFWSku$<;c{rkuQaXH-7n~OP}WAYNJeGv9q z5c_hWn24Jk$+|EJ5!7YgKZu0Jt5~e< z(jWomckNb@oa8<9Qe}bI`^_!z=Bv9Cb#|l;KiXfGFni0T`f2VL+tJ;-i;nG0^}X=< zeM=Ag30;LdMrVGxd?g|R*%oulaybtSTW~n6m7p;%|3*6S_Vd(K#hThk=H0#e{gVN8 zJ9X*xMR!hCOn$6SI-!rIZgWzj=%$)CHf~&;wBROHdSX5T@@^>7zK#4@G68jUKGN$B zi`eLVL#<#7AH6U<|M%*k429JHLdrz8BXhINNM@(*?5#@o3y-WlBO9huR z5ps^K;GLJmbv_Ex?Q={{wI4-#v@7JqA8D#`vh8Nydqy%)<|}_n8;ov!X7k~4!Jn*U zo^o_)%k;O4^ZR4qvt>u>cVcQ9PB*?e4((Dp+JnWN0R8sOd*B*1Fk(fH^|_|};{L_` zw_aUMnesjurt@lU+Wg)l|zeb!PE$cE(0dR=~A56N*CYrnhgO5Q$yNY&KK?sr!$N@S~>=2)*vf zjOm@t1zCVMZ`lt30JZ}h9~ppq7JSawNcJ2gc2Hsg?jBlDSg3nQh<7OTzQw)hFi1$a zM!07Hc_+Dkd;fW`*%$jWI@($n@j6zLm*$n-R2v+)W%(Irz5UA>+ZDAzYpsj6T8*{Z zO^w=s%+c0Q_6Zb@|(FVZQ+Y$gKdt z?q8&6gnGFT+JJ+)kM1UN_q)7T*tLzkq-JvEcg@|cwv$dzX?+paDCDxC)>eba@jVnB z){K^l7C$l|Jvsg|kf9<+6;VSE*${R=sTuhjO=UJ8!H7JY{gbn~%+S5%do!F*{b?G0 zb{N^7q}q68_r(LZ&W!KJk!pf_MPXY464dJlT86+Y6`uc>d|o{te;X@Xf}H2c&JY zRtFy1NS|!hR<1B6DmwV-9Mkpo1FqcG)yb7SZAXfzsLxbaFFG@U$&Z$c`270^nH>9~ zQrnT|wbF_S~9Cx#BY~AUO$j`{`Jie%pI$J{nH+a z2QGYT?jC;|R$W`_bh(hYWpPKS{Y`YBmtD%NdO{==9dfM%Z+1K{celz8&A(5Uy`dzE zn@=Jw%~{vpMIRdu|DX1uvPd#PziryT`G2#e&uV9gv}B4_C8i*mqMwUo6VUkZ=hnY+ zCoDX|LnHE@SHQ16ppNgm>Lt*tEdYSjPfW7>;{zmVygWlRq5}U5O0eG!P22$h03}iP z{=@vwy78gH=6?s)2)pMV;{9vTveFn3PeK$)LhShu|4-2VUBB@6gFHij#fv5!M$gLv z09ud!NzI=g{=r!?zu?|pKEKk~ybD*Ob^-t)^}o`0lzyRM|4sj4`S#p7$@QQqF}eRp z|JgJ?WS#znjtcrU^zi=IA2^beVG_0b{saFb9IW?m82ZnI{p#TMgebpNqW!b?ui8T| z|J#8^l$YnP^n-mCx0;V`25j|H`2WNHk9J4n|DwJBYv6t@PXFnEr0XEB&Hqlf|68hl zRq<spC6k50Dqs&01bf21^bYQK$sW!jz{>-D|f6d j%(dMeZ<^fGx$Ft?zifNqmZr{CGY4~T_p7>Ao<{!^y7}JANhjf=P_+5T04qck8<)celsx zULI6PX+_$QDr$-p8mUk$T2T52Raz-(ib{!uQUWb))Djv{Q>9R(K#LkEt!OKnelvS} z-nG59FR@9fYRTi-d3^J|=Qp$Kr3j%pI5ew15?xl?5H26kGFmp-pU-3zV@J56w?ppp zG(7<02^(EsyX-Ns+U}!DR!Q$LHIoh*dWOa_xwM*5vzD5mS=CBwrd50Fit8&*x9u;7 z>FA7v4$a5AuKMb)zS4T~D%LW*1f5%gk6r)o^K#q%8~B);(4nQ|#fHLaz?<|TzI!$> zCufxHgJot~iEl}f9DexKk76J0!cacA8;kq!tf%qUv7zR4!;&p7jBMJ{(3)+Ek(KqV zyj9cFilymUS_8!7oMNR+8jaAJAuX-av@#fxw;4(Qq3W~D3K${@FrK(At0QfHUCRg0@FD<6u0)@U!7LP@GE z@pN)o1fKhIs+wr2iPEaxz71U)I|pJL*3)QhG)kG5)kKuJ@BWi^AERSl#z(T=>9PS9vmq^WUv0|lE6r1ErKK1-v^X|%Dqp{W^o zxUFk|5no9t33MrzDlOUs&eyNzX#GkWUC|tEYK}D8Bff>n>`op0JDZUH>pbPgHquHi zH+PtFJiSHEY1s<&_Y>#NpI_3(;{>(IZP&8!U_VtTf|`SN9ZEiKUR(XkBAFXcsqrng zZToWDB@`xPPF4q+dVEVb2>p82w3Mt>Xqitca{08W%G9q}wzrMYB5PU6glK3HA2^A4r-t zXl3t-+&5B>y6W|=dc%F>G8kWGZezopDvWljFxaU!+!t2JJYWVQbcCCbmm9mU$WFv& zA+08g?6A7S4y!xtusSROy36F#mIeu~peBg~lWJBq!08fplNp{(%F?=?GsBf+ehvag z1Esli6kbRcIL&Tu!{y|1$V>5*%x5?MU@ocPmV}H9y0od2D~nBDE0R#LV~jCLb+KGn2+pY(R%}gTm&iW%3z2 zo@!yiO{Cw$bBQEqBCk?Qx7UI6L<1-yPKb&yuqqK$==!vMgDC0%KVnmIMD z4Qa5A)2i;+E#1|@R_PAX=b{mrl*VA*qIpv_Xi71uLWdN{o|NH;5gl2z!9MAL;2$

    bcy5w+#Z6V20DDoVyP#b00wgOieVw(lqr!|X$ zkK_zJrz8PwL8MzLz)#5(^aPXAMwdmsX+vrzD?EJo#;7yTUfiq=u8>j_BpvBia*Ky> zF)I}a0Eb?8&#G~TV!Oe!aXdQF{J=$)>O+MmkQrV@MT#B`6Etx9NvO5=&U@V!{ zVV8^5Z2*uCha5gBN~#L5e~n_KchDXMFhPof<9FzWp>Jc6+QZBPdMo3ZE!;t_E==jv zvqM@k4{(Pap9;r_QHEIn`veSf5`%4-zJJz}-(hY=RD`hA4tk9`l_sas?o?tdBM9ruJtwVRu zsp{D{(9jaCexSj1-r*~>MQS6F2mrAf>erQ@pFG^kJzy7p;rBm(aWA*weqKJw%V+Qt z3-QtCc>OSbtMTaS7kK>`Yj1mv*H7~DG%w%fnWrxQI@O_>_pwi0G_{&WY#~5$_D3-2t>OfDQ!EZ~z?)phE$CB!G?v(5rz& z6T^>jQ8U8gTdB) za9@KlzgH>T=J2Z=1W;`L9C5_|AzQOJplF>0_g}PXNN-ubl-hSk)r=@)t-YAJi7&-f zWC7dAMj}d+qjj+ekVGR~x$b$q{A?U8C7Zn=G?z;WTT_D^i^v<8m@EcI2=2vyzP+)< zm#IEd31U`wn3A(Hw=y6jnjXeE@!TMv)13jExxW; zjMs_eyEsqQzVX2{dh$EZ+~Y6j#e4FX#W?|~5qy81otSgZA&SK&-vb$t@(s)lqyjQ~ zxf7TiRffvD^>o77sk_`eH7B@y^Yi}uS2eK0^C=%%%*Xbd67LSW0*00w>VtWWK`FT6 zP>Vb>xashW4?6F43P@l%%)3G{40xfD_D*~1u@8#69y6^3&T3%=`}J3mBL+(SIC@_= zKmGW;01GF==e2*@vzp=a_FZ_-Q+Kr6o2M6_zx3X>i}?Ht=5uWhK8JQ2pSPdHFP#Xs zoQ85=%hd2WJ0m_RgdRWJIvzfM`=JG^j|n^O!K)|4=g7h5_<)ExKF5bdd_=@k#^?A@ z0R4~Rb9S>bb$q_3?7FI|f&O)y>*-B&AO?S{H%IH(L)W@$xX@s=-Zfqne-oWC6#vHd z_ll7MZ%4f`e?cgoIg=B6V<=uK6#t{Ye(IkE|BvD)IF5WCQGDe)KlOLP(olTlbfC@G zn=dtrzw*sr`g?WBD1J}-8Go%^JodRT6yNTv{7Z5wy#y$J^KTFPo24{oKJ}e*{-iD` zik}drmh8#rcD>>+=f!*Si<6>w2Df}2w;Awig^mB=J%egk@HL6GqljGr;Jx5Gw|Be~ zz}$Y-$`>!|#vTFGiH=Am0PP8m01VMOOpn3x{zrhn)SNi#JFNuH#yJ8o-0MfW5#Rms zB64`4=fff?PRMQWGP0Ln^hz7clfOA0t(0?@)i3^jXhAgWdtq~P5*;&d3-=N;WDEBaGh_?*5+&PWvnMWkN5{oD?tNWVK9AoV(;q zan7A_I*GdtI_)}l6Q6dSyNOS`&fUaI*7=S1l0Y|nu`#6(wUu6iFP22zR?JLMw>2}J z)ZKcYe$BY4Prqi|)JxaQT?L=HeRNtMcfTkl1-Kk$^6XV|4k(Fw$w}Y>sIzaurkK`4 zKNg78%f61Mo7mU!<9_pmoBxH$HN}6c7-#(d^#4?JEQJ5dvA;lu5C55x>~FsA$0_t5 DzHy76 diff --git a/lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb b/lab0.runs/impl_1/lab0_wrapper_utilization_placed.pb deleted file mode 100644 index a7fdd244e6fd8cddbb0d2bea75aaa58b43053345..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=pU^)K) diff --git a/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt b/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt deleted file mode 100644 index c528b5f..0000000 --- a/lab0.runs/impl_1/lab0_wrapper_utilization_placed.rpt +++ /dev/null @@ -1,202 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:18:02 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_utilization -file lab0_wrapper_utilization_placed.rpt -pb lab0_wrapper_utilization_placed.pb -| Design : lab0_wrapper -| Device : 7z010clg400-1 -| Design State : Fully Placed ---------------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 8 | 0 | 17600 | 0.05 | -| LUT as Logic | 8 | 0 | 17600 | 0.05 | -| LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 9 | 0 | 35200 | 0.03 | -| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | -| Register as Latch | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 4400 | 0.00 | -+-------------------------+------+-------+-----------+-------+ - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 9 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+-------------------------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------------------------+------+-------+-----------+-------+ -| Slice | 5 | 0 | 4400 | 0.11 | -| SLICEL | 3 | 0 | | | -| SLICEM | 2 | 0 | | | -| LUT as Logic | 8 | 0 | 17600 | 0.05 | -| using O5 output only | 0 | | | | -| using O6 output only | 8 | | | | -| using O5 and O6 | 0 | | | | -| LUT as Memory | 0 | 0 | 6000 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| LUT Flip Flop Pairs | 1 | 0 | 17600 | <0.01 | -| fully used LUT-FF pairs | 0 | | | | -| LUT-FF pairs with one unused LUT output | 1 | | | | -| LUT-FF pairs with one unused Flip Flop | 1 | | | | -| Unique Control Sets | 3 | | | | -+-------------------------------------------+------+-------+-----------+-------+ -* Note: Review the Control Sets Report for more information regarding control sets. - - -3. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 120 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 80 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 13 | 13 | 100 | 13.00 | -| IOB Master Pads | 6 | | | | -| IOB Slave Pads | 6 | | | | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 96 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 100 | 0.00 | -| OLOGIC | 0 | 0 | 100 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 0 | 0 | 2 | 0.00 | -| BUFMRCE | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 8 | 0.00 | -+------------+------+-------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -8. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| IBUF | 9 | IO | -| FDRE | 9 | Flop & Latch | -| OBUF | 4 | IO | -| LUT4 | 4 | LUT | -| LUT6 | 2 | LUT | -| LUT5 | 1 | LUT | -| LUT3 | 1 | LUT | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/lab0.runs/impl_1/opt_design.pb b/lab0.runs/impl_1/opt_design.pb deleted file mode 100644 index c778ed43ad03a518138bbee3d61b058f24996d24..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7879 zcmd6s-EJF26vuHACoqkwP|+4rL`PI^6I0u}vuk^uQWcuCQK6+#nyQtB6m8dId&zot znO*0j>KniXS4eOLNZcT$DMBy;p@gUD^7kt+dnNvh_VikIS74GRb0gPC@f!r!!j zCt)cniVnXw8Ku~7?*+DHI{ZOd?OC^N7Iq_}L9}Er;>_JbxfRc)IG~|``SHp!4($%l zr5MJ-Aro3TKOH@*XEmdsmE-O(M^1^$=*(TOWn1_@RKJe>G^JQUAxdP3jb_nWo5wa6 zM5TCARn%espFf68Jn6Mc&lm$;FB{3I#y{h`3@Y}}!4`wfb>LgrFkow7kbv7Uqo@Iu zYUJ$$=}C!gidlqQGlpW+9Sv+E1A76zwaj-M(+y$U^L(>)a7Mivkgp;Vg@a((>W_g? zA}3T$Eykl0T7D5ie?A(aBV&+)Wr|rwYjZSOH5sk%pzMPkO8sQE%4)EIE=81RSp3xq zVnL2xxLyd0Z;ysWy1!Ebo?@19z((UhmvN9oZ{B=(dzGJ64?hG9m8=z1ikQ%GVuWMh zLrW7^_!&1gDafRlWh9Mt(}1&u3%5vn+Ya}lGjHm~Gjtb!$*10vI#b+1 z`YljF;5L`MatYPq7=Y)uRmn|2HI5E^{~|ojO<*j3*1Vlj%gqo!0jfT-9R~+nwvR2x zv$o&{FK*ae+Y^Q$(mZ_1Y_EYoVQycJ1K zn7WqNbz#q;4TueBzr=`(VW2h;No-Qe*?8tU7tMw?vCqd->JAg86QbPT6Nu%4jpxKG za7H|$@T**{67TKnRO097Z|(!*AYZwRGdn-28w05rqgeodUr%3>9SFE4C=@kPN#=!6 z4B@G|q?F}P)%}~CT9|+`5gK2k*Kq zF~lTMK^2RSFbyMsF|LSwf-cDh0AUNnIYn}H}b$!H2!F#2j)+Gv4KEqi!0 z=n6>Iro>14_mu!~5uN28qF6W>nm|GzmlAmqo$7163Xy zB7TiW2;H$Wzb6cr685LxjPN?mA7xs-9S3{U`3yh7BTNXYwMqRZfW(CUyZ>>jF> z+Fpm2cx%CAR=af9e4>;b_^$}Pi=bqKLpN1n*xX^J4_o0TWPY(>$>f0#8PcG~-)RPJPEH|q zvZ40RU#~=h!%j0;wluH%JB^|zKdZOXz-CjEKUJ$$rC3#Dn+9aG!FxH9KjaxLvq$@k VDWh+9fzqQVKZnnw@c9)7|65BD=ogu-+ISY-A)x?e1z%%RSwl zK71@7;02L4ULpiYNJKn=#2X?HNWAek_yO?7AK2bHGX53!eu)B`5T6t<}zWVCB zuR^~bLjS2e^07sBlRa$uA&Ic);&xd02AUQB5d;}iSc%T7uk7-&J!cF@bt>|DsKB0xr_Xh zon}aknB-vsJb>$Y#4H@OWxCmM5srPpJR%{*_~c5C2kZ1Y*)=9cCx^wlGIP^xIYDIl z0ofT7)vBb3t(St)qtRbhUYZy}-$mmK={@G~RD>o*CWq?s%*_Mmz_9$-bR~?&1J^Jq zmXtiw4U5=D;>L5?A3LK;RTO0aI&w6U0{vqJeQ-aHNjr!c1%12+G%>L2m;^8f@`u=l zt0zFkcc-FvF@(w^lPQ7_kE@83J8Q=$<1}dm@NJ z)pYk1LFnBBG|;NDqUF*3O$7-netbUkfV#3MToHPWJd2LcV$p|42}$6GATpter$KO= zR4|R$50B|mbeB?m4y48mo5b{+W{a5n5r;Uvto#&BPDNDqKmlT6;V3ap5=D06y2ngf zq()v^Wc1b4YnchiK4rB?v1F}g4vK^i!D9cDXx!nLkVG199 zB_>xdCfn#$v>6*Avy-4`TSm0cOrI#oGp~TOL~&? zfX2~!iwgQwl-l)SQ4otD@1P+O_kxq|qTA_Fc+~@8HC*sve2WX#l%7mIO4s=RqEh1M z*U*Sq;~w5XQ{2PxBNDq#6yr@Wbl_Eb@#Z1(uOSppMO#jr;OXe@95#al&jU&DdziRJ z5P@-FA8UAxfTADc>9q&2Eg;5zwr>yUpZ#rzgy5ic966@p78VbjFitvaXkvVFSOE)> z`K}t8%&?DA?7J4V#$y1rYQh}**LzLr%x^_a6Ka|ubAJ1EG%C~to@10i8gz;C6Ur(@ zY4m=>T&c!P(D>1K>iKujQYv+nyQTPue}os9J4)Jf*ihtM*;WitfsA;0(fWG@jUXn? zMM3^u1$|aI&E$-N1y*N^H9^6Ao@c~^pLICT2nHWdGhdgo#S`Z9DV4v&R}xu>9;K_6 z$j?igBZ;Sq;4)V~P9>Sf|Fd|(_09eK!h^(dtxVPzSp>_~l^#R6gr*jKkKl;J%=~|{ zxPW7)O(W)<;ZMU2xjr+Nez!9!>s7f9BGrxITWB*=Itia2+kys)icajhtf+ld@Na!o z@V6E8^L{EQ@>Ed1u>NTpPygzipg*sFKQ8N?WS%&HB`@$hGwW-R+PUS}zK-6@xFzHM zzzSTE1hYX*LAIX*t~`}hd{UMx3Xdz_LSI|RLZwtequDjX41HcwzzJE_ke;zb!F?cI zFMg~dr9+X-vK2$Y>qU)EOGqb#Ph1D`O*FCM`nwPsvT%qxk#cro5zVG7knm{$1?r3X zu5Rn5s$I2hxOl{*axJ!^^%5j+u}e~)Yp;R&izGIjb5p;hn~?cQ47dqeRdZsz2Bk6w zY>Jz-J$xRU)O8c|i2lHyTT#$?&Rfw3i^5MzC?e!5a*eBs0(v7Oqz{Q?$_@Muez?80 zaeu9(uh>BIsan!Q27xPZMiNCuwI#`VQI!;mlxNl(7-zU@I)m=qhgvw^JTwCQF;tD) z=PEfoL|VoZ8S?=ZxFMPXF&lE@%EPJum&yED$)rcFapNfoy}9lL#C0G$*&usRb%9dp z`Ps6CzMZi}!Gi%_FZLXjRhBw0+J*8Q)H-OIxNbmQyu+6Pg~393?mI}$m{P}FI7MFA zDx`y^{=yor(k?~i@d8}RX4`OGyxB4W0zJQ{i8XRAMa6@_6**Iv?M6ee^-FXqG;}4OXsfY&l?=+Ai z7gUSZ{OaP1%7yZ-MCY;L@?D93E?4rRv!|OS%00&CddcZ$WkMcE(zyZ8`)GQdrUcy- zJ@cxp??uNGpsYj;ueu$SGa)}LXS)=B`gjk$#?a}c7w3<>F0Hiu(=t?r)+Ahnf0bGE z`T)w7Bjz^xU;vC$P0E7Q+qztDXtvVFIG6D-&~0Ov<6rM>bnC(P@(SQU2XeSmDLfAY zWL;vFfw%=}k40lS;u*Uxv?J+k4DB#B{eX@DG53{3bccc4#*x!b+Ss>g3v*$8JEB8A zGISbWraw9M;+Aw9RYV?HWvQx3h0&is520UG820Hn5uHkKET~U8wgZUJu@4Y@2j)l! z_DCGvqf4FnkM!?-CkQf>X>Xc!NJ2lM?TnrsY5SsQ86dN^uGuoYJvx?QM7~#}BNnnc zb2Fe|ZP!1f_u^FcuW}itI@D@$T51JJX=IRzM3MRDk-evBq;SKt`V}=&lrzG!KU7fb zlv;MuiOmECD*DH;n%c?`Sq)XxVfmC3J zwri-is5bh9de6PP{XSam2+ROMRHXC6&2L9|M%qHIi(jZzjUpvanSJs%Rn zZ;qYOC;dkStw4mn3Zu$ttp3;t=?jMR!$Jt7oOEh2TV+`->`$ksA^$MpQ3MqFi3KA^ z@C-oG^W(^nIm^6(!pjKDcjy3kp-BCM^G%(f{YIf@#QdMn#9%0-k1V3?Bzkka3fjrI zCvQ6Q5SuSLaZnSel5~?D^-T484d2}a(^U31UGVWxU48$;WR*MkE00J!L0epnM z!2^I@e~+mI&2Br;ga!wiKu7z#1J3=RMFBBkSeoU^8EygxnkeL<{ri~^MCd6|3K7f* z42b}H?!lGEx6muODO!$L}J%S;5yi14& zZ6H2-zKvrP|gU=*6c%LSD1C-zZyKp ziDu~ovZlxununnQWs|VUbi%4xCND#{0nd~~SuYf9{!~GqS2_?+Rn>UA8E+ z-_p~^5ieXQ&}Cj9NA5Ry^w$ddeTFa9?oLmC0OFF$LmYTU5O}yN^*-_9mlgCy ohC58-#-Z;vVNf?3ggkf7Rj8@z{~GyQ2th0asSmtUv?eP51y*fF=>Px# diff --git a/lab0.runs/impl_1/project.wdf b/lab0.runs/impl_1/project.wdf deleted file mode 100644 index d58966f..0000000 --- a/lab0.runs/impl_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323562623438393533323462656461633364623562353432306362376431:506172656e742050412070726f6a656374204944:00 -eof:1407798516 diff --git a/lab0.runs/impl_1/route_design.pb b/lab0.runs/impl_1/route_design.pb deleted file mode 100644 index 4168396b3aa947a452f6f158a743f170c9ff88bd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13318 zcmd^GOK;r99SJ~h#T8Q92tTkzWy`we?NXg zKSQ%CLBDVL&N6PsXl`nLLRS~QedHclPLSB1g3)Xg3=+EM(AYijl^KWjtbvy-XTR(= zv=$-i;cMa4$<*i4YjYFmj}m(SZj#V`n7IA{P6B*D6KrA6wW%Ld+zTSyqgFDAD1NUQ zzlUM@CAjC%BY1<~Vmp~sWpiQLhV2Eu@-Div(GNY^r+#83F5JmBkne=Ob7C7$Wg@q1 z??98~>N~sB#AvCSQQYnq5|Zx+$#~mq!1jpzlD5}{?KMssDK|s3xHa&7#>v&K6>P=8 z$uOdJ;5#m31miDb5->3np`wf@!YQq#C`FbWU!)f6NQr0|M9H2L+4xp8@b}mTtR#p| z*{%3Clkzg=x)bf$htz%&3&c@Xo?oK*X3P!*!cM4zm%Bu5ffH&NVz1_eFO7yMslX%egJmi`oO{hx+OJvgx)~gi4}2^ z0}k$3@e^)EL{=AG2W^Ry$a4K8R<5I&Eih?NC;@AxiP?fLipmh8S+se`j?j!#A2CT} zQl|MPnjpAJPPvJ`dvD-+4&K_qtD`(fx+W>Ec@MSHB?&(FJ${B)xHb*?%h)kGM%N@1 z4w{)_k;>mCG==z<%e(!9gg%pY+&;y(;@ivE4hQ%SmgQymAtwGYrk)kXpa$7Zjo>zZ zNI@{C_}0UF@JUFmC-9h<8fhD{3f~+6bK#Cb+OjOebuXe6hli)JYg-;XFiCdv$c>T# zzem)LQDs{31V{CFuZVbm8(n2`2Bq8Z!BbeC`*eio1h2@XUXnmiW97Hdl+qT;9m2*+ z1TLOp#^$JcNYRpa(PAoeMzr|(t15G&`&DHAtGb*QN_`Q%weFtaogfUn;NTRm6fD0I zGDT8&h|Ek13LZu3m6ZrHRc@LkD^#lrTC~EXu0&Lk9X8STHo>EFTf{364E#Y@T~8#6 zbwn~zsJKGoa><}h6yp9!6UpEQ=sTZ;ke+aV^ktE+~Olu6Rj78*R`Q$9eQXZZ0) z+jlzc4v{giYX>f59vk7}@q_I~rSs_tWVTGd+`>A-&SoOlCiL&2nGbw0$M z6OUsVR>x3Zws@51&k{z6$E>oYD4sgD0U4UmJ3)pvOb<9K$F~hNOE0qTOUzf4o}%kr z`qERhm&g1IY$L7_9Dw;kxAa5w#+Dnl1|hx+)!P*EZ3021}gNf>5 z6NQu|-2qP-KdG5A<|zxKTKfKy3IAyg;dAB-tEeBLYb#!0Kl!Sn&x88Gtwz#%kj*i z-kMR3Q_iDlSaam6rRuBRs%uD26)vS)qEJ>dl~Q|OH@>+!>ht7mrl|;XHo2{6MwYW> zm0iu8Ess)6%}NS&$pn+PJUh5#%a@D|b(+oK1`UxLd>36wTWc`AVLfhI&pYH324mQn z%Rawktgks?Fh#6~3Bw~AxxG_1oaD8ugMA7krdkzj-o!DnkjfI}aubXNKFS2qNm)#r}P4d|@u3=5GrR{tiUFQgq9P;+mHS;Y$q zoOj4}3M5bR(EJAk*i%}1E#yB~gFfhUH^XWYi?fa|dGaXzo6E!!SI%ZX;x z8LlMg^%gNq{Q01K;KQ(-g9}n}dvV%k=7aD_qGY__oncie9pFAv(xeZ>R29f2)9Cln zjiEjQ$Y+SB*e>BI8uJohMYtGyUU0n2G@{&Ue_=E97to+J^1*s(dZ$(6O|d)vPYK-z zK*RLRp7@~#NagP#YzNDla1)JyIe=%trX;esc#1ZHu|6r=pW#t6te)tP{1Q= zg6W}h6-k1A>Ug(z8BV1%kov`V;FycSTNNbCzb8=CjCamqBY+)6R0Ld#@eusIArgZB zejWvJcJoYv44^SPKdAx2rGWP0Iypq0EsH!ZL*j7Ah9Y8g{uG+Mm25MexMb{rQy#wDGdX^0k4VX<@ z%(b@LT+60NhrxDI&>diPym)s;kz2&mQLt=oNKNhvsL2e2lmq`pQHw)r1O9~PhS}a^0W~5Qsr~nBp^A{> zG7eG98Jzi1p+>%gUQabrhH_XJ=t%JeBJvtvu9x3O4PIvpC^M}TtvHre{#8Pl#eOhS z4$B107v87T2l%GXhD6*0!ko9Gkla#lAjH9aVF1`N!NxYxPnHgYK3!snJ}a^hmp-)i zMr;kVbpl_MNt4i<;guU`|9enHuM5%b%r-0E@qtkaq^O z!>yj{QOtIcFAAu|Y~d(Oa4Xy&`5Yd#qVQzob9h!|g#ak~9|_%L43B6GklGjry%gff z@qdtl!4{j${P=9$T$r%|8OT7nzXyQr_*^X?a88B!EC>@UCJnuZV8q8GR##Qqh9(L=&^*=6Hqn(T zyI6)|iXtR;4Z6T-hyvDME;O*;NT@$Nf1f6Yfs?||1cp|4%%H+0qpMie$d~I`*cxiC z20q0xO?b5Zbaf>wI|`6kZekOvzA&8@9FPW^EmbdinBPty<(#Q*acKiC^z5{(Kmr(O z$#OMaKC|3EN$A7zEq5dn60qVIO0TLvVA0cH(YnH7bMA1R@k|=3W^W4J{5e|xXEprk*&hQuqBfxITONSp@m)J{ zkKE)GK!QPN9dJCyFq53n$wGr~8njw%>812<6RBuD1HET|WpEK;Rs~5p(J3HWqWRLx zEwidPUV^$rE@8$xFB)gv?8k?FAszML>Ka`)FUZf)Wq8% uh1SbKWI1#hx5(mfj=FOi!Zmyje}W-YM{s7{Qo3{np*4;-f`r1Ell}*Pi{kwN diff --git a/lab0.runs/impl_1/rundef.js b/lab0.runs/impl_1/rundef.js deleted file mode 100644 index 9fb057a..0000000 --- a/lab0.runs/impl_1/rundef.js +++ /dev/null @@ -1,44 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -// - -echo "This script was generated under a different operating system." -echo "Please update the PATH variable below, before executing this script" -exit - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; -} else { - PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -// pre-commands: -ISETouchFile( "write_bitstream", "begin" ); -ISEStep( "vivado", - "-log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace" ); - - - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/lab0.runs/impl_1/runme.bat b/lab0.runs/impl_1/runme.bat deleted file mode 100644 index 220ba68..0000000 --- a/lab0.runs/impl_1/runme.bat +++ /dev/null @@ -1,11 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -set PATH=%SYSTEMROOT%\system32;%PATH% -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/lab0.runs/impl_1/runme.log b/lab0.runs/impl_1/runme.log deleted file mode 100644 index 5a3f978..0000000 --- a/lab0.runs/impl_1/runme.log +++ /dev/null @@ -1,471 +0,0 @@ - -*** Running vivado - with args -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace - - -****** Vivado v2017.2 (64-bit) - **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 - **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - -source lab0_wrapper.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:42 . Memory (MB): peak = 1311.203 ; gain = 226.145 ; free physical = 153 ; free virtual = 1825 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command opt_design - -Starting DRC Task -Command: report_drc (run_mandatory_drcs) for: opt_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:01 . Memory (MB): peak = 1324.207 ; gain = 13.004 ; free physical = 151 ; free virtual = 1823 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 96 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 95 ; free virtual = 1458 -Ending Logic Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: c9d20622 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 94 ; free virtual = 1458 -20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:26 ; elapsed = 00:01:05 . Memory (MB): peak = 1762.699 ; gain = 451.496 ; free physical = 93 ; free virtual = 1458 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1762.699 ; gain = 0.000 ; free physical = 88 ; free virtual = 1458 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_opted.rpt -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_opted.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1770.703 ; gain = 8.004 ; free physical = 84 ; free virtual = 1450 -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Command: report_drc (run_mandatory_drcs) for: incr_eco_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -Command: report_drc (run_mandatory_drcs) for: placer_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a43b3f4a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 82 ; free virtual = 1449 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 148ef5346 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1450 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 -Phase 1 Placer Initialization | Checksum: 20c9ec03f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:03 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 72 ; free virtual = 1449 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 18b8f8c67 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 68 ; free virtual = 1448 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21146f22d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c0ec939f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1f6f53c8d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 67 ; free virtual = 1447 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 153f990f8 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 -Phase 3 Detail Placement | Checksum: 16d144951 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:04 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 64 ; free virtual = 1446 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: f83597f4 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 1 CPUs -INFO: [Place 46-41] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason. -Phase 4.1.1.1 BUFG Insertion | Checksum: f83597f4 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.736. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4.1 Post Commit Optimization | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: e16893d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 7ba6f169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 77 ; free virtual = 1446 -Ending Placer Task | Checksum: 437fd169 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -33 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 78 ; free virtual = 1447 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 76 ; free virtual = 1448 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:01 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 83 ; free virtual = 1437 -report_utilization: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.72 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -report_control_sets: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.66 . Memory (MB): peak = 1770.703 ; gain = 0.000 ; free physical = 90 ; free virtual = 1445 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command route_design -Command: report_drc (run_mandatory_drcs) for: router_checks -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -Checksum: PlaceDB: 3e9d4e54 ConstDB: 0 ShapeSum: 4e28315 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 81 ; free virtual = 1367 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 79 ; free virtual = 1367 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: d767981e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 73 ; free virtual = 1363 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1c5a7dd61 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.610 | TNS=0.000 | WHS=-0.066 | THS=-0.066 | - -Phase 2 Router Initialization | Checksum: 1cda7a673 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1358 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 22f2559ee - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.729 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 4 Rip-up And Reroute | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 5 Delay and Skew Optimization | Checksum: 17b794472 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -Phase 6 Post Hold Fix | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0509572 % - Global Horizontal Routing Utilization = 0.00919118 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 13eecb57e - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.882 | TNS=0.000 | WHS=0.247 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1cae7b32b - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 66 ; free virtual = 1359 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:42 . Memory (MB): peak = 1841.703 ; gain = 71.000 ; free physical = 70 ; free virtual = 1364 - -Routing Is Done. -43 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:20 ; elapsed = 00:00:47 . Memory (MB): peak = 1875.594 ; gain = 104.891 ; free physical = 66 ; free virtual = 1364 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1875.594 ; gain = 0.000 ; free physical = 65 ; free virtual = 1364 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. -Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx -INFO: [Coretcl 2-168] The results of DRC are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_drc_routed.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1933.746 ; gain = 58.152 ; free physical = 80 ; free virtual = 1355 -Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. -report_methodology completed successfully -Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -48 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:19:09 2017... - -*** Running vivado - with args -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace - - -****** Vivado v2017.2 (64-bit) - **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 - **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - -source lab0_wrapper.tcl -notrace -Command: open_checkpoint lab0_wrapper_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.20 . Memory (MB): peak = 1083.047 ; gain = 0.000 ; free physical = 519 ; free virtual = 2093 -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.runs/impl_1/.Xil/Vivado-19317-comparch-VirtualBox/dcp3/lab0_wrapper.xdc] -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 -Restored from archive | CPU: 0.040000 secs | Memory: 0.034851 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1312.207 ; gain = 0.000 ; free physical = 206 ; free virtual = 1828 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 -open_checkpoint: Time (s): cpu = 00:00:17 ; elapsed = 00:00:43 . Memory (MB): peak = 1312.207 ; gain = 229.160 ; free physical = 207 ; free virtual = 1827 -Command: write_bitstream -force lab0_wrapper.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command write_bitstream -Command: report_drc (run_mandatory_drcs) for: bitstream_checks -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Writing bitstream ./lab0_wrapper.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-186] '/home/comparch/Lab0/lab0.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 16:21:56 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. -14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:38 . Memory (MB): peak = 1724.027 ; gain = 411.820 ; free physical = 386 ; free virtual = 1809 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:21:57 2017... diff --git a/lab0.runs/impl_1/runme.sh b/lab0.runs/impl_1/runme.sh deleted file mode 100755 index b746349..0000000 --- a/lab0.runs/impl_1/runme.sh +++ /dev/null @@ -1,43 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -# - -if [ -z "$PATH" ]; then - PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin -else - PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 -else - LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='/home/comparch/Lab0/lab0.runs/impl_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .write_bitstream.begin.rst -EAStep vivado -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace - - diff --git a/lab0.runs/impl_1/usage_statistics_webtalk.html b/lab0.runs/impl_1/usage_statistics_webtalk.html deleted file mode 100644 index 82b4ec8..0000000 --- a/lab0.runs/impl_1/usage_statistics_webtalk.html +++ /dev/null @@ -1,459 +0,0 @@ -Device Usage Statistics Report -

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page displays the device usage statistics that will be sent to Xilinx.
    To see the actual file transmitted to Xilinx, please click
    here.


    - - - - - - - - - - - - - - - - - -
    software_version_and_target_device
    betaFALSEbuild_version1909853
    date_generatedWed Sep 27 16:21:54 2017os_platformLIN64
    product_versionVivado v2017.2 (64-bit)project_id3e25bb4895324bedac3db5b5420cb7d1
    project_iteration1random_id260071bf-5dad-4818-bd9c-a4f9ad540bfd
    registration_id260071bf-5dad-4818-bd9c-a4f9ad540bfdroute_designTRUE
    target_devicexc7z010target_familyzynq
    target_packageclg400target_speed-1
    tool_flowVivado

    - - - - - - - - -
    user_environment
    cpu_nameIntel(R) Core(TM) i7-5600U CPU @ 2.60GHzcpu_speed2593.994 MHz
    os_nameUbuntuos_releaseUbuntu 16.04.3 LTS
    system_ram2.000 GBtotal_processors1

    - - -
    vivado_usage
    - - - - - - - - - - - -
    java_command_handlers
    addsources=3editconstraintsets=1editproperties=1newproject=4
    openproject=1runbitgen=3runimplementation=3runsynthesis=3
    showview=3viewtaskimplementation=2
    - - - -
    other_data
    guimode=2
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    project_data
    constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
    default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
    export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
    export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
    launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
    launch_simulation_xsim=0simulator_language=Mixedsrcsetcount=2synthesisstrategy=Vivado Synthesis Defaults
    target_language=Verilogtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
    -
    - - - - -
    unisim_transformation
    - - - - - - - - - - - - -
    post_unisim_transformation
    bufg=1fdre=9gnd=3ibuf=9
    lut3=1lut4=4lut5=1lut6=2
    obuf=4vcc=1
    -
    - - - - - - - - - - - - -
    pre_unisim_transformation
    bufg=1fdre=9gnd=3ibuf=9
    lut3=1lut4=4lut5=1lut6=2
    obuf=4vcc=1
    -

    - - - - -
    report_drc
    - - - - - - - - - - - - - -
    command_line_options
    -append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
    -format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
    -ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
    -
    - - - -
    results
    zps7-1=1
    -

    - - - - - - - - - -
    report_utilization
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    clocking
    bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=1bufgctrl_util_percentage=3.13
    bufhce_available=48bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
    bufio_available=8bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
    bufmrce_available=4bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
    bufr_available=8bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
    mmcme2_adv_available=2mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
    plle2_adv_available=2plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
    -
    - - - - - - -
    dsp
    dsps_available=80dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    io_standard
    blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
    diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
    diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
    diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
    hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
    lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
    lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
    ppds_25=0rsds_25=0sstl135=0sstl135_r=0
    sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
    tmds_33=0
    -
    - - - - - - - - - - - - - - -
    memory
    block_ram_tile_available=60block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
    ramb18_available=120ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
    ramb36_fifo_available=60ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
    -
    - - - - - - - - - - - - - - - - - - -
    primitives
    bufg_functional_category=Clockbufg_used=1fdre_functional_category=Flop & Latchfdre_used=9
    ibuf_functional_category=IOibuf_used=9lut3_functional_category=LUTlut3_used=1
    lut4_functional_category=LUTlut4_used=4lut5_functional_category=LUTlut5_used=1
    lut6_functional_category=LUTlut6_used=2obuf_functional_category=IOobuf_used=4
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    slice_logic
    f7_muxes_available=8800f7_muxes_fixed=0f7_muxes_used=0f7_muxes_util_percentage=0.00
    f8_muxes_available=4400f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
    lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=8lut_as_logic_util_percentage=0.05
    lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
    register_as_flip_flop_available=35200register_as_flip_flop_fixed=0register_as_flip_flop_used=9register_as_flip_flop_util_percentage=0.03
    register_as_latch_available=35200register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
    slice_luts_available=17600slice_luts_fixed=0slice_luts_used=8slice_luts_util_percentage=0.05
    slice_registers_available=35200slice_registers_fixed=0slice_registers_used=9slice_registers_util_percentage=0.03
    fully_used_lut_ff_pairs_fixed=0.03fully_used_lut_ff_pairs_used=0lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0
    lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=8lut_as_logic_util_percentage=0.05
    lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
    lut_as_shift_register_fixed=0lut_as_shift_register_used=0lut_ff_pairs_with_one_unused_flip_flop_fixed=0lut_ff_pairs_with_one_unused_flip_flop_used=1
    lut_ff_pairs_with_one_unused_lut_output_fixed=1lut_ff_pairs_with_one_unused_lut_output_used=1lut_flip_flop_pairs_available=17600lut_flip_flop_pairs_fixed=0
    lut_flip_flop_pairs_used=1lut_flip_flop_pairs_util_percentage=<0.01slice_available=4400slice_fixed=0
    slice_used=5slice_util_percentage=0.11slicel_fixed=0slicel_used=3
    slicem_fixed=0slicem_used=2unique_control_sets_used=3using_o5_and_o6_fixed=3
    using_o5_and_o6_used=0using_o5_output_only_fixed=0using_o5_output_only_used=0using_o6_output_only_fixed=0
    using_o6_output_only_used=8
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    specific_feature
    bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
    capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
    dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
    efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
    frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
    icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
    startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
    xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
    -

    - - - -
    router
    - - - - - - - - - - - - - - - - - - - - - - - - - - -
    usage
    actual_expansions=73929bogomips=5187bram18=0bram36=0
    bufg=0bufr=0congestion_level=0ctrls=3
    dsp=0effort=2estimated_expansions=40800ff=9
    global_clocks=1high_fanout_nets=0iob=13lut=8
    movable_instances=35nets=44pins=121pll=0
    router_runtime=0.000000router_timing_driven=1threads=1timing_constraints_exist=1
    -

    - - - - -
    synthesis
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    command_line_options
    -assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
    -control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
    -fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
    -keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
    -max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
    -no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7z010clg400-1
    -resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
    -rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
    -top=lab0_wrapper-verilog_define=default::[not_specified]
    -
    - - - - - - -
    usage
    elapsed=00:01:08shls_ip=0memory_gain=385.312MBmemory_peak=1470.375MB
    -

    - - diff --git a/lab0.runs/impl_1/usage_statistics_webtalk.xml b/lab0.runs/impl_1/usage_statistics_webtalk.xml deleted file mode 100644 index 436f9c8..0000000 --- a/lab0.runs/impl_1/usage_statistics_webtalk.xml +++ /dev/null @@ -1,407 +0,0 @@ - - -
    -
    - - - - - - - - - - - - - - - -
    -
    - - - - - - -
    -
    -
    - - - - - - - - - - - -
    -
    - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - -
    -
    -
    -
    - - - - - - - - - - -
    -
    - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    diff --git a/lab0.runs/impl_1/vivado.jou b/lab0.runs/impl_1/vivado.jou deleted file mode 100644 index 2180cf6..0000000 --- a/lab0.runs/impl_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:19:39 2017 -# Process ID: 19317 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado.pb b/lab0.runs/impl_1/vivado.pb deleted file mode 100644 index 1681da909707ec54b635ca75ad1f14f18f7cc70a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpoDYKlT|YJq~0xq_jYm64&9skwrYfuT93>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+Qj1nfz diff --git a/lab0.runs/impl_1/vivado_17860.backup.jou b/lab0.runs/impl_1/vivado_17860.backup.jou deleted file mode 100644 index bcb699b..0000000 --- a/lab0.runs/impl_1/vivado_17860.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 15:37:28 2017 -# Process ID: 17860 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado_18324.backup.jou b/lab0.runs/impl_1/vivado_18324.backup.jou deleted file mode 100644 index 06967be..0000000 --- a/lab0.runs/impl_1/vivado_18324.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 15:52:22 2017 -# Process ID: 18324 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/vivado_19132.backup.jou b/lab0.runs/impl_1/vivado_19132.backup.jou deleted file mode 100644 index 9985b6b..0000000 --- a/lab0.runs/impl_1/vivado_19132.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:14:53 2017 -# Process ID: 19132 -# Current directory: /home/comparch/Lab0/lab0.runs/impl_1 -# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace -# Log file: /home/comparch/Lab0/lab0.runs/impl_1/lab0_wrapper.vdi -# Journal file: /home/comparch/Lab0/lab0.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/impl_1/write_bitstream.pb b/lab0.runs/impl_1/write_bitstream.pb deleted file mode 100644 index 220a25027b3d50f4a5e68eb512f5114a825e08dc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6314 zcmds5OK;rP753;^UmJ;<){d(L@$EyEtZF#qod-Ee)6|yjz;GO>mi&Nkdq zm=9@W7+toj0tLD&y6Q52Alm{3y6FEX&>zrUH~r4#3`J__Isv*c3JB2flJ}f*&*MAa zxopHP?}Wpl9k|YEowz8%~gNZS=3vh{EzgF|N^ooA!a3li?zJ^k<` zvPUBs9Y$fANLO>6kyv(R-%kBxd-~&G*${PMbvlC!qlNLp?`s!U=GZUUJ8Unpqr?l2 zpp@_1@iWpqZ|KdqzUl_X8)by5# zZAUPe_Gr4UusYuT|M@qBvp$-?>1-8Q#^-c^_zk1pT3xQ>QUgu z-Vip*p@e^UKa6-J5h_P5u$9HtIm6ss4rJncaU!m;T6b2%!J_Ea4HJqM$BS7=K4)Sg zdq1*+7;=Yp;)MZs!r{o5h%d+a5UZWtM?98@k&9%3Her>t>95cxtfICt`{1+8LVjY$ z+=--38cw`qKswf9NS+s6V{>^Fg`Z0&5vy$Jv3G2{;jDamCv?Nq#O%9+MWfy_yG7lP z+1sCnwo48jAq6MOjJCPCv7s0S2 zl0AulijW^3c@7Upi8u6KD7TX9=9{f8GA=Tns|OJj@hpogK#Jt6frw;28~Zqqz$T6ElPv9;USQRMnik*`Hjq% zb)(xf%=&ag{c)C=x59A)#oSbTr&X?vU1y~>>jW2tFM z%7W3+MOn-;xzp;3qMVP|)v27Pw_yrFF6^2bVwNRPK!i^9ouB2Ob1Yhm&m$jdtq6E{ ztj0O@-2~y(@AL3>ws?ka1izP8=UbM(xr7uOhJpA2TcKkhopA=r=WRZlQQ|tgbOy<- z*L_fdZ{mIS4WnrY4Nj=o{vEccV7lVfyKEj2tax#ap)Cq)_RYZ(D##QRt;^%f8JPa5 z#>|H@PQnP;-;cr}r+V~`C4WiyNsX2;G{$4rRn*FZTl{6#e>+AwCFne`7*M2F;OpdV zvt_lbfJ&uPSG0=R@UJ%_8+7)5juClA@QLI8KQrUk?7P)%b}t17i%z#eTBtP`Mxpn0 z+1h6zKi~RKWF$FwQr4`&zgR_bqN_j@LZ3IOKh)Uz&KZ%bYQMeI^W8~bY?|or(7`9`Nz?|I3MS=Hh_wrq6uuGOxfIMnSrI;CW8*FWBflw4!qJjXXH^N{LM zkw&8mU-GxDile^!Hl~(TzisMP-blX3-nvJ;lvuDLRI`={d^4eO^^C{O zexuyeY?V6YA8SniB*cjGb<86+XPou9|E+l?`Z{J?57QtZPu_mG!@-_yK8h3}_Y`4= zf2rHTi74T$pAgY3%yZzk=i*!88JgP+Y%I2o7q82ld#nut97f5Z8##OfwDXV*vC#=n zX(_%z(cb2HVr4UBT%mt9kN#d`>F%D%dw%FV!_@OU_0V6p`Tjt1T6d)H^I;k%yeD}| zBOE4{WZ=d8;52xSbRw=yYhmQdi1JVdb`PA|2_rZ#!53iee@wmE^IofT!1U4M;hXB8hTwCb%AkL$9)t=q*k*Xxda;0f>OL^+CTf4pl(M6>CnY$ANinM3vKzihrqD~H($839qAUiqOxl%}h4;3K`#qNej>K3`qExPH)MQ(j`KG;%I+7(y zo$_OLbvh^#X@}E%8RxWJm`0g&tAa5)?P9_Fgk716hykdI{1+tPvZFA;sgoH-r-ViY zBh)p^c@brzI2BQvK_Fr`3Msb;G-wLN1xs#4vr{FaZIt-pkJ-0&&<7NLqjrb(DBmxD zEfuF|kt_4*!(>;B`?IDp5m7TYFXniFjMeKU2RQ876Eq_-J^u>8HrYG}o^-Zb{E)q) zm8Vwhsi=!ZXi>B%x9~2ze#=XaWWaawirgzypkfH+Sx5cM?>VnD7AY1P6RVx+Wb-@Q~Qh)&xsFDWG{iu+8`PF zz{ppBFvfc-h74cvuhfaT3s`mt@s-c!4WXB+49`f7++F{kW521Xwm(2G>q%HwL_!=C z*NrG$+*)|Sn8Sw%KEDh5Y}ZvC4_9ffOl;hNaJxrdQ zdUS(1T_tixUuAs(9n=ZaAi*et`9n1=tJt)zvN@w_fXB6D#i&ZRl~uI2dRaBM63W - - - - diff --git a/lab0.runs/synth_1/.vivado.end.rst b/lab0.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/lab0.runs/synth_1/ISEWrap.js b/lab0.runs/synth_1/ISEWrap.js deleted file mode 100755 index 8284d2d..0000000 --- a/lab0.runs/synth_1/ISEWrap.js +++ /dev/null @@ -1,244 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/lab0.runs/synth_1/ISEWrap.sh b/lab0.runs/synth_1/ISEWrap.sh deleted file mode 100755 index e1a8f5d..0000000 --- a/lab0.runs/synth_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/lab0.runs/synth_1/gen_run.xml b/lab0.runs/synth_1/gen_run.xml deleted file mode 100644 index a815856..0000000 --- a/lab0.runs/synth_1/gen_run.xml +++ /dev/null @@ -1,55 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/lab0.runs/synth_1/htr.txt b/lab0.runs/synth_1/htr.txt deleted file mode 100644 index 4240d46..0000000 --- a/lab0.runs/synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -# -# Vivado(TM) -# htr.txt: a Vivado-generated description of how-to-repeat the -# the basic steps of a run. Note that runme.bat/sh needs -# to be invoked for Vivado to track run status. -# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -# - -vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/lab0.runs/synth_1/lab0_wrapper.dcp b/lab0.runs/synth_1/lab0_wrapper.dcp deleted file mode 100644 index 9770d3831bd3f805a9301ead4b751752470b5a32..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11987 zcmZ{K1yo%-x9-N>-J!T^fnr69ySux~#@#9IPN8UVcXxMpcZwF*NALOXyZ7+M%g$UY z_RS=7C1Ye}e{zyw;OGDV02(mp$1ORm0BZ*a0svgT3+8*NmA(O!u8V`dot?3RF3{1* zfWg_!$SN^fUb2S?J!s<(?RYMjszzeb$xMncWPmuqwx-x}V^B~YS%dS-oe4&NG1B(R z-s<@}aNIF0-_pIp#Y6D+o+*7jZ;5xkZtB)GGO-MmE<(T!6jTBu;Z4b@J0eNvp0Ff` z%3&Vzv((VZZD<9@L<#vs^^$hAS_QB_fn1t5B8K|B)eLtp8w^Y*gHnq`hTj-5MMyJ4 zcQ=5rnTW-QHfco~=iElVWjszOY>0zy=rw@7II7n2h>>SHK3kNR28Dd9q>VyZ{saTG z4)ttDRbF7X&WakfZEyPfac4fai0x5^eD^-qpxYRp{2!4l*r3MR7D8WWQmqh!mhJFjI=PyI*7)q_o6H*svQ z1auq;*_w67*sXijE@%ur7ehmsQW)cBdH?CsJL6)gBY36HoCq#u{_6NXvRT)X1d>MAz9Y?G{=S%>F?eoX)$x zsE~-J40l}%Qx^=Mwl&d&wb+^rdAvhlBmOLq1iB0-p1@!3DpHYDkPRpyiu6rMx$ldN+0DesK*S@}&FSPfA;21c;Bv zhm1Yr*K{jxhz;I98&bO!lwv&(sWfqJ-=x&zT;g9AYt?s2PgOR`_sf?os92RYZBQ+1 zE;I;k3NCD5CsG=4UH!RWr4};Ztbl>NV>0=Q(CWPeA&&;N=OZg$Z;jpGF0oQ>+&e)2 z{Xv7g6qwOr004Ik008yFeqaEa>Dw76s%b}P_F>$%)mKDURGePA`kX0DbMX&?l4yHy z3>CMc(+!pYNC84pd~bmPRoOu2`G4mo=h#B3GMUMc!89UlId6 zK4r_95xv5#5%d#1JW3d)SQhlTS?4o4*W61oZrI z&%|E32uW>nf-Qm3@SdOz-D89pcq*ti1@_KH_{MrjQ7zPV!JU-yto)u~xZcs|yM<@; z9SOm0rI4%2YWe7^{yluIC7R4U{@vEU8zshvn&(RG7}0L0P?(ns=RCpG8@=SHIh{%r z%M0{73OTV9Q?6;c^Q-MbT0($p@pJylXPD{gjqwFgG3U~#X9nC|_y?z?q;|e>0-1^a z${HC&AuZO)KV6UGVuB_;AzaoV_kZd*7?r@b?#;nf*vz2OUPiEQVpiAhmx`$K$>Ep~7S+HRrRtCke5bF$c z0548(4a7_G$HlbC^~#`}8tc@uBU#+N*%XX1udKy-fqT%3=?cO(gj2s}Gy+X?oSkr6 z2iKS_RE0cE^k<(t6>c%EQ#XR8D_%G?fPngvVOpt>a3KJru5Fr)*7{mYkk{X zr-9$RYS93FUD!oGaORA=XM-Cx^Q~#|=mH+^lr!V!6hw)lPDBrAWW76F-4Z7q?1>n@ z4D5*+JYkN0%Zya#fqCib?1E#3pV9j@v77_G11Bj0EYfl8!>$ z>vM09U{(J#?o0V)Gs>J(7A?m?v(I6GWs};Ihz_LM4n#9hHD2*(OFEYc9gfbB6@I!)#I!=x9U&l!nFh;p*Y z5BTaMgJ zqojCY9dwR-_FC#?0NS2AiDKD)!nVw5h-KQ%GQwS#1~Ng-Hg8E}sY$t9TvQk{yBUPF z(Hbi!K;L;QIdMv5#OS6=au?S@W0d+VM}pyi5OV9^ze(?-v zTeHc;|2=S2P9`=O_NN0W`rPG7YPS!IrhMQj3r!$m_ic&Q_6Aj+NP9^Pom=4S5PVZ< zj4qW?*s}}?K~9wxA^E(hH13sjvFE6`{S9ub%Mm?AV0xv~(GOE)g!VUFnv=?#wvM+9 z7;0A@pNALooD|Lite%|=yZ%@nB zF&nqLJwn4JAP+neS1TRM_InNG;tzu{S6jZ%(Jxn6Z&WoK z%duBeBzvSF4_V;jw-f72I;}J}pJ$^z@xA6r3WyVLX)tQ-l_rt~*xfd94FhWhj3WpS_jj8mYhBwF!zHJqGl$hmV@i?H19 zVH6ylczK3=L8D~278dY68&s4!(p)WxATxmFHU%<%3rXO#GS0pNjTuO3C?poXrDtqC z)_b-WR1M9GmUDjM)6faaX#Qf!(LkD+Xjc{co_;AKSOV;&HbdzMcwd^V)U98H12x9= z8UxX=mk}?y%D!+@0&M7eH6?hTMv!9Z7tjRQeg-U+V}Anz;`zO?J%xKVDCXQsb7ybb zb!!ZYCB<M8a{j3MIpfN>dMd=2Pr?&J7@4~)^nGO#+(+ioDw^` zvu?sWA+~&^4KTB|TcmRF4jwAIBr}7P7YKLS7xuKQnEGV1o~nx!p4Gk>vF6{80|x^U z?B!D+H4{l_CZ(#+S%V7=SjhqB;H>n3bBcH--@9nYCI^m+h^J#q|;=M#>CNM z@zK~fiL=sjTdTP5sd#fUcn4pCU|`rS*0~DMv`T-%F_fh?JY|sMvD#j8OCm7cJsp`R zN2Nb`;wmzfq&GaM9i=nfJ(B0u=*xVHTp95Zx@nEyC-BxNNM<>|o?&ULbxY1lTJGi1 zJJhSNxoyE3zZECT3HcrtaUUIR;TO2W^~Cu7$UKLbo)A2b)SS1f-o1ELS98Zt?HMJB zO@30375T>J-)E@xYPC-a?`Nm5cR~4hhBCJ?bbuQg9UGO5b`hr&k8l}b47(eZWRMt> z9-|c>wI3N`WR#?5nPp{WQJ|8cAC?*&DwUuc8l9-AmY|auAEc91W@3|Pk^|@ycSAuI#!J`e?0` zlyl^ct+^v9H^?_GflE#spfUJQq)%;QxeX50;O3)-MHAv`DR{9)J77R`ky_Cz=r zjaPFl;DJxg!X#|FQm1h<5 zu$KEU^Db&h`Jywh3zI%zj7Q6M5hoGXk@2x@0;>u=2`)LEI4!ClWZQO2zu$2Zr&y+& z3VX(TqFu}L(V@#d*~qIWsbmj*a4|LmK?l&7GW zh`S>!`jD{}7|sNLferBtQ_L5-7(eATSH;gyAWDwYU(Wfm!-64cxET1ygqz({qjYv# z`N1cV*t2^mXD3QnNEj4%%Y1P=2#LOCV}8XjN8t~Is(%fPaW>Hdd2H)iAR6QY=|#Z+ zuuRKgetwXS6U!Lbn4BPr=aMN-> z1k&uHIn(AeuD11D=o*SQ*w1oWbtCkll~TA*K*6^Rs5!fChZGlqWI z6YZ+gz4L-nKD=yr%s=-mVGWB!7v2}N5aaG;>b~>0{2dWIIFc?>+FgErUpW0D^4VnZ z2(gIP5Sc*fsP%a3>)D%4*s}Lwe8915P*5_S3a_4R(8!HRZEtqU`dMrW0fZuRKrEB& z`p>NKB|5=z(;|y+Wl3pyah4`vU)Z^_JP$+KX(5~rSlp7S@W8vy-ooSxmFMxU8G7Y7 z@SbXHM(mr*;?ZtTI5&R^E|+_Vj$sxBkz0?VZ&G7yrqcerbcJXfdr94CwOx+4A>h*4 zVb0?vH$P7m%c|A5B|T+7zA3PjZ7#<@dYs^$_OIo67w0^m7@w>dBA(^l46~3rK}nvMiWF5C!zm6Pk~cN0s78|gKVNKkRkKongUO@>H4?t4~%qJYKoyo zbT>&03S|0;S_Ix1l-)W%RHpnq4FohR>OHFCBxC{eOjQmeNBAa6jt+?3696i&TVcMl zV^@+!U0)#lQFx0Q{`#P{mFS|S^hQ_#!4J+o-_MKkUx?7bio<=;0rt7gMIdlIWSWP) zss7}IRHM*5{fOijG2-oLvKL9NKgB36^5{FEky6vSFI%lbpKi9O#vt zEURfZdxO^ykI1^=#w-=(ljO=t3JDb?1*1#ctJ64$p^Fcf3gHPO7JUlQ5cX%rPqVzH zuM^0iQ>E6l2so3=w=|n=xOR@Q^X-=Y+iTy%FvTh$owH9a}7oX^Al$Q!BA&g?2dKpLH$l zNeog7gn+JOCm05)uU9InP$H&$gh+0)iP7i$*6Cu(hE$s)e3<^ z9y)YUvpV5Yedn${zgSId7h2e{nk6q6YLnIacH#p$45kFApQVYmDpaUvb9NWEorkH< zqm@t2ZJuu8a}~;6z?fAip@lF!;iqKAgy0@lJJFc@UFJe>7BRw9AC-V=*c2y<*)+m3 zzf7?>%{eI%ag$wNPYFXDV4-i}72iJjbtn^kwZvH!HkP`Ek(}F)S|L!iqr~3^O`ajA zLqRa^OMyYK1*Ozf*b^f2=s7VBsLj3v)yCM04SI>Z+e)MX^Yu{I$hnApUvE;gpTs)( zFr+}`%a}0LERAGE#$4M=>I&Bv`z#<<7%>Cm4BYmz@nio^XnY&YdAjsd8;s4GQ^W*X&Jh_J^Foiq-$x!^Od{2}$o?WLrYIfN2S{rh-@M+O(tiL`O zb3C?~PcleFwUi9g@Yr&P>+J$+l-&)7S+2?#NO;w$KVFmYV|WMBhl*fVm}tCsb*DK= zL0P33-+@`oS3>qN@wtqp@x6ewQoPJQruj_yb9gG>dWPhT6yKzkbJyLPie93%p;vEW zvmr*O>1~PU`0kj11Yyzrz+jCDxL{2luk}+wh#p17&n)r#)q12r`kau|n`9MM@l8M) zp{q4Qn73ayZVk+CpqSg$X&_ZSR%b1tO`L=ls2kJa{i(PaMNTrQ#GX7JeoQe#(%UA! z2+?4la04=jPcv|ug2^V|sx*O>Z4^QEEh~MrLioi8s!_Fi#C(^@I>et*B?weJEt^Z~c-0 zvl*~8``X0hQXN#`qkRdkJ32JCQ!dbIOkf5RceN9~5xg`Q@cP%6KXhp5+6k{O+2vup zo@c{U{rJ`!sk5WAdMm>Z?PpC3FVCra5Ddv!7(?a3&V!Vw`owj5A`tkC(~l8+Rjgb9 zUZ`BC*A&@?s*{x2qyo06T+R^xDcT8|jDNEVKr;fNa}(5e_@w`7g1=T|+Klb;D;U`+ zK59FoHkTJ$n$Q7qIWw8r{8Y*stpl3^x#Hi#f(47(TC;EEKZY!~IU)>Z;6zoTcwwaR zTf~i)QGSc-Z7)i1PepU3_q`lvjygFDgW&N=w0Y@L zi`(b1VyZt&wu2M=1PQ6PE6dkH(r1T-B{IljaL^AdZ)#`J$@DzLM-~$d1d_ z9Drt5o9Wz{1sjY*Gf#9A$q8#~4tD-<8m_fF)3e3YO46HD)kp~2mg;6$pn#blQ;Aj= zB+%+Ne9iba3337E#Ar|1OCey0o=cgFMzm{|9vk9dK#n+Zdr|!&J2ETgY0dRl>OA+) zHF_H0gggaunIX^7C-3Euc_d;-njV#$nyAaX@cSvkddw{P^usCj0oQ4WDl`A_=@c|a zk$$Pk_k#5xqx$RBd1phg+C`ew)QP!p#qWzmSVSVL4?&|x3voVAVJ0Cy8Isu zRzEd)*C73Ej-jNUmImL{P6xcZsSYzBa`cYrA_Up5lJk(iR);jog^J!H50FIvo_c^6 zm32-((LYGbuU|4*NoL`jrnkod)j6OCZ4F)8P0PPselLZTrHk@iz)1(#8Zb4P6d^P$ z-I8}T1a;M&!j}`^X{~f`4gzT%D}iHV%MZ71b*WQP2<6uLb`zY0>NSG`k=&yqU}N?Ie+vx68I zJFO@w8HpgHvqWPugcASeQ{uv!YXY(Uqp6Ad3KmGiFLtvh2P*SOuO6z4mlWA%qHf!&69}e zWySu-<#Y2EXGfz{hG+7_+XsKHr>DBA=iQHce!rOifBZQYBa^-a0Yp#|c;UNDNU9ft z#&LKun~ZO06Shv<{fX?62y|2lt+g>OL<=i!9oL?qfy|Cf^RF80By3hZ`4R&Clh`TE z>oNJqOTC-Qac7k#US7X)&8k7U_cG(g-=_Tzm|ZMT9H(ZNLq9E^9(u~{l~gLx^X$(d zH9NQWPkpI%_PRqA$U4`>nsNhy=JS!c6FZi5RGm@3&n&OGc(F3g_IMoczGKV$alXUD zy)oeK+#Y>L=PCOK=RWHU?`ig@o;v5;^@j;du58e;AOZmN?}GHvfpxV3p+}s)RI_qb zVdW4OBz_MpMr0 z9!?KdUsVM!NSx|K);em0Ly=17Lwx=xhA1X0ru6`7ch@}giq=8Kp~PlmWzL!|J``Dl-;8{E47 z<8McsUP4t-p&h2UZnPh(HgJkZASe+wVB-)nJ*SWLX`aZ#M$r|7v)>0dbSH5s7 zLZaR5E7N^0x5{~Sp?tM5)o>3wy8P1D63;KTU1bWZ`dd}qTLL$W88L%tdXa^(UkB4m zy)8Y`H?GYKQ+4TEmt9W}7H|^2I+kPVShrqH%jxGTJS>~Uwr#6;4;|^Cuk7`?&Agep z5xMbp;b0~)vhF8Ug%?X$^6Kud^)vsVs8d&K9l!&|3uvddBeaX| zWCYnjb_KI*=uGzw0bhsol-wlfR0K^1xLimMWF6n{Ol(!jqQAh~No?8z(m{O?7ExVO z;one>j=4KWKo15d*Fkkq?z64Zb~t=hA=W>wF#yJ);T!HNJ3V}fpj(j|bvIjj^W>a( z=r8b@k3jS^H!A>0uspJ>F42uJJXl1?_c4uip!czzaJ`=|G@e5{??O+a0nyM}5E*E$ zv5i;Q7eao?-!*l?yy-5$jtsi_Bbnq#;Y&&3XQ9D9|0nlP@&}U~CHyQ5m{WJBdpExb z_To3}Lj6_&+Z}pj+Y1>M@Jq-*3Eg;lyC#eDm%?>g^Xg{aF_>h3&xn_(1s%5|nG&?q=P1vqv2f#pf&m@l17jCGZRk*Mwd= zJ1RbUrd}aW5xqiYK2cCpDZN91=giK@oqI38s<{@>H_VGqFrD&#em# zE>}QTSfm}ExjW%N10Z(dL4S$A`YlGu#{49_!)}lL@92lk_YHnS41R+jo`@nC39TnY zd%U+T!_V)Rt*aWwt%YyN^bf*FI2{Br&Lf8C{)6{~^3e&QiJ&vHI|eHWbKcf;B^|OEe8Cpt$&C& z!vg2Q4OXyZS!WRnZ0xVLJa}yBstcxad~WUU5(Wsg+=46YBqEJr{c5Y0|2c@~zLh3KK*k2ph}s$wSXf-Dahacv+2tH%CQ3^VZa zcA>NXMBhd22(vPWI6Wgli(Zpinr?v70?99Ok%ITgaG9i`n@zsfkYgkm7KaNE1!op4 z^9x)@#~h&qTZd&Is`3+5RO?Yrij5OCZx9;@O4T3E0coHLv}Edu6Mm_t`lN=tc?y}W zy&Aeqm|lWIVyfyd^&BN75DoT12 zd1NlIyK>t{wXTfzVdDG-UgVF3IVrE4Wtc46uC@ik2R3A2j~9LsZ%lS-S|EQW6f@(eS&YOb zsGbu~{(@^G7@7AA8vkKH@3hAGdc4)ni{<40TF@y)%C|!)5=C9WcPTHJ_X=U(!P#Vx>mVFJ>Z73E zHC5`Pf-hQYOc5hwp3m_KL~Icw*0+N8Br2RQ!=nBOu|02^r~|7tZiYH>P%uE0rBa!_ zsxTepO{%SjV2|ghP@nIf35~)R=v{4RBgaxi3vNQYC!O^EzsRDXuZmJZse!7u&&|Jy zzk#!u%3wM*2{#i@@~Y1sVFrOmpN-_?(K&oVS+Rx=FZ*e!lAiIWvyxb}#O(-k>d@iy zbz|Bl*UNxDK_NF5_VNMjox3(VrZ;<7`?tvYR-%=B;;y4Mc-E zHZH|@cZ&vUw^tNf12LAII*Ebg3pPk&w7E*3TPHH+X>QM)wD3+*qQ z`DGm4Pdr6skVWW$?KiZW?WhM8i8xCAMSWH#7ff-4RNXOB1j;1ESlw|hGRl^>zLMv1 zRg`W)bX>(aFNN?FeM%-4O%>-eb#%RWErI9Q9HPEQdA;y^QrW`N2bz7N#{FItr^by& znQN7?05;@F6faSb`g2E>dBLV@U4;gF#m+p!Y!q0X%as$z9z$kzc~#fEwyPe zKN@*oioXij9O-zw%N*5aOo#m0svLB&i%9wM#hizz9Ls;iyDLR^@)#@P2?9;xC!9cw zF4HcSBbUEzw}Aa1)PR>WHNMUK0p>x{3SW?BT+E~c78F#o1S`%=#?a_^SeE%!0VCl> z!6hAv494j}R=v5J^=|jPp6U==2ox)&&#Pw$N60{eot}9`;r=V_FxiSScTH+U>ciOp z6FgirU&mVqe93TnkD@ZCZXE~TUgadP=3*cO^6Py7iSs4<^CdgD8*J$tNQsarzJG0uZq@h?&W_-pW0Efl0E#$ediD8=Y`1eDqTLjp}*E8 zP*A=Z#raT=FOcU}a48g}Y4nw54xOa*?~J^k!m)t;iZ46$KU zuYA1!s?ky?*%uB}?RaA?qikg=Q|2EURWdNXmAMXL@UH-+5HJ3AU1IpStGB_Gqfqih_3kf{P z65j#8-tGJU+*ddl>3c(GEyVSdOX5IMt<|r85uYh#V7kn$L1PT< zB6wZHeB*&Sq4RYUpRN8y&(UFIS^o{}=H|0I!k!j2|3d_*Cx}_302}0#oF#k?^Y{80 z+yFE9MmJD7Nf1zUu>U_Z_^%b-=L4wq`&ES-?WlUBK8{Uf$H+T!2N!UO`_<#(_!N0m%D*093m9S^xk5 diff --git a/lab0.runs/synth_1/lab0_wrapper.tcl b/lab0.runs/synth_1/lab0_wrapper.tcl deleted file mode 100644 index 10f6be0..0000000 --- a/lab0.runs/synth_1/lab0_wrapper.tcl +++ /dev/null @@ -1,38 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -create_project -in_memory -part xc7z010clg400-1 - -set_param project.singleFileAddWarning.threshold 0 -set_param project.compositeFile.enableAutoGeneration 0 -set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir /home/comparch/Lab0/lab0.cache/wt [current_project] -set_property parent.project_path /home/comparch/Lab0/lab0.xpr [current_project] -set_property default_lib xil_defaultlib [current_project] -set_property target_language Verilog [current_project] -set_property board_part digilentinc.com:zybo:part0:1.0 [current_project] -set_property ip_output_repo /home/comparch/Lab0/lab0.cache/ip [current_project] -set_property ip_cache_permissions {read write} [current_project] -read_verilog -library xil_defaultlib { - /home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v - /home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v -} -# Mark all dcp files as not used in implementation to prevent them from being -# stitched into the results of this synthesis run. Any black boxes in the -# design are intentionally left as such for best results. Dcp files will be -# stitched into the design at a later time, either when this synthesis run is -# opened, or when it is stitched into a dependent implementation run. -foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { - set_property used_in_implementation false $dcp -} -read_xdc /home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc -set_property used_in_implementation false [get_files /home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] - - -synth_design -top lab0_wrapper -part xc7z010clg400-1 - - -write_checkpoint -force -noxdef lab0_wrapper.dcp - -catch { report_utilization -file lab0_wrapper_utilization_synth.rpt -pb lab0_wrapper_utilization_synth.pb } diff --git a/lab0.runs/synth_1/lab0_wrapper.vds b/lab0.runs/synth_1/lab0_wrapper.vds deleted file mode 100644 index 7240de5..0000000 --- a/lab0.runs/synth_1/lab0_wrapper.vds +++ /dev/null @@ -1,294 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:10:33 2017 -# Process ID: 18956 -# Current directory: /home/comparch/Lab0/lab0.runs/synth_1 -# Command line: vivado -log lab0_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl -# Log file: /home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.vds -# Journal file: /home/comparch/Lab0/lab0.runs/synth_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace -Command: synth_design -top lab0_wrapper -part xc7z010clg400-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 18974 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:31 . Memory (MB): peak = 1135.645 ; gain = 37.996 ; free physical = 271 ; free virtual = 1996 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'lab0_wrapper' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] -INFO: [Synth 8-638] synthesizing module 'dff' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] - Parameter W bound to: 4 - type: integer -INFO: [Synth 8-256] done synthesizing module 'dff' (1#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] -INFO: [Synth 8-638] synthesizing module 'jkff1' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] -INFO: [Synth 8-256] done synthesizing module 'jkff1' (2#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] -INFO: [Synth 8-638] synthesizing module 'mux2' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] - Parameter W bound to: 4 - type: integer -INFO: [Synth 8-256] done synthesizing module 'mux2' (3#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] -INFO: [Synth 8-638] synthesizing module 'FullAdder4bit' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] -INFO: [Synth 8-638] synthesizing module 'structuralFullAdder' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] -INFO: [Synth 8-256] done synthesizing module 'structuralFullAdder' (4#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] -INFO: [Synth 8-256] done synthesizing module 'FullAdder4bit' (5#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] -INFO: [Synth 8-256] done synthesizing module 'lab0_wrapper' (6#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:36 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2007 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:37 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2008 ---------------------------------------------------------------------------------- -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/lab0_wrapper_propImpl.xdc]. -Resolution: To avoid this warning, move constraints listed in [.Xil/lab0_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1454.352 ; gain = 0.000 ; free physical = 68 ; free virtual = 1754 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7z010clg400-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 154 ; free virtual = 1841 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:43 ; elapsed = 00:01:49 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 146 ; free virtual = 1832 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 9 -+---Registers : - 4 Bit Registers := 2 - 1 Bit Registers := 1 -+---Muxes : - 2 Input 4 Bit Muxes := 1 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module dff -Detailed RTL Component Info : -+---Registers : - 4 Bit Registers := 1 -Module jkff1 -Detailed RTL Component Info : -+---Registers : - 1 Bit Registers := 1 -Module mux2 -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 1 -Module structuralFullAdder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module FullAdder4bit -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 80 (col length:40) -BRAMs: 120 (col length: RAMB18 40 RAMB36 20) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:44 ; elapsed = 00:01:51 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 130 ; free virtual = 1819 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 63 ; free virtual = 1699 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 62 ; free virtual = 1699 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT3 | 1| -|3 |LUT4 | 4| -|4 |LUT5 | 1| -|5 |LUT6 | 2| -|6 |FDRE | 9| -|7 |IBUF | 9| -|8 |OBUF | 4| -+------+-----+------+ - -Report Instance Areas: -+------+----------+-------+------+ -| |Instance |Module |Cells | -+------+----------+-------+------+ -|1 |top | | 31| -|2 | opA_mem |dff | 9| -|3 | opB_mem |dff_0 | 6| -|4 | src_sel |jkff1 | 2| -+------+----------+-------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:51 ; elapsed = 00:02:07 . Memory (MB): peak = 1470.367 ; gain = 95.512 ; free physical = 163 ; free virtual = 1756 -Synthesis Optimization Complete : Time (s): cpu = 00:01:07 ; elapsed = 00:02:49 . Memory (MB): peak = 1470.375 ; gain = 372.719 ; free physical = 165 ; free virtual = 1759 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:01:10 ; elapsed = 00:02:57 . Memory (MB): peak = 1470.375 ; gain = 385.312 ; free physical = 132 ; free virtual = 1726 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.dcp' has been generated. -report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:01 . Memory (MB): peak = 1470.375 ; gain = 0.000 ; free physical = 123 ; free virtual = 1726 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:14:17 2017... diff --git a/lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb b/lab0.runs/synth_1/lab0_wrapper_utilization_synth.pb deleted file mode 100644 index a7fdd244e6fd8cddbb0d2bea75aaa58b43053345..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=pU^)K) diff --git a/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt b/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt deleted file mode 100644 index cad43b7..0000000 --- a/lab0.runs/synth_1/lab0_wrapper_utilization_synth.rpt +++ /dev/null @@ -1,175 +0,0 @@ -Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 16:14:16 2017 -| Host : comparch-VirtualBox running 64-bit Ubuntu 16.04.3 LTS -| Command : report_utilization -file lab0_wrapper_utilization_synth.rpt -pb lab0_wrapper_utilization_synth.pb -| Design : lab0_wrapper -| Device : 7z010clg400-1 -| Design State : Synthesized -------------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 8 | 0 | 17600 | 0.05 | -| LUT as Logic | 8 | 0 | 17600 | 0.05 | -| LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 9 | 0 | 35200 | 0.03 | -| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | -| Register as Latch | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 4400 | 0.00 | -+-------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 9 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 120 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 80 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 13 | 0 | 100 | 13.00 | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 96 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 100 | 0.00 | -| OLOGIC | 0 | 0 | 100 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 0 | 0 | 2 | 0.00 | -| BUFMRCE | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 8 | 0.00 | -+------------+------+-------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -7. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| IBUF | 9 | IO | -| FDRE | 9 | Flop & Latch | -| OBUF | 4 | IO | -| LUT4 | 4 | LUT | -| LUT6 | 2 | LUT | -| LUT5 | 1 | LUT | -| LUT3 | 1 | LUT | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/lab0.runs/synth_1/project.wdf b/lab0.runs/synth_1/project.wdf deleted file mode 100644 index d58966f..0000000 --- a/lab0.runs/synth_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323562623438393533323462656461633364623562353432306362376431:506172656e742050412070726f6a656374204944:00 -eof:1407798516 diff --git a/lab0.runs/synth_1/rundef.js b/lab0.runs/synth_1/rundef.js deleted file mode 100644 index f571842..0000000 --- a/lab0.runs/synth_1/rundef.js +++ /dev/null @@ -1,40 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -// - -echo "This script was generated under a different operating system." -echo "Please update the PATH variable below, before executing this script" -exit - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; -} else { - PathVal = "/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/lab0.runs/synth_1/runme.bat b/lab0.runs/synth_1/runme.bat deleted file mode 100644 index 220ba68..0000000 --- a/lab0.runs/synth_1/runme.bat +++ /dev/null @@ -1,11 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -set PATH=%SYSTEMROOT%\system32;%PATH% -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/lab0.runs/synth_1/runme.log b/lab0.runs/synth_1/runme.log deleted file mode 100644 index 73224eb..0000000 --- a/lab0.runs/synth_1/runme.log +++ /dev/null @@ -1,293 +0,0 @@ - -*** Running vivado - with args -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl - - -****** Vivado v2017.2 (64-bit) - **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 - **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - -source lab0_wrapper.tcl -notrace -Command: synth_design -top lab0_wrapper -part xc7z010clg400-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 18974 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:31 . Memory (MB): peak = 1135.645 ; gain = 37.996 ; free physical = 271 ; free virtual = 1996 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'lab0_wrapper' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] -INFO: [Synth 8-638] synthesizing module 'dff' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] - Parameter W bound to: 4 - type: integer -INFO: [Synth 8-256] done synthesizing module 'dff' (1#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:36] -INFO: [Synth 8-638] synthesizing module 'jkff1' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] -INFO: [Synth 8-256] done synthesizing module 'jkff1' (2#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:51] -INFO: [Synth 8-638] synthesizing module 'mux2' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] - Parameter W bound to: 4 - type: integer -INFO: [Synth 8-256] done synthesizing module 'mux2' (3#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:72] -INFO: [Synth 8-638] synthesizing module 'FullAdder4bit' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] -INFO: [Synth 8-638] synthesizing module 'structuralFullAdder' [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] -INFO: [Synth 8-256] done synthesizing module 'structuralFullAdder' (4#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:21] -INFO: [Synth 8-256] done synthesizing module 'FullAdder4bit' (5#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/adder.v:41] -INFO: [Synth 8-256] done synthesizing module 'lab0_wrapper' (6#1) [/home/comparch/Lab0/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v:94] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:36 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2007 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:37 . Memory (MB): peak = 1177.145 ; gain = 79.496 ; free physical = 280 ; free virtual = 2008 ---------------------------------------------------------------------------------- -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/comparch/Lab0/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/lab0_wrapper_propImpl.xdc]. -Resolution: To avoid this warning, move constraints listed in [.Xil/lab0_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1454.352 ; gain = 0.000 ; free physical = 68 ; free virtual = 1754 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7z010clg400-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 153 ; free virtual = 1839 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:01:48 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 154 ; free virtual = 1841 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:43 ; elapsed = 00:01:49 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 146 ; free virtual = 1832 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 9 -+---Registers : - 4 Bit Registers := 2 - 1 Bit Registers := 1 -+---Muxes : - 2 Input 4 Bit Muxes := 1 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module dff -Detailed RTL Component Info : -+---Registers : - 4 Bit Registers := 1 -Module jkff1 -Detailed RTL Component Info : -+---Registers : - 1 Bit Registers := 1 -Module mux2 -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 1 -Module structuralFullAdder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module FullAdder4bit -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 80 (col length:40) -BRAMs: 120 (col length: RAMB18 40 RAMB36 20) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:44 ; elapsed = 00:01:51 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 130 ; free virtual = 1819 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 63 ; free virtual = 1699 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1454.352 ; gain = 356.703 ; free physical = 62 ; free virtual = 1699 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:01:05 ; elapsed = 00:02:44 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT3 | 1| -|3 |LUT4 | 4| -|4 |LUT5 | 1| -|5 |LUT6 | 2| -|6 |FDRE | 9| -|7 |IBUF | 9| -|8 |OBUF | 4| -+------+-----+------+ - -Report Instance Areas: -+------+----------+-------+------+ -| |Instance |Module |Cells | -+------+----------+-------+------+ -|1 |top | | 31| -|2 | opA_mem |dff | 9| -|3 | opB_mem |dff_0 | 6| -|4 | src_sel |jkff1 | 2| -+------+----------+-------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:07 ; elapsed = 00:02:48 . Memory (MB): peak = 1470.367 ; gain = 372.719 ; free physical = 104 ; free virtual = 1697 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:51 ; elapsed = 00:02:07 . Memory (MB): peak = 1470.367 ; gain = 95.512 ; free physical = 163 ; free virtual = 1756 -Synthesis Optimization Complete : Time (s): cpu = 00:01:07 ; elapsed = 00:02:49 . Memory (MB): peak = 1470.375 ; gain = 372.719 ; free physical = 165 ; free virtual = 1759 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:01:10 ; elapsed = 00:02:57 . Memory (MB): peak = 1470.375 ; gain = 385.312 ; free physical = 132 ; free virtual = 1726 -INFO: [Common 17-1381] The checkpoint '/home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.dcp' has been generated. -report_utilization: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:01 . Memory (MB): peak = 1470.375 ; gain = 0.000 ; free physical = 123 ; free virtual = 1726 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 16:14:17 2017... diff --git a/lab0.runs/synth_1/runme.sh b/lab0.runs/synth_1/runme.sh deleted file mode 100755 index 7d7198d..0000000 --- a/lab0.runs/synth_1/runme.sh +++ /dev/null @@ -1,39 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -# - -if [ -z "$PATH" ]; then - PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin -else - PATH=/opt/Xilinx/SDK/2017.2/bin:/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 -else - LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='/home/comparch/Lab0/lab0.runs/synth_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/lab0.runs/synth_1/vivado.jou b/lab0.runs/synth_1/vivado.jou deleted file mode 100644 index 2de2e21..0000000 --- a/lab0.runs/synth_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 16:10:33 2017 -# Process ID: 18956 -# Current directory: /home/comparch/Lab0/lab0.runs/synth_1 -# Command line: vivado -log lab0_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl -# Log file: /home/comparch/Lab0/lab0.runs/synth_1/lab0_wrapper.vds -# Journal file: /home/comparch/Lab0/lab0.runs/synth_1/vivado.jou -#----------------------------------------------------------- -source lab0_wrapper.tcl -notrace diff --git a/lab0.runs/synth_1/vivado.pb b/lab0.runs/synth_1/vivado.pb deleted file mode 100644 index c8df476d6288f5051dfdff0003b4533dab00b6d7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31641 zcmeGlYi}FJF(+Aey>Sy2ZCuAmlT8A}wj5G-$0Ltq<0O)7*-ETfm0yX{L^zWx>1^_j zxI5aCK?FsCK0XEg($4{k76|%EQM4#hAn3bA-$e@)O_vGF2C|UB+ z2?fI>kH@*0*_qkd+1VMii4M%_&8DK&t9Zgh<9iN|6bqC4udCOUx^C4P;u$2Fo0_%0 zQYWUmrs15Ww{Szb!mr#glvaxvIM-4P3vblqo4ml+8fy~I=LB(IovbSDhE;XnERRV! zK`5->)!MVM=L_6j<0Ghw4xYE*$Evo5gAbu-j0#dEfCv3`K@@_&{tAblnYJv_Y}o)T z9j_4!E4ZQ7h-MPJsvCHfC|27b_{fBL1Ot&%aIH?R!yWb|`#}Z1Jh`_9Owcv)V`%?* zN-fZ8MoADKMfW&#<`|*TdzSYKa;_lBK}^5MA^x;(Z4I-r!=nX(-+9a`fZ5o_7#o2< za%g1296vBQX7gWsi$h=LyfIn2a2B6}vDOX6Qgsbi@ddR>@KN(v71vsAd;;@)75)h# z{x~KLrDc-3cd;PgJYFD8-PpuO7iQomEuvh5uR#ck`I1zG->fOB23HDlzEUZ{=T(Cc z+*;o>)tb_PFGN{zuU=OTt8HHt;9eAEJdkx@;{YS;PaHaA69nUUlS)@pueTd)%*TPT zr9ydfOjpcJQ^e>%P@1D~#TU`+)VkgzQy?QC>b3Q$vmpLc^jCS)sF_oy-ZpB)ToI!~(N&8DG)cS{++@6-zjWt<4sxVpX%q8hjfs0B8d-0r<=a znyBjbh^RWr@7?lRGSaPKyk!$xMF2<&b372e%rs$Jc2$r*KRbX>vdvCS5zy8-X-+pwq{3#!c3kP zrLCBREll@E(1%USXxG3iR2m*_w~sDyD|EfKO`+|ZNRVMEhV}%*w@X9anAA>##QIdqE?`Eoe%K z3mRF@3&91AT**uE3z{+?UC@X;FUR|{-h$?2*i(K29YRZlt~K!NI;ma5&l9VmnpQQ< zFK&n7yo~0L=j=b{g?$KL^1pK5xvzn{kH-_>Ipom?cd-4}nTHiTd+Yw+Ib|5SVdBnZ z#n52&2Jc`=YPI3@Br$7-3JZQ%jk3SamLKe3A4h~kkpGqY&V9W#iUk|xP7}f+>Pp>E zuM=%WBNoubXyKh#jQP%@V$#)gXMx^~+0B^UJg5}E8Wyfqv=m_1&b&<_{cm02j30C8 z8(c{H&w=bT)tfAyvAC{4oJdHHYs8uXdH2jfIi5bx3}nyYOX%LSx>Bbx6+7$)!6R5f z3q^TyFN>>*kD+_hV}W}`At&)P80dwfo_xw2(LQpSFm@l*V zrd*l4n*~+dmfA4ISJ0W>o^;K|KNx57B2vpQ&YW9WP)rD%0ph(R3_xu(@D+j~;;kEChG{I>4JexUO54IWoIBdoN<$PA zLVp3Y@)*!mY8HIwKhnesphe}H{RG0y0W55x77GPWqQp<`oIu3*aw2*t|AN}E`On{15Fw_%6E*sLPQ+6aONMy#NT=XLD-j<6vxnE-&UGbp5g)f2qsWUz- zwKXt81m>&g*oY6J53p!F&&PcGFuL3QHqXaJ_~7T@!bzkc%}D?2Rt$`ZF;5!S*5SIDr1s ziGE)5EKodmy~R!Tv^^Vky}`D-RDnYU%fc>?Y~bnncb7K)jze$x+UU~OW5g1D`k`*J zeR;4B10=u8Vfmdwd8V7wtyW``D&rB8ShjaU3~Td9#GQ}MKZ8YI_7>v5T^a>}vbTMW zf=rHn_?(GW(M7oJNd;#-Nr<;$1LRR;Kjwe$8uOoU=$rnS(_m;!I7CbyWa@i_(>%bf zsqBPXBYD{$!9gyF13$>8!iFn{?so&Tl)ZFd>@GqQO zGShz>btd*hd|d>Gz6F6B`%l0#s`C~;Gq5Lc#r`e@vlC&=CeVi{W=mv^#&uxVB9*jw z^cY^w|B{Pd04!v~^@Cm?&^_Wq(Anlh3h|XN@vy5(iC<`MfUVdj;h}Ny2&bevU?F3$ zAHuU&fpcNz$mlUIvU=z0b@pt1G0fI!l=E%n8I>3`CDYCq^=-_ZWH_Tz6Cx@sIj})O zH+@sIG-^B-SpF+ygr@y=hwXTF*0L|7Q4P!1qv#%oQ+6WcdyrQLS{yUkpS+J)QTRQ2Vx%!HXXi4HnU^HTI^`r-S5U45CY>?h@y!UHyo zrb50>XbOv)ms4i2>

    sgQy{4evcjXULx$&33m2I2cDmwX0a%5`{gRS6e7f|qLb$r zAx;7raz|@=12-Vi!dkCN{4q4MG`#@d2x9mv43}qwGM0GzU7>_UxOvb+!BGdx)0_}P z?wFCyUQ?n`D5fd1hHjd8hUS4l`hdN+Hw~E}p{VD;%S-m`{ZCgI`S%?9z8^;Jhsh8d z=uWC&*$7fJ!%nCc6Hg902?~Aflow($ZH2Nh_&eppkaBRFes=@m@1cYBe<}P;FnvOmrw5?JyJ=z->|uOv*;bN- zN^vs-&Gb%$~VXNgbxvgcY7f@4dgkhpi_By@%F8PlsJN zI1A4V-26F605Ktb6w6k0vv|YVa_3WJli0O)^4T1%6>=h^FOm5T$Kx7Q!*UB6@`B%^4^!(x9Q!*|urvO$_Y|3>B(L zk)h_Ko5O#0O*uc~(6{_4Cz-WF-MOQ5CtAuTan6B&?oe^k6(^-CW^~G-d>v}d9i=r% zp4w#t>QBIg<8`&ctZwkYdur3+DfAe+&)xl>X(+X8GddhOPd#xSwI#hay3@AJqtKv^ zhKmh`02;wtD4h|7wzIh13N*%iuPac1`LhHHV_r0sHR#0>FGF4h9(JbB0|ICIoD>E2 z;(pjN6t{4+jY?-0PIp*IbxL+07j|5)Gjnn7v{S`dNT`|81D6gvBiT87@j~GipcL#f z2^5OtK#{hAi{wEm-U2Q~4@${_B5nhh5`!{#a_N)k7R+x40itG$1+Z>4xYrJ=K(M4Wq$-7XyE)bFR3hhtf=96r`)29&S*=oE0DFP=!q| zDHH{{8(2DeOPkrTKezO{{aQ#;Vy`|;6iZi$F_fyFUTH#6`wlI)0Dla0lH~RR>1Z?s zIpcv`;a!lW1ZC(2(ot`Wp>^4cNgBArN*$zVX%CRMJUoLQlu7cThAy&iH&TO{M%bTQ zMsvPPy6X1lxS?9>n8(EK|L_F7gJus<{t9w;Zn&)=Xn|JV`xsZ(IavAeFDz!@ojU#j zhrY`B4-TH^zVQMSAzi_^ z<=1b*-n7#mfb{_=@?JG?)(0R|(wm^%G$em*+HD}A0C#t#a9Y_Ns_MX+!Y(RLL5>LW zbEP~v z3LP0JcVh5OxV*f#02SB69WAr3`TlbbodyzVSvvCxO03&;#{Mwt8X)(oeTp3Ja(dJd ztwt9h&~E^0%+v0QfFK=eMM*Cl^LJPM| zhFq|vE^U2kE0xpQH%7|o6GA;P-W`Yn`B0`QhzF?bg zIj$kt1885NT+A1QxI+2RKvXEiePNfwhYlldJ7m8vGHn>#RSy464s0KEhk((vI~PNr z8t6s=`AutXdXBAzqa{)B8U#OtP>uG2YU$A6B>EWfSyW1FFJiPcXk%-)c@{zqA@cQF zD++6QAD|4195S@u<69{z`R!pVpKyQdtxBY``$1dqIk zaR*>!u>?BcvI{J?*H+|H9Qw$q4HhQ@jw=@MJ)dVB7WtC<6Y((Gd&%yL9p#7!9l0*U s#B`ptplLA{N>xFs3NjQV5n=?)?tlQ0gVV=72%Q4gW(g(+qdw049}_vR!2kdN diff --git a/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc b/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc deleted file mode 100644 index 69c380c..0000000 --- a/lab0.srcs/constrs_1/imports/comparch/ZYBO_Master.xdc +++ /dev/null @@ -1,146 +0,0 @@ -## This file is a general .xdc for the ZYBO Rev B board -## To use it in a project: -## - uncomment the lines corresponding to used pins -## - rename the used signals according to the project - - -##Clock signal -set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L11P_T1_SRCC_35 Sch=sysclk -create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { clk }]; - - -##Switches -set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=SW0 -set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=SW1 -set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=SW2 -set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=SW3 - - -##Buttons -set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L20N_T3_34 Sch=BTN0 -set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=BTN1 -set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L18P_T2_34 Sch=BTN2 -set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=BTN3 - - -##LEDs -set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=LED0 -set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=LED1 -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35=Sch=LED2 -set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=LED3 - - -##I2S Audio Codec -#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports ac_bclk]; #IO_L12N_T1_MRCC_35 Sch=AC_BCLK -#set_property -dict { PACKAGE_PIN T19 IOSTANDARD LVCMOS33 } [get_ports ac_mclk]; #IO_25_34 Sch=AC_MCLK -#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports ac_muten]; #IO_L23N_T3_34 Sch=AC_MUTEN -#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports ac_pbdat]; #IO_L8P_T1_AD10P_35 Sch=AC_PBDAT -#set_property -dict { PACKAGE_PIN L17 IOSTANDARD LVCMOS33 } [get_ports ac_pblrc]; #IO_L11N_T1_SRCC_35 Sch=AC_PBLRC -#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports ac_recdat]; #IO_L12P_T1_MRCC_35 Sch=AC_RECDAT -#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports ac_reclrc]; #IO_L8N_T1_AD10N_35 Sch=AC_RECLRC - - -##Audio Codec/external EEPROM IIC bus -#set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports ac_scl]; #IO_L13P_T2_MRCC_34 Sch=AC_SCL -#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports ac_sda]; #IO_L23P_T3_34 Sch=AC_SDA - - -##Additional Ethernet signals -#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports eth_int_b]; #IO_L6P_T0_35 Sch=ETH_INT_B -#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports eth_rst_b]; #IO_L3P_T0_DQS_AD1P_35 Sch=ETH_RST_B - - -##HDMI Signals -#set_property -dict { PACKAGE_PIN H17 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_n]; #IO_L13N_T2_MRCC_35 Sch=HDMI_CLK_N -#set_property -dict { PACKAGE_PIN H16 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_p]; #IO_L13P_T2_MRCC_35 Sch=HDMI_CLK_P -#set_property -dict { PACKAGE_PIN D20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[0] }]; #IO_L4N_T0_35 Sch=HDMI_D0_N -#set_property -dict { PACKAGE_PIN D19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[0] }]; #IO_L4P_T0_35 Sch=HDMI_D0_P -#set_property -dict { PACKAGE_PIN B20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[1] }]; #IO_L1N_T0_AD0N_35 Sch=HDMI_D1_N -#set_property -dict { PACKAGE_PIN C20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[1] }]; #IO_L1P_T0_AD0P_35 Sch=HDMI_D1_P -#set_property -dict { PACKAGE_PIN A20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[2] }]; #IO_L2N_T0_AD8N_35 Sch=HDMI_D2_N -#set_property -dict { PACKAGE_PIN B19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[2] }]; #IO_L2P_T0_AD8P_35 Sch=HDMI_D2_P -#set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports hdmi_cec]; #IO_L5N_T0_AD9N_35 Sch=HDMI_CEC -#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports hdmi_hpd]; #IO_L5P_T0_AD9P_35 Sch=HDMI_HPD -#set_property -dict { PACKAGE_PIN F17 IOSTANDARD LVCMOS33 } [get_ports hdmi_out_en]; #IO_L6N_T0_VREF_35 Sch=HDMI_OUT_EN -#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports hdmi_scl]; #IO_L16P_T2_35 Sch=HDMI_SCL -#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports hdmi_sda]; #IO_L16N_T2_35 Sch=HDMI_SDA - - -##Pmod Header JA (XADC) -#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { ja_p[0] }]; #IO_L21P_T3_DQS_AD14P_35 Sch=JA1_R_p -#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[1] }]; #IO_L22P_T3_AD7P_35 Sch=JA2_R_P -#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { ja_p[2] }]; #IO_L24P_T3_AD15P_35 Sch=JA3_R_P -#set_property -dict { PACKAGE_PIN K14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[3] }]; #IO_L20P_T3_AD6P_35 Sch=JA4_R_P -#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[0] }]; #IO_L21N_T3_DQS_AD14N_35 Sch=JA1_R_N -#set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { ja_n[1] }]; #IO_L22N_T3_AD7N_35 Sch=JA2_R_N -#set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[2] }]; #IO_L24N_T3_AD15N_35 Sch=JA3_R_N -#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { ja_n[3] }]; #IO_L20N_T3_AD6N_35 Sch=JA4_R_N - - -##Pmod Header JB -#set_property -dict { PACKAGE_PIN T20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[0] }]; #IO_L15P_T2_DQS_34 Sch=JB1_p -#set_property -dict { PACKAGE_PIN U20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[0] }]; #IO_L15N_T2_DQS_34 Sch=JB1_N -#set_property -dict { PACKAGE_PIN V20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[1] }]; #IO_L16P_T2_34 Sch=JB2_P -#set_property -dict { PACKAGE_PIN W20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[1] }]; #IO_L16N_T2_34 Sch=JB2_N -#set_property -dict { PACKAGE_PIN Y18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[2] }]; #IO_L17P_T2_34 Sch=JB3_P -#set_property -dict { PACKAGE_PIN Y19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[2] }]; #IO_L17N_T2_34 Sch=JB3_N -#set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[3] }]; #IO_L22P_T3_34 Sch=JB4_P -#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[3] }]; #IO_L22N_T3_34 Sch=JB4_N - - -##Pmod Header JC -#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { jc_p[0] }]; #IO_L10P_T1_34 Sch=JC1_P -#set_property -dict { PACKAGE_PIN W15 IOSTANDARD LVCMOS33 } [get_ports { jc_n[0] }]; #IO_L10N_T1_34 Sch=JC1_N -#set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { jc_p[1] }]; #IO_L1P_T0_34 Sch=JC2_P -#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { jc_n[1] }]; #IO_L1N_T0_34 Sch=JC2_N -#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports { jc_p[2] }]; #IO_L8P_T1_34 Sch=JC3_P -#set_property -dict { PACKAGE_PIN Y14 IOSTANDARD LVCMOS33 } [get_ports { jc_n[2] }]; #IO_L8N_T1_34 Sch=JC3_N -#set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports { jc_p[3] }]; #IO_L2P_T0_34 Sch=JC4_P -#set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { jc_n[3] }]; #IO_L2N_T0_34 Sch=JC4_N - - -##Pmod Header JD -#set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[0] }]; #IO_L5P_T0_34 Sch=JD1_P -#set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[0] }]; #IO_L5N_T0_34 Sch=JD1_N -#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[1] }]; #IO_L6P_T0_34 Sch=JD2_P -#set_property -dict { PACKAGE_PIN R14 IOSTANDARD LVCMOS33 } [get_ports { jd_n[1] }]; #IO_L6N_T0_VREF_34 Sch=JD2_N -#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[2] }]; #IO_L11P_T1_SRCC_34 Sch=JD3_P -#set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[2] }]; #IO_L11N_T1_SRCC_34 Sch=JD3_N -#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { jd_p[3] }]; #IO_L21P_T3_DQS_34 Sch=JD4_P -#set_property -dict { PACKAGE_PIN V18 IOSTANDARD LVCMOS33 } [get_ports { jd_n[3] }]; #IO_L21N_T3_DQS_34 Sch=JD4_N - - -##Pmod Header JE -#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=JE1 -#set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=JE2 -#set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=JE3 -#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { je[3] }]; #IO_L19P_T3_35 Sch=JE4 -#set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { je[4] }]; #IO_L3N_T0_DQS_34 Sch=JE7 -#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { je[5] }]; #IO_L9N_T1_DQS_34 Sch=JE8 -#set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports { je[6] }]; #IO_L20P_T3_34 Sch=JE9 -#set_property -dict { PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 } [get_ports { je[7] }]; #IO_L7N_T1_34 Sch=JE10 - - -##USB-OTG overcurrent detect pin -#set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports otg_oc]; #IO_L3P_T0_DQS_PUDC_B_34 Sch=OTG_OC - - -##VGA Connector -#set_property -dict { PACKAGE_PIN M19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[0] }]; #IO_L7P_T1_AD2P_35 Sch=VGA_R1 -#set_property -dict { PACKAGE_PIN L20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[1] }]; #IO_L9N_T1_DQS_AD3N_35 Sch=VGA_R2 -#set_property -dict { PACKAGE_PIN J20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[2] }]; #IO_L17P_T2_AD5P_35 Sch=VGA_R3 -#set_property -dict { PACKAGE_PIN G20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[3] }]; #IO_L18N_T2_AD13N_35 Sch=VGA_R4 -#set_property -dict { PACKAGE_PIN F19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[4] }]; #IO_L15P_T2_DQS_AD12P_35 Sch=VGA_R5 -#set_property -dict { PACKAGE_PIN H18 IOSTANDARD LVCMOS33 } [get_ports { vga_g[0] }]; #IO_L14N_T2_AD4N_SRCC_35 Sch=VGA_G0 -#set_property -dict { PACKAGE_PIN N20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[1] }]; #IO_L14P_T2_SRCC_34 Sch=VGA_G1 -#set_property -dict { PACKAGE_PIN L19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[2] }]; #IO_L9P_T1_DQS_AD3P_35 Sch=VGA_G2 -#set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[3] }]; #IO_L10N_T1_AD11N_35 Sch=VGA_G3 -#set_property -dict { PACKAGE_PIN H20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[4] }]; #IO_L17N_T2_AD5N_35 Sch=VGA_G4 -#set_property -dict { PACKAGE_PIN F20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[5] }]; #IO_L15N_T2_DQS_AD12N_35 Sch=VGA=G5 -#set_property -dict { PACKAGE_PIN P20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[0] }]; #IO_L14N_T2_SRCC_34 Sch=VGA_B1 -#set_property -dict { PACKAGE_PIN M20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[1] }]; #IO_L7N_T1_AD2N_35 Sch=VGA_B2 -#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[2] }]; #IO_L10P_T1_AD11P_35 Sch=VGA_B3 -#set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { vga_b[3] }]; #IO_L14P_T2_AD4P_SRCC_35 Sch=VGA_B4 -#set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[4] }]; #IO_L18P_T2_AD13P_35 Sch=VGA_B5 -#set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports vga_hs]; #IO_L13N_T2_MRCC_34 Sch=VGA_HS -#set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports vga_vs]; #IO_0_34 Sch=VGA_VS diff --git a/lab0.srcs/sources_1/imports/Lab0/adder.v b/lab0.srcs/sources_1/imports/Lab0/adder.v deleted file mode 100644 index 965bca5..0000000 --- a/lab0.srcs/sources_1/imports/Lab0/adder.v +++ /dev/null @@ -1,56 +0,0 @@ -// Adder circuit - -// define gates with delays -`define AND and #50 -`define OR or #50 -`define XOR xor #50 -`define NOT not #50 - -module behavioralFullAdder -( - output sum, - output carryout, - input a, - input b, - input carryin -); - // Uses concatenation operator and built-in '+' - assign {carryout, sum}=a+b+carryin; -endmodule - -module structuralFullAdder -( - output sum, - output carryout, - input a, - input b, - input carryin -); - - wire ab; - `XOR aXORb(ab, a, b); - `XOR abXORc(sum, ab, carryin); - - wire aAndb, oneAndC; - `AND aANDb(aAndb, a, b); - `AND aXORbANDc(oneAndC, ab, carryin); - `OR aorborc(carryout, aAndb, oneAndC); - -endmodule - -module FullAdder4bit -( - output[3:0] sum, // 2's complement sum of a and b - output carryout, // Carry out of the summation of a and b - output overflow, // True if calc resulted in overflow - input[3:0] a, // First operand in 2's complement format - input[3:0] b // Second operand in 2's complement format -); - wire carry0, carry1, carry2; - structuralFullAdder add0 (sum[0], carry0, a[0], b[0], 0); - structuralFullAdder add1 (sum[1], carry1, a[1], b[1], carry0); - structuralFullAdder add2 (sum[2], carry2, a[2], b[2], carry1); - structuralFullAdder add3 (sum[3], carryout, a[3], b[3], carry2); - - `XOR whythis(overflow, carry2, carryout); // Our Code here -endmodule diff --git a/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v b/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v deleted file mode 100644 index 3270bd2..0000000 --- a/lab0.srcs/sources_1/imports/Lab0/lab0_wrapper.v +++ /dev/null @@ -1,125 +0,0 @@ -//-------------------------------------------------------------------------------- -// Wrapper for Lab 0: Full Adder -// -// Rationale: -// The ZYBO board has 4 buttons, 4 switches, and 4 LEDs. But if we want to -// show the results of a 4-bit add operation, we will need at least 6 LEDs! -// -// This wrapper module allows for 4-bit operands to be loaded in one at a -// time, and multiplexes the LEDs to show the SUM and carryout/overflow at -// different times. -// -// Your job: -// Write FullAdder4bit with the proper port signature. It will be instantiated -// by the lab0_wrapper module in this file, which interfaces with the buttons, -// switches, and LEDs for you. -// -// Usage: -// btn0 - load operand A from the current switch configuration -// btn1 - load operand B from the current switch configuration -// btn2 - show SUM on LEDs -// btn3 - show carryout on led0, overflow on led1 -// -// Note: Buttons, switches, and LEDs have the least-significant (0) position -// on the right. -//-------------------------------------------------------------------------------- - -`timescale 1ns / 1ps - - -//-------------------------------------------------------------------------------- -// Basic building block modules -//-------------------------------------------------------------------------------- - -// D flip-flop with parameterized bit width (default: 1-bit) -// Parameters in Verilog: http://www.asic-world.com/verilog/para_modules1.html -module dff #( parameter W = 1 ) -( - input trigger, - input enable, - input [W-1:0] d, - output reg [W-1:0] q -); - always @(posedge trigger) begin - if(enable) begin - q <= d; - end - end -endmodule - -// JK flip-flop -module jkff1 -( - input trigger, - input j, - input k, - output reg q -); - always @(posedge trigger) begin - if(j && ~k) begin - q <= 1'b1; - end - else if(k && ~j) begin - q <= 1'b0; - end - else if(k && j) begin - q <= ~q; - end - end -endmodule - -// Two-input MUX with parameterized bit width (default: 1-bit) -module mux2 #( parameter W = 1 ) -( - input[W-1:0] in0, - input[W-1:0] in1, - input sel, - output[W-1:0] out -); - // Conditional operator - http://www.verilog.renerta.com/source/vrg00010.htm - assign out = (sel) ? in1 : in0; -endmodule - - -//-------------------------------------------------------------------------------- -// Main Lab 0 wrapper module -// Interfaces with switches, buttons, and LEDs on ZYBO board. Allows for two -// 4-bit operands to be stored, and two results to be alternately displayed -// to the LEDs. -// -// You must write the FullAdder4bit (in your adder.v) to complete this module. -// Challenge: write your own interface module instead of using this one. -//-------------------------------------------------------------------------------- - -module lab0_wrapper -( - input clk, - input [3:0] sw, - input [3:0] btn, - output [3:0] led -); - - wire[3:0] opA, opB; // Stored inputs to adder - wire[3:0] res0, res1; // Output display options - wire res_sel; // Select between display options - wire cout; // Carry out from adder - wire ovf; // Overflow from adder - - // Memory for stored operands (parametric width set to 4 bits) - dff #(4) opA_mem(.trigger(clk), .enable(btn[0]), .d(sw), .q(opA)); - dff #(4) opB_mem(.trigger(clk), .enable(btn[1]), .d(sw), .q(opB)); - - // Capture button input to switch which MUX input to LEDs - jkff1 src_sel(.trigger(clk), .j(btn[3]), .k(btn[2]), .q(res_sel)); - mux2 #(4) output_select(.in0(res0), .in1(res1), .sel(res_sel), .out(led)); - - // TODO: You write this in your adder.v - FullAdder4bit adder(.sum(res0), .carryout(cout), .overflow(ovf), .a(opA), .b(opB)); - - // Assign bits of second display output to show carry out and overflow - assign res1[0] = cout; - assign res1[1] = ovf; - assign res1[2] = 1'b0; - assign res1[3] = 1'b0; - -endmodule diff --git a/lab0.xpr b/lab0.xpr deleted file mode 100644 index da8dcdd..0000000 --- a/lab0.xpr +++ /dev/null @@ -1,155 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -