From 655228e0209c92bb50264982a649bdcfdd8a0b8b Mon Sep 17 00:00:00 2001 From: Jonah Spear Date: Sat, 23 Sep 2017 17:50:58 -0400 Subject: [PATCH 01/13] initial commit --- README.md | 140 ++--------------------------------------- adder.t.out | 178 ++++++++++++++++++++++++++++++++++++++++++++++++++++ adder.t.v | 34 ++++++++++ adder.v | 56 +++++++++++++++++ 4 files changed, 273 insertions(+), 135 deletions(-) create mode 100755 adder.t.out create mode 100644 adder.t.v create mode 100644 adder.v diff --git a/README.md b/README.md index dd5ae0b..193d8a4 100644 --- a/README.md +++ b/README.md @@ -1,141 +1,11 @@ # CompArch Lab 0: Full Adder on FPGA -**Due:** September 27 +Test Case: -This lab assignment will develop one of the basic building blocks for your processor and introduce you to FPGAs. +a=0011;b=0000; #1000; -You should complete HW2 before beginning this lab. Lab 0 depends on building blocks created in HW2. +Expected result: Cout=0, sum = 0011 -You will work in groups of 2-3. If you have not yet formed a group, do so on the team formation spreadsheet (link is on Piazza). +Result: -## Setup ## - -Install the required software by following the instructions on the [course website](https://sites.google.com/site/ca17fall/resources/fpga). If you followed the virtual machine instructions, you should already have everything you need. - -Check out an FPGA kit for your team. - -## Verify FPGA Tool Chain - -This portion of the exercise is not collected. It is intended only to verify that your full tool chain is correctly configured, and will save you headache later. - -The easiest way to accomplish this is to attend the FPGA tutorial: **Wednesday 9/20 at 8pm in the library**. - -Ensure that the switches, buttons, and LEDs on your FPGA board are functional. You may want to try instantiating various gates for additional practice. - -## 4 bit Full Adder - simulation -In HW2 you constructed several modules in structural Verilog and then tested them with the iverilog simulator. Re-use the Full Adder component to create a 4 bit Full Adder. - -This module must be in `adder.v`, and must have the following definition: - -```verilog -module FullAdder4bit -( - output[3:0] sum, // 2's complement sum of a and b - output carryout, // Carry out of the summation of a and b - output overflow, // True if the calculation resulted in an overflow - input[3:0] a, // First operand in 2's complement format - input[3:0] b // Second operand in 2's complement format -); - // Your Code Here -endmodule -``` - -Your code will be verified by our own test bench, so it is critical your module definition and name matches. - -Within this module, you will need to instantiate four of your single bit full adders and then appropriately wire them. Reference a single bit of a bus with the bracket operator: the first (least significant) bit of `a` is `a[0]`. - -Each of the gates within your design should have a delay of 50 units of time. - - -## Test Bench - simulation - -Create a test bench that exercises your 4 bit full adder, and verifies proper operation of its three outputs (Sum, Carry Out, Overflow). It is probably in your best interest to write this at the same time you write the module that it tests. - -An exhaustive test requires 2(4+4)= 256 test cases. Select a subset of those test cases that provides an appropriate level of coverage. It may be helpful for you to explicitly document what each subset of test cases are testing. For example, select several test cases that test the overflow flag and preface them with `$display(“Test Overflow:”);`. - -**When a test case fails, a well-designed tester should make it easy to identify possible locations of the cause.** - -Each time that your test bench catches an error in your design, document it in your write-up. Include the test case, the cause of the error, and the fix that made the test case pass. - -Note that your test bench will need to account for the gate delays in your design. After setting the inputs, be sure to wait sufficiently long for the result to stabilize. - -Your test harness must be in `adder.t.v`, and must instantiate `FullAdder4bit` from `adder.v` (again, for our test bench tester). - -## Full Adder on FPGA - -Use your `adder.v` and the provided `lab0_wrapper.v` to create a Vivado project, and load your tested 4-bit full adder design onto the FPGA board. - -_Challenge_: Write your own interface to the Zybo board that lets you completely test your 4-bit full adder without using `lab0_wrapper.v`. - -Verify correct operation by manually inputting test cases with the switches and buttons and examining the results on the LEDs. Choose 16 test cases that provide a reasonable amount of coverage – you’ve already tested the design in ModelSim, so you do not need to provide the same level of coverage again. - -Provide photos or a gif of your FPGA correctly computing one of the 16 test cases you chose. - -In your report include the full 16 test cases, why you chose them and their results. - - -## Report -Create a semi-formal lab report. Minimally, it should include the following: - -1. Waveforms showing the full adder stabilizing after changing inputs. What is the worst case delay? -1. An explanation of your test case strategy. Why did you choose the tests you did? -1. A list of test case failures and the changes to your design they inspired. -1. A summary of testing performed on the FPGA board. -1. Summary statistics of your synthesized design from Vivado (Propagation Delay, Resources Used, etc) - -You may optionally include additional information, such as the timing performance or design tradeoffs of your modules. - -The report should be a single PDF or MarkDown file. - - -## Submission -Push your files to your team GitHub repository. It should include the following: - -1. Your report, as a PDF or MarkDown file -1. Verilog code for - 1. 1 bit full adder - 1. 4 bit full adder - 1. 4 bit full adder test bench - 1. The top level module for synthesis onto the FPGA -1. Associated run scripts, e.g. Makefile - -Submit a pull request to the course repo (CompArchFA17/Lab0) for us to respond to with feedback. - -## Hints -Now that we have signals that are more than 1 bit wide, it makes sense to refer to them using buses. This allows us to reference all of the related bits in a convenient manner. - -If iverilog gives really cryptic errors about missing declarations that aren’t actually missing, look at the module above to see if you missed an `end`. - -## Rubric - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Adder Functionality 25
Sum 15
Carry Out, Overflow 5
Gate Delays5
Test Bench 15
Verifies Sum5
Verifies Carryout5
Verifies Overflow5
FPGA (Verified in report)20
Tutorial / Verify tool chain0
16 test cases are well chosen10
Pass 16 test cases5
Summary statistics5
Report35
Timing waveforms explained5
Test bench explanation20
Test bench failures / resulting changes10
Code commented (Nice but not excessive)5
+a=1011 b=0000 | cout=0 sum=1011 diff --git a/adder.t.out b/adder.t.out new file mode 100755 index 0000000..d90be33 --- /dev/null +++ b/adder.t.out @@ -0,0 +1,178 @@ +#! /usr/bin/vvp +:ivl_version "0.9.7 " "(v0_9_7)"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x1bbfd30 .scope module, "testFullAdder4bit" "testFullAdder4bit" 2 5; + .timescale -9 -12; +v0x1bec3b0_0 .var "a", 3 0; +v0x1bec450_0 .var "b", 3 0; +v0x1bec500_0 .net "carryout", 0 0, L_0x1bef430; 1 drivers +v0x1bec5d0_0 .net "overflow", 0 0, L_0x1bef6a0; 1 drivers +RS_0x7fdcef9ad888 .resolv tri, L_0x1bed1f0, L_0x1bedd80, L_0x1bee940, L_0x1bef5b0; +v0x1bec680_0 .net8 "sum", 3 0, RS_0x7fdcef9ad888; 4 drivers +S_0x1bbe910 .scope module, "adder" "FullAdder4bit" 2 10, 3 41, S_0x1bbfd30; + .timescale -9 -12; +L_0x1bef6a0/d .functor XNOR 1, L_0x1befaa0, L_0x1bef430, C4<0>, C4<0>; +L_0x1bef6a0 .delay (50000,50000,50000) L_0x1bef6a0/d; +v0x1bebe40_0 .net "Cout0", 0 0, L_0x1becf80; 1 drivers +v0x1bebec0_0 .net "Cout1", 0 0, L_0x1bedc00; 1 drivers +v0x1bebf90_0 .net "Cout2", 0 0, L_0x1bee7c0; 1 drivers +v0x1bec060_0 .net *"_s25", 0 0, L_0x1befaa0; 1 drivers +v0x1bec0e0_0 .net "a", 3 0, v0x1bec3b0_0; 1 drivers +v0x1bec160_0 .net "b", 3 0, v0x1bec450_0; 1 drivers +v0x1bec1e0_0 .alias "carryout", 0 0, v0x1bec500_0; +v0x1bec260_0 .alias "overflow", 0 0, v0x1bec5d0_0; +v0x1bec330_0 .alias "sum", 3 0, v0x1bec680_0; +L_0x1bed1f0 .part/pv L_0x1bec8b0, 0, 1, 4; +L_0x1bed2b0 .part v0x1bec3b0_0, 0, 1; +L_0x1bed3a0 .part v0x1bec450_0, 0, 1; +L_0x1bedd80 .part/pv L_0x1bed5a0, 1, 1, 4; +L_0x1bede70 .part v0x1bec3b0_0, 1, 1; +L_0x1bedfa0 .part v0x1bec450_0, 1, 1; +L_0x1bee940 .part/pv L_0x1bee170, 2, 1, 4; +L_0x1beea70 .part v0x1bec3b0_0, 2, 1; +L_0x1beec30 .part v0x1bec450_0, 2, 1; +L_0x1bef5b0 .part/pv L_0x1beee70, 3, 1, 4; +L_0x1bef700 .part v0x1bec3b0_0, 3, 1; +L_0x1bef830 .part v0x1bec450_0, 3, 1; +L_0x1befaa0 .part RS_0x7fdcef9ad888, 3, 1; +S_0x1beb280 .scope module, "ha0" "HalfAdder" 3 50, 3 31, S_0x1bbe910; + .timescale -9 -12; +v0x1bebb90_0 .net "a", 0 0, L_0x1bed2b0; 1 drivers +v0x1bebc60_0 .net "b", 0 0, L_0x1bed3a0; 1 drivers +v0x1bebd10_0 .alias "carryout", 0 0, v0x1bebe40_0; +v0x1bebd90_0 .net "sum", 0 0, L_0x1bec8b0; 1 drivers +S_0x1beb370 .scope module, "adder" "FullAdder1bit" 3 38, 3 10, S_0x1beb280; + .timescale -9 -12; +L_0x1bec730/d .functor XOR 1, L_0x1bed2b0, L_0x1bed3a0, C4<0>, C4<0>; +L_0x1bec730 .delay (50000,50000,50000) L_0x1bec730/d; +L_0x1bec8b0/d .functor XOR 1, L_0x1bec730, C4<0>, C4<0>, C4<0>; +L_0x1bec8b0 .delay (50000,50000,50000) L_0x1bec8b0/d; +L_0x1bec9f0/d .functor AND 1, L_0x1bed2b0, L_0x1bed3a0, C4<1>, C4<1>; +L_0x1bec9f0 .delay (50000,50000,50000) L_0x1bec9f0/d; +L_0x1beca90/d .functor OR 1, L_0x1bed2b0, L_0x1bed3a0, C4<0>, C4<0>; +L_0x1beca90 .delay (50000,50000,50000) L_0x1beca90/d; +L_0x1becc50/d .functor NOT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x1becc50 .delay (50000,50000,50000) L_0x1becc50/d; +L_0x1becd20/d .functor AND 1, L_0x1bec9f0, L_0x1becc50, C4<1>, C4<1>; +L_0x1becd20 .delay (50000,50000,50000) L_0x1becd20/d; +L_0x1bece70/d .functor AND 1, L_0x1beca90, C4<0>, C4<1>, C4<1>; +L_0x1bece70 .delay (50000,50000,50000) L_0x1bece70/d; +L_0x1becf80/d .functor OR 1, L_0x1becd20, L_0x1bece70, C4<0>, C4<0>; +L_0x1becf80 .delay (50000,50000,50000) L_0x1becf80/d; +v0x1beb460_0 .net "_carryin", 0 0, L_0x1becc50; 1 drivers +v0x1beb520_0 .alias "a", 0 0, v0x1bebb90_0; +v0x1beb5c0_0 .net "aandb", 0 0, L_0x1bec9f0; 1 drivers +v0x1beb660_0 .net "aorb", 0 0, L_0x1beca90; 1 drivers +v0x1beb710_0 .alias "b", 0 0, v0x1bebc60_0; +v0x1beb7b0_0 .net "carryin", 0 0, C4<0>; 1 drivers +v0x1beb850_0 .alias "carryout", 0 0, v0x1bebe40_0; +v0x1beb8d0_0 .net "outputIfCarryin", 0 0, L_0x1becd20; 1 drivers +v0x1beb950_0 .net "outputIf_Carryin", 0 0, L_0x1bece70; 1 drivers +v0x1beb9f0_0 .net "s", 0 0, L_0x1bec730; 1 drivers +v0x1bebaf0_0 .alias "sum", 0 0, v0x1bebd90_0; +S_0x1beaa60 .scope module, "fa1" "FullAdder1bit" 3 51, 3 10, S_0x1bbe910; + .timescale -9 -12; +L_0x1bed490/d .functor XOR 1, L_0x1bede70, L_0x1bedfa0, C4<0>, C4<0>; +L_0x1bed490 .delay (50000,50000,50000) L_0x1bed490/d; +L_0x1bed5a0/d .functor XOR 1, L_0x1bed490, L_0x1becf80, C4<0>, C4<0>; +L_0x1bed5a0 .delay (50000,50000,50000) L_0x1bed5a0/d; +L_0x1bed690/d .functor AND 1, L_0x1bede70, L_0x1bedfa0, C4<1>, C4<1>; +L_0x1bed690 .delay (50000,50000,50000) L_0x1bed690/d; +L_0x1bed7f0/d .functor OR 1, L_0x1bede70, L_0x1bedfa0, C4<0>, C4<0>; +L_0x1bed7f0 .delay (50000,50000,50000) L_0x1bed7f0/d; +L_0x1bed8b0/d .functor NOT 1, L_0x1becf80, C4<0>, C4<0>, C4<0>; +L_0x1bed8b0 .delay (50000,50000,50000) L_0x1bed8b0/d; +L_0x1bed950/d .functor AND 1, L_0x1bed690, L_0x1bed8b0, C4<1>, C4<1>; +L_0x1bed950 .delay (50000,50000,50000) L_0x1bed950/d; +L_0x1bedaf0/d .functor AND 1, L_0x1bed7f0, L_0x1becf80, C4<1>, C4<1>; +L_0x1bedaf0 .delay (50000,50000,50000) L_0x1bedaf0/d; +L_0x1bedc00/d .functor OR 1, L_0x1bed950, L_0x1bedaf0, C4<0>, C4<0>; +L_0x1bedc00 .delay (50000,50000,50000) L_0x1bedc00/d; +v0x1beab50_0 .net "_carryin", 0 0, L_0x1bed8b0; 1 drivers +v0x1beac10_0 .net "a", 0 0, L_0x1bede70; 1 drivers +v0x1beacb0_0 .net "aandb", 0 0, L_0x1bed690; 1 drivers +v0x1bead50_0 .net "aorb", 0 0, L_0x1bed7f0; 1 drivers +v0x1beae00_0 .net "b", 0 0, L_0x1bedfa0; 1 drivers +v0x1beaea0_0 .alias "carryin", 0 0, v0x1bebe40_0; +v0x1beaf40_0 .alias "carryout", 0 0, v0x1bebec0_0; +v0x1beafc0_0 .net "outputIfCarryin", 0 0, L_0x1bed950; 1 drivers +v0x1beb040_0 .net "outputIf_Carryin", 0 0, L_0x1bedaf0; 1 drivers +v0x1beb0e0_0 .net "s", 0 0, L_0x1bed490; 1 drivers +v0x1beb1e0_0 .net "sum", 0 0, L_0x1bed5a0; 1 drivers +S_0x1bea270 .scope module, "fa2" "FullAdder1bit" 3 52, 3 10, S_0x1bbe910; + .timescale -9 -12; +L_0x1bee0d0/d .functor XOR 1, L_0x1beea70, L_0x1beec30, C4<0>, C4<0>; +L_0x1bee0d0 .delay (50000,50000,50000) L_0x1bee0d0/d; +L_0x1bee170/d .functor XOR 1, L_0x1bee0d0, L_0x1bedc00, C4<0>, C4<0>; +L_0x1bee170 .delay (50000,50000,50000) L_0x1bee170/d; +L_0x1bee2a0/d .functor AND 1, L_0x1beea70, L_0x1beec30, C4<1>, C4<1>; +L_0x1bee2a0 .delay (50000,50000,50000) L_0x1bee2a0/d; +L_0x1bee3b0/d .functor OR 1, L_0x1beea70, L_0x1beec30, C4<0>, C4<0>; +L_0x1bee3b0 .delay (50000,50000,50000) L_0x1bee3b0/d; +L_0x1bee470/d .functor NOT 1, L_0x1bedc00, C4<0>, C4<0>, C4<0>; +L_0x1bee470 .delay (50000,50000,50000) L_0x1bee470/d; +L_0x1bee510/d .functor AND 1, L_0x1bee2a0, L_0x1bee470, C4<1>, C4<1>; +L_0x1bee510 .delay (50000,50000,50000) L_0x1bee510/d; +L_0x1bee6b0/d .functor AND 1, L_0x1bee3b0, L_0x1bedc00, C4<1>, C4<1>; +L_0x1bee6b0 .delay (50000,50000,50000) L_0x1bee6b0/d; +L_0x1bee7c0/d .functor OR 1, L_0x1bee510, L_0x1bee6b0, C4<0>, C4<0>; +L_0x1bee7c0 .delay (50000,50000,50000) L_0x1bee7c0/d; +v0x1bea360_0 .net "_carryin", 0 0, L_0x1bee470; 1 drivers +v0x1bea420_0 .net "a", 0 0, L_0x1beea70; 1 drivers +v0x1bea4c0_0 .net "aandb", 0 0, L_0x1bee2a0; 1 drivers +v0x1bea560_0 .net "aorb", 0 0, L_0x1bee3b0; 1 drivers +v0x1bea5e0_0 .net "b", 0 0, L_0x1beec30; 1 drivers +v0x1bea680_0 .alias "carryin", 0 0, v0x1bebec0_0; +v0x1bea720_0 .alias "carryout", 0 0, v0x1bebf90_0; +v0x1bea7a0_0 .net "outputIfCarryin", 0 0, L_0x1bee510; 1 drivers +v0x1bea820_0 .net "outputIf_Carryin", 0 0, L_0x1bee6b0; 1 drivers +v0x1bea8c0_0 .net "s", 0 0, L_0x1bee0d0; 1 drivers +v0x1bea9c0_0 .net "sum", 0 0, L_0x1bee170; 1 drivers +S_0x1bbe660 .scope module, "fa3" "FullAdder1bit" 3 53, 3 10, S_0x1bbe910; + .timescale -9 -12; +L_0x1beedf0/d .functor XOR 1, L_0x1bef700, L_0x1bef830, C4<0>, C4<0>; +L_0x1beedf0 .delay (50000,50000,50000) L_0x1beedf0/d; +L_0x1beee70/d .functor XOR 1, L_0x1beedf0, L_0x1bee7c0, C4<0>, C4<0>; +L_0x1beee70 .delay (50000,50000,50000) L_0x1beee70/d; +L_0x1beef60/d .functor AND 1, L_0x1bef700, L_0x1bef830, C4<1>, C4<1>; +L_0x1beef60 .delay (50000,50000,50000) L_0x1beef60/d; +L_0x1bef020/d .functor OR 1, L_0x1bef700, L_0x1bef830, C4<0>, C4<0>; +L_0x1bef020 .delay (50000,50000,50000) L_0x1bef020/d; +L_0x1bef0e0/d .functor NOT 1, L_0x1bee7c0, C4<0>, C4<0>, C4<0>; +L_0x1bef0e0 .delay (50000,50000,50000) L_0x1bef0e0/d; +L_0x1bef180/d .functor AND 1, L_0x1beef60, L_0x1bef0e0, C4<1>, C4<1>; +L_0x1bef180 .delay (50000,50000,50000) L_0x1bef180/d; +L_0x1bef320/d .functor AND 1, L_0x1bef020, L_0x1bee7c0, C4<1>, C4<1>; +L_0x1bef320 .delay (50000,50000,50000) L_0x1bef320/d; +L_0x1bef430/d .functor OR 1, L_0x1bef180, L_0x1bef320, C4<0>, C4<0>; +L_0x1bef430 .delay (50000,50000,50000) L_0x1bef430/d; +v0x1bbf860_0 .net "_carryin", 0 0, L_0x1bef0e0; 1 drivers +v0x1be9b30_0 .net "a", 0 0, L_0x1bef700; 1 drivers +v0x1be9bd0_0 .net "aandb", 0 0, L_0x1beef60; 1 drivers +v0x1be9c70_0 .net "aorb", 0 0, L_0x1bef020; 1 drivers +v0x1be9d20_0 .net "b", 0 0, L_0x1bef830; 1 drivers +v0x1be9dc0_0 .alias "carryin", 0 0, v0x1bebf90_0; +v0x1be9ea0_0 .alias "carryout", 0 0, v0x1bec500_0; +v0x1be9f40_0 .net "outputIfCarryin", 0 0, L_0x1bef180; 1 drivers +v0x1bea030_0 .net "outputIf_Carryin", 0 0, L_0x1bef320; 1 drivers +v0x1bea0d0_0 .net "s", 0 0, L_0x1beedf0; 1 drivers +v0x1bea1d0_0 .net "sum", 0 0, L_0x1beee70; 1 drivers + .scope S_0x1bbfd30; +T_0 ; + %vpi_call 2 16 "$display", "A B | Cout Sum "; + %movi 8, 11, 4; + %set/v v0x1bec3b0_0, 8, 4; + %set/v v0x1bec450_0, 0, 4; + %delay 1000000, 0; + %vpi_call 2 24 "$display", "%b %b | %b %b", v0x1bec3b0_0, v0x1bec450_0, v0x1bec500_0, v0x1bec680_0; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "adder.t.v"; + "./adder.v"; diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..e0798f9 --- /dev/null +++ b/adder.t.v @@ -0,0 +1,34 @@ +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder4bit(); + reg[3:0] a, b; + wire[3:0] sum; + wire overflow, carryout; + + FullAdder4bit adder (sum, carryout, overflow, a, b); + + initial begin + //$dumpfile("../resources/adder.vcd"); + //$dumpvars; + + $display("A B | Cout Sum "); + // a=0000;b=0000; #1000; + // $display("%b %b | %b %b", a, b, carryout, sum); + // a=0001;b=0000; #1000; + // $display("%b %b | %b %b", a, b, carryout, sum); + // a=0001;b=0001; #1000; + // $display("%b %b | %b %b", a, b, carryout, sum); + a=0011;b=0000; #1000; + $display("%b %b | %b %b", a, b, carryout, sum); + // a=0;b=0;carryin=1; #1000; + // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); + // a=1;b=0;carryin=1; #1000; + // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); + // a=0;b=1;carryin=1; #1000; + // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); + // a=1;b=1;carryin=1; #1000; + // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..55460d4 --- /dev/null +++ b/adder.v @@ -0,0 +1,56 @@ +// 4 Bit Adder + +// define gates with delays +`define XOR xor #50 +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XNOR xnor #50 + +module FullAdder1bit +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire aandb, aorb; + wire s, _carryin; + wire outputIfCarryin, outputIf_Carryin; + `XOR(s, a, b); + `XOR(sum, s, carryin); + `AND(aandb, a, b); + `OR(aorb, a, b); + `NOT(_carryin, carryin); + `AND(outputIfCarryin, aandb, _carryin); + `AND(outputIf_Carryin, aorb, carryin); + `OR(carryout, outputIfCarryin, outputIf_Carryin); +endmodule + +module HalfAdder +( + output sum, + output carryout, + input a, + input b +); + FullAdder1bit adder (sum, carryout, a, b, 0); +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if the calculation resulted in an overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire Cout0, Cout1, Cout2; + HalfAdder ha0 (sum[0], Cout0, a[0], b[0]); + FullAdder1bit fa1 (sum[1], Cout1, a[1], b[1], Cout0); + FullAdder1bit fa2 (sum[2], Cout2, a[2], b[2], Cout1); + FullAdder1bit fa3 (sum[3], carryout, a[3], b[3], Cout2); + `XNOR computeOverflow (overflow, sum[3], carryout); + +endmodule From 0ccedcf0a76ef560d7d31fa926637901b55fc6c8 Mon Sep 17 00:00:00 2001 From: Jonah Spear Date: Sat, 23 Sep 2017 17:57:15 -0400 Subject: [PATCH 02/13] found error, reconnected things --- README.md | 2 + adder.t.out | 317 +++++++++++++++++++++++++++------------------------- adder.t.v | 14 +-- 3 files changed, 172 insertions(+), 161 deletions(-) diff --git a/README.md b/README.md index 193d8a4..d7a2ab4 100644 --- a/README.md +++ b/README.md @@ -9,3 +9,5 @@ Expected result: Cout=0, sum = 0011 Result: a=1011 b=0000 | cout=0 sum=1011 + +Cause: the least significant bit is the leftmost, we thought it was the rightmost diff --git a/adder.t.out b/adder.t.out index d90be33..fbb885c 100755 --- a/adder.t.out +++ b/adder.t.out @@ -4,170 +4,179 @@ :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; -S_0x1bbfd30 .scope module, "testFullAdder4bit" "testFullAdder4bit" 2 5; +S_0xb37c60 .scope module, "testFullAdder4bit" "testFullAdder4bit" 2 5; .timescale -9 -12; -v0x1bec3b0_0 .var "a", 3 0; -v0x1bec450_0 .var "b", 3 0; -v0x1bec500_0 .net "carryout", 0 0, L_0x1bef430; 1 drivers -v0x1bec5d0_0 .net "overflow", 0 0, L_0x1bef6a0; 1 drivers -RS_0x7fdcef9ad888 .resolv tri, L_0x1bed1f0, L_0x1bedd80, L_0x1bee940, L_0x1bef5b0; -v0x1bec680_0 .net8 "sum", 3 0, RS_0x7fdcef9ad888; 4 drivers -S_0x1bbe910 .scope module, "adder" "FullAdder4bit" 2 10, 3 41, S_0x1bbfd30; +v0xb65f30_0 .var "a", 3 0; +v0xb65fd0_0 .var "b", 3 0; +v0xb66080_0 .net "carryout", 0 0, L_0xb68fb0; 1 drivers +v0xb66150_0 .net "overflow", 0 0, L_0xb69220; 1 drivers +RS_0x7fcabdaea888 .resolv tri, L_0xb66d70, L_0xb67900, L_0xb684c0, L_0xb69130; +v0xb66200_0 .net8 "sum", 3 0, RS_0x7fcabdaea888; 4 drivers +S_0xb36840 .scope module, "adder" "FullAdder4bit" 2 10, 3 41, S_0xb37c60; .timescale -9 -12; -L_0x1bef6a0/d .functor XNOR 1, L_0x1befaa0, L_0x1bef430, C4<0>, C4<0>; -L_0x1bef6a0 .delay (50000,50000,50000) L_0x1bef6a0/d; -v0x1bebe40_0 .net "Cout0", 0 0, L_0x1becf80; 1 drivers -v0x1bebec0_0 .net "Cout1", 0 0, L_0x1bedc00; 1 drivers -v0x1bebf90_0 .net "Cout2", 0 0, L_0x1bee7c0; 1 drivers -v0x1bec060_0 .net *"_s25", 0 0, L_0x1befaa0; 1 drivers -v0x1bec0e0_0 .net "a", 3 0, v0x1bec3b0_0; 1 drivers -v0x1bec160_0 .net "b", 3 0, v0x1bec450_0; 1 drivers -v0x1bec1e0_0 .alias "carryout", 0 0, v0x1bec500_0; -v0x1bec260_0 .alias "overflow", 0 0, v0x1bec5d0_0; -v0x1bec330_0 .alias "sum", 3 0, v0x1bec680_0; -L_0x1bed1f0 .part/pv L_0x1bec8b0, 0, 1, 4; -L_0x1bed2b0 .part v0x1bec3b0_0, 0, 1; -L_0x1bed3a0 .part v0x1bec450_0, 0, 1; -L_0x1bedd80 .part/pv L_0x1bed5a0, 1, 1, 4; -L_0x1bede70 .part v0x1bec3b0_0, 1, 1; -L_0x1bedfa0 .part v0x1bec450_0, 1, 1; -L_0x1bee940 .part/pv L_0x1bee170, 2, 1, 4; -L_0x1beea70 .part v0x1bec3b0_0, 2, 1; -L_0x1beec30 .part v0x1bec450_0, 2, 1; -L_0x1bef5b0 .part/pv L_0x1beee70, 3, 1, 4; -L_0x1bef700 .part v0x1bec3b0_0, 3, 1; -L_0x1bef830 .part v0x1bec450_0, 3, 1; -L_0x1befaa0 .part RS_0x7fdcef9ad888, 3, 1; -S_0x1beb280 .scope module, "ha0" "HalfAdder" 3 50, 3 31, S_0x1bbe910; +L_0xb69220/d .functor XNOR 1, L_0xb69620, L_0xb68fb0, C4<0>, C4<0>; +L_0xb69220 .delay (50000,50000,50000) L_0xb69220/d; +v0xb659c0_0 .net "Cout0", 0 0, L_0xb66b00; 1 drivers +v0xb65a40_0 .net "Cout1", 0 0, L_0xb67780; 1 drivers +v0xb65b10_0 .net "Cout2", 0 0, L_0xb68340; 1 drivers +v0xb65be0_0 .net *"_s25", 0 0, L_0xb69620; 1 drivers +v0xb65c60_0 .net "a", 3 0, v0xb65f30_0; 1 drivers +v0xb65ce0_0 .net "b", 3 0, v0xb65fd0_0; 1 drivers +v0xb65d60_0 .alias "carryout", 0 0, v0xb66080_0; +v0xb65de0_0 .alias "overflow", 0 0, v0xb66150_0; +v0xb65eb0_0 .alias "sum", 3 0, v0xb66200_0; +L_0xb66d70 .part/pv L_0xb66430, 0, 1, 4; +L_0xb66e30 .part v0xb65f30_0, 0, 1; +L_0xb66f20 .part v0xb65fd0_0, 0, 1; +L_0xb67900 .part/pv L_0xb67120, 1, 1, 4; +L_0xb679f0 .part v0xb65f30_0, 1, 1; +L_0xb67b20 .part v0xb65fd0_0, 1, 1; +L_0xb684c0 .part/pv L_0xb67cf0, 2, 1, 4; +L_0xb685f0 .part v0xb65f30_0, 2, 1; +L_0xb687b0 .part v0xb65fd0_0, 2, 1; +L_0xb69130 .part/pv L_0xb689f0, 3, 1, 4; +L_0xb69280 .part v0xb65f30_0, 3, 1; +L_0xb693b0 .part v0xb65fd0_0, 3, 1; +L_0xb69620 .part RS_0x7fcabdaea888, 3, 1; +S_0xb64e00 .scope module, "ha0" "HalfAdder" 3 50, 3 31, S_0xb36840; .timescale -9 -12; -v0x1bebb90_0 .net "a", 0 0, L_0x1bed2b0; 1 drivers -v0x1bebc60_0 .net "b", 0 0, L_0x1bed3a0; 1 drivers -v0x1bebd10_0 .alias "carryout", 0 0, v0x1bebe40_0; -v0x1bebd90_0 .net "sum", 0 0, L_0x1bec8b0; 1 drivers -S_0x1beb370 .scope module, "adder" "FullAdder1bit" 3 38, 3 10, S_0x1beb280; +v0xb65710_0 .net "a", 0 0, L_0xb66e30; 1 drivers +v0xb657e0_0 .net "b", 0 0, L_0xb66f20; 1 drivers +v0xb65890_0 .alias "carryout", 0 0, v0xb659c0_0; +v0xb65910_0 .net "sum", 0 0, L_0xb66430; 1 drivers +S_0xb64ef0 .scope module, "adder" "FullAdder1bit" 3 38, 3 10, S_0xb64e00; .timescale -9 -12; -L_0x1bec730/d .functor XOR 1, L_0x1bed2b0, L_0x1bed3a0, C4<0>, C4<0>; -L_0x1bec730 .delay (50000,50000,50000) L_0x1bec730/d; -L_0x1bec8b0/d .functor XOR 1, L_0x1bec730, C4<0>, C4<0>, C4<0>; -L_0x1bec8b0 .delay (50000,50000,50000) L_0x1bec8b0/d; -L_0x1bec9f0/d .functor AND 1, L_0x1bed2b0, L_0x1bed3a0, C4<1>, C4<1>; -L_0x1bec9f0 .delay (50000,50000,50000) L_0x1bec9f0/d; -L_0x1beca90/d .functor OR 1, L_0x1bed2b0, L_0x1bed3a0, C4<0>, C4<0>; -L_0x1beca90 .delay (50000,50000,50000) L_0x1beca90/d; -L_0x1becc50/d .functor NOT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x1becc50 .delay (50000,50000,50000) L_0x1becc50/d; -L_0x1becd20/d .functor AND 1, L_0x1bec9f0, L_0x1becc50, C4<1>, C4<1>; -L_0x1becd20 .delay (50000,50000,50000) L_0x1becd20/d; -L_0x1bece70/d .functor AND 1, L_0x1beca90, C4<0>, C4<1>, C4<1>; -L_0x1bece70 .delay (50000,50000,50000) L_0x1bece70/d; -L_0x1becf80/d .functor OR 1, L_0x1becd20, L_0x1bece70, C4<0>, C4<0>; -L_0x1becf80 .delay (50000,50000,50000) L_0x1becf80/d; -v0x1beb460_0 .net "_carryin", 0 0, L_0x1becc50; 1 drivers -v0x1beb520_0 .alias "a", 0 0, v0x1bebb90_0; -v0x1beb5c0_0 .net "aandb", 0 0, L_0x1bec9f0; 1 drivers -v0x1beb660_0 .net "aorb", 0 0, L_0x1beca90; 1 drivers -v0x1beb710_0 .alias "b", 0 0, v0x1bebc60_0; -v0x1beb7b0_0 .net "carryin", 0 0, C4<0>; 1 drivers -v0x1beb850_0 .alias "carryout", 0 0, v0x1bebe40_0; -v0x1beb8d0_0 .net "outputIfCarryin", 0 0, L_0x1becd20; 1 drivers -v0x1beb950_0 .net "outputIf_Carryin", 0 0, L_0x1bece70; 1 drivers -v0x1beb9f0_0 .net "s", 0 0, L_0x1bec730; 1 drivers -v0x1bebaf0_0 .alias "sum", 0 0, v0x1bebd90_0; -S_0x1beaa60 .scope module, "fa1" "FullAdder1bit" 3 51, 3 10, S_0x1bbe910; +L_0xb662b0/d .functor XOR 1, L_0xb66e30, L_0xb66f20, C4<0>, C4<0>; +L_0xb662b0 .delay (50000,50000,50000) L_0xb662b0/d; +L_0xb66430/d .functor XOR 1, L_0xb662b0, C4<0>, C4<0>, C4<0>; +L_0xb66430 .delay (50000,50000,50000) L_0xb66430/d; +L_0xb66570/d .functor AND 1, L_0xb66e30, L_0xb66f20, C4<1>, C4<1>; +L_0xb66570 .delay (50000,50000,50000) L_0xb66570/d; +L_0xb66610/d .functor OR 1, L_0xb66e30, L_0xb66f20, C4<0>, C4<0>; +L_0xb66610 .delay (50000,50000,50000) L_0xb66610/d; +L_0xb667d0/d .functor NOT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0xb667d0 .delay (50000,50000,50000) L_0xb667d0/d; +L_0xb668a0/d .functor AND 1, L_0xb66570, L_0xb667d0, C4<1>, C4<1>; +L_0xb668a0 .delay (50000,50000,50000) L_0xb668a0/d; +L_0xb669f0/d .functor AND 1, L_0xb66610, C4<0>, C4<1>, C4<1>; +L_0xb669f0 .delay (50000,50000,50000) L_0xb669f0/d; +L_0xb66b00/d .functor OR 1, L_0xb668a0, L_0xb669f0, C4<0>, C4<0>; +L_0xb66b00 .delay (50000,50000,50000) L_0xb66b00/d; +v0xb64fe0_0 .net "_carryin", 0 0, L_0xb667d0; 1 drivers +v0xb650a0_0 .alias "a", 0 0, v0xb65710_0; +v0xb65140_0 .net "aandb", 0 0, L_0xb66570; 1 drivers +v0xb651e0_0 .net "aorb", 0 0, L_0xb66610; 1 drivers +v0xb65290_0 .alias "b", 0 0, v0xb657e0_0; +v0xb65330_0 .net "carryin", 0 0, C4<0>; 1 drivers +v0xb653d0_0 .alias "carryout", 0 0, v0xb659c0_0; +v0xb65450_0 .net "outputIfCarryin", 0 0, L_0xb668a0; 1 drivers +v0xb654d0_0 .net "outputIf_Carryin", 0 0, L_0xb669f0; 1 drivers +v0xb65570_0 .net "s", 0 0, L_0xb662b0; 1 drivers +v0xb65670_0 .alias "sum", 0 0, v0xb65910_0; +S_0xb645e0 .scope module, "fa1" "FullAdder1bit" 3 51, 3 10, S_0xb36840; .timescale -9 -12; -L_0x1bed490/d .functor XOR 1, L_0x1bede70, L_0x1bedfa0, C4<0>, C4<0>; -L_0x1bed490 .delay (50000,50000,50000) L_0x1bed490/d; -L_0x1bed5a0/d .functor XOR 1, L_0x1bed490, L_0x1becf80, C4<0>, C4<0>; -L_0x1bed5a0 .delay (50000,50000,50000) L_0x1bed5a0/d; -L_0x1bed690/d .functor AND 1, L_0x1bede70, L_0x1bedfa0, C4<1>, C4<1>; -L_0x1bed690 .delay (50000,50000,50000) L_0x1bed690/d; -L_0x1bed7f0/d .functor OR 1, L_0x1bede70, L_0x1bedfa0, C4<0>, C4<0>; -L_0x1bed7f0 .delay (50000,50000,50000) L_0x1bed7f0/d; -L_0x1bed8b0/d .functor NOT 1, L_0x1becf80, C4<0>, C4<0>, C4<0>; -L_0x1bed8b0 .delay (50000,50000,50000) L_0x1bed8b0/d; -L_0x1bed950/d .functor AND 1, L_0x1bed690, L_0x1bed8b0, C4<1>, C4<1>; -L_0x1bed950 .delay (50000,50000,50000) L_0x1bed950/d; -L_0x1bedaf0/d .functor AND 1, L_0x1bed7f0, L_0x1becf80, C4<1>, C4<1>; -L_0x1bedaf0 .delay (50000,50000,50000) L_0x1bedaf0/d; -L_0x1bedc00/d .functor OR 1, L_0x1bed950, L_0x1bedaf0, C4<0>, C4<0>; -L_0x1bedc00 .delay (50000,50000,50000) L_0x1bedc00/d; -v0x1beab50_0 .net "_carryin", 0 0, L_0x1bed8b0; 1 drivers -v0x1beac10_0 .net "a", 0 0, L_0x1bede70; 1 drivers -v0x1beacb0_0 .net "aandb", 0 0, L_0x1bed690; 1 drivers -v0x1bead50_0 .net "aorb", 0 0, L_0x1bed7f0; 1 drivers -v0x1beae00_0 .net "b", 0 0, L_0x1bedfa0; 1 drivers -v0x1beaea0_0 .alias "carryin", 0 0, v0x1bebe40_0; -v0x1beaf40_0 .alias "carryout", 0 0, v0x1bebec0_0; -v0x1beafc0_0 .net "outputIfCarryin", 0 0, L_0x1bed950; 1 drivers -v0x1beb040_0 .net "outputIf_Carryin", 0 0, L_0x1bedaf0; 1 drivers -v0x1beb0e0_0 .net "s", 0 0, L_0x1bed490; 1 drivers -v0x1beb1e0_0 .net "sum", 0 0, L_0x1bed5a0; 1 drivers -S_0x1bea270 .scope module, "fa2" "FullAdder1bit" 3 52, 3 10, S_0x1bbe910; +L_0xb67010/d .functor XOR 1, L_0xb679f0, L_0xb67b20, C4<0>, C4<0>; +L_0xb67010 .delay (50000,50000,50000) L_0xb67010/d; +L_0xb67120/d .functor XOR 1, L_0xb67010, L_0xb66b00, C4<0>, C4<0>; +L_0xb67120 .delay (50000,50000,50000) L_0xb67120/d; +L_0xb67210/d .functor AND 1, L_0xb679f0, L_0xb67b20, C4<1>, C4<1>; +L_0xb67210 .delay (50000,50000,50000) L_0xb67210/d; +L_0xb67370/d .functor OR 1, L_0xb679f0, L_0xb67b20, C4<0>, C4<0>; +L_0xb67370 .delay (50000,50000,50000) L_0xb67370/d; +L_0xb67430/d .functor NOT 1, L_0xb66b00, C4<0>, C4<0>, C4<0>; +L_0xb67430 .delay (50000,50000,50000) L_0xb67430/d; +L_0xb674d0/d .functor AND 1, L_0xb67210, L_0xb67430, C4<1>, C4<1>; +L_0xb674d0 .delay (50000,50000,50000) L_0xb674d0/d; +L_0xb67670/d .functor AND 1, L_0xb67370, L_0xb66b00, C4<1>, C4<1>; +L_0xb67670 .delay (50000,50000,50000) L_0xb67670/d; +L_0xb67780/d .functor OR 1, L_0xb674d0, L_0xb67670, C4<0>, C4<0>; +L_0xb67780 .delay (50000,50000,50000) L_0xb67780/d; +v0xb646d0_0 .net "_carryin", 0 0, L_0xb67430; 1 drivers +v0xb64790_0 .net "a", 0 0, L_0xb679f0; 1 drivers +v0xb64830_0 .net "aandb", 0 0, L_0xb67210; 1 drivers +v0xb648d0_0 .net "aorb", 0 0, L_0xb67370; 1 drivers +v0xb64980_0 .net "b", 0 0, L_0xb67b20; 1 drivers +v0xb64a20_0 .alias "carryin", 0 0, v0xb659c0_0; +v0xb64ac0_0 .alias "carryout", 0 0, v0xb65a40_0; +v0xb64b40_0 .net "outputIfCarryin", 0 0, L_0xb674d0; 1 drivers +v0xb64bc0_0 .net "outputIf_Carryin", 0 0, L_0xb67670; 1 drivers +v0xb64c60_0 .net "s", 0 0, L_0xb67010; 1 drivers +v0xb64d60_0 .net "sum", 0 0, L_0xb67120; 1 drivers +S_0xb63df0 .scope module, "fa2" "FullAdder1bit" 3 52, 3 10, S_0xb36840; .timescale -9 -12; -L_0x1bee0d0/d .functor XOR 1, L_0x1beea70, L_0x1beec30, C4<0>, C4<0>; -L_0x1bee0d0 .delay (50000,50000,50000) L_0x1bee0d0/d; -L_0x1bee170/d .functor XOR 1, L_0x1bee0d0, L_0x1bedc00, C4<0>, C4<0>; -L_0x1bee170 .delay (50000,50000,50000) L_0x1bee170/d; -L_0x1bee2a0/d .functor AND 1, L_0x1beea70, L_0x1beec30, C4<1>, C4<1>; -L_0x1bee2a0 .delay (50000,50000,50000) L_0x1bee2a0/d; -L_0x1bee3b0/d .functor OR 1, L_0x1beea70, L_0x1beec30, C4<0>, C4<0>; -L_0x1bee3b0 .delay (50000,50000,50000) L_0x1bee3b0/d; -L_0x1bee470/d .functor NOT 1, L_0x1bedc00, C4<0>, C4<0>, C4<0>; -L_0x1bee470 .delay (50000,50000,50000) L_0x1bee470/d; -L_0x1bee510/d .functor AND 1, L_0x1bee2a0, L_0x1bee470, C4<1>, C4<1>; -L_0x1bee510 .delay (50000,50000,50000) L_0x1bee510/d; -L_0x1bee6b0/d .functor AND 1, L_0x1bee3b0, L_0x1bedc00, C4<1>, C4<1>; -L_0x1bee6b0 .delay (50000,50000,50000) L_0x1bee6b0/d; -L_0x1bee7c0/d .functor OR 1, L_0x1bee510, L_0x1bee6b0, C4<0>, C4<0>; -L_0x1bee7c0 .delay (50000,50000,50000) L_0x1bee7c0/d; -v0x1bea360_0 .net "_carryin", 0 0, L_0x1bee470; 1 drivers -v0x1bea420_0 .net "a", 0 0, L_0x1beea70; 1 drivers -v0x1bea4c0_0 .net "aandb", 0 0, L_0x1bee2a0; 1 drivers -v0x1bea560_0 .net "aorb", 0 0, L_0x1bee3b0; 1 drivers -v0x1bea5e0_0 .net "b", 0 0, L_0x1beec30; 1 drivers -v0x1bea680_0 .alias "carryin", 0 0, v0x1bebec0_0; -v0x1bea720_0 .alias "carryout", 0 0, v0x1bebf90_0; -v0x1bea7a0_0 .net "outputIfCarryin", 0 0, L_0x1bee510; 1 drivers -v0x1bea820_0 .net "outputIf_Carryin", 0 0, L_0x1bee6b0; 1 drivers -v0x1bea8c0_0 .net "s", 0 0, L_0x1bee0d0; 1 drivers -v0x1bea9c0_0 .net "sum", 0 0, L_0x1bee170; 1 drivers -S_0x1bbe660 .scope module, "fa3" "FullAdder1bit" 3 53, 3 10, S_0x1bbe910; +L_0xb67c50/d .functor XOR 1, L_0xb685f0, L_0xb687b0, C4<0>, C4<0>; +L_0xb67c50 .delay (50000,50000,50000) L_0xb67c50/d; +L_0xb67cf0/d .functor XOR 1, L_0xb67c50, L_0xb67780, C4<0>, C4<0>; +L_0xb67cf0 .delay (50000,50000,50000) L_0xb67cf0/d; +L_0xb67e20/d .functor AND 1, L_0xb685f0, L_0xb687b0, C4<1>, C4<1>; +L_0xb67e20 .delay (50000,50000,50000) L_0xb67e20/d; +L_0xb67f30/d .functor OR 1, L_0xb685f0, L_0xb687b0, C4<0>, C4<0>; +L_0xb67f30 .delay (50000,50000,50000) L_0xb67f30/d; +L_0xb67ff0/d .functor NOT 1, L_0xb67780, C4<0>, C4<0>, C4<0>; +L_0xb67ff0 .delay (50000,50000,50000) L_0xb67ff0/d; +L_0xb68090/d .functor AND 1, L_0xb67e20, L_0xb67ff0, C4<1>, C4<1>; +L_0xb68090 .delay (50000,50000,50000) L_0xb68090/d; +L_0xb68230/d .functor AND 1, L_0xb67f30, L_0xb67780, C4<1>, C4<1>; +L_0xb68230 .delay (50000,50000,50000) L_0xb68230/d; +L_0xb68340/d .functor OR 1, L_0xb68090, L_0xb68230, C4<0>, C4<0>; +L_0xb68340 .delay (50000,50000,50000) L_0xb68340/d; +v0xb63ee0_0 .net "_carryin", 0 0, L_0xb67ff0; 1 drivers +v0xb63fa0_0 .net "a", 0 0, L_0xb685f0; 1 drivers +v0xb64040_0 .net "aandb", 0 0, L_0xb67e20; 1 drivers +v0xb640e0_0 .net "aorb", 0 0, L_0xb67f30; 1 drivers +v0xb64160_0 .net "b", 0 0, L_0xb687b0; 1 drivers +v0xb64200_0 .alias "carryin", 0 0, v0xb65a40_0; +v0xb642a0_0 .alias "carryout", 0 0, v0xb65b10_0; +v0xb64320_0 .net "outputIfCarryin", 0 0, L_0xb68090; 1 drivers +v0xb643a0_0 .net "outputIf_Carryin", 0 0, L_0xb68230; 1 drivers +v0xb64440_0 .net "s", 0 0, L_0xb67c50; 1 drivers +v0xb64540_0 .net "sum", 0 0, L_0xb67cf0; 1 drivers +S_0xb36590 .scope module, "fa3" "FullAdder1bit" 3 53, 3 10, S_0xb36840; .timescale -9 -12; -L_0x1beedf0/d .functor XOR 1, L_0x1bef700, L_0x1bef830, C4<0>, C4<0>; -L_0x1beedf0 .delay (50000,50000,50000) L_0x1beedf0/d; -L_0x1beee70/d .functor XOR 1, L_0x1beedf0, L_0x1bee7c0, C4<0>, C4<0>; -L_0x1beee70 .delay (50000,50000,50000) L_0x1beee70/d; -L_0x1beef60/d .functor AND 1, L_0x1bef700, L_0x1bef830, C4<1>, C4<1>; -L_0x1beef60 .delay (50000,50000,50000) L_0x1beef60/d; -L_0x1bef020/d .functor OR 1, L_0x1bef700, L_0x1bef830, C4<0>, C4<0>; -L_0x1bef020 .delay (50000,50000,50000) L_0x1bef020/d; -L_0x1bef0e0/d .functor NOT 1, L_0x1bee7c0, C4<0>, C4<0>, C4<0>; -L_0x1bef0e0 .delay (50000,50000,50000) L_0x1bef0e0/d; -L_0x1bef180/d .functor AND 1, L_0x1beef60, L_0x1bef0e0, C4<1>, C4<1>; -L_0x1bef180 .delay (50000,50000,50000) L_0x1bef180/d; -L_0x1bef320/d .functor AND 1, L_0x1bef020, L_0x1bee7c0, C4<1>, C4<1>; -L_0x1bef320 .delay (50000,50000,50000) L_0x1bef320/d; -L_0x1bef430/d .functor OR 1, L_0x1bef180, L_0x1bef320, C4<0>, C4<0>; -L_0x1bef430 .delay (50000,50000,50000) L_0x1bef430/d; -v0x1bbf860_0 .net "_carryin", 0 0, L_0x1bef0e0; 1 drivers -v0x1be9b30_0 .net "a", 0 0, L_0x1bef700; 1 drivers -v0x1be9bd0_0 .net "aandb", 0 0, L_0x1beef60; 1 drivers -v0x1be9c70_0 .net "aorb", 0 0, L_0x1bef020; 1 drivers -v0x1be9d20_0 .net "b", 0 0, L_0x1bef830; 1 drivers -v0x1be9dc0_0 .alias "carryin", 0 0, v0x1bebf90_0; -v0x1be9ea0_0 .alias "carryout", 0 0, v0x1bec500_0; -v0x1be9f40_0 .net "outputIfCarryin", 0 0, L_0x1bef180; 1 drivers -v0x1bea030_0 .net "outputIf_Carryin", 0 0, L_0x1bef320; 1 drivers -v0x1bea0d0_0 .net "s", 0 0, L_0x1beedf0; 1 drivers -v0x1bea1d0_0 .net "sum", 0 0, L_0x1beee70; 1 drivers - .scope S_0x1bbfd30; +L_0xb68970/d .functor XOR 1, L_0xb69280, L_0xb693b0, C4<0>, C4<0>; +L_0xb68970 .delay (50000,50000,50000) L_0xb68970/d; +L_0xb689f0/d .functor XOR 1, L_0xb68970, L_0xb68340, C4<0>, C4<0>; +L_0xb689f0 .delay (50000,50000,50000) L_0xb689f0/d; +L_0xb68ae0/d .functor AND 1, L_0xb69280, L_0xb693b0, C4<1>, C4<1>; +L_0xb68ae0 .delay (50000,50000,50000) L_0xb68ae0/d; +L_0xb68ba0/d .functor OR 1, L_0xb69280, L_0xb693b0, C4<0>, C4<0>; +L_0xb68ba0 .delay (50000,50000,50000) L_0xb68ba0/d; +L_0xb68c60/d .functor NOT 1, L_0xb68340, C4<0>, C4<0>, C4<0>; +L_0xb68c60 .delay (50000,50000,50000) L_0xb68c60/d; +L_0xb68d00/d .functor AND 1, L_0xb68ae0, L_0xb68c60, C4<1>, C4<1>; +L_0xb68d00 .delay (50000,50000,50000) L_0xb68d00/d; +L_0xb68ea0/d .functor AND 1, L_0xb68ba0, L_0xb68340, C4<1>, C4<1>; +L_0xb68ea0 .delay (50000,50000,50000) L_0xb68ea0/d; +L_0xb68fb0/d .functor OR 1, L_0xb68d00, L_0xb68ea0, C4<0>, C4<0>; +L_0xb68fb0 .delay (50000,50000,50000) L_0xb68fb0/d; +v0xb37790_0 .net "_carryin", 0 0, L_0xb68c60; 1 drivers +v0xb636b0_0 .net "a", 0 0, L_0xb69280; 1 drivers +v0xb63750_0 .net "aandb", 0 0, L_0xb68ae0; 1 drivers +v0xb637f0_0 .net "aorb", 0 0, L_0xb68ba0; 1 drivers +v0xb638a0_0 .net "b", 0 0, L_0xb693b0; 1 drivers +v0xb63940_0 .alias "carryin", 0 0, v0xb65b10_0; +v0xb63a20_0 .alias "carryout", 0 0, v0xb66080_0; +v0xb63ac0_0 .net "outputIfCarryin", 0 0, L_0xb68d00; 1 drivers +v0xb63bb0_0 .net "outputIf_Carryin", 0 0, L_0xb68ea0; 1 drivers +v0xb63c50_0 .net "s", 0 0, L_0xb68970; 1 drivers +v0xb63d50_0 .net "sum", 0 0, L_0xb689f0; 1 drivers + .scope S_0xb37c60; T_0 ; %vpi_call 2 16 "$display", "A B | Cout Sum "; - %movi 8, 11, 4; - %set/v v0x1bec3b0_0, 8, 4; - %set/v v0x1bec450_0, 0, 4; + %set/v v0xb65f30_0, 0, 4; + %set/v v0xb65fd0_0, 0, 4; %delay 1000000, 0; - %vpi_call 2 24 "$display", "%b %b | %b %b", v0x1bec3b0_0, v0x1bec450_0, v0x1bec500_0, v0x1bec680_0; + %vpi_call 2 18 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; + %movi 8, 8, 4; + %set/v v0xb65f30_0, 8, 4; + %set/v v0xb65fd0_0, 0, 4; + %delay 1000000, 0; + %vpi_call 2 20 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; + %movi 8, 8, 4; + %set/v v0xb65f30_0, 8, 4; + %set/v v0xb65fd0_0, 0, 4; + %delay 1000000, 0; + %vpi_call 2 22 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; %end; .thread T_0; # The file index is used to find the file name in the following table. diff --git a/adder.t.v b/adder.t.v index e0798f9..b79cccc 100644 --- a/adder.t.v +++ b/adder.t.v @@ -14,14 +14,14 @@ module testFullAdder4bit(); //$dumpvars; $display("A B | Cout Sum "); - // a=0000;b=0000; #1000; - // $display("%b %b | %b %b", a, b, carryout, sum); - // a=0001;b=0000; #1000; - // $display("%b %b | %b %b", a, b, carryout, sum); - // a=0001;b=0001; #1000; - // $display("%b %b | %b %b", a, b, carryout, sum); - a=0011;b=0000; #1000; + a=0000;b=0000; #1000; $display("%b %b | %b %b", a, b, carryout, sum); + a=1000;b=0000; #1000; + $display("%b %b | %b %b", a, b, carryout, sum); + a=1000;b=0000; #1000; + $display("%b %b | %b %b", a, b, carryout, sum); + // a=1100;b=0000; #1000; + // $display("%b %b | %b %b", a, b, carryout, sum); // a=0;b=0;carryin=1; #1000; // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); // a=1;b=0;carryin=1; #1000; From 89ae1bc917f1a27508218ae1748f16fb8bbd4961 Mon Sep 17 00:00:00 2001 From: Jonah Spear Date: Sat, 23 Sep 2017 19:36:46 -0400 Subject: [PATCH 03/13] fixed 2 bugs --- README.md | 22 +++- adder.t.out | 355 ++++++++++++++++++++++++++++------------------------ adder.t.v | 39 +++--- adder.v | 3 +- 4 files changed, 233 insertions(+), 186 deletions(-) diff --git a/README.md b/README.md index d7a2ab4..a7d0bf5 100644 --- a/README.md +++ b/README.md @@ -1,13 +1,29 @@ # CompArch Lab 0: Full Adder on FPGA +###################################333 Test Case: - a=0011;b=0000; #1000; Expected result: Cout=0, sum = 0011 +Result: a=1011 b=0000 | cout=0 sum=1011 + +Cause: we were referencing things as a=0011 instead of 4'b0011 + + + +#################################### +Test Case: +a=1000; b=1000; Result: +a=1000; b=1000; Cout=1 Sum=0000 +This would seem to indicate that the left is the most significant bit. + +Which is it?? + -a=1011 b=0000 | cout=0 sum=1011 +################################### +A B | Sum Cout Overflow +0000 0000 | 0000 0 1 -Cause: the least significant bit is the leftmost, we thought it was the rightmost +cause: we were doing xnor(sum[3], cout2] instead of xor(carryout, cout2) diff --git a/adder.t.out b/adder.t.out index fbb885c..556bf93 100755 --- a/adder.t.out +++ b/adder.t.out @@ -4,179 +4,210 @@ :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; -S_0xb37c60 .scope module, "testFullAdder4bit" "testFullAdder4bit" 2 5; +S_0x2675b40 .scope module, "testFullAdder4bit" "testFullAdder4bit" 2 5; .timescale -9 -12; -v0xb65f30_0 .var "a", 3 0; -v0xb65fd0_0 .var "b", 3 0; -v0xb66080_0 .net "carryout", 0 0, L_0xb68fb0; 1 drivers -v0xb66150_0 .net "overflow", 0 0, L_0xb69220; 1 drivers -RS_0x7fcabdaea888 .resolv tri, L_0xb66d70, L_0xb67900, L_0xb684c0, L_0xb69130; -v0xb66200_0 .net8 "sum", 3 0, RS_0x7fcabdaea888; 4 drivers -S_0xb36840 .scope module, "adder" "FullAdder4bit" 2 10, 3 41, S_0xb37c60; +v0x26a9130_0 .var "a", 3 0; +v0x26a91b0_0 .var "b", 3 0; +v0x26a9260_0 .net "carryout", 0 0, L_0x26ac190; 1 drivers +v0x26a9330_0 .net "overflow", 0 0, L_0x26ac400; 1 drivers +RS_0x7f39d0628858 .resolv tri, L_0x26a9f50, L_0x26aaae0, L_0x26ab6a0, L_0x26ac310; +v0x26a93e0_0 .net8 "sum", 3 0, RS_0x7f39d0628858; 4 drivers +S_0x2674720 .scope module, "adder" "FullAdder4bit" 2 10, 3 40, S_0x2675b40; .timescale -9 -12; -L_0xb69220/d .functor XNOR 1, L_0xb69620, L_0xb68fb0, C4<0>, C4<0>; -L_0xb69220 .delay (50000,50000,50000) L_0xb69220/d; -v0xb659c0_0 .net "Cout0", 0 0, L_0xb66b00; 1 drivers -v0xb65a40_0 .net "Cout1", 0 0, L_0xb67780; 1 drivers -v0xb65b10_0 .net "Cout2", 0 0, L_0xb68340; 1 drivers -v0xb65be0_0 .net *"_s25", 0 0, L_0xb69620; 1 drivers -v0xb65c60_0 .net "a", 3 0, v0xb65f30_0; 1 drivers -v0xb65ce0_0 .net "b", 3 0, v0xb65fd0_0; 1 drivers -v0xb65d60_0 .alias "carryout", 0 0, v0xb66080_0; -v0xb65de0_0 .alias "overflow", 0 0, v0xb66150_0; -v0xb65eb0_0 .alias "sum", 3 0, v0xb66200_0; -L_0xb66d70 .part/pv L_0xb66430, 0, 1, 4; -L_0xb66e30 .part v0xb65f30_0, 0, 1; -L_0xb66f20 .part v0xb65fd0_0, 0, 1; -L_0xb67900 .part/pv L_0xb67120, 1, 1, 4; -L_0xb679f0 .part v0xb65f30_0, 1, 1; -L_0xb67b20 .part v0xb65fd0_0, 1, 1; -L_0xb684c0 .part/pv L_0xb67cf0, 2, 1, 4; -L_0xb685f0 .part v0xb65f30_0, 2, 1; -L_0xb687b0 .part v0xb65fd0_0, 2, 1; -L_0xb69130 .part/pv L_0xb689f0, 3, 1, 4; -L_0xb69280 .part v0xb65f30_0, 3, 1; -L_0xb693b0 .part v0xb65fd0_0, 3, 1; -L_0xb69620 .part RS_0x7fcabdaea888, 3, 1; -S_0xb64e00 .scope module, "ha0" "HalfAdder" 3 50, 3 31, S_0xb36840; +L_0x26ac400/d .functor XOR 1, L_0x26ac190, L_0x26ab520, C4<0>, C4<0>; +L_0x26ac400 .delay (50000,50000,50000) L_0x26ac400/d; +v0x26a8c40_0 .net "Cout0", 0 0, L_0x26a9ce0; 1 drivers +v0x26a8cc0_0 .net "Cout1", 0 0, L_0x26aa960; 1 drivers +v0x26a8d90_0 .net "Cout2", 0 0, L_0x26ab520; 1 drivers +v0x26a8e60_0 .net "a", 3 0, v0x26a9130_0; 1 drivers +v0x26a8ee0_0 .net "b", 3 0, v0x26a91b0_0; 1 drivers +v0x26a8f60_0 .alias "carryout", 0 0, v0x26a9260_0; +v0x26a8fe0_0 .alias "overflow", 0 0, v0x26a9330_0; +v0x26a9060_0 .alias "sum", 3 0, v0x26a93e0_0; +L_0x26a9f50 .part/pv L_0x26a9610, 0, 1, 4; +L_0x26aa010 .part v0x26a9130_0, 0, 1; +L_0x26aa100 .part v0x26a91b0_0, 0, 1; +L_0x26aaae0 .part/pv L_0x26aa300, 1, 1, 4; +L_0x26aabd0 .part v0x26a9130_0, 1, 1; +L_0x26aad00 .part v0x26a91b0_0, 1, 1; +L_0x26ab6a0 .part/pv L_0x26aaed0, 2, 1, 4; +L_0x26ab7d0 .part v0x26a9130_0, 2, 1; +L_0x26ab990 .part v0x26a91b0_0, 2, 1; +L_0x26ac310 .part/pv L_0x26abbd0, 3, 1, 4; +L_0x26ac460 .part v0x26a9130_0, 3, 1; +L_0x26ac590 .part v0x26a91b0_0, 3, 1; +S_0x26a8080 .scope module, "ha0" "HalfAdder" 3 49, 3 30, S_0x2674720; .timescale -9 -12; -v0xb65710_0 .net "a", 0 0, L_0xb66e30; 1 drivers -v0xb657e0_0 .net "b", 0 0, L_0xb66f20; 1 drivers -v0xb65890_0 .alias "carryout", 0 0, v0xb659c0_0; -v0xb65910_0 .net "sum", 0 0, L_0xb66430; 1 drivers -S_0xb64ef0 .scope module, "adder" "FullAdder1bit" 3 38, 3 10, S_0xb64e00; +v0x26a8990_0 .net "a", 0 0, L_0x26aa010; 1 drivers +v0x26a8a60_0 .net "b", 0 0, L_0x26aa100; 1 drivers +v0x26a8b10_0 .alias "carryout", 0 0, v0x26a8c40_0; +v0x26a8b90_0 .net "sum", 0 0, L_0x26a9610; 1 drivers +S_0x26a8170 .scope module, "adder" "FullAdder1bit" 3 37, 3 9, S_0x26a8080; .timescale -9 -12; -L_0xb662b0/d .functor XOR 1, L_0xb66e30, L_0xb66f20, C4<0>, C4<0>; -L_0xb662b0 .delay (50000,50000,50000) L_0xb662b0/d; -L_0xb66430/d .functor XOR 1, L_0xb662b0, C4<0>, C4<0>, C4<0>; -L_0xb66430 .delay (50000,50000,50000) L_0xb66430/d; -L_0xb66570/d .functor AND 1, L_0xb66e30, L_0xb66f20, C4<1>, C4<1>; -L_0xb66570 .delay (50000,50000,50000) L_0xb66570/d; -L_0xb66610/d .functor OR 1, L_0xb66e30, L_0xb66f20, C4<0>, C4<0>; -L_0xb66610 .delay (50000,50000,50000) L_0xb66610/d; -L_0xb667d0/d .functor NOT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0xb667d0 .delay (50000,50000,50000) L_0xb667d0/d; -L_0xb668a0/d .functor AND 1, L_0xb66570, L_0xb667d0, C4<1>, C4<1>; -L_0xb668a0 .delay (50000,50000,50000) L_0xb668a0/d; -L_0xb669f0/d .functor AND 1, L_0xb66610, C4<0>, C4<1>, C4<1>; -L_0xb669f0 .delay (50000,50000,50000) L_0xb669f0/d; -L_0xb66b00/d .functor OR 1, L_0xb668a0, L_0xb669f0, C4<0>, C4<0>; -L_0xb66b00 .delay (50000,50000,50000) L_0xb66b00/d; -v0xb64fe0_0 .net "_carryin", 0 0, L_0xb667d0; 1 drivers -v0xb650a0_0 .alias "a", 0 0, v0xb65710_0; -v0xb65140_0 .net "aandb", 0 0, L_0xb66570; 1 drivers -v0xb651e0_0 .net "aorb", 0 0, L_0xb66610; 1 drivers -v0xb65290_0 .alias "b", 0 0, v0xb657e0_0; -v0xb65330_0 .net "carryin", 0 0, C4<0>; 1 drivers -v0xb653d0_0 .alias "carryout", 0 0, v0xb659c0_0; -v0xb65450_0 .net "outputIfCarryin", 0 0, L_0xb668a0; 1 drivers -v0xb654d0_0 .net "outputIf_Carryin", 0 0, L_0xb669f0; 1 drivers -v0xb65570_0 .net "s", 0 0, L_0xb662b0; 1 drivers -v0xb65670_0 .alias "sum", 0 0, v0xb65910_0; -S_0xb645e0 .scope module, "fa1" "FullAdder1bit" 3 51, 3 10, S_0xb36840; +L_0x26a9490/d .functor XOR 1, L_0x26aa010, L_0x26aa100, C4<0>, C4<0>; +L_0x26a9490 .delay (50000,50000,50000) L_0x26a9490/d; +L_0x26a9610/d .functor XOR 1, L_0x26a9490, C4<0>, C4<0>, C4<0>; +L_0x26a9610 .delay (50000,50000,50000) L_0x26a9610/d; +L_0x26a9750/d .functor AND 1, L_0x26aa010, L_0x26aa100, C4<1>, C4<1>; +L_0x26a9750 .delay (50000,50000,50000) L_0x26a9750/d; +L_0x26a97f0/d .functor OR 1, L_0x26aa010, L_0x26aa100, C4<0>, C4<0>; +L_0x26a97f0 .delay (50000,50000,50000) L_0x26a97f0/d; +L_0x26a99b0/d .functor NOT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x26a99b0 .delay (50000,50000,50000) L_0x26a99b0/d; +L_0x26a9a80/d .functor AND 1, L_0x26a9750, L_0x26a99b0, C4<1>, C4<1>; +L_0x26a9a80 .delay (50000,50000,50000) L_0x26a9a80/d; +L_0x26a9bd0/d .functor AND 1, L_0x26a97f0, C4<0>, C4<1>, C4<1>; +L_0x26a9bd0 .delay (50000,50000,50000) L_0x26a9bd0/d; +L_0x26a9ce0/d .functor OR 1, L_0x26a9a80, L_0x26a9bd0, C4<0>, C4<0>; +L_0x26a9ce0 .delay (50000,50000,50000) L_0x26a9ce0/d; +v0x26a8260_0 .net "_carryin", 0 0, L_0x26a99b0; 1 drivers +v0x26a8320_0 .alias "a", 0 0, v0x26a8990_0; +v0x26a83c0_0 .net "aandb", 0 0, L_0x26a9750; 1 drivers +v0x26a8460_0 .net "aorb", 0 0, L_0x26a97f0; 1 drivers +v0x26a8510_0 .alias "b", 0 0, v0x26a8a60_0; +v0x26a85b0_0 .net "carryin", 0 0, C4<0>; 1 drivers +v0x26a8650_0 .alias "carryout", 0 0, v0x26a8c40_0; +v0x26a86d0_0 .net "outputIfCarryin", 0 0, L_0x26a9a80; 1 drivers +v0x26a8750_0 .net "outputIf_Carryin", 0 0, L_0x26a9bd0; 1 drivers +v0x26a87f0_0 .net "s", 0 0, L_0x26a9490; 1 drivers +v0x26a88f0_0 .alias "sum", 0 0, v0x26a8b90_0; +S_0x26a7860 .scope module, "fa1" "FullAdder1bit" 3 50, 3 9, S_0x2674720; .timescale -9 -12; -L_0xb67010/d .functor XOR 1, L_0xb679f0, L_0xb67b20, C4<0>, C4<0>; -L_0xb67010 .delay (50000,50000,50000) L_0xb67010/d; -L_0xb67120/d .functor XOR 1, L_0xb67010, L_0xb66b00, C4<0>, C4<0>; -L_0xb67120 .delay (50000,50000,50000) L_0xb67120/d; -L_0xb67210/d .functor AND 1, L_0xb679f0, L_0xb67b20, C4<1>, C4<1>; -L_0xb67210 .delay (50000,50000,50000) L_0xb67210/d; -L_0xb67370/d .functor OR 1, L_0xb679f0, L_0xb67b20, C4<0>, C4<0>; -L_0xb67370 .delay (50000,50000,50000) L_0xb67370/d; -L_0xb67430/d .functor NOT 1, L_0xb66b00, C4<0>, C4<0>, C4<0>; -L_0xb67430 .delay (50000,50000,50000) L_0xb67430/d; -L_0xb674d0/d .functor AND 1, L_0xb67210, L_0xb67430, C4<1>, C4<1>; -L_0xb674d0 .delay (50000,50000,50000) L_0xb674d0/d; -L_0xb67670/d .functor AND 1, L_0xb67370, L_0xb66b00, C4<1>, C4<1>; -L_0xb67670 .delay (50000,50000,50000) L_0xb67670/d; -L_0xb67780/d .functor OR 1, L_0xb674d0, L_0xb67670, C4<0>, C4<0>; -L_0xb67780 .delay (50000,50000,50000) L_0xb67780/d; -v0xb646d0_0 .net "_carryin", 0 0, L_0xb67430; 1 drivers -v0xb64790_0 .net "a", 0 0, L_0xb679f0; 1 drivers -v0xb64830_0 .net "aandb", 0 0, L_0xb67210; 1 drivers -v0xb648d0_0 .net "aorb", 0 0, L_0xb67370; 1 drivers -v0xb64980_0 .net "b", 0 0, L_0xb67b20; 1 drivers -v0xb64a20_0 .alias "carryin", 0 0, v0xb659c0_0; -v0xb64ac0_0 .alias "carryout", 0 0, v0xb65a40_0; -v0xb64b40_0 .net "outputIfCarryin", 0 0, L_0xb674d0; 1 drivers -v0xb64bc0_0 .net "outputIf_Carryin", 0 0, L_0xb67670; 1 drivers -v0xb64c60_0 .net "s", 0 0, L_0xb67010; 1 drivers -v0xb64d60_0 .net "sum", 0 0, L_0xb67120; 1 drivers -S_0xb63df0 .scope module, "fa2" "FullAdder1bit" 3 52, 3 10, S_0xb36840; +L_0x26aa1f0/d .functor XOR 1, L_0x26aabd0, L_0x26aad00, C4<0>, C4<0>; +L_0x26aa1f0 .delay (50000,50000,50000) L_0x26aa1f0/d; +L_0x26aa300/d .functor XOR 1, L_0x26aa1f0, L_0x26a9ce0, C4<0>, C4<0>; +L_0x26aa300 .delay (50000,50000,50000) L_0x26aa300/d; +L_0x26aa3f0/d .functor AND 1, L_0x26aabd0, L_0x26aad00, C4<1>, C4<1>; +L_0x26aa3f0 .delay (50000,50000,50000) L_0x26aa3f0/d; +L_0x26aa550/d .functor OR 1, L_0x26aabd0, L_0x26aad00, C4<0>, C4<0>; +L_0x26aa550 .delay (50000,50000,50000) L_0x26aa550/d; +L_0x26aa610/d .functor NOT 1, L_0x26a9ce0, C4<0>, C4<0>, C4<0>; +L_0x26aa610 .delay (50000,50000,50000) L_0x26aa610/d; +L_0x26aa6b0/d .functor AND 1, L_0x26aa3f0, L_0x26aa610, C4<1>, C4<1>; +L_0x26aa6b0 .delay (50000,50000,50000) L_0x26aa6b0/d; +L_0x26aa850/d .functor AND 1, L_0x26aa550, L_0x26a9ce0, C4<1>, C4<1>; +L_0x26aa850 .delay (50000,50000,50000) L_0x26aa850/d; +L_0x26aa960/d .functor OR 1, L_0x26aa6b0, L_0x26aa850, C4<0>, C4<0>; +L_0x26aa960 .delay (50000,50000,50000) L_0x26aa960/d; +v0x26a7950_0 .net "_carryin", 0 0, L_0x26aa610; 1 drivers +v0x26a7a10_0 .net "a", 0 0, L_0x26aabd0; 1 drivers +v0x26a7ab0_0 .net "aandb", 0 0, L_0x26aa3f0; 1 drivers +v0x26a7b50_0 .net "aorb", 0 0, L_0x26aa550; 1 drivers +v0x26a7c00_0 .net "b", 0 0, L_0x26aad00; 1 drivers +v0x26a7ca0_0 .alias "carryin", 0 0, v0x26a8c40_0; +v0x26a7d40_0 .alias "carryout", 0 0, v0x26a8cc0_0; +v0x26a7dc0_0 .net "outputIfCarryin", 0 0, L_0x26aa6b0; 1 drivers +v0x26a7e40_0 .net "outputIf_Carryin", 0 0, L_0x26aa850; 1 drivers +v0x26a7ee0_0 .net "s", 0 0, L_0x26aa1f0; 1 drivers +v0x26a7fe0_0 .net "sum", 0 0, L_0x26aa300; 1 drivers +S_0x26a7070 .scope module, "fa2" "FullAdder1bit" 3 51, 3 9, S_0x2674720; .timescale -9 -12; -L_0xb67c50/d .functor XOR 1, L_0xb685f0, L_0xb687b0, C4<0>, C4<0>; -L_0xb67c50 .delay (50000,50000,50000) L_0xb67c50/d; -L_0xb67cf0/d .functor XOR 1, L_0xb67c50, L_0xb67780, C4<0>, C4<0>; -L_0xb67cf0 .delay (50000,50000,50000) L_0xb67cf0/d; -L_0xb67e20/d .functor AND 1, L_0xb685f0, L_0xb687b0, C4<1>, C4<1>; -L_0xb67e20 .delay (50000,50000,50000) L_0xb67e20/d; -L_0xb67f30/d .functor OR 1, L_0xb685f0, L_0xb687b0, C4<0>, C4<0>; -L_0xb67f30 .delay (50000,50000,50000) L_0xb67f30/d; -L_0xb67ff0/d .functor NOT 1, L_0xb67780, C4<0>, C4<0>, C4<0>; -L_0xb67ff0 .delay (50000,50000,50000) L_0xb67ff0/d; -L_0xb68090/d .functor AND 1, L_0xb67e20, L_0xb67ff0, C4<1>, C4<1>; -L_0xb68090 .delay (50000,50000,50000) L_0xb68090/d; -L_0xb68230/d .functor AND 1, L_0xb67f30, L_0xb67780, C4<1>, C4<1>; -L_0xb68230 .delay (50000,50000,50000) L_0xb68230/d; -L_0xb68340/d .functor OR 1, L_0xb68090, L_0xb68230, C4<0>, C4<0>; -L_0xb68340 .delay (50000,50000,50000) L_0xb68340/d; -v0xb63ee0_0 .net "_carryin", 0 0, L_0xb67ff0; 1 drivers -v0xb63fa0_0 .net "a", 0 0, L_0xb685f0; 1 drivers -v0xb64040_0 .net "aandb", 0 0, L_0xb67e20; 1 drivers -v0xb640e0_0 .net "aorb", 0 0, L_0xb67f30; 1 drivers -v0xb64160_0 .net "b", 0 0, L_0xb687b0; 1 drivers -v0xb64200_0 .alias "carryin", 0 0, v0xb65a40_0; -v0xb642a0_0 .alias "carryout", 0 0, v0xb65b10_0; -v0xb64320_0 .net "outputIfCarryin", 0 0, L_0xb68090; 1 drivers -v0xb643a0_0 .net "outputIf_Carryin", 0 0, L_0xb68230; 1 drivers -v0xb64440_0 .net "s", 0 0, L_0xb67c50; 1 drivers -v0xb64540_0 .net "sum", 0 0, L_0xb67cf0; 1 drivers -S_0xb36590 .scope module, "fa3" "FullAdder1bit" 3 53, 3 10, S_0xb36840; +L_0x26aae30/d .functor XOR 1, L_0x26ab7d0, L_0x26ab990, C4<0>, C4<0>; +L_0x26aae30 .delay (50000,50000,50000) L_0x26aae30/d; +L_0x26aaed0/d .functor XOR 1, L_0x26aae30, L_0x26aa960, C4<0>, C4<0>; +L_0x26aaed0 .delay (50000,50000,50000) L_0x26aaed0/d; +L_0x26ab000/d .functor AND 1, L_0x26ab7d0, L_0x26ab990, C4<1>, C4<1>; +L_0x26ab000 .delay (50000,50000,50000) L_0x26ab000/d; +L_0x26ab110/d .functor OR 1, L_0x26ab7d0, L_0x26ab990, C4<0>, C4<0>; +L_0x26ab110 .delay (50000,50000,50000) L_0x26ab110/d; +L_0x26ab1d0/d .functor NOT 1, L_0x26aa960, C4<0>, C4<0>, C4<0>; +L_0x26ab1d0 .delay (50000,50000,50000) L_0x26ab1d0/d; +L_0x26ab270/d .functor AND 1, L_0x26ab000, L_0x26ab1d0, C4<1>, C4<1>; +L_0x26ab270 .delay (50000,50000,50000) L_0x26ab270/d; +L_0x26ab410/d .functor AND 1, L_0x26ab110, L_0x26aa960, C4<1>, C4<1>; +L_0x26ab410 .delay (50000,50000,50000) L_0x26ab410/d; +L_0x26ab520/d .functor OR 1, L_0x26ab270, L_0x26ab410, C4<0>, C4<0>; +L_0x26ab520 .delay (50000,50000,50000) L_0x26ab520/d; +v0x26a7160_0 .net "_carryin", 0 0, L_0x26ab1d0; 1 drivers +v0x26a7220_0 .net "a", 0 0, L_0x26ab7d0; 1 drivers +v0x26a72c0_0 .net "aandb", 0 0, L_0x26ab000; 1 drivers +v0x26a7360_0 .net "aorb", 0 0, L_0x26ab110; 1 drivers +v0x26a73e0_0 .net "b", 0 0, L_0x26ab990; 1 drivers +v0x26a7480_0 .alias "carryin", 0 0, v0x26a8cc0_0; +v0x26a7520_0 .alias "carryout", 0 0, v0x26a8d90_0; +v0x26a75a0_0 .net "outputIfCarryin", 0 0, L_0x26ab270; 1 drivers +v0x26a7620_0 .net "outputIf_Carryin", 0 0, L_0x26ab410; 1 drivers +v0x26a76c0_0 .net "s", 0 0, L_0x26aae30; 1 drivers +v0x26a77c0_0 .net "sum", 0 0, L_0x26aaed0; 1 drivers +S_0x2674470 .scope module, "fa3" "FullAdder1bit" 3 52, 3 9, S_0x2674720; .timescale -9 -12; -L_0xb68970/d .functor XOR 1, L_0xb69280, L_0xb693b0, C4<0>, C4<0>; -L_0xb68970 .delay (50000,50000,50000) L_0xb68970/d; -L_0xb689f0/d .functor XOR 1, L_0xb68970, L_0xb68340, C4<0>, C4<0>; -L_0xb689f0 .delay (50000,50000,50000) L_0xb689f0/d; -L_0xb68ae0/d .functor AND 1, L_0xb69280, L_0xb693b0, C4<1>, C4<1>; -L_0xb68ae0 .delay (50000,50000,50000) L_0xb68ae0/d; -L_0xb68ba0/d .functor OR 1, L_0xb69280, L_0xb693b0, C4<0>, C4<0>; -L_0xb68ba0 .delay (50000,50000,50000) L_0xb68ba0/d; -L_0xb68c60/d .functor NOT 1, L_0xb68340, C4<0>, C4<0>, C4<0>; -L_0xb68c60 .delay (50000,50000,50000) L_0xb68c60/d; -L_0xb68d00/d .functor AND 1, L_0xb68ae0, L_0xb68c60, C4<1>, C4<1>; -L_0xb68d00 .delay (50000,50000,50000) L_0xb68d00/d; -L_0xb68ea0/d .functor AND 1, L_0xb68ba0, L_0xb68340, C4<1>, C4<1>; -L_0xb68ea0 .delay (50000,50000,50000) L_0xb68ea0/d; -L_0xb68fb0/d .functor OR 1, L_0xb68d00, L_0xb68ea0, C4<0>, C4<0>; -L_0xb68fb0 .delay (50000,50000,50000) L_0xb68fb0/d; -v0xb37790_0 .net "_carryin", 0 0, L_0xb68c60; 1 drivers -v0xb636b0_0 .net "a", 0 0, L_0xb69280; 1 drivers -v0xb63750_0 .net "aandb", 0 0, L_0xb68ae0; 1 drivers -v0xb637f0_0 .net "aorb", 0 0, L_0xb68ba0; 1 drivers -v0xb638a0_0 .net "b", 0 0, L_0xb693b0; 1 drivers -v0xb63940_0 .alias "carryin", 0 0, v0xb65b10_0; -v0xb63a20_0 .alias "carryout", 0 0, v0xb66080_0; -v0xb63ac0_0 .net "outputIfCarryin", 0 0, L_0xb68d00; 1 drivers -v0xb63bb0_0 .net "outputIf_Carryin", 0 0, L_0xb68ea0; 1 drivers -v0xb63c50_0 .net "s", 0 0, L_0xb68970; 1 drivers -v0xb63d50_0 .net "sum", 0 0, L_0xb689f0; 1 drivers - .scope S_0xb37c60; +L_0x26abb50/d .functor XOR 1, L_0x26ac460, L_0x26ac590, C4<0>, C4<0>; +L_0x26abb50 .delay (50000,50000,50000) L_0x26abb50/d; +L_0x26abbd0/d .functor XOR 1, L_0x26abb50, L_0x26ab520, C4<0>, C4<0>; +L_0x26abbd0 .delay (50000,50000,50000) L_0x26abbd0/d; +L_0x26abcc0/d .functor AND 1, L_0x26ac460, L_0x26ac590, C4<1>, C4<1>; +L_0x26abcc0 .delay (50000,50000,50000) L_0x26abcc0/d; +L_0x26abd80/d .functor OR 1, L_0x26ac460, L_0x26ac590, C4<0>, C4<0>; +L_0x26abd80 .delay (50000,50000,50000) L_0x26abd80/d; +L_0x26abe40/d .functor NOT 1, L_0x26ab520, C4<0>, C4<0>, C4<0>; +L_0x26abe40 .delay (50000,50000,50000) L_0x26abe40/d; +L_0x26abee0/d .functor AND 1, L_0x26abcc0, L_0x26abe40, C4<1>, C4<1>; +L_0x26abee0 .delay (50000,50000,50000) L_0x26abee0/d; +L_0x26ac080/d .functor AND 1, L_0x26abd80, L_0x26ab520, C4<1>, C4<1>; +L_0x26ac080 .delay (50000,50000,50000) L_0x26ac080/d; +L_0x26ac190/d .functor OR 1, L_0x26abee0, L_0x26ac080, C4<0>, C4<0>; +L_0x26ac190 .delay (50000,50000,50000) L_0x26ac190/d; +v0x2675670_0 .net "_carryin", 0 0, L_0x26abe40; 1 drivers +v0x26a6930_0 .net "a", 0 0, L_0x26ac460; 1 drivers +v0x26a69d0_0 .net "aandb", 0 0, L_0x26abcc0; 1 drivers +v0x26a6a70_0 .net "aorb", 0 0, L_0x26abd80; 1 drivers +v0x26a6b20_0 .net "b", 0 0, L_0x26ac590; 1 drivers +v0x26a6bc0_0 .alias "carryin", 0 0, v0x26a8d90_0; +v0x26a6ca0_0 .alias "carryout", 0 0, v0x26a9260_0; +v0x26a6d40_0 .net "outputIfCarryin", 0 0, L_0x26abee0; 1 drivers +v0x26a6e30_0 .net "outputIf_Carryin", 0 0, L_0x26ac080; 1 drivers +v0x26a6ed0_0 .net "s", 0 0, L_0x26abb50; 1 drivers +v0x26a6fd0_0 .net "sum", 0 0, L_0x26abbd0; 1 drivers + .scope S_0x2675b40; T_0 ; - %vpi_call 2 16 "$display", "A B | Cout Sum "; - %set/v v0xb65f30_0, 0, 4; - %set/v v0xb65fd0_0, 0, 4; + %vpi_call 2 13 "$dumpfile", "adder4bit.vcd"; + %vpi_call 2 14 "$dumpvars"; + %vpi_call 2 16 "$display", "A B | Sum Cout Overflow "; + %set/v v0x26a9130_0, 0, 4; + %set/v v0x26a91b0_0, 0, 4; %delay 1000000, 0; - %vpi_call 2 18 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; - %movi 8, 8, 4; - %set/v v0xb65f30_0, 8, 4; - %set/v v0xb65fd0_0, 0, 4; + %vpi_call 2 18 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 1, 4; + %set/v v0x26a9130_0, 8, 4; + %movi 8, 1, 4; + %set/v v0x26a91b0_0, 8, 4; %delay 1000000, 0; - %vpi_call 2 20 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; - %movi 8, 8, 4; - %set/v v0xb65f30_0, 8, 4; - %set/v v0xb65fd0_0, 0, 4; + %vpi_call 2 20 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 3, 4; + %set/v v0x26a9130_0, 8, 4; + %set/v v0x26a91b0_0, 0, 4; %delay 1000000, 0; - %vpi_call 2 22 "$display", "%b %b | %b %b", v0xb65f30_0, v0xb65fd0_0, v0xb66080_0, v0xb66200_0; + %vpi_call 2 22 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %set/v v0x26a9130_0, 0, 4; + %movi 8, 3, 4; + %set/v v0x26a91b0_0, 8, 4; + %delay 10000000, 0; + %vpi_call 2 24 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 5, 4; + %set/v v0x26a9130_0, 8, 4; + %movi 8, 2, 4; + %set/v v0x26a91b0_0, 8, 4; + %delay 10000000, 0; + %vpi_call 2 26 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 5, 4; + %set/v v0x26a9130_0, 8, 4; + %movi 8, 3, 4; + %set/v v0x26a91b0_0, 8, 4; + %delay 10000000, 0; + %vpi_call 2 28 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 9, 4; + %set/v v0x26a9130_0, 8, 4; + %movi 8, 14, 4; + %set/v v0x26a91b0_0, 8, 4; + %delay 10000000, 0; + %vpi_call 2 30 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %movi 8, 13, 4; + %set/v v0x26a9130_0, 8, 4; + %movi 8, 11, 4; + %set/v v0x26a91b0_0, 8, 4; + %delay 10000000, 0; + %vpi_call 2 32 "$display", "%b %b | %b %b %b", v0x26a9130_0, v0x26a91b0_0, v0x26a93e0_0, v0x26a9260_0, v0x26a9330_0; + %vpi_call 2 33 "$finish"; %end; .thread T_0; # The file index is used to find the file name in the following table. diff --git a/adder.t.v b/adder.t.v index b79cccc..7b8e393 100644 --- a/adder.t.v +++ b/adder.t.v @@ -10,25 +10,26 @@ module testFullAdder4bit(); FullAdder4bit adder (sum, carryout, overflow, a, b); initial begin - //$dumpfile("../resources/adder.vcd"); - //$dumpvars; + $dumpfile("adder4bit.vcd"); + $dumpvars; - $display("A B | Cout Sum "); - a=0000;b=0000; #1000; - $display("%b %b | %b %b", a, b, carryout, sum); - a=1000;b=0000; #1000; - $display("%b %b | %b %b", a, b, carryout, sum); - a=1000;b=0000; #1000; - $display("%b %b | %b %b", a, b, carryout, sum); - // a=1100;b=0000; #1000; - // $display("%b %b | %b %b", a, b, carryout, sum); - // a=0;b=0;carryin=1; #1000; - // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); - // a=1;b=0;carryin=1; #1000; - // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); - // a=0;b=1;carryin=1; #1000; - // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); - // a=1;b=1;carryin=1; #1000; - // $display("%b %b %b | %b %b", a, b, carryin, carryout, sum); + $display("A B | Sum Cout Overflow "); + a=4'b0000;b=4'b0000; #1000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0001;b=4'b0001; #1000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0011;b=4'b0000; #1000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0000;b=4'b0011; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0101;b=4'b0010; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0101;b=4'b0011; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b1001;b=4'b1110; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b1101;b=4'b1011; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + $finish; end endmodule diff --git a/adder.v b/adder.v index 55460d4..b0c2ebb 100644 --- a/adder.v +++ b/adder.v @@ -5,7 +5,6 @@ `define AND and #50 `define OR or #50 `define NOT not #50 -`define XNOR xnor #50 module FullAdder1bit ( @@ -51,6 +50,6 @@ module FullAdder4bit FullAdder1bit fa1 (sum[1], Cout1, a[1], b[1], Cout0); FullAdder1bit fa2 (sum[2], Cout2, a[2], b[2], Cout1); FullAdder1bit fa3 (sum[3], carryout, a[3], b[3], Cout2); - `XNOR computeOverflow (overflow, sum[3], carryout); + `XOR computeOverflow (overflow, carryout, Cout2); endmodule From 61511c75c24790332e87f0c5343b31a710f5f4ae Mon Sep 17 00:00:00 2001 From: dpapp Date: Sun, 24 Sep 2017 17:02:49 -0400 Subject: [PATCH 04/13] starting writeup --- adder.t.v | 27 +++++++++++++++++++++++---- writeup.txt | 34 ++++++++++++++++++++++++++++++++++ 2 files changed, 57 insertions(+), 4 deletions(-) create mode 100644 writeup.txt diff --git a/adder.t.v b/adder.t.v index 7b8e393..05d2ba9 100644 --- a/adder.t.v +++ b/adder.t.v @@ -16,20 +16,39 @@ module testFullAdder4bit(); $display("A B | Sum Cout Overflow "); a=4'b0000;b=4'b0000; #1000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); - a=4'b0001;b=4'b0001; #1000; + a=4'b011;b=4'b0011; #1000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); - a=4'b0011;b=4'b0000; #1000; + /*a=4'b0011;b=4'b0000; #1000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); a=4'b0000;b=4'b0011; #10000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); a=4'b0101;b=4'b0010; #10000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); - a=4'b0101;b=4'b0011; #10000; + a=4'b0001;b=4'b0011; #10000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); - a=4'b1001;b=4'b1110; #10000; + a=4'b0010;b=4'b1010; #10000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); a=4'b1101;b=4'b1011; #10000; $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + + a=4'b1101;b=4'b0110; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); // 0011 0 0 + a=4'b1110;b=4'b0010; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); // 0000 0 0 + a=4'b0001;b=4'b0110; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); // 0111 0 0 + a=4'b1000;b=4'b0010; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); // 0110 0 0 + + // Overflow tests: + a=4'b1000;b=4'b1001; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b1011;b=4'b1100; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0101;b=4'b0100; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow); + a=4'b0010;b=4'b0111; #10000; + $display("%b %b | %b %b %b", a, b, sum, carryout, overflow);*/ $finish; end endmodule diff --git a/writeup.txt b/writeup.txt new file mode 100644 index 0000000..b0fce27 --- /dev/null +++ b/writeup.txt @@ -0,0 +1,34 @@ +Waveforms showing the full adder stabilizing after changing inputs. What is the worst case delay? + +It's evident from the graph that the delay is 200ns. The graph above shows the addition of 0011 and 0011. TODO + +- An explanation of your test case strategy. Why did you choose the tests you did? +Since we didn't want to write 256 test cases, we chose 16 tests that we thought would cover all the test cases. +We wrote 12 test cases for testing non-overflow cases. We tested the addition of positive numbers, negative numbers, and one of each. We tested the addition of two opposite numbers to make sure their sum was 0. Everything worked as expected. +We also wrote 4 tests to test overflow. Two of these tests were for testing the addition of two negative numbers in which case the sum was below -8, and two were for adding positive numbers where the sum was greater than 7. + +- A list of test case failures and the changes to your design they inspired. +We had 3 failures on our test cases while creating our 4-bit adder: +1) Test Case: +a=0011;b=0000; #1000; +Expected result: Cout=0, sum = 0011 +Result: a=1011 b=0000 | cout=0 sum=1011 + +It turns out we were referencing our inputs as a=0011 instead of 4'b0011. + +2)Test Case: +a=1000; b=1000; +Result: +a=1000; b=1000; Cout=1 Sum=0000 +This would seem to indicate that the left is the most significant bit. It turns out we were using [3:0] interchangably with [0:3], and these two notations reverse the direction of the least to most significant unit. Also, this once again was caused by not putting 4'b in front of our binary numbers. + +3) Test case: +A B | Sum Cout Overflow +0000 0000 | 0000 0 1 +Expected result: Sum: 0000, Overflow: 0 + +We were doing XNOR(sum[3], cout2) instead of XOR(carryout, cout2) to find the overflow. + +- A summary of testing performed on the FPGA board. + +- Summary statistics of your synthesized design from Vivado (Propagation Delay, Resources Used, etc) \ No newline at end of file From 841f766a1e7c52a26203041a38387ace01ab32ca Mon Sep 17 00:00:00 2001 From: dpapp Date: Wed, 27 Sep 2017 19:09:42 -0400 Subject: [PATCH 05/13] imported adder to wrapper --- lab0_wrapper.v | 1 + 1 file changed, 1 insertion(+) diff --git a/lab0_wrapper.v b/lab0_wrapper.v index 3270bd2..999a899 100644 --- a/lab0_wrapper.v +++ b/lab0_wrapper.v @@ -25,6 +25,7 @@ //-------------------------------------------------------------------------------- `timescale 1ns / 1ps +`include "adder.v" //-------------------------------------------------------------------------------- From 91790207235ca4906ca1769d360c82c476741628 Mon Sep 17 00:00:00 2001 From: Jonah Spear Date: Wed, 27 Sep 2017 19:15:13 -0400 Subject: [PATCH 06/13] add vivade project --- ZYBO_Master.xdc | 146 ++++++ project_1/example.v | 22 + .../project_1.cache/wt/gui_resources.wdf | 20 + .../wt/java_command_handlers.wdf | 8 + project_1/project_1.cache/wt/project.wpc | 4 + project_1/project_1.cache/wt/synthesis.wdf | 39 ++ .../project_1.cache/wt/synthesis_details.wdf | 3 + project_1/project_1.cache/wt/webtalk_pa.xml | 49 ++ project_1/project_1.hw/hw_1/hw.xml | 16 + project_1/project_1.hw/project_1.lpr | 8 + .../project_1.runs/.jobs/vrs_config_1.xml | 5 + .../project_1.runs/.jobs/vrs_config_2.xml | 5 + .../project_1.runs/.jobs/vrs_config_3.xml | 5 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../project_1.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 .../project_1.runs/impl_1/.vivado.begin.rst | 10 + .../project_1.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 project_1/project_1.runs/impl_1/ISEWrap.js | 244 ++++++++++ project_1/project_1.runs/impl_1/ISEWrap.sh | 63 +++ project_1/project_1.runs/impl_1/gen_run.xml | 104 +++++ project_1/project_1.runs/impl_1/htr.txt | 9 + .../project_1.runs/impl_1/hw_wrapper.bit | Bin 0 -> 2083846 bytes .../project_1.runs/impl_1/hw_wrapper.tcl | 65 +++ .../project_1.runs/impl_1/hw_wrapper.vdi | 430 +++++++++++++++++ .../impl_1/hw_wrapper_23797.backup.vdi | 370 +++++++++++++++ .../hw_wrapper_clock_utilization_routed.rpt | 154 ++++++ .../impl_1/hw_wrapper_control_sets_placed.rpt | 61 +++ .../impl_1/hw_wrapper_drc_opted.rpt | 41 ++ .../impl_1/hw_wrapper_drc_routed.pb | Bin 0 -> 37 bytes .../impl_1/hw_wrapper_drc_routed.rpt | 41 ++ .../impl_1/hw_wrapper_drc_routed.rpx | Bin 0 -> 353 bytes .../impl_1/hw_wrapper_io_placed.rpt | 442 ++++++++++++++++++ .../hw_wrapper_methodology_drc_routed.rpt | 55 +++ .../hw_wrapper_methodology_drc_routed.rpx | Bin 0 -> 1041 bytes .../project_1.runs/impl_1/hw_wrapper_opt.dcp | Bin 0 -> 209589 bytes .../impl_1/hw_wrapper_placed.dcp | Bin 0 -> 212379 bytes .../impl_1/hw_wrapper_power_routed.rpt | 147 ++++++ .../impl_1/hw_wrapper_power_routed.rpx | Bin 0 -> 8189 bytes .../impl_1/hw_wrapper_power_summary_routed.pb | Bin 0 -> 720 bytes .../impl_1/hw_wrapper_route_status.pb | Bin 0 -> 43 bytes .../impl_1/hw_wrapper_route_status.rpt | 11 + .../impl_1/hw_wrapper_routed.dcp | Bin 0 -> 215642 bytes .../hw_wrapper_timing_summary_routed.rpt | 173 +++++++ .../hw_wrapper_timing_summary_routed.rpx | Bin 0 -> 4384 bytes .../impl_1/hw_wrapper_utilization_placed.pb | Bin 0 -> 224 bytes .../impl_1/hw_wrapper_utilization_placed.rpt | 192 ++++++++ .../project_1.runs/impl_1/init_design.pb | Bin 0 -> 1307 bytes project_1/project_1.runs/impl_1/opt_design.pb | Bin 0 -> 7820 bytes .../project_1.runs/impl_1/place_design.pb | Bin 0 -> 12931 bytes project_1/project_1.runs/impl_1/project.wdf | 31 ++ .../project_1.runs/impl_1/route_design.pb | Bin 0 -> 10967 bytes project_1/project_1.runs/impl_1/rundef.js | 44 ++ project_1/project_1.runs/impl_1/runme.bat | 11 + project_1/project_1.runs/impl_1/runme.log | 428 +++++++++++++++++ project_1/project_1.runs/impl_1/runme.sh | 43 ++ .../impl_1/usage_statistics_webtalk.html | 426 +++++++++++++++++ .../impl_1/usage_statistics_webtalk.xml | 374 +++++++++++++++ project_1/project_1.runs/impl_1/vivado.jou | 12 + project_1/project_1.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes .../impl_1/vivado_23797.backup.jou | 12 + .../project_1.runs/impl_1/write_bitstream.pb | Bin 0 -> 6248 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/hw_wrapper_propImpl.xdc | 19 + .../project_1.runs/synth_1/.vivado.begin.rst | 5 + .../project_1.runs/synth_1/.vivado.end.rst | 0 project_1/project_1.runs/synth_1/ISEWrap.js | 244 ++++++++++ project_1/project_1.runs/synth_1/ISEWrap.sh | 63 +++ project_1/project_1.runs/synth_1/gen_run.xml | 44 ++ project_1/project_1.runs/synth_1/htr.txt | 9 + .../project_1.runs/synth_1/hw_wrapper.dcp | Bin 0 -> 7991 bytes .../project_1.runs/synth_1/hw_wrapper.tcl | 34 ++ .../project_1.runs/synth_1/hw_wrapper.vds | 258 ++++++++++ .../synth_1/hw_wrapper_utilization_synth.pb | Bin 0 -> 224 bytes .../synth_1/hw_wrapper_utilization_synth.rpt | 171 +++++++ project_1/project_1.runs/synth_1/project.wdf | 31 ++ project_1/project_1.runs/synth_1/rundef.js | 40 ++ project_1/project_1.runs/synth_1/runme.bat | 11 + project_1/project_1.runs/synth_1/runme.log | 257 ++++++++++ project_1/project_1.runs/synth_1/runme.sh | 39 ++ project_1/project_1.runs/synth_1/vivado.jou | 12 + project_1/project_1.runs/synth_1/vivado.pb | Bin 0 -> 27742 bytes project_1/project_1.xpr | 144 ++++++ vivado.jou | 33 ++ vivado.log | 62 +++ vivado_pid7822.str | 321 +++++++++++++ 94 files changed, 6145 insertions(+) create mode 100644 ZYBO_Master.xdc create mode 100644 project_1/example.v create mode 100644 project_1/project_1.cache/wt/gui_resources.wdf create mode 100644 project_1/project_1.cache/wt/java_command_handlers.wdf create mode 100644 project_1/project_1.cache/wt/project.wpc create mode 100644 project_1/project_1.cache/wt/synthesis.wdf create mode 100644 project_1/project_1.cache/wt/synthesis_details.wdf create mode 100644 project_1/project_1.cache/wt/webtalk_pa.xml create mode 100644 project_1/project_1.hw/hw_1/hw.xml create mode 100644 project_1/project_1.hw/project_1.lpr create mode 100644 project_1/project_1.runs/.jobs/vrs_config_1.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_2.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_3.xml create mode 100644 project_1/project_1.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 project_1/project_1.runs/impl_1/.init_design.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.init_design.end.rst create mode 100644 project_1/project_1.runs/impl_1/.opt_design.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.opt_design.end.rst create mode 100644 project_1/project_1.runs/impl_1/.place_design.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.place_design.end.rst create mode 100644 project_1/project_1.runs/impl_1/.route_design.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.route_design.end.rst create mode 100644 project_1/project_1.runs/impl_1/.vivado.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.vivado.end.rst create mode 100644 project_1/project_1.runs/impl_1/.write_bitstream.begin.rst create mode 100644 project_1/project_1.runs/impl_1/.write_bitstream.end.rst create mode 100755 project_1/project_1.runs/impl_1/ISEWrap.js create mode 100755 project_1/project_1.runs/impl_1/ISEWrap.sh create mode 100644 project_1/project_1.runs/impl_1/gen_run.xml create mode 100644 project_1/project_1.runs/impl_1/htr.txt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.bit create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.tcl create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.vdi create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_23797.backup.vdi create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.pb create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_io_placed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_power_summary_routed.pb create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_route_status.pb create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpx create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.pb create mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt create mode 100644 project_1/project_1.runs/impl_1/init_design.pb create mode 100644 project_1/project_1.runs/impl_1/opt_design.pb create mode 100644 project_1/project_1.runs/impl_1/place_design.pb create mode 100644 project_1/project_1.runs/impl_1/project.wdf create mode 100644 project_1/project_1.runs/impl_1/route_design.pb create mode 100644 project_1/project_1.runs/impl_1/rundef.js create mode 100644 project_1/project_1.runs/impl_1/runme.bat create mode 100644 project_1/project_1.runs/impl_1/runme.log create mode 100755 project_1/project_1.runs/impl_1/runme.sh create mode 100644 project_1/project_1.runs/impl_1/usage_statistics_webtalk.html create mode 100644 project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml create mode 100644 project_1/project_1.runs/impl_1/vivado.jou create mode 100644 project_1/project_1.runs/impl_1/vivado.pb create mode 100644 project_1/project_1.runs/impl_1/vivado_23797.backup.jou create mode 100644 project_1/project_1.runs/impl_1/write_bitstream.pb create mode 100644 project_1/project_1.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 project_1/project_1.runs/synth_1/.Xil/hw_wrapper_propImpl.xdc create mode 100644 project_1/project_1.runs/synth_1/.vivado.begin.rst create mode 100644 project_1/project_1.runs/synth_1/.vivado.end.rst create mode 100755 project_1/project_1.runs/synth_1/ISEWrap.js create mode 100755 project_1/project_1.runs/synth_1/ISEWrap.sh create mode 100644 project_1/project_1.runs/synth_1/gen_run.xml create mode 100644 project_1/project_1.runs/synth_1/htr.txt create mode 100644 project_1/project_1.runs/synth_1/hw_wrapper.dcp create mode 100644 project_1/project_1.runs/synth_1/hw_wrapper.tcl create mode 100644 project_1/project_1.runs/synth_1/hw_wrapper.vds create mode 100644 project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb create mode 100644 project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt create mode 100644 project_1/project_1.runs/synth_1/project.wdf create mode 100644 project_1/project_1.runs/synth_1/rundef.js create mode 100644 project_1/project_1.runs/synth_1/runme.bat create mode 100644 project_1/project_1.runs/synth_1/runme.log create mode 100755 project_1/project_1.runs/synth_1/runme.sh create mode 100644 project_1/project_1.runs/synth_1/vivado.jou create mode 100644 project_1/project_1.runs/synth_1/vivado.pb create mode 100644 project_1/project_1.xpr create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_pid7822.str diff --git a/ZYBO_Master.xdc b/ZYBO_Master.xdc new file mode 100644 index 0000000..9153017 --- /dev/null +++ b/ZYBO_Master.xdc @@ -0,0 +1,146 @@ +## This file is a general .xdc for the ZYBO Rev B board +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used signals according to the project + + +##Clock signal +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L11P_T1_SRCC_35 Sch=sysclk +#create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { clk }]; + + +##Switches +set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=SW0 +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=SW1 +set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=SW2 +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=SW3 + + +##Buttons +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L20N_T3_34 Sch=BTN0 +#set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=BTN1 +#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L18P_T2_34 Sch=BTN2 +#set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=BTN3 + + +##LEDs +set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=LED0 +set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=LED1 +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35=Sch=LED2 +set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=LED3 + + +##I2S Audio Codec +#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports ac_bclk]; #IO_L12N_T1_MRCC_35 Sch=AC_BCLK +#set_property -dict { PACKAGE_PIN T19 IOSTANDARD LVCMOS33 } [get_ports ac_mclk]; #IO_25_34 Sch=AC_MCLK +#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports ac_muten]; #IO_L23N_T3_34 Sch=AC_MUTEN +#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports ac_pbdat]; #IO_L8P_T1_AD10P_35 Sch=AC_PBDAT +#set_property -dict { PACKAGE_PIN L17 IOSTANDARD LVCMOS33 } [get_ports ac_pblrc]; #IO_L11N_T1_SRCC_35 Sch=AC_PBLRC +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports ac_recdat]; #IO_L12P_T1_MRCC_35 Sch=AC_RECDAT +#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports ac_reclrc]; #IO_L8N_T1_AD10N_35 Sch=AC_RECLRC + + +##Audio Codec/external EEPROM IIC bus +#set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports ac_scl]; #IO_L13P_T2_MRCC_34 Sch=AC_SCL +#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports ac_sda]; #IO_L23P_T3_34 Sch=AC_SDA + + +##Additional Ethernet signals +#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports eth_int_b]; #IO_L6P_T0_35 Sch=ETH_INT_B +#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports eth_rst_b]; #IO_L3P_T0_DQS_AD1P_35 Sch=ETH_RST_B + + +##HDMI Signals +#set_property -dict { PACKAGE_PIN H17 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_n]; #IO_L13N_T2_MRCC_35 Sch=HDMI_CLK_N +#set_property -dict { PACKAGE_PIN H16 IOSTANDARD TMDS_33 } [get_ports hdmi_clk_p]; #IO_L13P_T2_MRCC_35 Sch=HDMI_CLK_P +#set_property -dict { PACKAGE_PIN D20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[0] }]; #IO_L4N_T0_35 Sch=HDMI_D0_N +#set_property -dict { PACKAGE_PIN D19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[0] }]; #IO_L4P_T0_35 Sch=HDMI_D0_P +#set_property -dict { PACKAGE_PIN B20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[1] }]; #IO_L1N_T0_AD0N_35 Sch=HDMI_D1_N +#set_property -dict { PACKAGE_PIN C20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[1] }]; #IO_L1P_T0_AD0P_35 Sch=HDMI_D1_P +#set_property -dict { PACKAGE_PIN A20 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_n[2] }]; #IO_L2N_T0_AD8N_35 Sch=HDMI_D2_N +#set_property -dict { PACKAGE_PIN B19 IOSTANDARD TMDS_33 } [get_ports { hdmi_d_p[2] }]; #IO_L2P_T0_AD8P_35 Sch=HDMI_D2_P +#set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports hdmi_cec]; #IO_L5N_T0_AD9N_35 Sch=HDMI_CEC +#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports hdmi_hpd]; #IO_L5P_T0_AD9P_35 Sch=HDMI_HPD +#set_property -dict { PACKAGE_PIN F17 IOSTANDARD LVCMOS33 } [get_ports hdmi_out_en]; #IO_L6N_T0_VREF_35 Sch=HDMI_OUT_EN +#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports hdmi_scl]; #IO_L16P_T2_35 Sch=HDMI_SCL +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports hdmi_sda]; #IO_L16N_T2_35 Sch=HDMI_SDA + + +##Pmod Header JA (XADC) +#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { ja_p[0] }]; #IO_L21P_T3_DQS_AD14P_35 Sch=JA1_R_p +#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[1] }]; #IO_L22P_T3_AD7P_35 Sch=JA2_R_P +#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { ja_p[2] }]; #IO_L24P_T3_AD15P_35 Sch=JA3_R_P +#set_property -dict { PACKAGE_PIN K14 IOSTANDARD LVCMOS33 } [get_ports { ja_p[3] }]; #IO_L20P_T3_AD6P_35 Sch=JA4_R_P +#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[0] }]; #IO_L21N_T3_DQS_AD14N_35 Sch=JA1_R_N +#set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { ja_n[1] }]; #IO_L22N_T3_AD7N_35 Sch=JA2_R_N +#set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33 } [get_ports { ja_n[2] }]; #IO_L24N_T3_AD15N_35 Sch=JA3_R_N +#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { ja_n[3] }]; #IO_L20N_T3_AD6N_35 Sch=JA4_R_N + + +##Pmod Header JB +#set_property -dict { PACKAGE_PIN T20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[0] }]; #IO_L15P_T2_DQS_34 Sch=JB1_p +#set_property -dict { PACKAGE_PIN U20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[0] }]; #IO_L15N_T2_DQS_34 Sch=JB1_N +#set_property -dict { PACKAGE_PIN V20 IOSTANDARD LVCMOS33 } [get_ports { jb_p[1] }]; #IO_L16P_T2_34 Sch=JB2_P +#set_property -dict { PACKAGE_PIN W20 IOSTANDARD LVCMOS33 } [get_ports { jb_n[1] }]; #IO_L16N_T2_34 Sch=JB2_N +#set_property -dict { PACKAGE_PIN Y18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[2] }]; #IO_L17P_T2_34 Sch=JB3_P +#set_property -dict { PACKAGE_PIN Y19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[2] }]; #IO_L17N_T2_34 Sch=JB3_N +#set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports { jb_p[3] }]; #IO_L22P_T3_34 Sch=JB4_P +#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports { jb_n[3] }]; #IO_L22N_T3_34 Sch=JB4_N + + +##Pmod Header JC +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { jc_p[0] }]; #IO_L10P_T1_34 Sch=JC1_P +#set_property -dict { PACKAGE_PIN W15 IOSTANDARD LVCMOS33 } [get_ports { jc_n[0] }]; #IO_L10N_T1_34 Sch=JC1_N +#set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { jc_p[1] }]; #IO_L1P_T0_34 Sch=JC2_P +#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { jc_n[1] }]; #IO_L1N_T0_34 Sch=JC2_N +#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports { jc_p[2] }]; #IO_L8P_T1_34 Sch=JC3_P +#set_property -dict { PACKAGE_PIN Y14 IOSTANDARD LVCMOS33 } [get_ports { jc_n[2] }]; #IO_L8N_T1_34 Sch=JC3_N +#set_property -dict { PACKAGE_PIN T12 IOSTANDARD LVCMOS33 } [get_ports { jc_p[3] }]; #IO_L2P_T0_34 Sch=JC4_P +#set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { jc_n[3] }]; #IO_L2N_T0_34 Sch=JC4_N + + +##Pmod Header JD +#set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[0] }]; #IO_L5P_T0_34 Sch=JD1_P +#set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[0] }]; #IO_L5N_T0_34 Sch=JD1_N +#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[1] }]; #IO_L6P_T0_34 Sch=JD2_P +#set_property -dict { PACKAGE_PIN R14 IOSTANDARD LVCMOS33 } [get_ports { jd_n[1] }]; #IO_L6N_T0_VREF_34 Sch=JD2_N +#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { jd_p[2] }]; #IO_L11P_T1_SRCC_34 Sch=JD3_P +#set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS33 } [get_ports { jd_n[2] }]; #IO_L11N_T1_SRCC_34 Sch=JD3_N +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { jd_p[3] }]; #IO_L21P_T3_DQS_34 Sch=JD4_P +#set_property -dict { PACKAGE_PIN V18 IOSTANDARD LVCMOS33 } [get_ports { jd_n[3] }]; #IO_L21N_T3_DQS_34 Sch=JD4_N + + +##Pmod Header JE +#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=JE1 +#set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=JE2 +#set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=JE3 +#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { je[3] }]; #IO_L19P_T3_35 Sch=JE4 +#set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { je[4] }]; #IO_L3N_T0_DQS_34 Sch=JE7 +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { je[5] }]; #IO_L9N_T1_DQS_34 Sch=JE8 +#set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports { je[6] }]; #IO_L20P_T3_34 Sch=JE9 +#set_property -dict { PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 } [get_ports { je[7] }]; #IO_L7N_T1_34 Sch=JE10 + + +##USB-OTG overcurrent detect pin +#set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports otg_oc]; #IO_L3P_T0_DQS_PUDC_B_34 Sch=OTG_OC + + +##VGA Connector +#set_property -dict { PACKAGE_PIN M19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[0] }]; #IO_L7P_T1_AD2P_35 Sch=VGA_R1 +#set_property -dict { PACKAGE_PIN L20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[1] }]; #IO_L9N_T1_DQS_AD3N_35 Sch=VGA_R2 +#set_property -dict { PACKAGE_PIN J20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[2] }]; #IO_L17P_T2_AD5P_35 Sch=VGA_R3 +#set_property -dict { PACKAGE_PIN G20 IOSTANDARD LVCMOS33 } [get_ports { vga_r[3] }]; #IO_L18N_T2_AD13N_35 Sch=VGA_R4 +#set_property -dict { PACKAGE_PIN F19 IOSTANDARD LVCMOS33 } [get_ports { vga_r[4] }]; #IO_L15P_T2_DQS_AD12P_35 Sch=VGA_R5 +#set_property -dict { PACKAGE_PIN H18 IOSTANDARD LVCMOS33 } [get_ports { vga_g[0] }]; #IO_L14N_T2_AD4N_SRCC_35 Sch=VGA_G0 +#set_property -dict { PACKAGE_PIN N20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[1] }]; #IO_L14P_T2_SRCC_34 Sch=VGA_G1 +#set_property -dict { PACKAGE_PIN L19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[2] }]; #IO_L9P_T1_DQS_AD3P_35 Sch=VGA_G2 +#set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports { vga_g[3] }]; #IO_L10N_T1_AD11N_35 Sch=VGA_G3 +#set_property -dict { PACKAGE_PIN H20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[4] }]; #IO_L17N_T2_AD5N_35 Sch=VGA_G4 +#set_property -dict { PACKAGE_PIN F20 IOSTANDARD LVCMOS33 } [get_ports { vga_g[5] }]; #IO_L15N_T2_DQS_AD12N_35 Sch=VGA=G5 +#set_property -dict { PACKAGE_PIN P20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[0] }]; #IO_L14N_T2_SRCC_34 Sch=VGA_B1 +#set_property -dict { PACKAGE_PIN M20 IOSTANDARD LVCMOS33 } [get_ports { vga_b[1] }]; #IO_L7N_T1_AD2N_35 Sch=VGA_B2 +#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[2] }]; #IO_L10P_T1_AD11P_35 Sch=VGA_B3 +#set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { vga_b[3] }]; #IO_L14P_T2_AD4P_SRCC_35 Sch=VGA_B4 +#set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports { vga_b[4] }]; #IO_L18P_T2_AD13P_35 Sch=VGA_B5 +#set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports vga_hs]; #IO_L13N_T2_MRCC_34 Sch=VGA_HS +#set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports vga_vs]; #IO_0_34 Sch=VGA_VS diff --git a/project_1/example.v b/project_1/example.v new file mode 100644 index 0000000..d6da131 --- /dev/null +++ b/project_1/example.v @@ -0,0 +1,22 @@ +// Vivado bring-up example + +module dff4( + input trigger, + input [3:0] d, + output [3:0] q + ); + reg[3:0] q; + always @(posedge trigger) begin + q <= d; + end +endmodule + +module hw_wrapper( + input[3:0] sw, + input clk, + output[3:0] led + ); + + dff4 device(clk, sw, led); + +endmodule diff --git a/project_1/project_1.cache/wt/gui_resources.wdf b/project_1/project_1.cache/wt/gui_resources.wdf new file mode 100644 index 0000000..26a4ae9 --- /dev/null +++ b/project_1/project_1.cache/wt/gui_resources.wdf @@ -0,0 +1,20 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c65735f62656c6f775f746f5f746869735f636f6e73747261696e745f736574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6164645f736f7572636573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f72756e5f62697467656e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f626f61726473:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f7061727473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:31:00:00 +eof:1503365937 diff --git a/project_1/project_1.cache/wt/java_command_handlers.wdf b/project_1/project_1.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..d735e3a --- /dev/null +++ b/project_1/project_1.cache/wt/java_command_handlers.wdf @@ -0,0 +1,8 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:31:00:00 +eof:1121522160 diff --git a/project_1/project_1.cache/wt/project.wpc b/project_1/project_1.cache/wt/project.wpc new file mode 100644 index 0000000..3c63dc5 --- /dev/null +++ b/project_1/project_1.cache/wt/project.wpc @@ -0,0 +1,4 @@ +version:1 +57656254616c6b5472616e736d697373696f6e417474656d70746564:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/project_1/project_1.cache/wt/synthesis.wdf b/project_1/project_1.cache/wt/synthesis.wdf new file mode 100644 index 0000000..38c6349 --- /dev/null +++ b/project_1/project_1.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303130636c673430302d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:68775f77726170706572:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a313873:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313435392e3339384d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3337302e3335394d42:00:00 +eof:2745774629 diff --git a/project_1/project_1.cache/wt/synthesis_details.wdf b/project_1/project_1.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/project_1/project_1.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/project_1/project_1.cache/wt/webtalk_pa.xml b/project_1/project_1.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..14349fb --- /dev/null +++ b/project_1/project_1.cache/wt/webtalk_pa.xml @@ -0,0 +1,49 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/project_1/project_1.hw/hw_1/hw.xml b/project_1/project_1.hw/hw_1/hw.xml new file mode 100644 index 0000000..1bc1a9b --- /dev/null +++ b/project_1/project_1.hw/hw_1/hw.xml @@ -0,0 +1,16 @@ + + + + + + + + + + + + + + + + diff --git a/project_1/project_1.hw/project_1.lpr b/project_1/project_1.hw/project_1.lpr new file mode 100644 index 0000000..e87eed2 --- /dev/null +++ b/project_1/project_1.hw/project_1.lpr @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_1.xml b/project_1/project_1.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_2.xml b/project_1/project_1.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..abc4cab --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_3.xml b/project_1/project_1.runs/.jobs/vrs_config_3.xml new file mode 100644 index 0000000..c9f690d --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_3.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.Vivado_Implementation.queue.rst b/project_1/project_1.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.init_design.begin.rst b/project_1/project_1.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..5dcf605 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.init_design.end.rst b/project_1/project_1.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.opt_design.begin.rst b/project_1/project_1.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..5dcf605 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.opt_design.end.rst b/project_1/project_1.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.place_design.begin.rst b/project_1/project_1.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..5dcf605 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.place_design.end.rst b/project_1/project_1.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.route_design.begin.rst b/project_1/project_1.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..5dcf605 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.route_design.end.rst b/project_1/project_1.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.vivado.begin.rst b/project_1/project_1.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..49ffd42 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/project_1/project_1.runs/impl_1/.vivado.end.rst b/project_1/project_1.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst b/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..e72fe49 --- /dev/null +++ b/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.write_bitstream.end.rst b/project_1/project_1.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/impl_1/ISEWrap.js b/project_1/project_1.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..8284d2d --- /dev/null +++ b/project_1/project_1.runs/impl_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/project_1/project_1.runs/impl_1/ISEWrap.sh b/project_1/project_1.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/project_1/project_1.runs/impl_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/project_1/project_1.runs/impl_1/gen_run.xml b/project_1/project_1.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..ffee860 --- /dev/null +++ b/project_1/project_1.runs/impl_1/gen_run.xml @@ -0,0 +1,104 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Default settings for Implementation. + + + + + + + + + + + + diff --git a/project_1/project_1.runs/impl_1/htr.txt b/project_1/project_1.runs/impl_1/htr.txt new file mode 100644 index 0000000..cd10741 --- /dev/null +++ b/project_1/project_1.runs/impl_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +vivado -log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/hw_wrapper.bit b/project_1/project_1.runs/impl_1/hw_wrapper.bit new file mode 100644 index 0000000000000000000000000000000000000000..ee780e8b38bf5498dcd94dcd8875a43687a9b88f GIT binary patch literal 2083846 zcmeI*PlzN}836FtJ=58piR(@_+1=S)%@%u5kYIX8VM#);0;{qjB0_>UH*VG_h=vUk z@F0~CF^FCi1;xX7P*F$@;vXa+OAh7`kdWZTg9jx!=a8$$?&+THp6RZcs;;W;uIXPd zv;FFO-}k-uel)S-}w5IA8)tAjc{jo;{Nsn_itSZPlUD0 z4?K8z>%q><&2aIxU%Yq7BZTnsU6;bu-L&8R?9s18onX5eH9Ak7=g@l&$So$cU3fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK%iCvjauyX z)M2|!Hhwxx*%%IMIePs>wcFlkRoj2i{qKWOSbbqQE@iY@N|$A8vU=|K%XVbvB|v}x z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&Um?UuRrAbjS0t7|` zHqQNFU>VydSyQAT9v>-0*!+vN9W?vi|WQc?&{bk z)%i}-xi$JZ<1`J_5FkKcR3IL`m|~o<{{7Juy_FCkK!CvV2qf8#y7^?bJXsxqq@Y5^ zdhg>)u+Dm`GTxI9UeDT49svRb2oNAZpk4yWsciernwQosYyE|fq;(J{K!5-N0t5&U zAV7cs0RjXF5FkLHP6BiKw%$XD?s@Id6bS^%7l_MmS!KtSx2x=4uilID?fb3I*2~Rq zkBC5AuXA|YHN0Qmb`34&HS)3WyyUG*fB*pk1PBlyK!5-N0t5&Us6yaJJ5A61yo%m> zPAQOd>Y{LI%4%f<2oNAZ;HV13DF;i7r=+Kblhm2X6Y=D5YP6r<_+@Iw_(Z>Ed?F=M zb$-gS!UW0|xOb6$Zfa8Y=?>|a_H(w=;_)H(f-JsZ!wLdsTMN*?aH`nPe**y58?)hiQ4YeyQu;+I$ z7w%TDLEcy0y!jcoH}9{nZ~Y+SMwd9PqFbLTdiMLNQ*)e1a;}{>KWBUCRS85@H7>hv z>mOxzEZi^3+2OGKdB1zed7Kbd-pyU2fB*pk1PBnQfxtq29^dndaNGCvG3V~VwC&+LnDtUT zYqQf3PXBf>H=EZmLw>xNCmr9_>SN=vBNk~4&NK7(41<+(4bE)kN}omY&@kI-8SJm0t5&UAP~aU(26?_otH-3xyb)`r1SAwD}UM46(^oZ zD~;pMO!sBhkG^5%We>~06q-p0-A`be+f)6BhMm&xUo(2FKcBkLcIU@TJeN9K-Neu^ z+ZuWEvvrk4fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oP98 zfsIBPhrV4#6FvF@7yerYMN~!;JqQpWK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7dXl>*7i%ft2?!=ce6fySg@1+iC#Jy%~Cj>{OutungkL4W`O0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKwvoquD!IJ=XPoW1nMl% z3~PU>^ZxCfz)S>^bAO)6Iu;>7fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5(T6F9j)tr_o+xSp-R`WN=E_iVI3;(E3McmAz}_2LqG zXfY5tyD}IK2k~%N$tbF%tEL1h6IlP`gx1l7n9$4wPF$VYQp1HdhC`!qfeWvW$8txK z+!YE45Fk)UVC~(Va&O@aDJmvFVAcZOcFkJcdISiRA#ncF6S^c5VnPH65FkK+009C7 zj+lUtg%|IJx+m_*;tALh0RjXF5FkKcHUjIP8DA$kH69ZoK!5-N0t5&UAV7csf#nmp zIFIksD$4A;0E!B#Cs2EV)8E>2gw;J)i+YhP>c;Gg009C72oNAZfB=Ca0_Q)rr~3Gw zD;ER^5FkK+009C72oNAZfB*pk1nMOat^KiH8@5{l1S%4!$5-PF4uAK*2g9Q~i0XB; zmAC4(-(}eC2g>+8L0O^Vtcm@+wEVPq&i0F%e($8;e=_gQM)Tf4$`tlkA2!D0RjXF5FkKcAdn13wHmDardHdvSpozI5FkK+009C72oNAZfB*pk1PBl) zC~)G9f(?q7SNav!QStrFyu;@8VoOHF%2g8}K!5-N0t5&UAV6RV1md`J$nHz+9v3#J z-Ew(nex&o6d~0kQh5K)Z5Ir~6wg!RLcc" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + + +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb + open_checkpoint hw_wrapper_routed.dcp + set_property webtalk.parent_dir /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/wt [current_project] + catch { write_mem_info -force hw_wrapper.mmi } + write_bitstream -force hw_wrapper.bit + catch {write_debug_probes -no_partial_ltxfile -quiet -force debug_nets} + catch {file copy -force debug_nets.ltx hw_wrapper.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper.vdi b/project_1/project_1.runs/impl_1/hw_wrapper.vdi new file mode 100644 index 0000000..620b34f --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper.vdi @@ -0,0 +1,430 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:10:58 2017 +# Process ID: 23797 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.45 . Memory (MB): peak = 1354.199 ; gain = 45.016 ; free physical = 8028 ; free virtual = 19485 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +Ending Logic Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7580 ; free virtual = 19074 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1758.691 ; gain = 449.508 ; free physical = 7579 ; free virtual = 19074 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1782.703 ; gain = 0.000 ; free physical = 7578 ; free virtual = 19074 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 13d05ad9a + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: e8dbbce7 + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:00.26 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 +Phase 1 Placer Initialization | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 178d50758 + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 3 Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Ending Placer Task | Checksum: 189ed1a2a + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7571 ; free virtual = 19071 +34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7571 ; free virtual = 19073 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19063 +report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs +Checksum: PlaceDB: cfdf8402 ConstDB: 0 ShapeSum: ba0d9628 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1884.723 ; gain = 45.992 ; free physical = 7515 ; free virtual = 19003 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: c2ecbd17 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7495 ; free virtual = 18983 + +Phase 3 Initial Routing + Number of Nodes with overlaps = 0 +Phase 3 Initial Routing | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 +Phase 4.1 Global Iteration 0 | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 4 Rip-up And Reroute | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 6 Post Hold Fix | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0277309 % + Global Horizontal Routing Utilization = 0.00505515 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 2.94118%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 4.41176%, No Congested Regions. +Phase 7 Route finalize | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7512 ; free virtual = 19000 + +Routing Is Done. +42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1934.754 ; gain = 96.023 ; free physical = 7512 ; free virtual = 19000 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1934.754 ; gain = 0.000 ; free physical = 7512 ; free virtual = 19002 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_routed.rpt -pb hw_wrapper_drc_routed.pb -rpx hw_wrapper_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file hw_wrapper_methodology_drc_routed.rpt -rpx hw_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:11:32 2017... +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:11:40 2017 +# Process ID: 25068 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace +Command: open_checkpoint hw_wrapper_routed.dcp + +Starting open_checkpoint Task + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1087.020 ; gain = 0.000 ; free physical = 8239 ; free virtual = 19727 +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] +Reading XDEF placement. +Reading placer database... +Reading XDEF routing. +Read XDEF File: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 +Restored from archive | CPU: 0.020000 secs | Memory: 0.024139 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 +Command: write_bitstream -force hw_wrapper.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./hw_wrapper.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-186] '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 19:12:01 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. +16 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1739.180 ; gain = 432.000 ; free physical = 7917 ; free virtual = 19424 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:12:01 2017... diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_23797.backup.vdi b/project_1/project_1.runs/impl_1/hw_wrapper_23797.backup.vdi new file mode 100644 index 0000000..4b4fe8b --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_23797.backup.vdi @@ -0,0 +1,370 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:10:58 2017 +# Process ID: 23797 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.45 . Memory (MB): peak = 1354.199 ; gain = 45.016 ; free physical = 8028 ; free virtual = 19485 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +Ending Logic Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7580 ; free virtual = 19074 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1758.691 ; gain = 449.508 ; free physical = 7579 ; free virtual = 19074 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1782.703 ; gain = 0.000 ; free physical = 7578 ; free virtual = 19074 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 13d05ad9a + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: e8dbbce7 + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:00.26 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 +Phase 1 Placer Initialization | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 178d50758 + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 3 Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Ending Placer Task | Checksum: 189ed1a2a + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7571 ; free virtual = 19071 +34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7571 ; free virtual = 19073 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19063 +report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs +Checksum: PlaceDB: cfdf8402 ConstDB: 0 ShapeSum: ba0d9628 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1884.723 ; gain = 45.992 ; free physical = 7515 ; free virtual = 19003 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: c2ecbd17 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7495 ; free virtual = 18983 + +Phase 3 Initial Routing + Number of Nodes with overlaps = 0 +Phase 3 Initial Routing | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 +Phase 4.1 Global Iteration 0 | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 4 Rip-up And Reroute | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 6 Post Hold Fix | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0277309 % + Global Horizontal Routing Utilization = 0.00505515 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 2.94118%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 4.41176%, No Congested Regions. +Phase 7 Route finalize | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7512 ; free virtual = 19000 + +Routing Is Done. +42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1934.754 ; gain = 96.023 ; free physical = 7512 ; free virtual = 19000 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1934.754 ; gain = 0.000 ; free physical = 7512 ; free virtual = 19002 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_routed.rpt -pb hw_wrapper_drc_routed.pb -rpx hw_wrapper_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file hw_wrapper_methodology_drc_routed.rpt -rpx hw_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:11:32 2017... diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt new file mode 100644 index 0000000..61cd6cc --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt @@ -0,0 +1,154 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:32 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_clock_utilization -file hw_wrapper_clock_utilization_routed.rpt +| Design : hw_wrapper +| Device : 7z010-clg400 +| Speed File : -1 PRODUCTION 1.11 2014-09-11 +---------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X1Y0 +8. Clock Region Cell Placement per Global Clock: Region X1Y1 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 48 | 0 | 0 | 0 | +| BUFIO | 0 | 8 | 0 | 0 | 0 | +| BUFMR | 0 | 4 | 0 | 0 | 0 | +| BUFR | 0 | 8 | 0 | 0 | 0 | +| MMCM | 0 | 2 | 0 | 0 | 0 | +| PLL | 0 | 2 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 4 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | IOB_X0Y78 | IOB_X0Y78 | X1Y1 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 1 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 3 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y1 | 0 | 1 | +| Y0 | 0 | 1 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | | | | 4 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y1 | 0 | 3 | +| Y0 | 0 | 1 | ++----+----+----+ + + +7. Clock Region Cell Placement per Global Clock: Region X1Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +8. Clock Region Cell Placement per Global Clock: Region X1Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 3 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y16 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X0Y78 [get_ports clk] + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +#endgroup diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt new file mode 100644 index 0000000..308c4cc --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt @@ -0,0 +1,61 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:21 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_control_sets -verbose -file hw_wrapper_control_sets_placed.rpt +| Design : hw_wrapper +| Device : xc7z010 +--------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Flip-Flop Distribution +3. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Number of unique control sets | 1 | +| Unused register locations in slices containing registers | 4 | ++----------------------------------------------------------+-------+ + + +2. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 4 | 4 | +| No | No | Yes | 0 | 0 | +| No | Yes | No | 0 | 0 | +| Yes | No | No | 0 | 0 | +| Yes | No | Yes | 0 | 0 | +| Yes | Yes | No | 0 | 0 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +3. Detailed Control Set Information +----------------------------------- + ++----------------+---------------+------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+---------------+------------------+------------------+----------------+ +| clk_IBUF_BUFG | | | 4 | 4 | ++----------------+---------------+------------------+------------------+----------------+ + + ++--------+-----------------------+ +| Fanout | Number of ControlSets | ++--------+-----------------------+ +| 4 | 1 | ++--------+-----------------------+ + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt new file mode 100644 index 0000000..5204430 --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt @@ -0,0 +1,41 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:20 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_drc -file hw_wrapper_drc_opted.rpt +| Design : hw_wrapper +| Device : xc7z010clg400-1 +| Speed File : -1 +| Design State : Synthesized +------------------------------------------------------------------------------------ + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: + Ruledeck: default + Max violations: + Violations found: 1 ++--------+----------+--------------------+------------+ +| Rule | Severity | Description | Violations | ++--------+----------+--------------------+------------+ +| ZPS7-1 | Warning | PS7 block required | 1 | ++--------+----------+--------------------+------------+ + +2. REPORT DETAILS +----------------- +ZPS7-1#1 Warning +PS7 block required +The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +Related violations: + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.pb b/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 1 ++--------+----------+--------------------+------------+ +| Rule | Severity | Description | Violations | ++--------+----------+--------------------+------------+ +| ZPS7-1 | Warning | PS7 block required | 1 | ++--------+----------+--------------------+------------+ + +2. REPORT DETAILS +----------------- +ZPS7-1#1 Warning +PS7 block required +The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +Related violations: + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..b3d278c0dc192030c14a0d6a5ccc3b6381a06945 GIT binary patch literal 353 zcmcJKK}!QM5QP&KYEi^eC}_P5^(MHuE2!tzlc!Qep_j0mOm~B8vYjNfy?OK~{#lQj zMgM|xdCdDB^O#e{SbsDajeGfJcAZ{L4(C(xr0oJ-XuO+6yq{!gK0K*6VnapSA_+xO zkPRMHO6~UeJI+zfTef9yoV|1Y!P#eWaJQJj-twZfN&i&q00Ne>@2%wQ}I|MbQy_F1Fyk(C=r4~1=@hGwS(o(tU;mEmFYZ73JJUg fG)37UNK1s$$Hc0LFU8%gbQP%Z+M4wKzYBf + Max violations: + Violations found: 4 ++-----------+----------+-----------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-----------------------------+------------+ +| TIMING-17 | Warning | Non-clocked sequential cell | 4 | ++-----------+----------+-----------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Warning +Non-clocked sequential cell +The clock pin device/q_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#2 Warning +Non-clocked sequential cell +The clock pin device/q_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#3 Warning +Non-clocked sequential cell +The clock pin device/q_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#4 Warning +Non-clocked sequential cell +The clock pin device/q_reg[3]/C is not reached by a timing clock +Related violations: + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..9e5d1c46d9a2a6dbd951849482a24756e7f5cf86 GIT binary patch literal 1041 zcmbu)&rZTX5C-rqC5RX!(MU|WOfX(h{v%eDyIJbE-f zq0it8Xa%d`1j+7oX1;HKMM6lnkS}N%qpqJ-&C>Qw!@6Vq7Q?X@g^%$#r|MN>_sE@C z6JZAd3Tudw%N_3V{>*X&wFDnW=u|~8J@|^>j++k1l)Nb9RY|`oryRCMsb5+sL%f$}&E7aq3fDVK>8lxXE+XIT8XKelL9qJdP zaoKed=DQFu9~^vU6l)_(VE>`st2Kdz;PVIs+SHBXo@QV}#D;O@;_O;F;WM+J+skJ1 zDNSiYrJPO5=f;$z(O6;BrHyMPEE!J{qu!evRY{|{!e~ev*GgD29w$a)VN6RJPgfXC OY2#W6OU80yG<)9wt48hs literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..7d3d729aa79cda0ee30652bff56552b3d8f27ee5 GIT binary patch literal 209589 zcmagF19)XY(mo#BHYS|d#w3}EZQHi(OzccDv2EM7ZQD+MH@o|NyZh&Ps_U())7_^} zcc16hdGEcl5};scKtMoHKxTiqB~qpa8-1aGfII*N9uPHk(Q&cYv$Zv{r*pLi_J9g} zRJC+fW@i5-NCY?-IhmNhkcfkg*>$B{^<5rU1Z7ct)SOo}>xbMgyip9o9o)KBeOe-E zdm?BgC}=NV;8nE%)d)-C?;xN~O2s#XkCcxz&6L z7w5;=uawFB>Z2<5ki>)^xHt`P%-F2TxynrD6~a=e(UWz(E9b{<4w|6*aYnqAn%-d- zo@PI#xXmld$dnf)Nm`OCehPFJtY>-^&rc#^pk-dZG!orpe~jLyDpaO(UPz&Pfzn4B z=gwDX&0Cu{Ua;Z-#aX1IJnk7kESEo@~WldUyn{7-ERzed5!}3yo4Be z^6jbp616LorIB5R#-Y?|;5_RB3G6)mc?>~8|M^zqS7yOvmkF)cx_SFm(NRpcTF$?v z=I_iiCl{Qg(LCc5vnM=usjn56Kj0DL`Dk9cT7V9SKJW2ydXn%|6G1Q1)j@p*kdk9n z&ez(@m9+8-y7364nA8meC)G%AzQtmOwL#s)7~%v~OF-5{EYDR@Ft(B^CzDRc!f9_J zm#)EKrXYeZ2m#k-*XCz?>nZhwfA3J;fkl9GqrR&8t}D@%Qdv7pxfJ{D{%uDe`?!X9Vnm?)kkoP^=3WuW&0<}JJ5k3f_1Zx~l-{e(aw-|2v~bDprS z;QA?i3$Gd8Y*dA%7!r-CBItqWWj&!?qjnN>N66vkHs4Q~z-rzcZWLL7nq2RM6qS6*x0PwvnO9J)qts-e2KAm%do3cfP1 ztpcXm272>>bCh}<`#En@VIH>&`Zpply@DsuQb7~Q6MBCqkhhdw8hF$--xllda9@@0 zbk$RgbfD7Wz~Di?{(?UQh_m>Jv$Bw44)&duCTJ6?c}a{uo5y^|b!8+X7MVat7Bb?n zW|=_Q{CSBPjg24@it!V%9GF1KmugWA#ErYaJf!ve3?a~hc+8n=$_8B9 z-bhp3AY3;7u+b8TO+2RK!9HcRDOOh7BS%&;{zRj+*yGJpVt5yiyg+zbrkwRXumCAl z&~)oDbc(KCd256JjCBJlP?wUrL$C5!xS%oMe%u$SC@v2<@(*LDt%QGxH3;^Qm~71O+bq5N?$CF6Ws;f$#S74tlv!u{;ambadX z2vyvs?jXG(jXlvbyz`r&OcSLqXB_N9ChyaTu3#olqTR;HA+W=1jUu95b~S+kn*slb&A;HCZ_YGB zPg3eJ*SJi{@a~HL%40B#I)d(EqHhnUvD)$|d-{8!iW3!zoTS9x z4{U>_{6>h~#F*#n3gyk*nIu{Fi&;|hr3!vCD)}6tKH|$R~}bpnOR})Z-ijaNYD5?f$@S-amJiiIE-n4Cs?>4a*$F% zIDs)?Z3^Ke;8U9+cwskroSOaPxMe@1Vb|<&p`2Yq#d?=qyF!~S?cTM-ZQg!ib>8*j zZY;EMWn9Mket>cJ;{8~u^nz~vLt#3WwY*eF$fMccA?15wZBSc0|I+GELdLWHXSWYo z`iDqjeBJL9EUuCL=)dpsdV^vnoD|PK6@96F?O3JaM#W*sH_iFZk$FEG}Igdhm@KDUxD)llYTk+5%4cNuIwUSB; z#O(S@uiM!A3BKNB5D|^j&_jue&>?j%@*%}sIM6VNPI4lH<;}LCgYAK~p@JDMc3^@T zR({Yx4T<9m{CL<8iP}Ql&}lnYzqCz~ZIG=fJDfeuzpEwuXoTV6+@R#}C|qQ>_Bj4% z!wK+lNWNRsGq04DChbDEX^K8SX{A$X^W$$QJ=Cgr^1E89_y$1W+ocL^$L1Z#9)ngG zCjgEawBj7u_al}KI07L-L90$x zVUvU5hYXtOjlK5^7Q*4TAC}hXpO@86hw#R&9HD%kkC>$58@s(Bw|6oh`}sa%Vs~D+ zR>r4WH#!yE_U^f;olo(enr%OkaTjg_)^E&ikE7GEp6S$GN5>Ci+WPq$y7xDw(?~%T zYSgWtqPJezB6dbMrqZQ{tRHaBK5@XAKkettxG01v6NmQPnk6>Esxp~x&@BB;8@`F1 zW%h>lO#*Lc$H`rZs~Zwbd?Wllwh%=_O3#g$Q!TJG02U zi-GuqDYGcNnNAzTi-CP6k3xzM{$#`Kc=QZ>grW0yImTjnN!PSkHc#6!L5Yc z579cjY@kEfu)5eUc^}<1L(A+B6Ow!jd*k2GzaOv@us%N@^UH3{&HTp06_WW`&FHvq z`(}krp8+aqT9omDo@kt`3@dSK^?06pe@vmAp(ukLzTZNkQ&{Xe-dy6LAUQLS;Bn^*jLbg7U&3;C*P*)SMxf+!7xyXw z>U%>}6|w#HYJa2R7u|@{ka30ySvF;U<-4ujyKozm?nH4gcavF^gzCebibyTuLU}7&GOIq zWtJq9_|=o>6|Cfp5OjxiDu-X)f}&XU@e%um`g3Nv*ri8*v>2CvxY;u@5gXl;8nmH> zFkz?Gj&L{W@8fG$=bZlbC&_@^+$CBWQ_h>U6LA5ZIjtXl1Gh+|F8FI-q)FAB>NyUl|cK`vlMS}Z$LYdCts6LbJfOZE}#``_f za`@jVL86cYBMWany|9C&1n@a+&@Jz? zAE!^--(p3<169+ByR%=wG@bVEyi3XusQZsV5XO?TvoPK2rUQNWz#TtoQa%V;&l93@ z%$SW*tRk$bXUWVA9RIZ99aXK(ORAZm=Ek%0KjnUtR+-$NfN)Tmy`9i+!Qk2_ZSlG| zX6JtEz1qq1jN#D@d9~vsguYy+F+9rhSxffEinr2Caomwm7pKS%uHi6 z^pbz}t-Sm1G?x)xby_k1&V)n6alQd}`U@ zSglc}NViI{&`z-*=OZF-c2I1)mo`rOF<^o#YCOVYlhrp|Yb7IG3WAeqMU@~T@SRu$GcDGYE9SLS|JQynyS__o4WU^UC1 zKWbU4qn$yX6lglY=njL76<$`Vs^%|BaUSscCVFJm>Qv*!OoaeDDJVY!5$$JmovYA@ zkTOUVoL$RVfj3OXIZ`EO>Z8Yum?lX+@8qtKq+28?S&l25et=M zsm(A8qLY$JFv;s*gP^43yIidYXI$zVn7)*qoiBk72Y*LxQ?Z`Kbh9};Ej?p-m%{Zr z+hj2EMFf(cSad_BCe}mW0Jx~)iLugFGloBl^4TSyHv>!5xnyQ4)67ATQ%DpZ9<$n< zcQ{eb>3NA#k+Xw081}uRfnqI>jyZ+=pvGdq-=@iG{GmKNyx|c%Z_7UP>i?A&`o7@kDE7FFPrMZc)3! zXacTuwi#If8AK+e5VP?J+U30S*&}wmUA8P-ZNxovqbylzx-2O|w(5X_WH zmu8q>w{&QLaor~3cY-3Aj}TlYdUS2gHII7nI#!*+6dkZq^m8DNyju9RG3=E_?JD#k zIBNjUw?X8m915!$gUT5`KXN_dn&F@2fRwlii8GF{`hFWhw91naLgYb@8B74(U?!H` zFNWfIL-Kmr|6R61_k&bngI(#NW6MD4hdF5!9q~LPse#IvBv4+esrxZ>Ohh5@&C#+J z<0MI!1M$_BR@|;aB0~L-j7MALaS5F+0haVS7W~GE3vw-#4v6Uwr5#ASF8Mkw>np0j3;A4ZmP>)p)QWGI zTC9VqA%9Q<8mA=LoliYtK}7skOdhQRY4lE>YK#sLgwU3B378hkiL>6S6%!$oJI?Vh zUS>zD(K7h;JxttP^tYQ|MDR&|@xvaB5b9z^kDGW!^0!SLEm``=_2%7gp0^6_e-`60 ziYQW(FVJ$~8Cc|~W?8?V(&Xn@`l;u*&C4(@)8>#lG(U<@vfI(dI@W-jhh3lx1zEC( z`b`?8OTtHCH@$}YHU1D_bas%#98J$lJRYxaYff+o`5jh#(5GauYdF~&A>P)yOG&b5 zfHb10!6YBz&iljY74h#L0ok;Lz{eB+e<#Hsy(P|RfMgg9;{TQuyBHex$B!d`5W@vt z^1)jkE52~G#<<#{N_l#VL}JQ_;{YSPW8VZBH`R~c*Jbh&0x7Uq_n%BwrDo~RTK8iZ zvK;&{X(QIqtIRz%4@1vCIpvND(g)G1DeSw|;kq&{mG~pTE0GTWBNMb_0vLdPWv*A6y3T~?*%=TTPr!}f1;h8~o}lW<=Ecf^ z7zz2bA2AI4kks+G;Du+0uYEm<@g#g0#UV`wrSl$cE9Cn#a zK~y^g=^>xl#dKloX)oQLf7I5SJQVGNuRA2ux3BvoOkao00q^GPcC`1?uFr$}Q@4!IlL)^z??)~F z)9&TxQ?&Qh%Y}^hjgI%H%;5D-A^+1bV&`Wr;m7Ue=P}~v-eBjW$L8lS;oCLh=Nlwu zuV-~j3SIEAKDUn= z83Xf8S|NC+;iZMAqaM~hEO56B70#Hw{VoG`otsjt_gDlK z+8gbiSs)$EXU?-ESmxAv<+cvbdVrWj?Iyc5=+u+SW zK928WanQwABACfC)vA(R*b#v@Rfd~WT2TuT#j)8=iMw9y2*J6KCE+)N)KzYsnM3dv zlcOi;DJB@TJx%y0cAXp3+2#xu1@h8D7DrD{cV|(_Qs@TEQXhJqH^77NDr}(s3JsWH zCP*?toH=@O@u3P>5eCn@*RDv-J@mfspYHaCHA@{OyCH}#UqHqk+q!#}bD7}QShMdk zTngfv^Epo=B_I#YyEkfF79)og4!XJEg{~-+r`c&vW-q^n@3tlv?w*dK)_cK?tcMIp zDOAO*_qV3GAPSAKB4Dn%@msR(W{{E@ulyvZG*Y)I^qLwaPk6j=baV0K)vnE#RKkSV zZ|m%MKf8Z^x_iS(kn)rrw@y8L$C|^q>FD%oYH9OoA@0QzsvXc{y$k@pxpMt{JGS#| z|G2yP_z*o{v=+pDd_6bjK6{vBm+%j1)wS!h3BVBZO1rm?0Ox4{kLf=X40ue-I#LBG z93l(U4>@;VxmXmi(Cm3kMG>sfRTt>EH;;J!BlAqbls{gzA+zf&k$(5_oC)@^{qh{= z06BiHtie>fE_o+4HL`tt|IQqpoE3W+xQ+Hg|0V?Cj!F}2izC`?sN9QJMx%N?zU}Jq zyw7A>psPqc+XUO*3QJSjnHws+c^_Db@Z9v1}MunsXlX<5zQh2^e| zI_UCm$s+T7+5{kN1q%@R8wjVg6gsvK)XaZ8u#mf#Y%-z>g>1p|mRPZm++kXDPil9Q zD;FCFC2-=qmnU1Ls>v#M2{`v?C@bWrt+9| zXvCLebk@f;%(+;vvdv$Op)eX(gP!ErqzS58sVzH{$|4-tL&@9^8dUn4U&1&j#Gum#;$8VVWsfL`}$C z-xB6(pw0jIcLyKqvC8?-K>IKC&G@dtms;6!g1UY56u^iI2>({?O;AhhUNG$2hog z#E5&@9Q!gPV~5SfzqxecEEr(PfQnr^;+DnN)xy#R@*H}vfD_guk+MH;&bN`fROeD| zA3xvRy$NnOW8NWY3SJ4bKw8pe*hC>-7LoWnz$fuZb*uUpLa(D0Orb!Z4JDo(x@B>? zqt3mwbJ$gOykF0DzLX;#`E-8Fe)50pC-c2*9pDmrUSay&pMTzY;0bB-d>p;{Tm@um z;&pr$Zhpxz;zxY=DgSfjM_T6FRrJS`%=eZwdODxGTv+e7<9-=$q@5A|&u+qx-OcxW z*|~m5LZ3HA%$IJ+&u#XCWBQMi#n0Bt!NJXEj=R3oM`fLh!p|e2iu+#KYZd+%{?Go; zmrt){M5Fh`Cbxjyq;DGwLN2=bq*{Ey(RlDJzX7; zXMK>uz`cm>lI^xT{kJQ1pGvVll;9LlJ71(f|KYyKu*uNq(OjB=me z>kgR$;cW2j37S9HP-l0r@-|cM&88rsb0B(ihu7yN!YHWj+M_S4yK09WYkD)9koI^5nQrMfHBsfNDo3HGbLkexJvyGY|)W-n{2) z{B(lYx{lJ|4Zp|3d(NzMEn4C}?E$JO0eV}sfx%4?UWE`h3E@hc_z+(Y)pqtni{yH?jzQ zxQ-m*`zGx*{^=Qits@bAs5Z>b_dFu=X@Sk~*7=#i5a-4*D9ZPt7O`ibNBZ_=Ov)b~ z>&c8gTv;!CGp-X6n-{v{k{j!JyQvegm)kEoVNaU*M#FX!R)y^CT^pO(FWix6xwoi+ z`@BFuApEnB@g1@!))G)l=M~VUMUUvy^SG!t2FbHCQ{1L$*E}7{z!+G$31s*@vG=6UP`rdq1 zVv1I8U4r2eMY0{tfWCW5qD$mg8(6EhKW{qOH42>PN}m*?qe8?wngVMx~JHFrz&@E)Xa#Q3$ z1;lT_vW23+bKkwh*?;LEWO>Gdq!ft)ODg68kyFV7p`w3($w(dWq8MJv>>l`lV|y^E zs^$-v_B|#$ZVU~!rWf;pAQ739n2AOlLcfo@tCxtXPtL2k`nsGCH^@uHrrRKRve??-8qch2$OpSzr4!44?tWKS=Df2KL0_&Kd?UZqx0qn4k% zggGCQu4Kd?;qgwNRAe6Ed8AHMQ>gFWqoy0k%yQxsCTjCf17Kd8Kem(gS1E7w#ohyZ zgK7HV^v3|ouSSA%8yY#s^XU)o&BRVMacb_&M|Ns6rNi5g48rmw&yCI7-1)zguW93T zaktM=A6x(<_}0w={P6IlQL9r7wirWYsKdM^9(T!EO2%>e=aju+<%B5n-&kWFl5x(P zF_S#C%vfUw;nZ_%GiQ@L&Oyvs^NO_41&8;ahhu7W1*a5TCKEYMT7W^8=hlCL14jAf zOV~shifQDcjAPV*S^7T!vL&_e=)AwG;1sL%$<>YHZ$$^kw2$>MQ>gHA_V)z*B*9~d z3}HJfJT0n(T#29=FT}`;VP2{PYyEF226FJxSQ!j(xKBOZm4ew^I$8e^TPxXg`u`Nw zbo&1lG#VOiB&pEb6}Gn<$E?q_26JiC(u2T%!On_0D@C1>gP_L$z@c)T`Gjrh0bJuh zL5TaC(@EbP1yb;@(T@oRv@|O*i$FNUELo49EL0eeUvLS5JsTLH4B1n^`?{o$epj$E zuMC7&I)))2?Uu#{T#(`fs?8Z9Gm{_L=L%pY$|&y#BpOtmsH(}AmpPY}aVaZ8+7g2* z0bdVyiGb3l<w+mlkff{Dm}lUy}~< z7an(~Nt=KR;H3t{<3fb`vHpg(Yy~|tC)^!W+wr2|1PlAw83D|#u z7zKt)0>z?%WKc!$E%oJKi%HZY(q!z56jr#>M-pCrPzDG-cWG35{&jhxfrVIoeK=F! zy84cF*$BJxK5l7Lq!J{zwj`EQ)oFjA94EKV&#K3Mum-jU@K6H_0|_7wT#!DyXG=oB zs4gPhm;Vw)of;YVb5tZtL2p@#0ixD`Xa|wDzrH$~%xukXjH{+DGG*&66l!+cuteOZ zz7cqu5r%YN zsu;M&Aj2ifyhQHa^0i$Am49{d&-%@S|IsTn-6(UgL?jR`Y&8yTcmobCY#R=GLk!IZ z7E})g3e6aOw^ii)6%eKLsyMsBG1HoO^0smdTqA%cDnjuHh6|^vUL5{1>#$!tzdW09 zfamfW(e4@iD{*PsNLA77E`R$d&I7rEy1Pe{y(tC^)C3e4`G5B+m;`(q&=FMSu&t@bY^k+_BWqR*5SQ?Td4e6xiJ=?1;KH9lx&8mDOA0RW z8>%XXK`I6Zt+~!jR!tEhP(t8&8>&i%Ecxxv38LT;MlKbb0IE<#tn~|Sx-JacS_~%s z0jf)XD-i?B0{t0OQc4`GJ8jML$4IpZ2k`+8R--G*u*;gFH`uoaqpEPUDhAAB8ra1k z_*bDyt@1o<;ve9;^YxJ-pp^$}WPME$mJgcM)BF9|`~7XK1xpp;a53!>I@Vxl0!3_$ zcE7>vP!X@M*~$GHXZyUVt6*=s{$ZM)9NEL;@-!56kAQS=(Dn`xRc{ZPoh{pz?AII_ z;B>_c16sM(j8>?N>PM*e0)9I%WZJgH6OhQ*zHG)g4i;e)$U#x^X{_PBqu6+n34$;lQ6{*(yc@?bp$s~^ zp;@wtcvQERKF)U0-r2ps+Izs}@zV4+NcCccm~JstOx&^w%<io?_~VN{nZp1eO>h56tv5?4jGxFcCl@C4Pq;M{z)x(le+ehgHYbLdat>0X z?FCw?&@BC>8l-H&;M-8IMEwtaS_xCmb_lexpltODTvuMcA`AFlb`&Vf%4*&i?8oX# z$$B(Qd4u>rD)a!=X@&2VKRBnyZ#xUb>*dBco3ZXH`FySJd?sKUXx~r%kMXrnRoU*;;NLq<|+s8R~< z2a{!|fN^xl`SDM{WBd`10^Y(Ymz9S4PjHAAU^hW;X;#4?deO{6qe7Uz~LK2a411XEcBij;o1X+pGUeh5Wp#DF8J*lBD`= zH_v1R>BqJ4qPc7@QZ0cXR| zHc)j#glz347_5OPzY?HV=7f+>6jeV#jN4bez{wKGvEc6HQR`Q|aI8(~56}ZF(7wvC zwv2FTPK~a%h7=xRMbc201*?r2RX1uTghs$fw@~d?^h+4lZCM%C`AQkq=`MFMR)EQY z7WI$%T3j>z&}f4@!k|aU>JRT#z51osk7)e%n?xQe`A@mu=-5J6KMA|-^$TiFi=`lxG(3%sNBe& z5t??TXga4EhgQ8}dWM8m-MvzHH6|MatNXkTAz`{pmve!t#ZLg%fDoka&Lt9KwP;#j zgcYW4ZOJ4OgqGb|C-=vwitOkdOqP*9ExmG29LDBhaY_I8i|#=CE{U#rj7*g?EEWBd zeYtRIlhPy|+KRvB>KpY<_6_FGOFQCL#aiN4VY22L7z3l8&5ruaI?8_H1^O)H<9jGL z+>vmhAO@X=29-2`uRY$?`i5d~^OIKus$>&S*{| zv7E1>MrRZVm6H~%1`^}kDQx4x(x8iEzF3fg+qc>;-2Fdg-Z=?Jo3_F^2t)JyTYfP1 zlX!^~{f2m^S-nyR%tMWQntgB}riG$KKDdfSDWFt?4+;fq2NGi;g4B)vU?9*ng$&RY zLaCCbz$!a|hVTFaD@DJW!K>HTwyodMv@LUL8t>y+;IcCK&RChntcPWquAAlL@3#Ow zpf0jX1ZHrbLyO^G?f&dU)EDB3W8Q5>@8!lQSV>@Ie;SJ$t?99M2KW?+A~Y9OzznODs8+dYrqM^T7- z_((Z<{hqi6{k-F<)^G>I24o4AqAuoR*Y>hgw2&83lE{*4K0i)Lr&4}^twil3JWmIl zyPsG{5E{H*pa*l#7OfW@=$s~M+8Fi)D(YVb0dK4=ov0yasG#8#RraXu5<9kr^b?0b z!wRZlDX)OL_K0okAAF-WEXePXa#DesJg)scM+Y~yxyj0bC;8~v?qy(ACa*ZT3O*v*KvaHt>Js!8-w+xdZ>%`|1C3243CB+ zwlt(OX@5=~VkNA==zE8DAwqERt?iqZw-*pptU*jG*1)DTo1wEfkI3X#vy(+h?@+=5 zwZFxdM@#M9j$hBFJ!bB3VWKR!?e9yHo(=YM>3&iqAJ|rXVj?_z_H=*fa4dboLcaQJ z>wePzUBTd9;|Y$1-;lZj4DJB#RLddwzJjyYeetheyTwG+Z&|E`OLC}rKvAkD%%wWf zRs2O6ekf4%+cQw4R1RH^5vH!KNeS?*Hqy2F`6aC$X94uCB&clGzC_V~$V|}Cu@G{OmP4cI;G8rVHJwSZT*xU3EXtK9Fb4&iw)8vhrZ z{{0dL5wLsKlAgb4bK#H2?9qC7St_@%)l5)V=HA4z2=-=G2;^mWiXk^qiXlPC`04%% z0!9U@w)XAj5DS{uYpK)(Iu1q!Q<{JDSX7M3#}l!o9JF75OJnR4T!K2Z`AoZ}#78}z za}N1;W&zn0=dR#rf~itXGbHb$@0TN9dnw9$$yITlxtz?L0AfFKT zZB_acR9ZjQvtBaRjE`GcXGzZ}e|$)-Npw(33p^leM-}w|N8Hn$Ry6(zKXB z&<-a-5JYwprt3|gsL-$cu}u?z^rRfdStGFO3Wl>2`GB`J^8#fe}Gc+ic zA3)(6mC{92om@ec8g%l^XDMtWFVgdp@L@lhZjA_IXqu7l=u#rV1%q_|3&fd`mE9w% zX50gn2x-r|t5SX3wyyZ>Jq}1@uIb4gN=vf@ph}wZsB8yw8kdm=@xwQgMDSc_S`526 zE>y2dGWFJ~d)5)iWR)32IGd0xAy%$Mu+sc86q6PVRD+7gTeN5ylY&~+^=Y19sc0*Y zY_u>I&@tYsDttSSAYy?l^AaI^q@szF$BuVym8l}Csn>&Kd% zIE+{$w7(-_=vhtPOQ$p(rp`a$v5(a8ityxNew!n?PC8RDgv0md;+Y!UAg)+D^DljN z?p88w+Bykc^3PTMZHpzr=%@dkT-fdJ)gS*d-EqXr4ZoG|AM){|{?vz^Tjn*Ywye!G z95YngqNRZ(6>S0n-!c!I3NF;U`<@-9&@gR>=vt&HB<0Tnd$O zjQ|Mic8%aj!7d3ye+?_9wl@DB*VVjG9qeOh5n{cyHMx)K6&?oZSR;%_R*{hNjMee? zOTx;`+h!A?1daYagE98U6Ep|o6_V*+VDpWz6%Q1-rpFIt6L}AB*pQH@6(@_oSXU-m znpfu~V1nl@K!tl1HQzS|fAaULfxdCJKx9=?%y&sY1H$LL6gi!5j{<1^4TvUK7-(^YM?!l} zOoy=)bqca_<*)(hBIiWyAQ@zuee%TQg+b+AveRsZukm>qB-La%{31zm4TWT$z?>30gi^tnB;O_r|xMLM?! zWT$g{7)ncJGuBb$7M#aEVW8Tku&UTe?)`>hzb1tNE4i?AV4|4A+nN zS*&xX;pBcNGG;PV0A_ZI`Hxpfvi8rLnQ&2W68sh@g#-elMM?9HWP!K55m|+-vyIq* zC-apUXmZZzD+-@9v^O)8#`75=@s4C2chTX}FsUPD>0y$=3Z>Hg9pMjimO8cBEJF}8 z*|=l~GB*G;7LZ9C$0ds=w<)&ln9~%`)Xgjy;{WmD7u2@vnfedRX$CMA%`2YO@wrEc&W1c2RXXqAek)fe2ZCZQR zS}UHdEOiz)LPNEvXP=WXj}feCC1c;r9Kf{VT(vY`d-uEc?yUB&zj3o+N}~O~xOI}n zl-O_L!=gWrTqk(uz+}lx%(R%ZQQ9wkv%T8~4ja6xXO#m%qIe>-tC^gC{yrXQoWOT^ z@Rh5=#LP-+T#IfdWBL~Tq%w%nVwjtz1pb@lW^EOjZ<(Qy4bdFQUd+qcti~vzbVcD0 zHnlJUZy-CixO-lE(nARY{NYY+K@foC^IqytorJvH}k~~Hb zNM0`Sf8zn`_vYa$A!&=dPs6AKg#2_IOcVBf+=8n}j!7<^1 zX=owJzS49LO-W}Kt*L2lw!dm4DI9hFP6jdqh)dAX{|HV_Q^RdjK6jr^CNe3Rk5xTN zch1yFH`fmm?w?$&C?q^E%)FQY?vHG)<1R>mHWP!DEt2NS1CcG7czKfm6>^eKK-2@+ zO2)6{!wuUKj%x2WMv?z!Vks-i|C56KB4n+9{hNXXFtH4ExhF&xi77g*->@)fU%7i_ zEgQ(nk(qdW1<%8>lVF1>>wp>OP+&Y{;YtDpJ+kKss`k0Ctgr1;vie8${BasPM#Yg~ z2JG5d-u3YoCFGa@CK}e4N!{m&P}tq9mqJP50wxzF2HjH>fExmxYi~_J9x*ZwF;g>3 z6p`a90(uk!LLOm|KKl)E`z;uHr^yqBn<46SKiVJUF9t8x;Qh=unB69A^+4jkJ3bM+ z?$1h4eRl12eF7Y`EsRm8`BvV5pxG_(b{(Xjo#nYFQ!i0f*o_2&sO@?R1C_~S7~ql* z>7lxZU&d(i6)d`_YDZx52!!68UNanDFEI4R{b1nq4|PQ>FgAh;kewydXNdu%LE+JR z5Wgo5e}S!rYyV*)+tsJ=A*4a8U2k6w>$K-F^&WHGM;Qv~o{2-~@4KZ-IL3Q>fO^F_5d_Teg zr0zYm`d72)sRi46^naL_Q-B`SI*wB?RN#_vfYp<{SpTO`SrWs$=)po`G{orYc|%)U zlm$cnuv<}X!ItT``LCW{ z#goM#Hpap4F9$I2mFvKNH3pEkVfgF+AD)(xKadqQUKO};Q#nJg6)vQuA2x>4PB9yx zf1Y^+*OD(XSf>6fM$EKD90%y0;M@O`mq7gOVtI-&az7p{TR*-HMZt`976`4-ih_*? zdPmK;3soaHXyB?ZNiUl*SDdH%YhV-&0J{0Qk8LZA_Umf@it*e5?z%ieH4d&Z&af$w zw6~m;B#9JI;cV-RVn(p;L-+^b{Qu{%;NfMsG1JgsOtxWT814RH|GLJlG2pr;8zZPd zb@xt*gg~aJr&X9()s>@FNAzc>Of)aBuPw;0EnhS^AXYSEYIN;%j0wKuaNiT*-7&DY zfWXcTU{-Sh6l>#1qn*qe2l=VW5<+-m+0(b=WGlzzZX*deprxDM5nmeq7096*2Oofe z1~p_)j~!DhB2B>QZW!hSbnHZ_lMksi02wNYOFX|V86^-WE;WITmnW-;jW1Zw%$XZ} zXKAnfCqwu*HdVz(FbGk&7*I_L7|}I33*oH2J-P;P-eK1WJ)U{RTTk}Eh|Dyb{>>_c zWS5-${n^a~F@CZa*9JjlDWY+`tDDR8&c2pNxJP2VL!B503@Y@X&A06#nLKKwJGQVu z?~)UYw7`yPCa`l^b5wiPqcLV!3jZ-_y3^ufoDAQ)7x>rppMqgE7WZmP3uA)3Mi^`q z)f;&s+-$K^q$tpl-(#oXP@z4@W2f#=p%XLfw_-EUapWY8^*W6(9yI<1`g)yYC=VLn z08sO`C3|k<_E5*1IP3dU>6FK}!6Mi^5pv3TT(9Wa2hEvE%up$oWi_HIoAyoM)@RLP09CZ%2% z2!1iL3dDf5Z!2cll?wXWasLYH4YU?~?PRUlujM{gdKCh)ip)L{^=&9nX+i$w@a922 zJlz+#r+cy=B9(L0n89y_0^WRoR_s4-e#63vwx_I6IGo)t#cG#|r{1eLTQHo>D#>b= zqrFq>ZA@-r*XvL$l|xWwBA@n?S9LO1e90W=7wsNyNLOv5tnU;9rn1rXh*YgwvZ+>M zj4J(~pYFq?GZN&n+Du`0aiW7Y<@Y?5q~nrN!wOS=Ff1~`*K$m?EC!HW>H;^F0?YYs zf0@fn$$Y7HduNTwE7eR@=Nsx(3ECTBoW#_CG=e;R%S*s5(Ix0Q;iFV*%%d}iv^3bV zEJA>eR_^e-y@C=`2&w~gsxzB&88w~HM}91aZ3wW<++sw3{mw69jQGG3tY5y z$((y=os)O{-zSMvuy6)(LXT8u1?_;F?{XOAg5T6hUr$@EfvG#Zis_PxeUMs6tyxvC zly|{xJc_ogqA}LYWz{Ga$LZ6=Shf;-NGA5xDx3i_f^+>^jLKrKCJb|)&)>wOoOaTVMA4N9{W2k~9d?_9g)9Hh?DZNYSzpj>W$^vD2$ z{>p+5SowmdXCz%jwfPo`8quC>4wNpyUK3hSjLs*mL#i^k-#=NkwLcM_wyCE1>~|op zn!1wOPwZE!E|uWb9e@VS?VpD$8gLIU#kTF9hilqe>I7-c-3FK25*`v>J^I$$g^3Ke z2G!klj0C5N)}2M0y!Q(khUbFpZX&s!g8!G0nmAJCX;WLfhpT&Vc(!PNJu0O+eRz)2 zr@f=5dyf8`a?%4RGMNN4=xIyo^kUbqL3mB~JikP4F`W+I;&5aZ+v{ZPs0Z*V{cu+G zv;RZaJ3z_RbPc1Ev2A5+8$HNmkg<`mGPa$uZQHhO+qP}zcAocr{{Mb=eRr+R+EsO` zd!4R2UA6ZWOnNkmG;9hQAymyIFBHrq{T0?w^%)Fq(0Nf@ zeFItezAynpzmXvZysA+%W0!lwsI+BC+Ryu%_9!#eZtL0+Tbpzjd?3J6VEHyw%oUMI+Q{Xt2B4L0@a4GG zBezakuVU7(b}bpi*u`V{@IWPMML7sHs1hl;BMU9afP2nfh23?9Z|);wTpQbsX{%=1v9Pmj*dY!bjCW3q&hzaJy5%cTJ6CT zxvkCU*XfghzK**-Xs2!Xt&HOoWq1Iuns5;QOfXK*1X|L#Z>GVfS{4)W@vS!kk&|m3 z7PsJO=Gk6=v#LyUUYnq69>=yM%u*J(f|6N?lj!Nt@ADf=+0)TsM2lpmDoucM>#-{8 z^cqp1a-ehAGU7#GdE$1;b;ET+q zuYF8gVOl1k38m6vm?6q=!$B#>4K>?*zs+01>D-ky^fTgin&rC0UErm^@R!T$c5^Ya z6UNz}&3`Sec6hou>#+l2%YsTARqF(WKLEXZQzj5zDBg@9uJ=TUdng8I-c9Hgh3y0@ zDEb|a#w*x{Az+~`rgItrepX+Bq_+A0g)n;>|D1GV_!O3THYiUa!c{r=jupz>5XeQm z5O}Z+TNg48kk_wK7-32&o!d$2+H|5_T-qdm_q2Hqk#-Wc8yk^OQgA!?Lk8J6*YJRDW!Un_Ldd{oWO-c)YZxf;T9NLWFK_>+4CoC zI4B$H9#ywXo8$MlEmPvsu9EcRq{4~%3&BySG-mj-3GBcJBltcy)sT}{6sxlqXenJpCVJ<#B0>y1 z{T7LyrldrD-4_jhX&(mN3!AcAEgd8TXvG}ek3J;pSv#3Lm(h=iCsBy38OS= z38CbU?My4h7>3|mCTgV*z)`KS8$Z#soX!GP1(O3pF%4TkMn2EXo{XLaBy=o*qeUvp z1)mHx(oeY8Hu^`C3>P9Q%+NQI9j+f__)sDKdqlKfBK^jJwx3*xEN250fEDiYqM}n3 zT%w$QSBqYCRycDlHBEDp9C~upRfT_G|dLNt6@<{%Z2)(%aECSX@tY85mB)4*+Z^Titqlr zcgYaiKjFzcL|&h zcZR2sBmdv*`iGcTAk4mTGWG%SDKhe`!Vf<%P`yVOZ$ngE;a{=bCdhbx+R7X2e?D(s zxxY$@8S>oHIJBvC14G7|?6HmKKu&PF5^!}M)q4U~0^~B9khgo!g|hLJR0yQhxOEAn zsIb~COsVj6Y}hF{tL*H!!z>Vm87=uuQ6sd`5h zfP2yFtg=5#qoK=$NG#BE-_870?VOpq@39kO6k5hc6>#o+w?@^fein8%m*aAcjbbfg z*r=&$iw0?0D&jpRrm1w?g$`;Jeli^(Q8NvWVB93=0VVe@oRp{58~P%)ca6_#t_5Su~WBYH+2>zr`j(Fmp{E2 z(z-quK<;fBzXa3OVt#VvfC$6B_8j73pL`DjmHP=Ur~_>Q@&e)X*{K6oEW0deKyZR* z42n#BENKp;&q>*4f)b-!nizs*il0noV8eqqPcnFd9Fe~<+P*9U)UzADH3lC3yzS-M zKR)^@Z3RNOK~JPGeRKm3q@3sA)1w2cW|A>CPShYVzMU;VDij$n4usWfGHIt++|2wDnc5@@E6oDLN8<_mH3*9t$z+#@1Jb#J z7+xD!ctzYbtq-pHDEqhO(yuS>iDT=MRo|?8NnUC;g?6LNmQISaU#)yaGu^z}y!bb2 zE7+&LSG5x@SLqTuY5Y+wLaU6}Nv&OKh`C3(*LXkEsmN z+>S1IYY>#h<00GW7_{Z^Y#FrW_}rm~@>8G|N(pp~!rr$gsk?SGy<0*5fu#GOLPn;2 zVI-u9hx>-_rTqUYDwLF7j4s@xhHvDIYmBZdUL#|VlG=?rx3wR!Nf$}&Y<45Gq^dCa zF=gPh!^)th`!qfU!ehhaj1ii*DwGp~Y!@D31&z7NQY29j3QrUpxr=`xpsvkc??(&QVJ^VlU9UOjJX zSIsHZJdw65VI2vSR^X0{*N>%53mKqMpwc$8kJW%x2$rwZ5KZ|$aLclqRj^zaUi;E^ zhmbukD0*6_G)ctkm^jEe#(-|98A26YdM_2ir=~-#l6avLF3Aip=B_mz}NuKI&)3=YuGZN$@fQF>H#9S z=T6CtFMi1vld@$=5teB(WVd(r3VL};(?m}+FOSK_~M{E8kV?wF^7 zAjqGDTClb&Gl{pvJ7~oI?N&;yv^qs4wo27hF51#VLY`$t$?d)W2w~Z5!UN#z+zdTZ6o3DGanEP?3^;mzcKc)F_IdB%F_gx_7!@@XCxYbd<>o@& zV*RzV`h<99UIUJv1=OfSp4rLt$7o@MIuKK>R*T`RSFuk-*5>{6^t$JMdU$xY5=1@) zF?VWeSHI2KIC^x!uJ>VC?xK-r3hok9EcTlu_?D&%Qa7nk(>>p+w2QY7=avbF$kVp$ z!|0BUy{I^<9~OWJ3NzDzVqmZ%1}}SrflR2c!c~9KH3%EH{DLh(IU2Tz-m&Y;$Illd zOZ0>mJ=zwXW|Dby%KZxu6;q$e4nREf(DqcdH1y`(85lr;&m|J5SN~JxPW6C z$T}NtoS)LK*4f6bX~Dspx=Eu1FS>coYJw}tm&pl6@Lxp897MH#B!s5b|uyxE)4uDbgIm-I-- zAWWd$2YN9mtT;qf7%4ABkeAFO%3LGwvfD=7H*af@u?wZ+>f=jz z5DVH+{GCGAv0t;oSJI!b%4)FNp(ohR86Nx;NPLq?e`~Ip^jIE(WYJo0JmT>=SLnL8 zR?yMv`gNnA!|9G#F6l9zr&`*jo>L0=8ByJTvruf-aqaSjk^ajR5wqxQOslq@Cjunh zIaqIdB%&V4jtn{(F2pCVLMLw0iaUKDpqP-O&kGzjWvGcy5%P_nqNlK%LE5 zM!N0F3Q>QF6L6Ebe|a9SQ6!4mv=LEY$J16r6tWs2V!CfdCqe3LAfI{?&UQp=XYVxn zutGgm<;lZaa5V8eo+#OdR{jZ}I`8vSSH&q)`j ztcW^|z0-5M$;NhD0Plf~(`cR8uuCW6l#|Zh=X(6y$}2o!m1j$#q6*`Z`dNN{(A(J3 zP|zIfSEiHJsk=7A1;+6#{z0!V#?S6A6v$*T)}Y@|{|YPI5j#Iu^`=iCL0o^fPacJ`~vn^mY5XFz=!#lQl>M|F5k)Y;s_ zje{Fk3QTVob5H#v8en6+tBY@zk*mk>ib0k(3Ljv1KRiNe{MSWmkhF=gKP94 z9>%c55iK6Zi7L#A`|h`6*pjy_gRve063K1Ug;t?8X}OF+@Q|tKJtY#-;@V~sw|vqg za@yCoN^#i-D&^S+B%;$SY8drwBr9wAQneUxP~rsXr#WpRkLf(RabROEzb^EADBLMG9+reDd&OV}QJrHBSJ@{ia{^#pI$#onv3!Bkc$NdH5=I2 zh>`7Kv*)nR1XL%BFL5NU)<^G=kd?#HI@ibZQfLv4&cB(Qc+$Bo?QgT2so@qJV8;d* z_iJWg+64>cf=&b?$je3(O`K|{XH;fYd}Gr1U<1M$%an@o_$E>E#W$ujKix;jo_!Pj z&{UICH@8jzUl*X0@N1^9QdTm^hON@{4}}^o!|V_^Zspz>v_c$9#z&6hDl?6Y&BlAh z3{@3q(Mj=V3zCOB$XG9jINy2(3psG~ei|dDz9-u|;B|fv; zBN(Xu&=NekDFn&0RB1(37}dn1A}aGI#BrYR)QN5 z2$8>~nMG$K3-$V zjpEe(!xaq`$aK2|2Am`@Q{kDclA+QqpjC~&cj01IO$g!_Ms=xvu`L);i!`(JPoN+z zzn8hb9Sg%9WVuDc?$*zydrt#tMeig4jh(XiS89d*{|9_R3*@m4|t z;{L4g2fIJ%f>dDoyLlyaV8GDWJ91FM>=dpbw#w+zisQ~rGDW{$fkP!~?{L3Kbk6LF z#<$@K%usgF8y0AIHK?&qU#;`#O+|jdmmMnE2G;*YfX>%Xi<^loa4M)CBEQ zQz?v+5{->P2T71E+l9FW^*9@%Dvwe&}Gp|y|{sX+g&b0j5L0Vej89FL#*@sAK@EgiktZU zRJDTp`hjs7tiR!Q*wd z*OiKK)@wz^D^Fe@Z3merEzVn`sIiKhIIv)jri1L`GtVB#JTC%~)!Q?RtEBMecMdmC zALedui6Z%bF%Uw6!7(>P;i?jwd@$|tL1^#PKZTpps%RHCDURapI7z%Hf&mFD zwA1LF2HBO`8uh`t81Ej(UqkIVq>j_D{-MzWX)r+gc%L{(+ z>E&STiExjW)%$MJulMSuBgpqFkObPEx_ey|?lcpBxn}R6ka#XaDoXpU;6Eg+s35|| zuKEm#WvD`LSOJ|En9a4qU4PK-fOu7Q8mQsfhsO*uGyvGuzuXhW>ZV*bs>DK*7RS&t zo1zjQRzfi^;KzlM_hg;;EzO(BPkuFu!*@G#EDVPf0HNdM?&$vJhF7@IjEDR6u9W05 z5SGcR5Qs6b$cZgQ)=A>gk>SVrte}+OkXs4z|t;ar3 z@0z1L9!*9_^myKfC$-c(6ofR{31MY4b_A*Q^MND-j-(Kr#a@XR^0yM@jRUHd4NKCK zWrgZ)Gz&I^t?L|T4JPN){E?P-g^%*pmYZWOo|;WjX^%A+d!_WJr4`4eKmkbq0g2=G zisRP*39o7oLN4%UiHF?%ZH`&&hms1)vIci-?6UTE^;Vf26LU4FjG>BrjkD46N`56V zfl|3{X8Acf_r;mGric-2L4|=uig`RV^$k#z8hq^R(JMd`f?@rF;sS-bz6yPzSdZ1(kk(r>qmft?*zfUDH^Tlq zs!YloK-f`(%VzLVh0A7$G4a<4*@?R7sKfmH;xb0+^2$sa^1vdAo}Cf}FBdb(5*~WM zO;Y>qTq7VrUct8BQ%=eA!=trg*g2`5zc^EvvSM|pl*r2PO$`CctvnEHfd-uoE?_G6 zyU~nZ-SPBKhi5u?{lte5#5Ca`ncO}~;w`fMyz5+7%-aZ|Px(WvgQ(n3`BBOPtcx_^ zw|}f@i`*>y9U_A?1TT2rk;tB5;d_0~TO@nx7XQ}YLjHIY519*MMgHk z7ihhG$HZxRkbDt&^Ew=Uj(iMvZbQcm5JSP-=*ceqCKil{JmI4ikw{ei%^7*3=a=81 zVEs4y>D6pjVZAlTWez(raUJK#&f$n(?8XjJg2{G*+riy1KCGa3G=-4P(Bom*xZ|I` z>M}lt^)z0sv^$p@`eG0E1fREe&Pj4Hgq3E8txP$~Gz&SeZ;lyx{=!KLA4qJFsq=^QXd+6pFbSaV-Qf@!0TO4EaTy|QBK(@DP;sG*ZLPVj+e&s5FRn> zET}@Q)O2tUYtPT|M;_S1r)$)VJxbzgT#xu}vOuV(#mz`2}2*Fa*cgY4v53Uw{mD6*?NPR?|Y})qjM)9d0$MKQm+VaH%XV~$8yF_j-AN0Q-8q`ouXh z7Z%p30tePQYV}czMSQ%7mXrb(?y7O0-{xqxEzAzM$k$5cA{9IZ>ZlPiv>|o*1Yz|I z)**n}Va#9Ryxg;>#^St=`O@v=7lx9&E3=c4_5D9*Rg5lfRXS*kw0FzhR5RSrtW8aS znVSx%_aYjiEKxw2L#u+m!O%$~$Q#D7{?bnOlTKw(?OT`C9mN-G8%bed{JudUol@3w zNy+ppvTtKwDkbTQbzI^2Li7__GRx36YrDenvFfIgFOaZh_kPFT*w2ymWW(*M6bAb^ z@YUwm4ar92fW^*9BiR3fK%i!U6z{VzA%btMAH5uhMsRLWrM&klW@?T;+LqvtKxBC;miLY zM5^s8L;efRKLSjvdLd}W@v7pChvJg#??qpaBOG26G}7)x-Ei^Ae^o>7ma^mDG%EI-1BFQ> z;E@^V2Al)P=BC=`!7OefQd*AiH8nEiA0+y)MZuLqLCdH`!Nv5H-&B8o7x;#4T^avo z#rwFy^4cK$tpk0HRpH(&0-Y%H>> zlGPf+X?x^$y0AZAU0iOcs4?d@Sh5=|RuB-W3a^wIDxO2Gn^A-&(nfk}Ke%^mZ8-vq z#nO|Yi0s7(D#&VOV-nvg^8;k(bG>`kEe=z;-Y_&X&BOC?KzEa1yZhqnnb6-OO;ahZu z{hL`6OaHA=Nxh}7q1NeoSEN(dGzVg=$w-?!L59~#JEDw6Q&rwZ<_B#owOyNPn~d9z z?vl7h{qXHl%tE5~{AV3WgQ-gX$t>TC_|2{w6!>LoGs*?Penl>JgU&+k|%3-4pt z9MbkR_DiDH_t`)}PGqg`=$jX~dbG1u!O-Z9mj0HKT_hxO%Z*ugUJ0x`xIR_1yQ_bGFtqVs@vjKPx7A;dZo&3}1&YDr z{rWSpsmoRcFXX{-J<=VK`02q(c7nvyY`np{2WJ7&beZ(?X}CPi-lGd1|CV5!*JZJQ zuah{4#byp!ufgYPJ_kOLg)Zi~mVhR>L8+se3W_SIiI0 zdqK%Tef7xCm(9!g5%5IJpwA4J;Sb(sdgul0s0@vGH>_%tBf&2FHRl#SUAsiK zg$RhGCUg`=Nws+L3p>`neLB;!H6q|dj>nk$Ptn=He!0hf4AdBNy1hLTZ-5!{D~wdj zE9Yp9+V3~#JL$>sqx+2%&V8-T+IsB!zfDkYlhesB)(~EV5SX|)s*qEY5GTw-4+li= zX!2cMXWOkzwWryt98!}6C(QE>Mdoy#D}|r!@&+7i@Wm$CGp3mw=g{NZ`IKzdP4ptdq*vniYm=)hz}tmGf-6a`>tEY|B>^Gh;2_qqyH(7E6Rac=DZaE`v)8(5Ph+AzXHLv|I&{>*iYe!zy&e4o#8XcuV1keZ@ z3gh8u;RdtV0d5Zr?2?>r=qOn;^|{Q)ej7cd@i=!{UA}m(F$Wkdfec-`5Vr9Y1XtgZ z`?R(E?l`dg!cSY-thlp_m(V|>Cwn;jrJul9~PxKk*YQ z#+DP;yJ%&xq5Uw~eyMNnzB{q=`HldAZ$`B(_x(!{E-cqPas!-MF!Wru72jxmgT~yV zm9$11yXD0qP{BAg9871nn!wpx{13xim1E+83u?r$G12()UxtJmV*W`+-4-8|baXz; z=XQNE13Zt-^lT-487ykz@3=eZkc{eFqzb(=(J{}fVh`o!TV28(Xd%2XkI*-ddTbEA zX%{OSxuU45BHT@IOW>4FbB`+6v{U2U&*`*NW1rjFKhPI5JQWRc+!Htz22z<*p`d|K zDXsmw=KX&C64Wq_c(w9~etl`9I=i3i9U%jSF=|WY!>I(AND#TjE`3!-xbDGH21qfc z=fo@#e8Pn_LBnj1$SH$_Br}0J6Ks!VDUS_C&kJ;^I$YB6*#c-0Vxsyt!$0TNHT6py5^b(f5=n|GH zK(qy7I1r0~*bBrB^wC8U#%C!+*_wX&r7yRQBpEY$!UQRge6z=G=`+sUqH?jOTL%t+ zn$1i)4%0OPdL+8hOJ((IwRp>ldXE~aHFeV*LwPet$ERC9qx()lqj^L_qhUgNGn2%u zc7LAP*#M<57}g;ZlsJ)b%$mmFh;Px1J^thjFLXbm5p?y9Q7&d%7Z*kiA?rvNa(P)B ze-?{48_feHvzZK>hGT|DeU`!qpO8Gc3NJGWOI5=`d{DPG64t`QdnXYisfm+|(DCHd zxiyRw`8tRxXvt(V*;~%1K^0s5jBDa*nPU_+N(-2Bv|I2+m?Y3Me{~yR*<9PFFI1J#6ry=cF)3tk&!Xo-rIf3xj2o>q(Xiv zwM*5@_N8#xJ~N1N!0ZI@fqu2Lcw~iiiLm8nxI*j_pa9W~AC|e7c-vD%b42}>th~nq zw-oa3TQ9C=L6%)<0gMcM4yiCyFv-upb+B#%&?ewMwoODgj?WLprhkF5xCCt;Jp#d> zL^>n7Q09jsWqt|T>^zLAL=dwcQ`T`xp{$?0qLtA+TLun$17#p6z8~`$cgjOFWyL1O zH4ZlJceXD=9#OWb_e4O~f0OM%09(s956f*lur1u?8ST_gWEnK9{)k6J(GK){!F3bW z7iAhBJh!}UxZT9`i?_${E9Ujiu_>ERh)T@KuBC*Jdl3sNg2lV1q{BeUUPh}aKW=Tyaq=(cY zF8qT`9dF^=IqaFa34m0*OLfSr3UX^sdiJVfJI0W2Na^=ojKbR43E7l#9!YIIORB!_X(Ef;`Lrh)cm>hzNx2At%?yd!ejlrD zMM~6(Ni>24Y~RZT)J}9gfO-9@5kH6p?jKfpv!uqLR|ZdGWdj~2k><<_l5(9RUk*E+ zBU2L9A1om{nfjVAPWQH7aHS_HBd`7bo)R~OmL%z3lB+$T0;m1Pj=#AJ7xe`}^&F{0 z+-nI7Oopf=W+Lt5a~pmDks{jna6u;Y?^BsVDjhHNYiy$d6tK=$iJ46iLF(2H0Y~@W zr)TvVkRqxX=o^jjTAtvPMb6@-crc-5RxI`IyXb_hOWYBb@}~q!IrF3Jie_Pl>I_o^G8kG2L4%SJN8xbqw1zRappY9c7Gm&jF?DM-0WDHB~8K0rUSU zD6j(KEb{W4rDi<@N7Bh*mmrwnK%&uEmTP?qj^IBWrHUhma-aWo$* z&G=NfX_Xr%E9+tNbX!V_Jh8E9NoY3gFEgKB2EUrS-k$jb~NKkiFQuXn$T9`9R7d z3$Mr)=c1vW`1=dw7-dEa$^3A9RuKA3b|D3He)Dl#Y5kUSxLpSPx7t(eO3iA;!!hZh$?HB&;QR_ z<|z^b!rldkPEUnhIP2r!i|1Z_*8)|Zq6smi?e~#9;t9rMjxXhLLe{}}q0W^*yUijr zFhx&M2$WRh+&49{Q1$|(I7e^_>WzK%VjrcLq-HZ=0>xEoW46aRGMpZ#2IVbCr$AH% zXms>m!|NT-g!C6Tz(RgfK9Cz_hGHeVf@|v@{)&h^bSuK6#y%}L&fqDiE>6nb8tPCi zy6xwXnBn~;?qf4$ax!efDDHj%N}khK20TpsIr!KMBQ*=jV2JfF#9?*~KQ%3ykUrJ! zK0|8(_%7+G73C?*mPstxb+L!j+0a1(9(V62d3>fY(b zIr}+VTkY2V_Wtm=^D)70sSTJB!zKE*wd@VaO(QWZ2A_&On7dY=gJMpSIF>Q;$54RD#EqP@ETTilcZ z__pL&*)dko-zHM`!h_J1xPJ?fTfr&Lh#!HLU~t5)+LO<`mWnA@{dJ3$$a(+ZH?;R$ z3+G5rWAEhm{CqD=Q|J6yofbqpi>?IHrX+m*B!MFDc}kO*p_Er$bNY3#bVq7xz>yy0 zBp)JP<#|tpz8`cXCV?OH-UF~PBj)YPa+#^KwbAbG($TS8uF`&$7^6;n!nudQa`}K` zxPHbu+`MEAjLWU$W3ej6HCzv6A8rmYkG>I!|28YJsYgI?Q{+J(8!Fae}L! zs6X0dK~0~;i7xnw$(Q?l)HLqS4W%c?jGr7{UDywef;4#7CwF$!NXBE#EM^-s8;Tk) zZW~O-Eu|wnwT$hZJn!jw)9Mza@z^+w%iNFZt&bX~E(vKC+doRsvH56vj{2`}=9>9_@?l+!`{kpM?`EAHo_% z9L7&`=lB1>!`%4;com2gaA4+vDi$2Z=0+DLMi=+*t23*AVQzI>gxU|QV48C}1BV$A zzD)P?wnDmqSEj|7P0%jqa_xKE1J_jo z^guI}3`d6VJA4QmSrPrJ%E)m7Jr+h^C*gj6dpo(0-{A(w^~uoqo#$fvdWNJUVXXTu zaZamJ*eMGhUw1X1bY?q3Fw)d=mieik(^(A4mgLWd>mu?G44p|1x%Y{3$WV7ob~a1) z`k86<@9LG}W9&Y-4Vq}t+DlyKEk^Vo>PyXw?3IoY=0LH@w&FnDyk$|nG9uAD?_kxW zg+o19dVR7o`#(wU{w5Ceuu@_kY8yIYbTz+-L@E)xJbAtS^r;HfC9&H20!$f*(eUZVNDU4%M=n_DD9DOlZx1}8Ey&>}h+E4bwnbKzmM=U(s)}QR;d!ii%q4Uwfw{fj941UO z@FsqN(Y=DMY{BS|qoi}H*i=#BI(pfF)B>QM=PL16G{%4&1*?11M%w8hJm$SXbaJz~ zyhq+V@#&nq)Gaz#HEnTHudGNkFFRN@YiazyqDeV)aA3TDy=EG|)Dc6evFw5;S!Ay_ zcXTc~o_obp$7M#xWnahQF<~2>|eX*J+f02^}EaP zy`Q*27#%@2&jl}m^`Q2dFdCI()=q4si%Nv|op`3jAyeX`%;+Zt^7cQXo>Y;KBt?;@ z;)1*H@=34TDJHl!s9N2*@T+Dc#ro1ClY;st=9E`gO@|p}IH$ynexY?`(_%~D>7@U_ zQ%E8574U}s1R;3_3*JWh9}0Rq75p%iZz!0E6!4~ye=lGn`Uq< zrE(g`iv&nqnNmUNaI!HPj48pfCk|J}tY~7dLy!-)+Ex2)J2f#qB<{A`T{xxcmy?TM zh^*xKW%GEe_-GP8k_wy+xS!*Ysl24n-~_gAzwq zeq-DGHOHQtTZ9l2u}vSi_rVR3#{(v1txAOWD+i1oS+sQ*D+O6JcIv(psTVEK7`}=* zoGj&}0o^|a(b$Wx!hs!_@*7!flmx%8I{x_XkTrJS+-ba5);B-7`=+E5`** zCs(+dm=HGztOZkxG^-hkiUpU4$6t;LKSR@x$<9U*Pz7mA2IKDOO>!~eF-h1{JY~!i z4zD*qn!=b%jJY|&+fBhzv9F2U2CB0gF=X%TiY0h_}laP z8gM<}DgE6x_TZFcF7L~Szj0G7)`0uz$!|f+wdWTHq1_Y={ds z)Ub7F*ML1e2s8l;5bm9l;PBhL+Qt^@QP;ixHfuTt_WRHD)4p*)0$*N-5T(D-_wEC zXfhzV{<#e70aSzrSZ4t}JaEI`n&ujKTXk(5#9jltgdi;deuPmfJ0)OcqHxGhU>TU$ z#~pBp-PI8;vA|IV*e*-q$9(Qy+KDv1xjelLgz{ei_t@PebU71WMop`@a>YEHiF6S_L;s3`32rW>iOIvYWv*s>-a3z+y8cU_Qdkn zJ$k-$c)vVbz-T~5^N2=4@wf*fre82*$M4-0*lm2~FX=jYUjRD0CL4ePd;o(E{1;9B zDMT&<77{CKMc%a4RVD3`dJq$7=6qn8)*DiAr3VhtYzBs(S-XDCh;|!1G#r~EX zE{+x_t`n*^;(%SDF)WJqjIhZ|(+p>8!CqPFONm+35H7yKL@{d@@yE4B$r;oT9=7dY zI4~6Ev6p%8ZtCNyqxxO4X^`=gO&iTt&6ooJwC%y$3Czz&-!$qWegIERq?`?&Xc=4= z#sah&oa2icXc`Eek2FYPHwB2KE}A!aHB2{ZGUqAo4jyIF%;3ncdRsdj%XCfAzp4=x zngk8Tk39}-({)JFh&A1OTfFe&R>1dtyeUN9)^bjl=gqo4H@~1Oe%^B99gjaNdL2Gk z&bs5{3sXcOi(#G5W^u|^?+qw%2ejIVXAVTxV=m=$BJE%HYhYlj^_bPl;?pf?GPkGEr?u5e6htDy3ywISEGz!9gZcGA1s3;6%eqPGj* zSGX)O+R_S8iQs%vS)?{tE>~A9sLp9mG|P5bj(Aoop>oq21C9I@*w$KcUPki-M$sww#`| zf{+Y&tk`e?5oQ9wTy;#3sK6JB08e0AlXT}Kt1rlzFj6#ql?8XJ7z$msu_>Sv0Q`_+ z^>gd%MQmYMkfyucOb2AIqf=IqZ2^~toD;4&yeraGHPadAb+T2SZgCw2*+@tOrnIG8 zmLeEM6~(*t7|Q95xr-bJ&^k`d=*o`+=nc;4i=#Vkm%6JDsP~$)>-OUIvVko}v4@$G zsqPG>mo54KDyix2shUr0EtjUTz)%S02al&)>t*+*he6wPt!I^2)bfZrZU;lvhNb*i z5Ao8nH-VD3t}q=Ca@7Bay?<_w>v29PRiH(VEbCQm2TNB&HWMXz~JDJ#g z`un@@y3cdYsZ(`c!1KqoS6_Q~?dtBTuD$SCYh~jm^qrn&8aBD@9JAf1V!;QI$t3n) z)ir49=g};Kd56{1cCJ_MZCX(9tTqpw>}zTfxADKVQ!$S)0R$YJT~_wQ`-Z}QMWcS; zkiIer-|P9Ev^~!{-V|;pmH(12dS&|Ip_fC{ipOQgX0u|lP(M^|T$MS9Llf>5)HSTO z$dy-Fd!z0iTUKc+s9}&?ahA1mxBNG+kNWXl!*BUk+m2oz^9Qxkkj2;`RrSb1Trcm1 zn{eOq2V}%j4{Ssno$iw$*;?8SoV^|x+*Higsza}!v=RqNZzhmAYnQrl-I|o_B-qyO zz+nFH?tR+rMQC4dCOqtZedNKM|7U6Wn4`$njL0AEo&Z0o4O$lA1E>BaL2;Du zr2v5Vd0)RLpI`nUD&ISv`^cX(iod2=7+M>{>cP9pFm>H|Iq9e)A#@Ulp4`NJ?H9^+Y_&f^MGnbpM{a$ zA54!sn%H0Gj|&^0&;PO{AboD5Opqt0$~f`s5k^;*krqFDPUz1SDZTsU{rdU)?d2A| z*gpz|&WCKF88AJ|m$ZL1dR#<2b>n$3zXZBfv1vda=!R!}SuFAIpFUXuurId{oL?xy zjkZ>z9#Ukc6bVDc{;dog!Tk6-TgPX%)QkQzFp7FNC(XHw5zQ2~9oglubNR&514HEOEGLC{RQYa|Ew z1rIg(eH(2bAxU2!w};0s4Qjk$6=e@&~z%KC+-uowsYCjV=WEPl2& zyibIZN)((m+3V|oycpSYyvWd zo4~qdste5BR>5}X;Ccsi^7@&6W=Krgou)`OuY7>4n)cnW(I4`qF1AqxBiq!!fk^E3 zw?js+luaxUi)&$KU>nFcPtxzim;tCm+Zrl^0J_iVXaW=kl|f@{174yXe|awswJ9+M zwoEo27EWp(r@L?FpIc_7mwa)GLDc+i`+Fx#z>8{0A`VK>&m1X3MS^Y2i(2_?mY1KX^3ldVM`IMw#zg=?FNt8hnQu zL(G@(F(04Da`^W9wSmyyvsV{QA;;w^+eSLm&Qj4eW>!;q`RP#>qrJrdEBYNzcn@JU2RP!H9 znUy-$>@^T*!L3U0f+9EJqsv3Xck#6*8A4gO`YnZYUNve+Ff|p~y$CsrV!mbms({nv z)>edOL3*P*x8mj@r0G-x2s%6zrj_I6%a7ZKo-A0jnj>(DsvB6K4ZWBfC*o&V@27|N z*X{eOsSr|~fRT?-Pk_En-UYU%6Q>Qdbn#+R@QGsadqG>5*4Vp?zxCpiVvg$yH9ud@ z-CqoSF@|skI4W&`46#d(!d)u|`Qko1x%&w_d0Ll(j3g);I{#N#f=Wx((&~5zV5UsB z1-|-T%X9lOU(~-{k9C{_KX2g=u{H($o+Tu+to9y3h~uy030P7L*N41ui=QeQ1p<<;2qo!nz=X3$z;yoSv9qBTd4&0}O@DyTdQXJoNU;s%JiN02`l zmp7VS=m;j`j4cr`#Vb_SQ9XGMP8~-%HWEM^FwX#I0IF^m2YfeXpXSVac2cUKJ*y1+ zy7LWt4d2ZqB`3zl%`+~voA1U{zq6{rFUUno)udQqX(P+=n$Aw6AwZ=?B`JVu$`3tI z(g_N**d2k-9p8eTx_SrRcL<8U>gRRRYI&Q$Gwg(gSc5}|w=lv0R+c484CpW)f$Bv} zuJrQ8b$S+onCIBKdY3U1F7~N#hE-5oI%|oeY^-X~2$Sjn2o8+`cU+7j>1|iV8^ON3 z=W?Met@86)mgIDz4==NUdd3WVcML~ySwCj#t`_15&t?Zu zeuppL?P<2-X5>8~7pCP_>0(Gm+==jCE+G`f@JdK)d0Iy3xmZ^P%m><26W}MbQyD zt+p={qP7?ePJ#N^IA&&2DI+x{w6nT-2-T!XXxbuTfhm9X}njs zHH*w5wd)@?2jbOqcF}xg>ffNc+x??DQZ2zrB7UARuCWyqb zpd}G2LzX5Zm;vT!CknvVZeoN|i}$}%@Fm91yw~A%Zp8M>;=ms&zFbxc5zsY@@Q7zf zTQDz*5n-T3WCji_AQL1F6;`2PfK9}Zq5JS0TWnTj+G{xvQmA_cD~ym+sjW0S>IM`= z((8?|vARtT5It>-oyx^~sl7vN0_!GhFhIFq2)Km|oo9}mkx>uaJ~&D|2ojHfR&8X> zSlSgv30yK0xDMD?-NP$cb@OC}FoUhUsk!u!zS+($^4DY-{H8;5K;>FEql?v5(=Fz4 zXKB3GFYS)=U@K7RYUt}0y+SFXU$I^y%_9QX7U9yOgNoCr;9I`rz7;L{Nmf7A0PcsLktXER#v@>Fudj4l z1y`+`YrWBX2@ys^42RoB*^r782kIV6DBm()>4Ci{f;lk5 z?DU89@VDEIas{)*4dSou`El>)n}SVq!92F_-EImHgs(T(xyL_ZwNA}%*!sqeoL27T zYJ-tdL++83PxKc8h^LyOf+4z|_@y~%2}kffIcLR$2?cVU93MD$8h*F0)Ym!1kn&>H z_%3iD2-rDmkO1^!`b|eh8zPCP`u1mdop-?!!-jDah^LmJc?AgorX`8N00IJmcxO=k zG!FT~s+X7uO3#z(#Nd)kp5JFKm!^X{1+9ah@IG+Q5dVd-k@9IA9qth>XYYMtlenRg zlauvY%AvaWrSlzMOE!X~Uuzmp>ZIl}c_lLFH+Y3~O-9-c#b`>dGml29R|5yz!I>SFdVhc$zP?NEKH3mn z0O=U24sw*z@2oijoOi*6>kW_2oZIF^kL$B1+@)n5M@^`hN_SU>WSenETk8}+$j#B+ z9i%EzB_~V0B(<@6#$`+yvVPIa0jx#W99L=AnlnJ-2|)GKo1TNSe-{ICbC&n9tN4W< zQuZ`a0z7o=(?f8oC)odd#S<)a%Q)*8o3>6|4wX(?xsQYny9BhH=sI|IyTlAPX91A_ zYo>?M+`s;V4RXc)r^ZG0>?J*|4*!FXDq`ZpX_b`xpvVv5HRr*sze#qo)h|egR;^iH zvVNcW@q8n4{4YuNHGreTiuI(|e{Dh?MH&TLs?*fP0Y^W+lzBhSL)ZwCT% zEotFhRD8sv&I7^eD+Yw$4P$v%=k!Iu&NFbT2&p{h?El`)Tsb;!aK*>xZe!V4VT}q z@@E8E`H1isF@j9BI&QL`#KAg|=5t(+ddieMsjFMBaL)?X!$OL$ z(`^ zR#14OiGLvuDJkBlslBJXXBibfSE#)&z_;qJ+U%9PB@}N@lA|=h@)`YOc|?o~tzfo2HH7hjMfr(X)C1_SSFVYx%bZ;8{|JJeTAOW+}YKy-)GoT5rn)e`7EeD zxh{pkRi@Y&K+*DeBg5RwxlRG|Z%$(XiKZ$jkNcIK6Ihb%z@Mxkmft!5&S2n2z0YlL z|CDfZGa~?|I2$tYE=Dho$$TeWiKN)R(ZKK_LwU;Jls*{w*Fz9q`Xu3ptvGj0gXa{U z0yT|C5n=Gy!9C4KmX!X98uL9q?rRJpp`j8c^DIvxltTM;+52zmB-QQ;YAbf?7d3-0 zYSW~?FU$WDBJr>#wvLr+wOr8z%jqEQnVd8IJ#|gz(OheQM1{+#!h=t7g2c?Wu<$m^ z_LlJ1Y@Izm&TMxYjfe7er`bO>O_tN5X||HR_h-1y#I_nb>d&jX@ES=U{|d+B6CDOk-)d_ZBG@^IB>O~> z!_Tgfq7Bs$LOm0ypkByJTgB1NUXiBgxCHYnMxy$>oy3UF*Tg9}_?g-QXy@P8F@!koK zYpa+;LveeF zB4T_b-FH&bqoUddBxKdhsAx;40mmgPtDv>jWR(RRZ5F1p30kIpDxVK+dAyo^P^_b5 zxJ??u_Wz){DE8>A!?T4mU}L~bzHvi5(|{7!5ovKMW^dy-)Y{CR+n7$-u69gn&xPj; z0M&N(p=cH&pqVjE023kFJM82*?VyU+|D2&Qmq~Y-81qSAfJDpTXfxzTd zf#naznphlVR4bn6(mvm7fITsC3|TrXo)~ky!H0_Ev`OT_n&><*fPWTnb=Q>J0Z3bx z7buvtEmmo9L~z?1NmtXfLhDqpLQ^eu5>;odG~$J0pjGe~#Jn|1lu_M*I;2mJ@1l_c zB+(2jdaT9SLBGpy_}XicS$%BJLa%t}{bkn@_I>qihj%@??TC9^WQsq$E2<7kBv)pw zghCjO6&+|tr^VxefZmrXIQ_%Fgi{nU{q&%|v00FGIDQ{XgrYlElJv#@GwoNR?Ci!< zI^EVI{q^v?6W!Lt) zA;<9B`+OI^-qCdB3F9WxkFNEMLOKek)(YuGdk3l9PMbL-ECWbDku6zT=$Q=tFJ-%} zaoBB08dXH4)GE2_?i}vw=GnU&&enp_ruz~i%T*hEMK^J+6=KAcV;pmY(z-ETaLD&M z4t0p2q?s=XUd)NnrrQwf?ODT_efKxyifL__c+8}x$2RLK8I$@+DbcU_!7m=4{puP7 zzXhISgVrJU{w=DNp1Q;{1?A#L)&3)0R&KYDX;>xAs<~blDj`;%n-B`*)az&)+O3jyy0-Wk6h^kWD8*<`uBkAHK z@btsXqC+dtv?;`IaVr<|(Xw#?+8NF)d8mI^@XJUn#LbMB&uxf0nHrNIA zw)6;HrA4433&onBGo|1(;c||A^S5}Xy!T>R_nDgE)IQ9HfT18)7abd?D)h;d0<_G& z3X=#_D->UFJb&LZs}@#&OaocqDkb-n$!t~{LbFr_L=9h+Xje<2sM(o1S|&fXMClLc z#0oVrvolU=GPSb8(FN;rcb%33pM0b>Mi0`*FohS(n^u*ET)HntLmniDQ7mmUezi{> zzp;?s(GCSvy1reK!6ZpW)x?4wJP8Ibd8Zf@KRNpoLX@2f_7%y~B(Y1|qRM4rwCDO2 zJu$mT?m3+HlG!aJbKWv%ae^rA`Po1&dPtKgoe^&LY8|IZ0tk+!oc)M##A@yRkX!xU z$N}A<T81zDCIeFRR7+Tx5= z!|Lq2jx(7~kxoGz!l1#(?=2tCwNt_5edJopndT=(!vkAgS0}|pKLobm{Pls?3;KCHmByvMma`lEaQ*iLrKm{A*;&lu}!t>G9W1Es*O0g41Cj?yd$G4gi zc5y#&-lHrVTy!ri;q@&~pmbqDNo-@dD4tl;=KH!vPVC*j;7|nI@*V&jWnt-s3ep1$ zIEbS#1}|JV)9WB1g7wp2Yo~f>1GN!H{rO{bp(J3249~o@@nO<-k0WcTSea4cB=>F9 zOx91(Vet(9&H?o`g0A`EfMUEP%Z3PobL2&J$dz1Z@nl8`mPjY1=xkZitrcVfQjikc zSdVQs(NF;NpcSEBelk{wn43ZIC7@u}s9IbNr@%6}L|NAuTMGq1-+{zPN)H1~o#(FJ z)lVLR`QCqD1&!*K%aQ~doJ>xEI(y0!7q+Bf)em(~Mmf$F@Z~8D!Q}Mrb^q=q;g7^b zczb7Qy)kjLThu8COowYGN5-1*!m5Om=z{xB`T~Gh2tacgbBFb=a-mF^h~$?hX`ozg zA<7bK3JJPsXZ}{S&mQ_1EHUy5Ae!>c+Gp>wzk5NOawd^Nlwf;U+3Z~F%f{v7FaPV! zFbE~*?((;`7HM#7AeEv6FxVPR-9T=ftv7a&!+)uQ-;@qjkL7lKmFy)T&pMC~}koTwzBH zigF|aLq)bGns+>^kcimaEoDn3Mg1-+I>Q*pn7ITIwz#*<7ZG#70C%B?Ck8K(h)|^1@dV@iSKnJqpM4}92?0WJR8V^%S~W4K#zk8j;>H!rK;2Elud*|Xn0I8u0fv6Dg5}Pc zk6KO4D;!ql?Et=rDPiR1j_=jW`LaUo!ueCAH7dqkRY6h7O$qg&PsL0>n85#&ouu*# z4<@Bp+(ca{4RY7#9<0cHkhsX5f%Jbx6G_p-Ag;u@GzVx<&ns|?O@O_fq%6>B81?Zu zx4?5OI^LV-qa%<~r^NwI3qvDo1D0lzlGpgCRN%10Fra1dpk5Z%!8tn%xhrCxE#u+K zbX-oACe!QP(?=ag6ZcK4#}&(bk4-YuO97u~D7|`8wv@=|B9=r|KxWa#ztASznEZ1a zvy9@hu8-LTs2S62r;hwmPG8K&C=f5zhCRkih##Qi)~YYEy3@w^>Qga5*%M=#qzlP* z3RE##XyInB4EV(rMXwQCB&Shq^}AA~8RIK05f1DIEz}BMqOE3_nE1Ny{@vj1b#A6_ z=AWIx*it8i`P=Q^juNs({Rvz*rVyOP?^Y^#Pv#NGuit)tvwQb@*+~*lkw*b`A3$zq zgNK8M1#ZFKGZ$1X3b^Oti-eX8E0I^+3{rCa%D8=s#>23|;I^QkQny<@0sgaMRq628 zz1*JH2;h85fP9sR|7v^PM_pBU2x8CihYrz0d%F4dqREY)FSgNa+`6UiHOu;*DC;V7*2(Us%>xM7rHd-5?fXER&WuJ*iWb4n(|1NHD;^Nz!{JKN;K_^HZcb~lwM@IB`g&)sD zDN|10`RlM;{8swg#_QTDAi7DGM!ba1;*B4A{(_nV)!aFP)!dUp`th%(?S@$W_3VX0 z`Yd!tyc)@vBUpS6miTQ=Nb5RhJqx_@ZMnaF+K?OT|M>;?d$z^AT&A&YZ?YeC=?(jN zPGnp;;NNXBnSws^gh!jzD)YZos`-6nZxV-5*8uUnF2Y;&crV$!n=rve4ZWnYP8<1K zbx#Y~JRd%oL!F)h8lU@I6GF;ohDakps0tjn9%l~uN))*?b>bAp3VvFhIcorO4b{8u z#t9|gblKgDkk*yRS*#qK8n`FjM487j?%qE`l8`z&*y&}zp!U+9{^*zn6w&foF5|HotAhPTz9Biv0dYo#^J%)Kq z1aHec4!bOQ@#C05hLDlEw8$fbfy&&}^Y-~PdM)jHMi%)g4E7Q@Moj&r;E=uTHyMYj z&GRwRoY;4J8gp0+C@nm1erKD+iilSXvTZyZE-V%TBk*~wHJm=Ox8Jg$)=KK0@3!#{ z$)&p(Zmine(dyiv=~{nK<%W3i^>DWea#6l1Z9No@-W4ESYQhJPe-Qxfq`}h9$34Y4 z^22K^u1i`A&2XLYc_J@BH~+RU=6!0y((Tss4O@1U)lYJc17Z}rTX!mWE%Xz)Y{^$lH(@P&)+JviLVv;-Ff2h?xWxG%I+g$NjT!pc2W9W6sHEIZDJL(?O3KrhWUubzzD72x zl=@;u#v|koUK}p(S;Ud3lomC;X!(d6Z{T@8o~!znwm%0lQFg<3hGB1E{U{OhXoF$r z{xsPJM%_Io@Qa?oRa}E*C#9sqq1GS53M?c63rm8M!td0`U!w2kX~)LGrqozp;Iky= zPQFRK({fP!;^gbCcb=og=W-jO1y62GxWNoMC?^9?rg^ECM50#OvYcc}Hh8x(uU*nS zACq;WWf}b$r`-Aez#Tm8I3xePnWPC|W$2Pk5=CY39r075OvYwm0Gr8P*~*FgHxwPR z+@hr#j`{V!_4}z+pY3hI{SXuJPD%NZu=^ z*StGzBR%8U?$N{_h?2uEO-}H{O_88AA;msybbTT`Sj8EAYqP4r7YWz{b(Lp3RdKw9Lb;sgLQq%GRVFN)BF|s> zy$RqDbOO@~SJBowWz&%hYY6>TSywvwGLZX56!7ggQWUn2fT&G2`dd;W0=6AY=b44y3ykf~XHxBM$)SEK`du(#GuYHPApTmI{)Rl&n+>%RVTMPJRKuX^GCMXU^Ev`Xmz)e<#rkN?Ae+ofF5<_ zIgkboWUp0yRAB&Nh)y`sr=B!!5eBv9t;_ls$1dd7=THoDm*CU+RofeZ9Ltk7b~il` zDJ#pP9fUD7AJ}swJzh>HXd5j$zbXBL9-UUKXs)uu%1=Td<_>*W*hzUe`+<`@T!S=H zgOqL1qZ1xpgA`9F)I!C8g-SD1Po;o`{a*okQ`wsj|A>V)tf_i`)H2=^>)?A*Tbn%c z2Fk7e&n3Z$9I)FIXY{4y9Rd@){oQ)Ko!F6c{9>>zFTYVzQf`tu3+}M<8zv+g(`Phs z_bYJGaHxsADqmu-Dql)4LdxPTiAX(7tzR+mK|NMv;0l0 zUX_paWg+e%f9!i>G%O(+|=%UjGfdA)K;!y&Pc&=hyb3(=IEsy7czjk+!G+ z&;yIWt8Ed{Q}4s8jSAjVuaVQP$7IE42<-=xZCEUXg&W0S8ri*G&ShJO^GfAGJr`M6 z4LF7MbbCV$ zC9{wMQ5sgH3ij{Nu|y=LAA{2snXEDg)4Cwwb(bH}YTh8wYJ3sUY6!{EYO-n3kW=N^ z{7 zc0386KVb5Hl=*e?oYV$6a^|Nc)AbG*>ME2z!}wa$W$qDP{H9|Z;#paDtWUStjp-3b zaTNSW06nFN)}4{U5!)d~UOz{uj^S5@51WF9w&kq)?{}RtpB}~Jh(z8Vo&7VX)9F<8 zCwdwSB#C61_J@Ya3RdZTyKjpZe&^l&+C$Z~T}2a|C%>Mfj+~($%mK8LY7IgZ-?t0R zMegkdS~0!9^v_+MoEI0r-15qW7H_Tw_a}b&XkmV7qMQ|xhKluyGH+d&{Zce($`DVz z*$hQHWI!Sx=fVHpte(FzVpH7{~8ge31@A2*GZ6+he91y2LBsUbZ#EaGT<;Mqbj)a|*5fvqE&FkAcM?ANtYX@%_h z+6TQ$mM2T6A)U10puu?YX6A8nUEDsfP5!#H#i-I2q$wLoHOsIg_gXz@v?(8Qk=l0m z13D1DQmLwz^y4KLYXbSJwowqunxwEr?~+uQt(H7>exKrC)A1csn3=8aX~r4_9o=By za+pHO(008gy&BQ;03l^myB%`czdLU+laH#mr_ z;(#1h^F;Um&0#TugB+GjJ3o_@%*MJK4V(CX4l5Jnu<%XrK@Lmg*7+3gUV7>S-8mp; zgOuxO1o)BY{>2<{-{YDBiDBWT^Bp-M6|Szj0=y9e^?A+kk8o5nRuG{lY88HLzcA!E z2@(XRtPG2sy?%pS)}JgM7)+FgtynP&i1h(V7_$WHWEPltnoNK6a!pZ6NS?gxCM+(Z zj6D|girs#!0DM_w*wXUd`Y2{1l&P^jAd*ff|b^cr;s6<2#(2G@ozYEtE9D=COvSqen)Rc(v?vY>+12#3->X* z@d_v7ekF>5BE~tW>j5$xDs3!3&X{6U>o7$tbf=o0rgnTlOY*{EwX(X)sFGgkj=^hK z$E}J@7n?{(cRPZV$x#EF3FlGC4ZJPuA7Uq-Ftoj|c7py?Nvb}vJlU;r&Gq~_jU$); z+oynH_j0;Y*2W~FW&lov{)3tQpbb*d`Utc^;kT{In}16#+I?r6-rm=#k6}k)J--58 zN@6SLU)ttf-7~VxD^b*%6c&wb(kl3!r`R<->vc-ce7GjmduA;DKPXn)@c&S(C4+?n zVE0ZXvf_Ykt_iD^;^w`^PBqG!z`tY!h5Y6gjej*x=Ce2IX+As5T%u`^H>xd29mEd7s1_|j=`bwy zUe8Q8bIwfQcgsYZFrY3e0)_Vf4h~SsL8rDP-FBitY1o8Be{+`WrFcG_zHYCVaCi7} z4{N~Y{GiK`V7>Qv3zzei&qC_QI<)9ZpY1QHQCL(}>$0<9Ry~SSpL%Y?RotjqezHb6 zl7Ov`zvtCq2G)|bK2IGCB`LI_Toug8@5SL+i$;^i;Go-qg={fW&k-6~xKREtyefK* zQpsH<>!5;JE?IC<(mLt*g%TJbHjYUO=W{2zw z9*k=*W_08k6;L+6C5koWI1#F0sB+k>Ea9LbhJnxCXW8dhu3N*&tdb9Ryn9T z4Tvkoe=-Mn85I!F7L}raO#0bQM0nsCtDc7E>Fe<_Ch45?9fJGjgZXVzF)522o}zy9 z&yQa(e_~W74X+*39U1JXEPoAcsLY?fJmju9ixcy&6y9I^NG&2fLCoLf0XSAF+de!B z{-o_4Gkeuu79?Xl>qi``$ZqP!qWjV2Ai^ucX6a^pp?i6IL;PgIO<*Pl17aNeFy*F< zJ^VH_Sf129$1u{zHm~N6v4IGCt4{l5iw3UO1-KFN=1Joa%}^j(nfC=Yt`}U)*c|xu zddWAnoUWh0{3(khdcF7mb@}hsrMK5F^gB7nWbELkFJAyv4=N)k#LuG!PpT_l_%-81 zgV{feg2DfA3cWR>@p|$tPF+3)!~j#|VP>Dz#M1;dKN-kuS{kJ0nV^$60}*th&2(U&qknVyFe#tb7dtl5VQq!JRv|suqX^sR(ZTU-?(0`40%arU&%y zR->(Ak;6Hfe@D3BI_UOpCt-k{w1{r!q%3M(v*ryhnUJw3-%Qf}>3*`$X8lDVBGU?m z#?M4QbY`GKXih7GI1dL6Nh>MCLE)q)Y~BOU8eoKd!Vjf01jYti8!)Kk{|41uq5R4j zM+jdL589+zF$miIZS(IO4YE^e{AYwayvKFt&W031$T(P2kAyPUDm!P(lB^&G)+882 zOH;VizsX{if|dP&TfYa1Y@#a%HG z!mSTtTJGoUg^ETXrbW}Gz@=@TQ8Dzr>Hh&*{}0gm{{mV7FmEleJQkRpnh<{x5YPgE zfL5^i{{SsVQ?O5N7>~NW+YZ*C!D7#BunmoSER<=G(6Y>`BXMyD-^fySQWAA_$ET&t zDD&0?`h&}x_K~!92or9KU;hu$>MH#oqV@MT@7{kzOWK&Cun*;8a7Y7x*bDlXkW{C` zmGviaz;GQRLeu#F;#tq!1OUfk^pJVRPcx#azF-F~pDah%AqZ9jc%O9Nsie3(w`18_ zcu0dq&eei^tf%qO*hH!htvJzo=^g&#pO@h9P`^k9`UyK4;*QR5ExT#Rd%@!QDewy0 zeAG>75Yk{u;taldWd-2GMD=rI>}xo~7Fhe;%+BlkuBt?oy>x;hV?1MvUx~UqAKp+Y zzA@ryUeNxX-)IubSny?ic`~xNTupQ0eA>$6>LW?(ci2HUm34>+u0TUNMwxmyI`OFX zCz|qHEp`;%9=_*X_Iotmv;OQkr~}=?*O$_#fRIl&+Zg)<{?bm~g&sfc&<6q@3U5>> zqsZshe$TpALr57%NSR(p$vg~(cOy6tw1LM@OEPewgcK54Eai*~$C3FBft9v6e^})c z;!|KC2Vr~d!=ECcrczkL>|A76IN<>Wu) zX;D(FAK_@HMEh^FSIJj0%eo|&X$Nj(BZR1iCZ6_i-duZT@~$6X)uy`D4k;V5ZRl4b zeSJO7%YwolK8|s%isK@$qX|YwqRxX}gv^j-E$Uk`I`sOUY$nx9SVrBEcaQH#PgaKM z5&ZDe*@E!B8oxGKDIU+VpR#Lb&kO}5&MgQ<@5stK2wOIWfNAG#|KY6e10O-Sq6aH< zi6)#_!i~|hZtP+1^J0<1>xy6G-wR0IC_QQYDpU;=Zh@+fu2|S@CJaYEmuv%m-+|V| zy`7Er^gbEtQqQ=}i2suP^1#n(2&TXtK|Ljo@_?BBxSMG ziTO1$bNT@4PJ8H>yj4RQtMLcXtlYh_AA2Q>#f|A@J@hS`ZN)-)GoIf zHfR6(e00tG(buDto`xCMM6yX&fAuU0K?kxJ31UL*O*mzha-wx}QAuM>UQxn&W&v?Ym4fFAS!bJv6s-1eg%~Eo)*&PI;8eV6U@;L2 zAIv!b1!~Dv8m<~P7)=}1lDwW?6N*|&v>~HNdetauprkNB%QFo<9Jq0)YV4i z-feSyAd0fSYl6b&-+rRLpjE3h(%NrZ0RE392qF6`bE_g*o?KUITY#{8(Tf)Uqr$NL z+S(=g{(ipaTV?@#`U{787`6V9k{W)NQ->++Yog3t+ctAJX5>FFjJR3y+@&x(UDNz5 zwhVSKIr=dvLnml#qR<;2K&d*g8&=4p_E5`~~q`WMsE1p>VeS>N4M~yIdlj^08 z2jnfHoX6z2MbP%l5{eb-u>}k6n=J*GpJC3j=aNq=)0O~*BVB^ zfWuw>$&zk0SM^#HWXtBJRH)BP z^W<1*YiO-hx3O({BTIta0&Au5j#iTlBXJ%A3FOxi!`k@5Eq@@c=WL5K35x24DnAU9 zg5^kW&NblW%-T12S~&YQCCrt2&iNag&FW1LAUeT`hO{TkyM+k*MILqm#Zo|G7?JX0 z=OV>@>67kbizg2OZ7N&f z85^${z-SRv0RIGo zejAev%(0@r+ud=e!kfN~ccwxv9mX=9&EK^x$Iq~A=jSIjrwc|e_OMU=N3)6p`uPAX z8J~2^6+aTF51U~TYbGE=mJY$cpWlKN=6>eD?$fy|zsvo!`aO_T;IX$*p3BQ+^U_qX z1l#+s-}(XHR=^3|%dtO;CdB{m(O8MW#;Z>Q^=dUPw{fYZTzzVFnsoTZG4sxfA01y6{B91TA>E=NqMjczfu-LRPyDGhtNRJ!^8S=Y z_hygN?mQAzanK7{1F<2eS0qwKdWCE2+qCf-b`!iPnwaXL-c}zY`{>Uo?sw!Fi1*(R zG>{$gt; zbARRt(|Ue&;x&Pzlli=(NZ@mH@98w&vI(hu88V0!&8-;o9*e-a=~&`yasHzz=0NxM z9vvZH-{hq<8_986#cYgX1=H(&9!b!P{CCu+Wsaz7i#| z`Z9AIjj;(543```$t+L54CQ)G*_#CqHS0O|yP&!JR<>v9^QxC`K53Uu+d#%MI4+1^d;t%8mciSOI4!HtFZDSV=JQ4+60LWFvQ)D#cXG zPQ88^ApC~s#o_!pV*|`zA(O~WQ_XF!K4*Y5aheqE8msH?LTdg~*>4nG6qQQAi(m(9 zN^X;yCROuizFeb)pv6YFSlV=w(UPlNY12BUjr8i6l;s@WAKXlL7wwxt#63BLQb5fd z8ktG(2*Pf>D~mRw@_=q2!V&~$K%KS$m^x!KIQq4-Uz*M$N3*45l=b|@V0Ya{X2y?zwt}YI)I<2}Wce|W;ly(XxCOmx@rD)-Xx;icM zh%`SmTCj=gT|owl5XfZF%A+EfpNbVS3el$gh7E1JH=_Tossn-bs32)Pqs~bT1SO!O z0e?}Kn}p|S#HFg?16|z_IP@hV%Y+6`D$`N*PhG^>x?^Y=$RAARP_2I%K`M)938b>( zK`M)6m9ljQq_UDgDytkKF;Z2`tOz8sXt5=z<)HsxX)OGxgf%U@3W1G~GE@2Ls4FSJ z4QFeti(Ku~zWdT}BZ2OVdy5;cm7_4;oEh^~`-uI&7xUudSMC$wu|rlhUH!Zzvj|%l z=S7hD`h>4x`_7d}9+91933@r@XYM@E!i=rR=z2x8{fPRiz&pzpQ4eBKBeRtsBk zbUi|XdJS_?JE3#dvhp)FJ^0BLpSWNKmU@#a%#*v@LX<`NymA~gC3QqNno8(sYWkoy z8v1c;MfDhH%tid_S)mB(N`xZwJxZP)Oe`i$LYp=bT+Zljm0fpK%u~*{TsWNKKJZQ= z%eU0op3#GT7XJCi#_~Exr>OyCsXv`u>!*wZcE{|6tJCJ+n{p>YECkZwr#wI^>mNvE zt%KNBt83=0-7L*v{&@IP zPyZulRs45ZhfTsVcJjYO(#2JhQ{=P*A4L8tkCxESDuao_CVORnt-4X?PO1){hUEZx zmxsL*#I%D26gqj9r2d&-XbMBo%*xHQ{o_-{iGdKmU%k;;R88}!pUU&XZeNxF*EFoN z7!hzPL4=tITi`895^Q%7azUZStivE(0Ag`A|MhDU1om)%b-_V{_y=?V_?_V5 zj3;Zv?tHMeh)_nPV;m7=vo~tafJ}1z8oGdrd>Xq2;GQLZ9quP^xeKxS#`eP3zSGn+wBmo?(-iIBKhyz1{LwPL|9jAyZSUSlKTplOwLVPU>R^X~nGmx*bK=n?Wf zY$Md;;CHPJIr|w|!6-`qigV2bxud_;-E(RklUZfgalk=@@3l16Ddo=yt>2i8%A7(b z%wd==F^*^`P?ydI(__kK72e00L|f?aq`=-OUcO~V;+wNTbs|}=&T-`ck6HE$FMTl! za*2-|M7#QIBa&ZVkc!-!h>QLyU<9@WWtbsbv~BE0Up)`u>(97>f%bPG7_<3Em;APR zADPqrRQKpZF2R;5N2P+LM zg-|(Rlb>6HOiua1LMaUl8&vNuIvcYf{~QswPAUGDB;yCRfTC(F-*VH2cAkm7bzh8Ay5_EaxcBC1O4McvNxoMqUwy}aGU5?VM`F5E-ZG^oLFdyn=(0H z6hWpR%J^A9>T_S@5UYH6OrRL)ggjUbsHd7Sm3*9nPGYqe9tdgh3w--D{C{aH(qH%q zyie*3Lnl`#=9rC3sSCTC7Sv#&EpT?f%NnnM@9#9 za~^x6X3_tNGR-3HMtTi=om2#k4$#@X-EF*)Xykv_z%K=&ICym)y4IA55 zW23R{#%_|vwrv}|JN?do&wtK3_ujScTC<kxL0U^Mj%LP{BO9`{b zSl6cM`WQM`S7%#wJWPKTY8(n4yA?PCQHQ&nk%2tmi^f>6@0LQR;)vOnufs;ze zT)QpeQs=gYQ)>(zF0QiGYirY1%|mBb$$EV2(^i8zi%#vO3e8c4I}FB0ZotQ?zaJ&- zFmyPy7i!pAtO5m5&9{Htm~7}Wj$WT*`|!S$d*dQ6crsyEh3>L^jafAQrT<;k&`s~> z!Q(G~WW2}umlNk&km?0AF#b^Fw1`aZuS52HBfqjt7sr*Es()*>p3xsIrX`={;gNTC zi4WX1NA@mfI%hP9*C0_{re?u@_mUF+J~T-upZ$o2bcl{#UoAlp z5)Zt_%-iY4+-N%QyaadjxXe!J#vZlqPMk#$4w9!iniH#93Z2Fn3Qr`)9A%GM2byhQ zzs#W?NI^z97O0CTLB{|m&(!9*Wjj4~>0MW}lut@cN`Er#(Dxy>CaQ1hRF_3_@6b2A zuaDNZtY+QJE(%HiY&+v;Z?oNR$<<}w_`YB2qnp6rJo^;Vlpx;#9K@3D^cPt9y`Fgh z1xt40$o9pV;>YzROlNNWkjeU}W5L@c*PE988YE=fFBtj1b&4IAX4CTBU`tIWcS z`^<7@)VOH_-SQ#qJG+v1QV#yB!Yz$63z-qUAW=7Glqn`RKfzZpM*`q23y7~gJ*ZD7 z346*s7-!+a120vsxXpZI?;?kCn0?=wgt`2UI)su(F`P)WNM80RQ# z`3*+&b-c>)dq>HrIkOGMpEIx<=Axq+<6CBq;rOp3PdW`}8N25d)j7P0Y+LZZ--QJ^ z4x^dd$`FDXTF1rX3C22tI!k!fF+Qo>iAr8y$+It5^_;!8J)cz^Ct<>`+!OqYNByd6 z-?1=-A$?hf3r7{e3o%!s@hK=LjQ8E)-RXRwoCC^+yBt^-GIzvvCw1ql{{u9PYyLtY;yT{;mb!5)O8#||%rPt*2KP8iTL z0AzszpjRx|v^~!*2FOSH8zhi+`U^Sr)X!O9EO<#{BNcja7Wn)i{N^e69sKCBqhJq= z*XR4>x&tS{^p$7+eLSzYlb{;h2kLlqpu~OK-N~tQJ75T$@*#EAkky)2zr5FJQMFSq z_^76o4In9|Q4}DF$Tak}rG;ST%M6|GmHIGrR{O#*Ul#xIZb?F*!ac7=nZ4q$!a~_h z+r8a zoamW)Vow7|h8Ole;UGzOvd0YBNipi#h4E*tZ~RSFAnH$P9Q>JTs>Uu;7a<|7K1DrD zi%X#;o=;x>EztzyM|?$I4^Wa>me*7Ie~6Uys+FBD+piocwdnNX8?`93@O0yoC@8dW z)Z>$~D74aasH8vOO+wUt-Al;c~~Z5U~#b;~(pk_v!#ID*S6 zFO-dCQTCIB}Oxx!b7nSH2MAsE4R0`QayyDS&*!>15r+^kf$`d6c<#^&7fOI_>I7SQ>^P z?bE0`iTF9J`)P+Sp=$Z*^5$j49X76_o zyTOBHYpOuJgU9>(bO1)CVEXO1=|E}t`DD1X+pUyo61I$ep4zuA{lV@`Zf_sGb^V{? zDH9{A<^wYN!x3+JOTllHHlANqGiLI%GON5DHLo?stAqYLB`NZGk;K~020_dIY7U|$ zqwARubZVwl#nFOoDq`pQ7EoznZYARwL%EpFX`u&{$){@oWmE+%C_(JdJpEzJ-?d+Mo00;*Zd$eRz$Prie)KH1lbzxmPZCafuep#%~HylkT5sU3CzDJ*3OupZr zeP4JdJVaA|`un_M`E!*Cy)o}Aky6H|$}Xhx-1=R&y|meQ-Y>@j(zkoW&z`Y$q&H#j zghBksB0odbej+=n6bF%gRze4i*)cbsuz^^KSk}3v_SDSPnL8iZ5otZ~{^Oh~kKDiMvKcfPu zoKL5G)ATP3q79#!p7WyJ0Vr~>d#3Wtw60_7_a6D6o|gM}yCd*JNIK@A&rGHg?akxn zxBBhPSQnMNvG0*j%hZ8M#QR?Pis}KWKYl1{!x~92L9iTP1=PSDLlnT~DYAuELMXAY zeX4?(`sEzY5oBq^6x3R$IqgiSKkfYU>+~Fw=JcEba76=UNZQkLLHcwi(`xn{Q`OpK zab=8Fz&0urp|sIrlCKu2>7W>E z{($n`|I$PM(o-Te4ir>1wK!Mk6b*0Rf1`5)*%};!eaS>(PyO<0iGcZPS|*&Fj=&<9RCM zM*rXD`@c^_&XsnyBQuUV!kH_r+pFq$2Tf2s%}K++=bI*MjvQ>um+MieYs(5jel@0j zwa=*9ahHx%qbe>J)SNal_n-N9nT}gDO7ayC=uwZ%<~m=#3?7u8u`3@xwcO9qX3D+m zd5G~p7AUKr(aI8Ip`q(AsE9%>>BcB~SI|P1{PXT5Rzh z7YJWCUkg(qzU--U6w9yh&NPiT{D{Ix;r|Qb3&ynPDpiAF#1nQlP9bH&k?!00#&U8 z?_78g;1LmOFVYpuUySB_P|NQVG#j(~%idI}L;#Oj3IC`ptz7{WqxoO~N=qjXo+N9^KMEDMA6zJ!h4Ijrqx}OOpbr z=*kjrV^*cgN91Ga0{^CemK<24gEVHFdv5EiGc{++!``+xd73O*|55m#45mkLENQM} zI;g_mLK9B!Sp>W1joSrsmk!EW;H8wh)zYUP@xoCFO}90 zlioj+-XE6HFO}I3lV!33`GvBlT>8=uwLPn#Rf&>?qI|4>(I|<-O`CyIX4Ius&iPu& zxSi#wLiu=0%k5X4Ro3V6LLDlPFmqF2DSysRL8F`Z>RjnFd zc;&J*@U3a1au-ycMio9XNgWFrNyWOPOY+tiX{U#T!*i;swJ&*X*;50(Et39A$EqOu5=iP;2J99-FLVMu4E{Xen;n|lqZj?@g+kTP&O{_zrz z{kP)MhIER`i*SkPHy9KvxE<0YZ{PXIl8G^;0fj0h&iCWm>|l1;pwrRO7(3N)!o zC6WrVloy}ABGw{pHE4#~X;+AHGt84@VxFKVL^{J15AX)f*u;Hq91En?(?x5I z7ONE;VP!h*wTO&twbPyrp2=g(#Izz+h~$`J{e5g3PLPtMJZM`ud!%+czCG`vr8Tg;|${M0ZKr&L)jpCLEr#uFc$2{oLmCPvU34Qb!{myP2|KY)bTw~N{i<-I;hjn=a!9Cz(bqzn+oLLmXVg@fpNrLUtXqVSX0?H z!JY(qB)oT* zkgn;b&4#V&26=9qM>-okg^c%<7wW|17y3-jyM!0^e~|wl6#EC|09YQ`p|chRB>;KiY008pjnsv8lM zH!x;jFq8rCJ1qcXs_7%xH`G)C{2K}%P`?P(f=$r#|2fF=9~MP(x5?3p1D`?GjFm1t zbR!=s@VNShEr2T#6Ed~CItZ{8GL>(5`(H)>OOoKkIZd^~xRNm;!kPYtVVIODp^O^S zElpLt_E$5xYk*u?-Y<9)WsCuW$@o!UpqS;s6v(xImp1DF>gacV{Kg*J9fi}NR5Prw zHC3J)QNT2FAROK0UmRYduI~Atfyv>EIUpOhBB+012tGslgvEgJVoJ^@KkaqMI9>YW zdUTg7efT?j!p1ksuBqF>5BRTEg6-*t*)`tSf3N<(EBK$1g8Nct;PXifYZVI1!e&~3 zmNO)%^~=^pxJl2)|9p4bi5HVGZiLD#YuuToR$jG@i!Z!95tm8s#i*_{vOuF5Moeap zi(fUMDW^$h0V->}!V~F(P0Nck>%@Ro$`s1iJX3-S!5$^4Cx*ulEQH#>6uBv&(7a`OflnZFP-u?Q{1`qE zleCxV)OBJmHlYz==BAMS1jbPuRAVobOd6D^Qio2m`lTOB3oZkR)bw}xG|j1_d@*#w zxxDrf^?f7djKpC5caE(2Jsg6G&N-9i!^iPMxBJQ>z}WJ4mO|4NPOhV(%{MH0D@WLP ze|nc3H84&by1A&5&-nN|#!?1Nj!|eyI!MoVG7j^`%PM#MNhLZhmo0Xl`|;Ex*kZB_ zWCMY$`|no+t}s@cQDhg-5&*ZcPmQN&8YiVd8`~^k=PXA{Hn|4HlL-_Sle})`-qr}l zxqhO6QNwi>mRcOfS_^=%liZ zQy%AHWyz$n8@l4-*FUAaUC9gO=*f{%3V|doe18)Ef9yac^40u*?m!f%u(Ot0iJN~j zQC^5pm>epo!pO>w8-BT?9yzB@7**+xr81LUP>$Ql1#& z^vSmd(*cdZ%3@8y0>A=D9^UYbon%ZHiG_4jM?C;rt*D5urztp!1z31nMO&%|*nD+# zsYkWuVh`n^>v8Ejr*_mWs(4dN{v?usDZ+OL^uJ1A${57Ut`0100epZ4pEu60-cz{$ zcuKr1#Vt#+;hdIv`O;1Rzyr6LMdG*6P*q=ERVn@8ZW{B- zoQ$uceAOmNsEQ~L_nA}vq3Wzf79PSfi2PwfHDL6nmB4y!q*0V)G5ycK)tRqrq6JJ- zkxg(N>iJ4gJKvwLsigl8E2l=jKdQj;XH9AUoVvbnpe$iVjfmc&w!Zs67wbX8a(vF5sY`lnORRb0T(l@~TZk2B+ zo$=f_)$lRnfBQNuu}tV(hjbTT5s^b)o=!bnCMM%BJ3QY8+`Ohl`388x{9hnFM{9Kn z*vUJ*Sw!XXnv$8jE8oiuX;{F zYBlxJ{2_oP`M*D`eUNtn@CPjYFtRTJ9zJpj?*Os#&z)(B4hi`BI{5xr{|!cuz_D;m9R8mZ^9mrqYZuA1)^uxjd*e$kg?ER2iT$nIQoZ6W?1=MAeyyp zE2TQSKK=Rb_S(VS%!hDL|M_Q0iXP7_wIDfo0eqaGSO`kEl^qc&GRgI$>_p|Q6c$I= znk$slEV0WcTwfTJ- z4&o33v!F%-IyYF4g76k3^LLt%V*ez->d6bCw8qRV(ai#OPP!=wMo3D$?YlZ*!XhC> z-hR5wE)?)HEiT7)fXl%Tq7b8NE)23Z0(nj$O-9j>|IL8-5cKCRGs-RNmqKh9a+;qt zqHkKSzR*%Rwh^{M7zT5Gev1eux>1BUq#?CiVg8%2gj?7!9w#ieQp9vO0W_A|B+3He z-s6E_YJLl#*f6;6SXU>Es5Nfhy^OI}WS{iiP(&$kPktIx8(J?K5O2a)Yom0#V1T)C z1cEe8F{9iGWC%aTvuxT~rzg6BfTGgKxLn~7$N9jHr7^Ob^KzPlkssEJ zLR_}TfDk5O!#s|vV1q4&2!nWnNP?_zV#8#^SYo8}*Z$~*w?=?avA;5nCfsu7f+A&s z8-rdi2pA%Ovt^kG`;ATR6NA0BFHmo=D!kh~b93h(#C=$e0cMGj@cD&DAMnVq>E-3*sEUi(kL!QonM%;6<=xLg1jV&^-PS8!MD@=NQH8`TG8m56plwCY=LF5=|t##4W^~P)Ur<&<0p;xbY5)^}1^dh?&;QKH5 z;KWMxhTcK#gG>aS@QwKkI)Sp&D4qAC>Cbig*ZY&fo4ZPNFFMKQG~kVlEY|zjZ&5cb z96Rk9ID>R*pwG6xp1#ki2fX9~7sV5@?xXN8D-+E#OB(w(57j9%FEb~v<1-KeG7zOx4*7DHAg@H>Tj{4%pX) zJ$CCpG#w_PuBz$1AsSXQQj+)N`IVUt^r7^Z1G>5d zF{JU^6C>!Ygb&4)q4O!(-Z{OAd&qV9%`Yb^TeGt38-erNV>5r_+Oc^zy$SIZaK66( ziY1=nXN?(JaNV%i|Gd}PDY=IQsWf6`A_mAjRJs3JHhXY?B52g7s1moQU;u z>gEeGsGUZR0VNuB>M#VH8LNLZjmK^8)BOpN={A&2R&nsJJ~|lOo2QqV2c|hysmZ|V zNppVfeOFF#oIoisI+#>XJ<16U%pM5HQH(ZZH9%${{*ZsS7X98?U5Q=P&yA{;199hUCp2!dDT&3aqf z#bUvM`D`1o>g&#lz`H?NO>)gRdYIJvU)>W+q@C^j!4p69jM2$`Ffy+WG~S+{y`p8n zLr6Z~Jg)%XYd{siP(Np(uA-06FYZ?O2HMZr3e|0E1=^iF*Go_Xp&QFbj;um$h{5Of zrp1iAbfaw*wjOqWhPCUHKlo+&;fuxjaAmefg9@27)XrV%dOn@Zg7pz zV{V#kgZPQ(`A$sCQ|>f4EZm9o2;BWP1g+>IMj4lg!o&oG$MD}rd+#T>4e=Fk{~w0!F%$DF=XG>oW@ zQf=&1w-;+lSOth!lv1l&j=VRdjDIhFsNRL74cZJ+$^pmK+fZ0YVQoKmcueh6WMRjq z4S^CJm^3KC#ziQ;J{hTAph_nfQ0*!fLoqQD2m}c-d72D@dRV#Jac;s}E#f5k{(WOV zcnJ7H$=?jgIrV5mHt5G`GY7wFXG&;WqJv-jWKGNxA#oQZA@c)rm*m2m!Ch4`pdr^( zxR0Is7B&yU;P8k%At^p!jU?!JZX+pKIT(;ZQ3BQQE8AVjGz83POe6OvBP>1L>uLkt z5uHZeH6@zrSj1THzu_%L8Xz+~!A4;aA=pH~d!i z(-^p#gV*=Hx9iWcE$>-hHoDM9k2)VVz8uV~SO*HusOvx%F(!b^=~6aQH) z8w`q@yDk2)>q^?PrR%e&>-&{txcF!CyXj#QD@fq++e2va`6YyDn28_6=$F>WPM_kl zd6dH8tKkuiERSvyg=Zf}ir`Rx7O)T)5UXHdkg@C5ZvZ4L@CfFD*qN#u$Yi~+8M*3= za40Ht0q%h{Y47PSQ^&b1_c?3g!r;VFxZC9@z%OeU5|l3io;3t!(N`O#hNgyCHw?es zR<~=F6|Z8P@^a*E#HxlpH9NNyw*9*>63Ejv$K-G4Jkj415&q#7Mh2u-Z0-S|x>v8y z&-YI0L#>g-HNDy-io^t)un4ZaPiV}KbYn^At5hO>oAfX!nUJ6X^~5=3Si76fQo`K} z7hrzhnIJ(n^x!}eO+fwP=|L3ZAwgv7K!l_j8KOW8_C`URv8pL6hima~pS`+#-aOnN z(0&I%hl~eoH_r2i_!_Wk`zN#gbaOm=0ft=v}Td z3JAEmU2kIbHqCpRzyQ_lpl@gHjue8Z3FroiO$UQuGJgvOB_4s~ex`&==wo%lEKf5& zX<>=1l0^8NjIE66EowH0m%U%|0^NBd9aqQCx8!voAT1TL)^RQd(j(`>4D;1R&?|5+ z8Mee@tq@dm4HaB-t-cv92fyv7UPQFt#QX(536xoR1n3m4F@cl?s9z!`2>P9LE$*Q) zGnAh?6G#n?xu0tR3BlqwIcQFT8GpnfCj&a>tk%2XhjDW)@I>UQP{j6??iWO_%e>Z2 za=Z5_cNSVfpCayx^zI%o8Q-+e)*p6r>yzm7wd)$kzQlN>iV|fS%)sAZ4gN^UhhC_{ zjf8-nHx&t!Mh-d>wwi9LH#S^o5Z)bla+@9WVcDhudYIu4sRjn=jG5OMDwV++vX{ZccrRlb1yv!~u_jGupGz%fZipS@4q z`ZUK(ac=aXFVna*^Pt4NZw$jkG8Zl}C~+hQxnok#%RUHX0Nw z!q+jq$FQbO7C8N`iDm3Atd~K*w=TFVgG1jlA1siJD^pBF>YCkzWlc7Woa#RGNzP_i zHkM?OvmVblYXA<>Q-l*;KgyKgsh|dZWo7rMw|!Mcu+3&(3xR8?IyUcim*}^$oQ;PA zS|OZSb$`6jd*vhxA`LS{^H)Xx67#MNV*rexis6P09GF>13$eFkF1_4HC(Hrqn^lji_# zb)5_hs<`3$&>*!_EKN9R=G_kRS_sezMFX+8n|? zZZTV&tE_Bo5~X>1vJu@`h)MWQBkCrR^=_OjZw}rO8>ji%p{9h~McO(YS8@AZq`wl_>q`XqkjYBtr~$Y+JO+b)MJE!5+fQ8!IjfXfl;SeWZ2N{NJQ z-z=J;XQ-MQ_01_-2QP|oqOYhT>5$M+XeQ)7@=L(C@T!bbl-I9)<^7fBU?-sndv8K5 z@tyU6qi3`k9eG-PP#!8U0|DwM0>Z$e&bS%XL*+fr3h)P$_#Zx#d&GR}$)_O;n;{yo z&KA^7qHmWD33lPzCe-RKJR(7~OB|6D8fX=`TZ{`@qy2~KzVu7!1}42)_xN$*Xs6W1 z8FCzhY0uz1Y7OWkcF_h#)bqz%)RZAc!3CpdvhANCYafFtxf`9k+C45?SGELI+#aqYh>68r zZX$V+KE&v`w%=UI`5`dN{5naoR|j^TajUwYjlv|pk_bL<+=#A1J&+PT2=6qu_NAHTLd~C7+OvwMD{H6ywRG$QI2HJ4 zeahBEynW3##}SgTMtNM&JWyfd5Q)JVQ?l#~34=|2OHmY*DZ|Dz{P=}to0a79C}ia* zavF(ac+y*wXu50O`rCVH_(gFYXjDiZhBT5YRTe0rYm+>uXs(pNL7*aMeCC2u%;*bO z#hAa!7c2|{F1X@lD2dL-ElpZ?K2 zJA}1mB@ARZ+!KJpg8~|ZqOd!}^n~~e zKbByUp?u%sta%p>i3$d+*G9s|8v#L+F<;%h>5Q@Is z<8Yg7ruq*ew2ySZAb1UROHO?5fnZL6MZeVhuk{{p(cu*Q0b!tg$UWF72DL$zPz;Sn zO5Ov_b8KFW-tZqqGlS{a|Kl3&*Pwxw5EiI61PFW%NIus&z=0=16TssDh!q{MSgH-w zhodKn>sA5iyZMkuVhvDPK8^|BdN}93s00LoMLNw`yP5}k#O-s?nMd>8$_W3V!MWaj z7(Z~Am>#Iu8Q?ZC|0H5XgA;gk2U|d1y{Y;DK4d@(q*N_H3L20NK13Qo44|)`gFpLF zMyLODus`=g7{4Zxk%h3EO{ZAIM%>bimMeB5Gse#@^J*Qhbu0b=oaqR z00Nb*`7*UXsEybw&)5ZY_c0TQd{}oP!5R1|5Q|}!YXN-aB?>^Th}4Pz7_2_>wqF1l z0_Itdo;}z(inD%tw4zKu0U+Rvu?elm0a{RmdT#@&0@?s7`IHZoaQ!wo0U`uISJ>g{ ztshQh)cen=1pb)}o~U6?g0CRd3U5y(n)Y2eZf&%vLU+VqL_xn+eYJK>H+ePOujWAm zGNDZESwHT^5>Wd>G4O4_dZ>O-b40P%7*k?|Fn~ayzb!a`bY|3&pZ$W!qVPb6q{N#e zgn@|a^z8EAyg!jDQ30kvj3U7Zw1t!+P6TA~nhr=&l&`^P$+#v9U?l3}F0pU4fiMC# zu{E~;D9rFa*v^AQdIV$v_zFL^$KO@snmy)S0JXf?lP?Vv-ed|Xl+MLTt6KuC5pJL^ zRMEiyX`^M-1PqcbO-OhG1|3KesN5a~171ui>Iu3Jht8~#Gl1Bf5qaI_l02Mx$5E@2f&1p+Cg06BmdKxCVa zrjFn&fZGC!zc~h6%4OZShT@_RDH>3{4iA!#P5q#OC{Al7LU#^ecsQGWUEhG)Qac9? z=r#cji5dh0K4}H=c(44=0fnSLJPr(mLJK5^93CWbce)n_M16b#a4Zp^Uql!^;LdWB z#WjHU%NMBuzYlEqK)+_tfPo`U_v8g08N~HE)sz_WYC^CO(5I&&ArwzDj^@@W1^e4! z2M!m37}U{#FgL=1a26eUy~_*F@^;lM8rS&q0yfe=bLXei9R~EqWezxbPs8Fb7NDo( zgYn0o4~!xJPe}$R0Y+S@-@pZ5gKm-mtJbN4V2QtpVB8E)LK6Occ-y}=z#JqI1&DtQJJ1GT z=>L5lYci^-oLS_xQM)MpgxCA{$rmtWKfkuzldaSe4}fB@{!($oFqKGL6b%`6VkB-W zA$+@eq5i*`P`!TC{|pbWsvD3vng6l$=?!zkBrs@$fpr2*8w`ld1kGcSpgLaz^r8uV2I-p0`&dJ+#142a`5V4n;kK}D=#lQU4TC;u5dmRuPbwKx4X{@GW5 zVD7^Na6gjh2Wpe#zbQsFpg*XMY7jfCBDqRQi&z#-fi0dRzCi?jvrS#7b@NS8;GIA3 zu#|pja{o2m!9C087)KCx*a73D$v39%ul`{BaJQ*>t~4ImdB`-+he;I(rcl6kWuUZZ zFEH}~8&f=e*Hs_^WRu{t5dgDL|7~OP{~qMj5Net}9FOm@up1Q>mj7dJnE;3sfd$ml zcx+vp6REcrN-zh6z>WY&SZGh81>$o;<{!Qi>t)zsUSAokqd~Y00Gk5g0gPA#T@w}z zvZ+&u!R@h`C|0h^uy`ho;Z#t^2W255lzZ#$v01O0#TxR2e1 zuiDt4-G80{>lPgX3=yi8}DN+aR{*9hB(M1!ceSn1tkfwM5U~+3E zw1dY6CcvG2Kz_8Tn0Q>k=rw=6inDREE`A75fNHI)cnXDP(;b>^x2_BjV};o#Pc-=r z@X<&Xt*@{DrYpd<7=i$F^uLp=UH~-*qD3oLd=+on-BIt zzqeppZ&y@^yx$1Vyw80a$$OX#pcKi&eRWiF-?jLZjC^bp|Jj{X9Q0Z&0&F)N9Wv-5 zb}$%&7`d8e^RM~EY8~D}61zZ>0K}&Plb5L`lj8X%1{>+|5tVUY%rO|hUt+JH4^^DY z+FEIe+T{kIV}&*`L4?IR+$%xv_8Va^GcZR^p1wGe6+Ql(;3#tQ$@89I0Pn)1^Ekhg zC!k`)sSKZoMOf_kWen$>du!14Lo2NgeAmP4&0CDNuviJx4=iRIYt#B`E(qusWWi5quMVr9w;c<40mRU_=lVA^T<85~k2 zo)L#8h;v$Z&i=x4KN@q6e`^aZ2fNI}eAE8{>i+9~c7(bE-BIwWemxjF&Fg8FP)%oq zij*jvAEo7jCf`fN=DlhcS<@?Kz*V!oZ<_)01yn-NDEa_&ze5JHoqw!y|IDc)my;v2 z;Yp;y>n)SZ&NNXl%8YAlL;fZ8sEB<(9zVMWab&aT4{@dZokxtRXlUsVDb4D81%jqC zW=*u)`cFjSompWq+6Y$^e>}bS=|b;n*^q2KYhFe@n9>68I=P@j2`gf{VwmR(aSDY_ zNcN?>$D=1Cm-4=uej(nJ_JE>WA?kw0aslBYn3RXMn=x!Dt%h+hp+`@c1jl^96@6>z zGQCR+Ngj@%4ed0bJ1iH2^foQasSd#i8l!K-S#&}Rlowhm%1{i|I}nMm@ql4Y&wT?+bxx2FJgL(y&ZZqa`u_YBA9>(ow&jmKO<}S!Frriloq+T%2%3zO2`R!pXY_u6m9CWRm7!79M=6l z^I0^vhbVckkRxFKZ^xYSPDu{lJticK{gT8NzM;F`>(D&?ex}^X?V2Q%$P4a9SH#dq zh;9@)C|G`cnX%%npF72pH?3#o%|Zs{bIIXWZp>EBuiA|vlf3%8H-80th!HN@A|E(Q z1tq#|A3?Z~Cu;0{;~Ju6Gt#*6n3U)4Kcfu?`Jz^is3NikxQUA3qP&_Sm+kEW>4nKV z?oEX6V0&(a)q^8KPxFn{9fV9E1)q`TnjMv%J^1+bzFUBvJlx$s%_6e)6E{V4tn1z2 zVvBZq?J9<#hsT1F?++SsbWjY%>*#-o~+~h*_G%rSUCj&O7#t$1?I_eifd>j>JHtLt{z zT6##&PBPntF5ipP(5f*Xn((_p6!=r?#mZWT=fInW^X3zxePjzQSszS%5oj&SEvz^~Cp8k1*Rj!#+RRi>yMge+X4J z6iK)BNJ$IsSn-ZqqO|HOTkBCzF0^xPoUpF7i6Ryr&g`56lyg>AkFDT^XHE5*&#;rM zn)M|TL0f~)f=TjZZW|%uTu-45wuLupfnaiC&|)Guyj|F_rZRpGZ!$V`Ni@X(XqmCJ zz2BL(p9MqrFHGVJNbGqqRVE!fzg%94Cm-7;6IP+E=J891?Hk932ya6W)v(z2=DlM(G z=bjt%98L^kLY% zNk;GC4LftpEhwxE3qI>3-UOQuFV^Ai!@H;0+j5Lz{Si6Mm}AbUyBzH0uYhjRM|Nl? zGyy^zp@}v!YZWx?iEx>z8s;uM!xxX|IcEJ54%Xv}kSCGKxKDP#?bj6fSC*+vw{}K2 zAEhVgbf!S*Ej{K8PGA+6$4Dt5jCcC*y1;RXR?K^W(-_rp+Sx z$tU!9G*&rFOHoExnOfF6>G#dCVJ$j-|X291RieU`G>p>xTC$mJcXgz9ZI z{Ie7oP|hqNJ+?OKtsF+j^I@erWJR_=QvX}VXVCTpr>)Zb^w{$M6lk5CFiQzttRqwZQQopF6;Vq^2fWElK zc#~#Y-)o%-ya^TTyx3_IT)(?&iYXQ(eBr*mnjFO2!2Icx<*Mj$Q0UkO>KeO%|6cp0 zj>i9>^f&Pr4k-6B7KJI|Hj*e+JrqNGkI+Z9Y(5eqw2Si{y_oFKdo&!L{lNGJS?Ji0 zi-CeqW_sRG$gPZMdxTdgxl#sc7>6o~nPT^gEvVt~aP}%#?<^Tr8#4eUg;{!M(Y_S; z$L%hPN~C&RKXm&s-|b%b0}bPhy|E2tVjZ<>P;V8n@TI%#0>#Fm49L%bAF1H5K2AXeZ-XjU=Eyw z=GZivn;rR5NFU6I~l0_^T88%4-yaNg(nV5YZcoE@JhCgg&)HTV~Z>}rL7x>OxYk0$; zy>J)*R=$`|$rQ^r$5jFnnnzQ%D#*x2L3^rg@+G$G9LdPdpoUs|YhMNN!BHHV9k`*0 z#q2#0@W{jjJX-NoW1=d=1Oo9%hhRzt_PmgU!cf5oAh)qD!V=j8K(_3M9B<_aB~oSf zN{A|y1w>^($J6QX3<>BK20Znxxf$7|yU zG2=xmSl*s0OQZ@EnL(2jj5-;Gg3`!k0pFd33FfSQ2Xo9yu&(dsDk0+L>zDjx=Kdu z*r;zw09CSd5MaD50|rq#73WqN*f|EscOCBJ`Rsv3WVr_IlYOiG&$_)}xi z6F3pYOP+n>_|k66U&+qyM5C%wWSmko!AXySJksJcFH#W0%1bgGN|1$ev=gfkHmt!? z>ZgWrvorW~?UW@csZY-K?Cm3`XQMNTvzC0N{5g~Z_DZ`-#`V&BNS@!MYjo`3d8|)% zV!uW8cf7omjX9z>{Y9YnX6B|AQ)*b|- zrqt#l!}9(-%2TDXJz<(k%<1ariE`pf!zRy@f!)fTubI`1gXO;*F zrvLp;Q2fgYgF+K51J!C_z;(ipzUn{(Y1X+cDg;NE0v`e9wdjXh>>dPGFar`X5VO)x z5KvH1e!TnZ`)3yiz=y*{ZxIfUbc@d&7z;RHx1&B!Hu?!+<%4PZO__ImzW)hv9Q(Uf zP>%yw?mcF(3%MgBz`{?HeXjJKoK(?~91qG*I30xg?G_!%FFg5Nypd7A*MM~6aC2}n z$Ug!fR1+>7M9z{l2VU{o0wr2RqdqzCH)w85T=fET>Bbn&G+VmeSu_GYlNnSp7Tb;m zLe!9}>!FX4$B_%|6G7TKgLC%ax};gz3WN0Kuj2!3UQ1-ywD z;IO%k%zmhyG{RQR;eKu60hYF;LTKS2XW{|#NocvQq9+UCe%BepTbDlX`y(PlXP5lQ zE1eJ7OILdoK4-K3-2v1ni-eHdiQ|gV=1^1XJ_Gs9hU_#ZUVW0UK9rvbL(70SUP}jI zQh0n$P?nzw33(LjHb{V}JiI0hLm>?P9J-FkG2z6OPIR;lle-t#nlJY4Ny;?{_Ba(S z*FyBS5c%PrLWC$sgx(8zZ+72|go@w#pCaxCxv7_B^w8v{RDqz$YuH#dl%K!O)r}2 zPkp{sp1(Q`RMK`wJVXSwNzrof$U1-zfb)Fp?HoUH>cb%V zd6*x*=gKX`OsP2GF2rEJy<8qK!O+;vPpI!!c52-4dJ3~$IJ~{;%$jx_twL$C_IvXB z>E>r-fD2|wkD9m{x!lDoRyV$aaDJ@aX#9SCamjqH#}|5P7Nmh0JZc>@Q>IJFErXAA z&Bk^G^QHBJq=_>E0#6y*!P(c5?aTA)!<;rpe-nS>M4tdKG)F<-D!!%6eiqE(Bah(_P{ z;Ou)+%vhN3q+ZsoB8RhLz^8R z;ApxZI=#QHWWJ+nW>Aj0%+QFQ{Lz#N#Y8K%5IXf#j5LI-3pO*AII_VoLSqVL4jJBi znizUL#kb?ea1zTEmaXRPaO_IHCQ@~Q9Td-2y*D-6i&oR?s5rBNkJNq)LtneN_lLq0 z$5>!-B2gVXCy4+W8qa=?EQsjkzIo(_WGZ##a6Yl2X_5#&G2|UMTE54zYj^(aT=HB3 z3Ld1Oc(7O*cj}G|Z6~{Cf7>dUka0UKf3=0Zjyau3cX<4yW}vrYXX3lU0qDH!9hYQS zCKcm4nwrBn)?y1kREe#`Z!Gec<{rr zjL}|MQ|PRpuIS(ICd}Ppsc6@7^$7zYc_|_6AJP*Ng z%xyTd+z(XELWLDdVAPrEr&81FP}J+=Yg(IiozTOd7U6$j>C1yy(WN?JCKFSy`VUxP zq!+)4JCf)>4C^O9op2;$)lH>*mPFQ_l&4YURD~Jt`vi-cs6ejHsGi zHbj<*{Rh@G+gHcWy~aFga?bfLbW7j87CCXUpY+3EgGHJNc-cpAm+4Pd|Y{VOxBPzI4HeN5OLnClecb2fjIYSl&5$*%w(yr!KyJ zc*%3Zub-f9)r_4eN)=k|88OBWavR&@Zf)YNz3LLub4Ri2>ft6C(<2zZ*o#uQc5E)B zhq%n>l#|{#Fe3WpkBM!SyUZ+Aklsu(B0j-uVIaV#gkUotpvIbD2V!u-%!o-t@qb1V zMI?egqNIi*Gl$CyiG>0uVhf7K9ib3^*nKZdy(YT0^p|r;;czKz3=!kufn->>-InRt4 zaw4)0bBfIlMLdQpfF=q%s==R`Jmu?HBhYA;nm~3!=G5Meu1*GBckFZxcXau3tI9vX z7k05_dkwE!f7>L8@#?!Xv_;;k6@uklMo$`IQ7jvnl z5vC{_A1yI42$Qd7zjFXAY@U%c6vSect``dO2`?{RANtSI2RqXMu4)jx2(`^%)t2l) zNoPQhsLtClv0T4!4ja(cbY6~T#L!n8GG!T$c`Xc?KU8Susu|C>Wh9@O3O`)vEgo6@ zO%1Aq%?wc4tKpYx;Fqi6KS_A&!Ay{mEw~Ql=P+SZnhXVDT&Y`ml!GzYhZlMA0}OVa zpw8Q?vWz_*p_~ryThn^BmKDtW20b>+%&mA{vFgL-Y`1nIpzZoAI6HV0)Ro4U*T&l@ zWv>x##m`3v{93&~@80+N2s?pmRDc<_@o8(C+3_(?v-`aaa^;qM$nYux)UEI6_xJpH z=~EbR#rBB7{T62{~|KOr%qOf)MrFBRhAG9H5W9oYRc@e1!Q z0y&YJaVptn2{(}FM`Ky0M)$Bb`;5Si!ILgzx`?WXQ&&zZRpz zm7G!{NwuxO5ejQUr=d^PlY57gYy$H5A}IKf&la5DHA+KI^fB{0eWu_jM+?tqldB@c zrIw(*K|LmpQKFyo`wMy`!@@?&JIy%yoG#r?$*7=#FG%)kqo#dykpYP+InxWn)-uz& z4zQzMRoZ4(pi$&hI-xtBkCl2bDE&)v)eO>R*Trt7{-3n>v`yaAA3hQVy@CUc)T$}X z6e?uWBZ3YVuV*E{e8 zsqQw}d?*B052mJKG&Gxo9UQ>0lSc?2XVJL}E13#Otm&L$+c?P#vE%j390XeYs)@dkZr$~B1Hv6kJt8+XsR?8KpUr<`4o4aph zs-+y5J&cpdKrEY^gDq%Qyzx_xq}dyM?fG)*Cp0yoiVi8myQ|UVXb|-Y`H6wWsEm~K zRbs!q=Ad;+&FsoIQOAZ0psW_Lcx&|Fhc z7Hy@>f_{`zVx!z`kv~(thx=VP`PWVPCOMKQ%(wG}ixaYnKeZ5ncT?+tzw@ZL0iA}G zzG{$eR!M&muQX+uro9>3t224|=7=*YY59f^);92DtJ!HfdxyDIsl^lRwyuOeJKPUgKj4gp{A3J}QgL`X# z#Osa*%>9jar97Uh1CLBmE&1yXrM!xwhYdQ_*9$)!amy^duSrf?ta+E*%Bm+Fz4QGk zfo+NNx)H7~P(kX+Ej5#>dzTR$uhtf78Q5EbGLI`VVJidO1N0+;m%e#9t-bFcW)@fj zaxu(kjHk!?E7Ce@>c@;Iiuw!gvp{ce*`ub~I`n;=hsq&eD(YB1xDh>A{}-y`0~_BH z)t{}s+8!44Bu3_|7j?Y0u#uaxY+sgPL&HNdg^@(VA2k`?s<_}$1kCb{Oa9c+111`^ z79vWXC%(}Ft&FXJCoxkKp0^xjssL%qAIWhY(|;Y)F&bx`n~rad^6ysWFhlcs`YJe+ z6oJgmOZ!#KkvqG1o~r+$?Iw6#$s2 zs&mkuH-x0{+QYJR$~k}MQ}VSK*#>sMraW08<^soKFIk~0+vdV`E2{u!|BKR`z(QVdI_+~1N)T>;Dj;b{-294pSq?vnB$tH|7mKxc z42O*ixmJ(xk(T|bmKlbf15>~^3$20amRiyHR%hn{?Z&pWFly23#XRFF%pz8w*7$eQB$$c{Lbq)V`KstBh6Hl z>WgfgX9S7+j3B}Mp#Vu#4#%1i#s(Oh!xcaqD~8EO0dWI_; zPl=c1Lt;pc+ebx~d9I0K)fnRGLei%g*~N6tf}b7D&>r4_zDSz z9*xvS23)Zwamu`8eYD{zL|=NhGfM*2oy+~%jMFQ#LbIz;vb*5SpG_fuVn$XW9|G zB3||GYHnDhfIvM3kYTj)c43K%v$@2I>(`+rP+pI+MUWQ&RdkW4VEvLS{}Ol*7{t*LWD^zUN7Wa`na5Z$KWfMZ4GH6S0#Na3~dyT?`t)fU~OVZhdd z9>Po9P;Moio6edoJwK#wNrErs$S2;ss%}<6V))392nwB$Pz!bKBB1H#1YLs+19L$o~+69X6JM^9&TxDyjNyBb{Fl?p5l#j|U=t ziXks6G4i!fGQgLS6;`$a>klhjqZeSN|bB7#^r3NJa4m(0JepTxy{_cpF( z`Hcicgs>6Ej;PIo=i123+ymc6JVonBDK99tD8h6YC7g(f(6Y)Ty*?PYV>C*5`kH6c zztZ4So%cKcP0T9Dp<@`8^*amRofc_Uw&dw)o!p4=X>R;gYV%ZGwgiBQaM|)w`1dAp zT^GnBK@XU}q}(1CP*eJ(v<0K_xa`oUn}bBC#u~$f2Vg`OlWB_<+kl5(1y{QmPfy-e zb#00f10ye-kvJPj2T$kqF_055k{=v`R#D;njDzz0b9#R2VMsOc%hpiumGqL9A1xG{ zNLDcrDlwtRmlTwlbNU^LnYY>L`TLOM^%G=p+p%z7nlKfLnoy@kLPJA?vM`Jmam}k5cS$`Z&u*l!`VmwE57$nJ*9#LX ztl&pDtDA>mQ}u0EtFUixyuAG{Xvn6rl1GId^pP6++Y4-{5N>GI$KzLMP`E4`F}7rF z?#e3pawW*Hcp#X%7dX)A3zDJ)d3)R*q+vCdRIPJSGb5DuJFhU(4QT!=HH>rxgGD%m z%=7x{iw64O+GJEh_jGe5xr<}di^n|q`L^5h98?Ty=DDF@^B^4M7_im3L0|fzY z(9cqlKI!x0lNPaH=S4{d-pzrwZXB&y__6(YRsFd@q?^-QzHgA)$Z`%%*Y1CDF&!GX zA~8q81f8l;Dj%cO+bu(y^stmrh_)`UdjL*2lFul8ZiNHvwroxpY0fw0Qwd|UFVl!K zwRa-E3?12?28iS&*GAldOgo>r^+Vnn@P{irM`jw#e;{eSn#h5L?A?~UqZ(JRBph{d zc+oWbBCoaK6$XpOO*hX_eld$BCRLL!j30(KTNcuv{p73XF$;wk+et?yaMYu8w~&0= z@t<&EBoTrbQ`{TVQ#@h%ka{Ix(hS?5z}Lceh%Ap!j=S3S+_M`&LgzmnGJMT+ZW6?+BrY z80neLwdy&;_PAbWElpUu{&fS}d1tWR>7;7m6Cmh6IM(tFIM(nFB=}4Qom6vx*K#0y z6OOg9+mshXy-YK1#ANJeIeyKB7t6Ms^9OwK#2>-p2kR14cYXOtWMu)BoiN}1Eow~Z z_bBhQNeS|F+uPt$vb_}|Ws z-`Fo|__%((2YDm!W8pc5KhwZw775KYPPo-KsYipg+!lF7oUpVhD|^*H!@9`22UbJ} zBJjxhax?pt)^As5?YEa&N6z16?p@u%O&+j{-21qpLJChYRdQ<&r(2mikALl7e19ZG z^vd0lO-ov_b3K?o4`J7D8vAt57PgL<`1RU{_>mI7)%x<_ZnU^oY?4F#^#`PZjp2S# ziwQK%;R&GFU%@96UJ`yhl42I#;;LAcY=y!r4d!{>?ne1PBY;OTFC|^ z*7CF zFRk>*B7I@^^_E-K@9NP5n`b2hISWudRYkD_VWOd4sKVjGe$DoTd%khEeZ3Au>J4@t zqdc0zfzFnDnFlKm$Nf=KR3`27m)5C_K_N)DY0u`WL`4T_`uB$E2xi)%1N5tGq?yI_ z1i^1D0=&)yE{~p|Zhs?krx9G;P)~oaxK~6D(>xY*V#{?;MdVy=g6_@jD^A2IQ3c=O21PUJ`7HVr5E858YcAr zi2sp{?BuQT0RL1ym`IsbrN~8C^UyS(CVCb5x!9AZ>>=!RgCB@=1IJIM2#Wn12)5*w zKUN(*deSDD*D^B5`h_LP^PM@FKrM_TiFPTj!4Zm*wZbeoGyPROq4ZMYwNeptR9WVS zqOEnHaf`R>@H9y$Vo8K+-u(yjW2)e*vhb=OmhpS^Eq5Pw18FJ^!LF`cWD$G1Aa7x^ zSHbK04SvEm(L=2>M6J_DeHU@l1eY$rUv?NRA*4g9-4_i@wpToLrhZIn5?krS{Oi-; zJ@lsA8&J>L4fN*Jxf5GJPkq|pW8``J(B~<8b%PcJ!2u^Pbi(1EsXMD@lBOzT8pdSv zANgB3y2(oM{`k>+zU4Q5&5f8&-ziCf(&5~b`)2({tlXD20Y|$h1tG2(9SZXB{*u;B zlp~uANqz+bE^PdfJak;bk44r8tCL?-=T(k=d*_jYr)p9f0dVeU3kj%BvO3qj!V}u* zBQ=}zpe6Gz8)(5whnlbDJd5ITGjksF`F%$3pNb(ai)SVPr<5BXXHPJP(U(z{($`5B>DW6q;f5HK)RwT~%K5_b9)2rRV4ll0HAS0q5jMA0FGd z#JeQPD-DZ@edj+m=#86#&njy3`;+vQ{+iMQNZ+lyb|szXxk{f`0Ls*p#=Fhj;Ok#6 zvhGX2c8b6s{(QxEuJT@zo`aUO6PO}(Mf`K>Uw)bf*#$?RBdfG+*6Sn5;=I9HY5E*= z%G!enadvI-?895)?CFwOFK^;(-m+P*SmJD*ay37-*DP}aRm9o3m9r21#M!utTX-P0 z^zM*%{v3Yy`@ZsVQ^opVgXI=heeN1)e$2pRS5ZEj<}zxVYit7s<>_%p;`Gsng z?i!5-ha4cM%w%GUxc<&CPEcA_^7W6ia1~yJ4o6#;!q{)EbXJR>;_Y3+SN0G!wwyqi z1D^g#JHln;;oPI-s8<*Kzwq7KhiauA9P=ld<`Ndad@Jc zXR=dpf*&r?IPa|PEm9H));7^=YpHB$it8n6u}yCdu2!pN`>AH9Ml!y!WIp26N(OK} z{tjob`|i=n@GQF0doy}xT65bOSGwmlo5fbMH%qu3Y<%76}g%dEe{|=Ehax! z4{olkka!3BFggGFxVT-AvV3FxnhQrGU{S0nMK`4lgU!1f09tI*5KaL6;%wL(Y`6og zr$AqgaQ(%buGD9?$JM*&S^=#1X$b0ec9ron2^J(_THfC9opR2ivB?=h!To*3d3SA(LeAYIx zhvj{6fats+T}?vdyd!~k(~q5Yz@5X_`;cBk12DHw`#oP%{|IiR7zA}Cr%#Erm;5j0`+tS` z4g?Z9AjuzB6_Te|3Cp{&Im`=+9s{QmA%y}G6|f0t+vWZYPmK2dJT&jy1!w_xk1PkE zdWEbi$fgjr($Y}&Ti^;R;_2Q61J4)_pn401!UWIDYGa<4Z2`-07W!Ulir>^BQJ2$8 z$(B7`2l-H!)J(W}MPZk~LBQP0Wy=RLq zx}yd(KYn&j@fW4@5{hLb3S=ilRM8qSe>1*>c6meTU$(e=vYkS}`4^r|PG?05i9L1cxM@REz@?schaB1_fA! zM9)a?`ZvDTDVG$&|oVlgc z%)K(93XI-x(*v}xS`vV53(|wYnx~?`umCTz;7AvMd$r7#*rIcl)GrWdx}1H*)xe(p zfgkcQlnE0#7sL<%I7nvp%o?Gehr*plAK?)8sM=o!k>e21sJ<{v_-zyZrhU!7X&)^> z`*02O0oq4XqmswKA0jTg!~#6P_LrC01)mkZqDK|sBT`L7Yh;FCrSdiNBbnY2HVc4l ze~X3Bu^bqM_-e;p&7T)S>cZv%WT{0!6%myKcld1em z8m!=`flry84IWdp5wlos`r2nDtztfE)Wz}-Yf$-@iDbS@i6m=wGuD7@WF4`Y0XoM6 zW9kOySLm|>#ENnP3VoWiA?)`F^Uto}9GU1liBe|GVuA0%k}Bce8JW|!nGwfeCHw0^ z*md1PCigEhBl!tBv3#n;uK}&62`sGKx;t`ud8~v%eQf$C+xrLT)8Ul%iHmF9VkBU# zBG1abhpl{U(>FyTHdu-KRGl>5@!|S<@o@W!D=zE~DTDUQ`0o7v_t@3R2#R-m6P;x^ z;=Sw{NV4Crx;1ef=UaO}2)paEr_#ene;D799}+%kAzgOOs9rVh?kW56Y2a5kIg>r> z{PqQh6lriQGotg;O{zqH;effne%>97*p?1uS?-}zPpSlVHOBjINNum!Wf=M0UJ+t5 zp-9h12fr_8V9ZdY@W#>|b14uK_`%L)^2!Q<`DB8pyOW?K0)3bkXD7LKsAH)F5c-D(G3gUhTE(0 zr|{1ijxsN03?0rSdo`PT4KW>ku+U!tA+%*B7Ggq9xYvMpB(jfAmh(lR&>mM$nMehG zpPuAc(=7usg&PinQ|}>vYd`X&8*73<1YL*q5~^_l2?iDxR`gBU&NM07o3wrAH6ea0 zk*V*nhNwtj4#q%uLci2U_JVp*vN_$QkxJ>1(KHP=A71!*sCsLooCYz8moTqoV7|P1 z358$-lXo+(K?p^s*G{m=?{b=zBc7~?i@@jtXYz^$hP^y0kX3Zl=8O-;a8lf{7&kvb z>}*Jbl)X*1$clS=m5fN&XyVxq9G)j6#6CRwCpQq;B_Zd(aRWaYl-fWch%UZWCqvK^ zfvpaM{_u|9pJbf{`&}!TnNL>#PdTvIwflHuMi2b*hhyFkOBcS*+@6O9EEfU6>4BE> zpH|vaZ3kGoSnx1wg`!z=L}p8Mi#E-aA75~GZ&Uk=BqJqAXvJ<$(}>650B&G;iH(GW z?@N<9xlnDe7p5dq!a%OXdH6@Gc9O{iuruKIiEXF>LSK7G4$%?{`V-^;8-#l#y)oj${c(IFyX0J*#vC)?>u)B3Q-DrFt+2Q4wHb3&sE8r2@$cNQ&lees)ON3m+%wp|1 zOJU0OijXHc_^-mtFg=psU9^%iy0R?IT=2PVCt-a|#eBYj zmpfO2*5ZeLr}6_nF^O2P_yZ_Z<9W*i{S7eDLcE$U+=nyzrx?0gZa@jA6x!b-MMu)K zT@I~H5Ohp<>5lAC;WofQ$x9UrDq*6#8PEz;TqdUGJnn=*u2d+=g;R2D6zdheL{S!+ zbFlgC(;F4eT`YPAv8+5e8DpE5d`lN~yI%!B^0OorZ{(gemeGng2Xui{o zWnNKx0`C1IQw=^E8XST&0^NIthPVTy&B|dC2f0wPq{a(oG3q3)Y7EKlAQu*UeaEL| zgx*!_m!d?o@PZ6^NdJ#*zf-D3LkvaVl|zYC9QA922W7Y;+cTLQx3%odPpBZjPEunL zwuIJQWM*-}p3dP9u`O1aKnjSW)+u6HW^#v`F!@k5_weT~I`e4}x=g#tSLsK%s9@YK z!}!`-GxgQd?oFe#-3o_=C4C=p_q2hA(Ngm@ZkZ;*WtoX-bEIY6U`xCSi5GS}c?oTX zPPP+bprcAnj7Of7kZ#reymL*^k)0OCqh(6S&tOKxkl?XCB>Zc5>AL^M?)xY8k33Hr z439qMESH<)kmq7BXGMf(&lE+0FBCAidR*zSH-X9+z?4G7K>YI&X&QRIUj!lVJ9Xgn zr*1N}0I<#GE|}qnlXZVT>C^~*n^zeXI!duo5Dgq25qO702!*~MMTU?UwD&4|QqD@4 zcPaY~$M^`wT|BSh7D9PE)bdT-TW3`Vl5WH84m=F}vX==I1gZ!XewHS5*+l7bRy=Nm zx@Kc&%)J)whcW6RD>3IZ_Db4dzs~ov4LW!)in{w{Xi4vR*#Wl?F3+gM0S4_KZr`)w zCkVx&Fv2(vt5TMFY$nxI85lM9ZW)CU!*Up%LWj>@3NNC%Y^M%Si}XuFr|xc{uwS>P zz-~KfMad5IslBH%x?o%AIU*1W{9nEAzt#IlWVj)^rFeuMym8ZA4D8BM(znrz2pr^+ z(r#qUni?sdBX0^_vtILCX%}99so0EJjUcfSn2K8}!Z2R_?e&3rq+l^auF_;KAdCW zXSLT)V zM!oz!{=LnYg*Yo0SMv{@Z?pU;l((3O!NR9cV>l=c>$_8`l@gucZT!0Aio9X7~_ z#`U3hMqj&Yp2FQeYG-s}RNVB}v^rFJ0~Pc6K_qvf!B#+CV1_T^sL(Z*5x>SSm0#N7 z+}<_c(vobjO*uQuXL0m_G%l{i@BMK~b8#!o+xc>jO>L985;8F+_G*JQE&WyLuW0(O zC-nNhlzmD2Rn}YI=^#pW0^UCnxAa zyAg^B-G=9b67{nU@mk6XzPLLayKe$<>uc(npl554l4@TL4Fe2g~F zgb)37&l+4s#^w)%4wltPH!@qh#!RC!3M8ib<{2e21YWmD@2UtQEx;TFB)%U!w@fIp z4qq+XAqTyTc^g|_^1t4CZ?3iM)gX*d@sPX{K@E}jdDL#1)%u{>9t|^(PqKBaX0mF= z^%NJ}&N6)1f^37zBCn`}ljVT5er5b_JfLp{b0=$4PVtZ;TLbv5{LEt&t{%K`fLuQN ztqT*}IGN~H5wbYhgO&sObP%CAU^dI5fSkD+m{?(iNCBvnC+ijmXbS{tB%hTkf&rt? zN)66R1djI%QREDfR|?sY7#G;y-)(`%8fVYSt4|3dB(n?QZ)@-G!M%|vafVV87e?@( zjTkX*@bt(n`>KN7i?sX#+vBP&=lbRZp_8D1AcI(bt`w_nI-Vnud-y9pWjLs zkSA3SMznEht<=^d7)HLHwSVn4-U<<0k+)xSIfq|()r7uF`|G%c3Un=>tpk{&`COkC z;2To}2~)IKI7ukywA~l!UpJ@GWgD`q`%#;HmXy1N&Zb(F@d(#MBq!?E_^EoQwfw_g zmd4EkQ22uR1)mi@!{4w^`8VwIcm{fs5i#uVy$zlfKEL0v&*(So>j9S0mW4=_g&vt= zORK9yC#oVI!QM+NW@mi6!l70~UdU);wdUwopUhq3YIAN`RtU(al5$D1p~h=zIVSt zALlbh#0mj)K@4_ac(EuYLjL!pn>r@%N7BzZ=`G^A4qV> znnIc|VAa#fvS%Oax*bL&m1t#;6uo9LIH?pf!8}zYk%Xo^%!{SU&Fpy`vKhyuSg>=S z-AbaMZMc1BV|m^f5)K4%zscr)E9zLh2oL)nyBdcO%fcp1RJ62}Fg6?WyE0^ba(X0M zV|RZ@dT1|M-~^uc$p(?P>&J_CpA5Y1kLqSj-$no*W^{!ar-_?8quZkcta08E!X%Rv zU(MM1E-ySTtClNLDD%CRQ-<7D3Daq0d613?nfjU-F;(Grz?#7W{v)_@13UcQW_Gj-vFA z1v=+1NxW5(Wmic{)z3Xay`(j~q;fDo`cw^|S5Cp>R6i$({2u*<%}Cz7BgU=RX4%qv z3JokMcTJp+X-DjveP(-$giFD;v36n>;HErI4LwyN9v07wj9J__4LVK=Oz7x_D2pGC zmy44{2-V86UL`45u?*G`zpM%;)R9o^j<#q;^9<}b3bKU^jo^=3IEU`-f~8w6I>Cro z>oc!VwT>~mF=vzWjSdc6e;%0f8{)1BUPAGHIf~AHedBzzi${&|K{~G04~-=|VgB^H zvyZQL@_?-OKNP+{G2hpe*jb~uyQi&VVW^e=k_UFDcC_2O8s7Wid+YV-hvM6OA#uy7 z+pF(jkZ_^<^)@0Yh>NWv6o>@V!Qgav5`PI@yJ2B3PT0VY-H!^y-f#G%UXR(qf_P^D zTv#;ioQ+ZBtgfea1SNAE%;&3!9YIMwF19Y*wV!x##GbK*U)Ci$bB~7vIeb{F-B*>Y z4B6uscSM;;idHs6ksgc=o(23lbH-?Sy7>rlxYUL$>uC&;iGL0PADc@2n;h`)b)J0y z>E$JHf@v_K%V>)CHP+g~mv1rOc_LXRM|>aM1i(4WpS&BYgS57=et=qBh@X&kbDucL zVZSvNz_uLLO%R0rn^QALO7aO+=t|C6|c4M(px(Awa`?JVGXf|QE7fH!;<#vP*aSc zh1wCqwM$`q>U1E@#T<$xjqtyO@Bi0?k0Cu%>mR9Kf?UuCXbIXz^e@0E3@uvVFdol7 zPtjTlt57g%t=LGDnLu{y6Z%L?h9xN8`pt&aIxSEnB<>B45U8B~ES2a}G%pc}r>JE} zd3>*vHtTzuGn5;VVCA*cPi0Sln&pk9D2*B_Jp_{vY7kBTV=oH%IP<$m^#4`Jmw@-2 zL~~`6B7vg5;q(#Eed{t_pk>PS{Z&Xl9PW;^wqbTrN#66ZSbs(08Ese^)5%@A`k@HF zi`sG+Aol9ICod6nuNvw1jzJs|vc*^n)oq+%w~P#m?7|y?XGnEq6|*Sa#3`U=E=pa^ z2%a{$>;IafPAc5%>Fh<$oqyf!UcB8UB^wDHV;Yudp?YF!`UZL^hlCMDU{}%9>VuK~ zW7fzWkmi@n+f`s{bLD0aVX7m+1-HNlJGS?Z+G#;b#q%x!mG}Q7&fD<#vx+{$ITH5+ zB_Q(^)(Se6&OaPq5! z`>8;64^8v^M^3nK=@XWG^4wdIA#W|A665r!(dj(`{iwvf!$16x+*NSo#6?fLP#RRG~Hz%$QYji#xR*~o&DHBl{Jbx=%|Ib`?BRYs|@zShbJb0 z^hbwt8wuw{+N6cL0Rw#hK@B=9Kj|HB%fT5r7Xf zYFJ#k7c0`PaOYaPaV<3c@t@y#rsgGm&d^4Dxh2|$-@?-BXAf)Qz>S?3djvxcD13Q= zFQMRH^bJCJ(vw3!-;`Lt3sS<=nPhPywKqzNTD?a)3dDhqqr;w=rER#acBOMpXm?r$ z1iM5CD9xj5 z=h~5krB;cPLd#3+*iqNA>FZyY2&wLK;narg@2LHPCHtZ_Sx1(1I9{D<7(3ODJ1_D; zzEulRb&Q*?>}fPOe5BSRKcPOb;)Jtj7HdQ~po)G?GBdJX!~6OmkMu?hi1B8Qi5Zu< z%y(vvcV_9D*TFZ3|bW}5<5^#^vZmBChgnMgbLxikL@Fj3mo z)o1tG;0uz{ut3R@H{=NX0}`S>aA<+ySA!U^Gon`?rVfg@ax=e8Ri*F0Mf4fTtZ@p_ zl!3B;9IodpYe5GNoNb^WqG~3MB%m_DE($+ed}tFzT!3)G%wd6m|CO`jTBW@6NHvt(I?7*#JTSHRZOVQSz2-Q$ z{^hDxucQs1Jv<&F*KT+M6KP99^nZkS?cNwwU8>~@8&_mI8)9f_Tn4)H2$mw`81&!6 zqS--fQvkbL>0e;%mtao5z^qdVu|OZhV?f$=RHyMGwCZ+55xvSAJ2d$b33nkRF(Ry& zet{l_+EcXxeu1smPix~_PA9bW!aE&~91UEVFVd=^r!Vx4V8fkzia)gos4Z)ZEa;3h zl}tIrI*r&2i3FTJd2C$?PC_3bv&}~IJFcHw;q&^bG8&H-hAui4i@kM#4fO6d_mv}4 zRkT73R&Rk7+ivJa+`dvZMx7&vtHoHbKb_sZIoWbI31^dng*Cu@slG-x#0qnDfCICh6( zN%qHlcG}3S$R@~!I6E1T<8rk})T?{(Q(R3?*EQm%YLoZY&jlNKz^oo|Bb@;C+kb5nUzyS zMmz_szLE9+jQ^g=pj>d)pf=i}jUzbcK>C(@>F!ktSaje0SAz7RoelU`y7Xb=pJpMt zEttGe`cTVO(^yj}<_4ou`tZ;e++8Pq2siH`HHBJoPMKw_xa9}RRWEcs#wR0asUF9V zvkRPp{klhrrL4ySk=3Ku53+gCYt#?&i)T6?^-6FBg0lO~FdEMR-Dign)yE}F2*~Yb z$sCM&v1eu-){j15WoBVM7hK+3*4VUVgCpyOij4*4B`?LPwS%HznL>7_e8nl+!#%;C zj_vulzM9OB>kPY()uV4-*HC9>nesgJE!^u*g&Y-0>l7kywMDsP4SwM}*942l&6muA z@UpWsW=BDIWQO`A8=_o)K|aVB5ZoOld0V466xEgdDcs>n?=b2e*f50`kIqS?qVc+f zIZ$fvN3M$Pn`W|NGV3gfn^}-F9_o^?0$E{;M@yS3S0l!bL8goS+(ZlxH>=0e?UOYvkC5JE?BIg282(v-w@LcehzUq*KU|L)5RS z1?37M)O)WHN1q@o+3J=c{VD9|XV-6k@1&qts{HYcq0HOTOQO1bGRE$uCwtHNpshTCy36<=QJhP2~)3t--^F#ZJM^(BhRfT@bXD)Es39Vxe_!{%4Ut*EV#Gi023vd z;#9*-)dnW)@oo!#Pk19wc_Tw&$^ZpZs}F#DjSPSw7)){>LUA9GSpdfy_q@Hon=J`9 z@>OH!SGtJ4Nu!XzLEhg3A2!Ok3A$Ax#J=B6EG|TP$NNVMK@86PE^n9ai*)jy3h+ozwlO=FzBahI^ViwQ%oEtrqZEduL!hqvB*qH-vTYqjk&2_I>@^TzlFU zhqikd2+nHwCC(q zy^!BI&Z%liS@l%Ffb@U+j#<616fEz@Qns)Jqwd6J`o3K6RdKxg&2&-s(+wGN&?B>tdE!;f*aLl0 zU54-@^fl^yG-6P{-)z>+w};EQ@BZ@O+=7znGD77;v*n61oc;!k`13mLR z(2nVGWJED_Th#QSFc2d49_|uBDS-CpObX0Zr1?sZi~N055~jOHxmSe_=OX(SI0dhK z1NNo|TiC-{G5c26i-V4|eoL*)zMJD)W{T|$;@g`?@)3?xkkWpDcifhP;3$tT7bxkM zS3|$x+oY+LkF@+T&e9_s(Q8kbN55_r$2N@>aln62ZEU6L5_|gz$@eZ5!ukG7`O#~Q zId^2b6`?i4iWQu*+%5Xe^k#710A=RuJ|8i|aQKyT#L#kqh}o0z6f8_hCpjYAz9(lv z1hg0^2d-HLdhx2-F89e3BRTCCUz1(1zuPL?T1c~0)32i?hM)W;eyPT}KRv34ADeaj z3@vEx-W)Zj`Qdx}SrUxTmSA;o$Md8u)4JckWIPMt!1;rjVt8|eZJ@B&JJYgh&uRX| znC!?0g}Q!P{9NFqaos2Rt2A2!`V ze0@8;-k;y!Zt23r-S)_d0V9of3|wBtc1F-8KAGstJn^%lh`B8rwI5!FNQ0}n^!PcP z_Gv^GD>E{&&9;qA&3$~QfJ!t83dYvQKNh4xnfU6=aHD3pBwXv%3$2`FKCzFGdx!Y; z?wy?rtug7D+TRkIV3y(S7ST}V)$78MDgNs=*)y@mNUKWL!rrd$`<;~twan}dC!Km| zu2&xGfj%dkWr6v}_7fqB({hVp5uoJ7WRjaV(S@gRS zGU7RrAhoFA*+&9(m!>tDu44J_{~rDucdqX2K|}GhrJC_{@m#@8L216T_UK7Xaiyi& z(X{6vIPt{_1s}$;JI1H?OH&)w_k2E^)S@ZJd;%!+noDCSmT7{U^S2&2#SB^$X8 zW?g0pdI-n>0ZW5pU%X-Twi#5$!!UZ=ZN0um7`-hma;3d`_lS$JR->sQ@m%0aIYOfj zS`{qlp?wA;;LQgH-H>*B#<0`~92{tLduPuL6js9scxxpjI$*^I5+nOc4BIBU!%Zt- zR^Q5 zCf2Sj<|0;2aID7ySZ*_{PPCa*gmB8$qFy3Q^ceMI?!80gYWC{WuRxemN9^!@HCssixBEXu* z!HQi6(WVy`WPxT;uI>Sr9{M3C<%ScZY{O^k zP6%{Mk6-FFXql6(dW!W}=5l^)BG9&mDubQni?L>;T_hvpt=!J?C;;%ZL|M)h&BOQy z5XeW_BrJnYQI4?5svW)HJenXqMMmn`>JSe~%ggQ{-U`oWe$WeRF?+*JfD z(^rfS&(4p!D~%Matgv<1 zsXTPN3m4vRL`yhKc%0_DzA}_}E4ghJXp=s?>G3lu{AmT97nvl?o6>Q$3Sqm626G^H zo$8&Yj0-z?ObG)mX*-4EGi&2OX0$T1#OI-TeivOA$hPV00eI5o0R$HCw{}H4@jLyz zOyByPbR64OsrmyBk11Qxs65-RvKm}&*|t%-Kd%=rj$TK;k+l6{Hz9G`DU!E7$@iJ2 z9L$H6g*Wx(;{Cq9*}ElA9sh9uShMYnIDQ#dfDK(T?S>^X-~%8Fn|`fOaXqF*RhakC zOKeTOePNz>M+LM^3wvmIebrqDC$Q8@RJPKqz}N}hwXNjkQu1t$$5EFmu>$ig1dP}eaCKfnkd_o53^-a zE0ykaZ@3}PP+-)D9oUZ31g7dB9ns<;4V@$`QfmTeJhoIzZ#y5uPi&6L*lYgpQk#+^ zxV0fNfqZkEg!A!4(XTl^Heqx4z}JQr!O7X$*`YuyvnmO1zCo2r60`=OSk(0_P!n3? zuOHIxSTwr;H>F2@qr}wVAxzX-%SAtK=dWyp?Nioq9@0w-61xrkAg&K-Sc)<=@6CsI zPksg_(bdkJ9U92WS{H(LY(;|hA?XFF0hwv#tpVSuhM^iRgqD_>T8@GlUXg;CtC##X&d8K8?z0m1;00!`mQpgm z`Ny#Rv$9MhEh?KB^x~2aV&r0PE4yvd?aOCQEC zlK9LZS55iK&33r7Fik@u1LDCv4i-7iys`ItQTk(MXp@6O#+@9g<3M(+BL+;d!|X(tYfXw!=N z^b!pA{M1EQTPr|48#}QjEB&CV(v3iPOx3a81`cs;m;O_1#~OIVm4u9U2^3!zz9n0LU5 zZv75+`mMRHV1wOg?##bzCgx^gSb3JGcHHcYmB^Qsqs88CD*XPvtZYdTtLFjcuk#aS zD{m&I*OO*Jfye;7Ug%Drdpf?f3rCT(75yio zg%+qsJYL?80sD5P%Y1^aExC~gKhpf1)qL7fM;X}yCs}Lo(^+M|tzvDn?SzHFa+a0k za+bsC*WwM>Lw_;+OVlpNKI1ZeP>DS;voLvh+%Izw{#esuMT8v}e+24c##%X^m89v^ zbITCXNdLm}`SJ(e(>iOk3bF@8R&Y?-e@1(%M*6T=Llho!6<0CC{Hv1x>mAcLSX72H zW7;x*|8pGu)fL&RUC~$HfIn>)p=?L0@_jGQb#Fgo+P$gzIB@#Y=B>%wm|$({=Z$Lo zSMniUoOiNSQ|GBeZ-eBJoLaA|Alh675BG+SX(mzX@uibiah%$6Rn+~`bK5+FDTFjy zgZ-&9-Rqh4WDllRv7g*yT&c7{9Or>-6}6AFnNFf^&fd}- zRbo~~ormmaMYrV^D!ly=opfqE3rSl%Dy_-cUgyyYzH_U9ozkYtL&TCsu4eiJXfpj& zkz=7%4t-=XU$LXqtzRyFjvc-liz-kNDC(eu^)jy3&0)|$#C%p&O)f{XW4>e)`^MX^ z=}FIa(dM?|^XlY=yzZ0r!gag9FS21UY>H@lPZUaUQg2A*^AGfJ zT-$*qPV2SIeFVYhwR`3J8tRA5-djS)V2{7{7w(m+?6OeE`i}^v!&pM^ z=nD_9-aFe-ZqC-_cOso1BN=e1c;y(E(J__FMHF*{@#>$k-cg?zL6Vf_0qFEOD{Wh2 zZ2PBU+`2{g8{Wb4G|o3Wl z^uvV5sgFY@suBO8+P(jBR!JZ-?gcYl5jT^myWlH0o`h)57F~DT@&s}9bZh^F)V^}F ze(h@gy3YFly`l~5RQ(%%9#`+p4t9!$r9QaWjn1--;Imjj=~!`BXxeS!D1 zL`@vduyqtFiFVC3B@DdSyk({}HO#*(1pUZV@0ANTWV5*p5UHLwa70%9=w=c*$e5tW z*>wg;oIWtkndM6dj);%+-BkSVl5FBOjQtk||I;=Mi#y=gQKuqYxdJXG12_6zAx))RCuN5UYN+8h-pC~95 z04}ECQh4Fq3V}6WX(_*|Tv95hX$M8PP_wOoy(ncPy0F zNoKgQDXMy{@Oe_wHr>8=OH`XApZFQ(-zN67HySY%KJ}8>;t{Ssylk+wdRyM8KJwY; zQyk0L@Qz;N3UHWyNtL4~rQ@UZy}U#+zX9VAsoXpm2-tvBkdzufS- zbqqsAxSYOg{b9oCHkdZBU*E1BeNxD?Uw5HhJ`8@JN0Zqf>s+bF>^j`L>PTjgz8_2} z%>uueRf<425RtMjgnC(N!^A647w3huD;T_JHdx^>d8%8(k2wmz^g29(i&QC++hV+l z@L>6ga49qUnYT`;;C8R>xzXBhZBSv-PJCE_?gL^8RRPZBWWK&s(S9%>USBHvFc`D= zFNia%j%qZvKNKx83UQ|H6qw~b&DbPles*s4J@$k0=%Zfk-0khTd(aS#Zy0Ct*xs9im{RtQbQu1#UYUk zQ#6A*AtO<>5#1SJ*e+{Iu%Apgf+TG?-USd({puIj5UAt(g4M0~vJQeaU;Aw|$y_oYsb2U=L3=TQ zP@@Fea&^?IZ^s{7(Y?f2dWa{HD z3_7yeCh^)7B~Xp_L*blgv05BeGT(Y)Q^98ryz=~gwFN6a1G&qRB52%Vg$X4i9^%7d z#ILTL95!orrO5`sFoua{zz13Mbj|(VoL;x_E46j-CHSczgJ1cXI;WXO zj&vlJaC~KUqpNmf&z;9L-A$XvIZhF6F=&)+bn=Dq10SV`>XAb)k)*V0>8wAwwsw`- zs9Fnxn0I)FJ!7@RVeM~NtrW#T$AGQ`)s>2T&fnX-W=T~&w%D@{U|~Nh7UJWP%RLAk zeLB-x`*Ck4jgbLsls;%h*mZaex=Y5?^YMUg6hd==>~@hUA2N(-?6>5M?thBKDxi zp$eD!t)d8t3-iJvAWE%5v8VGLvRXxDSfY5`C4X72b0V6mh6F**_(P(F+emJqw$YoO@JT9qdV*jo7W1D;fzbR`VBdt3P_XBQwl1zB+s;tc6U= z0B3rWHF7&FBbR3fzQ3}Us{Z@96?tysz z-R3uLg{x8WuW8uTSbq68 z!mqvpmagO>?3Kj5IT<+uz8N)YthVvu9YhVY!s*F$GI>d(nC^XkcJv#Ija@?7F}a)0 z)EktRzk~?6Yg`UlQo`(PWUzx&;2L!Pl10HNqhNgTxbtsWk8O|{n{vw|GYE}z%-~2cEji)EK!|yUE;@hcIUTx zx%PbHDlmA!X1o$00KI?qedJk_Ph2OFcT50zd`u&`qj4eDfUZcnKQs!pwWQ^3x`&^B z#2g%j#u3R}Zrxu$C>!i4o)9I_*cfBn#?`NfM&B2Muu!zF4@6C4PZ8sL_LinJhi0Uly(9QIU_bbvluO_*q4n0BmdSm;$hpturoyS==A zoaTZm7crTWJG4Piu$3sGroTDih$^>$>Sz+&6h)kR#rOpI!9&6fM2gk47B5wUEe(#= zXf)OtsZ*|jvp-VRSyCT$dA+mwRy^WRtRN`IwaF9SDrhO!YDq@@x6^dj8YIwFfN7(OTv#L=fSwWe(Ic3Pt-a2IHwe-7m$S3{` zimmgCE*2Lz%lP*Abau6b<*;N%2p0qKr@8iF9iVM66JrS^KtM13h0^WBg#nv1RpFLGLckMm@xKXJd z3}Ct^F9_|n+3ohN*_}z`pBqFt!RQ|2U9XMIDDgDt=2d}HN6|%To-zI{oF;lg@|gNr z^qSi=WdG+F`m)fUmti(G*fw zv6K^wlPjzr3Gcy%zujwLDeno^4!AQIzeYJU=?FhUP;1Xr7=K2C&_w)Ez`my`iXGPC zInLmJ{?y%^Jj^D%gGSf!ri|ZfH88^$z9^CR4)=FDa{pMc@m^P@)5b#Mk7HdPS7yRy zHo}4r2HCF;n&KzFH)T9sqNV0lNjJ@Ljl2xjyETkzqP@Hxl%O2^`5<)il<9h)uz?t- zga=SZi?Nr|aTa<>i{!}eUyWnKHY*r4ab0?q zOQ(DI7EUdKDv>dXU;Yu0)1mqP!hPLIYiuTQhH&U*8<47styn0?%E9M4;-BZEjI~7uO zGtW$sxjq2O_!QIjayo38O$PCg#qmx97wJv4~SHQhsH$_AP-em*@9t(WY?V6po@T1%`0gYsNQbzZb-!BW&QLCt?}ezNEcWV zdyT^KJmxUDl9=Nvo#QG$%yfHeL`(MjnD!f|IgF(0gpRa`jx=QRvEZcXy21%1HQP$j z%eO3IM0NLP1$$fGO^f=>H+?tj`OM3=mMS&Pf}<{BMe{+-7>}2~uXm;vW_@xasdU$q zvLMsI6DAxr`*3NWKMic}xQdWZe*OIEfYaMB2RMWmvy5tM(mTMi_3EJqaG0tD2M|P9 zmNp*lRv9hXEk4nBR*QxW#!aJlMSh`IVoHBe+$&!%yPJ05s`VY$NRYt(Jz~fjzc|Bw zaW_e#zYzK$r1KzF&48r+Z8()Luu>zi@o*IAn(Il`O#Yd!j0H#KtplXei}0*HbN-?{ z|7M1;L_Xz|r5zhk$fBc%p1sh?uA$7KD3{erfr9D1BvCEsGx;;xa=y`;|))kjfu1Ue?8vR+kP|rG5KlS-TTPItW zC~}yv&`3a^kS!#UEfkV1^!rEnDqGktTSz8Ld-m!9uYzbMSl`KZ+B)903|ywxp{ql5 z%>UB|q2lb-?MZgamxbKW%bUiG0z~Q4rOyia=~1Ti4*%qK}UfF(w0knYi0TPNzIo}dyb&g z?1okJlQgy=x3T*+ob`<_kBKGcb1!!1=z>}R zi?!T2j^{odXdpU`8;;bJ4_*z=ZY{h6V6eh&#IRM4Gx2QXp$%7__+*M;MbD$xeim_c zNwN?Qm<>4auxl7Wxh`MyPL?Zu=t|cK^QwHsQ_iodqSZ#(hckXJqr>w>KEKF(x-rtuSM7 z8%`ItYv66jS&KKYF%mpd^>Obi2k+b?0`dOXXXmC0z1xXVJ53&+f5nMVVCnY9P?DZeQ|m1c(Q`{&kev6sk^)!`9A0{>E4 zRb;}rCS5sXtb;b~j9fr5izp4-VhoYoNIJN)MQXRP1gdkgQpbiUe?4O;zQY*rm8Y}rn4#{fgJSM80+HP4^OWlBZgn~< z_`{V&&D^1q^=whCHr%l3twphrdGc9&rx2gycd9VrgX@05=gA2VdZAD4__uz~svO&j zLIKJJja>8Oqg@(&8s?iIeSa?>Pt|$O^9Ac8O&hhFT~3aw)7!J!Ux}!zQ%-?q!PF$I z@AB^=aJ2In4fuSLQm^S`A>J>&c~=O81*Y`%DZ#eWEvIl1U!PWV$AU_4^Imd?66C86 zv3`4_4`dj~Ad=ZpMT6N=CZ-;{!e5s*ey<@_)xp$sr{F>%9(R8VV z><){-vJvK>aT+yVH)PmG(uMu)gdQMyl7q2z$$zC!Jb#m7A+-pxUZXlCc{OgqH1lWJ}I@k?yClT+C%gUyPt^R z*{PNIo^>#3Ar^F7Nq?H4Zfw@qMTKoOi}`vbxQ2^s*^8Vjpt9H*DqJvXYs}8i@qFUf z*Eu_u%lqn3?qjHT#_lwo0dK3e-Y9UKX=OOz!y=NDXh9Xa%vk#_M1e-Nq(r-#M#uDl zbxDLL?``I+NZH8RBK22!6wAE=8INI=o1qmAh4v+DI}*Pi3B)0$w@x~A4S8UXLXD1% zsXTdW-(64Ullk$8Ch-@)(*={pM;dvid=NyL6?i18Qznx!o;{a%6`voKN&cua>o57c zPhG>MLKSV2A^vUM*+n@~NUhtE#UTsvZ}4dlgvEUh@6Py779oGR#w?Bi9wI*@)NTAK z*}9~ihwVr{(x~xbhSKhNas#qd#7p55no4`R}^hIu6joje!COYk%L%;er zuj2rU#!$EU@*Kt!=;`CL*XbjWsI7_u%T8M^xYVsdAe92mbwc2{+lM#0B0JEfnr< zZ@=LI5fvu$ws@ttLzn_|Enj30+#R<3B zT8~ew!NXZKNYV`%DtmIZ__d?Av*il#_^$cquVuLK7lp+^2y6|(-1zrYukt&I7q_<) zAM1~9XHmY=9=113hPKko#h-AgNlC)Vl#on-t&&gB;)tQ|>Dr2aLcX7mc*}zr!YTY9<`ozSf zR(}$bcz5IYZF@{{-o(NX`sMENyX~Xj-OJRCQ1hKRGpCCWuaIVO5EdEgiu#|Y0_aoU zcb9pnl9%7k<7^rFX-cCW=ZZ_<$yXn5A4}EucnhdOqaq73Cd)BYBzBVTPfxfZ=Syp- zeh6V^_cYwlA6FN%W~6q4o4Z-dkxw|>$kS%)^ITW=65oN`HVy4HiyF;C)cvh|E5qHb zf-A`Hrq6xGD=V%Zp(Mz7t#SrM9_@mD>%XhO6}n}h6#0PPqRu|rZH~7(C9KmmGJPq` zCGaWC{jj(Ni%|F_`l(0Z8hL|IT_!3&2kyD(t=NY-! zyQVXnpQSkZv~3bywlbo;UBQ);;xy%}{jQm`G}e)~b@ZFmwsd@cLzkC7IUk2s{?KE~_lIO(zE;oYgC_A=$HY z($Uz~hWZjrjG_gjc7?&Nc#^|Rwsi4#(h!dpAwTGf&x_BI^GV!!Fe8^cvAK?%lpS!L zLxIOnO#9I|+TvaBf>a-`4)=$q-)BYBt(rPLiyvTb=&8Kocm2#9Rm)0~iygXHX9%>t zI@{di9Gb9B3f8tbsQkdh)UsEIQZ>Y33EgXiFiRr;Zc}pr&A?`(D8|HKv~5Hv6wk2VYpMD`DABEzwBhEPv7oj zCp9v@#ActTscFu^*=4o+=GDAxgMPBLN2QhNy@N#?KjA5I7hjiW7kt+@WSYKpqFduM z=f_5ct{bP!pz=4ws|NE2i1VxDU2;yE4%39#Wtos`O`*Gki;ykO{>MJ5=tM#^!aG7Y zLKYJ|m#*loyY&NZq7Yq^Ij-2FX$|^Md;zF1`j_#9pyY|ckswVoYD{*W@9L;$_QbYd zrbZ1SoY!Y^fRbgayaP0@vTB0*H{y;vmmAQIS{Y+$fzPe=S;rF3P0SmfuBMw1lIGzZ zcdpy9kp+JCt@e?~R(AH$u~SBxn~_?}x<2c8!P*mv^^P0-GP!C_{ZupCmRq?t!nW#t z4<0hlJfZ^p6SsQU8=f`q^aF(KLswPq!f1*`$yF?;{tU*heO!gGirq{f023ejiRs0vG<4%kBZNXim#4}=X*O& zV{c6*Coq#4#|1@7V>nVz`k07~$>5lSBC4l)wGOIWeL8%gG;@nv;u3NDt=_0P64B4{ zTuyqkLn~_Fk$*vA)nc$W;j7`)#KsA65fa2EgTZ%?=a35r?>V2Q>xWt3so=N@kHF2#|;lA{i8Iv+?n53yyI4qtoiK(rISZ)kOE~>!JHrh znR-B#unAvm=MRngFv!u)-hu(h$s2n}7!YnkqS5y#JMR6^Tz#=A&A{KC2hxoLXqVHv z>e+WO;%FBoJzxV|0k?ol2s0fg(*>CV@Mn^`dbnjcFlkOZ+;S3lZ=TFHDg3O@tA^?| zgJopMl3jdrI~A#mHigKC6RD131e9~XzW>-eaztUKPjWVzFG*n*Uvxn&oL4nClazu} zfcC4~b_#(Pk=PPmb~YvBfQ^1_epPqzJ!3tyrJN-a7l>}br1`t?X#h+?b*$?7R*#%RZaIkS8W2~2%nMCq~@?cZ4xiPWqr{^tCp=ZC8!AfbUkB|@a zB68a~p3AS*t%-Q*+7~^LC%!=c+bl)??u}&hV%n`t5H%HuILvg@xfX z?li-l53I@t38p)t8SRg z(=b`kvMfq4miAyLUFGQ>Nzad-%@r5qF<~M|F0IXFzxfehOk{X$@6$2B9BMAe(qx88 z9S;^7UwKZbvW*-cuz!uPJ4^<_%&3Gk?x8wTcd;jy%~x|Wr~8!=b2yAFk`jG=9i1AR zh(Us7whijp;Uw~P7}=BkE$+U1Le@_z;AE;Dmqer)D1qNbb(AS3R7d%&$G7)xoRp2L z0yqA|uBNa)gBYQzG;x$?Tz3$DF|VI6-i|gM-f1K;!G$-^RMTpZ@M`?KsWIoT*;ik( zcX1&)ndV0A{tGPWj)6~=kjjLR1;O-9*x{nfCjB9vZy*kQ+s68?hgxXw=unjr(h1w8 zXAWB6a`WnUaf|JXM=$0<9(%G^V~Gc2HzEf&3E(E+I%5)sV4qNW^NS{-I_Rom%9wfUoHx=%GIGz&_DWS8#k!2-~>Q9x|t=(Fgc=A6~igzX#Z~ztmGJ-yG<-U$mLor<*y=+lt!=oM>Y0M!7of+ zwc3uNVmNsiEkm)Dzls`iTCw&Y)!8=%#n?Q5vz8Z%$b;okLaE8&)C}^n4kIunqN-UNraEOiHPSETUZdPvATa zQbHD0&LgE1M-utp0HbC=c%=xtC*4Z88>Ed(1>SGs&e>iIi}Rw8IgO%i3{@hJg8k5? zwO(a(Dsng_a^>%F*&heG&uC)`{0%8DyuWo%NFfa|E?P)Eh0tHv6!x%}D=S)xA<;)k z34=b;6>^Zn0VN^`RqrdVmtOSyP4B|FWaU4mV8wj|%L`HNiKK z#2EKxsr?}hSr8aQ1K1YYh?o-5mneo`aRnifuoq&91g93T-K3gD#`ak6a0o?Fo-WI` z%*5QIsBJDPhMVhyDjcNzF|7=@e%NuKUNp$oRyc(9>lFt_i3*%5Gg%NOM1_cQ5>v0z ze_#f>N2$?S@Q5Mdqs9h=h~|+7h#`?n{TINl)H_(4iLv_s1E3IFLYm``(%-s5Cs)R$ z+8`CnW0mO=4wEtj1O=kUzOj~K!4Z(n`=dn;H;zFUCUw#p7^cb;CN&%IzrnRIZtT(u z?9#`Q!+oUtH{enkiv9ZAFc{~^LzNuu#RgkHQr$@aRtS{h;E}RnUjsiO=3|UZc2{@S zM*)=)aSXRn%nh+ALwdBvqA@Xy=#ruk35;k%ApWA}JL*XIsho5F8rs;B9GLVbWkRYK zZGV51DC5sBwkfX~-mFih->!;us4?aKEQ4QeAiZ_NVA zDO-RsZL+bo8G>C4gXd(h=w{rl0UT@iR8e8maVzpe#voiVuH_8bOe2e9=O;EQXV7v;y0}!=#}KF)DF9HrKqHZCN-Q zsQXxy3g1xzSXc?;Q9hEuK#Q@&;*Dz%D&Rbl`lX#y;jdA&voF!Rj10D^6x)o`2#S3i^5U> z5ZAXHnRfw8wv0;Qle|zx)ze6Cok&mJpR-sBRDtr(m$F=FRo#2SyK+@Ai5GLumq?My zg>%leX^_f`8ifoEDX4a44G-nW!@1>D3^1fy@KosvQOPf4@|4j(c{)>svCjtZsDNF@ zNyk)?ZJuuVVcQ6hY#5cl8^^vOh`?OX%{28b}vbj{Ji=@kZp zm5`bhk#odqNo7?34Y`zuNvcS>lqt|cSTp3nQ-ihnLY?tce*do2l9nh;+7>O$U~W*( z`K|`$n#mEoY~c@s{ULJhhB0uXliH@5IF){<>gKF6m0j+sTZ00*Urz)`8QqBd0;2>o zC`n2s>Ij0z|BMKV8FQN+1v(U^vk;*!E z#|fVQsN~*?5j-!hw7C#@=*=-Y&>ZZKu^zRodc?w_xVHBJaBttRami(qb>3iod@oPX z3v(EKHB54jWMvjlO2_RI)b2qgJx9SZEnG!CM_DogJ>r}2fIH%MaO&YWvY_vzxwCY* z1BKWhOjMEKKNrKj#hVTAkF@IiDp3o|(`JoPV)~nn+XN-5EunYFEbgHYrXm)izbI4* zuYXMs*Bbd>U6e&^;NRZpU!Bsw`zA{z=9xG4vI>~)I{ZbNNG(jte{5sl*hj$hypCmZ zxHW9L^ExU2`q}~idNt%0u=XF@**Eqj*zP*D9m~bRwq1>r)M?$Y6T>QFT;;DDa-{g{ zhPEYOZpfLn6KSp=#R96l6|E9ZAVy_}4r~xs-4u?y>2CQ>7zDox+y z($ir40r%3#+UTjF0V=-0{)&&V z_Qs<6BYg`TdT{-#oOA52m}_ssx^!MCxg(Pz*}oRss9fIZ^G}io6T+XjA$1E~B*?6rSITVy^jybuFVQxkJd1?1jWOPC{v0p3#Ff z;F;*kKhR$cWnugWh}*SG`ZUR`;HQfr*N4E6-od&M_Fu&KZ;CzLG@7papCN_-_gyco z?aguJ@I6H;eOPB&65}{l!@WE_O=T!A@R#?pDdJ5!1|v!_SgK@N2Iw!y zOM3pTSk@5AwxlXJjD{jeTXPb5|486i)1!%UyOKu653np}oeA(R#@<$`CCw~`dr$=f z6$GE8@kzL-m@K?hkww%w^!?Q~jo(4UL2(-5uDI?Z2^UuXr=3cL~DP+~x`H=goju}_6L{+hgA-fd2K04;sa zVg#*{>PmN^1*)~X{epY@%C&Zr)aGmU)^{v%_=|slI#l`_s+)hIQSH|o)<H7O|fvN(Lh+YrP-DL-P4jj)|oDCS%*?TRcu27 zE0};&uq|V}1p$e>n0BQw=Lc*COEj3E_9EW@AQ2wsQvX~xhe&O5{&>U^hgDr6M!7#d z43sfHDnaOF{w*iJYh&6Wq-R`q75B*HVYFv+(XXgHYOJWxU$jpVTox*Z_s7Ys?0*i} z`aM-iMD*73CFdc_%KI2#HVF2*ywIGw=V7+&L|3i-URJ2hs-eo1#85m(|JMGU>Q>ZG znH2|mI0+?Vc(hMZLN=Z(u9O!QscT(C(gKfan=TSm`qQeLqrYSXw8b|+G9%fmi*1bl zf^}FQgSi=U&VNf_u1$tv^l9yYt4UjX8GbGZo~P=Cl#!OVHaf`u|%Z{r`Me?mH$oHzuf$ktT;hSoiTBtG$( z0A**{oc%7b$<=Tp3(8+NZtoAz(C5~3zME>o-?(k!qB9JBgbxx)!CmS~DG)Js-@`FNdFWgTvF2#98N?38U zmzrF%`=4+2E?#8YP&6vHEQ9(XtwSn)f_g7cErW@3=2Z`Kq{`BNsMm%F3KWkGk{&mX`l9J_jlq3q4xWw>#dM({B@=uJ~zf!{^yR{0CoW z`DUgr)%6>ls|HeKw_=!HgvfCarjZ*6-AgfeVV=H6f@dc~*d}P+kfMb-muu)kzU0S% zD(114dS?us3ptO84CWUg?QM3r(TM`4wO`J@HE7>D_0EeQ?q`{5uVkc`siU^-|(Hg zSW!&Ohv$@k5;vgv)h1t)+I$&39eO<;+TH3LNYM;^p1=64!}8ii&%pvNLX<(1P`h(; z0tq`*y@IDEpf?+fkxctfagYU^Y?yis(4tqS#)wM05(BImu3jp}pq_)pFc}B57?i0o zq0+9xVA2XtC>4`c&!PI4nWF*&MTZ~-X+8-2UI&8^R zTgkvn@lPI3b{XO@ocQR^a^_^egS#@)?`{^uOR|+LZ0Hkd4&QghmVsB}M%hR6{w3Xb zg*&knOrohHR8i;q-S)X->Cs=1XiJeSq*DF$+}vI1-Jw>vr+Q8Xu+W_9Z!%KSA9ua0 zg7|&+Bl(9TAgj8Kvq!)PU}op@#8nKIPDnKIXBF3xeIh4?ewmqSj2n=c<^JNqI(yjL z17^ZFx6T;vP4@zE9!eG@)Il7W#Hin# z?mpybKqg9j{>+Bw+Sd4YMAG&!GW2xOiLuj)1=5L4(~Hs2dcPgdpR*OFlMv3qWeYb- zjis$)3Ey;8!Li|X*>F`+`mPC(Qn{Y<{#6dLhPELbec$yBT8o<=mcXEk5!YLkU zt%x;SF^E+diw*hOag3}f5r0|@;rR%`y3vh{Z6ZM^@AE2dp;58w-~Jc-}ux6 z-%KP?KABKe<%Yn@4JwXySFzDndMDezU$&jFjl1j=B{D*A+ zhy3{uS^QTr#Ok38Pn4~Fi}|uz_FgUqI8gRkE=FsxOjj;uHy);?%JsrN#oFQTXB~O{ zmDVAjbjO!<#vwbtg?0T?E(r5qbkTma1|4DP!j_TzXbnE%_F}@C)fIio^GvZG$gBz8 z#lNHh7dM7HNkeSP$o!_JQeoz6yvC5|`Ssg+lD7d=0ayW?0dgo~mfZsN+m|zUtIz!h zjijX%r8G9ArPuVqKwfJ@(=qJ@v$b5c62@awa~3Zz-i2XMtM1}(ZEMh;-3isbGCrvj zN?T%nXkC&*JIc2G+y6{5%@Mh` zMUISc3K;ac@8V~$7B>IVAcNwUqy2pvd{z)s|e20Kx_V7IQZfXGW;JnP>K z{J$A|@0?m+j0Z*sUY75=FA50v9=yE?n!~Ryn9VJJL-sDt`(vPm@-^*iJKOTo@#7(R z9I(-9kM;~9s=;UX=C#a%;X4PL>AjbMyr$;`y^Q&2sWx4b#G@Oop|^=FDTY@`-a`X0 zH~;J-bc%YnCG@C)*rb7oZ5Xh8I{(nb-xEE6xGA~)4q1O?kT<`fv5^xo+D|C6S+n(c zt$$e;ikp;@Zk-WZ@RNG=^vXWHJKX*oscEZUhJ8KcV)@|g?#cza=iRYH%_p=2KXdnd z@PlY{HSVt7>Hc2HD?{-2{P^DZs>i)dP8)L}p?E4I`9r&Oq&j!e_1)^u`TW88lS7lh z|KaQ{pz2t*b>RpQG`PD3cXxMp7Vg0b&O(C)clY4#7Ayn;EL?+I2=2ih{w8~$^Y(f7 z-0_d`#+d7yQq{AoyQ*t-SIz3SE6@yc%Ne74H@u8W;k_hyD%3VB(`Q64v(a>Qw|xi} zx^EqZ^xCYgJKo+cO4%us7xtIr7bWZNNuk{pEpSZNRcMww{fanqs+v5l<2DBKG+Tkw zMnMLn!8!(5vGj(+a+Ku6YdE?C$h~vq%Y?^5eQp~bLWZ3}(MpI%Kfd{D+Lf2)%Vei_ zm`=key&=wFm2O{hq0h0=lN7>=zGm+r4TuR{BX%h{Ni+7_=!7FtoO`}*IG!_!~wi|gE4 zElNE%C`K+0&!uH#^@n9vgougT)SFzM;(tB(@_Gwx@iQP0(``O@Ch=)$lpnn;=M<1G z-%dm3C+#q;g_Yx8uDUKNAQN!GWT(*o3NjC6nQ-)5jq#%$rRhq%d4xDVZI=iWCbzye z+waeC2*=`R6*}_bDKO04zT0kIR!X4F9nD|K@5(Qfq6_S++v_^DZFa=#5SpbFj9E|V zoHEcODucUe64LMEITL%FTvK$se3~aH9<$o)3OT!R^N>c?A4|-EO9KJ(5i{9oX^rnK zEDnD?et+;RujlC-0$=Te2`OWaiL|KB5xy>0kL^Fwis)ePSM?5-8$b=&ep-uO&tCUm zr-aZ&&k{IruQ(0M(b&8~JX0NnfBD^$bnv?037tg8XPRf)?AYVM!uoRqyC31+ zqm?a%pgi)sZ=>AA9}-U1LLk13-K)iC+vW!tjHhxLCZ>TAQAmuOoL}a?^wquV|4>`o z)!PS?BF*|mJid!E9&xKMQ9}F^n8sWt&IklDOHEOo!NmoPNtn;ZtYBjUS&*yom&DUd z-^~P=I!Y>-6mNVzS*s7VVOp~smS`q_vJK0DkZh2)3M)P} z!knpUW{KWjR9#O8OrDx4FBBol?k9;@txEo$%Q^NVyB2~~g!Lu4j{b=sKpwyvGhh_+ zld#J^drPS^(2!3xnfKlE?^M&*UhtvYcTMF=%5Z$QYN+wl`RN$){lY2JAzjs`4Y9z? zoQ$#!9mG@TV)miHy#V;&mN*$s=urI+I1~W?DZj`xl zpABxJqbf}K&HcB-^=)q7ENqTq=q88t@yq+Oin9nW*F~87&lCzR4%ior}6NCAUQur}VL>)<(;XLL4n(9t|zD-1L zBCTi_ICM*%?wC5Fca~fJs>|L`m7CNozdX9Vyy+qI$iG6|b_Tg1X*0~#Gj~)r06nh}s3NxR~b*Zo3&%gFLFnn#vauD*}897h3wlekNRwm0T#X9=uS}G zvF>q52(dY)gW}!?LqKDEqn;~#P*punRp_wE+FTz$e!2VNCViFtk=vooO}e=Q;4z2F zjrETw*MhE1A?rsc+=E4@&RRJt!M`3@JVQl8m_A$2q%}4&U)B%nRtTax>4d zY2RMKC;Qv@n}5`uORixZr>{ViMxYDK?;d>7T*HdOSb>;YE{|@Z813Wzj#St!++G-(oSe1+psBpR)a zc^T72#4#LLrA^n#n$Z|zy6`srO>UCOm|*`?@gX@+KNj`oReK5jR+w+yDMnRJii>aG z7b7%c2oTooV~smn9HW+|x!}?q!}7iHeWaVWf%|17<>18xU;ZIc%5u&HOS-H;vKV7m ze$36dtnzt&wkUXcfxv)jzEkm3P)UGt0B7>Hm0R2#k1wBfK1(GHZLCB-^Sye}EZZKx z&W~cB!MB^6*AKj-$PlaaQNB-1g?pWeWp}D&5{H-ZvX2+-$9?nrn_htOi&;_SYHZ@x zLzrXWPKn3p!h0zi63F*`FM*#4kIJ;b@Ggl$&w4fgxUleQo zkRLT4d5F2|!6P)j_mn&ndOP!cx?7n$*H?4iM18zZ`*q0K6z}J%umv1#7Y;B!HW4Zf zUrN!?+W94>9>$>6Kd6{A3CRMcu=z)7mPKMpi@W?DX&y6!P;^Qaq+6y|Q+#x^V#kE3Io} zqL}0tz*)Z~Zdzn1A^B$PNsH-pE}c1W**EV$h$$*;m1SN(IDk_Qo6jz)Z;|cK_9`lk^@IWrJKr(azGk1@Z^5F8g<>FHf6H9IF1U_uo#khY8R15Q!KNnzD@hhr}-`%55|dkCXUrLGxVvPH7i3p?Nq@`AKBgS zDCaKcE@nAu3bRm7_KocG;~Dk^__$W;E58{9i<@t!J;d`~M`rgzt5#Ex|5xMb8ftYC za*RhM{j}G}u&}W1549QDa;1zR4?jHA%$U2ACt}D$%oO;Ee^qcS#xYdoKy##%sB`0k zj_Z!zs85P-Z(&73(tWGhP2!hKpFYj9Nc;Edec#w<$v1J6PR=6-OS~k_Z3Xiyr8GD) zb;ru^Mk^xQsNNymhf32L%HE71kO4UT2bU4lN8|61!B*4DRah98nomJOY@X5U~@X6-!@X63g@Kv}=%wNo7lcaCCkwIZ)236lC)3G&m9=c_Zm9=*z;OROP zE{wWm%MG<1Z96deIbcr{J~{@)rf{QdQ^tYSjXzuvwG2bRZNn%|Vk*9NA8_BiQ)|z< z;7YxE81r=UZ4eUCt=9QfNBNea)*)iN3-1UkSP9x&Nf*8M{@TW*?iCCiCm& zLV5bSiZ761o!Aj`$N@h0ryWWUf^WZem@Wo;?m^bO@9^Cn{tB)sn`0T>b9>q@lyaRRON>Y3kAJxa48J zCE_`$f>6>H)X$OkjEyz_#Ks*RZSp4ijXwyK^Dp5q6z9B9%v#msiyLV~pZ~)W04+(Q z2lvML1(o01pBrN@Gw*pms(}bIRX}3&;0sF?;w;qj$9*ur0i*fZz^UL*^Q3+!=X-Ns zweW-R6k_(-aGpW64iKfs`lKbxXdoA3RMYxWV)L(}c1*6#$}hWF7TM8(iSD~c&h?Lu z35Ky=+R1oyp@CNq7xo5o9AsCYGS}BsbL!$Kim+GMr(MntjZ;(G$V>Cu@rO} z(wlUEgm2M}nyWRY946(au410#oKJPrlx?IPsJi-f_>=gx|4?Sh-tO8Ug`78j61&y; zPU=&+7W;MPgS4TInArDCJhkgjO(kvpDsB42N_G=+v-#)eUknmcsxGM)>#pw8Or1+y z)depLool*XdU{+&^O>o*6n{J()=gc#?`rFx-0nTwczt@o>vN9{5C3c*PbmlXfn2&$5YbI<>?>g^o#vCbe# z1T-=DxdLpitl7&-y>Ee=nAC_k7_JFpK0^1progB01l_>uK1d5sXf3Hv$>V>hY6e!e z)ueuxNh?6&6}rLhLy(pykoW&xy+~Twym+;%;8utJb;G0gBz+wT(nY>XO|(cCK4j6> zB>;I1X-^bjG9Qz(TJ2S3W-9c-9*R6{(}uq+%v@%DNu`u?~9fK<>8=@PPEO5$G~RtY2=aznTgdu{DI|NguQm!Kb5WofJ5E+L@ISyehrh&>W^s5&A?x(>XbJ{JFQt!LKV>hzYJ_0>cPzS? zlk)G=o0F=*Ic@guUKrRH%E!OC3H-7zAruUzR-uK0$*tO~a{3{VyKQpE`Uds?{r5-? zJr%P^!Wt0>m)6@AH2lj*)WVTDSE6I$^Ug?IB{{y-Omqc7mw*}%pPj#)e_PpfvmGn- zhz3ldh@9{(8^Q-I4Lov$4^Pe3m9F!q(7*1S2Ql#qTtp~7CtdUbBY6i}Hrco{w3dPf-0FmMD2oQ>{7V@Vjcf`GFhCXY@j2eDj^q8(JAf-G1isA?1nJHhAeJi2ZefY(xQtNmUG2ogum>pzx<(bz^m^gQSZj!~YOQK$&RcX-3vn&^%(A*4Mo6(Hu*F>-{ zkDSoN&9B57);uLVud&b|mMJFKmwV*o^Jk~Di1jYu5vu@gkdNS+jF1sqjiT6K-AJD$ zf+Mjm*~8fs$Ll`!&yeF|<2dw_qi$rZP)3BH>V^^tV{}UZF3Bh2I?M5mC%Vi zlza(NNx4CONx3}pne38=AHzE6Quv6&I`CGq4auVag)|5Gb?8(obi+)RWij?O^R%1M zdRsZ5Tx~-4X1?uf_Gve>x{VsS+RT^flGRzJarb>}qQGG&1skKVl>mD)4olv152w_j z)y^vn_?tOEEg-uo)g+b#6pCZgQ^>YmFvZeD zW~+cRw3^IpdQ3Ccw}Q@onfr&r4}sd>je{HNYDgGQ3zvwoZE1 zR59WqoiqANE7_Xg?1V0YlL#1EKaC2h5U-0}C#8#{-}UI1H4}0d644~PYq@(Kupe7i z0>;dJk9-3v#4nw%p2x>?+H#(Yw)6lL?YHyQMmD{QsLLf%WbEIglZdV=Qu6dOvcp_(k{sM-TEwfVG57%XL>Pn z*d#&qR%Or+2(8T~16osDO<0gCMFm+dy;~r&I1u@*PmwskLSJBKEjol)Rb<|zHO0zS z0SuazVq!8(Xm+8cVA7-Nb)oHJ(l_P>6h5kdpkCdTW->~9pa0&6bPBSYCPd3@hrT?T z(+oNDUGnLFvmXNtvjcO5EVI#zkg@N(+cIA#ekyC_pLeaDk`5u;x-gKu808SUGLtT|=Z1t#%(Trrr;}CwF%;nSq74Bw(=ndDTC!)bI<*ul{U= zeED-hUS02&5IENiYT&K{6uw~Fc6S&}eO(rOTo{1#7l*~?0c#MP9xe}QnRKebp7?Vo zG(u)f>p_c3f2&17k7(hT%_t5SncS8W-5 zmnW=YH|#H!COZ{PR(MAe5~XF-nm7zyM{$Z%Y?}7ktw#JL%+6NB)+^k|P0v}|%SaT$ zaMeT441>9!H2#xa!?eNBoSFa7nPP3Nu)+7UX7TvISu`v${gQ!Vsgit1MFDP?#PlS*W= zIExNiucZt|zB!@z5N~+$(lz52Pyd?lR0_k7l&lW+u>IA9$P}=!EiluL2h6ymb$$FS zHBMS`nntC`lZkxH+8SSKaFcL#e8cwrXxn=9vo&P@WmU=tfh`8Eob|?v zyTtO&LH9sN9o6cnzvJ!oz1OwR69clidiQ%tb9k;?E~%#IM5`6?L3Rf+^K`B_))8RH zVM`%s!}E8^k7V2=iA>z2!ff0m=v>?+^L$(oI=x?xpDr8RE&&aPBUzJ&=wP?M;K7KH z-3KLflbm-wf(o#BYB?}aSx#=ze0k6tY-Bdk9O*?l;Okqv(nX>YnlyJ^e2Pj80yJ%EhL(T!)?HL9liVf=GmE2niHJ6`@?xuJMQp2sSJ3OIIzX zu?-L`AmY_@Q)wIpyFel`4g(iJ1`AL(8Fk>l$XP;Jh}20!SqXp-1}H%ak9*B92xR@i zBmh~qK#MR!0VKoWgos!QkgXgJj?3XWt>UZhHW<=IPob=jiTOWW_|{n4Tr^D3cay2ArsLTy>7rpW3jb0VX5M$T_l zN%-I=$aqbpNasa_52z{H-h>hcoB6$*=QUXua}LGo1ZsKu*L0=RHhhl-$yJlEEsV5b zdB25loNEi&zLUhvrW(0_f2+4O844&xDe4mowIT;QBY{ZDy1E!_D?!Mu==di059|O& zNbc85n5G)FV*h?DO*~^=ZM$T`+TamFBoy7brI8na`0(~w+)p+6@>|vHyIdR+lLTiw z#{9n(OGj*jaOuMyixG2CR8AX4^za&xbDDCHJp^ZV?gP|vGz;TDLD7_8`G^-yV#jSZ zj#{+2Em}OT@tU1k$}Ec+X&Mzo)!#qMD+1|`)~153RqTK5OELoo{({`YOB(a!uT3SN z?be<5q@eS{bnyYNMGin_nBtb!!Gy!Y!gSQ4Zf$?W>)jf>vqjzN(q|6!-JW z#J)L z2}%@!r3pk))$|<5)CIqaoy3<9S|$vT$AwB`W$rv!^$&KD8za9PH()b~NW_tovVT*B z4rPxDMM;96zu?;YL=--M6chboo`xzlGyUTJWWzYuEV6xG3Ce8~ zSvxdB8qT!P#xU*01x=|>(b|jfCs(yim?m~~Jqwz!ny(rvQ7W)To5E{!xEE==t=DYJ zVap}Txb}JVGANMi6MPfa_v2_*s|ydy8HQib!*^4LTXl1AIWXBFec-z~^Fgpi*J5 z(>1oCS0`wk4WyTYOh*w)^FIuONnJ6c=S}3_ikl4ywIIh;DmZx^;MZ`%b$xwllYsJ{ zqV6n#$!aYBC)m4B8%*MJToewZq7hVv0IbURIDQv)jg+eT`o;J&iI(9~zjACjlf79g z_5kWU)mzrNW+io~2?e)J_B5XYG8<+IWr^ZSSI9RruqzR&dWqHHGE2#CvkUzk+1Lj; zhF9z_!$9PeFu2K!wZrq8?;c9+GSFX7DP+8kX2};erIDLJDQ@MBdhABoHA|V%v5J*p z>?u9kyjACP!SoN?KqfV8W!Q*|u&5C7^nw-c?Eef)^~H$|+t%KiKMUkG484de#xH=m zH{GzlH94P6!IF%PjZnJ!!EgT;b+4{{N^vdooH(Zk!{q0n-?7@xeLb>26!2fVj%vdd z2|z*S9`v~LuP{a0sA2gTVDUr-GVFFRMLukzbb0v)Ly17g3k8*t!tNzvO_Ec)t&E96 zB~8p?DQwCg;uF^8RQSP5VnC7d9%{`h=JJuKpZ!Ro)WVx${) zu)cs%QbIyriJrE%gq$no>Z`-pl|3?;y76adt?jodur1#*6^{}>qS5n@_>y8?%N_UP zT*nT_pLNIH$??@SBgmrre;eki&gUP?V!`|o%oOrjL}T<( z7)k-Z(K;MK9_FwBhgheHU@_E)n+(=DF7GX>1=+MX&~V{J$y>|j69z&Zb}+ioW%6Y{ z1q9rB9*6X#G|>~~zR#+kJ~fwTEewD$(>VxGAGcOmx02qpp>Fti>u!H9o*laHBkCzt z!>GC{>`=ZHIHLh-0X6ZF+V`jJ#h6yj9XU*S5aH1|S*mBexYXX6Dk3jAsQf{y z41A2eFdY2ZGWdB#y4si_6Yq_#++-1+xE(z7Rmz<9{v0aoCvwIMcz>2~oYyd10ZWlTeZp)Vg7&tqTdf0HUS9*Vh!D*HfkF*Zo2CFsvOzr`kG&88F;N- z97+6$WDwW&LrpSeu-xBoT1jb6oflU%?Sp44+8d-B?52pgna);;Z%(0UVp6j;DAMkf zuk}nbxnb@7%_{N4Dsjas0hZHGG`U#-51qodG7s21F&b{0dw6A;-SG}=xyE1YQk{7ZST*}nvo&ZZ-5oyX06Q6|F-`ovn(%?#P_Qy7Q87ZF6qL)nmCr;J*Ta!J{eNohO?NrpYcv;5zw1v&xUmxAlE`jCxKTB~iDnA%~6^{o1$y zAO0j>(0FgDYiFr@C=tlkP|5iZnrW{5Cvmz}nI2WBR+l{Z8g|OofRl{wX#J~-8qo?c zTP)!9{jH0}H7WoB&ZcO5x&aX4070Vz5O8e)ORq(E3TG1TS_B4@9qri^HVw@2{hvs= zOp_B@ktapg0d6KE{n>AtL@rS^nQssA{WMgHq>1;lA*F^MER!^G}-a)wOsP+{;)eFpnxVgrj zufUX`<2;%cgX1z<#^zE}Pm}9nww0$w5jv6v#6Nc-k|RxyU7fH^(%O1iI>=_*b9%W5 z8_q?lDo)t_9Iy2#pYwbKLErrcAog>(EKk_%w35$_yGqsO?nj%6&3>xM9kOi662|Y= z4A2rxWN~Kmk4#^La|!(+`#G>e^A#a*rYa05$G6&(ekM_xBQDFw!emrJRkP9rF1rP2 zeTwgLTX`ze_m4~^E)!YJ{0Z5g12*{S4Sk($7QJQ}qSNuH~ z&zj%>`IK5 z;aj_J6ejpZa%s|wnb{@IC_;)Xj{@ICa9oL~#m|n~9J3ZvRKG6m7|M)i=i*U|-_o>_ zom~2$Ad}uUOfz7!-{kHF|zH&6!i7m1>xQgj= z086+3e)ZyvQ)1-2NiC)(t7b&6CiM_ME0%5-=@~@ZHc4$Os&=jniq$Z(H#srx^5~9tyPWe zF8bwGJFPQQ#1k@RN=@oo|BI<7|o4^kQ~urKA>?lh}8SlQ_n}k9&Te9QiNXG7;Esn{AKlR$ zIKpZ_zf8d+zts!V-rSn>PCM)Ti{^U^>cqcaMA&=Z;$^zMdg?VTjVoG+oW!V}M^Hle zigM6jhg2C5kwU@e*se>Mw2oREDc~S9cL`r9DG~|PT-DSlYBF{BdQ=@Tg9bF{`tx?Z zIq_~zw3SyPk{EhBWVtaxq-c4s2Cu^rR0hoHA;BdZto8)~k(@0kNqUk^dZDt6`Ie00 zlqslu*m?0x`Oj3H_b(cBkqSERJvYA@_qmV)C3M0=-`&gBj8#L~+{o4>|BD5&@>T_% zws@Rs3At}i`D=NAZN$gF6u7s9#9vNs%3SZ-AFi9;^cva_6AvvwAWq}4McDSrG##z) z50yh4o=z2RGN(Q-nUz!scxIUVZW57bI?8->m5*MlRldj^!+N zTuj;kT2W*3E0!-eLr|O^(gAB40goC1M;ZaW5m2nDK##BqjVs)QUa|dp zjk0T#x9Mg!2Xa?E#J|H-Hk=a^g3xku-CTJ@e-4~x_x5OceIAgbIp_vkK%-fHZ#T`pS#p?*L)7fdsv zea)SG}) zFCVqC$6F_ADA~loei$Cr*UyFgQ{ZwB^5AWfY9$!>YVln@*Dhj-tpU1n|8BYz!4gsl zTnCyZ;i%;<0+4+8cNPb676X;c^P%q#Xrbr(3Dqb7)JIPd zwb>Y@i3g5tCUkMQ?^1pVZX+r~c+D@N+3po(zx&eS#zUs?TG~(>k2>5khPw^ek3(5B zpR@4WehZhGD$$yh>TfMDBdUx)-)RM9e1Pr74Qfiy(S_&QnJ;+I7Bfy?R2@n#G;E%- zr)O}e8KM4;KWyG7yJxVi3y>wll2WX(H4Rz}aA>5I21#vHhK<0+5pu?ekT+weTFs)s z(W#G|MbavJxDMEO`*-gdJE0@H7La{X&3v9BZkR%4#@ zFN2wffKNRRC1_Vp#4+URzZ^@RJN~yC@O7x}DztHKEmVhgN(+nG4b1{p4qlThmjxY! z(q4SA_ece+IMSUH2`&OMT(PHb3)(+0lCr3-_$O*L9mX=qfHyZt;oM8%oQQ;C&Gsqc zd7Y!JpAPRJS25dbyhYpX$Q~{^b;jwwObOjllu56Y?S+nF>BtnUI{D(>Axh^(GQR!_ zfBk2X-)o{oorU(nJMt929HkVY;_seFjptzrx3zvt4#bf4-UofnB2ytZ1k%#k&giRM zC}Xu|VoaHw1`!H{43Sc3r~bnDtw~bpq#6EC))>4Ns>5}i2H98ppy-E9KQ}-6@)1Vq z%bWJE$BZGJiXq#`Jfw~{rZu{<8Yc3V42G0TLoZV&eq1t>6}0$z>oKL*sYI@?D$O_Y z@%!puMrA%+%faV?`7(*3iU)-t7*4)u>-n;-tDRg&jzWURZBr7kF*~&^^{y(n6cm^B z^0zEp72T6B$#x04Iw#=}Q0@xz?@yY;3-l2vE~_W)VbL;j*by6`&JtjJq<@d5^V()77B@N06!Nu#-QtNVi2a$xGIm2QfhjZOEPaqQ)lCd!xi8ctxdd6kA%^*A z>Oe~bxc8|RT@fQa>Qh2-{cGlS}mC;!i3AlK5mYHn*$Dh?Q zG~5dAStdUC*-Z=Fvzu=s0q!#^uiqjQ_-$cTt*(xaO+g$9h@O_B9w6B0mxc=326{iy zv)|6LRA$;&XFxDkMmm8%P|~cge7MY%JVM8hRaIi6ELL%HN$aoTKrDk}YDbj8aCNw5Q*X!)mLrPY4@V z<}xIm(sHkEkaW?Kx7}S$^(u1O6w-go zt7DcrSIsTR$SX1y;#K#5@Ku4nte>C|_lNkVrw8>X9Bfz1guYV3YAlmY?d*o@xx}!t z!yTA(aR6(qk}fiB5xx$AiCH?VT^vE!eA}|P?yZQrBuF^t1Z$u3){@6et2DgykW7Bf zZK4Ri_T!>N?jYo4GlE+1qC{~wsHC3>x!~c0AIYnslHb%m+jQyCSMuU(p_Ed{D3S66 zgq!33+OBdjS5~_!zR3hK_HS~f?N@%fvGykWTWT{tU9 zk&R_7Xx6c4wie-;PUt#!kMpl85C1@x$V!GZB{%?NT?wNR+cz z@MJhTMU;u2*KtzG!Ux~p#nAcB`77|^S-~+97Y4=xJp^}Z^N|+%l0%3M&3vTn&3kpp zezv;xon0vpH?h6#$Cjs-r@7@pV|?{b%3;SA+n$Ye-=@>IecZtZm#5!bwyQIAD@)CA zyIKu(1CM;|kZw48(usyFto3o0iPat#6F?WyK57#lvk4kkaeAZLQ7mQomp_+$Z zQvJY|e&yWLU;HPtRTo;N<G zw>)IjVg_MS8}`S8KgG;=x5kEqXK+a9S~R4fKqrJ=f@4Q0OM=8wB2djr5M6?R#q9^% z2)&~R;|TA!%cSmJDPDfEO|6$aP{4A7 zbX+Tmj@1o%BY`Tp2dW<}?OYMCM>+1``?tMeZi=Ce?*sgE1U14K9V zy~NE*<>;abAGThvzioNX{e3eKj$?3}4yB8D3mq%8KR$gcr6Ru=hUBN&rhLm#>Rd9_ z@*|7edJN=xg9{X3xEuVKcE2In{X&H{Mr5D_rjXPkY7*W_VRG$DdV$yn4w*L&)^$#1 zyZt|>KB@e?_hVm_Sav6T zlCto1p+F%&vK2ElJ5te$qArYYNP$+VP|QdPFBowNa1L+}aC&My&WumCzW*8t>CHfn z;3CFy*uzIp$Jb)vtTM6H>z(@&Uh9Zyx=!2Irx6)d|7}6n=#Zi25=+|)p`rtN=Br7C zPp|e}ch&W8J5kO0T&Y0o#3|CRgM|-YfeoujbJX z-R+f1=i`|c_x0trlcQyiEBkgYu9Xh_xl zlp~wcGR{1zf2;aC5t{Ue(~rPwgjYVaZvj!0@xW5I?Dgn%Xc{jjU$VrTvJ^Z~>N6uH zfjKo~Mk}IZc4WEq5XzD7@mt2Y8~zd#@sfYjw|vW=D(t_h@jNh)_`_j{Bwokl`Abdw zWmZOh`y(*WV|>}8>#*Uco6ZNm!Y=IZ!-`hdz0CD$cpq7Y4-!8aRUPockSET|NErv! zNzXds#)V_P&&Mgi6=%lm{MGrU{2@j4(#rh@9- zUTS?4uF zeVEgAU zV|X~_|GBqO>dmh*Kb<+3VGcqot0|UQmx5je{H@m3Fj#@69~TPZJGF&|YkJldq@pnl z91AG9kYqfECUKgd1kOkv@H`N`*VzH1EZe~tZqYnU1+K~vJ%dTv5>rMdD~2B|DJczj zd#Mqj4Mf%>6$rq6lK2kMYcg!q)}fF65;tU z4Zi6U*<}knU)FlpxaR5csI7%AV!P?U63KtB7Ww*(SK;i#5b&xFj=&xkUZe9^54kr{ zL}(6H2*;%0)}}L}_+lHIV^Sa9uq5_avcw))JVjJl6H25qSjyV?PntpT+A^={MP({> zn1{sB=y>N&0S6&^M2ru48aZWH-)*9`2;N)~aUbyI0KPbpU1fWvGXP5oD1=qn zM;|U+LduKz^i&aLu?zaGvip7~n{IWn8=I!~eA@cH89mdQh#;C4WmPE$B1xQ2ooQtU z1Cea0GrU=%p3>G?isS+mjf%zbMDd)e#R&k`y|<&#S4e?1Rbf;1D`eviLK`bc_=9JE z;R|hU0kof_Cy_*mzhgZlW8Qd3^XG>^@l#|^2tw;Dm|)HA(Y5N4r#{i- zO%kIX5aZ4GgSleVW3R(fx$syV*iBX}dv?}%kY##yP@@LPulKijJB?<+SeHlzXX^acU$obizrl z5o)X9C5toG6g;$m zHNTN2MEXuoTv~3NDxr!e&pJ#-gG7aE5tj&)h*&Q@NlKYZAMc_pLtWqSmJZH5uHdzt zD2QTrJYIRL3+c*eF9FBGO|B!}E6+_Xh_!7Zx^$|MLNsmJg(FPaf=geCEOA~zD^V|Q zDjw}S{pnQRAFTU>-Duy31FfvWj5P;;FtH3f#e2T{1X5I8?O~3fB#cEHG?57@2&&Vb z6rstbPj=CkrH&}%h@vD+Yb7hrrTI>uJoz)x7)#E|W03jG2=6o5(TzU`aOeU8H8WGM zZT>3*4nM5i(>GIt*)hrJ7cG!GAgmk;T?@{l>K6nA&4zrsUeQ7H-Zg9r9{)Q%xm8q@ zadKS4;1;W>Qtj0_hL_U+#i}pQ&&x0ZRk37uF)+W=Cr*NBKY^$V(KQg+kc*r(5EBOC zXSk3D^yF+ZLI?wUgjrE2VbJ6eDN=EynOS0uOh~$Vgag9-p%PHA>agFK3Dl!NL}ibEE>JWD$M2P$5PbuVwZIz$(S3$Y4Uugf^#2Rr$KXTyo*A!^IRB(REoC4V#@I#aDbs@hZ+h={6$ z(?xBf0NxuxRY7j+FL5TN?d2ELc=Z>fQoQ`PPFYO^^m7bUKrqJSyLw=f-pXqp=>8gN z4^q!W7gXJb!Cl1V#}Bo)D*$sv#s&VSgk~1+OglP+X6V2d{oq_GgPkyJ&tJjW9)Kuq zD)sECWCHNPZop3Us*(1^Ja3(&*{x4*VwBv#i2x}u; zypAod{hF7sg`^vAd^Z&ub>_uDxzr9cF3)G|pxpnJJhPffMVZcdHN}Dqc3cxb!FG)A zUn8P=ik$ADZV9>$gfd^1ib)2wC@r&)4g2gxq8cBmX+^?1ukMpQ8>)xC135Yv5y`uk zu39PnB$zOhX}z9gtud{FDHtJv=pjij3P-o##d3ZmaONPfd*I$`|EaWo`N(d6{9jnr z#x(gh^dvs4vjItmKlA?-e|Lx+dj+ms!E_uWd9LE0f92ZoaTvc_5kB3E18U)i6A(6G z_nv2~J(jbM0Enj0)qehS8leMD^*u6^*VFf*m8aizS3>Ov5C5sUWP&8b)irD#mw|&@2i@sCI+mJu8sP&FKkR%naC=l2EK*XaK1w)?_Nla zjD!V@Y(BDJx@C{1n_7Arg&mzpTXz@pcMv07fDZoILl;M>R zhs~!TB$o(MiGLeQ)=e!VC576;nGv}e{J$X&({-))Hsmymc*xzCe)Z-b3e)p7?_a_A z+?Ii*`kC1MdjUX;u4^S3{@eU}KP$`d_~732{q`&$U+2pF&Bf?wwY!^dhuUA2a*P2~ z$_!sHP$?IR&y~`l_FO5?=AT;Fyq&G%H`BqR)r>B_uDNIB2w8^uJNJ75nN-g^K6u*V zvg8G}zw|qcU;~;Z$zA7-Pa4oNV;@$})8)lS5qzJT&+a<2R-X>eG2OY~*?9H|2ceK7iWN8=fG;(##Ms^^EfkQzp&!=W?Ix^OXH0QT?bHc|* zF!aJ)Hr{gOI4pr?%-3ql`g1Xvcx;%TTTzD9KkkV#t99*Xjr=#7(3C2A^@A@k4PN;e z(SlaEgDti6#l@)6A7d%u>sxbXJGuX$sL-_sCy!2o6{e7@p?`>$<>Y4+>bwC~;!sne zuz;&Va==wmG~f!brokKR;{20V4ny4U)|P!enu-5!@#V5$Y8c|bf&b?*()H3B-Fh5b z3mMx?eZX4F_uq)79z?ACy13a>h-2Q8TT)v{s$HVEFkMD7{~u@X0T#ux>a*XB1xi>BuEs{B_m04&QU;#yC6u8A_$U^m5lzsUQf8^+z zMfI<0W}4}mp6ROYnprWI1P{c+4aeEF|3oPjzN!nC^e-u$cU?IQimGb7G*X(o)mYKW z2+HN6>ty!i36RZI^(G6&n5DZfnY8OBM z-f)`MerCFy%^tCoCiZYQ^1J+%GcP5CUGb zV(J71=^yVWlqV6nmO&i-i=f>&olulx`pyA+Rx*-C3$4p^6a!BFL;@> z(}_#8a14th$|kdPkN=Hk*S&wReF&-(kE6aXmpU_fKLzXc_;S;VVG*`5ez`^t7T)*G z3(c4{4T~N{wT&j!S`+Ff`0-i#yk1ec23wg-pWZ*%M%UnCT#mX3y7lUOk? zdD5W7ibe;9=KmnY4i0h*O%6B7A-oigxy_Nsrkcc>yry2*3?IQ-uKmFnxBMjjVZdwtEDv8``J@EKb*3PXG?gwV5nE*r7ukx zCwh0&|3JIIOQ^@&iTvd7dMrm1v`yNdQ$J~|Ihpk2DV48ak7&&k-2xb*Vkxzh4#j)M z;WE%UA{(2TGHu4{GEnv@NQwR)w8x+*%y61d6~GQOOy^VG`4JH5Lw<5Dqa+pEeBIdM zt+a6bd1VaGc~mz@nyW}4FGxD|(dgF77zaI{x2F?%LV8<^NbJDK(qe{+eetOkrE}%f z*h^rh{SIz}q}l2}uq~TG-tCUiKS)2Khcu<^MJOwq;m0=s72J<$Y_q#Rw4d4Qnn;Sobbng8%)wgTLzL7Ma7P8DaGM#^nfZIa zJqr>u;t^X7j4dhMFm+|fO^r;Mn`UmQC>+T43mf4S7M2|4!AR%&R^ z*I-%qEm4y#|0kMIVJDaI3bG34O1`Dbf|sj6E)&M_6r*e~gp5o>_P0-xd{rWK%UIKi z)ii!l)fv7Si+h*JB8KyB*Ap5Ii@#2v4ja5BO`xf$^8I&GrAR0pv_AOpCg}Pb^Ru== zYw`6dTR~PSjD-=lG2(69?|d&6q%bZ=*pd^Uch}G9^6^ZPeKMiGA}f0-+gwlkpD2ct zUO#D;{;%WvZ4Gx+vfx+dN{z87J_W7`&duD{r0#b6k9a2YOMmgJ(o;5N6>CHkoYqr1 z>rFj)%|;Y=L6x8SNDonSBS%G6t_S1KmG=oCwN~95G@>fI(;ZhTQm!;bZlOAL6Uyom zTgA3R`Gz!s3CLvCFX_7DaEt2JD`FJrrT+gvX??FNZ64j{uCkDLK%PRV6IGCC`xgskG41?NNA878J{Sr=DZn;5YiI4a!A~9 zf62W}T|QPbOB!De+tHzQM*>&-r(!ixMj^?QkHd^1db_5czpq`Wd%s6KRHhgh8iPvO z#_v7cLc@`p0P?Fr28YJTalDPh2)GdX)8=~tDDSg35c70~5yV9KQVA^4_}iw0cO!4h zREB+}9Ch8L>4%+bCEi}zih$hbkO7xmJ(l>oOebbs`^rroe9)NJUhu+lDC4nw9+rIH z+)sX1@_`a{ee%p#-|lY$F-~~!Il_R?n{bH#3xJ&h7{|@HLl@u3!i88G0(EFHg?HB? z^`|RqDb%1_G+(oST(^hwxob#IhWRK5s$VKxs*D&X8$rRF6b8_`T!?16ek{Cb1g@fO z;HbjJ$+tTp+~?qUpA0WGUBDr-JLRR|<{X!|<{;yh!(GaZLW0e+R|IM_8-cGO?JOok zk(%EFZNngV%TK6uY1J3_2_b_i;-f?euOcKHHn7Qkc3_iUujK+!%${6T#?40_uV26m z+sq!@C2qp#UP@*zQY$V|E6VgVYeKNSW{z;}Nu8j{Xh??#;I~(h^30EVo24$+r3>B< zJD5pS%?50&(mVU(&Bgm+XhSngYBaonhXSb~O+0X(3-;ZN{< z>3u5(-t$TstFT)N#=B}eLHGUm zdVp$3;mtdVY6Te+Cy7Xn%9&vz)~}ZGEk6WzBH)6XTf&3EUZJY(HVB%}Vv$1D+9nhO z!9vCC@fUV4Fl-StBF%97ZupN8Mw6TKIPi5|mMbc6qmwSZ6L+s@l|UWhLKBu{Zk!3e zWREoE?^GHRBR7BI7cqQ03h;1A$WY&v9_1Nc*C{tb`Gw*4kQ zQnorhJ{(qZf+i1OfvQT?n5QjIs9C=*BTU`&%-!avOm*RENcYcLpO4)ez2e!kYfsS2m8Oi73QN?}Bi8PaaVhr|0=w)j3!VtW!t zTojL)XxTz~rY^L22shtIS@T5oUK?!j6+@od(>_*e(0C?np`?vJlcosIwob*deG>YB zU19h_^6iZ~TU%2YY1w(Zj`TeJCWv7VrMknEQSnS6LCi=!k#Gb5a!ZicP?AaGuh%tjG(}-Mg!`mC6^2B z+uga5{@_?!(Y-t>pgRZ(9|&Bq<+;a^guHn)|J+#|zp! zX-5_0+6leu(|*jmaqC^5?qgm`tap6|k9k!<0e#HtgQad=vJvTAeh_S0ikc`G!%304 zZLt$PRE8Rk9oyoU${YC}{Gt#Qo5Z}O{5~omJ5ut+z}Hr-$Ak$Vz2IMH>D%5R8I|qp zoR}Gv**%%a20ne+|2-CsDRukK_u!-a?!@KCe$Wq7@Apb$%EIP#$P6(XQrSvsNAJeL zsqyC(!zPtjJGk`BxgEA{X%R&2Whywo{q*>4E$#3{r#+!@e4RBcuAlMBky8c%;N>5v zjD{{B7;^>&X{7V|_k&$pP;{$fT;V~R29Z2_(zodegKo^W(Gb98t})X3J84| zrlw@vxN+xf>nBOtQr^6r-jipWmkM4hLmoqnTL~W(r@!3ubTDy9M5+0yZ35`;@L+8Q zWe%~$Zy9q+4$3tZC)vuw)>;<*3!?YCgOV%b3bXj$Fyc1%N4z|O@y6RimkAxto7XXA zS+Id5c)RoFRg80fmD{S@xWn!5_jm4};%YTS8)V9sOz!oj!;; z%O%*68Z>rdXXcxDDdRiFt2G=(F~P>gO-dXCeEQUEJH|T#Dho?nCQq`>xp%P1eKBL( z!v>njGb*aVNYsvT#gG-=5gr}>F8mEf0)9>A;+VR?yQuGv96I=ses-BS-tKpK!&fJh zYpQfqU9xl3lCCP$rtV2kFYPtzHGG~xKG2hpc3j@$@XK25^m2JiEZj5^qL^OEYjCyS z`P4F>rr>n3unoDg?0hP&+kiqK$7Cd=X_hxHe&>U&o$MeEupcHh*5@RypAT~K(r{?SWEYO zm8akC|A2G?Q#_MbFqRy)E>G-N5WFIR7Os_cS2%emFNsGun> zA(E;3hbe*iuP@`KFrjjK987nTebN-@y;sCAx48mm35)W+TZ)hd7pS%QBA?=aj&X6b zY_OQ!n-S~qMS55^EMmR!piqi@yYS5a>d@n_<^2{yj%)`NP!w5UPYPgezYg;mRcLo) zSr$%y^mgF9-~M_{$f~T6?OY&XbcC78`C;I1S`A<4>igc7dvzOg2_gmUa||I(UYshv zb!RtR#}6hyEPaL)F%RXCD<8E?VM?4SRO?5U;_Q9WbEhWICz->XTxQNVI3@LM87%MU z!lD(JQd_hLfbvij+=d+>9UB9bDWDhZk7lE#^%r>}cs&?SsSU3?dUl+tb>{#@BFx(k_5!b?{m=MD2ATVvu( z4qTGfW;to~hb!!O9in&RF9qR@0E7GM1aStEtb}OfKtF8Vw&4dP@p1WJ2r+RH^O@v5 zaM;!ta711NCWxPiJ;ZU;)gcAUEt0MCj7x54St6*VW&ZJ;+r4;daM(RUaM&6oS$v85 zF7jW946*Roy7kuNi>tmn$uHsrA7VI{ZuH$@SorPp>}>XTPMZ5)XFtS3iEJ2ZrE76& zxgeKI{nod|Z!g|^+i%U~bG{o%{4D3wS`CHNwXYILK>YsQoXf|jiXyN=^-fD2b|t0= zmDUsc5~WxVgwfoSNC>u{Pin=7ioS-t;4EC@eP} zs6;{yAu#vq@^B$n6jSgsoYmFdGykJs{|gK`Z1~6odnt{e_J<1`KrEJQn_K(cgjO3R zS+fK-O|tWn6Ew_r+d?<6U%9i)|G#3$Lpw>>ta+U}?D zgb4ZE2p;vQnWvpGOt2 zTPVSIJfm)Hyit{u%g-%!O!bZRz_nSmm zx-HLqc~hu8Rj+4RMpHnM2C}k>UDXBV&d4L25`44c0zTZYmF*X4N(+VT?cP!9<783Lz5 zS7Z8iz*qg0a`|IKAsh7BMwz;5`ER)TD_xB)Y8_||mPpOC?Nj^mPzY2WUVa;4R7&w< zKs!o`q6RTVg6%i=feJJ%mXCIBP0}*=v(c>{$5-Fn%)a{#jgXKhtV5P~Agz+Bw@cHAq9@!43L` z)2{d9f=4KV>uU`8p8qpclD~@l5stTxMKM6Y8Q+;+HU#*aKb)*`NG-3E;t!_^cK=PW z5g7hZH+dKDiL7EZ*U-tWwp^Cke^YjP6c25T5SYYiS9d-^!_iSR?5-R#DkVSw8?^Vi z0dw5{0|(r+p>yLG^oEY7sof)PHUuTjScZ$?W$wLG%{DF;kR}1pB-uOvD}$e=Nd;DE z1ZgpK8yCrb^SQo^Y<%Y67U0fDIT0~&n31EWlEgq(LIb1>D?JqwSfC=AEHFH} zfNy_O{du7JGsaZC1B;E5xNk!XX3DIJ{n zoZS?3_1oS%xHC{Td8dV6+0KM5Fn9N1S`k-Q!vVON#iH;4VqpO;1e=+ei5E7h5_gIR zhDAwj0hHjw6~8m=Sx=3j!bwm~op- zahtseVs7y5G3oqA%-{n5pamLmVUxNZt^7x7cvL-P^xWPQ^r(9;&}%S%U}*+U;i^be zK8zVL6`mPz_J=-zC7V&#&Ets&Gs zHvFNzrq?5N=dWgvd?%@ob|h-1bnVKlp$C*^!RKEaNBrXW<$}EyLjF$Xi^SmSH{Yw0 z#Zg@e99IwaGQT4Fn+AyuG#1%24{YBArbp`Te@k6lFJ^8`RZ!^Zxy2^*MTHa$4J)dOq-wYB#G zolW#EHrOYOsVGaA=5PAXA2CZ_;%L)^Udy&;6?Q+{8s6s9HRr@uU@-VifVutRXX4MW zpRqrqes(>(cxmcozeMXl5b>Ww_fo2PyYs=5X0H|{UTS#yU2;w=K4%VXeB&JrFdMLQPj8afbwfe3qB0Hh zPF1}Xaa!X@c^jQcly_edG<_T|)flS6rELxkh=VvoReAfYjpeA+oxJC8{lX)@s2x2x zQasW;QcW%pbi~vupNncy0x2p6q(#I~JmrDlKydBol*w#sB+S15b4 zd5WvIQ{1MBkrwFekq*@644mKu7sOe?gxV&atwC+G9&T!QQ;HHeLjjHArf0;I!RA5V z6}CSgQ&Q{2y^(o|mG<>clK73{r^A@U3cby*2N|CmbWYjg^ic}>ZiGQT-e(GC(f(m@ zOjh!g=O$>kWF_VuEN>eeE&MS-;vNHoPb5^+)DbL;>6P8ztMNkNxHYJ}#K) z`857M`|JF4u;9e8}hsAS~uJ?U&^ z06UyXjXW{&!%RbnxNq5=h~`gCxVWZ68e6~Vu;qXH`uZ&PxzfwUYpF%P;%bo|&ND6N zqb%#5pkmSUX}XX;z%~EyfRMf-&71`;?Fj3fWiD;}&3&5uBAb=4o8Z)IlEA4y4i)&7 z4!X$h!MNyWoBZpJB0tBzNX>nzBu)5KwP6MtW}zWPCV)#zSXwSyoPv4=L7_Sq>8}=0 zyW^m1A(VL)G<&5gfrTrT`GE1II{!faNZZgMsaR#WXuVd!SScHxUUH)rhl1iHZ}JT+ z%?cR|N{*_pxSv`WvK^hGAs?M4=2^9Wm_6~sH4LWCW(qeq^YFuUf!5J+mqLqS`T53F znPK7PP#8A8%QONr?HNs4m9u(IYD1#3p7<7HbiUizJz~ZAY){7UddbCmw;U^$2r1L5 z>_qhRnzfJ$iu1gpm{?8a2tvxK5w-?pJ-+)0V#O+ci1e+d`p!zoF2lklujdUcqVm?8 zl%l1R*m|Gx@fj2pBY0hbvc7bURCH*vPWclH!630>1V4jxBy*~&eRRHYdOZ59AmFT^ zZM5K2KiYv)O^*u;cW;u!p-e+oNrF@JKuF$l^tKpd<<81_0?u;jl{fB;$y-`(ZVN}S zzZ+F-Sa>~@4I6*NI1E&h{>>KDOdX9j0zEK-qwO4PX_VtyVpRFxC^w2X|I19`ZVjMT zDE54i&Cm*_@+mWI{n`8EE}R#8vJi*Eu)KKNUsSYGYJ(>xokn4le*nP$jZ78sk1zQk zJf^#XzdpQT;Qs6Gc|jvKem8e2^|s zyRURUrQ2Le`R2{Ea3W~~Dw*d7wQFu!CyvpOMVRkAE27!V0^MxKdDhZ&Uq@gIXgb=; zhG;9R(sBD{zj_TG4rN*C?U8_WFLWm|;L1{AlGVge%xrdh7pzdQ?P$?&f z*)YxBXujEj8FV8EBj_fk^xmEi@F~U~fY9duHS~nu6#=8`X&*bR7h(1E13wrJlHgb( zL2G0U=;yw15}e0-`AeJ;sM$Ay&j5HEvqaSzz^mLtTy2^ZHS=5Wg4suQ+Oz{On_l_r z@jDjy18vkty>LA;}@KMM@eI4t@6y(KQ11+-jyg4?_q7aASy$8YPg3g zyPy~hvR0GhN;2`GMZz#%qp%SWe1GHt!8cV2aJQgo2AhS%4-c2F1GiphImc;NEom4! zxPW{vWR1T^XqPu?Hz&*bFuo)+y~zuPm@3y1u`e-phtr8Pxilc)Wl$_@$7<@Om-t{* zWW0G+0Gus3_4i$+pnW;2i!7R`Xa|XB8h-y(^dze*MB{!Zy~)IuZ>%_g@NW7o1k0^R zPZFx_XU}IY0TV1wZ%r*y4!kE$dLEeD2gXS=r7k^N;E|bCGM7PMentu7z;&Isn3lDN zc|uR)GrEq3%peUk%#sA?vGrsq161Yfns0%*yfc5_9&KnrYkJ^RUX>1_XJT?g-e0}R zl7HFttD2bU^LNNoJ#lyw5px<5Ozs7aV?;*Mn&Q08C%H2r8Eyu`y~np_BkBhb zgTL>cz8(~%%t%7TykN@`y7t;b-X2`}ui{a8nVz~Zu&OU(Kd`d85P&CN5``{h4J#td znWm1Tf3@%z^9VQ9$CM1AC5dNq&qn()*bGN+e@raNG>7twz+9jif9xs@E>Zdb`VvtZ zZlXRs53qYLBV?0H3(+Nf7F_2eL=ah`&|^@Agme80t4i^(OEhUZrz?|^QD&tNZUB7%oqm!@ zx-wXzcVPre^w2fEBIcTRN>pWnD1|K26Gvu8ih^#0GDzWh6A-Kub16(xji+xtlOm?N zGb&YCq7h;?I7L||xcfYlEn!6}vjj9EBCxCB-Jepn9$m7lch$bru*_4>j66Rq4fFkN zm_l#LB~Mir=DUf8?_|+%%n$@UAdpu8lOj5~fj5oNVnCaW;O#kUx!T#QkH8$_wX^Gg zFGoMdHJ+p|@J;Ggey~ajwJSaW0oJs2lL^PrRCNv;aF`7{nqw(JUHc2{2B7&(t`Qob z%BkvQ*1v6h0XDktl!d$<9ZFeLQu5gu)_LnXW=U5IF8b&iAD*}$&gqTQTc;Q>*A%TN z(EF^SOWo!`r{-JKiUDGGeN^_nQBozNI({YG2?y5uq)MV@Ll}{yISKXGEFZ37kZRDN z*8GJ@!qm}y3BeK-XcT+8e-h|78 zvdqhO1x)Z8zH_H66ZM@@1FbG9ix3BOaO&jL>x16p+?qIt4mm}G&z#Q>J|8W=TMHuI z5BRX_C);vj6YSI}WOcni)+*G!kF#HWo&fySSm3uN`Y1~!`xOyw@9SFIz7utgMOYL3 zet0w(zDg5paa8vuq<1lVRpV=bi^0)Lp<&6}c&!HH0djJWi#eW@5G!bIXsbSdH31dm z)K)X00_&fjr)Y$HKO3Rh6g6N0#}`^<=)ZmA=Is)4?;>TAJk- z4=>YS8JT^+)B<1FC|{a}q`L0L0uN--4DGtJv1{XdRDK!2H!jPD@Z8IDXa|m}oY|9N z;GUjdvqkXTY1*RUc*s+hqptq^)j|N}$0fs$PJKmwRE>D43s4R&Z5NZZ97x$Oo2J{9 zr@`j?TAIBVSga;!f1SC_zyNZl48kH3BgmO8Vxv+&sM~xzQTUy_ci?9u2$s?)8=)(lFL2z{v4y4ZiBrtCr7 z!a1STFvBOj_K9{|4g-uI$F}1o<8mnIy(y*NiEeVAURyEra{C$g;T6uA5pc!ZIf-RM z%G>M8^+|Dd8`#Dep~%d8AT_4qE9iHXFGbX$G)*m^UtWgm%T{-ZRd+Q#tRz!Ad9tQP zJ3Xq(Xj@;;JkF|Z43nf1GRydA^ufXLwElOyE4G9) zRr3RhvYc0K~yy(B{PRnBft|L$c2*@;&VP zTFwP|y17<7IzLf7(|pCTp>h*}#iA2i+$5A8yFn9{t1k~Ot$b$B3Oc%Jmc{rK5p@04dNA%Gy`DQhqnB_~~Y;74>>XVW3%}Q(`$xF;}wO z{7!&>|FxE0Z>fv%H@w1fvo~De{&rmPI&dMkx)vW$@Ngp^pdG5x*`&p6Ya3>?XK9hh zuqWKW=JJjYTl(sdD3qKY*hh2i7lsp{>61--1(e>1!>p_D5kO>U}2~IQn8J8lTp;BqL-Qygr$+}(W`Mb|? zR9^=yW-m`{KDxJ)n8|MVw%UkJgi1I(CqtO7ZA_akZVqubJ3}}FdQZgh`alzPHk{dG zH*;Opuqjv|_DrJcV%J4L1Y5O4+k?fqvQ5~RZwUB0{_((5@$bQ)2J^zMIOr+j5BZ4d zdMpv9>pFP38=vsIE0ib{Y3%S|+rlqWo z-*xSRHHeQ@i)RC04%=FNzpcV0i!{e*ALUeE6P!0l2@nLwxtWhl7qVr&elq>kJydqX7Ev_JmMoIW`BHvNJCpzNo``e( z*8`x`>JUjCScN&8S(-RZIzTN`G*hR2R5^8wC#cK@lGVAz=49+kO}x?m<6e}I;albj z2#mMWMm+H1&ZK!fpK#KZJ)-G`i39W5R-Wrb)sRf?OLx`HAAyKrctB_F3t<>@O*C-t z2XBA*f%EkGCh9NDl9)h)QQ&tNs&uV80xR+qcoZ-IJ2M8pzn7*;k|HpUvVK;T8xDbO z_I)PrMLc|3&IZpQ(6zoL;+@B`NZ}CiP7*lxcNt{x$bm;Z|AprjxF(~gx900Hwn2T{ z>=lW?EO~PEli$%|Vfo89dL0)bzq3{^doC7lwCQ_jsP`^0OB5B_c*HSG>O)%khyr%| zJyazEI$6i-a0BRoA4%KBFdt9IzN{f4yqGPav9oN=+JMB#aF-i$Ln*?tNQ4)INeSwo z^av4jlD~%!=-$VpbiQt+R7?So?hjM~5M=T<|K8LcTe%+2$dcFgMs2xz{2oFg^ZME@ zy!9oXA-`^Jk07lFS}(5XBm{YuuLTmbdgi=P{<0pwF9!wGS5Z-=OSN4Xc<0A;q=40; zSq++1C(mn=2up7(RwCETSZ6kmDo9}@&vdm$J1F9O3|=-%2~+VZ zq9ems4hW+m`=4Xl88UK*h_qpQ6o5BJHkde7OX7PZR`C#7wZ6k4a!7?`be^fjL$_L-6hd(@gc>=xACHM77o(e!4k3!3}KQLBu|Bi2V#X zOZj?oZu^-ft%CTBMZOeam`9Dn2)wO}oEO}_9bJ>?;te5hn=ojGs6NkY5YfGh)oKLm zCUQDP?dbm7TWTCU@cj(2qSXZ=!1PcMN%LZfz)p?nVW4|1^U{fcHMO^ej%)?EQq@F_ z89%u(Q1)&T*att`3Xa9>ZMvgX9b*RG?=vS7^_`}*9h4r&YJZa37$T7W^1u9{6GS`r z)n7{wr{L*6aTrZyq&wkIpOGC-$pGRZ5Px$F^4f6OzmDKc5r39qUvTgD19v`NDU6fI zjrGfGt#HjLRTLi{r#=m`h6Ao*DcbYOhTL}oy&*CF`&1vhr_|fR=)B~>GQSN4A&pm$=|X09rp*iL0MxKXO#$LyQx-CUo`9~(LooDoV6J@ZN4jx<`9qAu2$u2MFR1F#;z<%i42>73OSNNjnQ zdRA0vJ1XTT`sIe!6Gaz)gOmk}pq#j=l?6#?(dpPBW|qo6Qdvo9YULutCbhIH;IU?m z+T1l~uBK?;gLh>QG}tT0U_6t!6{%JrHWEBL-+p?A=ghuS+sfvy!X#@_lu#P67iV-D zJ!&<`dKdgWotSv+NbaC*Wa%ENV}MhCe#<{Wr=WiPkUmSrQQo}?T(3^?1FCHwr?>a` zDWjvl*6J}b$AyUo9O)4j4M#-VvFI?%P+~eCAJuc?0)>F)i~o&Omuh1esJ;5xJWM|j zy==85laTp}QP$4v6=r04djYeoU9J(wz&GR(Nzu;2CuUX~mFcFpA#HHH_~}yEpFlG*1R|8HeWYiZ{Ogk-l%8Cm;Aw) zCALa|+#-yl8KbPlJ>Yhi<@(~AvoO)_kbv77{|Y-^pKZM|^oVWoi0^jg)F-Rk15ie2$v}FYo72;wafM zjUzp2CCZ#oa&LqNxOy7Lgu?d=rv~=E^#|W}Tzw5KI=++}>E^1?_;wPK7NTsNGO~Nc zjXc$7!;UZQY)+bVyInjlr_~UWCO#g)^dU9zS4lbB`R(b4w^?_YuvuNI6Oz5!R$?+; z*&Q0l>gRFi-6Gw@mDC8t-Umk#7Tw%rcVHoc+-60mVnxPVl_VVP$t8>THC!ny;Eo?) zDzY2-jUQw=o{=%A8TpN(5wiXYHXma7kh-AW!tb_#(Y$O22}sb|llx@SA5;*)aeCbF z`^qvjbZA`n;^K~cPtwN%=3l>_m*n%&;){cXdlHa%FNk$m`0d#RoAqDI8(W<6{udXx zuNDvXCGR~rzIb-5+>q#fae<<{8o#_UX{rweLb>sb$6a~r>5+CM$lNuDHnfEUUh{`n zpt%Hex5Q=HsA^HO>QC6{AXJ2q7W$;jOJ^>5S(43nE1oOR4|U_?7AZ&9U|?*2 zTB~^OQStm9tvz^f-vi?S#R@}xr&3GT`GPyXwe&T8K~euRm#|6D#-$P}CN2$pT+0B4csCKWSljk*19 z{;qmwteuKH(oZsT|B2}0w@1yR6K-z`fxf{q@zL9z-44buBkR>~xJ8$jchy;@XZVNA%uUS)lW}u~-KG4ay2} zstl6<>+WJeI8HNNNt2rC2r^<=d`@J;WQysh;b#|J%jI+LD3Vwr?-T0)9@DFwPpo@* zOvibj$T+r|rP|9>i!1ABAgHHNsUS26{|u)ST0e+HxQo2d9a<8<>c{Kl%3Oqz$h>8r z;*x6b=TMK%+n}Z7l4q*NQ_yy%E&NRXep+V#Z9sJyT=6y1C`xX%{2nXx?RX3C0}Uux8v9rf z^2-^d9GNr>7)6D+qqC1p767yOqa{H=mae(~ICBFb?s|Xvf}Q=??Wh?&g20ME%07+t|St z9`#st;j;Xm)ic-bVjrcMn2XnwcxLSF5_a9iKNY9(?Wyt8@faHPN*~U_qm&%`2ly#@ zZ;O|V?Rhh7Me z_CAW7st|j?JvlSW{Z_-m+CGxaJtTn?%xR0sakmeXI~?pjI2JC@@5=inQld|*{cBnV zKTF*f#qGu%g)FQ+U8XAQalx@pxvaQotj(i^l-MgmQ06=y+JoUXQq;FT*Rg=ezMi3D z-?4ddn{=2;6idYD0S+`0p(n}YPj(D>B2iCAr`DbVQ$b|EdoK51Aqs>t#@};ixlJ%Q zM%3SvD{F90T9~5?g;8PKt;>!&gG# z_ig|D3oqkGcX-(-b{zk$5G)FJUk6rG%uXSKUiys$Gu#;kPcSpm`+Fq6zBVsF0_Gkz z(ii$^1>S_kOK`OvYEMn3XZFYn(7fyaAP}R~$9&IM!l9BX{j@dWsBS@ppkhMGzU?oi zkkXcCJx4_|=zf2EipS)P2y^p%Hj2b$RlgJ(PASlSQY4hhST@F?E+smgBCPYI2%5@h z>*KOU=Emg{6R5QFqui2j^2MTW_P*#`UUI074pZDuOjkC8BJ$bB5{*0E9UuJP%n>70 z7-{um_unI6I52T(NW*+s29g-z=4S(Iz!aHKI(h0YX!*ORQLJGi$H5=~OGgpBpv%Z} zfWxSHgv023jKdiF6NjD(A}y(r9z(gk1+CLe3C*=Ej)6c5@E4(R$Z7*OzjV8X z3d$_Y;<-KEk1!LSf~fMx)AE#Med!PR45Ij;CScv`gLR7d%H41eKQf<&m-$(ix0WA3 zqbLkgU2o_Yan6z!Xy{Zcs-$ER_780;!X&EM`GgA4r9_8U*L=b*(&M40-+EvJ!)%si z{oLNGkFYoV;vEik^LJh%@0z*!4cj%`r=Hk`9-2Gy7<9QJOEgZZsq)#TgIZVmPnfG) zEbC+P(RuV0Gg+2RE1j26&!@cY!}xB`h^OWV<|yVc=a}ZO-EQo@r>|wleXxg{P9eo1 z`Bu4=LTW>n)w?9mbT`zl>MFJg{gr{YGg-6NsKWf^q;NlZeEOPv>H4I|!u($Cr}yyN z<)d5>k#_NN38=>lO;t@R5Lol|3cJwae&)TEf&Y&{ z2jhoFVx0-g3evO<<037-jW1buc&-cMigMyp?qJOrhl*%rA`f5>?gDmGIp)zhgy?pO zEho7N3q4Hf3D#FjKK0pew5)axmW^a=YBNk204}SO+OfY6pD(>3L3dj73Jj&*W)6sf z&ErHNhOAYH_|^2=1C!`>Yo?u0-_ot=x2}V)aK}5p?=5KMMruTwuyLw|3d;_2=JXA_&4+$oiVPjQz4>o+&8)LY5M=g8ZSdwF$AW6k9~jby zdc@NxTR6$kdd45dPkideO$Kz`ZMylr_L|vzla}S;S2IFL;W)@U!~XeC)&EW#-GsJ; z$^2Xt12=~IgwarlVqjbRB@(>Y%r6br5EhjZn)M0=rXCT9Ehr@{z2S&=*&x1SYs7xW zi&seB=Bi0MJ@o5-U#Z%GgRr{T6W!E{f+VlwREun|2(h&1kXXLi%yryd`-W@28}4v* zjj?LaDztOdA!OM}*kdMg4MQGfiS>KV{F@LjlUfZ1<*Q*Z9>43_rkI>@BBcfF5#8j> zS(~q~(x8-Gfqj+GaNU#44O2^~m#Wo3LGd{kK|Wcx5~mwmpDH8t_Ut$qjN& zJ`3XYNUysiZDEpQs&u>vuLC5hL!!6m3vra7tM!N>M?R6Wx>T|U_(bY$jkYeqBFZox zBD=Wvj<*vJ9>CckP(^Jr(@awEn{nTsV5+ca#BwJ=`88UVM_rC65P}dbB`u6PXDGQKX&lNX^&o^L0g`db@+O1 znuRyQ%XGwD3M`0t%nMo1Ez+DGzxvV!s%c}NDpZQpPn*+;kk1WrLDZ$R^$ako4tI%f za%&xQ2&wBoAxXUGv!ec1NBW1jU&v86RSy=-oS06vGru&SwU<=!s@kn9^_Bf^dVR~` zF5b;^|0k<)l9vK!weZ5#C-MXV>OEc5UdQGihF!_HYE-j3|E~fam&~_rYQqCpA#~w! zU{0>)nn%!-`~QWGIS6gFlaGxEZL`%-k$-R_z%RWA^C0Dy=7^6qA0J+S{ibM{z}0!~ z?sGranls*GxC0|{LLk8PIi%guq7~2`@ZtVJn{iY$LTC>4klmZ`R7P+(*w)i}Zq#tR z86MdDajHQ8yTM%u?*6jZ&4LiNXa^ak_zO{&6L6X**(~CD6Ef(x8FFK@bky{_Fm-!; z)Lr|x{|0yZQW2)w!uCSL@gF%vsQ;tz0ZDRxBC|BYxa?;gIUGX9d8P_qqOpDQ|3i4? zRDic$l;z}v=39>dXK-?PySAuQ>drdVOzL8R+awUVK&|9X@U}Th?;mR38WB>px5sg} zY$)hoVO9x0p;H$ew*ZT(>-vXPR76UUlo+MEyT+nZK)SoTYZOF~R62yAk(N$DS{kLh zyBTVRiSKaV_w)YW=Xu{RuIsz5b=Ll^wdb5UXYaFnuXVPk*o51(8sWA5LltkGg38=r z_KE4nCG$u<%NvJApF{Pm3tp1F%K$bd4NRq=+8Xs-tR8N%b)~YVlu%h0EZ@3^axEGH zCC?)Nm*A!{xwp3-15_r%hd^brd-fMR0^ssp)rAsmi`KL2r1Yiwd1ULx<|U{3T@Q=a z!L)b$Fw4m&UsJiOKduZ0q}CEyZw}zo`GtgEXwwTr1l?eN1;mF#&S<;!%?cSOw4KFY zH%t0%ufo#tP%M9NPCS15Ot3A?E)H-dMU-(?<;D7%`A6x;hi^>n0L#aY@u)(%&?30X zmxmhF8FZutn_@RkYD(MzCp7_~jyHS=;0+_Lp1sOn5amt{tm;b>C?VZyZ5cxF2ZZkZ?EZ(MOlau$^lQPd4z~_iWJrb{|*PZ@1;51=_ zwt}r0m~CY2jW~mpj_Z6;5M0tuf1RI3*r}AGK7?(>^rmR@m0UruD zHTiP+#qx?@6y9q5+oTivLNL^}Na=A+{ZqN{@$4(p>J3ec(@F`r0nAf>(fJ&6DWt!)toQ&)zwP zUa`d-*Fbz`!4e0c%!?amQ4aC=kfQzGf{h~Bp zcV|ZXo^OGhM%>(Z{~Nv5r_uea?b{Am23ZaOwgCy8H?G|3Zd|#|@TC+#a{ef$Zhsl3 zA6-;?&Hue;eAdLyk*8KDMUP6U48LIVKEFN6Yh$yXUAWD#5q{7=c0`T?yzY@^ljGSIr~iHa3$vgxf3{;fw=A+`3LKeSeI{sL^Sg$5R5blW`

nyo7pwgeDm_bdCf&i^_stgS#4S(2Gs z7NZo({O$NIX=YZ(V{Cf+^eIj|84Zv4;^zg!FO6g}WoTs%f!%_ga>9S2Qoto_j)O>D zPg`@ciMOdjR&NYz|AH9+Jm9Xthxj^P4cK=Y8&T=z)=>f5C~hG>jtRXrd-@*+3n@T{ zfN^nbFeD;;MxmuJHEih7*wS#WRX8~$T_R;`@KHQAEP--WSY`y@_SNhcf;=kqm_6)2 z%%dDMck)#S%ox-VH5{*R<(^RicweQj2sy8)Q0yk16?-N9W}`vZI8k*Ceu5Ysw6Bbj*o2xM zHVQUF&xy!veu8wZw@MtvrpzZ7qKK*!DHwdmLA?5(Uc_x7iN>ztBSe`(Ow-0f~WVKLV zctnM`WuGziZceattxva5gr<~r9j4UhJgQEdrm45|&WjzyXWx@PoIc`gxJC)emx(=Ewf#Z_{zyM@^guBPYX)7=4GH@YXVL>(Xx~EsLZJ zmlA1kY@qDA92k4l$&BBrb6AREvvjMIg=Z0cb4t{BP_0V7yZTc*AJnn0L49Q;as0z) zc>o&+xC@cv4g0%5CQ)CVvfk;k*hmMT4uRmCu>mmI)?|=;OrU#m!2bhPG%?^e=!)M~ zz;e|M)6$NR3=U{F3sN%tc<0L!93IFmIA$fX7*pa z|CYjw_$^?^_~?2cQP%pfSk%jud0kn92O6W}?UbGHCy#*q4ZwZC8)(sb_e096z|!rP zO0H=Yw{Fsv>iNyhubkd~3Osy_3nA?atwhJh9s`i3Fh}C9voCNy95XkEABtwKU+1WR z0Y;YLr)BF4JyZQ;R$ zW_85==2A-`K;A80t^Y&Q@STxNZ1R*b$K_=YDiM)|`vfZW??e7eJRwl=ZVCBoxOGw) zx{T}pH|KRaWtV!Sp|nXJ@YD$D7||DyM9bT~zWN3+Ot|)Ojxs(m1JrYXxa}@_i z&B0CQfe0`3Y{am!{%@ELmMKyWO`J7sd}kbnyyuQLDlyegjU_M=$t8p)0ZICi>1bV_=?&KiSvji+OI z9|x?+Mx`#3@VB#C<-kc`KoG1Eb_WOqq*Lbq_jt0;Dqg#!Kj6Q{}+Q|K86(`kY*7RS6WZ zqEC$z!W|Gv?|2;Q?8-pcdhDp*rEc6sJp8(y{9;v!JiwHDIPWh=^R=fN`BJ633*eFu z5DlT=X@A})bI?uhYyAL0lc4ygW*4klPfzXfiGTt`_NUS9Pb1qTxqEkUOZ!selzRj-eWiz>`$!_>eop&vyl5=9^L8T%x= zm$m+?btAKG4QDz;mGDZ&({4t3xp9xQgu&_9e8>C7nVmPzz>@VU+2_vg;ZIl?l_2xn zj{w^))Sc?hHn--rSSpnmavBnH28_Ow2&&DiyK{AA>8x}Fg#84luqXl}vG6gqRB90F z#+QRyX069dzU#Z~@Qx5zD5iWY9MdE0Sd}AB;jcW&57g(=_EjmLfP!ljpQr@9OBZSL zcb)@hRrDd+);w+9PUhC*4cMPDLDv=T^1>OWL_hpy-s_;yZ#>3{VQ5s59n@Nti;MK= z5{O$TreTR@Xnc;{Pmi-9hS_L-XVueoE?5ITJf_N@z9#p+Dzj}A{p15&F?v4rwbG)_ zz9QO{@c4shCa?BYo4<4&^!VWN@(qRfn%6bTm82;F6w13H`%L)?#&XRDerVNC4!t%A zICMX6#BI)$eXn-4$HD^Wje->yEW*!ugx)vN(Uw{u<^q7%RM*2|vO}GnlIVi{9 z=sFvSeoY(a>=N05bL2(6v_yTrA@ztO(f>OI5)uAGDn48D2eE~BfVZJR1XT}F=tOs6 zlF~yV22G?2xZ{{|#d^$pZ2RG*hl$fS+F}~ibS>UR6dZ0%a|x%DrKtaojM4)c0k-oJrG^iMg6S8?wJ)k zuk0^>bD+%`BwGAR{~FzWli~3?UHgq`CK4~nzs6MEE6jCh-!ZXp5mP7o8k2Reklwz1 zCtTk}j2Ngmfbn;v>?Kg;c84_I)F5A)Z(0U9-#J7#MUviMh+46^Ha~Fa&7mEe}YCN2{tLL8jtz{PP~t)=RoBAsuXWW#%>M1c_%^m zlRC6$D8QKj)ZiSjEM*4ewZwg+Y7s>=AiGTk%8tFyn36DT-SNm>VogkV>A|bPlX(}Vk(gi9ylwi0e_4sBgf%73SYo$Lp?#Qbl z9MjiRkyN{%|KYbVvcPyCrk^@6-Y`)V!6eS^ex~fDy%`zq92stWJ`K!4R%a@)qyV*V zDYN@SU`ExKG{g2DEc8c9PxHx>BV$9QybDZ8Wb8N^;#nCti{a*SDxZy|cXRo}e$`<_ z{pBY2iA4W`80GTE+;*((zu65GcMKFi>6k?st>`IW);@(UN9y!eiii|9eR~8sxoLn` zZ`xvx7^im!Be{cvm8i(hLCh9NmrenGjmelYdte^BhLp^G#Cst!oXB?|FPHjPV!(@!G!a5iRU+|LQ#xJwofM$sF_7U{$%CWvXETlLWVN34k=u*Nlsnlc6bn94!;Y$5 zB!`VVK$UNBb$73=apk7+M>rpP5%&Px^JYMRPN&KM3UDb#s2o%N*!M6xl32lI{~u22 zHmH5jwV3jzm)hUFhX%+6iF3s~Be9jG;;w8P=fj@%-_DW2u0Yw|ua|BYxk(Ml1`}kcZAyfVxl*U*RMa_7_buouCEA$LLdaip}0ttFs;8vdB)`{nm4oGZj8?k3W*Pq0gB z6>IyIYF3=-6dCPQs{i;y&(Iw~&u}lEsc_5x?!R+b9z1opu)aJ2$qQXm#j&f~XRSwQK4EF3^Su9%@3K)kDBnb1>9<^aomBm=3KEAs z_ei9|KY|4Tdlu;K$Dl_k)B>?ZhODA2*5+BuSwha02CNNbL;4)r51s5`WCMx8bF(eo zZu!&VD&%T+$3{Jxo-$J~;NZpGy)Un4RP4uQ`Jcw-`${xX!;izXBXT%Ti=Grb7|YYd zA~jWS{m9ml7l5zzp^x7E)v`i1|D>;R*7(8Z$DfJn_32c1v=tVdoE{jkvii(E0(v8v zLU->1hBnVk@Y}PSS4J0Hww**ggsGdT2o~_e4wGu-yVj#hmFSoNN5;gwq3&9YL>eNn zdE%4~%B`gEz&w&+iTXkM(Hjeue{pLu5P6fj-BEzSwnEVlm}@qB{>5-_XnDe%B9XVB zfgF$Y{Eq9hb`saZ-q5=mT^Y3G#7h5)2;kVeF?rFMyh;4ZQXuhR@)q{Tw(jbH(QF@O z;gEd$dKBDlAu*2sl=^|1TTejkp+X-eY@&%h-zD?N&WJVC{V64qGP%TtL0ZNa1-2pblPkXDfR)$rJY0YtZl&a+bf&EvX}2)B2S*w^qW0@ zhAw8gPqJE}@E3eqaNk;T@R`M$F1|Zp*~>WySoS9JgqdhW*FJ}Px1*ofoOil?^?Y!# z#j^Kke}s4P*)){C^i}v|slVt4o3dhZweZPe-`k-!Wf6Zt@7sVe_v}5um|HLT)%Q}e z_UGBPwRi77EGxUmqh`a&1%b&Eg+0>u^;~+^rDZhl{h%^&>VoE_!$y8!ZS~6QV>v3!Dh0eUqz>&s-t7klr#&bMO zIq@3wS;He&5C0xR>D(JtZ{9PLAFNkBR1|!RKl5<5zQ31$JZy^fYUEd3 z(yMJs-BC9=Ka<;87ryV~XmxIVQ#Q)V!%n8fiVthFqezh$eB1exGOr|-cznI#|Jv+kDIeS_-IJY=~lYkCa$NL;Re4}0S&k+odE>-9W)YNdl0SC=4r z%93*6*`Ta$m)ir_o22j59RUgVIBKhRwSm+rHo8f1uX`l>{RAtIAde+J|7d^a^Yl8n zuBhpb+VOMGyIITcV`#T2Dg=G8LVe1Ls93t}Rptqv8M)7TJvd2=uLErE3mt$&Qkf=* z{j!H#)M>R*q%f8^M6SASk;jU+`FxFCrEBGvDqv{uO<=f6i5vDgj&}=zCQu>s=9if_ z?t@u{ujCs8R>*l%`2o;RLJf#OKopwrOkW*KuZBF%Ozjg*zAnS=7P0;9*_d>}?N9ry<_ znC+Hm0gQS~+a0e!39ifzOaOieb5Tk?)z^9idlbW}5!C(AEb|*#wLpQ=%m~n-PvH|( zNv%2pI@%|ZMyuOk8K)p=GWRH8ij-rMqH)J)eS3*qb&@-?)L25d&8I5pgm}681i9)H zmoxHu?xqF=P?tfocg~}NNP2)#$%xcaZ^@qj6VVCJ^S3|DIO_3n?pRL-rBjfkd znWuzR%bha~mLwWmecb|K>xj+1^P$em^spmg!X;?5yS3-xU&=Hp;GLP!C7C~zXk7Gl`%#_bJ$X8; zcLOUJdiu`WOKPzzCTR_b0$ikd_DDYb4x#dQF(-7|Z;zI2sKge&Sni>npAPZ9Sk932 zu_j!c3Gr45Jp787_jPJ;-*w+&T^rWu$ta8xFO<@C1;*&BN^V=J>+QEsmf!ifJeLr8 zN=yBSkRTizyDPpnpejjq^0ZG+N_T+S9&W)2E#3Hc<%qayrgx^qk%aG}?`aEc-FK^f zS3)NPy98$9Nn1J`GU5AOj8N-8l-5(&Bjtp&lOTy5DIX`FW2MvO9Sl$aLo_0L*`D$Q z&{8`P5)8@ScPsVJY{MXiFo+d!kW*X0<&g8zKH#l&e#%H)3>wKj{- zQsc`md?6r$eC#1@F~n?LSli1zwf%>(Hs$=MgfYPR&aKy&1a!yQwQ(Effcb$;E!GnfOBt(Q{oiTkAzr`FFa^sj86hK<^xP_rRhEsu z#Nqx~4AiSvwRx>@;biO(X}jGg?spoKIX@at<>&TlPN>H5zq7i52J{rv)PT@t4RM=$ z&F?wQR7hWg(KcT>c~jJ0DA$@__5dK#sb%pT%yLSRKrR7(MzYlXajbtHTtI9l4V^_#$dPw3p1^}D%g16$*a{^_vtg~dWUdsn#J6l)M zhFbe1V1;B-@$R;gfryM8D#5~8)}}Y^#s9$B0?`ST-$Fx0UJ-S+DsMH}WyB|Rd3W*) zY1G(c?&X_l0ztFkUGDh4+y5Me=XZx7qSxuFacPUaO%K2A1eO-R%UNvdm}a&6#vYZS z=O6!HI+JV}K6@qCj*Z2y<}>K~6$2aOf4G z<2hkHGHiGLgb)MY0tKNC>Yano2hD8|%$P6)eR6I7ARZ!tsq9)pqredKIS?x@48J}K zy1oFn!gmUfAjtE*T-rTIQw7Er_zNA(Sa;DBq)Fr)p;}*Exr90fdlv@v?EP#*BZs(` z3I%V0SvM$y)cg67c?s56@~k7r{McVtq|wF@XK`L62Qx zkX5*J`RLog@r>RQ>U#mXIyqWGmtooebRr&rz|q%7z_sfk*KXAp@5aEkgd(W1LX949 zU>Cw;85e~(7U?lMOZUFNKc$I?1<{|9VX8PWmK>OTZcIKWM!Nbo_x#;F#!eN_4_&CN zjNJ#Hu3v~x5D{O7c=by%GpToQ_o(&|_CUK1BEX;KNFl`a>}UojZKV8sdCIFpenNw7 zYubj<*kE$Ro8y<@heq47?YJml1dwCWo9bMcv!`OY$zIIA1O#(MUHdAGR^Dv9%^II( zG86`Hip;z-kn5X4H$~=h4#@S$48Z&I4Dfbvd3gajFW8ll!z>8I_^G?M0U4ZjeefSA z?dhn@6rt`tq4Ks{%&v4TgjSwpHCRb}FQp{psD6Ek|LNU#D-NG#uH{vRkWxadJ_OI_ ze*#L{;i-*bm7yW|zX?7z{z^XINk?t><2@iaj$hAMVeS*}RE_ig2xU0J1IsVwpWiLh zw`9=KmPe#AL=q5GVJUv5(a|7!SoPwCmRhib&*yt_PjMMukjVvesJS!HKKZ^!njpl0 z?I0KA8;^dDu`ni2XZ`t5;T>rg#_&#Q)?J+!INt*;71RLyQ0BG}&rh#nU8a~k@d=K{ zvVSt{l0MPzsCbgV;dDuXCa39G)j4 zX@~D!D6)IQZPF)QE>*G}e|Gn()Z#Z>O&8VOCI^X6;3Tm(W1~49m0wjqZ!pWqZ>;h+ zNe?l(b)Y%J>C>#`C9dg3uX5zc3amsBwjpDo*T!^TBr(*i`x{%yNMr&ObXtu>rBrf* z3E_?pXJOJ4X^AAEB%mJg^3uGN=H_k5qzNU!|S`rEtSoPxY8z>pl{bdYvpF>Xa8r zmZ5mh*Aofk-1tDTBb-x5#>1DS_fi|pSHX}=YQX$mC7 zppQ?W3(4B^fxg{9&=TdYytLQwyXYW&&u4sx&&i`HiZ_F6?M2>=HC(>2WiOd zEcLfy7B*XdOdfqC;Wxy6ouLOY!d1cd(6iY9cg(l|K@9WH8F5 zZ{-f%oW1fNaD^I1Vrau879N>Gz@^c9KUv-?WWb}Q-Ews{>+NY%(|nO<7IVh1*r*=u z?l{104*)Ly2f4Qv7K^z|ozd$aoMb!9weD?0o~$_z-q#u|u{Y{2VZYFpz4T03)eN}2 z+H{3JD{C0}thEnY2oE6eXQeNAV$hP%V?*lC+WYc%#E*o<-;;N|3n$-Cb@&#dz00s< zPcpw0hrOe`FyVbQhi#tmoGTDTLKA=d=T8PsE5!v*X`$xhW=b!?eI5tl(n1^eT>L%1 z?R}1ePYd=SDOLX#%sKpW%Gnue)Moh79^95$C==`*Yj{<^NToIJ-x=ZWtmEqJ(+h%N z1nlDYQIqSm#{=NGmx0#6Gh7@wd(zB8-ed;8U|njvLLZDYvMymDU@-Ecy3Sc( zu5o?r#)09_jgH5s9kIrPp`f(pE+Faiu zm~G8U7{{V2Zb5QaS7Gj%bO#8W8^YyWi4Bf>k? zN_(GqvJ-CdMd}@;D4pvqez({Cx2)E~Ep89~BC@@c@+Fr-lurCceGfEP!&rQ2Qo#A9 z5u4wU@@?2B$_yDstif%8VtNyqm~Rch6>^9l0w;gC1Dq^Mkn)B8l!=F4cHPWmD|O^( ze+k-#4!DM|>=hcLueU0`q;8#+pCU%;V-_*U5moRK|EaTMiFp=yF^Clt^YnBpwtmD| z3bLkGV{H&5xU+-)lC*0{YM(MRjctx6O{eq2(RX}4# zK`@b%DUtI_KUbUqOJBFVdbR>UZla8?l5^fyZ}4?pD;}3NOyOwF@7-lT^b97wwEGp2 zeCD^z_=C2=XFos6qSut$@3(rHD}NfGV+d}{lz?1#Kw?UJm;F-WRMjnoOZd{duG6sU&)A z@h=rE)oqHD9&#q0XWKZ{m@XKLiz~8`d2{Q!UdEA@2x1&Et$=xAxUmJc~-0Os55Elgp z6>4+F*>!C%Yi%#|Yi-r**!pWE6*y{SKZWus+&xI`cC7J@DB8c#A%UjK(vBu%Fuf21qekaN)xmS)=#Ya30G1E&@t4Rdtb6cOS?#tiy zp?sVrN(GjQeKnMBLSQ;g%{d-_o`qHz~;YSGDm-OWBXVEFihWjo1W?Pob6aJ09x-T8u(vukz z9t2gws^Y8OGQrv`GMRp(^N0^r+5QgtKND-pRK=@Dq`lC4$U6V`xd&}7Nh{ZnZA$(O zIdDF6u(GnpnbET67uFYNg{?%KB&kuMGb)9Y-%M*if1Fa+{rTTTG=KQllMD4-;OE?b!_)S}2;PI5bPB2-hjAw} z2a4)9&7Uew2W1YJdOq?})dCS@xX=b4)`<>$|NqIDCk4Po1#tP=oZUKMriAchHJR2` zAwH%So3b=2O7>TQ8UMlKUvp78QoMB%;!A4%^4sjmOuje%SYqJq;X@lPTPyra{7X}Y z6UJ(7=_hi0;4vbOq90n;6U-9^3`LuC@`B{@#f@@*G9zn{OHXe@H#7pqkbf@}5=@vG{znQ%+yJm$Fwg=Lkla zb&wjpx_OKv80hYP^?^8t%Zk8QJp4mwzmja6Xu#9dPVD~^WQEu;@0ylL>AyXI>^yTd zlVngCL^&o0ni*aU8#V1?dCp+(RuPU{g1!w%sU-(yaa{w|HlY(%<@pJjsI)_1OD|U- zON(Gl;C;#;F?8M6+az!DdB1n#)8n3^s{&0_IlC!>c|E@XSJN|J~-8R$toYVt9EtGG~=%HY-E^e?f>#Vzc}QT)lVxKHOb7G{#1sX(iEM+jiS zf+=6j^Rz<@qo~R0d%J(R^12yp*H)6hB@*}CfBn`XC{priT{fE;VYu?Qh-oad<_5Yt zDWOPqvpw??00wb5;B`&PKFJR+i@mErqz@{J2jUTl0Q0+Hh=eR3s&8~cbc;O2;nCss zNo;2HtH71Mb@((F(-re9P8S1b*8gNP+v{x|dq0hs?z>U^sEh#MNI3u(_HW?84a~TK zm9al^iPP@$n=*UgTXk&td^9m*3W%|O!1V9JI>pE%rNLjkna@&y2b6fl!%H7FhPwH+ zOw0*hdsh_o8fEY&O3@zvyN`ix1yYO5_i0L_vjyOywe<^baO$;;&;zS8a`@Af-^L6O zpkpD2`58t11J=8XFQyNeAlycF1?VX=eV+3d=zNYNR-3XMA8KD{?`$s#5yp;A>@tqslfYLzRiQyhI6N7? z)&>bM1_w!ksEa|603ZEw^u^8=SRqiYJP4UUwZL>-QGe7Nto;6N-XHtAJxlW2m5(j& zAqFa4DuV|&h6*9l5M3$bVMo8Bf$P(qx_~AwXw1e~Yrt%hswKQ;p1W!2Y^O3nZLYpeJgzBBeGiGs7Ikm5~&s-nJ`MVb_+<0m4go1e&x{1q8ms2Uj=gqT8{ zTn3^V%0L4MSNL3P2_^`+wR!-;z&%k{zFx7htH`$3S*c(yVKn2N$t#`s5AZd*SIWYh zu>-0TT^pJz0n`PbR67MuY*Uz|@W;9eP1CLflpaGr+OCfADE~m6$~UQr9Gt?RL61)1 zOwfu`_~()4fW`G{j}@0SxVy;dsqQTKa7#eaMd&MTXnvsSuZ`*&Zl%TmvZ)|lu+(Ji z<@uT;%Eu7QMSDRzI2mit4cE5U3Mzi%3ol&~uFZtfUvWV(^(3Ep$ z;byEd>sD_;0?b^aA7072ft*fo3vy5p1qzHP#Vgq1*(pCpHx$M&bjSd1)8J_6cvT-#ZSN!zogJhUX#6;xnaZ=WKI`^aTecO z!@!PeXiz7Zx?C_COlJv^$rh53nhGP)n;z*UnJrw}3wa1Dv}A}~9Y3?hGi;l}Cfih1 z)FP7pwlfy@_VtP_qQ-*sjl*9#duQw>+XpuaRR5$~yH7op473{>RxO)>7YT}kYpu2l zC-;%#X&F$x>XA8aXj0wlQ~p3bG?zMbXAJ2rctQ!KJ4%%bG?WU=)chi^imBR^7%PN- z6kOYZ+I6K!4GopMnjr)*3a`Ufa=0=W7*UDI{7Hjf4cyFnj;1XbZeP56fShb=RBT5?O0Ri@ajo zw0h~svYQuHqT(mFmlyU!#m{CxFKk4`FZ^Kry%+SFZ@ozh5#@EsYnLTOhBq!7^&Zi{ zrv?hVziU4JJdlCvTdX(M`5V`#bwO0vM#xO779@J-eC`M;RqV@-nY_^9JTp|)Cwm|k zyG&hF@Z3y?*MZ+bc%NtAj^e|&P!?%5eNIc(;8{Cf zr7wVSpw^ya#P;*tG^t1WU?G2?;fal=2U<<@$NkS8P}8G)H|pNM)IvAvvEdu_ErA>L zWBjg3V_rIgOnlMJ|LVRCI&=_Xs8^c41+Q z;pmd^I@V7;-ssP!*?XZzi@i3!T$mB6g6mYS^8+(IWL*zZ|itgo|fhY36PJe#rCA@E{bGbO%^tRWr zXwS1$dGoE^*T;rwI*s-9rI7~w^E4a;yUyi%PWW8x9{jJVeQEryW_xF zF?B9CDPeJ38-{SD{CJ>Ea9E*q+bET#MMjMG<<8u7-r@P)NW+$mtR%W@^4_^KZ)+Q0 zn6G64tUq8|u&MwL^9H|o#CGteLe>YuoZsl-l91G6`}Ki6&M-E< zy+11$8rwK+DJ98rD{c_kn$=7GwiKREeST+7q26=rPWo+gJX5}Y820Hx!U~O&y2;{= z;?c#@57C^GZ$=mp#*<4>Zv9NgPXnhL*dUDj#g6CjFO7ai;sGp4LDGgfx-hDd1^RsKRMQkQbn^_;WYY|A1IX92JPf3RDKPl0fDLC?7_0q~G zV@v;!DA#^OaxHs-^T|=#$kw!{-*3bTA2|kr`_u@!yn3>Ea!7yqc<|}qpJ{enn~FD9 z`tdZvYdTQk^kBgnt|pQTzI>#oRnChob|KDPR-v; z?Ri_n^eF-Gt%^2|T($hR*c31Hj-k}jIP&}+)me7e@n6(DU*eqrgFS7e`Vr~nt$0a ztEc$+OUp%1^;GW+%}qn*MBgd-f5h?r0{8wru|kQWL{5W6;O%YrmaQd}p^-V>PgZVk z1In>tbMODaSE$7DcQ8#}zSwzDC?@NV^4t9lA!5%!M;b{?aQmHTwrzK7pmHjzcgRQ5 zn$Me{LBH8U5#4JuLmF%y_&Hy-2AXr8Op-HUACFveNf(j`s_hZQVd7?i+{#pgEo{Fy$JhjC(K~ z@CQ~lWOCRf1xwLM2Fpw|J$hfk}RulHH`_F z{K8OmjzbfDyTF51$^4e$)_Z~b-#vn)`f}xNq=D*J8v36~w}rGk>^Wk__k++mUpIt} zXAh)0jeez>f?irrW6vFb_wiOw@bPu!k6XV|-Fi4OUsXr?JBCQh1xNuHd5)EqOf8IQm-mCQ zEU;IMcVeela$gNoUZt4#TzU9+9I0Nd;XslElC9<4eT58JrtRMd|3vXbq7QI65cS-d z%H;jksj?^H$RLS1#AWCHEn8!WM670|S@NT%e!h7H;!)0IrHYEerSX( zVuDVk9fozNJP*Hpi#}BdD88_+t~qr`x>%%ISWr!zz7L*RSUX#6eV0E={@wBV&f;k6 zPnpq+ZaEsMN#WgYIWR%@*OgzcOd@Vyaikm<4Qss)p9$)T8%FuNq-mh916VF9fNcO5 zE9Ya$(+MGuj#sQ195OGx?>;=LkRe-K+7~~Gb!~9Pz2+2BU3fX)Bgm-XF=D6@++1)M zoOvwuUQAnkmp39;png=!P~S#XpM18^9{1dP@746nYY)flz@|DNBHh;EE)P)n^l@+2 z2*e73c^VxRK|rBc_fc=gjA$Atqa5s1z(melC!l?)Ov#iCu&oG@gr{VqU{Zpqrrc$N zqq~*~T4Z+Tdu9#m*m*?=h`gUeY|*9KILow4Y~_ey~W6eHQGn2zyc>9x(gnXz}^FQxFZ-3S|= zH68aTo))+G=Bd~MZB%c8iWVKX`GDR3NWE~V>CgJ6`UqQ3fcO^>M{}UqKvCA--Ga{* zGx{{g=lScCcj2qIiMkgqmgkvF{JtKA?S9<5R!&kRSM+GQk}HhNI|3^n2>RGsCf5&Hx@I)b+g<5rjD>n-)OzP3)^4fqXx4HSb26~7%zUrG0j;){t(H_w{ z(~`$ZKVv2f{i;dgrp|jI-#yJtY7W(m3jAA8##2oGMOE`LtF~kC+XWa0f48+Ckp->&p;92*Jp=P!Z_;)()-!=`I z)usWU9aBP?w;H1!XdLHC>XzOwu=Ar_Zy{S6NKk-Y4+#Cfc2bP~VBD`=Z0rCq^*9gq ztXn9;Z`$K%)!19H_sqIkIP$z1FKhANWc=H>n^&Q<5KxPy?*i)KKR4>?w!hR>8ece# z{flTl)oo|w^DUVZlQJ~*ylw=?f$>}wHa&2W4JuDd==@u}-VC6yv($(cD@s(B-2LB` z#FBHkvdMDV3}dvq11Z!Ag14QISTbaqomLzZ+ zT!b=I{;6sw`TX&5ydL4`U1Z)Q){Qz*`9?AG7j#tq8K&5xLruGN9EVTXvObK(oqF+8 z(Makzt{V`MSpKd#*4&c$`6P#W+((K3#jCQ0M#+&BvKVwNeP#9<=*Ha;Qgj_sHrx(`t>mHc++tB%Tg&KhZ%V+s`;O zE}A(3Ko)D(y`E}SCm-UXp=I8mopj7^2Ns(xMNjRV|7@kI(71dbD6B<3gNS6uKcBMm zOVJ$b%uAzXnmFSrDhQJol$2O%vn?uUJ(ZeMam2|ysyr7IH7)(!Rn6XATP{ORlfn zvula3_@i_se+<9HoRqAmj6423QQ z1)T$%_)@rHruX!vXT6g{wKx&8i9V;JSB73W7RT+&4)V`yJ+kCj|>5A|9e);FcvtR@xMd02=enifYX-tTd3u(0?vcl*nZ(R59t{Th z`!BG#QI5;q&f-Sbr#}^;-%?!7OpF41vfM9?eWs7vT3S&^_{#MN=*_c%0diVwsRm=; z)3qP`++gC7+f>y4bQ+a381%uI-a`uT0(L@mtfjV{>krSqdD}*Zr01^776U0Re14nA z(@V-fmG&KIj+gV9LGFQw=%|urDu>7YCh2PT=RPP_Qzbmv;}V>lfZG#X>GX~*B0r^k7XK}y8Jv}L4b9Htwa>(!r zj70ZB7NsCNbR0F2-$%JRUM5?4&aN4bnuv0t`LOECBE9T;5v z5>pIb{T^_R>h$S<)wZqbyG@Q_B}A?6NX9_+JCD{PJ+cYGORW$za%Zo;qCE(8df+dC z5)m73r~->myF?8ij9@CuAbf%3Ic$-c~g@ zMhzr`pld|Qm(OQF{W`5asEgjOm727uzzDUarN!cVU{uQEOm74nd;;AF!f!>PQIQ5H zgkVMHlamWA=Zj1~6dhp9&fp}nk5VaYDGM$8s&$?^?`v9MW*@RwwrCD(&Rze$AfOXk zfF9OhR$Z%{0pXFg zHxhwY`z2c^ED>g%or{Z(7ou@WRhH`DsOHyMGr7>9%0U(c`B9rCy!Qz5i}0KyI2Gdf zTV0jHr$`D9`I-aF%^qZ=g=}#slfoP9Q|nf=G+)jq;QOBJHm;*$i9#qMnUn5QA9Cut zsvfH%Pv)kKjUfY0bM)t%C#~R*;a=T*{ttY#IyN6%Zmh+6v_9F| z{K?lEVKCKTArU9J!HYVDap6V%(BY{x;z&ust!Xw@Z&)0HaU^m|7V~NQI{AF!!s4#9 zF+mI2zs7>?s^w{AQe9}}o z(u8|M%nBb^1rn_KD5_YyF`^(k@;C1$InAh(bxE3`gANo835wtLy5?DwPrhT2Zva#M zCdhp`ZTPb6WV-iIrC%%C#K`solMz({vC9zn8RMv#d5ENvXSio|zrodxshwE^fB#qf z)nS9m5n4KP$eKtMzo zdPk)9DqVU99Z*2&FjOfby$T|rSl%z=d(OG{+;hM0|9_sv%37JdL$b1x{Y!R|ZGOmm zc70lKOg!P(BXir|T$MG;T!Yr}sm|d`_*0#P$yB=H(k&|*&WBmId}06WM6n%{`cgzn zsh`LS1Ns4l)eUpa#GIwuvE!cAN}RBliQ#!1%1CpK(o58PAqTU;Jl%1-|pV*z_aS;+ot5& z2&b94eD2n!y}9u+A+q;#;}JY0_^NhNA7@bmO06D|`}vDO__S`bd7BCNoR1!M9q-aD z>}$0b6>?bIofO#RZhcZjKA4BT3R9r-H9Ek*f`OM(?tr@z^5tTp{ugeQ&{!t?h5`I% zd-+BykJ{P600CnCr@2QS2!}B@@gzIYf|w1 z#)G4Sgl|!TZFN+f_g?&lg3}rxvu+0zlIfJ6G^^JX{;G5$3J~@y9dJcXVv$qrJr~Ji zw6uO*!fM)c%L{vko=<&NOt`ut)=*qGq1x4+HPljE*IMqvs0op?piTeFmtO4K)6tH( z`0+%!*xp??!L`$z@8mjD6P9ng$T#+|MNYZ1ZO-uW+Du08jlYhk{eAIkdgE}xo3aIu zLv6b{(S=(kCPUhhO@8~9g{OtE_g*onpnMDJ_ICo8q+8PW6~qq8%f>ScmaBG8%CyDy zO`a7>@zW1w=4A>FIK3D-nc2JHB5M=Xs+Y;$U`#J4)MnzlSL|kLFE#e;K)mDOAYSIr zMwF9@tM|KmjMmVlk21Q4=&s8g#egoH&w6i(xT*Q_OlrN28vE}71t7?q&oKz{4FHx_ zss>=Z;wFNwO83xh0_f5;Z1Yoz^AJ?h)ncEERC}e&t}0iI-!Cny+;jRwgom_;#130X zDG(;)^eAk=_Pt*b3xFe$TL76{ov00f2KP~{r^9QAL`v!Q-MdwrJKX6%f0jKfEHv3> z$t=iD#7lnEmmqv@Ph)yR&_M^O{m4B(wP&l4j@As=a9BZG-aao=diO=}uZ_cojO$~J z_a{{EO+C}Q4SiOxs*T8~q^L@pWvlyv(61iPHDMM3h;_w0*eLP(J#oBSvvesY4vHA1 z&b{m91$cheG2Wi-ngVK&zeT)?2$>lFhT?$!6V<`6C?_Tt3lNkyi}UB#+3hJ9TjV=k z#=g)tlBH&qs`~c&-dlV;NRg;fLojtF*rzE04UOGYVDz&glyHLLPW$-xVY9z>jFdR{qf1ECWPzvAEUej~r88 z4rU$Joqa52DCZZUzvljeMP58{x13mFZs9_UT;8j5bIJZu23BtU2_>l9fHrs3IvN7n zT}G!qXk3_!+@aeF0Hu#C19xx*R{-STTL`9|w7oG6|Hd-7LiqQXT5cWDCj zJMS_^zdCBOzSPm)B-Q#$ljj%bdP#Bw^y2v8lt%gRx$OCT6QT49TN#c_q^ozV^XHR$ z*+jEPdW$B{)57O)7+$5!sB6={s0QPnJI2`?_6$9vgxVe+9;jy|q&;PN*xHsO1*7PC z8=uy&%u&yex5*D5)v=IR%h~=N0Ta4{a?(F~CyWnp@oY|5?{l}?E-W^XYXuuGVfZT_o*Bh;-I8PSdvdlD^1zwN_bWFi`44U*r}&V=H4x6SfClQ3idXh z-$9rjIurqJ-RsnOCC_=rD9C8bAa#<)YUyby%qRtU`DFSvSQ=w31yPxL%~O?Y=Lx%0 zF2-N}JgN#62dMYCwNxeMQAQ!#kZDA$4wfOb!q9(jv@gCR77x*x7+nN7e~2J~hbEL&A!CV?@_0q(JUTE2wj*vGSwy z-3cqWt-|--Wx#@(xYeg04C^Gy&NeRmi`zWzFkWkVYmhIf?jaV`1?E9^cfbtDLpzKM z*+4KOKiW_FT~cZ&2QY#Qz9eRbea-^B?iQN15 zfKrCfiNCS9c{;w9)Ky8=1R3tHNL9#)E=w43Bvsg($nmm^%$LUA^3UKZQTvjyqSd-<4HEjH{0HgTezm!+P2;O8OWL#F z^?OeSR@cN=yy$4`u#D+j3JFJ?(62>iqgF? zz!htk_NL*U{hOjUXxLjowpun#QY(shGijfolH_;%S$vFw2zZyzp?WGeIIyx^HI>_D zbyW1;x8=BMHqNA^*-qksUyQJ5RmQ~1vRpNt>W8%Sg2y>;n=4dp&T^+S{VX&3>E1k7 zUPIE;vHUt7N!HVG_B#HIyyszhSb4)z!*e^vj1muw{}V_8cx3O35gjoiN8o@+YKNF4 zhc#2Z(mVH?{b|b_AMa)M8Q!@v1dmvWu=uBhcfz8g@$Q5rswP0wIr?$y_6LQ^&Mdm# zA9TMKZ>l z=sJU{lymAU<2LFf>pOXU##$foBASOkUp0~Ib`8!ky^H3uu>oax2(ukya{I__Zk(5K zG?unHl3k0W9>B~92w<_9Q!r!vO)~lO(~r!y-%51~m9zM* zS4C#5=zSzK#Gpn9y-(bFrCitdAEGJPZ~vpe?^i#;Nx#%-(E@qgum3Caw&#pdphK{d z43kG4;Uj+_RbC_Qc2SV8m3BO2Il;2;&R=4_j*=;N$M0#@0O1`r#$hs_r`AfI;Eg!` z@CfglqnGjFB`{;Q>aJgYJRQ6pcPNfnuDGLrzeC-nL^2^#u<@i}|0}Cu*sR9utX8T= zq+rCQ-fDl=pbyc%2US8hP-_&#@@Qr9)=6xdAjNs|-BZJJslQI*SFdh+%KtS+eEc<~Q&YG+ z`~7%dD!?zVTV~v*F2KBZ*;FWCcZ*D5nSGu4hUesV)YArb7x(jHU+i|5k3^~wx{=E! zq`ABZV^h!SLtO_{#gsiUa?C?$wnABz4>yPJq!5dhuR$@)Kj7zV(yOJF`?0$I3y#sc z{;luoB^GD7x|bZya}N<|HXcni|A>U8kxDH7VMQyXOTAJYSAB|Ww7WmBLhhTa(av6~ zorf6y4>6OnMd8VJ=L0OhT>+1x_Li{UrPS8d)foqD1qT*p6HrTHp7gO!M|=U=~OEiK34DKH4zGe+_%(Qt?v0D1#F%lEz%@ zrm?$3+2tQmU#b@qdBTuhGTsweN#*xjP&F~v@46adxfa4>v;nVEPGyj+VTnU`n0I}i z?R<$>EYnL}(p8h@$j(46G1nV^f0WSR&(6g66tp29YEUm1G8U zJ2Wj(yfCbdVg0$9n60Jod^&?!dgrS|J3A-Zv*1jIG?aI;cH+tVM{C;5!LN0!40<0= zjG-ewYwCVW0>SXlj3u`htVdr<^PjZLB>P`Y>D^u0(~$k;@^@tGuf(gR%9n}n7c27; zYX}*&#~Bmbgnun$J#p8$JH1X_B`s0G$~cppxHmNT)xqJaQTW&JcvVcD##Q6&SBKLt z&pJu6E}~#d#7I{ml8RnPmU`B+Sf;FtIat7ePVN*ESv=PH5H~7#Q<;wLICTU8o7AqC zeo8%1RAaphmW>;jcXnaHH@&;!ez!JRv*Qhf`1aE4gmgBT({jCw?6clIm8QG%iFsuT~I!0eY2GWFbcz3po8YWh%4CO>~BbWH6Gw8`3}<^;f@Ai8s$3CU2tX@_tl} zcMKmB0r5?W`cVzu5PqhAilsZtyV8vcb9n-$`UtjX|o@m|goi2U(^#@<@PDm zR`&>GSDaoLA3f~NC+nI?43POTF4*XcUXn%}CCJMzL#8 zVM)lt=iEUJ2SR*U#C=Ncm$0trgm%xCT&KI*AN$(AoJ<(?W7X{vOU3HALLgu!Lss@2 zwfn@IL`uqtiAg_6W}m;EKNG!w}|^AF##@1`IXN{FHzOe5a7mvKtfcpk%TIdA-C&bJ^} zln^4NG_L)+uDll~4&xwVxed0{?5Me{Rz#sPFE9uiRoW%p4Kwhbyh!z6Gj7BAo;WDfRH@y=D|Q%AVU&4hY{UV+x~gtkT5w`DXfNPByV! zU59|Bvd;u@%i`Xf>+HLfl-LnP&T4kVPS12coRbm$_92 zNuw^PqRi1GRM8I8LHd-Z^eR#*iRlbf)S;L37bLy(!kW*|``L>hZZ_WZ7;hST-}ICy zs?Cv*nxx5zl9~j(z2&8QSQu&I)B?WH3-0<_Tw77k9}w^qbU&}KNo0nZSzs>O$EVkj z?w*+%$79!#Or10MBp&1WTCi;|dfz4{o91^uO^ts&Ovyl!^}j*{p0NomdO3DWJ^Tk) z*Hz|1KRceP?Y1`g9e0^BtmdI7Zi6=Z`M^;0XgM4{m~FFAE0LI+vMM6cOBhsWweQu- znSRAw<++-QIAN|TSWAU&FjtMOrn&+!){66aFgx#l)7G`a*4 zZI)mft0lD(byImexCCy(Ll2Hy?_Qu@qqD3+ICN64yxm`LO|x{&at~0^=c+|t6IGyK#~J-qa2giF0h=5y zS2uB@e1tOL*6^dYhO6?ax9k?f0Q(GE@_ONH$yH`&7bFN*M!eah&m}Z`M52d}{{o4e zL7hDDrjU{{VPY~MOH##09vP}M?NikDK%V0mOA=LhQt7Ph=A-U{^}r>vIL3v96{ikz z_oQM5lTTGYu_3x1yJ)B8cm`c_S4MO(MvpV%FEB>0GvXgGMt^0*|IIk`91`{vR|19tYt^6NWUIR z&dzZCWIch6V$JcSW>@=dB>tBogQ)z36g#5JK>bahtD%STp<+x(z+hkZOyZQh{aSwG z=VQ)@@7h`sROjQhJ6Zu<)A{v>aPzM5^m<<>=bmZZ{Zl1n^v{j!ZY;FkI$M@ZCqTC1yU1mPurFlU0noJHMeZDD9EgkUm z?^8|TPf30`q*Zg55861s;`fjl>2gT}j>P1&r>w^_sAJt-p#I@4T683x_z`Oa+PI$OH@@NY{GP z$bEzbZ$W+K`9-6%p#(`}L?xJJvp9VNE8-#YOFBWA@3=-QKsfPdO0C^+2SS>m#R=eB zgxFxYec11&FW2yXIUQZs*^+9CAgu5JL{_mEydo*|W;Zs_^0@ihj6crI1)ivkooz<^ z60AB6RzEh_cF5S-L<--8t?^WNQO!saMNew3$4-9IS$YlF2f&zOF)aRd(RZdquy7y! zm`oF14jp?SkN#M28SB;2EpnAUU1v>klL;fy`7&xi@N05-%^()D zCRpL9>WUi%2w^?S+V{M+9R!rMP)TOKaMQ7*`!r0%0cYyQcDTmVBzSzAb1D!Ec1hN7 z6p=aJ0<0n2(ufDX$4+->a5=;iemr=tH+P{0K9=vZ^h5P(f8a&D6NWbGS3hV80# zQEWq=hmDNESqKYI>?6BsZ*Xl(^c`)`jwe~VTk(g;jct=x6WX%WqG9S1N%9qFR+3lF z8D!z~%-(s6V^U`yZ}=&c77+x5&?PD)Y_kq3lb7~JPpu-gCE)m;o@mpusY#C?0)r~? zr;g1`^dw($w}xRUcQZN510kw`>nA7u#XoTe^c5gw=61_LN)LY9teZ>^bdjzc*e#!+ z+w^aNnI+l!r#KhhLL z9)GjPa_alVI2tGx{)zZ#vk*Tj^33oPZtwky_aPdHaHBQv`d3c%M#Qf;&p+lg6M%WF zn2U@YDB*f_rERh@@9^*<>u5P~SoCK~G!UuZ&@^+BeE$wbgK?3+@!#bf))-Mm#B)s{R z0Ns-NMf(vv)C$tFc(_FhU(#90?d<^`kM3O@VH_P+`iH7_$s{;xyNc;4Y2iX%5E9zu zk#1k1&*Qy4vX@_ufimCQO77AAZ=v(l*_u=6J(;Ey7iL&TRA(uJ{#z9e03iYOLjnR5pR z)}b*@^cuF8NBa~tEiHNtSstjcH(vd7eCgLX(O=_!PxEVVW#_5<>*Wru3l|P}2YC$z z{hy+U;HS61B-}Wy{JT%!Z%F1 zN%rMh7;{Huswt^j12%D%<+K5gYy(;LISEi}(k({~-prLY<{3dZqd{R4UUv>* zrUMAvB?fT+{Q6rPl$q1p6ZPDp$cTxHkffrH_}>VsFe)dJg^>)fPwGT_py6)B}w3Z`Xls1{FDzEclndX)lu?9YO1EBR6s!LbM zn+yMgfWaKO8c?v?qG6=)D=p!W@~pmaN{*~OK05YQdi5AkXGs*>r{l1oH5lSoesaL^ z;~w9gjeJ?w&&%H*?ToiyKYSb>vUBq=I3(OA6(NvQ4JEFC664ineoS9rBb5bR z2kUWpKcuDUQ_dIUmsL068ZU-dxuZcPwFI*O)T2Q#fTU{iF^(qh?I9A7Hb`HgIw=^Cc9o`CY;(+Y?p&zc zy{yZi8|gphISrevU({tFSrYHQhg9l@OS(e7bu8+3Wv`TBIs*+U_R-m%~R|>46!>GDbu}jpqoM zyMSSgSni@T2J060ABuwE&qssQEeuADCNf?hB~^_RyS8<~uEy}x=PZR|mD0_(bG2S` zz`4E+?AC6S$kaZHTL`KhbBbdl=oP<-1DgN-Vm336{ej`cI9id?irmes)_LehWNgbh z`*{F{-Bp_eLAL4fi+h0JT8qk zBiz|!hp+z=>+HijWy&;H_~)ajs#XWiObStdQ;P>9?W%-e&)dVN1U65Jz5dobtRH>o zIB9#Z4qBHjvrex}R*)aWMRMaVCbVFWz6KcnbtfHcA3D%Wv%SYOfcH2^;SQqq2h^S$ zyvu{89f>vGlrZ*c%Sd88!!cfKNDRVkJsjl-rxv8sft#&MG!xN+rj?e>i~}l2EPGLj zVstnx3`|2}W2*pr;za?IlaQd;qN}p;0ZfLo0GON;2w*Z|`|n85&#~o#7*2d_5w~r| z|2s{Y07TwT1U%oy0^|9_eBc2FQd#zE$iO7tY9YF2Axa*4JN$16DE}hhj!?}1o)Zy2 zgZ+Iuwa%CvUF`{^aiR7Hrn9BV^?L<2r^me2uD<;1!i|Xo01eGookt>bB_?@??2F4AGVBm@brg6`#(%+#U zJ(}GZ$B@&gBB8UQhmhS7IUeTG71}Eia;>4eGk|qP*aAk z)`{gA8J^oI`(7gXz35jrP|(MkK^rR5jI7UAzomQ~j4qQ@xW%j+**dB+4XWTQ{e%O`Mcz_tJuc7a*=X(1wYATUYUDA_orNi#w#@|Y_ofw^%yF>yWGHNVp z3O3oW2w@;$kYH2?hN!dyzk|4jrvyCjGQY50qRU;aatvRxj1^gwju?N|a>gZn#4C$Im}gXGgsw~h*Y?U-6$r7ovR54^8CVA!{~C>*FN~sJ zvaHGV1^+x*uxz-BEglb_wIcDOspTvJq92nO+Oi=jDoSQ?zkop{ztz_{abSE2e1X%> z`Doc`qoLXVxb?w;rEI{m)S;MBvnII2P0+Qn*?)|O)Nignu)KI2^nf4{h_6m`KCbA@ z!v#yonJz{=z=*#31ePPaQ8J}y`>x_~p4KwYN>MF=TE_hk2MN!p{iE{@o5qhDM77L5 zF#D^&%jq5%=dm31`DXLJ`_ryz#M}RNg2897EA?SmNzRyob8B9Z)Xzd-w; zlrlO$(O2P9L-T`iEw*4ASz+|~bXT~2!>ubH>#kl?O^S6_3a7>j|pl)6V{~ zj$&B+(&4C*=pN{TiT8OKF#!0`AHIg+(~rqyg|x6`4*4yRy}-ia%Z&T{7TA5o=GjGC zO~?}EGSO~pUxSAf*|MuK$ExHdnW66I9xFfY-vtZ%fBxPY84~*IG40`v>F+P!pS_g0 zoSmNt?F)4ag}iFIn{|JS++MRb|M_IXPHX&)oYNkE17*>I`Qv*ogJ(<9go*R;c`@`O z4}Tq%#Ce6ijLz_bh69(*XEk?i_8qjNa=3=N@dd=uMz40Aa^x6hyT!yZ!wzl6zTiMJK#G4=B*0q+-7o?UD}r7ph`Z7Cy+UJxYaj$-)W zwD`urp_@O44&lC|&1Eht{qiFV_fyf|QyeHh+uj5jie(nTPZR&Eb zY&4t8AQhi`|GudhyiB;;YbzNNKToz~S=B~h?oVX>aty_L+hGF70!u^Bzw zw%8gz2BQ4yV9jp0xi)+Rck=R^IrbWwedlg5`^%qy3f_NviH`qVMZ0D!v>NVT$JW(a z&NV$huHo`2;3y~p-crX*-rip7;V-L_-@KUg{4MF9uw^mn4^6evJR=-)u^Ji1T&niR z3d$IR;9^96)<4zifT@6o?v)2puF&X0>!U79=)B$h*mU1g-&j4B`)q#{gU6e7-V6p0 zcmHx&Gatz4orOa!6x^IhnTVEWW{#{|F?-H`!SW=wH^2+EVFv+O}$M^9S3S1ex0 zE++q6qmcPAWPSKO_kSIEurSupZAU(kz_jp$8Q_tldGHAN|1Yv>m<_%LI6YUIKma^u z@QZPgJ=<(8u#|bG2pWKPy0?^E0IXRWlY;{os??o5R0|$eE|Ot@tL>V%#P?@QJo2gZ^oM68Wmsia|sIXDy zTf!{Lxt2`9!S95=#)UUej@Ss+piEQx8Wzc~3MMBlBe0OvP1nj3l(-8Up{B37Rg=5C zD+uPQ(AaF8 zS~3Egc>iGO{}H}PWSl2sQ4cjuC92r(H-Ob|1v`d5?`ZyXA;a zQa$1djs!#srf^$w&cJx$mWD|^1W2F4}_xL{7@gCH>UvQJ}^TqFLd4ZfSlAoQ{L zYr_#>+&U=47{~2&_8R_uQty-5YZ`SacfwnnB!EqwOi4)Q-Und}n+^ex+6@LWP6iIC z4VVO@TBuasWg5)2PYS5ZTbr6eSr|vt;Z*Jiv(QWb9xqUJOF6hAGZu z!*au*jA4Q(nq&6SoFvV2=R`q)y4?AQO2LCRarWwwE<4?r{U%S-n*T3pT-G%z;0mTaJLz>(gS&OiAQ?7C-GBuCR_x^jnPyv`>(Vt&D+oG*7ylv#5o`i4eq3OdF~&{v~AX-Exp~( zZK|3-E-3TcTL3WQ_7lLExqR#jeKfWEgvb5b1P7~9MmN308X5;qc>fPmN{(6n!O)g__J-s zey)JoA37S;HlPEexwiXsGW1MwW;rdC6D<&K!-u6fI{4v0vnCyhXyUj`20fL^RD+|l*MVF z9KOA1?D8f~ZC?J=AZnW7&E#M4zA0s3+{6;$vyi>NuPZ)23#}5Jl{$D_K5nu>a-J?@ zJbR9(l3!InXX2NS&9P(u9FytSTOiYdIUv)I9udP0mjU?YZ&A5;z%iqhk2@55o9}#H z#w|I3r50@&+@oz*4xp_h7*s9!1rVXra9si5Z5}=X!zP+>3=X#M0oYg4>nOMVLdw#k zd^~)^hJ(+KhUqhpWmPlGhEo`Ac>))(n1{3Z2v~{UAKOrq54bCuZ^OGFtz4x>7oE>Z zb$5vGNs{?brkCHOZHG4+b4lOC#+g~^Z$Cn-Ct?cf!7_RidbpW@jvLpPZ# zaGK=I6@s?G`gHH;x<)sFWpD?SG++UGeqxpw-jUj8_C~(ltWNyF1n?o#W#E}m+Dq_% z?;Ux_INxt*EqK{WeLtAbuW7rX)i%FF+P%)4`vIBkowGxx==|rcd5FfeCK_V_f1^30 z>bH9Dp_;7=TZ|hP7wKJ(9hhFW7-f1TIOLk`e@T46gx0d@Gt_mdY=)7DvwT>+D*Kr+ zq#NuR>?ZIk&@=cT%`a8X@`LXOtHRoNoc5*D1CF;ZkN+}Ua?Fcj=r2POUji~zq^ zfS~(KNDZ=G^97Ubt{%`6w2J6kn~O6)@o7B|>uT6*0K8?d6Y!2dyyp*p{fEE7aA;M) z!j)p(tgL%Tk=X$==upmsFg?uMRXgAv%@_lj9buftFL;7Hkf{{R7=^EpVvfeftls={ z&<1<(JZp9;=d&B&(B?8u%+ZUgn4=fNpV~NT`>%WcFe=S2k)2B1)p|&Aq{?W>9!~uQ zRg-Qy!G(0H)<{E%%%;7AW#=}Ij#+HO`F%w*)5I>Q?@#L$PC$C&YQbQA|v_YFC64}Kuk zyMiOZ)Gw;DOXmca+>sm!!2 zhY!|IS!r2r_*A9(Khdlf{8X>Vq~OP|%A_EUVds2+wemSWoK{!o_Q3HdAUk&hYmR$~ zlyYBSdod{Gs=QF1+>Y78uuTlx04!XBwnaKThN49pYgmWK-4GU!WwqIOqD%pqr3s|y zrNGf?RbvSe!tpS(qFUgP%p*|Bou%mNWsl>*zMAgv-0+%k zmFrjpQ7mW5F|gsxQDUy}&b0x9w(Opl3du@QfJgt~p-N!jm114k$p$=Jvwp&oXWFj# zWQ8lzOYzQQeXaE$M?`Bz2HymcE_{@=DTFtGbKL{XpnVRseLrn^dVb^fX&`nt>V(wa zqvRiO*dr!?DJ1u)?}Y7V|Hc>X5PuMBJdtd8ztm|o@GlgM{_OWtu&ybvQ46#7<_tYH zYAh+LFD?`V-aUPk^K;mL9$Y}JbR<3rP9k)>YFQPz$paCSOyhx6%HO;LSQ>`io6WP`bEHmk!6UHZqS^ra7o>yTQ~Scd5a_d&;ASL-x!5 z@2&Npe~(ScxKB3yWkd=3L|Su1NY?ec6TZN!!=kNB=9ZsL$c+2`ujkql0#hDb81Cxd+Wch}B~>f|)vHXbx`_x0Qm)h2uRPx)Y*mnFm*QM*qsAt*b8iO*kE9Eoh5xu&V=Da(vCnw*-)Ev99Zn?&cH8y#Pi#NhKGDavB- z$}0Xf@2NDQ>&Sc#@2hatLvyo843zc@pogq!4Zx9m8Rg;UR$N+t_k z9vU%3va3hp|HZ3CfqdkZlhS2=oMBXUoF4p=sw#L(Pf%^&mK^a@DREvvY(%Z|u61e~ zdq6JVw8Zj46ypcTDx*XUwAWLgvc!z_Gby8Lox-1(kRC~Qiq@-^WM^f>x%EBsGP4Q4 zK(*>q>n-!L4aT3oFGBIAe}?_0*F3|&a%LN2-7O3g3oXJ#+V$XjP>iuc?ko!bFBD5t zJ?LxH^pjccK{WUA$s6W^j+vX-RUvz^Vd%cEZxj*tgY4N_Kj&Zc&h*86TgPET+*kd{ zOc|>2W{vVR03+TVxlsNZus|-{IYD|3wq$kQ{a=Vt8iJu%nz(k{8(ze>R%tYQ1X3PZ zv7NRle)0%Ie~@}hUiN$J&J(tCFTGRjY}x8i-?;ncVrB+Z?nM4z=uiWC8nBZO>nL`% zLAiz7M=$=lTxJVRt7Eib+103Esiu|ms-JjFn@`UHg2H3#(flmi(!@}AJ>JxlB*Nh& zLd_NfPBCt_Ff>y7I$_!V7S62{a3E)jV)ZG14_LSh2gCnWVeNvrQRdmf))@jr#(7Up zRS#>~B#PDtM>5Lj!PYYn>3nHeCNWM{rYU~hozljX_Ko>JC_n9+BCinlX_$%axD-}Z z^n*c4P5A`TB*lHZtOu$HtP++e`k^HHA%%-I$$sfyN&hbjX)z!jm$76MmpX6^Lw~GI z!!<%S>(OX}$i*ZX%SX5|V)7Ennlsq<{wMhwM^Ly1dA_9J7=Okn9ud>LnL*C}i63{W zfsH|(_^l;YY%`8gq9_BNQKGY$HASY<_*+A@APqre9$)Dn8(8B>o2yY*B{q`WNV--c zF({&m`VVR`5brbGU=$yJnl;2pVECPqVk0|%BAxYmBO4nH&YSS378Devk-T@Y?b*@` zuM4LHF#+jZ3h#~L{sWR2-0O|#ryV~R$A294tYH}ImkV!fidM*%i}0RaHZP&!55!+C z9%os^K(hjWeE-(O(F!@cP(;{mABCB0jDEoGm3&gOyF3w;I-#cE^(8QKBKmRP`<>j! zeIw2u6WX~RZ5P&5RJgfd)LHzo`eVh%`j5p$?PBRxZX$p7AqMVk-J+~%=l%VAeqzGw z?yJ!6U(U}8KT9tB4*C`6W^O$DL!i)nX14neUz+$5C~i~tgC7%>^GBHrHfzWcbd%?^ z(9QHKpsuYL0rOU7GdAdlw1adeEkwLfT6(mZPS2Ig62Av& zvri9_3U;7yB|AP23b06X+m-Q z{n%r@AHxDk>M2?U0>YRBG{W!ZuPwIQ$wsA9>t-%7T2h&{1!LP zObeAw>~%WM&c{Kh*MVL2CeIt+C{}u%tn7xb4~6Eh>@=j}zC#w%8+AI0b737aiT_4l zaC4Qv9%nt?>6VY&T@n61J|9P980_$cS->RN_Y1S;(HQt@RjZ^nGz`7U?}}>_++1e5 zcDF`VJn7k7)U&z4XEYLzsrZ{||4BpF339l6vVY0RD5X}!TEp*7xEYkWa%3)IyXFi# zUC3QmjoGHOfKRBTMN|$pj@mY0hy_3M>27aBp5ea}JmrEYpa}1Kj z6&Hl!8#k|Yq zGCL|ye%N)tDH0H|s{W9^^1MVTXP#_YE;wcT7qW##MDsum*-{{(d5~y)QwesEZ~|-a zOQMA}oW~pfkTUX8hOA@wb~~*9LD^~qtY1cuFI>ha1|KOSVs{O&U)PWa&LY@R*B**q z--TmM4mWe*@Fh6B=Ek9C*)AaWoe;*;Be-PJh}R>?VEu1Abn?rFMPHJLA3k!+m*W~< z-Bt@0NyfxIB0Bz1NwW?{zJ%|_Dj%fm?3Js(c;rEZ*-ZoWaH*@_`x;egnm!rVN11Hx zxYOzYF&`u9FzJ}G{Gq-?4papCwEY3rNCYer+F{`(EfA$g(q4N|9p^prVDo1L?AyQi zvKa@R02HY`__LtG5(OW!pc;~G`>BHr$le?Qvcl-Z@u|N{(E^G8VUva^y^CYbHT!h= z)>09}jGq4UC_IIw8yu>*rVDZrB8PoJdtd^t-AAqG$>blQlr)>j#fdbW`+KkZX8FV4 zc0VIFP2S^Nm>~uz%>15S0~EN-p`byq3Q3^OoPcqR)n0}>_=3vU=wC-g16SeM)CbQ< zwt3oMPiIjdv0+73?eL_^L{+H==tnF|*8sx^cnzUMV2G#l+V0mPpusK&8g8vRPVk|* zUWW~P8@U75HJ-rv?8ix6Mmfy{97$ooi1{QkC_!)ind&$q#>Ep($j~z8p#eDMV!^F- zpS<-7AAvt7a(H7{f7Zr4Mk=!D+;Wx$QEv#_QGau_;EPCH-UdZYCU>y(fyJNUvyjOS zTFFLd?GufAVQq^?dgdY8G}cVtxYy`DTwu@Lmp6%>yg4Ok##~F>V0I)#P`h<*_{JJ5 zwQZB(cu!D|TGj;|MWctWc=`yF9Rv$|_fT{sC439 zWU-6nKHBb^B++$#NE?bQXQE!e7I=h?Lt_<(8~Gs$VJ&A>U0XMA2;Jv|Ul7mVU^xX% z88GxNne3f@qi8lTGL8 zQH99(sb=yLS<+SnV@O3p{fn*MUs))(c6bK`Vs-yCiX$C2Oqq0mO)$U74$QCEdd10x zC4zRHkTK;w`D_)>*kD;^B zv0A$c>bdz)@V@pJ30}|5Q(GUyx!=EQ!;z8W=ssYePxVO6n5AcVjf_^J?@o(Aoiyx+ z0$MgDyGFK)Btv|D1h!xlsuYl3AqnlC?bC#?1m&-yID3{3K}nGMM3l1QBSGMMGge3} znT7R;HIM)mRZZEbk`VS*BdttaJ7Z7&pN1oIzd5~ae$3>$HO))V?b_&F9a*Ujmr}$$ zrC@lC6tMIUCKQlrr-mU30S%-4waAi!2h+XepW=y&_10EzdTCv3Mnrg6{Mw%MgM0@Y zJfh-@yEUEc-2e_bWKVSKbBtCGe}Ga1fhL#7{aWRdu_BQg32ucl(Cr;AuvK13hjfHj zA|484UaTEQ9%{E_??MP>u!l8G`4=b56S_3z+m~F(Q&zJs*-K6-I&spIWE1qTE*bMr z&Bw*I7^pWjTNhUo&+llj)k9??u#(D18T5R)Ho-**Y|hR)K;*UaA9Yln!t;#ULFeBPicoMv*bR{5ZmSQoHp7jZ5&mx zGcZsrjfA=olzjJ0wA-T6d~}!#>C)Zw9pBC%U>ti%HSwcP&$`qvc|)vVQZ-(PH)ULa zH4mmCKJu6CWTlS7;n1JZU~oz0piUH-e%@V)hdducrTDGVhP<`Bo)>KbSbHwWR8Ah9 zOpb|PpqI=&HW_)~ot?^g?Onn89TGHlNTQG*(G+TVHK5oGeP?6NJSV(ji&-<1eASs=4ZyMA~gvxjcwMc`GCJk#e+D<__}Ci1S;IfXW!xMnLG`;Ly5~CdFG1lzld|*K)wSLoE)(&%p8;E{S~S@ zR%ZV5eI~Hgs*C^lN{jcheiD2AXxiYRL#{9ng(iiY@Tx=S!gXQ&tYZU>q5JRls;%#0 zzviH0WnR|{_QIL-YZh&Drp8J%(0?I1+K?z?el3(FvdpXX1=Pxc`iR{CY8-tVPn==w zbzHxtQ+1>?Z|XQFIh?Il-R@>|A~czTp+b~l{2i$jp`%6iT|B|1aY--c!Em=l3!+2Cg8&23K&#YC<$1o}_>?q!wZf!ZXt4 z6-FFOQecOALk+-fi_q`k$p-IuC}mPhjdV=OGC@BH-lMhgo4%s_AEkTz;UBi#OSVqI zij(m|#G`PmV;qkEF?R1Feb9}J$cs9fYLl;FWF|vRvZRnhUU(cJmO4#>qJuCf@&AUd#Gxui~_Bc{6aj!Xkq%ixUL2^-#pr$VYDEyZ@hG_Y-KhAcQSg;{jvt8-5ek8 zLPYb^c!vy`c$ z5} z2PQf>;#!!wBF)EFq`m z$xb;TF>5+JAV?vcN%9ysD&K+cTs^$Vjsiz=#&RbMU2Z~%%)h*EQt+Q&(YR2Y+s_m# z<)BM03GGZt%vg9DX-xdclo)xI5o$gRydSSHQ(#h!e5VZOTnF8s9i=PnKizu@NkTBb z>9js&U3A7Lo}U(){7wW8iOAa&{KUnZ1%Q6DDW43hEx)~MDWIoR{GE8(Y0PRiVjc}&%#ma*eU~bICPKVdF z2Wfdj351Wx7DvwN41(1^d&WmJpZ|H14@Y?b0*>LM6FdEt5PRjO-uc32{f?6G_)A@b z03u{Fun?UeM|phE6Z}4;lz%$Rgi;U?{DRX61N+b`ec>FK;nN0GotUQ#v7jOTOGhxH zSUW~G`OuW)=TYUvBYr!@XUo`PN0C&1x?BA@0<5cPVyES!v7#`IPIk}rCs~AU!%YnW z4BV|2ugM+y?tps(vFjaPligLniREX+^}it(oGyIR3{a9H8L4NE>x^$${!YQ+@yg-J zr*gpQR_rm*&Lm&lQ@=m-2S9rtJ!D<&6FbElkM(ia=nVHo<;I$}!XCBg`M)f20r=@Un}{4%P(7m!e5oJX=3wW|gv-22SuzU(I8F z*&Q(*L}#^=RuE84@4Z{+ScEJR^o7<1chnG2fmXP&QHcpR=os|Ws7V9FLGe%h0Xp=7$9ROXEV770{otb3~8+apD~O2SE4mR z%)yqigAwGAUsmdwkfO@HDd+UU%Dpwgdr2>HFRdN|GH~ZXSY=!&Hl&gxid(*-lB@|_ zRB8QDHi94t85^S7NmF$H6N91e2-18d6>RA*u7_2%vZZv7;>ZYL@@!+TSr}y<1`w1G zRl$sv7_IF39mR-g_-!wzjKh35`MpG;;gq?p#!xT_Be*IP0jK$LH9?B{ZL$(T%rq~Z z<=IXVHR1mW0%3+IT@{;$=|X?6;@A(w*#eo=)-Z$q8(rz?5C{4j1?$qt9r6H{T#Nzb z6oj8;Jk!v4IN~oztw4;UaB?!Hl)BT9F{)r~hw?XU?~ukOODat$1F;jt$Upty5fk=* zA^;ET?+|xFMaFo6IT6aQQ}9=MO8-3)Kbbc$CU8w|6PuR7;u`z|5&e-=N`CcE7)4_t zy(A~&PjMaXi%EWNL!ua8N_ke-{qaDQ?e72_lnlF3UqZ+hTTiqp7`Z{TWxzrCZ~EYt z?d6H~_g`;+FhQ-^kZk@QA+bKd@yF@8Zk9!OJe=!mxYgeQ9GdB3r#0$8k#KdLm`6ew z5Yy3^_X7D|{J2o~R^z$;H<+R7kN?=BupmY|rWWsPaxj@Yfx@EL!tHH89t|dB{7mvl zh;_9HIP}J1ee5+l<$yykoA7ut*Ef2r|B+BNJOrzt-)Pw-0(e>?!b2X%3-*6N|C(tW z!RkRG729~Ck{hYH&J{>tx0d=4yP)3z29q}i*$D!Djn-hdi-9mMjY9uIB7_d zhcur2rM$O%i#!WMq%uoN%q#i#XiwsqC^YP?nWo(32pK!@l!W*j_T3c#x@Geji}tg@ z^`{oZU<f&Y2>H=eRGogj?^^6F4L~TbY5wI3Vw>Chp~+|^yN%;A!5lM zZp8_YXIUo4sxpj9mAASWcJE??9|%#2V{w)&?#}O+;4{xRr&Ui~QLDn8*iA~@#M7X2 z(=(pT2DRs#rm=IJlEQ}8%%7A;nICyiG!|A0xAU54%~7m8;;C>(#VqXhcB zRAHHBbqvQeNmIBf@w`AfpmYAArzB1P4!Ld_DbTLzcd9CI^Igg^CWEjG7aao5z8eURiU4g?{rNRcR+)6KYh!gS7uf9*D^!2Kd;){XV< zGd$Sj~X#?Bj5sR|ilz~VGg!iqzv&aV~fLx;qdy8%bEppliCnuB&QTUvi*MlkBD z{=M{Iq(Aa!Qom|l@bw>g{zsl9^*aiz4~d645*nmJCI|`io+wJ3#Z)LUq3XMxkwq8o zxzGqh^n~S;-h(PBij};Tdm$(~&_y-*3?hR|f>Vyj^e%ZKbt@Qew6xOFc&{ zZT=sb$5Nm2j&_IfUT!l2W$9cHoRQSup3x9=BO^snb?Hzfwpx}W*(U4Rob#!5>4~%H zA&W?jH{o_4X3@Z$tM!KCRg*_^z*6gyq)VWdg`ZCNsZ7u}72E-gi*#0DGDCx{FOX#D z+d%i}p!w+iYz-lUC>Q2gD7iOa*#@<`{#zM)p%*_v(N+Birqd*9Wj%kGPb^HDb}yVs z3uUq#{9w*jBh(0I$w7bOeKJPB5q`7B^5}Z&6|hIIRO&#_k2nV13;6HnffbV8&j+5H zv)es}n0PKaUWnSNb5o2MRQ2$u0=(hZfcpHG*F!gyf<`ZFSn#{~H}<*4(w`@A?ot>| z3rqRd9>gSYa*EWzhgut0Hiom~@%K2Zhpr2|r?ca$tiB|uXu;HmR=lw|Kd^W%4~2bn zow}CpZ7>m%0@odoB;ccRbtr70Addj<`%7YrMQ#e(Swrua1Nu1^t5EB=#$B&2YM|?V zocf|`cXj>K+2_j=yKR2co@YY2!n?-9e`x9U*3kA=G4tCGWmnx{m4NID+j|FCKAmBX zp~D|wn+Y6nG!D{CDXU~CwjK{pPzyu7NKPpQ?6S3at8$9cFk!WIPhV0Hs`V}S#MDM6 zJ<|8Gp>SCCT-08|!&nPOpC9*xA>ob(ixd0QOi0Jwfo?Jx@s!um^rCnG<2b#m!b8}R z%&;WtG!%KrA?ChTh5B)vv@FDM`K2mqbEC+B|8(j4OF=4D|Mu$mR0Y1&^CCghdhXYq zNgxn7v=-}(BbRU^8U0(Xh9HtfDak5BtvM-4HK>-^qs5{D1ChvPX;^f&*B>;0Uhb)3 zsTZLX`+TBVr2@2`c9g%&gk!z9zd1ARFDlMACcq!pXLh}rHEmhbw%A=-Fm16~=-FzR zc!oV`dTHc}a^HLQqCK=N{88B5p5(1I2}I+HQU||1&;Gt3bnKgFARcGi(S8s~Ra;ul zgpF|Kv$HJtmX50fpO|X+kT%6bzHN|p6gitm+FJv?Ix?N(wf6Ctzpo(l|^)LD=)7KUD z2<;t~1{MigW0;DJ(94gkvJtjxJkqlEBu7xD2=qJEq+FCR-1m(fA%&>c*fcq&rglfGbLPRI){JW>?X1i~v^)DnY^&IN_g#s#tb~-Rn^7k$!=EI% zUsZ#W`_1b>cK9aI3~yw5uJwcRx)fdYzKSC$4B!OwHu$9V&G7VobXq_q*NUBW7>C+b z+v~z&*CJ^dg%#N#<$VkmbJqXnvF87%gSFgi^&hblX$9Bnen1bj;fU{{^=xSL z5Tpc8B0dpF8I9hHpN0JuTx*!jiZDzIHhvB@U%A+1g^0&Zq=E&*Qm1bZ2>(t2iR7n{ zM^=zWMUi=v2n~CyZR1|HB%cW!Ag=pxYuRj6SaxKlyGkFayi3tYAyH{8Rhh;${FLc{ zgO7DYyv)uPdm0ZzeQO!I}`M@N{=^ ze7Z5OD;bl6i(v$0m;tHbVl*{UY=u*#xXP#7)5%ROKcBYdeWYcr`QDxHOVO1|bloYBCG|c@VNGY=S>W+WPW}L^%OdcmSotcWGK%1pc%igtXYc=c` zH*2{06~rM#nDsCWYfN4$u~1ep89yZbEDb3Nxc`)_SId52289f3%r^x|u83Td*ph8Y z8?J&z>>s<>P$+A{Z$qMI&)M({l^7PYOeLd0&LF3xWrl9WPdaVQQ~JSF`Q_=R7%(s; z5t&$2N2Xb7!^Sl*vrC5cvQ3LbS2M-wtBm75U9*?F%bHD4-iX%6{)Kj&NcF(3KeY3n zt^ky2zB>#ntN+GO%c`#)okS1n4i85oCh1JS$3YDlP!|_5`{)BHP%UjZlmwe2?wguT zR57$$!j>)mW9CPkNXQR?tRg-J3l2ddMWPXyOeEw(ijkI;e7!S?9@&(JqZ4a$bL!Gk zTZ?%9VP=-W7Gzme68EWw6vJsB##Bl7OhB;-6)MbFs6eVsWa)?Uo{j zQ>fEW>|cVf z7RJ#w6v{q#EOf3nR2}@g=#8rDMF)Wvu1fkcqO7#8;io$XJDltBvMLtm2>xISLN$EN zSO>_O4lqaRt5`qPfu=JJutcVF|A^27dKMQW$ddXkdOgaMx`3gE0T@lGEt`V6mCw|l z5F4}*rRoC9emK{&D(ib)$S^K$HCCsUPD@z|{P_$_CnFX(EUPX9hRAktPt&UyuB3mf zm7d4Oq6dRk3V6>+?m)%S?W>Q~hl&zq~8F4V9a%O6*LjEA3tsH9u7(S;H-bvo;T zvp(d*NCGm94vcK;?f%DDtTM8#H5O*lFDEk6!{XG9GX=Vr>Li3Ow<+r9kA4oDnqWO5P~SLo|jE35UPF3m73Ld^^JU-|cH^7p+u z3MbIZYE^ff5O^y&QhLdiy43hj!+U>}Nna;(|JvR^EUsPQJ)zz#cXl4of=}(B5__pl z@2|h-eIFhcfaZhcN}om7ZYz(W7@+t_as8CA1i>b#8ZYwd4WCbUKVH}&+GorbVrK$4 zC<9F`%%#pT7m+O%DeQ^mv_&+}l{U%_&(ehozNs@dB2Ok^*pvs73^KMrsshAI$jB{k znFTa(vco{`D%YSutXj&`IOvj0fMNQMElGDvfXI|^Y53Am5WdiDVW4)Fd3Y1EJyZS0syd>}Prw_!Uz zFqWH;BStCeDdM{2yga(tn$_r04P*kbt%dj$jlm_MKyHK#H$Iu9-`+AFc=#rYO(_}; zu0RrcmQwg=iOkj%-I@I>KcSBj6wp6)QlS9U$r{&vojipAbpm<`)Jd2jP$!+I0%rpw zqm;aYZk?n5Wzg8l$Q#EXVFS5`2!j})Vk>0tH$rs3ky0=t_sRWg1%hsYR0n)Me5ugI>4IsbC?XW^gnaoh*0%DO!vcwud`1!>lZo5#Y9rwk;%yFZw@_ zOyZmV>hEBAAAI0dET90_s_)D8uk^Bjn?x%4FDQQvXyt|5ESiw#T}ivh0L{Fo zZhvSxjinRLDFb4j?15rLHNSj3$ixOj4rv(x3l=+4Vpq1*zXH_Tzfn$**bqsj-^i#3 zVmEknmJ?HMNXP++QYfHLp68^}ld245L=a;Yrc?%5&R8KGd3)w$={Qg|la3NZyMTM^ z`#{A6iKm~l zu>Nl_b008tKl28Zu@PS!fO!5ZJup-LJJbd0D3+o@e&=aUG66Mr`sK%0b?ZNliIIOe z9F{Jz*U zqyI_k-jw_&+#7k953ogV5o6tdr7!I-2b7137bzK;U)s9Grt30Oc0q^(#x+Te_%{I z8lx^H!Pj4b0^$Wmu@4r{$&M`2`-_JK|M0-;*&OK9f>k3w(|E!54XEg|+>jXgr!d7hm@w?ZBVF zlQ6gsJR2AgcoGJ>LWI`WcsBpQ9(9F-&A+i5i}B;XaCh46i;Soy!!9FHlSmnu#)goS zB(2o1%0&>zv$HV}WxNj2ka)}w<3mhqS_4nVK0|b$F$8W8OiCpb1yRV|3PoKy;2h47 zExqAy(zLNJ7|>FW4BGx%{3B%F3IK7M&v758|4lEzzx-^@@zx4N6_3HcejxrH_XlW- z*7f#nfydV>cVDn0={Hy*{At#*L4&$0rxE(H zYQ~TY^T=ikJ3S=Lb+i&}WoDx>C&f{YCSCZ7e;hefb|3~5^}`MfH7u-*U_c8W6>Xp8 z0U!p@0b%?3^8@lhtZ-<`DsGu!u@ z57Vkzn^KP-gtbsLGRoVJ@Qcbu1WAv^gRNc=oH59M68!)N0mDD{>u?3YZNOGCHl|7u zXbIZX5E7w*^BU-d)0^}{huPXZgRpY#9&cmJY{k1J2WEQ;sWcRs8GH2a=taIZpYF$z zWWW$ri6}jJI*;3R+_Vw!|H3OaQfL@`UBu8MF*-|3T{Cin2N`_&ZW_!FGxYvQUpov` zuD|FOoTV2o=o1J6rw8) zPzV-4FLEBG7n;qw9qEOAul}<97M0n&r%e}Ab@{!lwAT9C>|wCpyJv=Ba}_3SoPCb7 z)ywIY!g~fss&y1cd1zsC_EcTCvhOi}6Eg_qo|w}E^vfS=N-rC`Km-)zx^N^=On!u) z9l#l#v4=t+wbg!aiU{V~`aIRTP2m<@%q7)?L%pyT9UI_>RL_3WUChvgUDF!>(q+`X`FdFdjI@)zE?}*blG)0mlZVi8*6`EHCKmfL(kI zK{6n4HINKRkD%1Y#|n$5qwme4_ez4SB8Qzx@6j-3qoQ7DuGpZ~hJ2NH)uAN!5P$P% z3OtU|79bRHCVfPM8#+XZ$P)EQifkX0U9l-Ts-MNVz5hebk5m1e_!ugNJNd~VdazZJ zxLH=ACD=yI2JS zsZG!b$U*%tTgVa9joahkj9+1gAIu`9C(S>;%NY7E*eWoE5j?Kz$LBglQTy3T@OUzY zaL8P`4x=j#d5 zHZ3g@p$z+Bey!04y8yadp*Qjl-Fr(Az%13+i*7gN-JjC?s0hZ34A$R~1u;h5D73^K%^`3M6@@&`0jmuNsE=Jdl2AyJdw zzQk4_m*{^{W|}GxQ2G8Oy|SNvi`czoKp+}_kAX?@@7$v5P7YoOMntmyHlhC;=80YmZje;t9d$T zBN}$L(ufp1uFAF=c8-YVOesn`jD<7NckxWe%4A&8(c8$$p5FPQS~|Qs>N0>*l-|C- zpU;KgfzYYC2!F_3Q^2|7kRqgJ%#_EpqS~JNocC=_q>lc>d18Y~EW0j*s=jMF0jGZ3 z6IHn0Hxl;xN*I-KIWU*$88ZjmF-tw6*yVa%&2y0@NaanNb85HNtZ7_fa3)n9@Lp>> z;tYlp;s%83lm{XVkVA&2SR?uK)F|12T*1AUd1OGzv^&XrzMU&R6wh)}{JEU>FneUL z-AUQg$js-V15eeyE7uszYo<2_z`k7$k{Y8oZ9ZZ;W`(n&PRRO7kb^7XlVm0^8>d}R z57>;vq~m39+l9;zRWRmtf1p<^YM^zuwF2V!LBZ?V%w*>q>2?g^zxL3PI(>Axs3D-qZ)pS@z*ou;MA#q)A%tXkq2>+7bn^g6$QjJRgK=aNInhn^aM##Y7cnFPJ<>D&3#Czjg`| zm_cEyj>dl_?SQYhgpxi_$R2=Hc2S{%U)V$wxr_WMOv%e1sl{E|`-W`CWfs{qJxTK}qrEH2c9Ka2+-R4$vPEp8gaQ{s{v`A6J>8>LEUvsKD z!YPsD?C^mkKDNko^b$LLsAT_bU~D@1bqqtj019m_lYy;!(O6;dY}=b*oIRzyfXdPT zGQP@YhvrY>ls}d-Nr5`>Md3uIpV*veK{3BXLuArVf}|mO042GnU0f9ezcjEc?2h|y zjI5Y{LX|k-*_!Y-#0F!m^K%h4@mf$xCPnPXbn^bzqB>ybGN}5tUmHbafM4_Ti53i9 zDwR+#tIs?;6z|>Ofrb?foqs|Xnk6%OO%zomC2J^cX_;gRRby~OM`dAzM58=NO^!K0 z4kb{8gF$J2a;eF;sj4mt*7EqyKs1iByImbyWbBH+_rv57}wET-zvn!4h)8wOe z!%(~Y=L=m}osV{E0*HMLC(SsCpHerEiVrx@+AKiD;u<*BJioo>ub~nfNZ%N2eMUrX zn8>^_41t-7)x>iuWqPoy8MYxDD}Wmu+4g6P;e^Qz8YaWyL+tybvG6mlhVkK#;?a!z z@k!1<=w&1FHHzDW5@g!rJRB&(pObA&3rUn$-+M-vTZM4Hc$L-(;Zh$>h>Owf>xIDy z@z*^MBD&tH!m)O6^{w@#-O3579{4k+L$mTkwPWXG`zR|49gDt>>1s7=oeZ#77_<4# z5b1FfrI@ne_&>`#w$Do+XfV4WQu18n2||>#IcG}UO_{c+72r7Vd@j>W5XzLD*?noW zy=I%&oa2?~Hc#HSxUGZ5**GEYFuBL*zS;Gv&-eSw!r=o+TtzL|Wj>g(LLfjh0iu`H z#X1D46ngkcR!G_R?B~PSbacsE4eK(QiNjQ=L)}hdmHndx3G~Q0IfjBz)EzP0Fb=_! zWpp3_eG|hAhjAoifbF51IH3EJPFYT9GnKQ$N9lBCi41JPLylOSf-z0Pk^0O*59lfg zdhmaTah%>dTWB!{7wltm4C1;)Wsi8+ysB_CO{82U63*O+5>*`g*19&P?Fn;Te22yf zALZVs+B_g1VX}JBR9KmH6OJ}Fq3^x!`9dZ=?|Rfi3&twWyZq*kK&@@pcF!|7R$uUf zyr&;nFwnw!Zfd}}VDBh3NCy9_MX{U~0d-o+4qv)FMh%mA8uL^ickFm*i%<-1Nk5w) z2hcj30Ljt-MGQq!En4w%HgBE>GVHS@)136|@>FCHReI}@7%ePFtzv{u%p^HOnry^| zbQL8-tTMW+)D?6KIn)RC{dkax2-L}0-YnfaU{j2P+C-`AMyIu9`gmw64cz1e`h$w| zd}Rx-3p)CbpdE6;FzztwFv&2K2TfR)*}9vI88gy#qQz`##mef|Hi=&u7*08bet=vU z5X0Ic?S~f3Vd*9CE%`;+*oG29m=^1BgGO3x-d?6?Hi0!OVdxRwho{7l`GW5sY)~Y@ z@W<5IIXlaF@^)uT!*&{JXSr;=;&T&1BFz0agVSgb?*@p!Vgy<(ty67;IT<}n{u%+& zWki@u%6^HN>}TXfhgAAbaFjRk?BB!|#_fW-3LN&B_D*5Is=pzxUb6G7dX zQGmL*4++t|CbzzOXZo9a;l;?s8}b;;SkQcdEnU|Cf`M$)DfQ>;L>Gzj#F+ltNgkWa z7|>zLc2blUZ|p@1l=nHbL181N(0nJp83WA0%_cj+u_HRWkXI%0~1WhVmd<~ zbSol<5fqjdfk;qfFej0xv+%OUpM$;1-&YFeq1iL{%gz}2t+Ato@s@Z3w-GILaV`7B zO!mHqC4q34&5|Otx{fB9BKEl9tBAb|5D2Wt>zBoFzAP!eYyd>%8kSsy4(N&kh|1Oj za1oJ!8hsCG3AbElv6;jX1+V7U$ofcx0Fi6y4` zl4B{O9n5mq4ckE~m(xfi-OE=+ho1^+jm`PF9NWYI?9t>0`Yg3EruOrpYl>sl=eK4JdJ;*> z6E&$9xYp2dcfEH5+=v{vFv^pEcYd=rH?u!DK?Cvgy@k4>ERc{bJ~tekX6^BRzAfO| zlDT^sX<@c<_qFTti|cc555J4mfDz9S__5!x;Vu-V2l{(0?1J>g$-&;*jNKJ&HZ@~q zPy41twY^~G`7WgCxA_ZyzvDd+Fp=t~Zm7uCk(uMOZ%Ih6jNKD@_`cSUp`}G z?T0)L_K(V+DqvhM1Rj{xGb%5J{q#18%x8Qf;;XBzl$t<)~)N6Y(MFv5PE!bh-uRPyp)!O>?&uJdo2DG(xT}8hZ$#~(y72Equ z-xGx3=CLQ3eGdw&{Nhh%G-+f>-CQ6*q&ap5$&{aSyQ>HMH(a_}7bX^7m>iB5_*nkoQWzZ%DC-@C1E9vG=AknFhn>S0j#$!@L- z(>s;VdeC*{c93)LVFpWJv&eo`lhjv^-yh8{&u@}Rg`i&svG;6ZbPv7>KmH{(aToK_ z>g-@Qz$b~I&1V~VS!FD*EPe%~0-rCVbc?MvTb$9y6Zu{u*)hjQ)bl*YiY<7TBGpPYhVHr&IjJEZ5ZIZvPPsCGDR_pR6l)5SjhvAZP8i?@1%JzUboo>F{o7 zX5$InTuZ7+2kjWq_~7yLRH z563^U_)PUQkTcfYKagHMd%>$R^)f&!<7oA* zovcxN0GBGu=VlZW@zMROqb27?yW^RO{H2MnR!2;`FD|6+?7wi*sx5c1C^&q@jMh(D zDBNzX@xOHP#PwRBWV3Cs<`HSxdEU&=_G&J`6qz#IjAa3uZ|CQ?dy<>CGGP-o$tpjd`fWxMi^;dzY5{_Yp&t4X_qrg?9F zpN$PY_IdYIY0^3M^P`{kJ>4j%ffse%`L1m}^+64|Y)(D~iylb+wZC_#o*%7PpuZi@ zu9-)+|KQYNKEzR)4$n3ks*H}5@Qa7}2L85-si}tEeKBjFX<rF9Gnt$rb~e{*_AyXfANW?7EULu)44?&g%! z2IsV(6-%v(zJkBD0(-vf2tNMa#~T=hXdMqUbT*46S8$3LY#0y5ajG)JuTFL56{SJi7OMLH*10e(Gz5}-A1%h2kefd%I~JH=fzn~-6XGLyUN}T1Q_DKu-*4*Qgj+R z*<9_cMzK6w#TotV>$XZMdR%kvB~g^v*rh-4PFm-X`;}e*Hq$p3a^_}oWk;Koy@12! z)KCM*>SDo6Je!<+{+3-vbQ9BI{>One%!!i;NZ0eWySSOQ&#(4&Q!xyaND{a|Wg5mv zq|MXsW0t&ko?L1c8SR+=H6}wFiPEq>Vj+=xEYbGcGB5J$lO9ry{$KekAq4rR8w?Ki+DVzMc>_zSEJlCV5=aqzxt~#tu%Ox5g^c^;s$d5#9s>PaH?Pr&WYFXr=J|kTUSWvpF?lM={$Eql9 z?tt5yDND7!*zU61VmBZ2X0BSSdCGmKI!{sa)Fqg6kqeo_g89<$ZO8D++|nNH-Sv3t zZBl_`m(;VEXGUr7CG!uz+42+Ly#MyY=f&yAySA}8Y0;zh-Mi zTz)b$D33M&ZU@dEUEdq#X-Ryvy%(JPp2WE~lk9dq!e7Z-HmwfF>3TKX?a!i|IN#~n zBRDSDoqx>69r~e$BLQd#|Ghb!@XskC0(DL#^un*nm=3ltbFk?IjFh4J=!_~FYu@HrI!em z10MK2nbcqvjoPAU;tv)1d2tA!)`>n-MFDRD}P> z+i;~MDc_TRv(^<&Zj$n%~lqOEn;LuO1^4&S1P2i_-LxqRmxu*eCC=XxfR?Znsc z@wa_V-$#bb(l&I)m&*R035w`X9$H_mc)g%4C0u+kCM>k}QNq5)!}-~h2sZ~6X_ML| z3z4OZD*f=ms$W?N-bsdIIEY$tvo?XHksA1^B>bqo$|Ml=ZO0MKcCiz0N5_fH3xlq6 z!#TA~-GFx;LU~amJ`3bJPW4P_|2SZ&^?~ik8QqYf(cKLhO34MWqr?PqgXza z9U53DWJ9|5gq1l`5WztNkuk=GH{zS;v$MU~JlAbQXUV&wJ#H$(+Hev(Gz%HLrJu7> z)QY{$S`#V*9*`yta{K~DBWe%M`_EdhV5!Z=PnUaBP?h!0OkFX$jes}Llr+BON=Hfi z;6F31bV?hUUI_@z%|2S)bw)c;nSUBDrYdwMVpe#YD)OS_E>-Hi(FLxzs3`5ZJ8Rr#np2EGx&SN&(`dh_1#F}h5Sqk z*)l=D2nwPX<}H8Wo|XE0nYei}@JV!fG2{j^fPxsFca3Asn+OzVuoY)GdXE<0NIkMG zdQGkCSDUPBLu`8vJ-9H*)8;;D2j)xaxNv|_K=p%3@IT2Cdo)* zZ`^!Df;Xze3>B$$iRt5V?s*Lx3fvfeM+8_U)Q@JQy3uKHKv+c+2}MvFWk{n@@rp#1HrWEYo1x^$8K_C(guPLAq`vG z>OTd32|jKv{5@3}$1^R3y}(t~!?B`&R1-*cQB|-9_Gxm#(psv%W?pez9(`gNw!RX? zMYWN-^+K2T+%fby09t|nZh?4QB*MjW9l~7r9r<8uQlSLIa6iW`E2IXGdLRi5&n>P=OFmAh0Q#=t(WCI zXc!NcB*yuACQOX?H7l|M5l4(MuLavE`+D{Y%!gFmtjYbwX#-o(I;#a$iyC%r^!FbV zhr{7TWUgci<3w}Gg49>j5T2mf@c8hLnYin31OnMh3chEW8$<|vWwd!@9vJ7_6xzxZ z<|vAppCD&Da;t&Sw-iG9LFMV|4}+QY8-e+KJdOKTnl5Ul(Xt-Ldf=~mCtvj@Lu~{F z20Vw;-MU$>u_v(NEJkZh!ylZCU}ma2F+BiI&99Tc$3OlOIzImWBe#F@Wt zP18kx%k;e3JI2k}_8gp(3~8v=bonuv_~==`YTKIs4UtkgUZ|t_T-bg&2pXNRVT>fW|Vn=aS zv~3LE&1v2~M8|`C6Nk`{TyB9?->k4PE+k);=er9hy5_lS<-0rOyGLn2A2#bdcz?ZA zj5SH8cyTi7;yTxsAY_qe+q(cJJkh@$H+lC8QN?}PYM(1i)7g+?d&9(9y47PW@g&Be zs*;U=dOUyUJcs;UK%^}1x!mQ>PV{9s_rY6mo4zo+b8iJgvW?tw*{2^@pB55JRiU3=7|Ol08vw7w+z5ubL{V_Viopu-f7|w|w2V^w59ZYr>DjNP;-+Zso{UvB}`M%T3s0|iP;aVSu<#f!TX zDPA0kyK5;fp#f6dU5l2cKyiu%DFk;b?(Po3A>m8k=YQAz-2J}wpR6;J%$jTOJ=e@+ zuDxe+V)_KwAr33GV_pqt)++Q($X;hX+YR<+cz!UR7?Oe1Lm7um?DjKRd}w8_w*a>%>H=yLzb*;fj|=Y>tKOYB1V zJMoFLepBM3+1@9SYF-NpLfCng=Z<$Ort}r-`g>9R#I^c<3*h(ErR8VHz!p*CmDt?he2$#uOhY%TUvS2iD4v6}>TA@xty*62(&S_xLuSGk+>x#<)$u7WK!(C4- zVUih9DxzI>vP{L>(8AWuAdKpM^q_iav6gr2XOCpkfU$NE(SU>A4JV|kzso24>Ij^&h?AVKnDD& zg13LyG%H5c&P}G}z5TqFHfjNlzU9|~Uk?0OE{VRK#4?R}hZCfu#4wLVT0MD28`#Z- zx9%2A5!4w^qv722I{uw<4WW-wTC{n;U14;TKp4VTe9gDgk*d4D5twTrTESvTQ=(j<0K07|(_Rarzv zcfB00GyY|iv!16_jBZOtd`|T979H1?CHzrgA^98&`@1v7%hR%9Y)R!->1?0)d6RgzY+{yDZR$ zUG*7emn-22^g8n>P1HI~*Q(!T4p%Azk<;(5^GO{Y`*(W>y2wb&pk}^Y-cZNx-Oc)v zV2uuhyOZBYA^Mx|tlLz9qUav@GJs(w>1 zQGhr>yW!m~$K_*CkIcP3&A_||G7s7V>05EVwo#jO<_Pq8eLwkz&(t+bO_pb?sZ9Pj zfBjRn%3x65ot2ffsdXuy@3?6ZtM!`o!yPUh9RLsVLWs=mj>( zUCH!F)Kl|`=x+{Wp1?dc>d$>|h42GI@D;Vpdkt_SvD&JIXTql8>n=33k*gR%ATnQH z6E6IqI5NubW*bVF*mN`A)n+-k=mORqv3GK9Ejrrp5pJEZpQ6zh=4|*fbn7`VTZP;i z7VevwgKd9vayqb!m$iAzi*njyh%OG8D+nb!noD*CkA@W_f_1a=HDR7tgDQG#l}*1^ zGF@3mN{Za(9E~>FT+aCdYcp1wyc95LJ02ZmJYZ-=d&r>r-CnBSMH#15_l+t1WQm35 zp=hSHD82nfRBIfW#P-syva2(DR6S%$a$#Pyhi)X+Z})y11@$q3&}eHL7Ovhzb?YETPGfNZ@BKR zkot;kgaSkb6i7<>3M>^HvoglvjIx41@r+37c5(~YCT;1R6?kpIjT@3)c8*3&ZA@5o z@~kPSnt|J|7V-gdF6wfgPR*L#_jbqd(UyU(3Ctv_r6v=bJL*B(mzH|zjIi+R*?jjN z!G>XpX0me`HBC#c7jScpX4XGd|N?Qafq<8v^6s z+HmPeY*tc@ZqD=!(LIKxLZZ5zwxXwVe!K3MO)N;i3yjNI55k6exoC~qBowV%SD=2= zJ|kg}o3riaP&i!CGYZ@zU-dSG^X?FyJsCdp70G{*_O+R*1iB|?)?86~Rm_ioG{Fk# z_utq>#N<3bFa0e2NR(;iHR>|fJ$rdC#c_r)*cscOL!5igRJt$MThFW1TUR)*e5Hcr zm~2UPSu|AIcQo^JanD`4;z3Be~%X`cja<9ZkxZ9rmhT*&ExZgQeel=`>vm!Nr#54?cJ>0D4*e~`$GW~h zjeH0qb@v#wWxu<#LJjONO}L^uu<*qbh0Wo1i7`jj0X2rl6Fx6>X?X{qcwwCXs-ACp zi11EcZ0#8Ka_!eSZvNO^ia6bhAX5G0D=M;Xt`>T7kLNYW)(%{9O5Qw{&qTZJUN~sA z&zMHP}Nx}H#*b--U+_AqwNI@ zah3!6XfU2spgzIJ(SeAl=vK+eH4>J78G+G45DSlZrEyAeby`9^joix_{4dN2mX2O} zhj@TKt>Z9t804OG+;!%QTV*qs4h21twJ@Qluj32Fuq?G0PWx!dqGWKmK=|5yTkb9+ z#GjEu?ep7F=1T(_zxodNRSNWU04r*&jVs1gu5{Z`&>$AMG>0=#2VMwEqC)yX)LMD{2HI-v+Cf|56?NwZ>rv; z5il=hSVIduEOCbOF``M^K(meez?#@z~51r+&4D>(B7oa5fHNIm2} zSJ;vvCKlt8rW`I8Mdsh~`-{DHk_teWqX}AIci}FeBI=xk?i2}_Rl!gGdD?gwQxq55 z>V&Mn_0VJ2?&d*}t_d*@1oOT><05XB|E|-+KWpLzQW2&UoRb_gvW$J~^rVfQu09_f zlJ)uAt~x=K=V#N=5z}?^yUN{T_jVN!&7O2-`&(*);y;DF`mS=dXZDSxP(`mzcaa8n zJGgbO_@u{IakKAB3r$M3S0yG=v4g%GtM@DZT)S6KFDC;P*GZdMSf8du>T8YCbL~ea z)tqb_Gr*^-Ayw4sLLWI(UtD+EmKjUnRIy4VR5q7Vd}$dBvd_ET#NKMtV)y@YHl}_t zKSI07fc~TpEg4ota3Bjalktj5i`*d<+U}Z+JsFT8`&uDDu*IwBwws-iTo!QjushwvTQ|}iOzxv|8pzFMPhQvrAR4BYV^dxXT=Ce80V?h?mq@`f}_hZnQUWy|%<8 zlW+o4Lw@$)y#GY3`oc2E&MnJ8DU+Ap<1)GPx`m&&WE?;x)<-sHX!R@|Bi^q1oDh%4 ze(za^&)Cem_1Nqvzg|u9K8E}2Pr^$bRAadg85p9Pl)?7o&x2$b?F^H(2;_s$KFGd! z3}K{D`FC{C)DfKp%pbnZ`9GpOdm_R#_YJ6q61Ql8{Vf zuCA74?4e{6VBlDwB~6FU-tY5tS;Tx2g9z8ZB1sjcc@!0`nVe65LBty4Mdc9z<`*;m zTBTJ_llmXTo`P}cWk*xQqzTwC{q6c+j&e$}C029i1ho4*-mkbra;sw17r_SSF+gve%iy$aYl~!JX@%h|Xvx$|;LRB$SiJ zBl(0D-ywgX+>N;N@JLGT(Z-v%0_X(}D?VxI4gp1E>BRv>l<9i`MYPxcgS4NC8A(3? zXW{%g@d~p9>0$x1BE;ZQo5O^^fd5kP*Fn zTRLRg!+`Jv#n`_{?+&o1PR9&X>@K#@?CHjgN#jA`l19;-zb(;`g`Jk}YXk9;WVzH( zt=W=PG74805w~(hOz9|9CbiBEZd3QgAl!aJ5h+EDHfRqq=}uTPM)!bo{NS`n?Ujj& zA~k&=-wmr@%My%7hpR&-KmM21t>s;1TEA;)zNn}FZAOO=UmpL0KhTo5_7nU-UJc}L z6*iB11fKv^Hc-91yTL1%muR}XW2$L#QGemQ1>8;Bc3wuRoZ+SGA&EIC6u2KZ zJTmMLi$n0;gz;rjQxr)kU3i=NYCx`*L1iD(eb!^)x| zB$J79+0}pFRB+{bA8AqdBYUib&;C>$Lrjgw`o0;qXKw0MPt%(w|I<*dw?}}j7Z#GgH7R(`81Q8`71=n z^8I2M$n$Oq1iu5_UdTV}9{U50cBpWUhI?q1F9((v5Eo(4o0I8>lhtL!Jm{vj!grJP zILi-)=%GQR;ejsZVa~a^egp5NV^i->f|#y2N8%E1W1BsOVSr0;7?MS_Hynk-(2KHa zNI7+e(6NN*!yev93y^Ofd{GCwRQYxpcHH83*_{I2D_e(_!%dH~vYUP2ZR6|X!_dp2 z>I#WQcOOKIb8a@(1L!*<${Ff;86!_43UEPmdygz#*to%~@8W1^bW68QCBzy1J-qHS z8!Y0)ZzpeNDQry_vq0;5qxqrR%cA0ok(b@4QF<=g z`@{8IDkV3>MT3iN&x3@YuP35*y*k(Td>(lte|#APKxmiDTr9pHhJNxr3Z(JBo`=!Y z$X?G$Ye81l5=yy11^^%#b(hTK0VK8peQUrMWwR?{r|_%;YXAaC;f(M)XMfv$e6 z%WC5;6+CUZ;T%SMCKi&oEV-1@0!wVa>Dl(M7e|Kq{O0z)47p`qUAGl2eiMq!)0`u* zjksMoxilb1!D$v~q&(Fs8+J^SdwzcZSbnSVLIQrIL5wGU8+Rpse{Gp|Jsm5zDwYE8 zFj%?Kje7vx{_dg40c~E#K@mICr+DLCH@d?J33a7M=)@=}C|D@=U*0I~6O`5bz(hd- zBRei~shzi}x0|_(i?thvwUrGj7}MxR&k>-*D<~^Pi)_5yRziQ~v;smFfVss?WA|_G zh(nLzB*sRL8y5173Icr-Q#wQ8N#;{_>PZ=8Mfy)`wHdKE@KwA%JluH+(yov$?$oMBC?pZX~1`v#p>R0?Ns0?rYAUQ%y|b1xXxR>qUCS1>;7I#2FH)KMV@ zaf^G(a~|3c7+GRheOvK46{@awVnKMHs`F3+kLQob-ql73cGWt!Ju~!I0iXRMrvgt= zDT|I2O*Zci`flSnsFYMpU?BuWu~hUrMq~Ok1t&GI^JC=JLdP zI_1q4nVj(8A-B3?h+mF^h8thOytb0Q#d??=;O^gL75j^F%MbJJZ8 zTgA+dK1Fj1Z-n3s^jWQHrCQu=LB5~-PNwmwVL0{P#qm22-N2ooDSKae`k?i`DR0r) z&hHwIV3JIaPRk#Di3t@DYFw=5ja8I2!aBul;A-N+dHvM~FQFINqySnTmeaxF)MG>R z)}C_yF8WS}^{88&o7a6SMoYABJXMnU6xMJ}TP+z~*%@iKoW^ztbwm`LHwuqLAp8UlP1)FtiRJLkdgoZyBFqD4zf!?aj>1WFT zMUuB*MYT@i0`4@Hk6bUels|#1l4_ROx!vbroHCOdKC6wj620N^HDAbLW=6PRiKa5E zmRrJWk;icnDiqfbnBWn0cp3Mq-;!R#He4pV?b|SO zvQ}8e|k!L7if}CwHy8Q=lzfAT^YER545ir+YG>?X@i%hoBEEuS7 zO!qD=0sF;f=_=yTtrF#ZB|sZzt1JJLKgWdG(Y%hF_l8p+l_)yl4XiPh9I)6u{dzmG zMFH=a`5Ig-|7&I2k2TWvGHZjd`*aA6O*pRxm%nL*!)d#jo4F)gamPNWD;B-_NXT z7rFtsy1!TZzJj$qJa~5YUN_#(jjnr!8K+d_RQS3-asN<}Tk#1}I#Juy?9F~?EUz^1 zWlI`fx2UbHu%*Y$BHdgnBLIf@bWvMS6&lC7THhbwYc$i&J^KBg@5r;S;2lKCbggDN z-_uc}r|FwlZT!rlf=1`$(p9TQ$|Sm_O67OP-jXL&YDAIzd5IbL6^sB;6^Bv<_9BJN z$?ueEL?xbMySd)}w~KeT#<}r{ePUGUY6|34S4-5IQ$4;^x$Jg|3&^R42I64TWM|@J4RT6J0?r#nNoaBJ(@U?acMKX?jh{#;k;!3ps-Y}rQl{}ZVf8=y% zcLWFV$PHW|_wQ>OVIvR&9Tf$o8QK3_>HfKFEk_LHGk62E@qLFgjs_&pFpP;=NdZ@C5J<;}L(oom}~eEEGu4Ys?; z=GSRL@i7jfH_Ox3PUfigwp9mX6RMTM1-*JM@ivAmZ7k z_OrOH6~;doY&VmBED`lEYt4iOQ*>fuiSgJ)K_B8Q8s;6rpt$~vR7#ul4LYNY4iY$+ zLR)sx^W0SVJJWjko}(6VFeimd@%Ld?^ga58?MX+;joJg|+n~*{@UzzZH{B+?SsLwI zw!IZYh8m{=9K#l+({r$>YES{0_7d4wD zUy=L?w`6q{F$?+e=ojDjd|234Zbi0zW@k&OPu4|ZX&u>%#Oe^?!}6t5D!Y1yjOOTx zoxK}SvvnR-z`9-OvgAEJN$$QIn!VpE)eQ8F{(j=bgRTXww2`~h57ESEJ*?y(n42oh z^-VchH!`^BeHX-r#I5fQt<(to-$A#P*;u`9DGlH#|0ZXV^tW&{l9ZoFa{iJ@ZdT^* z3Tz6|-UFPGcy6v91AUyFO6)un)qFhfnN`{Ql?VGu71{a*N2;q7*%XI+*_5=o_%ygs zr08hT6KDm{$fd4BpE8;;7Nes}*U$~DzTLr>MtKuVJNETuCk=l1pa6OUIU~vj*(-Ni zNt839L>{ik1g)YfdIbyh6XKd2=p>!TSxy~M^rY$kMGcW(pLAOeVzO2NU!Ar48 zsBSJjk}pPO{6rTvjhVCaXz)a)fb*H3MS;RR`CKA7&o2~SQT0&roZI(^@%GWa6ZGw+ zCf36Y@d@gAV9RmN-J<)j*j4$tmUsf-O6Ai-|CS5vfOqp~MQT>8+RI73Zn|z-wc;br z5r?5#P7}Z%cFH@yxo2dZ=ZG6-jSK1Dery;7Q^OO$Jyc^Lj0n0;t7nRMc9Y<)l~>Z$%)jg8_~qxW~9-X~@IcOgz6ZHuf^Xw_hOq_!T+(Y0uP zPh}0lHAUjz)mubMJy~oYMT>mZ6(U_hxWh-I9@v1vxRY$%m})bi$oNmI>C=h9|y8!8K63KtYZBV+aVJV zYNv8+azGRnjMp`!p+4RXCi}?$A_0}^h|>Eh$KtO3#j6Dd5sp@38+j@MbrD~-AFGMp z#U`F?BaZtgt_GCSCYhqXf!cw{!lgXZij!iu>zsCBU85+@mAcrJLSSy7baHnV10$Qzn3p~A&+yfA5h!q+v#+YP=Xo0e$esiEznTXS7}KHhpJ6=E3J$#X)_3!_}p zb&J-ce!ikyox$M>0N3}e){kFdvAIbJ(dM;sXUKh9P7hVn$R^KO<~FWa!saVjF;T=g zt{Wn{_{`DfH=`j58~)kN)>hb~EX#E@E-LOPkbRf35+J1cdsVW|Qf1j8W6`Pka9w=Y zEOx{epE+c1hbuPmCxFMlY_s7oRh!97lO4U3h3TtmUpQ6)$=86G`MsPKNdSXhBvWA6 zFLE{XFVC@o{29HrYtIqfw?07v*K2mBtTSrtO$lTubI(juyUv_)hQFvQ=O)*$wjm z#i-3oN?H{%Ok6)r6{E58E$&wEJtxs4nbN>eeX_6joVq{(GCka8Yd__1rQd`P2#%`u zRxUeCH+fE-ui)EZx1#yB&dn-+&Ecehppst?TarXxHOvgP?Gx5>0!J4v(@nG>g0}%Z zQmyFJc&J1|M1iupAu1WGU%_qLkEm<;0f9ku*hT;$H0Jvdd@a{oeQ|iN6w_n1u~IHl zm`g0wjCE2rD<`b58|yd=MyZWg{T)`xFDFzt^m{e3cRQ*+k#5WWgzxdfPb^Y9RS&10 znoqHqBP(}p1aHHl05Sl<8!sAX5kBo}!lCfzuiMgk*cYs9T`^k%y>&*N#Y8bZmp`nz z7YXB-b_LOxKtJ|~44YV~ek5#>Q?dxbYNE->=iOOo=YIgjqP-zhxrk?On?5Cy$AO&( zVQQ>W5UNa{c+O0&W)iZeA303#O{R6q<72dhXr`6vG@~r10zxWpqmKH>0jl4KhO4$A zjrHHcSj{uF@8zU}wiz-Gz2m&IlZQ9k_g9m({~#@4{)%INm?%_16@LZ66dczMkZ83dUN{z_jbJ~Jc5MwBoa9a z_Y&0%3Nxs5(rfq!zG3Y5$dP?|@#w!L$txtW#qSgPsJwFD5G349cowzEz2-amEu#0^ zSeOxU^+>BBXLDO{CDt2|-<>wS|25BR)|2rxP)ndlBHFb7`8LTbayQHnYdV$@MIl!M z_)2$t1fL{;gDqI!N7X=Clv$8_EgLGk2?FvbFW;OpDD^UFh+n+ixS{^3Wt&8B1C`%| zdNU+LMHADmm^r&P9tc>6S;1@38=AmmweAh)&Tk-3t*jFB!*S&2u8rlGNksOQ^vyt? zuT7j`X6(m9pvKKr_wR?*s{miGxU(xK6B*}K|?h7~%+m){U`%ex0Lux6{-n-1Wa1F)jMoU!=gm_ke)k|`Zto4j;pZ}1C{l+5D zf+wa#0#QR^APjbp*uwj0vQdYS9*?UJD_fK}cue`nv5{kDhXl z2-y%{PQHOY7c6;pH)B2ErM-2bda7szh_3(oe&bGxx1+7QBSpUdeZp~ht2<>i6@GoB zP|tktyH$9kzz1Bqp`$ssx4~`~-D@)J!d9i$RnfTE!i*z@`SV6OJ5;cr8U0 zd~)*B!!i>F1zGE5@YZ%ja812Vyei4$f(xvMpA7d#hJ1pUh>`FGo7<}gynkz?r7FEw z?~xiK64~+otu^eOEZwmC28RZf;=KP?D_spLaVQR{46!NHoHxfIsj4$pSb4PJcuHtbq1s zf^4M!nf=eSCZGgq>>sG9y}F%`yPAs!hli!(-$w2m1EY7JqM#tG|05FrSU~DUl$wCG ze;MHbSXus!MRXKvA3+7G_goW@6b_D9{&L%+kgDF zAjz?^bm0Je{J(-NUY62g#e9U~aZmC;d+R^2Kf$^_|Cd96mG%GS@$ahPKj1#fNB>3F zpBm!d(f*yC{S$3A-G5{M$<_Y0@OP&2kA-pi|A&RY;eTuSf8Zw!{|)CrD*L~&f9vUg zHv9+Uzp($KuKz~=eTMww8JRNuH*J5;7j-2J^xZQ>?IxS+yO#@JeI>{O)%0ii(_E(mFz(mSX=0_JcFC literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b4d6b20996e68b9637bbf835ae232b1a8700148c GIT binary patch literal 212379 zcmagF1DGVwvNk^U&g^iwUTvWgww2z`(%Zz^v1FWoBZ*g?!+^z&t_q6Ub`mVc_9xJCwYPRrmI3+j~8J8dL zPG7#5yp0zb@q{*Yr0Z%m$&%$%lWSBW{Yd_5Ne^@)S>-Rd6`98 z6f9Cy7&70~5_x4L8$U}~ApY%+AEB6)I&tiRm?AonqcF(qEXii4EjcLAh7zFlY_Vs6 z#-DeJwAhaer$j~^ncuvaEqTv>+EY?fk95={Wzx<6e+%Hm0YM5L(^6WdF zG`WE7{!iYsM{dun;I3$>~|5ouNCioaRtSQ2eC(GP*q;y-3fFyghrdWp7$g^sdk zk~CyRB7J$|Y7N^IjaofM_VFAhkdhmcDQuF1<#NHnP!%ncj~2mG4jXHaP8A1Daq86e zrY_tXO+yxi933i>gkIWNB^zG5>`%HIfw+WNKFjw3ZX^@Jy%jnbgUPy@Nr;yluM~hN zR7SFz)iMv28ZSw?ARaz#)5cM_oCdLd3Q{IiFZg}bQFh!OM!gYMbCv$Cfz$Y9y?9fJ zIX^dRLG-_DfA#d7X`?f(y~mN94d((b5a$p>4S)824l^Qzp%Mb>i}^wfZTW+X z{`iDV*5jY|M1&v`_aubV4>@!NHcaCV9;2uW#wUL>?Jq$y1({v^%&-j~Aqd7v%?P2N z`~3MDV^G4M>W2B*LGzm)bCNl2)HK+%(hp?M(A`ACF?3ygux6S~43RfD&*WVXI9rG9j@Sv9We~Bkq#_E{Y?~jHbNgraGAQ zRfsE#QgUD}$FQa0gr)GGtRdoeq8iFcqRi63^LPrB^*H=zx80!OoegK2Yx|31uVi-c z^x?epP@T8Xx-MLjHPYE`rMgSXx%?9_t|0XbJyB{!oiMNIBK*ML(|0)$@%Qw4Dlk&O zY7}+N^GtNXJIJ9iupkf+!9zm)y@UPxM1<0F)-ZWm6o;k~6F-hvAvuHCXjt^ek*IYn zM2uy}ke1=5QU_9#aTJSxk+7JX!pJ%nQw#-9_`^>{4g3UkL$FXc?uf-vq@BtfNKL{~ z6q@Q7c$PO8;&sF#A7V=b4>=+yABvywM{|`8zp0w=|F*4(w)Z^^ylSM5;+u%M5C^YR zI14>n?ogI^I4bD;mtSNos%hYFy&yb~;;Df%cO?=D;-3zxwa-)JB!Xt^y8S&&Q%*Q5%{@`K$ zCt)r0buAspDE^!- zVu_F!umvf?yQH1hzMZ?}Y4SO+j2;%|qZlt^pLMg+1YLJ1qm6iPQa|=4bjnPG>(VQ_ z-B{$f_f7dWxP?QQeU5EX(?K+rzL6Utjh*Z(<3I; zS1+b&UALKTr=cOQqMJ1<+r;tbDmECrF(m(}Eddjg%wdPTz^E-#Xq;{wL{?uHtoPfs zI^sPpE8!uB5#7Zr0~wPu`m4S7wHgI)DXG}VJV<38Ugd+> z;KI~?8ct~1uziY2)r2nTqLWePh2WYw%Vf?}+9}n}IE8fw%@PmG`Y{?3jCyJ6J!W?Y z)}ei$Awr|l!VuJ<0iPiOBg;Z4I5OXyo6t%cs)N8E6LQV>ES%8J!d)j=D$%dBNxrM} zD$&oh(Y`x&#jL62T(??dgZMfWS}Tlt2iW8I`^M_ViPR?Z7Nvy?uTiXKQO`)xws<*8 zc}PJliMp7n`~8;YOrb;H8q)dhUHne}8HfU) zJG&PwU#Dmf4v!1c-Raq2_|mfBn(UGcm`+;WTQ&t=E*cfJ>gXLmUpcSWoHgq1eAWlN z<{4b&T|qu=_Mht&UBTY_C~F+wz`+*r7Yc@#uZT2{y_YWThqt{y7zl62k=@-+d+lS} z0eE8YtE$4hr0}=kY!)8j1Q7mxYrx6F6IXv1;aQ_m`)*wD}CN? z%~iQO)6Jd9&in#Z7>5Z3eljFZ`n(DWG3SL04RgXJ5$Nxl))MGX4$LAZ=6&oWCVsoO z5EfEDMS!TM5_E;ix!&AmSn>^H3$7oOcB_ijrz^?%xb9Zln%(i9og^~Q`+gD9{zn@h z(5biR@>cevE?zc}Zqt@%ZNWpY+VjrWR%5zd_ojclPH*rx2oLJ?I**+PQG$luF;764 zGVH#vbPz$L9&=INJ&q~(PPn$kE6Bn1ZMHANv&0)&vaVZv*;#0oDK6rx;i% zT6(!CIJz>rn%F{u{pV@#zXPLA5Wv6yW?*2*|8W|lr>RM2rk=y<7*^=jQw?^wOWm|M zZp;hZzNG;eWiZrF6r$0oGFrsij2Vb;LsB~8A6FJbFl>AEXI>KNl1a)*iCQQ&lW)~G zNDYX_4tODJ#1Ve6H>DSP53d9@Tphp393rR3)f~M5_|-voOzn2ekyBaQ9GW3(Znfdl z!;weKx>+s#4~sV6BJTjU_F2HII=7=w=Iz`+1%5nzA(!6V>kqrTR{$Io`AJFL`{3D` zpKae7RP#D}7oc}PC;ZUu5JSaVybId6wYocr$-;hN)b<>oJc{iY6m0H2*pkm6hg7N8 zwttS*i=Lc`7fW3>84n8w?@FfjCsSVU`0t>cxRgm!-8)uE z0SJISZ#~=!(`EC{kb!-+WG2*c!i94~P=5Kb#2_GKK?zNnmDA6v**Hz7b{SdeM&pU- z3xF}=Uz7cg zHOQ+JOH1g0^GicvypH959}iDd#Y3hlg&Vo|vTreAY_5zRon?~jQ7KL(oRTLXXBdz3 zsck4h`D*HHZE+?evC}!E_Sb98qN$~kkoRw0r2YEeYB2eviWIP7EW~o?)KI@A{B6O1 zF6ucLBMNcC6dth+3dO)FYJvSWB3Q=u_BNn>;6MiY44mR+P zqAB4>`sRGA5fIaY+njy=1-5d=`r3a-zkl&AHs8O!JcoJRHu2#{DYao(TdZ=AV~f(_ z9gZy$|H<&sjOw29G-1MFWH*u&?|l$7XKA)6mQGKV_7aPZr%M;U0Cqb6J`6K<)0%!; zdaF>wMbqksPL#AlVPqL)C538%Hc{F6Y40rdIGFh?dyoj029M}j3iq<9oT`>>KKHEa z9FDQ1ceP3syCEG4N8!bK&LAxLrnpkgiQ+hB`IH^cB>Yg3h5VqdkG~BjL;Z?a!U}t` zMZ(%?%qn(Db{MA12Ca*DuZSdeQ$o~%sqws30ZzqnnjXuFke4$HD~Z_yxk(2`7%NVC z!x(R?@d1HuUH(}_AXzr-)*kWdgnHp0CkYS8xwEFxcW9d=y5dadQeE2iLD~%P1Ji?) zDSz3B;R}Hw(=n>BG4$_8)4!&6$EH}_)3JYLs~MXddwt;yEsjY{@9K_9F;YIcVWWE? z7O>?o0#8MIr)z?R6n>xRi|l;KW4EqktqdY|r(Du^;J(rRtg?_e?=f$k#U{=h5U$aF zzyl<3X`9AHLFU5kcf87dT<}nQE3aNP;0+?gu}Sn^NUStC8aHNj9MbQi&Hi{`U5Olj zwHbRm3#u>S=-uIKw!g0BY`2!)sJUx+ezIIkC{7Y}VPWSlV*2J{D+7MP5OT-=62Rlv zky)lHa;RxJb$>1nPT%eP!N05ygMRP?24gP!=MO@!w&l&!y)&6Q){Rj(a6 z)T|t@ZOntLyBER0`0BZ%CYk))cfKutwH1&T5E|IUDN)J(FzO zft~N*y$)*`%62CgRe>6KRa8M5>x2%q)vgiB+G@c9R6T;c`50T6(3~?>$@A}B?zMV# zsw}%y8~s%0NdaQYHW$^72YK@hp&<)AN%Jv2huneD20Mjs6%e>NcEst~;pMqy)0{7s z3jlVa3_A!Mka2}q^C=|TP1IzbgJ0VorM9HeO=XB@w59-9M|;@T<=>8AgWaZl@uX+3 zjd2cpTCD4WU^ogfQF2wIsadon$8*T`41_CX$$m4n=H2ccf#PYTB{9+k$G?WItL&N^h7cYmSvx;-}4>>%a^DSmG ze-tp~sUllj0m1}x6@_=HC^0B$0V<}O1~Cyn~(=$239q9gCfXHZPVpW5QDx{;}U zW!N-7cc<<6S`Rf*-b{8Dp&DA=MwFlLoT<3Qy{EvMR6|*sz5-<(>vZ?l(elBuk!_rQb@kBiN zJS&PI3YZ*NQ8x22jH?Cr^Cz4H*_+n5G^ad$1&$gDr5$A-{9bfQ7c-r25MNSjJlYV% z?-(&aC=!QhL9wWR;`rflW-!|^U?^=6?P4B2N9L4j6&opn_Oy-UE3yVLe}KJ7)cgb$W1gRWWfs4Exk|RW1~vIZ;w~>Sf5T=Tt6rR1Us*{sj9lh?K;z*_$^By#?d(l&AWkkaofPAT4XTTQcIRPJIL zGosSV^-h7w4$0H|=VTV(W=j@9h>8Cu-1#&6l|2pRS;gg5iK+1)kH)HEm8oBZ6t0HA z60cbqmW@x}JdA6)Pw*8iC@l>IOTD^fS+u{1CTRCH>NtFT#|tOLTgFR%Kq^VSK*+E+ z{!Wem-S|hFlm_$*duMg*mG*-X#L@ToC#e^nZ`r($Djk5JJGb8ILff%#VUl(NqjXYT ztj$HkI`FvVDc%CwQHv7NcT&n2U7yGAm1!p!K}HyTS&yJusr-2R?FK0c3Z;|$z_JxC zjCws2ahh;xZ^;O+fT+;ZqO!+*1X1**>^?8)>J-u~13g8i=#92L(jRv!-f2q-Sfy0y zDVG=ppP1Q|X#a4~oY5EM+XiUodo3uitT5zLxU@Yi>C1RlVbaNDQ%75(OISpB+2Mei$)4$Sdz5rX`yMsflF8>W zRUKC4FmHY#vp1B?eL{*^8)3k+;D2t4Ma%rHrNKcPVi4i?e{G6AOwA|N#_b1)kvg7i zz)ae&xwHtCne@t%UOx!X?V8HHEy;chhhvz)O#2V*6m)iW>l!rpp(!PYh869jQc_4M zx7o}S>*EfQ5;$Sjpt|VcesLRNR_xYKpa4ValNI0%d#XDp<(y30#W_c?ASUiq z?z>7nB9{Anxw;O$fntN!75Irgk~EL1P);3DJYp!?AktM{y=7rEXP2QK(HJ}*jI0p3 zSln4RtqSjlv6#)N=qlt$WZ7@FIp;CH(81!$PW3rX1TnK8Yq>3GFpEvD>03h5{^jD4 zWow-h6%WDXJSadF#i}AC*7e-R@9s2a*5hgy-Vu;OuRry%wN}qW!|Y?l3-fky-+#le zHCeC+Bw$bnEBxoIOUILP1l#iIu%NLsK2)dTr2Y&6Ed`B+6UR;U_mpYAdsrHj$OB!&o?%G zm2yuxRQGgT=4e3AIz-|cCeTeIr9#va8GqP%2{y&{BpS584Rk#*ZCD?etyaeUZn~9) z;GovDc6HYeh+rx<8MXvhwbC?X2@aT$LIfWU*Yve&EjAN`L7ZNa=Mf$}P3k}ulZ$&0 zq>5%^nIC^oB;jf^#Ky5pVrRiRAILnjNA%xUqJeRoZKW_6$}?Pdth_m+At9E3Ra${g z&?48|XS1#_ew#kS*8g}%Es#G#{LiZ5<1ES005iMm)_vbpOn7XTJHSPeGRvmXW4LJ?4x6WmGQ6E|rw)Uo*hq_Fz=tj5qmcLPFGW?4h_2|dJ zp2Ls#Nxzp?;H!rKAjm<05OA;rxUSfG52_LDdR}Y+4r+9-Jy~qMytW7ePPqgC8U`Pi z!&|S1DP8vq4t^IXf`C6YIc|F^ySChCp$!j?kG;cwuM1ni#g&}LNc)eBkCmFrAtu1) z@Ycry*OdStFEAmd`{vDJ!@X{UKCHpP=k6SU0^C3M0PJU2Y}{6^{jgg0Ez`-=ySkdD zz5tn4%+Xl|bh4{}3#7~y4K+S{C_{t0QGjXHSHEt}D$YIkPTkC^Y4;_MnD!5G|MmtZ z_qBx?hu^+QsUN>m0k%B%k-nWsG|3i69$(t=?E7ZiOqQ59EjDQLW4c|szrT3;e0Mn( z4Zb;#^y%KP4}GXd3AhXU!Zb}C#N?haS-XN9spi*g^t=o3bo-{ZX}h>#%|_3a_k)zM zW@@^WaonkWJ*vMI*$D!$IT(P8>8$-gCQj65jKaj|0NGWQ@4~qx@rx*Ut}0p2zvY8d z{aBKj;|IF5UewtFwzBTI{)QkvkGS9Cpq=33*`&9oQjA_Ot=^`Qe3;y4)&&;B;r@-CY&h%hOAN^sgXX!-R}StmHTE$RRU+L{pzmz& zHKnY_VT~BIqe{`ahw`f-IZM&WVaC%yW{5UTd(LqN4r9M3>vjCE`OT`QtmXD9; z*SkBH*Uyd;c2_};EqPA;pV>`a9(QjC?Y^iyY^->%yH}`n7p0@IRg$6yv(hj1bB>r{ z-hOvl*CQfC`BF(Ex)37F&BRo_>DM8+z99%>gia*ZfHUN@23r@>$W&uL?V;h3Qi$zi zslLT9WTz-ZNXT@x#d{y~D&4$8+p&rFp~`E3s?`ER zi)gpL3RPzZaHD5SfBlNvEq2|!j*??7O3VPJ?EH$*VDrus9__^#TRsoiCs>%9B8(1S z5`dv;E^%1vIbQzb3=m%&y%`>v)F5TzpUPEcSlK zIo5oZDK(>m6?P24$+3Ig@}qE8O0c_W%8((!s}m->hcKuAj!j<>ALmA`b~P8tiP%gj z-Y|1tP}M4<=m&RB04@8_PLp;Ne>Au}Gg9UsTGvT)Or%+6Gj^m*)ades&%pxsM)T1F zj_-0Kwwg?w!n>#k%WE^@6*IJ~UaIF68v;Et)^@~0ZY{bl_wW|&tnt6YP*D>``L0(6B14ZWbo%)CI1zlcZ2|g^&yj;acb8h;jS@Bg@=67VJiJj@o3DA1aYPtxlfcyI!rn#(~qSadjbL6_dhF8dUYZ zTTGNvff=)$7n>j?dQk~ivq@H08K@bWTWjR4i8N2760B%vY$as>d+=*&<}Z3bBNcFR zVzO`aMskPz$2!ODxv@pTdjYbCU&&YLHAGXVERjzk@LUfb4*{Ms{eBy;DxJ%WLBfc* z__%&zU0&N$?ty&;TD4S2CpGVIwkX4rPN`*jZ>rVd^X( z$BmaRwlqbyEYK@&dlk+1V;#OJ0O*a}lFh;;l_>w{UkE5m~z&Vre zJCR?A&q#yD*7NC77vQ4dL!)CQ( zUxVf~mA>EO$r3Qe@1q+ac=coVq2V*!Oo;(d5NHSI_xb>Qo5n$Q5(wB)Fn%6pey2h5 z!|l)My*|VCh3t1Qer7=Gjy8IQzHY|`(1+%jJiA~6oREM}p?+xnf+0IOL)Tlq*VDCu z%b>?*_~1YM}nxCr%Re@*`2*T4TuPS^}$aVfI2h*<<#5MK7-=x zt-*(9zEB`xC{Q5O5364=Y{$=khYhKFDDc$}$Qms80)q30r7cguNzTi}ip~A-*+~r7 z9Faq@;HI=+2LaHNtFX4Qet@SxM1mQB=QdCrNx%J(94Wud zLBY_(ACjYCpoRlq`Idx&Ncr~WWx7PLc;7@?pg42*s5=&rdW)kXU@~Trw%R58La!n) zo;b~V(C}~Z^aV8j;vecXIcj4T5AP{+L@ELmiPN+P4f62@B|jEva@@u&vTn8hE#AE& zp2X1P$c>=}nDZWn1mrsIkZ)~RWGgW})T|syZ)(3hGkPire00KyX05qU)fFFo< zPoaJeDqp|mmKWUiMiBpxR*Z}-EZuX{oF!(k^eHIB_hH&<7;SAqvyz(7AB4iJ#? z{x;GLd`D+L1H=FkZS;%o)nsef-8Ni!t#&^b=4jd0w zou5aWe4On{1haM5sFpYZH~Xsq21h%=yZzPME0ndSj|r63CZ7+9(^bHGjm=WCfnZxR zt}n>tdTVn|v+kOL%u=%d>c3pII@|GpA_=rL{~=PSzDTga1A>ABv09rQ_}ZG^-}>F{ zww{ICn;ig>dTT(3GQG8f1RKO{swMUQXqz{j@urU`S3CGpJso6?YKAbnrR4s9yzqX2 z1_g3@6GOH1=n!D@wwPcu-Ysam^jJmIX!G_MGt~4kc)SY8SlD0f%BW`H_cgmwYI%jo znmjNaZ1Wkar|*(gtQ<;tfqHUs6o`kLqRPES9uk=aAIU>;2Bblsb$_84C>GJ`b zo`=VSJ7h<`v#4E$7@~9y6@3T*eY5<4bbt>?z=tT{Bh}A@3wr$Cz~wrI8@qCfi~E`B z`6=W5tqS8VhUaG!3KYKfbT=V)Y@GHS*%ElZ zeV+>uuYd$DIUo{Xd+WeTTXf&O52sH7R1drb-s+Zed;lDef`4+lNA(ENWh3VyIu5Y^N<^X(PM&BqYc+>`BY$+r1K z-U!O~{%PFL;u3tw0;+#Ss?md^z-d=W+~2qom)gXX?%ScFc+pSXXOoih%~y+`em6`c z_regwOWIFCPKfUipc$14-EY{6{Pjcq7%nWwb^rTp99R6Wchd?ohj&9)F;DyyrVtXX zEK|vBKPKs0U26ESoW%X_O7Si6@$ae?NtrD;_&N+!1@-yzS|j&FXPAJ(Rbg2U;Q3zKd$cXA1P9DWCT@whp#Z|U#b)w zet2K}q07;Ky+8ir5{PHzEP&9tV@WxdZUDCM?d5m@A)okiaN+F+QyJ~z7}ErzQ!snxQ@^{w zn7P21x4>A3Yp53v%AGdbgv}M%^+BGTR5#Zl4Pv`kA*ihXzfmy#x>)0=0{uIxzW zjDBavKJG6@sgX0&;>U-i*NFQaa2aiII^O-6U-I@mX4+VOuV8=JMj3GqapRfr;F)mX znegM8u;H1g7k^aBzG-PP|cM93k5YLDc zmKk9cPKYCzA8Z$c#h<%KE-jkH0EPGmDr9NtPjFS$0WexxV=zoimO}=P=(jZLk9VZS z>1o6N0(Qg0{E3#Ip_jUeFE~+1v$~01K!4f4a1w8n70Jq^r`^)SvBgsTqE70?!qd3x zqA7T>Xkt}$RGT&aNGMam7xwVy_rct&y;Z$V%)00PDwzgh+x^HanGZ=cW4r50((s)0 zRJH0=T$Tjmv0W>J)~7%+6iDsCNeNu}@cA6HGG2-~DNKWGG%Gi0@>=;flA06qGqH-_ zFOnH5q*V&xt`V7*Zd^ns7(Tf2vsBu9lM-LWb3#?f<>@+KBugYS)xY1xy;sp_UwGZi zTKkzqE_xAOIMBy=oXrA;(7cuiFRq@l-ZF|B>+ih$8sgR61g@@LW+SGKKKKQ-Cto_c zS7hmUa&qFfCd}EYc7AMX_r81=cSrnoCrd=)?pT=}x#7_$T3UmJjO{!WV#aR>gd zk^(dM0`|X(|KQ7i7on-XM#$M#?ijm%k753gqjF3a5git)#(x1u%3=ghUR5gk>VHE^ zKBh~k4vR(WzX1CbHC#oaSRjCTLi^Dp)Tl`-rBO`s1`MHy3gc^Dt;T?m6!p0s3m7^= zlO)o4Fypa_L{u|im&`{S^7BVQTws@~@n>`OAn~ZwT!n1xV5Mh6%uOwtuN6@tY84?1 zze3c80>{883kyhz1+YCGw#^b62c6nv3f7C1F6XE{tP9)K z;)V%ji_!81Spy3k|0!0mEHkjL<6w@cpNA3ZnK6P9LtAGpo%NCWEY}vT*A{6_Op@yA z$h(@AE334tE-Ir=tE*0{EBiFmcjzjjlGI@E^kuQlYEK4(mALT?#A+V~p%yt8p?{j# znaF{0(?JY40V;_;4~3fn*02_ z>E!=?5Y&^=>7V|s4D|;6vaIXo@kV3`&6_y(XB!UxmnR+FzyAKq`F1^@Noyk9O#SDI z*?LP*?Ws`8LzLQ!4j^_V=@t1vTTj;fgyO&3YIpHXw;idaY1~l<1iRC<)Mz@PNRgy< z3!{I+6P-1BBI`H)IsijIjK$FlP>PB61`W@% zZ$yuNKiVP-sl{8j3|?q$v?g5)Y*kqG=WkqNIIN4I1g+4J(i_9++8JnD$*;EVthTC( z#}Lh~I3OqLjr|*`Mzbdim1++nMdo03-&>Sf{hK>=XlI$oa0M6NJ?q-rmoHF^Cfy0+ zQ}^ZF%UEQubH{3rKk@zg&UeZNN*IgcZ|+sz{b;y{7}E5^qVEByE7|2#ur{t z2IW7q8j#zaaj?X3|A1w)|DC1;Js;>%p^qkHJ*zdXt-S##63@aRyroAD1j#)P&s0G0GGzI!^YHkjHcXIBq16d{8Kv zkuJKQL?(sX^aL%8UT?R8KG=GCl|i| zHLezj9`-8eRQlg=w1EELx2p)GLjDJinhOBabm#+X)QjA&dUVf9*l`z50NtnVRvf!( zet%cwLJnx@>OaK%Td>f?2-o-^+eDuN-Bwpze^oUU2X-}n_!)Jaa$hKF$0fQuJEflX z>gbkH@T=dP8M(i(B70>IQO!L zLer+m|AJ~+lk^5NWj#{ja$BPcs04&udwGoGL$QE=0nY-Mob>m*9=%1RlT9{oH>!WvhfFx|AWc0#t`8c2WL#@IhlpUUAgNX_>Oqb~te#&satfj| zbJwIlmM$W|@^Cb+b0$wV*tD!KOd^bil`X#UAH9!lNh^^sAR-hk%y`=^mV5r#@R8Kb z{0+kh!0z2Rbn^S&)m_E-$X<)uKFQlZUL1%xbG z#m2l5`t$D2skFJ{xOGIr-}bqWIsI+iu4)HwmAtav$4B?W+B5{Chn^-!}eJ&o=p436ebNe6CdRX;-)+ zj748kZC24-|K8hk(zto7TPVcK}eHZH@LK$}Ke_$AA> z&$M`ho}yOg4n`HOKG-jq0gkFaLWY%DxnZ1~)NE5=msmpx@Y(V3F={02>>mE^Rv zJII!Py~vi{GsKo&Te*#`fvE&pIymlcbHgg6(}8r1#RQW(7}=Y8EoC@}Z2q3fuMC%x zRuwQlvDh<6%H>!$@(HPtr{`eqdo%=rVAxk*WVd(x(`TOf8<*0(BgNq|oX^n8#W!Gu zvdpopy+UJ9kTmE>f0f(nWY)CQU@WV7%Q)R=ESe?DlQ>+4PK|=9aYYU6-it=$;MpES zo<)S;0m6tTL=cVl9?@7ErL*}G90-N$#}?5LG+f>W1wvy-ievK##AbmEbn5HzSX+l> z<%6SFy}_(K3H=M^IU48K8Vjo91y~wG>I~i5x;Q#b9X3v8whOm)gGtNE?TO21c>|mb zQ6(?Vrvv6)mH0`r;tsOqqsX+p(MUfb%(m+4HE;*@$B}uiP+?>!1EXaVD~OB^P9-$k zUf)I+6tY;<+9z_8Fv1S8o8k)3=PJl~Pe>rHij62?3pZ+J*9fB-v4dBeXii~n-0PIC z|EoGSWfg^LL{{kK!E3ZjmKnix#Tx&KY?-srwoR%E?5rFpjw0C+$6L_0>RVW~?px5d z?YoK1gEfL13Ie-e{Tv00z1mB>TnevQf{vvA02Ra!7Px@mY5hx^MWI#ONglLV*UgRb zWwM&pWkahzHt#;9B=tG5L5ii^LGx zIbX!l#prs2o&iA;kAx@9E^#?ls^p%4pyk^{n7Yn!cgFVXa^380@c8(z^z@t!wPR@^ zlaurqYUZ83C3dJ8_uett`74DbEcZy0lpCwwuX>${7u+wu!&1J4-DMVv)NG<`_#gpC z3))EB(%avzmly#OMMfCKJRSS&VJXy;I|ps+@GsZ3bUNP9XJCtvvQ>qGe3^cpiZ;sM z7Npaanoi9zjw;meqRNv53#?Q8XKzPTP{c$X{xFAlXAIX$h;+)4&##GrpioDrv?V+k zi$BhhQPPy>r-*4RZQdWpWlne<`DJe5<~ejzI(}hq^)cr5w2Pu{Y*?CTCAnZ#KF`6v zW9OFcc^Nv9hq(yF&PGzzE3(h!PpEJUZ*ak<;FrgnVfiN8!2NCEHT=5%IbeW=HgyIc9aRD z;{s>bu!pd$UWb~&Z$vA*n2{z&(+(FCp^Fs3S;uGcIv(iuU^@FJKbhx}19rvpnlHblxggWh<6B z+Wi!-p8ElPo%;crPTr?CLdAfYLkXdz>)Qepeda6f;0rC61os0+`FiI5eB{wdN=+ZP zP|P8fvC-%4*>|yA4AVJ799d18QY15iG9*MM-mlOlQDd?!JEq2z$Mf0;aDrpfm4uR|R=-sRpfQxVv&y2L{J2_bK)erw|3Rx_j(>(5L{ zNoo-oc+(2MUkvj^VTjv&{d~1XF{eYc+u0{3lEaM%eyMJTRlUfH=uyFnxD=2^aL|Ts zdO;OY0?WKH_XB2@b&9I75{skm&)ImMCX7r)P5nqoW~tVw0X+i5NWQi|y)^vDZh>|E zIfNy$HsJxA_20Gt)MkeVC0p#~9!N!dwC>(7Wok2mp%u>~eiGVERBCquQlUNikvf4c z@|z#&f{|i&=mR8D=z~iYTm=<9s=9(EX=Qe;m?pnbc&+y$y3xZnMc?T;Mf>bAHT_=s z1M1?m6}Gxacx}0Ku-YJ5Wjc-#MIsy>s{BRVWJh;9@Y++xz}jwdHaG#nP~?R#{P;j> zW?OEKy}TDWfcXVXXpZJnKA%($dnwcxOiee>;JRXK7K!B%9XF$3wJ-@yg13V*m@I^t zR^MZso8F%9kQ!fEccfWSVMPNBnK9sC{srps;kEH5!AbLEm9Li8D4`4Q@u}pF|V~ens>UL}r^y;Cs+}YZE%H*B!@0@3orr7Wjrb4I{X$VM=KAo*!(> z*5X?B6B$*)cq^xA#~2+HbDp_34WwdST8FdjpisATn`e~|+?sc_l;cR@WJ^C62mMRyI zT9t|)cy>v;N=5k5Y9#%{`(}2AKNx(@33kUOAzDTd?I_Oqq)bAIWXDq3s6KE!k%FW}Djmz$6v%zX>3PDJUzAl}xbApO7 zv_>iZcPGZ}48M2yt3GDoFu*B-zM-{dh1d3JozcPf$k8chnjcTCO<9(2=!aK0>HFV`?pUxdKtgpP;YPW-K&#QbaR9KrTar{pwYv!|12}6#?>44F-Imx zPfmdi*sHhlr>Oh13Q833VT*)dfoY2PMlYm$VGgB;kstxU{JwBbKe|wcX`#a+ywITK z-S*XUxa=C=vyFk=!ilIagyJMhPoX80W0{=hZNBVlgcd=7fagN!8d7Uyd95rHo!Ay% zMgP4~^;g*|cDsH%??rz~dav1y`U;p=Wurf)uOdb|g^HryqZMFcOH!D)MTf}BL@*Ry zud#-DpS)#U@vxd=e+$;1>j;&GVGL2X2kE=&glXMn)LO#$2vxy6wjd_b0q@V$=vvl88U~Owj-kc90{eytRQXUO&^v zjK6EC0D|+uf}!_(?ZETkm5m`g-CJqYA;^4omBC0=UQ5jq!sc`Iqcs+m=1fP^pXwhv z=SStoTKA@LD@{#X2v(-O%WFSV#EUCLCgBgLk*^{D{y>y`eWGM zbw3t<>Q0cSo}tU+|53qke|m@O*M+Lka0$+x`lEdOUZ7EVNikCMY_IL;Te0bNa671N zuufF7jKsNP{M|%z$bfyvdn|m1lOJV^vSVZtev|Qw0c;qjrdV$;CBqjz*o;P9M*<8; z?bQ|G-_q}L*D#7+wQNc1Rqc3Y?k6_=au6rnNbf$drJo*N^TTsrRZbHkW+uf`Rnjhy zn5us67h%S|OXIrk6jSqzRpZS6V9I(HKFBK;TIuBHy;*1^AwzN|+))51C(VG`OXBCRHsUI zMk5Q4#3{UFBL#M_8tn)&72ML}0%J6mi87%|@EXY1N zj(Dd{cQ#nrR*>!`%7b)(|7t_bj(W24qw*VXn-lr)cy6J&T&$Ynq4yJIuLpmj{OW}yotnvWFk+3}5b@PW_%7|XdZN%ZcqqHQUxgo({J z$+lT#P~Q%8D$=E8mMXkQItzry3R0ra4m9@_?m7G`t(YE)5~?l^^p&Pr%Dakb99heT z8|>Q{zfhH*`3C8MeaXUSh|L*` zj0=Ggk&wyhFXA*8fbzGE$I4aAbd`h#wGS^TFrmBTOhkPkxnSZ^C&8|t>Z;!R&Mdmw zSl*KEFc<{Xj8*@^%z_A7dvUr$q!!~T$A1Cye8DNP4Q3iqJ1Mp~!y9jZ?P{frHffDed?p`XT|vu3W?Tn76V@p&{)b0SIMUfHBdKqn-WFxD=B##8gq9K4klo2 z2$3?V$8L{_R207@`VAb!S{@E(yo|z7I<9%b+kgIsW4pj&WQo3JB8%N*92o^)@Y^;4 zwf7s&-^ji!Mv1*J*yw|k2X1!|zIRT?+gwC!x*c0Ej9t!<|FD(k|KeExn|6g{Wc`Pa z{mW#^)>7BMHd26?)n~dQ5MQ@jlZgD^(&IB(E{;9hXlY zMf{ekjh{9tJI(Gm_8?Gy2Zc5kO#!h;oFJMKBy#;PZP%WtE^i#fB;oxAk&V$^>_`NF zRj1I9-@7#g7Mi7We-+U9ZH6KL>*Rm=`Tx?A5Fi>EYWs0hcba>UTI|kiVa!1sRmm#I z|BdR}P8lbN;^bTSYYUSM3zPiIas4#?f6z2Sf3{kP4zm|4paloY-RjB~Er7Q9_$^t> z*W^Df(vTHwaj?+((RD&Y2BJuB29iMuW-tGxL(z4@sG9#q`!Cz=%olOvhPl0T)7C1f z(6xUc#wPynggE}45XdDH$bZuM|I=_`kf%Fvuy7+SHjyGbZYDDRhV=T&+p;;B!Go=? z{vJaHYix2`Mz~*IG}FBQ((HzV^$}vH7h|UfO7pJ`!>X}<`S<;|0PJeQ)Y&r|S^E>m zg8om)OT4;Aj*&m`o<^cg>V1PRYCXpzn4G;vy;Cm!4_$8o6<5==i(-QC@t!686!37Yp#-tYVGx#zBP)_O|1x@Xp&nLXWmS5?+6MsW_0 zkWxhhmq@G?gSR;1*ul*xFHa&GpRVpt1O#b}99UBY6zSbp4w7XzH7rB$66=8}0%T5Y zpi4#PJ>6DW)<+9ChD)l3Aitoy%(&$ZvUX(5MW`;LQJ!IokMG*zq0xZ_p(A?yki^hk zwhYfb#<>=(Ubci7zouu5qS&?$Z3q`lD?Bd)$0;BjL(~c;<7q?#Nm<12GvdmL+%&Gq z_DOeRZAOgO5}S*<5m*w*&y}P?g$|cSo1}t-0q6fUN#zLxED%O0k^ce5{`dArn z|K&GyEn9sMHu`$4zF!vFTI?>X#Hwcv|9Wi^6#nw*Y4}>L3@}Ie>a}z*#|%+l{(eJw z%MK82Oq3YP$N8v}fll9^%D?dhpa$+;nb_a+_rFG#L&N32=UtsG$f)yF^+^`gHl^Z8 zDWbnhzx$(yH!xq8jf0gOFVpYUG-cww_DnfjLdi+cpU%29OF$8sw{vyH*3HIMT`}it z#;EXH6zK;_(*^H(avB^ZJ z?(sP(+hmXj#T{iBG8D)=Ezl>aQe>C_5^l03#hYt>dD_WVE{+HSeMqf^25G?Xyt^BIpz&z0SeX}Z^tPSU=&b>JWhkk1iqAWMJpD7 z8S(NonX_?j#|%{H8G5^ossJH(&ich zwfTnM49^iSy-V2t zw#yIQi0{J}sNCoWL)y`qeYVBFIQzHkYlTknbvgxZDpW0sDk-RkZdD4%$|?RSB|sFj zy^;8dW1{Z($X^S`=$&h?(XW%eaH!G3M$6`WNB)5AEbTF6Nb@~nyDT$1xW5q?BgMcm z_j$$86J@!Sx^H5_v9q;SE&X77P7AHaY##-2XsMWLJe9a7Y;E@auxdP)p~i1t{G^8w ziUwj6+RmZ zU05+IJG>xt9WAw5F7o5!<>fU}x8#-rNsB0HolFe9IN$I)COU%U6F3^!sL!WySiDq2 zpqZZ8hE@B)^gJ4+Vt{KljkTP5zg*%D`M{8P>RU^tVysqeTxsnw;qpy5nQ1ZB4^LRd zW3>CqNK@-8;|#QUO+k*}FsX|DDcUfpx_vvoNU4_n+C|0=^zAVE#!@fZMk=u=M>p2; zMxWT+9}J@6fOIgL|N4MME%*Kf_iS#KWSafz;gqIYon{Qqq|ysz-_#V`WLerAX20&a z!bZte)eHwbK7Verx0_ns?=bpx|Dm+sqfIM&tZ@x)&Ez#XO|bUa(+#SWI#(Eo&(N^Y z_Ie?M@R=s9@4TGSjG12zcquTDzv47SKpSlB4Vbu*>0I)ClWXFE;jB{RDcst@sFFq~ zoc<_r6s!L97sRHO^hK>u-|xCiQmSZ!53@rMtJsPvP=5R7^OmugjadZtN5RRLj=xZ| z`0>rBDj873r>oU*9tCe3>R|d{TG^#{f>+4|B|{e&4S#&}g!ePj{lYTru<+Fuk zu-6Fs8)UVfi@giMv~Lnz?N)^}skQTfA1-?hkzzz4xk4_I^!mu45P)an2IJqw6`>*( zD1D^-xzoeshVJkAp+-H@snjLtV{wzzKou4CjWcOGhh?^rMO!5OZKcHSs|;K=;0>LN zJ*fc@wQ@PV*0NRse2m0+b~2tUIB}I^DUB z^kbLp&Ou!=pjB~qnF8?8WC@Ig<0X^y&fW5UCnw;TUQ8KtS+4-v+ERz%f7u#VPf<@( zVp6QChnIIJ=_h7@5qa=6p^ZJKA80T#2^6l+t-xRHW({jgFl{1C3%Bp%{pG<*sA^}o zU;t2~b6Pqm8p?VYi`B+);14?XQgP#_>EtOF!(Dp_BU6vaIxSF~5GT+QcYcO*E((5< zA|Dp<`b8Xt6!e-f9xYiFYkW&IjuW$pg2Es61g0)O{y}DNf|K+NnGtW&dtr*(VFpFN zo@^-C`Z`eI#)J1|j?l8E#scJ&YyOj^j>U>{e32-e&3>3xDZMe7)EBC znP3(q;Yx##ZPyZP{iB4S`l)y_BEaET<_Lo>v=M8n<2=Sv!)=bcmd%QIIg1w@vEXmNC+kCHMs+y*0rIS6%&soV(!j_3>OhQWbpqi z4o-sxhj?%qVnhEUs>i!9;MACVeL>V6BCe(eN2?jzKK==`9bDr~1O75_qTa{BOIQkk- zf})O{m1!kLj65jbQ7R#3DED9SH|!xF71ot1^B)lo=1JRZG>;qTWL3yyi5*RQo}Bf1 z6KcA>2do5qA6lIeKCnB@cj0_c?%?a;KDcPu3#%Ru#;8NaQ1bCtDz#f5BIk?wdD7V^ z5;l4_`*{_EQ}BqA(C+=Oi}J6(vg4i*GH`I55v|5x1eP9$b!bX5w!saJqQ-CpPFj(? z2j(!qwbkF(cX>uKsiVjCtRt+=-9T)cm#OfIFk|5vTtuRCPa`9uHEXrB1lo>jK)u3f zR8prFioE8HeaMaq)pT_hO>37BBoHsL6vAA4pWwd^bpZXoV3-;>_l88d=-<={Fsa?f zlQ5lM7bb=LLOht5LyiCcidz|JuLw8`>&%}gWEcCWOKLKqL=dL;;6Wwm_!7R!d^V`` zp+=g9z>m3uLm?6cX$!u4ItzZ=fzaUgc6VIsRj@m}{$%w0XT8Dj%_x;zZnXWOU!V{L ze>LLQ8q5a7uc>f(Z5*lb${qL^7a4z!on?b9n! zn5v=YTJFOwzYwOUWh|0uZm&f29+qh;2hfP=UR+jab0DHsUR~FUQolq7}xG&zjr(ZAr`0+r~&#vSg0dkRw)^hFKf6Sgm+-yM{ zw=bQ`dpmZVyqfRDWl}P4Db#1$fxWJts|IcalqhFK;gUzygntaYRDiuI-m3<32BtD< z?$Y-U3Qo;iKCJiS2MJwMfh9?#50Ow~2K^9acBl)^6Y`(|OOpV53?G0_G&VWAdFKpz z3+vTb%jfQ{l*iKw=tQmX;nrIV zb^kYuf(bE9G2`yPhqSi?;$+fOq#$_uB+~KAz@eyF!feVza@V25Y&U=1@dCGhJpP*Z z{W1C+{TMkX#8l3_w>s32fN*=i2>JduLwRQgai~#*co;W)|Z;k=a1h5EcR z&hK&hwbrjYvnT5u+U5DR2?{J+rr0_dPV@!|Z@9?lxm;9c!DsCkg0f8gs8~t?R0CY0 zD@AmW85vlK0m8#5fBm)T>>qZ2J-FF;f%Y~M=?TBUu?CkW2@CUMOl&RVP2d{+Q1|b( zsHuS$$0Pc=IWL`Wy16+%)hQUxo^FS=N=hGEw{EGE&+uQs+Gr)o)v#)lno#mT(v#(l=sJ&UmD*Ab?%ki7`8L(uCiQb2X#d*g z?i=}dr5pZBD)vQdt4XHk!FS#b+ptsG&g!vK+U3rv+(8~#{^xklJyUi9OlZN?s*;IH zM$DfVMqP)H%w$Ved)HE(S#G7=6Qy&c1Y9x)h)vj`2AhZmwQ2~X^0vrs@}gQr8JFos zWh#9=kI&M&SE_!SAG@#6Dt4rTPoS7#N-m$=34tY!hnlJsoCh1qADM3{5==y|+k1+L zox~?7W$WmvzFswfnozoTNhhlksFrdk_@y4uoq8n4USkDZ-Ya@CPQFNPn*z7-8rhLc zU(zHjrm~edN!!7oJLlQlh?mDq-b!W|R|DA^@V1Qu7wp)78(}c%-+0n>juDkwniDw> zT~#GiZ-i|}%k<)(AVYmSs7wkWtOU1-*^m=uTaZ9?OQmC}5Iey(XZ9>g;ai-%zO;n- z-6ZT^ncZ8X!@OFNVYgD9H5Km9Ot3B={G=w5yHkCvtV7!lN9^m^yo|UKa=8WqsV(`wx&J*jm*6=K;ePk{gt9 z)XP($Ad6=RFIP_^7+^ibI%X|}IF8tRw|0Uyc>qI_G4Ol%$f&bV{NQ-@_R**R_%H%6 z)z|0g!lmUW@`86e3f0rCDvXmmX;|hS=G4_$t z@nW!nW&fN3n&hUgYk0OV0VRX_*Xc$si4hZo_q!>zB0oWy-@lj%9xVMRj6jr^NAPkIOrb{EiQ|i2Uk3gID|fa=}PY_o5-_a~!QPt_`&uRBT= z%WgtbcK;@~gHqgS&Hh)4vuNze<@D#KU2EZat=Oo^@vKm2StG~zgH^%se`#=8=Wtdi z@@!TtGJkrnn&i&t{YQREg0}EshS6^Zl69fp`*XmIbUtxF7LjuHwLq4Z8dI-}jge1B z%X))|zZwF^4GIB=qH&#E-3JpjP;+uMR<6!U!)=uAoO7NXHWZp+?#ck25an4HCs+uc=H=dCUjyda0n} zA!Ihwl`UKTKP|Sv7cbj-2cWdKZT)Tl>`JL%p;rwC@fA{vNO#}JT7GQQZ;p5$<)a_} zBf^HM5e&92xdYt}mh$Ev0~(f!d$S%`jWeF@=H{av8y96BM&x?5d4(Jgmg>}MtgYT# zjrk7^OLgaIcKxJm*V&r|1(O=j6L+$GA+C@oT7`4g`_>j7%rc%i+KS5;xrkbZ==m3n zW+AUy`4{q5Ag<=tbDhh7{O-!}(YEAbr|Sl&ZqCL|Ih{`bzEJ)!c;C(2>sUf*S@#Zp z_7hsj1A2IPOq2>5$DGa;+WvkzG5Yluf$A*lq!i!jC0BCM*4>yoKjXykqsYr#m9Uc_ z=5S(H2h*B|Z0arU;0DYj;FfHE|=_6cq9D!mP zQ)O5w!}AOg>YhP)rd+sl{%w)b)zwXi+TLg{pRk#q_jHn?MA$HbI=ep5-)`o>!WTMb zUC3aWwK~$i)=O>WbN2df_3ADUC37Zge!1I%H6I}S?ePIG$K>WDMFdq+2o6g17^GMp zaYR_#MhfcEo&)Lt^D}ar#-$IsR2g1K#W%rTeK1?E#5DP{ZnpEoMJsiY*ck?1&wrAm zQNDGy(0c|-S+2!#ISbyT`K-UkDI*&Kr}KU414p`nt^xO#oZqD$>$F}Ie)4_(P{L>5 z^qQ34lr_43l@;0;keu!3xvoQb^nDrcPH=i2-?o1^$9Pq^1CJ(={S`7xl-C#>C84(y^F*LiS$T#mFSR||Po%+jnhfJAG1 z6E}MkSFJt^_blVl3{RXGXFO*3&c~mFBJ8_)nCZE6M|Y2}uV(=_&!7#n*Lb+J7sw}l zyq{Do%_IoA(Xt0^3@Lex-tv+|*o?M0XmSIrHuX-+{cf{7ajUZYT&XgS(y_~&uJ81kI4**F;`Pmo+?=}CB8+5iO5!T zdNjA-gj{lJw%oE_%R|K0ywLfPoL7t<(ipPGza*i;F6Yo0F(Ag(O_mG@o-=iYv=iMA zR%bBq`V@eFy8d9BX*^$U8Yq|_@z)?#XD?kxAC_b-cQx2RCP{-el!OV#?Uz>bbtD6< zTn}GQWR2iZW*WBrp0%Zt`&i_GYy^pSfe_YJ9Qk;iCg(V4OOhuU@<2<7V%N9w_Msc0 zt0?gMx5ys{cY)t+QE_gT^}lnv{sx}J_~)F)1JyTt0x(5)Xi#@qg(lC*X~Pnj$|7nQp}#HM=zA3!*fc% zJR4wd%2hco6QB0^d>LEDbp zl0T_3yR=EiYo$Ld^o)N-qsOmdAoMipF7U~mi6OUH4kryO zbg<$)N(t@CKEIFXQ0u;4Q_?G!dnx_!OinAuprjt*o19v9`h;s#YPz@D!;eAf;1ua- z>?mXm8iR}S;n*E;CTqXZvf$LE$0u6IZ;Ti%JxDdcSDK{S$sjeT6Ht$f{XjA`pgT}} z9Z~iPdXX<4Wxk2WcK=TO9Dx4d;=Qv6B>dsr77O*=o|^joh>990_vg<+aZL4aJRgxV zH@{m@8Y*_eqpVph#Q{eAXZj1LJCeD&jB&Knu|L}GZH0a2X77-$Db7!Heua#U-mZ~| z4|GSwBt(`TR0^gc9Pf-XmDHWJvi5uSU*{A^ba2%SBa4WVJV1j*ajJs|t^qRXjzTIy zHgHN_3kcYZucQZ3oi6H;F7iTBe-j?mf?UM~_btRb)Cm0S zb$YlUU2%o`>LCv0l37Vsw_xdIrF159_s?fz@W(ulac~D5OblOg!T50ch#-oVT_s?L z`Np=>P$N5+Xka=W!7K?b^k2ZAj^XffaI`2#jh4T%(O5d z*U*|c!B&hATkedGELI~H8gDAM4=Zd2@nEV)n$Yv*$2eVo1dfGMtmcrZ<;yAOM4nTj zIIb2nilZM{b$GEHdOV#hPt~!AcMw@mNj;<%OMiq*+yD}UHf)%0)oXl-=}(@63g58e z)40bjOjwG-rSS%n$~#215!1>?I|A6@ym9jo4??BVjd)~_7?hz3g+TTOrWD|={lV01 zrVAy@kpCTW!~1qa1tm$>V$dlEr{W9~)%lCfHzVMM^YqbRAUB}O=J4ye9^l?8Z z#)KzWzw?F98F|MK0wVYN^V_}l<%j8Lg|6)vwRbe2{MDmRFaJ?QQ2?h!6YHrVH1|_s@odaKzLjF*8*|#nVc66BgjvJT;+@;Aj8R}aP%nZZK_4|irKlwNSN5m-}RB!{f-4!=SStYl@55(%Sf~v+FSVY zUTXz)yf05jdcK9gcv|PMZPt@&=wF%loUmRq%leczIv~T2H0!qheYvFs9;Q=ILy0pSn#ZYs85pKEV@kRdD^c=43 zJBY&#I&v^NaBw=5!Wn?sv0}o(P*lP)m7AZCU8y8bmkZ!PE_#v5`X|e4r&oF{4a4u4 zz8Hg1zyjOhb@g?1^++>Q>&VFl@l;cC2MNn$M*&3SSfn%V@_S4vq(pd&s?t!%u)okY z$XrDCu(AfK$78?*fdlxSs8?iNVL#!E?0-0s!dqhbVH7s>3Dz(Z$*s`nPO=u}Swu8D z#F>|leF?a<&}?R$-?ag`?4 zMfSo%*x1(=2eIm}9u-wM)#_iiw-VJfLMtngDkZS&?8KcPE5sBXpZ@~RdtAyR)t1O% zRB3Zxfhn5o>-TDcvgDiX*w#c6PBGEX=|;RB3wy}W`!LF zBWpFbx=O<(SBGurc99q6uNQMI%?^wMqc9jWVo4v`A$l~Um|LkZ$xoX=yP={$4r(gV z-0u=jo>*03xMFER;uYwDu&tdMx!7VKRP}^<87jC`Skl`tk}DvmQ+0GeWAT$H7J6=r zO{k{S&IFeZ5)8c7aIhQ}1b+B{^dedD&jwYGpEP^{nDDGq_Y#pvMdC1v2cZ>vq!-fH zk{H-emy)0U0Hfo*n0tR+VcqYGeWESjQJ)ATJ|irjV-u9~8l$D3p$h~h@&%4?U+z<^ z4YV&O*_j603aIBh!tnpOm}C#Uj|FvNRzZCn<7M2YSns4WUovm~7W5xmZ?W##q->{w z3fK2Q%B_OFBHI$>;P>Lx%Nc;)72)8|K*}Oogq~Hh4~<6+cf2&&o*<<1HRQi1XHOr^ zS!9GTok0#3gn)sHhn=a;#NQ!hVGgll*+#JATK}i7LLE~(OT4J$(B7@Ww4NS@=gA7AY@?LxJ?qE~UVHUtmc53UCsLg~ zH{grvi7QY>rKQ-%fN%-}F7jSts8Arug+`fj=Z_YbX2Ke~KxCFcjP*bv*L<1P==Q#f zy4al>&q}IF4=A033bX#lsK$xgZVOo$Q?|N*gYYibEN8vQb z-Kfg539pWT4ljZy13$QG|Y5inbW%z_Go^o${io=qv>jNby>J}n)X~_ zm*Ou|4%h6y`fUyW6GA(`ZYTAtH1~>1(5tq*%d}eb)a(~Liw)P~P7S&Be0OaeU^&@p zJm0$(-!H8c47iU@y7c}r9LMWGg6Dc)Vg>zWHWgzA6$xhQ#1XwVJu`=LVXfCq;r|y| zf%N~7vxLq{{mYVi2fFPQiF?I=xMIex0kY;U!Ol*4H6Oo=W*(VF8jhHzp;*Oi^Hk~c zxbKYi$*Pi1aIcmzorq30Eir0;(RRT%%}Hve*({+A8)Zx)}D@Ba?|{0|?(Cx2BRr_Rq--DpE@ zi>tUHKz@1C>wT z`!4X^=Pucqm~ehMfd&}<>&R-w+l19$+PqR)52n0ueD_EX*&eNTAgx|+;&24&ICL{5is+K z0_{ax#|4`3^odCg8U|QqjU9C>a1a~c7u~(YW5kVnm)h)H%LdN=nB43Y-~qur#~9Vb z&CB;=QZ=P{`pBCnGslql`}fuT7e;94aS|)4-zJAYBXc9DdL?=;awHgFrQxJv_AyDz z=i~;UWES+{6wFxMnr>@@oe^`k5pP?A^~DU)l&p!VKXkGh5a}yH_nRL@E;2@aJ)MGT zcEV!SyozD`>~}hD+!)!K@=>$N=EbbsZnX+?)6GZTj^s?-5lWB{c}=YqqY8Ceaen1# zBf70u@{WeUDz|yfHk#_TP<8#onk{7ZR>dC8?ZLV$lXWi-JNX>VZMj-QAf5L?DoDRJ zE87{-SJT^2(`!g=00&grr{r>mRl~odG01v0PJOjr^JPQkvR&)MKMjOxo^x#hMdi+H zE4E8?&+HoB(PsF@51ibra?8P;Q>=2U$!?@sE(u|JCjp*$5xt6D3#vLLI7N^}NBm34 zq)$efu}Nj@%M1SDtjpQ9e(bX*Wq30j#Q-agWrkS}c_m0Fe90iV9CCOt3?4}bp|38{ z8$JsAn2qO|1GHLN(P?RBj-@*Kv|L)zacO4ur5kSWJUEV1KRsW=Swpy83A1*FGAGvB zaN62>8=e##F`QSuu2#}{s@v$h|DqbO^%YokHC4&zs^wVR?xd>aSdA4nt>2ov#{AWK zm8Q`_&vb&j|>UnFJjyGk*}|-MA*E4 z1MW`YB_DQfmo5a6`uqj~*#xIsy`|{{M0LC3ww+$aQXIg8Eylf=WN(6>;HKFzD~46-21Vnozg7L+<&ur{A)2A_!!OW|F|U7 zH@iupA;fLNTMGp#%(76R6%yP8O*(-Afb%;Lh7irO=#X524{lr=nS_w7T!3gY9N}`Jy)FvMo<0c;~ z(ABH_;LO-$2+nQRVSJa7l+>)(U6W$mBMHQBHtiw!DdZ1QMJ- zrt4=vO8J>sJoxZwW@1AsFTgLGozNPa@(Fv9gTC9NS4~qs#stW4#w-lqaq*XV-SzSH z3vL@s^!#Fmy>Hn^%wjnn7G_V@5MSCzQ3 zmh5rhdB&>wS&QfA#`e{wP!XS`(}PWhEDGT-Hrp6%+dphgdJpFW>`72WMoC?a;ZWgP zw5?F|YSq?~c7~NQf;n_*zkMVxL=}!vWh*T;`50fsAdqTg9Bb&*E{!GaD0Gsx0<}uC zW}{cUI)8}ZJ&MuhGN!6+idCu_(pb{Lmog|6rfyd0l`&d^2J5qFYPHCfBl*jgpT;C3 zD~L;MAm6OJDid~Ha~oy8Hz%Scvm1}4M~yYCNXa-GQ^C)p_p2ZVcp&cY%BY8 zP<-FadTuOxniAwOW%oY+Gq%H7J(o|3bxGPKVuNzy^+fPP$$1MEbgLl$W2oCu&_U8K z=7)&sw%UF>U#dRQEc0`1iD_})z>E9~Ji z-{n1qvjOI`%iMgOq|1Hw5{SohybbWat&#T+5J#rkFC!bWgWRhWhhs3+(L9{?qM=Av zd)hA|Eclgooj3q%mHW>dkjxc%L2t$E&q&?0esY!)LUvBt^|=xt)5&racdRJW}WcRb^vw3q864m@F0 zj8RIE)NRc*AWmtvd-o9UQz7z*pQR5wEfs&rD)Mby?18s6%Hycl&%)uDrO!R3QhC^_ z@Lha_39Lp(J$=%CpL4;iAH=o&)!p;_Y5YFd@NIshFWX_yy6r|}o2}tSZ{Fa1EKG=R zBEu7`LK#jEC+KlfJfIRb*J~U{Y++FHG8v8r*3ibM%d`wM>2)ob?6KGrg~kN9|2&U4 zH7+N}N?*V>VrJ?wGVtl`xb;gSruQ$|jrsn~>0+~&CO_uK7=I3Nt8k*eCqzJ(V=3q@ zvQ+s!&ML*d^M2OAfM)u=y{oYDX-#~Ciica_)M-ty70(ZPm)#~Vo`9U7*tym|&K0*S zsu+DASps9c)28eC?BXt{Mqul2Qlskg5G!kP1h%m8y;oEk`sQ~CY&MqMORDQ2&+ z;8KjqbmuL=mFL$y_IUx&e|#I|e4m(TMgRFc$XHaCl{Y13cX!k=^W@ZQ=4^3xOs7Q9 zpMK1XX~>Fc$d75rf#@`wb#6=t1W@1n*aSEAEbewE?lGt!g3hd@&YUFf89Aamnw6(> z=2eTx{K(IT-_2}P2@OqD!JwEy&!Ho@5Pe;T`N1JZ z+Q_1*^63QF2$8j#B)9!?5fBEi>U@L`TlW%!UT&r%axh&VH9Rf+zP0HZe*e z+o;tv;-Fw-Zbt*)T>O}k50E&W1(!H={33CR1SdfUsq&}|h!d+kr&hOnn~cp0WGgPq zpQ$*fW`C{mv_Rf*G`%;(1C@k$&~*xSUVhLGR$pdaEX*0&@-*Go`T!0**t!OvEuTO{ zqQmo~stgo{K_F9My3ml>;iRIXm5CYprdHZ(m(>{py1Xnew$eb2sny9?UULHg`&in4 z1lCGnfd@9SC;h%kJH&qlF(vx?M`f9VniI)&v+VDmbLIY^(5&C%IUuY4Zy7a$d|;Qy zjeJ}WPr`HQ+PfM>{&MlR%B1|u#lDq^_GcSoyW@HPmaGq^hqntZqe^Yt<(AGToeRcD zPebAh`bbUoPfH`FISoM9qN(9O349>lpVGBp+DNL7^U5&C+t{HMHOeT*kS=A`NZG8{ z$#4xEFnFK>uh;OqZTFh@wT8LqR! z-(FRCS7ZNWSC^H~tC(6Kj1ea-Wp+0q!$6D|HA+Fz=wm^Noe(>6n3Obn(xlUkQLRPy z3;#<9(V!Pbt;&f2`hMy_c@~r+L}6oCMFYv9V{jSGY|-dL zN%ceEs=F+jNk#dBqT(c5v(OL^2or;=16mB}1L{Z)eV4U^FVhS+sd@y34LaP4Ka4W-Yd6Q&UUG!D@ zZ=Hszzn77BGAH{@%%F!@O~K?>rH%(AHb6hvR^ZRTz}dSb8J%dXf5l}Ddq%w?jlRG? zB?fas?U34s50(eHR3wtS#QqCDF9BJH|2FrBEO1{oY`Lr@~33 zLwQK!zkkHI=cUJRm#hv_r{B`jt>l6LC4rKlGm*^4qNgVh)~l10x;? zI`nw@>#M^bwtGPef`Sb0uwcZtI|SYwj4WPRXo-j2w~BI4Px9E_#Z8*&SDNZqn%54G zI%7|V&LO3W1yGWO7nfZ9m$k?tPA=vV6Ev&h#|PCGj;hcNEH384KVdWvy<79Y?HUA| zRZsotbtpHdE+%}!XSrjL!{;R7AS=9PhkihO8s!q!H>gstE>?*jVwEK?%_`&7^2r;S z*3u7)XIIw@isG<&JAqQBI&o{+M=V{hIC+e>c4#&4PfB4`VB%1-NoqV;0-2^hOs-BN z1Gt&jJ0B)nViO%}m|itDc3w^OYG+0>`Nso%*}dZd>3UC&U;0>v+p#W=_FwT8m8j!y zLt%Ff!ZyhxI$@6t!cGjrRt>^Ho7iU!!nDjqpb9-{r2LzRM{UMwq8H=cF^gAaK=c(g z^mG+~+st`oZ7-K{q7eI<)n*O@8blJk2$U3IwetU-dG%>(RMza9=*@{?-p06FBM_3b z+)cR}2Q{k6jkhJ}>Xrb==8bbyy};8bT$$ojw@VRZzrfR-RAL-uFMZx)Fa9r?XB1N)f`h)m6_yt+j?O5v1$z&r?Ba36B*?Mdze!Fe(2CV{cKceYg&K~mfT$W>1ew! z7YErNqXg$B534LaW87uj}j=AE7JqcpX77tMSfRyBXM|j7&SsLixEZQ zNlE*{5Qv(r^Fd147`V3&Ll!lPB8DDA5=MzF3@bY{0BTgnj`0eLb+{?>(yM3SSrW4s z6Wdxz#pTWXEPo4UyZol%E^76QW2(YR3h!`+<|r3W-A!8E?Jdd2N?~$Qos5Clg}6xN z<$SILr7r5{J}tK2(DX0F-S@}+sHMU zQ_M*Y|DR3XD-sTm}F0#tV@m zJRu*mdRV8?{_RoY_E}YPa9dj8i!%u;R?oK)kO58oe+-(3TrTbx>(sey=1RA>Xcx!d zG7&Wr-+k7RFd7oBU-^heOi6aP*Pl%Oa`1n3BvTUAVMIc?A8#!w{%{Pc_9Ld_hu0Q+oZ%mZ`IQ~# z_C}q_7UqY*vhjdM+?bZX3mEnffR{&3{(*lDJH*RmFN^T{D`^*7b+}r*Qd!&A;%79r z;%8zI(SwMG?MMef2>qZjKKy8yfcR3UV;WGrJcg`iM#MitZ6~mH_070Gc6V%ryj^Q7 z#MLbBi@8}^`{=`ZOAeHQXDZ2Mn9yJ*-ie&GROcQ*mC4^KH9H?q-T2AL%4){7(3_pT z*kEo+?L-u7DixyB5Zb()l}cJj`q4VasVJr03m zmvlx;SD8pQ9ZJUDlvmJMdJ86bZI5idv!Q6LbIqOfCHuCOwfjGA1exm4U++HY=o{XD z7$hmot=DI$R)-2%&q3APEdB&|l27IhKin&GBXIbHk;(;1d?8Xzi?6tsUYVglpFoR3 zHkO+p!EmNaa8@ZWYI9Pl;C<=g`|ZqPXEYU?HO*Fh+CO5$Ov-M>yR|(rQ?yxk$j-tM zHsLJ6;~R_W+)cfc%8A6x1dF_DIria$_4AMGf7#vv60 zl=TBOOmhV=!7UZUKrCjkpcn)=@?HJPkE7U=$^)-6qBAyqi*50|6f;}fg^bdrexkC< zi^j?Hg)%ZZwT#1?oXvHjw6N_HI{A9Jh$&8=z6t4pY$g>QWu%2;aQaXGU%}kF?r7!W#OyUmng*bPR2$?3#X$* z-%7yIALEc@7RCigMUCq+2?x{nZ#qpPVj>a0(L%6)JSB9T4LkaOxP4~|5``#+N=Mg` zHDL@^f{1zG=$72~>EPeV_iS83nRl~Cxi$gvDewlK`g90NZ~WiKpU zvBF-g>S|S68=XT#oWk_((qAm~mr2W}=A3*;)j5ATWd=pvF~rI;5*dxId(NFp2>IDf z`G}+G6yKx)Y3qO=x<3=8oiP^W!P;OQ5?%29@At&H*-NXHgPoc`ch{ls)SD|!lUUt7 zg4D92;0>~pP~Yn@(N@dr%z&~S@R!wpOl$DxFqz8iA!NQX7L*K;Fx!#UB@*hMQ;7VI zJmUNYMFV^E_UCT&BxZZOx_Q-X@ZAZo@=G!h&pFYxexCHmC|`7}S16QF(Cs|QReY87 zNUKJ4Owk}T7NrO=S?eD8a^i>ZiG5N{EZ4b({9d1%jPzp*>R;YV{RVU{r+5Qme9IS_ ziP?Bm)t~W1wvG{eQ|7Y$4fox4ne0mF+-C41(JLQn={iMZ>l?aEw=x8%4{necd1Ncu zn!?`Ah_AbRdAypHn{Q|7fD0KXAJa5m!aSwznL)6zw!B`_+{w}H@rp0IdAitnb2Eq0 zX{(@-SAU0~{2M|0H!p6-9H%Xx-IhDQklN5m-*bnkCR-L>{UQfkg}HP@6sO`lSBGs2 zYvcg7Rj+s7YZl(_NEqtf{gR{By2;I_k{jo(oL%J>Pdj%n;W(v#VI&-9q7RQZJJ^wE6l(&SKKAP1!#qhOBzvSKl~&^mE+)_3^e!WL!}_$kb-8u>(#zJRG@a3_U+`OohI_!> zexHu6L-*onUW5Lwe}1&D)x9SA9bYqfakmF(LSeYRBctX@GQ800YX&f6ww==@UeXe@ zEscY}y8Z{bb=u{ZlGOQ>C6}w`ex6-M{~A^={hqpvdh4|7FaM)H zrfP}ZVI{4neQoef#*LE;_XN8HkGPM~?`Ld-+JHAHnB(BXg1(SGQa3FKas(Q&M4k33$lYqzih) zW9g}SmPC?SHBfs|p!BcW3{r!2{i`EywNM`8xL^nbdAR*xogYQ^Y}^|bpSFJbIePT2 zbs5#f_@Ube11>0SCQ>Y$+_(ZZek)i$x`c30#HYW`OB#Drc6hAL$#K+g6F zsIO836p%TM-XIzvSXEPXt-9@905>m$cI={@f@zCCu%IbrDMU?WlB@dsujGEU(l@Tx zjTm*K`wM>FJU#ZWFaKkt{Exq&Q3O<=)nE zs;D86&k0j)+zJvG7T$r@J3oT9*?^K+jr2nVI?;D5K4i${{3>%u&J;4AT!o z{6Xw<>%TvE^S?DFeO3Q{TDs?BR$9cBgb(KM;5Tq;OO%{c!Pf|Q$R`Trzh}yp^T~Ch z%Dgu^9Sv<|G+e;uG6|)i z9-jLnTdHbSq09&#bnFA%h|ZD7NK|Pyl5<+BFx3=jJ}@v-%8cN87E)YJnt=*o7@_fa zla+jMqY$)_j3cn#D? z2$Pa(irnEK7BY&R$j#eUTquIZBy_}pX}CcdIB350sH`YxG~;qD-Ny|a&_nHR8Ua5W!+$mY zKkU6_a2!pupeqNXX{5U?oLi%n@dAyAHJs<3; z6fq>hjue$uzg)Faxu&K63^E*oesiV(a+#C1S+|!Rb@{g9Taj zl)X9q>aVNAhdCE6lz-9QLDpw(XN#^Q;qXG3V-a(2BJhonueoB)S4D z8ZJ*__Ymr+$;YSo(T?cJTdo6BGK3UNh2l>(P$wM~CE}bx6ME=xLpBh;uF~I`+upL( zgyCSqBbEN51e6prn6)zH0@?_l?&QfoKDZ4^NXi2xfG44WazLlJk}_oOE;QmFU-;UE zzNXD2c|+8a4J@ZHtT|^$D+xYd1#OduTwnfICYaXz<511|j+U)z^u5QRqSNeVJyL&I zzrz0C! zzYi*xoV*xx3>_A2Ip_e2!r*Su`5%=AHaF-6A+ANn-hpzMGiI-oeyQOfSD(LFTiOa- znOz6~eokAq)m4bN?NHhuya=_N9H&|57%?GLOZ;6F-eS5m^k7vJKK7-dt#vrq`!@e> z<~l{KvILhdr0rQwR(s(rZnQxZ(L-kp``aL%7?^~KMl-cSTKkPZxW+8p*9$S~}(1#gqUtSp5_Uo6;}` zmoHoTqZT4jCTU*h)bakXclZ49cDpw`9tGH6`&IF|O;cESMr7`SIi0~T-RhYPBki4x z>CosCO?yIHEHJh+fmy`}FJt{fOl7qoA-S1`qKFZmR(W98i46kdmnzef!puPQjr=`c zrq-;B9EB)`EYC>8J(vPfXt3Wg;$-?Df>xEzDNB$9FsSOkl7Tj*61tjXy&^hF&9ZN! z>g6gfDdixuJYP=Dq%5DNsTZ?|E4^SIS~YpqC^}G+D$;M4S9BP25*j7fS7FBP>T2Z> zFiDX$Elh32bu|Vr_f!iT3N|zGx?3o(+)BCnHSz|n1|ZLukY>)tas0&qY;5ND4=D?& z5UOku#&7rnSFNi6`Am+hm4B(3XsK{2B7v*twbEX3-e3YDIeIC)%)3t!TUESF&L|5+ z)-eP;xJ-9+W^)RwMVXHDpLyCpj&1|OEwa^8#rh(=8Gq-kP8(RD^Xjyt7BnG{=~#B* zcXlD(Ua~_BLoflmWbel3*2}M7&;{F=Y5t_9NcPZf;tP#@y-|E(-j>hV~xofjyPEQvLAaxxoH&>qRq#uif`Z{OOgt|HrfTN9PH<}anShv6(b&l5+aOmU>wL$*0JLH3bLUS#U^IWhX28J|&= zQB|ar@8pvcOyRg!`#b+1_P>q2{rSE9{NYjY_@uiH)cCULnynA7*rweZfiUP1_Pe%| zYR$oR2l95i6V{iR({k~e1{6VO-aEj>%-(+2a75jI`lD7hRV)}Hrj8({eiW2C_l;S7 z#BI7{wb`;g=o%jNx7)6+D{C7nKEeN0ZfjTmk3m&@lKFJIG?3d(_3@_ew{)X*MyH3N zK&3e7Fbbrs94@o>cV52s3#d7TL9MbyLCscato9aZl;1;JO$9^EWff3$`v(dKqO9G0T;n+x zc6g=rlQAO@@?#)gy7Jt8AQ1X|LUoPryb=bC>f@lY2V(dwCnA+Ug}#?BE;@^ z^gDbvLuJpBlaKbyA>n@`=pTI!DXE}fC;s*OGHt3bq7B*Vss&}S@wmU!c-`8wgQjjf z+heXCwi(@##7s2$p85ODd0_L4YpS_sZ@IWr`27NUXV=8`&59$CU|`|!vZgWHy@mL; zf?~7r#0o~_7nuE}Rmbz+SwC+-o#9y}@0Z(8xjm%MRDera6@Yrxu*MUB`L{O#dXvKG zu;sYJFsI8OFQChB;3&P|6>|g4W>v)Zh;a1UbJu@1?%;|4+QC-(7C751tauA_z9*ba zlXeaioa_!YdG^T+gmGNqt3xdoVc?DivN-eayVCwMW3QeAJ_%J1%Bc+j-% zdK0u)Lm5gM)nD_{%0jg28T$&M?ODZ1`U)ZZOI1hZ&o-mUns)JKVnhjs1vPV6)D}Kl zW}Jo(*>Q6SCEHl}{T+y76LFC{a5j;#X#iBDXBVoWI4H0Av@h{;;JeeWGQXl%fmv?b zPyN)V)rM$S@hpP!DB7;*#Mr(*e7@Z~COG#)tmFGJzbu?~_|5%2qG#(V7MDoXO-t!& zQB|cd1d4HI^!{&hT&0{VeX;Juz1hSUi9qQ@bQ+6PYk z7y8u9Or|(9WX**cp4STbxDqg4+f4Yy(#jDwZ9!K1^xX_w~? zE^{H;bc@=`;E=D9H1l4pYp1TIfzDRhQCf4cU{j&KQnFK?Nc)G+FPVDm+2>xwNu6ZJ zpkw>0(N$R?(D&<{vRhcZ;o+~wm)c--hobdgb`Ml8qn1RqJ-hsE=&1 zopnUc$T4Lgh>-34X3*$`qJbG=VLjXoEDP!SQ2GrIBM^BoS6#V3nD%4h2R^c#a=$T_ zJ`drxx2zYN>PlWpy4J)+@Q?(G2a3wUr98v^$m24FRe?A^jI^(ALj(48HW}z5e_L1E zRdz;#fxu93e|)8`Vmw4FSh|@y!IjhLPs)JZ=aodHvXRiE{ND4McK78AfQn8>ulak= zceq`6JOEYGdDf`B4ZQ=Nm=PDl)4t0r;SZca+j~sQox_tH+E0gInfR(dE`7MvURvFq zUyWElY`;PwJeL#RmZN5=14qmK*~Ub~?NK5}nzZwTOfF z%c*S%G%Qhx)EST9L6Ik89i{d9U(N$oD%cFI?X4V^Pg~LybxQei0)>SXTg+Cs60JKZ z=XO%%JX_509Y);(Ws6TVGUY132J+5TA*L1I$Zp)PuipwQa4q5wKw%K9;0;bOLq%;* z3ThxM-DftfbJakz;H#=27~|oWziiOhvxd5wr~SZ0jKDs-UD|oSIrVi%A=TM{jMO+p zDOgYsIWnVqL-K!rzxe=yUYRyL1J9o0`=<}*GVgj#t=`#EEW%g~MdSkR*qdyF3j@mA z@%tk6EpF#2<6lSnPE#1NPEb{Z)uhQrP+#0f?%5_!Eyc=*&Bab;%*7ZyGZLabG7?PO z|3jwSG7_eK%W0Er6tMsFnq(^-u#IxAamC!~uWDu-dA{*`6D^U`>s&l7Nj$IZaqXQ zs*J)te?l6&D6fy8a|TYGYU-fViiu<~Tk#M+epNC0g zE1+mM(LEuVHTkuC`)&O+?Qw6s2FJ0o)XKV2FFc9p$6^ixB_Q=%p2jy0n<9zA9$Te8 zywF848dNX3Z*1UNf>=vQzppB-j}O5A9^QZ*yLt!RwF-#5=;iRyYI>W%(HlwkHG&1_ z>rjP?oSjnqol1s#wW6C%^`=ubuF8C1{D*lE?-E!Ja5CfAi4tAA zg=Z*8+J&5lN=q|g4AP*Rxqb`m^7mU*eTw^8F`rMi22F|Ys)IHyg<~^0&dx7!`kP^< zO4vZ*_biKsf_WaAzQjbm{;Cl>Ah~QyL zGj9JoR{uNX@pvcC#0Yy+%5OQ5P`X>^Z#*ej$aN8bcE#FuK)>q3>Jk~WTWoSSDgnCP zp?hy@EGBFUxP@<2-buZ=)k#&77X?i%7(~;ec;VuW6{eMUFvQvyr3y|8K3QP>p+C5F z>7U+99Q14rzdw{1I*D!>GrPs(-&1AusT#LW*z${X)8H5(+U2ZxSIk$7-iqaZ+hxb@ zGBjRIHE=aH>TJ7XL8=e5#ns{hgWABQR_*YNQ0e;r#vQ0?`|ZpUnus8%P3Y8o=^b}9 z5-kZnPTEd69Pwt-a$U+A6sX|D!RJ^^vf|A|^}PLE!7u;4-TUuCF;&lofQRuG5HxW( zY+61*j(E#aUPUCH8}-f$vMT;}j~rhxQZ;UEFBT-%ACn$vO~mExXmA2O)}BnsCDkpj zEer4^cgz`Dn=0s7IM)0oK4a1B{x#BW*jX0gUZ!+CJFxnZBwGGnQlDm%JSRJrJ*-gZ zkg`c_<~vg4=s~s_y<=Ypg$3R(K8^CeGo_rjR5QNgzY#d;a*lNg8ye)XO;IQJ6?Z7y zBsJe-V@lH<3oYwfl}T@KrZi-~1ooPXZ6<(Xc9NxyPVuXS&ECnKWZ+87v6rowpn8W^ zFIo?tF~|oyd6(vEC|b|A{l)Jr^Zi+J$Od$8OahNx4SNSd`M9I1_hxEe+MW1_Std0M zOj(3!E+lr4XNmB5CKe+k}WS1aCBz*g!saX9FEg7;@5e@CDXrz@F|$sxnla=B*}5E~~> z^wA-_$v(9lUo3S`;C#7#x_fyY3?P>B<^u}o=NNW-j_n9^HpdZlJa*{&=TDa1j$1pP z;EF>A=^RQZ-7t~pf(^=p88bbQ2Ej?YJFO^LK^qbpT3Y?MT@i7L6@;y>JB*}f+UhL4 zcK`LzbTRw0+WwZ~arNiro}2o$D1!)MlHe|J>3B{JvtUieRxItA&k1NXMHhR%Zmm2NApn(g4QO`zRn3Dgk!Ug8FKm5`t9-t%1q49Gw~FJS(=QBC1d`v_2N&#W+&N%R_?*`u z2Bh61z-Xa=Q!Mm+_K)x^V*zSPe^BqOnOhCTO%Y}L^;(8loITO^gU0;FLTwTuGxG5o zs7y584<7dpkMDuE@AoUKH*FeQF0~|1*z|AM(YbtsirKp*`%3_XK}DjylDJynm?nm9 zQ7EA)cf|4l@qSQK_cAjLcKMjsHAz?Jdluu<(&HTP@R-dk@19+TDYbTV==qDMWvig= zl=?1M#k7C&&Vc5ky55U6g_ZF1xt{A!l?ch7XbAAp0+f>240QE*QzoU_kg8PDEBw)! z4VV}QH2hwZPhe#%N899I`d;TQ(XNrvP$GHAc8SrXCDa0*zpYo1Y;3uAS0C;NGASpd zwx*3s66!TzW~gf`RFUGf%mMRFzLA;JEFyAnvRtQs>mDI2p^RW4Q%hAcFC|nmA5hKW zv`|5%VRLTxg1`xlVx-rkk&eH)A7|BS@gS|e5D_!l`0~A{O&%dweT$6687BG?Q*f+W z6_E<*y&bwarssQL_LH{^zc)N)Xa|``PSm1xX$~-aIo(L00hy(VLKJdjLKJ>w9@Ez zSQnx^hc&mHB^y2NoKt3?dE~U&dT|vIF`LlGGguy6rc;LSS97aA^N+77`EY44$VDVk z6#h`eE<8V%gJYe(U#K=TPfy@WFU9l@@HnGx;L63!<;K|JI~&WjvRLThvNO3AS+BUYKZT<<6@1m%rnLD~Q0UFaH6 z%^1vgDuzNY8Y^R8IE}5Q@cDSTehzqkd3YA@ZZ_8vxz*u1%iDGzD<~G^iUvi4J3Mp$ zI_HWoZ;9|5PeErp3V~3b5l<_98|4slWNtdTDI5;Fi=_`Y!^c2oqd02SsDlxy zAq!A;nlQ}clIWqY5`yC$RT@W%)p4+LbHudQm51uVKbwHRD3O;U4GREcWixahTB^{5r>#pHlpz#g>V*ugDatk62go5H>QY7 z*C(!KjFZx$Iy?Wkc0SgMqCWhx~qjCybzS_C^dWr6_Is zh2P|%TG;%IU{kofrXalXG|~*I@G@lp)1yFrR_M`IgKG<`&q|L=jd6@UUjZ9_6JWC= zW&Xepl-~ID_snukagkf*%u=j3SC0ylrx%XXBzXM0);1d%_8+-OC?<@5n;QcVm|=b9 z@W_Y5O8~W*DK=~;eRP?gqtUbMQ=imDDTGp(MgqT*?pGkBKM_(EX&MZVC{uj)yRzhz zN%a1z$ib1Y|7`H(Z9~(#$oOd)kK_GsTnW3 ze_>Fl23y&FH&bd+t{FPV<2w+O*%#~2Ek{;#U|@GZDjtX4cSZ*7U$zT9$AK80LUwQI zM!OZ^{R8ER{dNMCA@0x<+F`pb;?H-v@Vs3kX-eb94J7aFYw2W3Xn-|PK#69SLIr(J zYv}0eV3Ao))NwH+3M^q7Y)4?|r6_7ySP7jPrI$e_5y1LD@5O|%E>vm)%9h|-01mI(a4aa4A@`#2I}(8ZVox6#<;Cf++<|Y<`YTS(CnsxzDJr{BlR4`{g3%)! z^zUkuCwj-;pbBNy-pm67xK2zVSUdeaxsda1EyH)k%ah4Z?ZFo!itjz==3L{RFYCo^ z&KY-_i-X(x&^r05MzsOTgW|E~P|f}|s6;CB3fi1D)QtE|8s7?gzf`)Xy!R!KnjLU* zgex{)Bc45by?z9IeAHj;;eegCi(n0$n8N;Km3Say3yVzG@X;oeBmq;q0~fhX95lnp zGr>nvGS&y9+g~X3C944ogAu`*w=Y2B&B;BkK*4`DYg;&*?)JZkxm6LtqK^vD6PoR4 zsGL#CXa0(}a;kx^!q;VY^Hqt}1DtM%NhE(=O-;_4FzqTGNQmkqQ15_xT!emvCbv=gnE%kvWXS zF%K%+vTPAXvOgGck z0N*G}054Ik0QIv%RdoME4YidI3uKx?xVYm->t%8TV6N720*ublE}RNlIGbn1peGVJXeZp5Ap?T`uZg zwwXjlJ??%iNg+<*R;@M$Ly}J&oHN~|e@q2c9D~Sn`e*u&ks6LE3mK+3x)}8H-O@hN zd77?EFe|2TC)6#RL2#+-kXc{%?`1_yaq<|JZXB9MPW(X?Mow!%woXpI!KRB6tc-}U zd!)FVbIxAUE`kF)$Bd)yj2*4LQE*VSwOD(&7gB6QKa~8=NaLDK2_m@eIqr~`^a(rW z7je6n#*rg10TNs2@bX92G`YU6t!ab6TSlqt{p%E%E=tJKJK|1&9v^$2u1UIn+`$c! zh>_CB4QXR54wTap1bi;CBO}C@&BbhWtPa zY4`PW?-_&zIqfB`k2_eA{OMv>#QO)Cly5^mxQqyH-^C*c&t67EDI2)6{get2J9-@| zluf2t%<{(615>^*t6%Z+ybj~w`gJMlhmpLegZjDgQv`ufm{?GlSeZn8I(+v{8~?H1 z`%fv8;vFS~{a46Ac4B>XfI~ZMwa^VDRO#pT808WXX4TkF5hm&rzuQR4)@on{9*68v zBGHnhgqvP*#XIS2U4UZnf#9TbMt}3OU*rJ~iX(!A=ZW(l_oe`DMVGrG^h1Mi44Q>e zw1_q~#1b&gxP55kSEkH?xJF7|(V#ReZ|tkeUW;2os3o_c=OJR@6Mo2fia)cXNU>;c z`=8rXw9Fz#A0gEwgu&Z!J(NK>PeG@dQd|@kc3AC}Z1urz9EPM_Q6uc&xL4cI6vOE* zg^8Is*NpVxE>6j#%2LwGDwFI?CgB<1Tj}+m*uKeT5?Wrvx<&tVoNlDp$`y%q9pHFf zG}+UW+VbSyM2vP&f_IR*!2*0xb%?@&Jie@R0XGTO$q&X5E+}37Xf-3-{HDBA^Q4E5 zwiQ$!P28~P+T4)z3!|Bw#Wib@^Fn7Ooq^vp=l<;W`4(V6b@}wp>DfPs0AM^Mi6##r zU17RN`sV~%f==KZjNIH;8ag?im#JMi422(}V?0&#j9i5Bj9e`8`wtoPiCjc6M6Dv3B-L5k1@{>~8`*BlhUmi+=E?C4 z*`#C^+TXZ4WTF@?ckg$nR4$_RbLx`|!Lab?r=`Asseq)4kY9EF@ynX)?2E#%(c))3 zExk;(+3xigp0c)=KB3|LlydTqVNBpItNA5Oml;rj%^c=(6PL_ANUdrSr~CmAd-qfD z(QV-UerZE*zMY(rK>gKEEoGK4HG4~HseP1*055Rm+^#jCK5eW1=(G$v>5d~u#s+!d zx3qL{OLfyv<-OYqWwkOJO!abnNqY6zf|RG0jwmox&si)+-&y+#S80MbX7a$LuCAEd z%Z6NgniU<*JUhc2o;1wgnYPa%)nPLQIWqK5n9NCd)$LVxyAfr;WA%-+(6 z7Cjb0{-B!t3+l|mSvKEvOs<&9UP;3IlW`)xu-xski*l=} z&cznHTA-OP4VZty@TczHb;KEs|1T>{#u%(@pc`?qP647;%Ne=SV+XkVG{HZrDGR^$ zf?aakVWV8PC*uideen0IuK~Ht>(Pq$ z7zs%ncb>cuhKF@|gf{!Gud(Ce|0Bt?GX&h?MdzaOD9zPVw&~KL>|uj(p{rd|3AqJ! zYzJGEcl`@Zgf0N+^dpbZ0WGj5wWMi z6v@YLeAAdNpc=m~aA{5r+<^Pkw8PMYsUx7lTC0HZnz|h1C7B{MAGO z&MfW365=>f??tPtC}RJTb|mz-6bTmM5H$q8&E?6&^3X&|br?$7a^!ER^9(cjP2UY= zakD9gpS^^-4^Kb=C6wWe>9(27pUblE!@T&@V0LWBf^RvwQI{HVw6dEUIzjWTGS_NO zlj{y8z~fGS*0MO=Uh$!15>98A*baNQd1U=R>Ow%D zq#oG>PH_SK@GF0YYs?0rz9j!?b~SqTty}B@gR{OV=ixDa1+U_g)}(jakj<3po>qYX z$Squ1rfe(aG?HGiw;%U3(%37suItjY%ht4tqVTY`!_ie&T7RAPi?@Rj39r+J0Jj_U z%B@2V0U#l39i*TEts9*JybB1pZpw|e@J7N@)Dqhav$5zK=9Jdzt`*34a_sww( zurjzff3NY4bpkW8MLxu|9ypL+CI3Q&73bg7mW}aUUF&tj5H3O@<-$U8$`%rqPU~XVKC=o7bLJ9u%b=n3+-^L}h zMa;vg>_Br-($b?j7>#p#l+qT8%Ro`09JY(y;2gFqCuSpU=(9W{XG;7%B9(fhVJ8pZ zSm~Nsu}+S`=QBwTlF=P`h7*NXO9&cG>R9;#okV@fet>O?Bdn8A(X`O!if%WCaFfQE z%mhLg@^07O6H%+y>_{`y)+fZTe~&MO2+uMl`kZb@r*hG7EdNqrqDA_);H!#leszC( zTycBf)#*J%%Uzl9ki+4fxV2u|!B+e<85;mep%#|_%MH_SKQJTkq@Ue%>$Ze``7bQ& zM2HGyV{nEnycie013F}Aq3I5_$|zjxLC;+iJoSq8N(B}^;;9SKc~0A=T-l@Rm7VGv zAY*qr{mGT4i!d-p^869$VV-(5%Yp_jU8^IQBvwvU%GN|^b~Hbs4>?{wJc5VxRi2%;cx0WrbT^_5<)<~b&G`g`;EqoY@w`dURk1ljE=`VjlK>r$bnpB>`g;UMCahC*^|iNO1k0Tvdi z9#rt7j-|f`mcZ!6IU=r4`;y+&KS~914lMqBzKqThw}__9{WIgWy2AGoczj+dP(Ql; zvkVPSIwi67Tez{}v>NT~>5?EKW*=r?%h_pA7HBgfE_~%OeejSw5jUzY7I*T~cIxI- z1-0{Eoo)~XjSF<0K`NNoH;ef6g7B9yQH(M;DAvNC2)u)|j!ylP!E@5-d-KVLWk5nn z%#Yd}z!H5pD=~6A)P;b-BQBKF;kEzAQ`fDRdjqRpi=#0QUVl4oxh)FVDkbRg&_4y! z(kBOBPBwBU8#cWckBc}F1IbN%gpZE(3DR&GH(|*Iy-&2`32j$d$y0I8?&-qyjH+Km z&Tb*Ir*}AU35uWsNn6#C^UKWMUHJ8_?1%x1Gcf)!I`C+_6MFOBXQz58-cwNK z&*|vjuE%KqORU$K#!5Uss0k}-aYfW2;*#MUmJ8pM^+)J|E^g9?4Zb^_y31?ht)yiG z^5UF7a)Q=*4F)T&bm5S|5(T7^J{TJ?hl6)8p5+27h$C?NCtYBC1-r#DZP zB?g4lZSfQ8I0dE0+<;WTkJ*{sjc#6Iz7+&wpIL-W-$0%P?W=a=fURCt*Rgir&NlVm(rZM80 zHyZb{*N)t$&_x|Cz;q*AN2APc!#Im&9&mV}u7^g@p~bDh*SW`@@$I?i@5Rw+Vd3-5 zQ!2c4djmmm;nRIJ)kl?I*^dBBgBvK9YZL0*Qwr=K&*f}FAR^K4JDJ2Yg?MCYKYA4% z^{UG^Lx1=4Z79uZl906)Jz+qL_w$Vi=I!=hcthfH5?BRdJ*&MfaEPD%t^g6bF(mQ0 zgK@}ueTTl;N*K?vt3lDsf&6C9C?PK1-Ut3<-hq~>A+%yfP@^ggYDtGD0I+1n6OgR6 z6b^mSzRI3NIC(GJmTHH>gk9Kq5eHq6ahWk34qXs*c}(z!KqY8D9kJ%8s&BNyPB4IT z#*<^F4vyy63j~Ie=bI!|o*kv0;$LGoUbyd75*uYlwt8uSX_o88iGy-KzQo&i2S%#j zR@b=?2S)B3E}lQL4vpp1T4cy@&prIzO27RF#WGZ?6SSDTejfMN{Y%4{FUtmk3=LB$ z?roX-le(Pd%(mlB54;M}P{nCP7c>qcQw4qSykhCcdn)j?!^iwge!lAD=67zuxvL=0 z^3g_EWha9utN`%UwVKq0=n0*0i>5Dg8TsDXrz+qQ4F)4E^bgGf=8PxyO=CI(zcA1_ zKp*Ydl6)N! z&QrF}Kc)7Y`vG;#LFLv|S{mnI`jV}WA#n2<))^|*O;a-n&exsgc3069AKnx>R+OojP zQIHAJEbyA7aD;I>B2p2WbP|M403JeR5J$-7m<$n%71>_DC`|@^g?3oywxqS;VHqU( zqVf-W#gh2{VXtV(y@+N0&0ZOg{KH-u|HEFn!@=d`MIkd`)zkngCPia1*V6X8&~K1`XW*9BfppRes#TgibfJ->%F^mvV9Fa#<|cqnRd z16RXiNexp>4X{EQ$_ZQP_zkh@GR-UMt3%4l1tx2Zel#3u>^0Ky_%wE*3F~Z@)9DDD z3Is3t{F4mH^w#m@9LZ^!CZ|LllEGZh;yu6&Fuyrh@DY7{nK-mf)NL2gO zHygV{&mQlER4bu5EMGsLO=-~Yhd9M)oI*wmr|Pre;H5N)NiI?GhF5Nnp$?COI;0lXb1V?iG4GwktC0U5 zZML_%hVj%9I?s(2S+VekXVc3e7D?TcRFi#665y1uPAmzhk-wQoEt1IzZ3$L!tGpJd zWBp{qapoc~3%PZzG2D!2?l$PCD<~+cbHP*aV9@ccPe#?E3)Z2XEloj>g{TpQvqaQE z$QRizqZtJl-K*&-CiXQH7yW|ynmZ2pdm8xPxvZ1ldcY{k${-@RIS4?wO$H)FT}{$g zepRZo!ZHcngEG0WPKOL|h00+|`&M?Q@h0^zURCH`me$f=hI{0(X#UM)EvkQwTnt(% zZ$?5g@F(XhR9kZ#Z(RTK!ptS88NtPjO8_^(jx&$AoV_!Mz zCKF77lqaUqv#hni;p4)%)GS@-tHqRx^1cs{mcq!vZ4|8O`NBslB%<%+O}hwTRRlpa z{du@HY$+IWiQD>(2*2K5serWv>)N>U`#Pqxx5YpI1W;}F!{cQ{NA+5~6Twn$XsqKN zjDdJo`X*2pWSU_xc&%taXL?%r#+(v}(+CQ{qM{NkfH*B-<8Bte4qC`30x125f3&~3 z4xLhQAf)QjBOq%GK4MusWP^HHKV+xO=KK^DvQ28tFcJx_`L=C)T?+pD7+6E6gp&IT z8^`^ki~u^O7Fe*(X}+?pHwS!Uhe)tJTIm8ldUlb^%4t^+huZq~BSMq{{h}k<1DPlhg$J z$^1a712-H1BD26?Kx9^`^*>}*N339EOWolW9aC<*l+))?KUI(u7P&smq4h-*`=lmH zSR0tJ{E>^Ih^za`T#8Z7fwtr!6hrKu&+@^Gof~rRoCwUb9bsl)=fa!_Y8rZEe^(zX zu|5IZp8GZ~Q}x(YoF?j$X~Et@&Ocz*Zd;zM#xq!b;d5KivJ^(7JxA~h0zC%M|a5%j; zf#6_nXHyW+1e}&o6!QZ|bEjevbBrw=5XEFb`brcbamDe;fQuz+#xh)ijw~|ww4Gnl z`rwj>s(9-Tj!zLuAHErUvOBgXp8257QpuwfS<-4LZ8R@!^d@bTA^ku}2%@aaCTk)n zuL!&bv=Rw+doV+G1W3nG!Y_JOhiCoL1YZtrt>qM5Cl7I{KxZg>{Y~J%gISKY|2dfT zdl|H+4kv^;D?|}nJuN#&&kow%z!}O5A0!-Z@b8$f1wnoLUi>)+o4s}JXZ%58BrKD+ z@X6eyMG?v5rCX87@G3$*OGH760ubh05I`OQMb%9TW|TfDJe2F~l`r?=^N-r;Y2FNyY5!3XAZ_A;iQ_KMX< zFoyp>RMzXz|BT9d|KFmrq_P2rk~x6O6hoYe$j+C2e{M`2ecK2teLG0205}_BDMl3M zd4zWj%>%N`G`$uW(MAWx5Ua(4vi{i0sZLwF2B8;K@Z1xeFMyLeMVE+bX7%zPG9S(J zYY>uyRYx1oY4@v!cyCOnX>TWk?f-~c`VBwHXVUdK8;2>(mL8#SmSN{gdo%m#r!Wo| zyQv1TS`y(1Xc8P~*m^PLi9}ejhB^1LW_oZe*W@eHxh_q>39hg^CVwn|0;zksg>WCY zop&Jah7_jqeK26ER%s#T2kYm=OM13m~F70cMRFUL`Z}#M)D~#@@nYXSE56F0P>5%KT{{s&{EpF z&?`ciZn~bXC^|5~OUlI}`$VfPV3m|h3E$cB3Ru_)^N_UCvhxdQl-}lLoNZ#0F~3L1 zWijS74;q>DjuB1G7WxsnATA;i!B)P;p=xnMlhry*i5aO^!pda@?XpV7*Y{(F%7}kf z?=X=;tp82nYpc^V*f49z~wb-yV)%pv2V!ksRGlw*{b0b^s27rQ~@S(pf=4eU?P zn$)U%5&M^aWQHsoVZwlr=D*HYp0hU$v2vQSuqqJpaYJM5-BA~2Na^9KGR5HG48-O`1eXDZ`eCN5Ak|pTq#rpfm=W*H!)fl=${u1=V z*$nrm2j%NJO~=_cE?MzdECd3z&$NEFMuZQn@Btembz0cgR{ zduuR(^9llgC-^HKU@Eh+Ax`nw{&5c7&tZWEqIB2coDhU$p%$i8Q9_QUrVz*K9D}On z#IYfD6iO_q0QjLqkxP7%Umsd+LGw%bp^E>IR>R0bZdZJ){a!HDw_Jz_coP4@vV``p z^pUp?uf&mshb&Tr{KB+M;*RG`A}Ob5U%Vq%Ts0&;nxHx;74Zdj9C6Co@v0a7tna$i zYwBE>w0$Ap1wx99iF^ODpuE}LdeA|eK98-AgP-0E5#L`wpPmjMra4Yee_fT$89X(} zu-JJsKA9z%p}GQ}6UO3Ofcq;0b>1s>dIOg4?j1DKCLm&^7|0ZIO^c-T_RY|$s6R1p z(aB~WUm;fKgP}Aw*pdILaVVyV=nq&2m(d1BpODGp{%`U17oR$+<3)samJ*jMFD5EM zuXPX!Dff)`OjYU!p+Dh~_tz#OmNNEyub()&D58szWG#-NWi_(Vwx-s6Uk{ zxO*bntWiE>Lu@F?JBNM+pTF=!Z`Mm{PziaZh3D{o5QVth`+|*U!={_e7Dp*}CHagUK$Ij>D zVet&atbxPg(Zir)$$AX<2gN!*!B-i*nVV1Wp>#0DpXNPbrM|%nECKLSXgP58h@c$_ z62gBr*Y4!WbCM=52$rw`ez=2efB7wzIFVFw491L<@)&ZR7qvw@pxV6ODQOv<8=LmX z>x56Im5I34LXG7N@213uVxZpQUu{iXfAaNjw!_Qgkg=qIT`GJj6_9~K5fWilq&e^X zWMelr$f8XkNS`TQs~7tot>EaT8LRHDiU6S2(@joV?C(ef6W@jL#F}5!!=vR+=oAYD zuV*Uuiy*ygMFG}*rKcCo%D`6dh8MPpHkb0DE zjkQJ%qGpXIH05CRZjZlPH=qj%d-WqhZ^~zVHt5{(bHOUN7pYN${Em(V;byVTFx}8! zF+o<<(y?e2l$sPl$3d(OX+`Q36)9I-aoDI=NmXSo9`*9Dj~gJJsJ0O7oxi?5zmB(w z8-itMEQgJteld;g*)Xi&07PnS*NHv73&1ZzsMTWUg*1UpZqZxdfMH?S2SvBSh=k$# zxG@i)=3Q+shqO&He;K}I_dgLW%swm5Vhm}S)^|66FBPMnjUT7ZEJ+=O!h*+2=+rAB zlVli+ivnPYqvt6((9vP0?UA2DM2Z^E3(!pD!_0ZYn;(njGYHZo7r}(p-{mQ#63Z2- z(a8q&<&@E!WkeT~>wt`6avzZEr-Zm8GNM`Wu?kk;fJL9 z*NlZ5k+i09QO36+S7I#H9&sfJcEdIpZ6{OxuV6ma=08?Gif<72eclsJQhETbD0(j~0 zD-~~wMp}R{5pN2bQDW3GD+MuGbRZ^+ZU{o$9h%(@<%_twD2KejsN^L;a&91e6*vtw z>9ARP)fOJ*miev#_%XW$rXSbv{_V)X%w-!V+uB=ueu?|f!8SCx@wqmCHf5~`6Gr`i zDU5SA=N$xk11)D{ib_XqnwV|xSYfK+(PG101@Tq}y0c#Wfx!`k#I8K+vxeKW5!z%D z!MNHO<}6DB;hs|N0fnw%cbD1Bf^e*_$7&|`1&IoAjWPFslmDTy^eRr zsPZjMRfJH@Ry1&X`7ySo)>ad&qw?(P(KcXule#ofwX?f-qxKKq`1@BVVmxAS|F znPf6aCX-|(Su0OMXk!&=3kg;meKvqY<>rs$&=h3*jg%{F)>b0Q(H*%{_l9^{7M)5% z1l%wzQgVEH2dTfoeiHntLh*|VmBNq>`(Q6TRCE^q#lsdMI;Dm9ylUAlti}z?59dJfP)rlX>79R}WqQPHG+Cm^4tkSC5^1W{ahjpKn4L|$3MSJSo zSqJNd+Jb*>=lqCReXY#5*}a9Fg}Qdj0wLvbJA%}>Uw%>5sqz_ggav}$0rw}(zM z_Y;r<$-mK2buXXJc6|hOeYE#+mb=aXZ%p&gcTcNw{({Cmy@(ru(s9#0i?8}0s6 z2PZWKBMqQ2x7gd4oQ?T8=9+6qkP@7XNM9lA%#LlTd$F}8QHkqb9V9@}b&H2efH);$ z0g3(M9+rP_-F(Ksn3Fp?Yuo-I@jT#yl>xU4;g|1wr86P6Nk3QA!KxcpwS%o0c0DtD zc>I>CL?h29kF#Z8N+D56BAEojYZel-~|ZLH%7({KTeUxw~nI`O~i;_t2i-yt_X)TZ!rMG@jcIJI~mg`8@A6m-4j{FC&Q* zhF^*kZ^Xo!Pxo1+6nNh$9VbcWDn|#tTH~X1f)Qq}wD+2uYinQ#Q zWpC5tRnQ7rsUDeztiT^Z;wW3t0iBK6l_+6efcDbc0jrme;0gxxq^|q1>*4XLTM;Lh zJ5Dg+H63MrdK>HFyBE>apbsz9%{qfSnXKc)=nzg7!_Ld|FEc#J#sw^@)nv*Ea#79E z24#sz`(T=iUHLs)uKF|0*~8G_CLX}wWrj8{#*jhKV&Anqo(;dOetmezvBGuxwvRxP(v%x0do8LMExWsjJS z{AvCusmAGt2_1p$QDQHByTgwHHRPt75&Fn8iySCw^-QB%a07$qI=sy}!+qj63G% zYE82@3N4D? zozHBOfFs(@^Pe47&=HRLx`GO@(ZFsqDyt0gEFOoXRycUO2na9#7); z6qhkhLv0U!RUFiemg(?Pf<`&nlm_9sBa!zL+KKn+#X-J5WckZSbLf>)uXrZd3IYcp zh_ojv9qo9E$J`lhFh-6bt{DrD){Sl$*@ok^M<2E7Pt$jQl~-l2BsliKM!fpBSxwm( zd{tS-YCPn^lK9GckM@*%tga#~J^#*Tc#v{hy9&DK`TV%4u2gMBXQ}bLD>h;4Fzlq= zH%i=3ZNAL@gE_x^`z?*%h0@9RW{YLWJ$Ej0X9nMf7w;SMuk&+rK2Xuhz3hs>@Usp6 z_s(`fuJc~-8>Z}QUVQ$+^8%y1l&DQ9v0z4m&g3Wz60URoAg34IjUu?CA0Av`{(m6$ zAGje7e)I!B48iA*yB-2?bG`W;wi)5gFcG;Vv*-8TM+v+RX8$HVZ}N3|(4BDrC+PfS zZnvC{eDu(+;4bm~1J^lC;Gd)=5CDp`3n9Vx=pV#agz;k1gp4@siP6AiLDn=xRXt8i zbE#3AqpK?wVyR21i5IiGFC zcBqNeqWW)V>>zP`i|Q^d2BE{1LII)jv2MYc@^Q9eyyU5hrE-ZtLAAE911@N|wjXb% zrVUES0WOG)C|S&ygY0pN`$I2Dvv4=b)zQQ6-#bn(#2w{4cYSnaxe9SAtdg~1q7tgp zRKqm5My~n;$k*ZOyA2{s(@J9#TWEU2L|Du7fe9ib>HU2`ZMVJ#|c zb1aR5mbFO5u9pUBQmlZ{NzlKyxk$=S3T3~LQk1f+qa-h}nzvSvIM!tQtQyy%Iu4VR zU#U`;^_OfX!JB)wo5B+U5((VFC1zHx#>eT7e`MwO&@1?1Gr?aW`&TuO2jfaSX>j_z ztq@neWxXCAhTJu;cu-=F201aTi6aWXa8MQKM?dN~3QkAd4goQ<2l=4gg)uU-@6bge zev6^;m3-gBM1I-Vjn|hu2i;2X1r0)JH$ph~REmZgw6HuUGk9336gmSt_&jn@Qnl5s zVNae!xSQkCMm{txhbQCFaQ;07y}A~S@l30pWbl}EnvLPJcW0C@=a-k4wzf|0?+jVV zN)2I&9dQ`XB6Wz*GAnmKirI@q>Ns?sO`CSBGW6gRuW56>J_r)87sJ0xvbTd*5moi8 zfIYI6DQ2!kvz8fVH}|VJ(zlXq6nk$ilg?TNr8P@2PTRfUgfgQICz$4sZz!D7R1U>d zDlMbtXM@OTl%|g-HjzQGG!V;D4Bv=1lsX5sinJSH>30g_V9M6LkdR>@Q_V(h=7w?G zA6Nxxom6@EM*KTGR#LDm6HCbK`^Vz?DXJ4?p@KkB%_3?m??j7$sr*33kQMHbw=)S6 z-az8MB9wp!bU&0VL6o0LaE-rP^#~Vm*BUzSPtS#(pO-8@!^u!-v0`of4)4Gb-UQ{^ z!AyU0Twn3-P8DeThJH>!PTB7+QBur~;5=dx`;B3v4(mKMzYqX@b@Aq#WGjmUtClLl ziM)%7vg_c-2&c+jp>UD??r)jD!FXPDrg7aML z=6!GUmaOtXs(#gvqB-SI29c49n(#fjSb#r}xXsVfhgAUGGGa**1%oBXo;+lrLUaM8 zG`t<~-k`FH9w^KyWV1}qHy(Q!`|2J=zrkF-NI`gw7cWVSeSY)6l# z(s6PronDpZz7r|F)cRuHB9Utj`m{-lZbDhayDys6$+LoVlAZydHQ-czU}?J)5fHi& zsuS`Bt0CwLYEa6-Mwg-A@4n=rly5SOdw$UjOr?i<7EjNvfcmUro_QfztrWN~Qw6oH zj2-PkzLdsZ2~Ip25MDn#*_9Bgr@rgBmY7S zdMH}886TPP&>}6t)wg}_X{78^Z^z8TuakFrNwXPFEk$*|vEsp7{Oew^&MRQzeup>e zKEg0}cL}0^=%D^V0T!>u3mIxFh3X5bp+fc0ZM@e{rW;ytKidO||3O;_Jms6~)wIsRJH{R~yBdPmLjz*^~3P1sIv55iSR(t^w^E4_-I;a$4|u|9t}8fQyE z5$a=Y_^fo+wpj`rwH38QHx?CkIx?-?nV>*pOy!m82vE3WE6JCl!1L^QnD#|rKxF-u zEH$6OZi6Si3Ny>@psKu5AE=Fy$lgYLl08%CWsIl1G8awfFPZ)nTd8cJ#8-qDGv09s+0zz z{_E=HZ{O)v*2Z2cb9inMc$NL-=FCH(cMvk_Dxr^ zH%|51zbvMZoIZp0jCWi6%gS7p#C04XL7ss``cuPneZI`=in;cT=nA28E%I$#c~~}C zSsK-Fsj#HO%2?FU>eQ}U3|_%8-4 zACNSn*y1lWRQ+6H{{yDv;6%#k*4WERDi%Yl(r`5|4ma96ThnR{Hr6_$00aX?YQYzz-FzL7ozjG=hd)EuhGg!`qurXUul1c|ME=H2B5?9%;4KUF_70C zK8Q9Ju=ZLiHP!e>@So| zXmW-DnZ~Qoa>TEoHaV11W-AC_jZ;7M9qNf{9noA{m#TrAGYM`u9c1QcKkfr;sIkn6YoMaa($|v6x1vIf%(O}u zOWa+HMa(5E*QL)RhgAM~Ar33tG8#^t#+rC?6_G^?14>pqB@LHzD=wBc+zsrRf60{F zQuf{mdcLzgYFqUe7##E-(6WgC@?y7TV6q3#^r&U$UtmyK1jwZ@NCK>Je+boT3D%A` z6oEIL6)~(O1#gBOg3;lw+@FLf%Sz~mpN`|oF=!>2X1lwzl331TxlVo6CqsWH4!WFHOz0G01D|JDVIfOy$f1(?0D@H7$|9ISEp@1bD z-U9H40{p_G*kxtpU$s>w30e~sf$MVXMPiB;_Dk7=3Z;Vz4TB0@f(oO83iE>sTY?Iw zU=$r?U{?JkX2WjuMrzfsP_tCid->l?GAW+NFSFld?j#PH-fWz!mqP@WkbzN!mUsIT zp70wmu#D#d14{je8n2QhQG2FD#G2F*Nh+B6_hmy^e*J@s;0EYLv!E!g69!ty{Eq!nly3R-RQNcmH%R= zjQJgYA6CIPo|+G2Ww;~bC<*&jH5s*Kj4HrptG=2b1G6!3w&%`XbBRJ0akbyVV3nhS zS8zzA(?rtfcu{pOslG%{OF;{GoY+9y039~At?FZewlN)b)6kEY$SfKx98MK194SdU zW@Z77G27T!3BC07d`SdSDG3&TbeOcb9uK9NizbaSa+`G{)N|n;d|ggAy>)r=W!u>2U7zl_O`lY75??6lS{4t0|HS{ zTu-opdr5pxFmMVlIkgA2_(#I;7Kc2E@*sHIvPPaEU6CEm-D8zR%KBjIsz-tT~s@w0Q6~75{-H0OaParJC_j zRrr%X*I$?-nLqx3|Nm|1?gc(M_brN-P=J&>m82viL@ugH} zK1s&E|B#y*0N=i+6!VFRB8CZ$9qWK`1PiTps&ZgWOQM7dgB4xGmkqp~wx)q)s;YJc zUH81UJ5kru$gA87r$3??S=tTEZA4Iq^_b4Mfv|UYaCSc7?1-VxN&_m2*fjnh=E;b8 z!0x{_hC6B$$a+x>5n%}fFo~rfWUt*S8>LlI8HQ;3eDPdnzOYkLf6Bbj3NXkP&BxMKDIK5rzVi9aaqBAEzd<&WJ03BiT!! z6M?&be<_mGQ|T|BdRgj(FsMCy)GiTCQQ?F>s2OjOx5L?(CVO%f5p}R+$!z2FqVL2# zs5%QYz3EGQA(Omj|vMxD4}Y2qySx|b!e1P}M)%1N8atn`wN z35Ll_^i0;?Ma>t?wj2+bPy)+gQj5Fk2Bu8mT(Lq?9yiyJ z`_7ZO#7ZO!-b8WHa;gEh)MR%zPm-rt`PFo?!d_t(ZrG?$!Z%CGwJu%JDS;PiTZep ze(UAHvYTFiLb7_j8hS+gKUUIHUp;}!Y~oKv{AX=@ib>^&m>}`C%DM3t$DevW>Wz@_ zcm`baz#cZc2xNB)%!A=@fHdj@jtvc9R5nhgoC?!p<7 z{9y;d)+~pF3a{n7kbU_4@P; zF=6@7+(qsINb`?R;!kE2o}1c|%|kzcdYl2~5%*hv<`Fu7=MnLL=M8oLubG4$V#2V* zcCS5Kz`I@x00X1{Oz?L7C0;(@-}%H^qCPWV7h~0)kYv@Gu>oPy_$G)DD6#@!;!qn^ z99OG&um(K9zz=9`_}^(M)+Tt%Wse!WjaFsVr9GWKTsgR!dJz`t4a62F zD?ratF_A$U|8pu0+Ts?kFrnb?Wpb?6Q7nliO7J--rdR;~11orP|HxyD! zG+y7OEF_sF4eS|WOmPW5J|ll_hdbP}Z5FXY)tM26J<2p#Xi68j1SFXu1S}6yOmSyX zb!Sn`7p0+0YU4@tx?rOpFyeiLQLuQ#sO}v5);_|&I0OD|P~1_Nkrj|k$%?xppxA(O z@?pqrEq$QcKGtYJl5IJMzTpxpNDWpO7|mqzZx!<>C~*d<`Up#J`E-J#v6;&urC}Pg zIcIr~9dZZea;g8)(XUphiNn!gffny-*MNkXOdu`?M-vx<2g4%Ad7ryvGY?9au2lH7lcsJnq|CYq1A#k(=)t{=Q zn0++7%AflavU`?Z^ob9_*&VRq+)`zzVIU;JkiHi4Nxhtd!OzJ|BNaoG z&iF;V4~wu*K6Iev07Vk}GdniiLr)zhRJC3pSmrpfk0&cg*mKer#fz+1m4n!Y+fW~Y z1HYAGkJkq*6rDWy0Td(N8E`g+(!v7S$^u;Nw)_!d>5SAzj2s4nw+>zi?3od63;5}8 z!a#1n7pM?Sro2;d@r(5BLQhuTKSa&^W<-pZH|4n{LKE_*eVTqBXBykT?;JI6wapAO z;&aH0mq$nDH)^hIi;2gl%df89o50^p*HZKyC;8ldJq`{&@9kW<0+$xNFguEwJ-qCk zo+xZ}T#R1tIq~r6rHR@t*(L8h3fgFVR&^8@DuxMYv*IsCM}iYEbEAR?;GOlcA7le_ zzrK08eZC}0zTUe|zVw-j-huVcbYywB9m1x&dvbV0!Krbkua3W3IVtSE@Va9jtgGW!DMKH&zMj4w88teKLv2Q9 zC10gr-8E&|4c1kS9T0WuMxD!5U4M=~AUgEy?-PKhLqR`*sKMG2I~hC@j}`UpP)EsT zz=S#shX#K#6z~DO94@-Le84W`!J-2xSV9NvtAg$++s*{tS|PKqie5%mIB;VpgWBfc zr?f{3IR-DZQbgeN_xlAVuJ5DgMjw;g8Em>Y^|Hdq&e{{g=&S?|MHFH4C|G`fed70% zXmOd?%F=TF%x7lh?d6?5{^pyD&9&u8h%bwy?WHXqGZZyOdUd=0ZLKQHL1#1XD%_{y zM0@Z)dUH}l+Q@#WiwW``hr?ux1oE8uf)|pA`C|H7juG5mExRiY9$lT;7eb0+idy8I zF2|z2Oe^d*oN33$dZGKj=q*ZGoffUrp%X6vPT~zPWdLT{yY_vrE*T zcq5{744JGMXM^P9#jU>q^WB4Mn*L-*=OTGlz3PR@S8rag>lG`nyO-ZDZcng=8rQ+E zv{_yrx^Xn`=}JK(zwZRgWc3qs42}Gd;9;zn-IccW(Gk%Pf68%Yi4)2=b2A@f2%qso z$C4@tbakk#{Ejv7X~2LiuUMLpg9dI`^z%GcqI+GYxS%^<->JRWqgjw+OUwQ#giF6Z>5^LAI!N|5Jax^FR zF5~{<$u%8omcGR8b+jGPd65|!B|`vo&%1H$Tyo7#Mv7mLA!ZJYRhdKx;@6D*VN_q^iyJz^DRQcppZkQ63Z&{!_#e)Qtz@s^m& zABe(=4ZV`HeS7QTN!@$$G58%P2t&v}$IzTn8H5xRmeW0zpr_~6${QPdBmbI-CJ+D((2sw#XL+9sR|7))FT5W_2!r4ZHEizJ560<;Kl zIPSWXjpSZLid!H)#A=Cq4Ai}5k z^-*y{PK2cHQSA_dT$LEd()|oJ&_VNikFGAA9tINi$KM%Yx`bMHa|j@#$oE*_g6E|8 z^&9X)o{Ig7qiO!25C&Q}3NVbn`%xjS;4wbC47#k_-grC`aM{p$K{G2c5wl=GGAq^W zdghyzJM7%5E$BEpZsj5VdiJjoM`Tf7C@?c+(!aJ@dd_19ingzjw;Fh)-G#7z0 zC_KPhVf4l-yRjOrE=10_pl|+l0Mi%uWikySn?hiF$G7U6W@7zjCxNb!T|b}1Vdzvr zsB%7fICXUO7!u*Hx=odOwy8*}X73;Np7z*MaK|QNEFjLcVhH#kPYM zR6y9Zro-<+hhYbci%ms4p>3^j5G>^w5YRI)nO$FszVm_mdy{KPBtHwop=3aV`_&Qi z+hamG?fU&{L#Bp%c+vs8I4uC%T9pF#iK7FPkAnu2tOetjzyzZjE(k;gYs(%irLZy)aL5+uqzIYhAV6+2!VDCG zbuFQT{m_I4LsY8g^PbOC#h&k(+oHrg_vY^Od_5hNiS{>W{CWNI6yHXt=h4lV8Qm%L zDR^@tTy%OEN>f<9?4V-AO*d9vuj|pHKYEFoL@ZSZSyT@7lw7Bq=4ld?TU?;bh z8%zl^_kK1@7BHl3e_;PA&r)o;|7Khva}2GC9em?Q{F*s=m{*j* z=lXViJc%z|V?t5-mQo6Spzg-hUhES(RdWus+MEYNx)Umjz=EZXLUFA9J=H5t>$(ZU zAH!b)(M|Fn7?TVwSrk*KR2ap`lc2)v3bJyrX_E{`_llgF3*S=}7Lz;}!t#I)d2v}l z99{Jk*!zi622SzPYDwkdPNOX>SkwLU`l2BgTs@Ri{}7+?vPclnPgZ#Vyjwp z7Hahy{)MJgmW3j!dp~Yf?Fa^0SWjDQ^z^(yAz6z?Us9jsygW#baxrR{`eOL9THD=_ zs!(ino-)XAPOzfi`i!D=0d-1Y!4mN0Qo~k`30;fid`o(wGcDtQozu?J zaC2DR8iTXEHJ5`_tumGwebl|kisIj91VcIK=cdU?uWV$FdZWXI%=ge-+m)c@#X3Aw zs>YdeNNGYXiv!(+^Z-!*LCrW7Z^=ksR9VgvLQS|eRbz>VO<;b)Xc`d4q&YO7dZt~= zwYoghUYFp00F^kJ3F<$T*>9u3c9*&rSUKh}s{S^hKRM7PAJMtz@fBgyGOMQjjFtBB z@Usr`)sD@ut;^~91&x{xZrG_jUt0$1$0iP(utc2>62Zh@Y5j3!@T!sa$tQFJj4jJV zQjHYYsrH#Xn?t$qUlVHN3e$G9UwNQa>d{B-JG%Si%NKi_Qn14?;tW?+D9qmOC)%@? z&e>e8S(c8H*6bI8?6ep)q?`B9f)i^iMSw%3udmw}t6XZdrG2l{ zuv||xD`^zai>5|z$tj|bmK{EY=cIVi-PaYjJaR1Nn| zb8*g6)8~`EZ))aYj_QxHAgLP9L;TI|GFn1`u+R;H-Ov0^6xnncA`DFysoaeO6J~VZ z98$THdU_QsVRR*DpqOeAx}8Kc+G5c=+zgA$&PP36DS|C_5BowH+N%@%sTWy8s@A7C zf4%=k;b}Kh=?FYsdTSA>r$*n<1{SNNU zwzBozE|kBR&Hd=-kBB)nm(-2?J2)5D#&$c-e$E(&CKHO_fbirwOkhBiPLU@&jSzQSrv zjjj?{V=tZQBl={={>vF2Bd#!jC1vxiT7bB%*}B!Ao*yD-?M%P7YWYmx>d9V-r(t3s3=b_gyLklVhvt^AZr+=WlQ`d5YYKALIeVjo0|C*W!<4 zuJi?Vb?c->IG)B3*Z(Nq2JLhi&Q=N| zY_qrhRy|zS4(15`zpUe~XjuNWiu0Y00sfTd7__GY4kecTxflZw1eZ~`3md!(lB#R> zdn+K#KPC)(K^0WpX!w~AL%mWMLJlZzsu>`&Ap9y&%>TbNOP;w3NSLV-lU)o9zZxhq z7$+cEAk_sL6oQARuiylbQXsHY_zIg23#6Az+zjv_1NG#HV*K+f>?%-I9+h;q!~;Mq zfYtT3W4-(9#+km>KhrPMUrPbxO*)w9%e*HM z1oEQkUVvU-*SE#HSd{x=UIIk6!t9mt&Y1>UBK?5zm-`~?Z`Je(K%~Ix^=^vrFMBH; zfOK`}tH?!3Kr!(9ujX-@ftDv#llWEb4q&F{ThXfq+B-$9`gjX~B!On8MWreZFl#OV zj7=2(bOuvu-e}KM$2QKHrULa$KP za^rkg1x&?&N~HRrnrv6tU|9ZIovB^}aBZ6|hP_>@e(&UVlGTyEE-Y>(gZFF zUIrV=C0~;7h2WoJ0upNb0w4mal=5=2w=58l`vdXTD4@o2^~1EOmk+|ZvOv@D=XY^j z29)FjCD^>)9i#^oYGDd|TpeJK0tAl$3M3LTr3{1WwnA*M4p0H}>vJ*+y?qx@;Xr68 zZ0}(fz{v@EtOe9m_tNPEi#L`06S;AE(K(btrH>UR7$hCOZnl-+8w_e2?Ro}z40VZ3 z@^wS$4?`i)rSc0*!u@&sB1`Rd(F4dpQFZt9w*0y2bV0>Lr*p&w3UOH0;iylxWmVkp z-ReX2)U0=UZPWXmsc}s_ZpCh&@cF_55@SPxF~U3ePLi zMvw^%MI@TPL|&i%4Aqy-0h_>vbO@&mzshWtwn6=LfkA$KFc&aX&_;9Xp#DE*6jaR4 zlD#sf>O~zR_`z5E9^0B?k_QIw)Btcfeo0cEs?ma7k@sa+26KlFo$4lZfp@v~v3MDg zEmQ28_#tU&3cPG^2eI=v0{jUO3_00diwsnAYd{kH>Usc;aLuT?M#$luX$ik&P~Q=b z=919=l+Yh(KRg{zyar$Z%tqU$QT6E=(-Q7|FDyRbrY}%`Y#0a9kes3?2bO(2FzMj5 zFTy8;{xW+2Kk9~2wE>i>I^bjho7DaA*NQSj=#!Cx{SP!B1HQG_wr*5S;t<`<4(V|1 z4r-(e8uyIu7R`z_hG|&<5{(D)S{C>g-3_y+Kb_-HEv~?+K39xS=ckN}DMJGrrBsf! zAiq}JX6i~xefEy?~VFC~zpqdPOe{wK!I*`;*OK`ptbMzpdzptjNn7J45efDop@@ftwh zf7-%(5kMX5*!~rrgZfHNKv4ozfMjLhm3jbGp%rEVM@q5DnZBk^rSk=JvYlX8>@luxvT>3d8g<)k_b=^!pgCT_g;(d+o z4nnjC06Y^9(pyFlCeSpDd=B@3c7YkuLQ&h19l&-4s1}OVVHrRq`AR^IZ?6Jn9V1w^U^q!C4sG7&c@Ldr4urwn!X(qi>p;NE}pP&Ljk@&;lyFwKnus!84TRs^x@ zqlRN!w=-CA$np#hK zkD`*$BcBv$U3Q`43LXuoMJl9T!3^zP+<7i{GI>@VvZV&G@(XA3 z|Lh-N8&lYn(NE61j5aifR(hDg$Xu@yqWZ?Qab%D+_gnL|vdjjF#6EUC7Xv9XmBN)jBVOhpR{7FrUXM9G9<={QvXcG!) zJVVxTo~6_y|1M7~?1%d#<`K32(apdY_;8|{2k`Ju>TLsteBDE0W0%}rFO@SO-K;@{ zYp*u#>6GIrE)SBl4zCFYdy@p-2ve-_O_}G6qg>VlWqiGEjIyoLV&YSoJ+EjJ9siPO zarLr$WTZx0#-;Z+b*Nb)9p6Km((o^-N5x$CX@mD_Sdc5Vs$D0qbeLgB?>F#&X;yRp z=IvQZ7EM5-FT%zG$NS7jw2L7^>kb1SZHQBD{p|rqdj(m$UI^>7OQiKEw}W&jwnkoB z@tgV@E@}1417K4v!UVB>cNDP8`l7VVwQ}0QA_u&J}j6$*sCmB}npPY}W z-prC$K6q|T*gvg}saijEd2SUSP}xHzaO7YJY4WYj<|0a`>P;1pY%j*aKg^p!c(td2 z+4Fz6u=)x0Lc`_TV^$6>KR$Q6RZICnuU6-G3_he@EtH22TK4_LlVq0_2lyd!*c)Wu z)ifWv5vg~VQV+NsaWHsz4Xm4wZdgVpSpO1Mlo`tswS(pdtw%>FD@5I^r<QY6FfPfR&}mx|yf-XD&yO;oOpl>$ z%B)n^ct#e!obfTa02aKACZ4*d_d9E)N5(Mc_eJCaFWI?)t?WVZKS#Vs<3lUMzPh*c zq0(&@r~IZa<`S{NY&u~wQjf;zb#uBCT9qc7wQ&FVu6#_Ip6I(~Sv-m*#AtQ^7q+23 zeD}%KlX;S2)Z((+17gCCa~mN8TYkD1e>vHM;6$_G%Dc${MGY>z3~ zo{F0=Q_ML3qN21j9>0fIzt|;8&EGOE_xn@yRk8PH@Y)`cZE-))2IN#D`gE7uOF zpCV{)cWDhSiA5XLaqF#SRKiD8<^3)Dm?WJK(xz1qztR_{M}m$`N&Q=vhqBL-Sc;#2 zf!I$Tm;7u_8?_3TOMm)*GT+P}@1Q6PfLX6Mr$YW%F*|e^PqtT+Pu6eATMbr36-C|; zA7&)+#qX!OVn#Mc5;~?`p<}5mkXT21PUUOxGMIw>H zHHnhWYt?5AY8rcU2ZBT@-i^{Xtv!YF6a$=m$4(Bp{9)_AJ)aK>S>JuicWomXpLM{U zUN=lT3u4_4Vtqxj2*R5ww-a~t&ooJ(D?oMcNZAi^A6}~kP|GNH6Mz~~-b|UsEjJ#F zEHabgxj$06s>{}D$kyu0GSg8WCC3Nsk@YvyNICQly_f0)_;pEvSFLGyZjoa?;TE>V z$zuZmsbD(_6x54J6ZBXf{Kx>RpmYgmms$)Z1nb3@#m=HKD!>cl|fgiNgNegBi^Wc8Dn+$KJcr;^%#X>v-+ zrsh=4k7-z)G16La&G1|W^5Z&f_ad2Tzkh4k_52M@i0RVQrDpR{wRM;X>!BB7lkVac zLT@Td{et2TyrfwC#KYljxiiS_WxgYWSGDS5zS9vYg!j#+?aFBTanb^^;GHg46rMe_ z>)5v4GaUo@1y>^WTuEQHEcpQW@vaRVX(Ft!dPXM6?}-E1p~1fN!H3GhbI;e%2>fdS z2{$R&n42VIVk=4ZgnYGN@a+Pxuy-e5sk$l-;u9PO0n{Yk4v26{a=&*mQ3?q;mjh7& zh!BQanCbEXX^d=;khy0rz7rNEpoG%<9SBY-8vmphPRTE0)-N$TxMfTFDG-m$fgOzW zDAGx6HxNlQ1#K4^`42<~iK>_E)gyVL$`2XS{33OW2``nMa07B=^PYx-Q_}gJi;7an z#n};r3czAN)P=emD8dX0-ClzL6*g}~B)GEDjNjm)nRVb2lx87}Jqg5S@%rS40u+o& zF?EaBB*&?ptBzV? zFFquSL&Kvzh(F}#4K}-Ih}(_Rr((iXjZil(PT?5T8Tb8bPB0QlI48^yy*5(jtRrx^ zNujemvn$3PWg4+p{lsG&&8OG^p4b1XnE6=c6qd z%nc*le$1uMKZkdqksuOfPUcgrraMjnKu=*%i0qtDAHd$u4>)t*h1Bl)^KldJCHm{b z6YtGG!b2)meQkD@@7Nug~w4`yK>j=;1ca0}mRKaT0@A zH|G7Yh_)Wx2Yed-9^(jt zJo9rHOUa-B-1YWhuIS`PlYmE~9rcpSSZk><3R}wb_==&K^<)6>urC7{uV1 zy*wq`RP3j(?ZBVwJUC@F7WH$wpJ4ECt=IMO@|%dBPi?&yvTGvC-(5Di^%gQlD}0N$ z$#TA*JhET*&YW^C0!!dtEfQ0*fD{vn9>;3aj($wRODJMY7TIfDJZ)h#3PLZOjOo$F zRm9d4GKUZ9VZ>I%77;>FvK2QlM4}$6B~gaG>Vf|QbN@m=c#`N2t36aiQC(C0T@#r9 zK8jGBpI-`#wA5PBZd=+e$*Q!8g}zVoV0(d zHN~kbH~jH&$H}WBqAt%a4%IWOk-F3d3Ab*QRqyzqx{z3RROICAMvykS;N1y_$45SH z?k0~+$8EZ0zXUJU#etkitPGARTyoterW+^T=*8Xx=jWG?s8w3ns@4P5hAcrC=}hHI z5eEIHZSqW(Y^odHt(tG+_x`h^l}0*z=@>!Ty%-7?JPvLmTQ?4u&Kz&DjyV~={toT7 zS(Cw7thT(b0W4Xe;&3Sx2P~L2-9@ljn)X^9@P$`3qkhfYsEm0Lrvx(L?aR&xdftTX zD|{Qe8MN-x*|d72PV#zmsKMDE7>e%iU7#xIt72Tr<+!1e^)QP)gSzgb?vTtT z=RI=IJ$I_+tNEs8s-|l7vo?EEEmaiV{oDU%ZBtasr(>DwZW^lzL>@MpT=c|56Q#(= z>{{xxJed;BG{>n^y(4Bj19H*`>L_Ac6Ty+WU&mUn1~-Y~4*z;PpeFX|?HfQiA{NTA z*qj#<8Y()z6j~pUJCVp;-zF5Je&M~J{q3#z`jT)0U;f$6l;=uYtp2)>609RQy;N$T>kx5IL}N%ZYr4Sg~uLn z_SP_+ruG_f2@}nOmo*rhw0nM}#gl0|l5hTv|BOTZb#F`1kr4^p^RJLaZ+FF2&NGXg^|AYWue^ypf5V8rQ$qD~yrGgrd zDD6ydR%fm$k&mAT%^Oph5wyqG37O zMv2VzRoaQ0OTVYoNM696!Z;j7aKD8n<=7QCY}%Am64NXV(!D6#H%BKWE{G3_mJPn7 zle!y=o~|l*IFOaRi+|nwTj=4~k#@5qh^)-f`9Zc(&zvj&ynZ zW3-l0L4t!CL+9%o`ApE`XBH@V>7dC|%RtH%h2FDU)Qj@E@tZdy`AhFKp4h-{Z|_cb zPI^x;Hk=UGbjO$zw(&?ytPNFUm!sG30n7Xc?6sPc;r9W>RY?Bv9oCFeUD7#ZyFTjFy&0HJ?h<( zc(}&v)$;gRiWDb>Y`~nyQb^efEAnHUUioC?6m=1HH=XQ?YnJ*5KE=DUPTiinxV#-b zJJOYU`cyO?az13M_(WXvxD#D<1BL62>mHe02ibQcdeZA`L)pHaJeT!FLSJa+6Dx>l z(tC_UzWqFxv3r~RNuro|sDi74S|C?^-%dS~Bv!XWR9D0EZf%E5Me?djdt*h1X#lY1k{GPkGAa*ihx9 zcQ!!-MJycn&yj4f0s?)=tcy-fq19B4cqvRqxAi&-lW)X#1CNAR${2#=zv8=`I08Gn zd=q0HipNz+FeGg;4@cd<16bI z*(&#^JrC$+DLHw0kh$+SS5Dq|tBr@3Q)bR(byt{OyFWd91O1~@x;R~nVG}=^g-_EH zRWlq7-yGGQ^!HR^lpR8u?lj zMF$&rHYJS@YxJBhZ*nM1Fd+SKXXH^w@M1>35VHk)QHSp7g5bw(wIn{a<*rZW(4Y$3?JvVRL+8t7pd)}YyMB0`qAyv34TemZ?nBA6o zSu2cEK6UH$AeNWysO7RC@H>akp_E$lGi^RCr)WJ_v_ckDT91_D%y00%b$aUi-MR=Mgb7L5?!1Bzc80(&Zn#g>@LeNY z55YfX+Wit>kiOSBJCO=sx-k0Ha-JbzWa|2oZeFhum&^6R*)Vhd;DSSB;M{XiAwOtu zIEstOl<=z8>)QV%!0d_CSJs<=2{|UT+<)H4|KiIG__YHYMJG3n&W<6;ZAwB=xca#^rG`}ZoW&^ zGQ<`7>b#&n^J*u0ONBahOz*TDw54UsrGJ0~$ya-luY^hj^Ffqi@kf+eYwAT^^Uzws z{49!QN?BQzI^}4RcR?$*I|>U8RFAs}hw~9$-5FK*s+Bua^SKk%G-c}*=Bnw13$k~v zX|c?Jo9A&HoqcDitMDyL8((Efp~b6Dg)Dd zLq!(?Es(JHm+xXj1^LJ(fyq*;JbWZ4-U6QQEFB!*P0lLf*47YyjBeJoh=JX8j#o4b z#CLb+4B9icyx9y`sU&ubsKH+-eK!!ILJ&l6CT3QVHj|LoV950DOuuGu);)>vxr*

~W2EV+zQ}uN}9Bu9+Qkw)8FNC4FC76|DK@`$;y>Z+oD$;=Ka0rXd zDJdHv3%{FFihCA9ac;*bPpB!&#LZ4|uKi6UnZglx{Ao8(ZQ6qLICmFt9*^BR(lDC+ zyy!s8&8IMJVe&Z>8F{vaA*zRyFxGb^|5-;4fT~al5k1LB*+kqRToLq2f8&KyLLY;p=`7c+={ilZo2#io2 z9qG9Py{5N;ZR~l);%QY2`+`P|8ZTI5&I##ejwhQh55^hQ7|l+LQS_dX)2)YlJ+D@1 z_M4m~@*KSltgovSjZn4HWv7o7Wx51$&$?U*w}he(U0oe?qo-G!-lP_J)C{(~SN%{@ z>}^@&O{jA8ENfYXulsI@NgMu(qmlNhH z{zsOLi}2aTO6-zcn@uivHts_Xs@m@KoHD0c2m1mit4vuO>sCdFqnR1qrH`Lb-KKpR zr;|-F46P%BL_B+<7cV{cgC3%+VYE&ruMfA+hNtz-~xLwjUjROyWqgXlxd4zf24;f}CK#gfB>kp2ZZ z@VMr}PiE%ljba5~K5u{G#}~`&rT(;nyL}XY(~*yu_Zy zvp}tyb0$i)CYIfPxGYPd0`W*#>U;EGVov! zT@%rfDQ{7=c8|wWn6hB!GbL-OUh{Cx&co_^W+=O5$QkxO$1REu_@0%sZOGiEYJN2*^xsDw<|s{LxYwkV z%b%gX19>MDYi88I%uU?;!9oM{{Oy~e!an_g+*NyUCW1#yT>wLG6HGlz*CLSN3QKap z*DyL)a>-D2APLyeTr#Y6pMtaaJ}Z(e+WG+3P7;JW46G9HcBg_}s4{#n-`;N$W+TGBJpWSO7d`tyI-t z7$hr`|4hXzMZ)$`0yr^3j4`Z2AvBMmf3|3i7~On78lJ@bS$x||;MlM+18}T}c*d8=nf%76m6FUn2KKT~U7VYUYoeGo2;E#~ZlNcvzS7QP#Wo@1 zS#W@<04JhW=+mdl(CeH})mS|%?aweJCrLXY>YfQi!=|1O{EN8^Oo$k`39 zh(vicH`ic)5`f}&Qd;zJuI&kol^?tx1u9&74%~mCm6l#dT>Y0KU|x)?&#L?y#=14E zafL@}uCo=P8hT40U0XUS0kCC9H7KlHfrLq2oTriCV)sKNpo|{ELueWyPQWWqg+SZU z*L+6EFC-_*C!B*^=ai!i zuI?hBStZvHAVjIY?hJ9wFps)u|3~G0h%l$eSGu~U^7gOvx5l?-yZ$* zB_w+g8$DI->W?ul{V_&Pz!-g&q5)%+^=1Y%H2RK^Ikq+*Z#obYxP!L3mn6)mcs_DG zf0xG6BKsuOZXD^17xE7{CJW}0;n>13IFdd`>$^Q)-?Uxhw zwMYy}rzwg*c_2r@lWlfP4hCb9_t?ruRy)Q0pDE)oYJdXvPb)!=^^+~`jKgzK5$NCQ#9(x`|9^H@6)wsKjGxzWu2?P|lLFrLn zRy)orHlIJef1Z<N4VE)y=uSUxS8dxn&%5*kWj#xI68sXO7y&-|As zVw4r3glB7p_BT{OQ|jXKM&A|r85!D?+iRAWD&IY$yoW|fZz)GVN@B+lkvX?qZ-&LO z$@9oJeT3f)aJ6B~5-p08S~Lv#W!#-AXC?=rGHw$l|#(8KN8k1VgPy8DXJU~;v=XSq+{51w$BQJY$ z*c{klYsU?;6d^InsIGUXlaXN~!5{BGNFMScwKzvWg7V(pXX3~;iM%YJ-z@B-WZ9iA z2FX8X&QQU`Ff4_Xzu^hAlUijNkG}kIJTMVlmP2-2&uesZnU_H&a9-ahh#An`7m2*g zd0gMuiHuW5dc%)1=|h#;Jc3WnaYFjchbI00vklcc;D&+k7f@V_Z*r!BYVU?~kx9H; z#Ov#^q4}0QCi?jRhEr6!6}+3QQ7AL8g#ECs6qJX=c&bs3;nj-U?gKVFsXGAu!aVt%hCeII}dILi~?$>C3#W`3(6Gwu+hCL6jBd0ya zeC(Ke7haZCj%#`wbH%YF-CmGdimr@UA+e331xMn+>zBymk?xYhjfqU1On^U3!VFqO zJtp58pP+V-;O$yz!#(Bl8bzel&-YlV@h2C=|L+%m?W@zxB!afwRNZ@mQWsnx_5JRO4+gZCR(32V9HHrVCpiDvA6qqEe6xNcML9d)-t{V0p zTNp7J5-G}pJICzzBL%G&m-8t*ydP`!>9l~{l)V(2bC@L*x@j=oqbQRSQlcaU4dd{L z$&?{;(dIESR}nKaRZs)fO(py=blcoGEl^K0u}Vdc5cs)BT5YcMy_x$9iTL%c(#8pz zqEtY@S?Wv>IZ?H&{O!T9}bFQ?3=b)kgX-nxQs0Zx368@_SU@uF&^HLxIW2n4203+ zCX5lPH%4&`za6`H213jAdc%xO%`UC!p^qgR<`BA$h6%%APWY~_ZWG5FKFloMa?YQ) zLG5)N6}#$ z0j?u_{CO3d_j;I?Lp`KT68*C0tcyCaZ08U&23>>}^pYve(9-VhY(Jq4drqfHXaR8^ z>AoW&;$lc9*s*JZiX2OfZ8Gk~>jVvHVcEYB(0Ls1q6G`=Rmv_B&89+TQ!xQJpn#8a zkj2qmz6-zli4z!Dc%Z-~&86E*Ap3eN@Q$$OnXtIHR8{-g(UR&w$gM-?!`b}e-oXO` zXNUii^NQ&@!_g~>Jw9H4$AtT>B0{TdU9!YqB}pT21Oc}%wWtRPh}liQFZ;Ie+X%^* zClHt~I4EOz3{OZ}1F4bW36vGC=;Ww^=)9qgv%mSD4Gyc#tD-s_hWNXL;}4>V$JFbu zSVn3JqP!vapLO#VZ0JC`lH=zm#3V_WL#T_5Pv7ARYNi|`7T~ghI@Ezobqp>|+JH$Vw z6Y}dKTkFm){e(hPqSEJE_1`TUws0S99p7B`BnsFOQTOGDmEMXRw&>M2rh>qD4i5aq+!y;oh)>We<}~-v|L;B`BwG zp&njf+poQn7j>&ow}N6pAPv!|+zlkEgX2&$26ogH_Ayi60%@uXXFx5w`>=@NxsveV z5Xt`I1SOn}`U#N|cG@F6#nw(Rx@Bk#p=3N6C`_MP5DjdN&ykbbQY+y>R4Fo}UgHOS zyB>k#3qm@kO20z zf0ypj@+|)1`+~RpkTSLC0-LF4(9={AgjJXxcu1n}v@5)yhi|!${z@gDv z2Tju!RIz?)UtH4dhvZX3_rm&;go2s`bH&_)jFm}POwjMI&2>H7p5%man5Koe;h(x* zs6BVSg=qJ@Q$S|RR|EN^)cTGwmkLpLL#RMl(-#GjW1&Oh|{WzzzW%1*z=Vpd*SCx@$Z3pLc;djHgag)z7 zdeZuj@QH}p?042p66ICPb2h>gq34de6W{tND;Z{$e)Py%p34cbSW&o2%%5CSRGA_d z&h&{i_l0#qiW9cj_k zybA^UTGMQBtH%) zwc~x^5YstY7g79_J2;54A6Q(rEa$gxQd|Z_9+P9`e}am=c2|rEKi`SxqPcy${VmxZ z%#t@OT7_JS&-cxsB5Sqi1v1G4OWUp*R4xdE<5tUMCO;(h7m@LK*=penMf}{sCYNJi z7v==z-*)|%-%cSKRdg6}N#6D&v8|nd>E5iuU4tmn8XGj*ssE}wc4W3QL!~?Re74g@ zy*rk4uCrXTd$_Ptz8F`3u2V>_J2rf-lO|)CsGT`uS+cDyV>zHbL$e!mj~J2UOVPMM z{L^3#gw<69Je+UrW6Ix{?7?I=-x}?~-HG-`P`N~R_z#|&a|p17Q2Rfw_tf7dUc7CX z%2;+AD#!KIc(VaIg!j}K>v2lqy5NXUUohNt(5_lKe{L;?LgMJIzEh-`aixwz^3L5Q zd1dK*ZyRJ?iXu2J)X6VYH%#^cDr^;+uiU-lhA)fi*}Rar-@z0J@5snVWqkq8Oj^L$}-8%x&XnR_i(VOG8 z1`Cl;lqZb@?(W!@R*a>s!^}3Gbgg)E$9B3Fp&1=Rl@af%oc~AMOC){QsI)0&AYQnv z6j# zs`Wujut_x;|XJU zZDC*B5UZCTQAUG)&D$afMeIqBr_{cDEP9DrCU^7( zmwXkb6z2$Y3DUKwDsU=I1|aW+Y|Ji03;8nd%tA7-cTJ2i-prP5SPcmM$AI)m>Cp6!VLG{ze&6R8 z+M)Tk(YAxYGwM)~>yDT6XUqzhGfb|}>poYC8>*|;CnAkCGiYGuA~s{XHUyQz<*u_) zf8;#mOD#>)r~W98IPH?BYr&-6`X0trtZPxG-TMCEJ8v+lV6!TN#*ga1!5&2K5A5-h zJA6@cC|LTEIc!?TK#u!WDIg0Kmi9npKOL{1^2tl9HL&U=rDiaJ{mNKUMtYIYbp6N) z^__bipGR}r0)a^6D?~1%k0}}k+;okIJf`g{MESF+8d)Bm(3dCiQM8DRL#Q4RMAS=U zniM{-(Ks()_om@BQ!%eUyOf1-G1~NLNoV-+ZL-iRi<6%N=0}Cy3b>vcRvQ|x?V#M_ zv2D7?W1h*tvG`8lSO|)Km{JrzF+hODXz18M%O^I2e5vhDoPd2v%48ly5Yt!Bie==Y zjD*K*NKJ}jdnll3v0%q7&-Cq5;>JxD`M^e3Iu1wCv~uJTBKD&Tg3X^{-@F2{gu|DN zVLCcRcXa&&`3F6C^vdYuwmI%U@A*`uhyxV2-@w)XN|K04 z1hhY+UICjh>k1>D61K)Dq~z!L;QEZ*UuOwdK7(Zj^ggWKLaV6az*t`YK%=O_-cep( zWzI%A%?(yAiWXO2>Bd_|8;DM;r=^#VUnpdv%_Tis^okG&66!_!dCUx;?TL3}SQKB$ zFrs47w0qd25tw}A?mtVCC>wtzpP|VwEvU_kJ5zI#!OeUrY7cy2CL<+fmSC!sIuX|R zV}6g`hiKvE0gu!;s*4UU%k*JM8g3@KHtsPw==mo($O^z7Lv6G=u?fMW`(gfZKViWA zqB$b))T^T4+f)6-ABpem5Amt|kHq)l5Ah)b#7FW^;=>AmB))ur`0^f!FXjI(;)DF1 z_*!44{|oW0&+gEKJ^82beR~wXKZ(Le;fwsc@ac_Fny~yOe11Tj@KN~E{}MjzKf?F< z--R#hzvl?YfgIuAgl}8V&Rum29sM@|B_>9<|34BsK zKv|^e9!eR|3Ny*NfDaVNdeJwe5wfv%L@+~c>@AU&^CmPu90r?V(mr1ZkctO?KM@OW zf6mIZqeo;lk<@=qrkU|d24lk>jU7JbJo%+L5rBK`wBgbG#a!bN>XZ;PndpD5Vz;$v z3eQP>Gr`H}WdEET$$vnzi}gGCp1ICx@Kwu}pK8q3JXWFxSsPSYsStt!N_A=Yuk(AQ zGPed?x91N5g944Kd`v_zqn@U3VZk44U6MAcB2b}UA47se6ndc+2~|>S98GJH*M!vnAn~w7;~w%E5)HNNHxlHSdxvbS>#$X=$@DEl4xP|!~#pc_=Jca zfbGbKMg%_kVuWp;@maOn_IRM;8PBj_{>caKepIj9IBvf`=E|*&4$Sije)`m-F@LVv z)n)^k38zUudfpLBJa=m2;@EddqIcY!fgOuY!1BKspJ_R1GdbH)?-N>M{TD*rKSaJ< zG#Z$ri5LsVwH+qI8dG88L-T9*qMyPq(K1=)0VfRbk=odXsR_ARnQEicg0hs6hywgf zE0J5bvO%oWozFAI3E_Fid@)KaoV{{!eh4R;9#T7V#t8kKUQTxpuX!UvA@M#+Mm>3t z#>s5?Ch3@zEAzjm17QVGx~ME3Va7yl^vIN1L=#cS$c?sAQsYEK&B~=}>}X8BB+S3t-y68*Y$mOe+!VW5z+S!L&>H>KRgBl8L0-4a5vwX zk$;j2&|0jUB3PvP@4>)pcZV&$OZsC8@3R1`uBi~O&8$S(Uy_?o>C6a)Nr&Vr`h?h- zNjH!kfh#S)4{^#$oePxPRBjbdrq{}jN7JvIbb$e_jIvrv5rLQjuVSA?U`ZvWrmQ92 zgyX1<3l{yr%Tgs%!`>o!x~J`Fu%Cb#!HulhOJ(V>bGHx&LMDSh#-oF)nngoEq(qc7 z*b&YX&iMa<@BfRy2OX<{fGRZAxe73IEwcfSv-)eHqgw`Lk|+ zqM*YUW}60>kuJu{E;ds2q`9;ntk2MvlF|Eb%U&wKTw(a7F#+SQmv-dJ3N^bsTq&zd zS-FaGJ~N&gnQDdoyv7u}%<1o{Q@6fbppvk(UTiw)h+pH%4wWo+l%u&N!ieHH`-~AoyneQjAo(%!Ev7Kp{4Xh?4kE z#80TdzX>shUXX~0d_%c_TPcw}@YBY1B&7r$==!tj&kXG9RjY*w^teMTaAw}xYBTGD z7VWf;RiD-1vbjF?3=8=vVDJ3zBb7ZcMpY3nXXZosWgD{bsvcxjcn}s-i26% zsG^a6Mlbl1kwx8nJgAPj;{*ehhciX^Ee`gzfUH1IIU6HU5T{~zH*hm8Q`K=E1AgR! zGP8?3vfb=5kX+kX)paGU|2gBwXk3^3>DgP~m0w|XimGaA({?}a{aa!65Q#;n-}e_@ zw|>2Su|G|(7F*MWKHd>1h^#Ing9jFd0&c^0)HOfHtB)jJPJ zhbQ1y7RizdJS9ZT<>s9x;{~QhsGzb1gN03@^~rVD8|`M`dbU}i7~ekcRv{{G^w4+x zn54gtyw)6ZwP#+Zv8c zs!x!%pW}m+3E)wf@wsdW;3GJao2hf@qmRn(zz<$ExD`%rtLx+b60g~4v7ObAWP@wv zMNbRsmfFKRlQwn^)(#K4x~URCgzH-u?C*ve!=pUPGrF%a!j1*EUa4V0~evrM8boI#|GV^y!u z$rUOGhxHn%Pg4FrPRB_6g`zgQc%3F-`(Q9R9$hTx7JX<(E_CT z|5Cbd7Xuf*8I2SUdn=q01r)r=m|LT0DK2=KtHBK$!MTjlTUtRWNr1aP*8#asJF@kd zu4W_S2$a($Qj@5obOc%fyho<5Xf41;x?nMe<x9&r)^N+b1p>tT+l% zQ0Q3O`U65_QTeJ1(UU*YXZl{yKH$qv-TeBewr7!*EIyLX0$s)MdD8u@gdVTZiJfQu zGzC_t6F9sl5Tg-SL6?_7y)bqu-w7Gu(074^cFleL&Pqvm?s^r!byRjQ0gZHG+ZoA~ zfZpwGJX{=kUnc20pJ`-B8Q)Q{Ixg)aOde*eQ z66AGQRp*;KjI_En6I=Kw>4nlf{34iR?H)CiZ zabNfT8pcnN?w4yl4H89-=%vmj$Nosj$Unce`^*<56SD63tXXmeWS7ql~bE?en*8qjYoRdGPQnjFqIwu#g+b?B30XO=Q z2vvDQtNlo7bc#sk1O=g9#-w#ph&{7^(tK{NDa9zIsuFNoM;6>l0mk$v-rgEi{z3jE z#TDLCS$-sehKR4`5EivL!kRJF<~rL{HQkxG8^C_ldllZvd442&wk}||WF?g$DVb}D zJ?Xv+4y2sa_MUdHO+!#j*~z3G*cK_?OSkgb^?$a#zaZ~#A-({B`w-kw|APcFAiEJP zavK-7FUw^e@P9UT)KWeOo;+NqzTo(xd9==b!C|F!L??d1L9Ts7Cx5|Fsa@AlUWvbl zsC&UNsdHpue!+oODk#T+Q7VYX!BHw`#!;$$B;7I87Y>9;R5nl`v2a3daABcdu{4 zWF995Pv`6e#mC7Kp>m59vp3~L+v2Iv@J$NiO>e=#4&jB&3g{=ENhj>d zD%S`HmxQmYOj^#Z#z-?#xwT4!%WctaIfIu%)Y=}Bp|JNe$WJJZ)n}l{PY###50+$M zozbO_NxoYpAj}69wX9WPjOtEl13Nm_#L6y+YHgW>L+=vFe{|Ua3BLC0olrmB=7J`| zc+9Bf&c5>XwQv5mpxN887UF%Y_lm~5v(RuoO5=$cA)5nHvZ`wOZer4W)uOX62wDRC zNX>n5Zj^eS_D{j?4s+a9$o55sE4SU3g(B&lwG|1mPK%1p|AM~ktl~k{b;Hqf zT{1DJ>WoQ<)KK-{cjJK!gXp~)ZVbcRQFdAWuB@~Lno_5R%7V@PY~Pe}@L~1f@Aj5S6~G!Yodwvx}+=jvL-?s^f4w90-dFVVFa-=J`b z&#_}{5p&{|v~2o{69^f9>?XF}BWJp3`t$1_f7$L_dn zObA>Q@^0q-0rYD*qj!G$2ztMi-5Yw-d5pXDwSygkQ@wxG5nP%2r@s4B3m)r!sa{ZM zEBk)>0r~8uRcqI@5T34ue}R#RhtnS3g}y3p`s+hSf8)9;)kgcBUR;@5Ik63g$nf3kZzd@-euJB}EEVe`BY2wrLm!B{*-HaiqZe;b}StkI3v%})TDJYi!a zMjl?*(|wK+H#CplL!7}PS#~|9aQaFVyTq39i-Ow}9A8dZ+5-A)%@!XX@ETa=YPLkK zuxR8}YX}vIME{b#{kcD~7Z;HY1T}41Jxd$r*`noTTL|*Ep&oB~N60U zLwdv3U^y;MG7Xs)h)=)nTm)}^XTvsiWNZnWT>FeSp?msTTQ_d>NARfr*Z+rwFZhq} zW&9<4q5md)=Km1B_~n^tK=|ST;jV=aiv7uKhmD%9YKF(B!Cz6F)^fsal?a1=~@6^5hfSAVs-~an`?_UFa>$`=eMOFV} zfbU;;FSj-o-TYf|m3bgI3V(Y*GjLxM6EQ2aDYF)yn#t_*HKH-G-{tdm0ibN_$o{ROOVBRoc*Xo^3HbWew|zvMs4661`6vf7?uvKSw;&XJ;}sbzud~jMNfoJxp@QrW{u{s zf+h7^?BSZ1GRDR;Nm^l44lJrUU7!e!(^u!pCMIf9meLr1eLEY{r}duj@atM6klqmn z(mU2Ir-$O);tsp!K+XUN8{lGgnXQ_Gy)h|NxlipWa!$mU?Gx!GjMur9k0m*7N@m0c z1)CpkpEqEyzdRGp|80B~FN(?W)4?BP{xsyph_0&T3xp$g!KsnHyXQ6vwKp#}abU3e~6RYNUe7d{)vp#LH48%C2skZCFzVY1lP89{Ic*X=wwTK z>5CkcM^Y?ne&@$@Qk>+cH4ybq_nSV)koz39;xn>}bSAykV+CNCl!iQu@D%qS5!V2Vcba zilFG0W6QfA+xI@)oqL|%QJpyE9o$^sczvS`0*~ZrWn4Ykcc5Mzu>RqYEdZ<$@K4x# zjPTW|=K`-r{;8i_RL=%qK?1!H!;W`Nz!jPJRNX9BkGataN$Q7dObi1T+;Ab3NIDP5 zujVL>)x)ucfdppBAD%eI!ESrwjOB-XhSFGJ;j@}3TE}VQ8l+vC+rhY8X5aHrWpV3zH0xl}&czksbSpNAl9E*X*2u z7snM$Fo>*Ff0euQ;3FpPY^JU}jSep(LOV;YbyftV_UzWaJCb8PDxY&U=>jp(-@ftY zavHR1;A(YG>mEli_8%H}6^(7j{?^0W2=%`s^k4ZMRt1jn#uRgrzzMGkJ7{kFI>>pn zn19Uwac>XZ@KbKkkrz4fto7nye($oX*%67)%+kTzyGsHlU$ga>Wtm^?-8VU@bGa6q z#(d5>!^KdNR$&TRw>0nTZBEuNCmU;|2Dqg?Q_=o=`)-^pmdg2as{GctN`V=6Nq2QA zBl18`8r$#QVd+c0VZZUrl!TqI<+>2#lUhy(%_ibp?J3_;>OL<4z)Xea`^o_l^=Cs&vmwMA*GuLX$kNdrB* z6>cDVAi~!;2E7YmMNJ7AgJKaMAY0X=1%u<@NP*<`f12_j@*b$zW3I3Albj)s9lV)O zelSN<6L$v;FYk3aZa&9g4Ps3rvmt-DMXQ5{4ZlEv+|UPKwRKS^iHqn>xsnrQ#GTzA zk7nsfT}Q)YC23)-xQHfev=Cx=L`Xqb{Qw+RQde;!zisu-a1Sr5)$Ib*^rZ4`5i*Mp zm*0c&9H+x^XdW}5u@8!(vw5s4^sCR^hrleB%xqEBGxL{BHUlKRgQ1r~6OeTSd1qz^Zb<6)Q3=&3-ad zhrppUw8qRVq)(;?ynp{4_J9cA!uY9G{M7CJg<%)j{H8iTGVboDh;+U_t(Vtczye>; zb@tm5qyf#43(b&EnjxsgWh_veT?mlf1AK1*8574NsZ}J~sKhcv;%868{X^;s)Ah9D z)_og{$>&92SMRTfNh*Q^_P6_N@?LLJPCtmR;{O`;@8dd3Or6gn3}^Sma`eP{wQoFf z@0F)}e>YVdc)?!>%K#Z469h6lY(Mx~_`*<2PSeC#^>4JvvA&4F@9t;~m3@XE;#-zf z9|LYeheuLEOS4xY=QtsHk^8J$?ndd>foQlQr{^GQBFne5aHcrd!lxRS+SVRb=Rd*o zuWvphwCRZ(Lruy^SiTE>vGx#vp4Sk)O@u)DOhieJ{P^6Ywd$`f}^3}y9X(;|yGMP;O9QyB%7C2d9t z7u_QS>kEzyouk5LyYs@^?Pm)Km>c{yed)J!za~pnIh@|j_RW4o1?`(Wmyb@gXZZD# zW6bi%`6z_%g5#yuQK6bWsKOAGJr-W?wN~LrGOuX&li`_w`Vl<GF`nW0r7PE_B~y zuzXoAZJ(c5ho3I6uX1^)9e8a(gb{jPIw}V}N9i9LI^P~2O5Hbk*-F}0Pi4|#`yI{$ z9}bly*k#&D!|Jny0p`T$e4YvBk3eI8Tn@h+%%mFnI^vAKo8HRbb9{6>xEvv0SLqV5 zIU*KTEuw%aBdo&PwrlWXzcyZQZ+$TQC|Kw zgW<0=P+$JZBL5|u7M!oZ<+BJEKk@1yhq-A8=lk&20ck|0jRS~v_mq+L|5C0c$Dt7NEAx z6OhyyAaXp$@&0>v?x>vam!!-`)6?A`Z~2qsOOW1qKT6&VG-~_iAl4>p)eOELaYV6+ z`(h}y44#e((6zV=!91-#L1;9InpweIq(lyHh($;*sbA7i*SGSOt||GHZBOUJ6Z9gh zmwIC5>Dkq{d-053|1)o{Ad+7NVn(gVvtXSE6B6|_&Bvf<5lD&J7po&xUKvX1I#$*RpMTq-!TXqPutRJBZTWQ%2%B2)sKC| zdlv=fYLQ^sjsjXeBq-sR=M@emRm?0NPaajT0agU0`0Os8B5&!(UDaS}3L2)8Y7yi( z<9)w0d+gD@!6nF9R*XAIgt8pSP6kbvr|VicWXp>I>V@se8$YN!a6=2Idc)jtUbK87 z;Gx(%nKnqT*c#?sdSc{f{_N;R+UZ7$m(yl)1G;mGb)ddPz}-SW-9e}BpzInUM#D%u zPyabCuqzR6bWB}h2Ud0Kpu(vCKEz3qq^vLx|K7O^nUrF5CGKsp(6IQcHTQljMh@I? zEdrfK;6>ggGP`;C*0!vGuV(P}Bl(ZGYTxfEtXOH<4Ql&X)w#7CA;I$C_dkT z(aWKI2Z5a6Tj>cwkhf@3j(-WX3i$Jw7B(gi`Kpu`H?|%%OOnwGT!rB#Yi&$XQW}%}k|i3a;U>=WV)0x9tyBY5lw)#?r2c?+jr(=wUV!`qB$? ze9J5hDWb}a2Aj+x?^nVFgGIF1>wnVFdxVrGaLu9=xJhM1X|@tT?0 z|9x+E{+*rKt&+}nj?`V%U43+P-C^tslT^zkO6+#_MxOA&Fn-?A5(epWT$yEi-4tSZE`Tq#VGGnTxAXHr++~X@EqkCgQS9! z6079IFa+87#wlDK0_jx68MS=m4VL8Fesa0~bnD~_k6I?harDWD*vseun*7dJw-nn2 z4L?9KGw1*@sAzTVD^fc0B7XVc^?hmA^Cn9W;ul-Qh!W`pHty5hDdzr8VD}d7v*W?+ zk`T{vM&`3N&{Q@r04!xd8k zV#|1cr1xpMq^sIW?+aVp?}EDy7m2KMmU2Sh0Y(d>Ei9Z*-i{)x92!KEUwB|4dEro294ReF59jIw2RcgAKX*D z3KX^{Z)Cm7o%TPqt9ol0lileY)FiiKdU%;|j!a1ryIA72YZ){xt_2i7yhZ$a@O)&u z8mEZw}CZTg{DsyYE}es;$L z%3o z{=#VlFuvFmKe2jIH>uA(z(uCj0-#>Nd0-eX5bA(EXQD5k9@I7I?+tIEYht(NpN?TQ ze_aE)vTWTXU8mgl%SwK&sHlEv!HBUy$ax@r${ugG?)r$$;Du~Rt*ij8%zXl_2+VtX z(VVcUPc3AR0V699r0_=tbKZc*(cqG%1igmhYPA)8fMHMWd)8@60U=~cR zOX$qgQcl!o^WO35sF)UlJI%KqyJyZFbzs9R<0_nHC!F>c%+`ZwKF^uoA~eAwnKT{B zuPg1?({5y=F6}71W}K-B2F+04x)hTW+?jEDsq1>+u08iqk3-y;L)@)HoIbZiCy)$G znwu2A%5>E`%~;ryvoKg*_cv)S>n4~q_lUgi5)5=eH>e168?21&0x)T=(8M+~{om03 z50GFeoN8c|LJj9E`7k$!U(m{uucVq7*?%igFvc?C(J2(+n)88vod=@cZ3bepuyR{6 zWxBA^s3KK2+NC};b~lIN8G9BH0?N6}PiQS)uX?fcTAouBYnC1zDuSN{IlW!t(-enU zotXHyWhm=A7}(IY>@fmjzZ%?nbzRt4urWpYu^hL)gceqEEn7xg!tMyl`bg4WiPKC` z_1_tD)29aKt`;iV%uNceE0fyRe*aB`_)t35XTkZn^JyW^5?4!((B|Jrb9IMsp@4!7 zmxj24^_PWF3)Xyp%}(V+{pOfY_Of_+*{}DThGxVcKy3c*RcQdG&8>>L{1@8XY0TkB zrOY)w`}>6%UBTVUv1|9|%jAwK7po~?&JfdVM@jVU3j={&)TGP>-@pFWu zmvO!O1w$jo^9kRID?-AB&Q@97=+w720>pf?V^6#)c|O(BuVpUnYU$l!S=l!zk_|K5 zB`)%mbDRc1rLp$-J9(V!`he-gHf;0s&jn1rfhARtOUHG+kjt|dH*Xya`}M!l z%2UC6Bie!YUPoWQUs*V>il0#bb{oUI>gS0e?;X(kO^{*#e1>aOXW9=wp>#p0#DgxO zv`KMlior|D>bv{x`aZomZxy4mgz6QCPGJ$?>ecHdt-FQv?@10?rnSfCYlVHP4`YCh zwhzt&K5rhcSjx|ao=akg@`Z)OU`X_`TFdSrpu zSO@WW*ZAWg50T~&G-HEwr+lSIj81-*&q8h#W<|TW?o7#1eifo}oW}>5LMyf$=NRD6 z#gm8I52Ai~t%Ry(|H%8^NGwv~?_lKlL&vq#%o6#kL;)@#8nE_CqvYBNH|IQMzEAEA zvMtIxE{1@Q)96hPZddqlnbuGE{7~>o-#eh8QUYFKA_B75`;Tdclo68Hv}`nBaQgm0 zM)MfrM0;PPE5d^jrwwM2R~|->*DuJsdvAFtR$Xk*SEnXaI$`xjB7MEQ@o;1pIu9y~ zMPbjov(c5l)6_IcSa%U29jU%`z3~U<^WeH_` z%X_`hHve0n^2eL(u$cUfkHTLC4f=~+lxSuzD!1A)U)&I~BPMUM5BZA)rTNW{935>R z&V&rPYo*^yRY&-C-;t76ntUA+n2C_a2M{xvjOovxI`@#{zxSZTx1G*%{T?yD$yS}) zFn#28m#cbyJ$u@DVW-ivnNsKS;5he8;0tA1d%3;vm`%^G?bP;#m+@7O`MCEZ#IVNH z2fR*p)ZKP0_=fM@!ufdY!8gX=o6{1)#diNC!~|YFZ$GcifBXyWR;U`RSg#}(iApz0 z&DZE4Q*ykimtrte1C^+pN8gbr=N^OIh&<%nRcZHxDO}7vI-@SKx^WR^Sno zp(?nJ4^iNe>n?E0td=_DYe}A7LZK?~Nc$BZ9^%)V;T4q7XVK}j&NyAlv`j^nshuXt z$NMeO?T(tFCV5n+pDYz~n1mb8kb^dDVO;$)%Tw8Lk(vrDEm`1E8YKxzE^JZK1ga9f z>B5w1+dj_$ukn?ggO+_}$2y~DM#-?oIJGEQ*>t_+Cp*QMQU76g^atG%fkvCHBR%d^A*W~Wo^2@h8gt_>lEUw zoZyfKs%C6F6B%!45wq? z-y}d~XAKUR%`oi0^4P8$WH($~Y9)CJ#=!6- ztuU~iR_43^h#r;pn1o171QgRC4qP(LV}jq9aExIraBQL}sQXA=a9t`?`X#F5B`mV` z|L37f!E@8uD|f-Xq={$j2CwyrV$ijZD1FvFt91JwWZ*|<(&Jey%m#U_`vf`)%o1A< z81T=5m=Hix9w1hEp{t|lquPN%*0--3gz?0Cknb_KGnzWA!7{$T4@)Qs30rF3J4hq( ztxh5h2k?0x-;JShxw2J0D||Ga_Z(g?my_lA>S?**u$cp)#j7a+^J;oPBfPQl{A9zw zX{{p_ZROsEnY1%s)n2)pJxcv%>)JmuEnbo*B_pZWeDG)g^xEObdbozpD`bkbn0*QqU&8bi+Dc^nIB^S#X&m$`X1S@!e9V$YN9QK<8v~?*)MVGbG=7we+(_=P$e<`m`g zq`(hP{L`X((>xo$R_vqb;+5RWkXEyTQBl|WjBQ^N*Ye@%1CQc}yZ9V|vK-mH&c?)R zB^}oocaIWKoh3lm)P>&S@kC?R!R?i6L+J4&d=}()-+puknNauSpXm$5|AgT2h8-Ep z+Dd?hxIM^)4VJy5wX^S~SLEu-9b{$0$wtbLaih@;k6~w)V#zO`U+XJ10r4VzSV*568bvd420p8L+Loj(PVU-+SouzA( zSdJbUBh$`s@~nnM_&S%}aZa8S(_Ps3RV}w!`sTf^(EME%SAnDQu@J}( zr+3KLVOj6^s+W*?T@TXU& zexIPQ`pZnGzG)jUf#W}~0T+2%6{^je^9?nm8UTt6{7&s*oWqL>KF5GLDU<&Ch!y-P z>%WZHe@1bzq(OV1sgLBL!sNGVTjp2P(XFyym=iqgBJ=O`C^vH1k*YLid?+rH&HlZ3 z)}Kv$Y}GoZP5H(8lT0YqflP1f*lAyHWkfPGsFxr@6)e{ylA%CG0w`4o!(p!&j9@u^ zQ`3ZLnSjR#ew|0esH$A!#!GClebN^0gvO$suM&h7Qu&(?t%|BaUdR}2esr>-29n! z`O44Kknsaq+M2Q@ht5OKf_F%g0REYb1w#z?xA}Akg}0RgKT-6Q+ZVzD?f77d({%6- zV9xOz&g26m1MQJ%NiryF`?v~V)+A@n0*$pe$&)R_5&~OE=XeUE-HliaZ^hEBLM6^a z9lAe=B{tLTYSTWewvm0?#t8vA@$pJH!p`NqZwC<>( zQ$-kFR$U?B?Z~n_T81|NdJzl8IMJ{p-}vgm<#DY+FdS-f`%3CE05gPdtgFtVAbJ%w zLS+4Ac<`W_HXiruF9GWXSxz#GyF)iOx`MGs*~TWEdhUt-9z$yDByIu%Df5}CSo&@# z>+z{t{3Y-}e`vZwDBaz7C1J+x14qG_N^B5CQEk*p_811j7)C;nLjZ?n^~|+}g-5iU zn^oabV_qp5no>b_HVvzYGPPNqQtjJ58oTE&Og3#HAy-1q+L zCw$(p_p%%qyq{X0j)`BrhC2zat3qBZ<9#cxtBBu^uB&2wogb5d+oYa|EB9py{I3bM zMOg}WH;TiVK9Wl_a@3wr)W&jxpw<$yI@w@#%AWFg{rMrLW1|#jmQfz;z2iGa_#1G# zUVD19dG%`Y>ChD1sK`2>pKv|i{~h#bqu-&Ka*nb0Z>rE4#cyf}@53T8aY1s;Cu&S7 zK@i4a(JI$#!ghYvw4%U$`u=Nrz2^(LH}PBCoBdMA&GAAN@#}J#^poBTx%&>|5U%f- zy9kD_MC*gpl~0H3p=w)gencmk_6vKF;u5fDuv=H|aN)jam3t4x{3S8J)1iXlmXUs^ zs>J9rIZ5U4Me2}zLC)7)=M{aXuZ-{2nfo|{F-^?ZlHj$#{U&*DiiJi7l&SIUI!!mt zurll|98`4(Bn5L?{%XKF#;#(S+huLid0`(hKpx^5roVVhEqR;9d!oHdEWzKYT2w8( zi|#a7>BW=tJ%w-Y+wjN$v)f9Yb0+gNsl61u?m13>e?gbRx@BH3IKiZu`)%|(psTT5 zUNxbW20n~0S=6`hjW3b-ZQ3Vo1jl#ml{v|mW~<7p{Xxnx|5>0w7r@CZSH9uYd7m}G zV`{a{h;mqYZZ^N*+a3kw_T)=Z#$01{F)rBJ8Qi(F-8GVu9 zZl265aYe3Re#T7*Tj^V@=p5m6@e?U$>AYn}$D?8y_j}14@O?IX)YpQ2U(oo-<9XHl zod6ESX_4Rvp0-w5{)TJ3@2Kr}Ow`%_=^zsV5 z>Q7U9RpsvwwAey(0VV2oPcWrx2@@=g_NsW^cC_wJanO`YTtmweP?V|9xpzgY^MItn zh_It7Hf`xwvKd`03&k5CeT@TJ{S{YAeq;|1! zT;IbrmZ*gpj}*M+Ye)X=+uTYH2@GFz+f?U7XC5A1#Ycf-U5z9!kW`1x3%b~r{A+jx zIa}kem+82pszu!*r^^Mp8J8KSDV^E-P*QClk>4!Z+;3^eIj%Fhbyf^@3afB zK9Y%i;>yk~^fdACxFYUE$PfmQeefp|m&%&p;D``gT`iv+n>={MgOm?UdMm_oj7AFY z9J%toe5SIMvV zoN|f9wNtYPqJ|8?eAt92clrJITrr+gDM$I7+F5}@A zPq3aJ!g;tQQ`(Y|4z#dQ9HZy`-cX6O*jA`)c_q(K6|#?Rq0={ES>@2jyMVc%;ki>oEON2s7e@`_$0=94=tv5W4@@Yuvk&z&51P0O?YwTSsr)kmX)bR2q{ z(I#scwdQx$LYoKvsO#?SRa>_#?Zu5MkVui<{kBr&#!F0xd*Tr?!x82dq~S1jJu_RH z?ub+%`sM;fW@_zV^L?Mz$s}*^(MU7xT;h==Q6#*j;6}ANxC*4|FfU|E;*U7Wo@b>v zPJ-@|#HKNlI$1Br>%srpnfAszO@Q()b|!f8Dd^qNgv6NU2Zp~z_Dt=J?@YpcF!mvN z<+JBiQ2GTSWAHUz)qIvkYQ=n|1$S$v1+a3~LX+NZY&-ottm$s6li@|oA(Ve7I^1F| zT-RB+L5MyNKCIl3ghbaA7QN3yxtuYa9s5kFrjlyjq*=LdIae)tD}iiifjCA%hOoLk zflWMf23^AS$yR$ALa=L$B>UvUFx^i)VU6E~o!ix6bi=S-Y&>v=@zEZ`fCKVY zST~Mij?dGjPG5C&YD%Rr+&XqI;~3rBcBMF`y@pIu7?;Ga$!Y0z9n?}8@ADgSv8{l8 z?3()aV|yxE$Iq@u9<)^}TqV^;MiPya+pVe#R=OfGM?S+kp_Xe38qnzKe(tB0*AS14 z^OtQd6H5U=_`y_vApc<5E0B8d_zg%n$qmtAs8h4A^-bq=AK}|L_U_BKamZa~U$6jc ze6w|*+#9k~x4AKY&hLthe=tHjDtm1UpP=X4D`{;OD zEbD&CUlA2yIJ6R1JSz%6>YLNsVmuPr$6~xmoVS^cM|14rNgH} zW4oce zCX}}*%O^`Rx_M^9cSmsu-j?(cT&}!*?`ahNX{F#(dcmjTf={msel{iFek*0G(`-g@ zn6kZ>Wf{z)r|UA$!+)?p)K$ubh7dW@wM=7(XY(V2fpQ>yxHmWH$Ip3<0h)-Syj?uI z28O@B@^=4td&Acc<0XA@?Io};>U{4<@w8rh1=rrT4W4hHs2q6c?B1Wlyt|_`pB;e< z#+!FkeZ|(#eFd-9y?*PQ-Q1-x;0a|i2yC1;jkpmC*h0C>TV(zm*mByB8Awc~rIAZ6 z0GzVwI%sZ6c`~M!X8fsqTZffd%Wrm z6SLf_hKRFDVz`687`HoJCjixNayKz{mTue;R>x1iEo z*j+)^R9Y`$S4!`%XpI82h}i5-yU}s;Pu4YjfO7t#*Zmk!YP&Gv_QGJR&fQdgL*F&j zM*&3I@v}v7W8HC*j=iLG)+?yQbzh?qctMC`G(2wL=IF=6HH?m89_UXoG#ssA-dn_S zw4!j7M(ij<3Oo+Z?Xt_bxhkSl7$noGLym81>nOG;w7)2#;Sf@i*1wzYUtbQ?oI@?n zK}*0u&bAeoGUIv||1LS{7&~YLUpH-Hq_X@{uRh1h65%Uqf<7jm)pijXi>PbUnnB90 zO%uP24M+ajX|EUi196!-oixFVvOo@OH!PAfM|25Q*^hwTDXEj2V~(7OYiEK@{}h4& zpw;Ope`utExRKFxAcg)~{^TgvkXB(3TB<$uyi*<>dL2?B+EScClfqeGeQhbJ< z22E!X%sna{D@MtU0lK8MZ3NAhN+89@rIbnf#46vS=1X(C=g1xhK=y@d_2sd4CBG8q z#9;`!xlpGSZ90fK8Cz3G2}#G}6t?N8$^Qw7q~nPw`{BLR{H1BdaJp7RPkzb4IH#Ao z3_I5z?t|(Kqy3janDhqEuMs(KL&2B}aFNJqHID(5iS%U@9(znT__yl7sSS zQfo0g9;&cea_e@9II(1N8J!ljq)I^W_vnsdhKcZL!kmvHm2`+y%FJP+;bl(Ako`VV zje^<8*x$({3cq~sC4d}?L*l%8y1f<9I;$&w^z2B$QO7po2*6Gi;U{e*c-so{N@=&Y zh94^(oTMq>bcZCZ;<29#r_B_740jPR18C_~#s#=}3Gz+!?7L;1Nj}b+l?6-Q&Qw8~ zA8Ko{_o171hnE$O-a04v3L`g9LdfTZX5)z9U$acJ#nDqHBMvFs(;r!IhY?locf_`Z zAy#B$XbW+8%@3H1b|lj5wHf-z_DrBG#HpSlwTRo(yUS`O#>~{WWh9ajP->KAjcd>y zwy>R_m_&6F*sR8Fm+m%aE^%-*scR+TnXV3ti96UJ*%FV`uHLIlNn@xYW>GdnWU%{s zpOw&N%BF_UEtySnv5XADF(-Vd4o22Z)Y6akLU&bXm|AdndUf*j(#j$}MhVB*4OHOz z;!Jrtrtx$gJ1Y44Y2v|cbYgIf`tz8oanBsrv3gb?yQQXse+X{F@OX&KoeS5<4|>ZG=>Vb>id)N+XS(M7T&BhI#Hcct{L zQIeqINEdg&U2QWkV`y7)y)1LBw6US|v?5NX%(%$7%4vpr%|-G)qc$qqn$nZkN6Wp1 zh7n#DjRA5*o0<`_49GFUq)Ss&Mh_$eVif2fyEVy@GRcB2U0S!tQVyEzFq~eS>qwj%CvFM2_K1s0&%=AEoyJL-svDna zIon1BU{_7H9{c2g127yS3%+MZLte>c)}LlMkS)@YTx_AvE@u@U6D$>6FLH|HNdz3$ z(d5Z{n2W#LUCRbCJofRECSdEzX0I5z5Beul`!4%Q;)gswbI>xb6JaXEIVSB-*@wYB z*wpKY!dn8HS;LxxK5qhDsgi2B$b;iexn}klVgk&Dm7BkxNM;}p!{R=hlG)A)TMd6R zwos)=|GixgGbnVduob93_12lFpg`@E*qz+^JaM}I(sVM~d`KlYC-d6FjYLW8uRuxE zV^GHpWpQMV#^@YaSCXidC#L*0A+g?s92>_MJ+Xu>a5zy~dQ&p5Ewlb{{ID!k(TaRp z6e}y<1TL#71x>&*>ym{&aB~TR98VSpx4EzSS{%j1Ar>{izd)f-Lby)ZxVYwv?A_-g z-5;w!bT|)YNNZ7O)nV-XTB4AjLN~KtTM^6Gu7?HSJ{qBge}+yRSEUA^9D!^o3H@60 zSUyriDyR=16h!Eh9vByD^rsqU=};UQ7id@<8ds=89UIr_m>i2l==2{O3pPziP@_Gu zxqnRs0N|MbN7x2umGpzm)iD%;{F{D^~YyudBZq0zLV7BfVHK zb6OZd?i<@|)f~hr^ADT?wK#aocq0L;QGHxN1Wexk4dOR}1N3C-Z+q(V8vVHdz6z?@ zE#a2N(GZ;5G{^pt1Fo!|=JcIx|7S*?G@Shcw%NV93=8$cMu1(z34s1sX+)*ODU~nH zVnV&&gXF^0@Jk}BzZlPHjhrW&lg{u&=veS;OjfuG{ccQlg7Mj$R=&7bkfU?A&M?C2 z)gfTq^1!gF!LX)pGTqUP==^SCcsoeA>0&i|aIzO77;fk3mj zmuT7cAmO;Kxd|SrxY_I$=bfzI$`aIPjN(~|=nhx1{3Jz=s0J3{ltIcedkBdY>BEB* z_Jr>ZwKX`821iP8p-%-xi%V?-idbu`?Z5;r8!ffN~93Z>`diON{ij=`K+rAg3Z zea4`zMAV5kYBh1&OwAu43(f4IkEU&ho!69T;QosShnEIMA#dB30EAwrf2wt=%84!v zXJ>q4E(!TdIw5&+oqY7y)ur}<(H1H^xvKd-WT=N)HNZbd@t>+ z0P*X-Q>GcWK9M|BA>+sBJ0e+;O1yOMb^DLK4ZE1KqiLvlts76X`Rh+J6APNYPrYU9 zaI=&qxAWNo_GL|Qw_)1!gx=e#w&X{O1y4_c&cpSKh4HEiF3V!?d6e=EHi=fFja35L z15MHDKyhz9nWen=aeyZ@`dvJIwvD?FbTWe*G-pk`3Dezn-YTed1CcgvE^{X z(|7CX{OmPMia}dv9J!E~Si%_Egx0R(_S_Z$qv1{S^c8empiSIvY2q?>Q<=Vuv^$WT z?5|9b*9b9ZlCv!NV|6U|F(>qx$*y3t zIu^%sM`LOT=ckB9^MTibu?_|0+UE7L8BceQD!Fq=nyF3iiIO_UxA&Fs+@_nvwB+Z9 zN3NO1?;EyeDHQ%YU&iHTRL2F}VMwDx@+a&y=C-)-kL`f{&RnwZJgdt)%hAn94$nA! zWvJ4R_>>h-K*j`T5vt>G`FZr5a@z0ar9jR7-WKaru1;j5D1rSEW5trX>G8t(&dTYK zabE&k{%7Du=Q2@vQ6FCAJVxiab)q9^diF*vdToF8t)XFm&Pe5#v*HY(;+#FTCjQT= zQjskqJN}&0@3MMhRr8V3=_KU>dN%b(U{y$3RUY=({%WH%a3v&ot#YKrAvG6-voN#G zZ!b9B^YFNHak-GUwMza+HNmR7dBX~WxR|EHoM7_-h4?mv0KgnRB=JPQqV&1-@^}}N zIZ^Rq(mF#?wP+uO*jFI1-)OY$#k!)LUt6}mFIY7lk~B67(vpz#F1EMHKwSVNQQN;W z6RaPYb)~W@lRxa_d<7)_qLG3+J69M zqZXtMOZ7Q)v+25A)g~ufy0`pF)^u-)PxcItk1(;r)7EkX=cc1p#fA1(od`>h8VlkF zYBoWjY!93s;vbEl&L2<-q62-o@F{uC9~`qj>`!=q@;cqrc?A|hbDd*+)N1begn7oa z^U@**f4wh2-_~~l)$`I%4u6kz#_mN3VU%A<#%s zdzV{52_?qYI!+-#)QhwB&+DbcK*CN!o2F~!L0*IJ>nNyC5h<~*y@4y-T8H_W%@{V8 ztr(yHTg(>~ZQI!fJI$E}JIk2{=SQap+3!1txjCkb_B1&=Tg*NP`wn*`4?Ffek!@l? zd8Dx3Y}l@NA&r2G^^k9FzCVZtm!=2^YoWS-U6bH(^LGDoB*WuY>~6m!GL_+SI19W^ z$Np#&Olw;P0)7$RV62)yoYa(MGYX!%Hh@~WHmKM$2)@0xk_xIA`8=lIGP`ML`$fQ$ z6NS(iZ#tw{>0DGsS=4XKfS+ATckuRzIU`1YOb<5v>cSQOZEQp-W{Lz8?b zR)tn<>NO4%B&=xP17^<-09i=`=7dnf``d*L+EiJY$c2=;s0xs#ZVxzY)y;S27FJ?C-$D=F~M>_4;Lh zEz&>sm!Y)k1+c#k(jcugUiHcm2s>`zU~tjI4YeU|4WNP}=?O#l%vm7Dw{9y~_(%iX zi6_GUAt63%Ph6hmwKp;!z1;_o?D82xZYdy+uad~BaQ#D`%0>3~ZB3ziKQnlMmMt=1 zD@@M5B>&5nF*ZtO3d&XmHVQ!o$}=4bYKGc*kiucL7&np1l>%aeica|8?T`3uKo^W`K)1Fc8A{!}_bgo&wIC~r?LC$@{m^s#72hN_a1S^Gf~DH5YHjY^7p=S+RK z9)7_fJ%x%WN?m(yTWVh+hY9BEtAN%UA*X}GVO9_5^!Z>)Cf6|va&6AWXZHB7l-~;P zN-*xFHg&;K*CpMO_9e#FQA!#Ft)%wg#Y|7vO+rV8Z zf0`dJokgY*i_`j8$kWq?5evXFI>>Z~g!YFB%M&^c9mOhtwr*D*Z~|q9BgUjqlw$a3 z_KO{9*w`}C;Z!5li>nLVY$x02^~{oc3>xWytg0k=6@n3|Paex0^An-AA5~LMp-&an z5avuXSEf>7B2pdbvnrTDCytNVVO^03-5=2k>`~mA4Jy9>(1}cG4DMlssyod!M8T-L z$~OFh!RmeFH|8>!^KoDy?-6#?kU!x6((-lsADgDuBa=gcQ>hwu|xqf^KhU*gk({{t~I~c9# z31lw3EM7Y7{Vz>vcQXKycYXWQ&E#62lsC|_cQipW z%3au3m*q(4*zk-OZ#2#MJ3S((H|ejm8v*wZUZ2fU;woz~mx9KF)zDB5^S&AbR zqT@fyF_xaeCk;r3I+O?g6RgOoJMO4fACg4%k@pv|_IXFgjT;&2>{A;?9;}bGpau}= zAd|bcOXyyw!>95tilvVgW4c?d#ijn`Qd-7|vEoKr#fp7`C0xtIr9P^ zn~N7g_D^W|x&vQus^R_y8z_~uo#?L%4P@(orKR1>+)k`&Ma;FmWG8Vjv4@(tX#e9 zOUOgYt^S(YU1|y!!&nHsMCan`_!Kgrx_R*chex36cMTaHm}i8P_^*JBgH9+IIfUn;kCIi65vYdbF4v65#O zWL|gye!OgqLYkndJ*Lc+E&Efj)JNWCa(k6U(CI`CD2T-fAPDM9OUeXG4fO*kDF=k= z#~Tb!>8%jvp!7jB3?;2XUIZ0JX0`TSE{H_jvyC8SIfQxi1=SqjP$<;PWZ6i>UGhX9xl^T`z!w%&9purTX1zh(CK%tf`n)EmbEF=GxqvN3e8Q8$SO+f+n?aO&V=@yl3deKy{2d2;O z>_zwJxuQ4y!h`xPVo*&>4)t5tpn7Bv5hJ;)b#s&igR82d#v!#^IEb3>PfB-B95r3u z-pm?hPP$!Fii30{)v9439xCZDbIqblOgFy?+(;NTopxb08y9O}0ZN>xKu|#!S`w6^ z8jiI4G)!i1UR!nWYiN(2CnZ!2ior!Q2~>=Tf!E4Se_PXN=EGySU{Ed3R3Bb)L-`ac&QPM<=+7l96A28qmYo`PIj!9Vf~e7otf`7JjDTsZVYp%mr2O8&c(Av1(~H& ziLLzLUfyHiT{an?;&6;^Om(au1Lj%p;aRipKLuL$QEF*}d!L4{w&RAw4PRaDg%d2v z&$ff979_b+zTsPXLoYKpJlIR?`!NMUcB5gyC@zYB=dJ$rxzdG@WB8B0Arf$gd%HR+ zU&TX7B-YL8y6)nO2^_LlAq_gu7}2mfA7D`_)!}9czXy$3b|=iCRT@o)SzbJ{hgw!q zm^`HAGp^4j9&$HuM<}IdzANC%iV{|qKoI08nhLz4TB@`@svaCJlA@ZSw0;ybXq0u; z*fdjrd`<&v`r88F4^luf3!n`wr2)-I0SowmdZYl=5I{AOVmz%&2smJ{*)1|Cd^i9s zIw(Hu|0OT>0f`s}BQm5*dDWmodjuoGcm||^3c5lqJSa_d>1Hm3MiztWM3jJvNGvPk zUOnA@{yvN@v__c1Y7wR&dVgvw6lwhkJvhzJii;#E-Ie^(rtC~Hc5W2Nc$q^G`^5>|Cm#p7^^pJA z^cYt|6G3@?F!)bcu|ds%_=2yvKAX83Frr@vi^q}v=RW{Q%fk8L2HBD&D1=DSZ4(T< zii;9VLE7+&i^R-9YgmeZ2YLGpsZDUF@-Gs09MTC)-+`sE&NOW1;DShPDt7izwwCvUl^ zOX;RJg1e8PK0*HuU*q-ID2Ynzs^5e`pt4i#&J+?APHh#w8Y>wT9T?U%G2IxkItJSSwUNU_YJwm!D_mi*AAmn$zrk&fMX`BAdW(TI=${6$ z#vL`^81(P{Eul?|N(g9Ezn&TA%?ma{t^t(%_V3A#%R|5!**%~O%YJ=)iw3X#11c9D z2juuA|0p+w6du7J>8+HXK;CGh?G-Y7C@~hL^oh;o&@P)uEWDjBJNMA=ej839A_Hgy zOwwEW{Z*EKc9N+1Y*I^=LesmH3N9birS-jIkuII&BEbv18pMUut>NFRV~pXR)e6Bd zj9+{v8EXpD_K66t3MaG+LmZ(|!=O3__EF~~9US8|HeWEdQN$um(btcHuqJ4r7HCTK z5i=2K&y%I93a+WFm=4L^2$apC2v()HK7y|T3|(Uhra#xKPC_pcCZ*_60<`Jz$@;@7 zp=dLa34bG~c!AVBc@WP5YQGOp+Qsxw3o)5J(B^GCi1Wb)OHhLp8U|D+9b80)P50j? zVU@cXR40SI6xN`+=F3hK4lX=%W=(S?Q9B#DJP>Z+iUKZ%!k}6{tn2%2Ow|DEIZ{B7 zBmxEvvs&@0a&p)AiWeiI*Xcib7ckPDmKRifpJuA4_~2r`6<)v?{RMmx7caETnIdj) ziC7aPZF*@~bkI7P+v6-jBE4vpu^DjlXd6N7eP|sKw7n|e7D--MJxT4~6TZEO)c04-VC6#Cod=< zCKAch1_^;*iW*+9wMgw^{vdv8f>|XNIfiB~J~onH7$PcntongZX8{SQNcw4k4y9Da zo4w5TsdT`0KXPLnzcY0zAjh@ew!1;7yhLP~2rH1>S$IB3W*acH!D0Bq#wY8FBRuBC z5A+#p%8=V}8aZ|85TLY8eI~!0@8X3%$LBl#wv7wD!SfK^@rK#z&EMYk%0;*Ub%@xl ztB(fbD^zZYnt+cb3W==N?; z;4{^<1$W|=`sbPn&Dx?Wd4-S=`DywovOM@YtA(R6;NjMp86kKlX6wg+Mz$ina@T#& z1xBZ)jXXaO#ISCk5!>L2vEeVer9h)Y%KY?a^emWz@ybbej*#;s3l40G*I{$r8wiF-?=*-uR%y_q25KfaP%P6_%u*j z|LYX7;F!yB*NSAuDhE1Gc1_EYD2@zhZIHh_6fw?B|RpM&-hT0V^DC--+`B5p=f z%zWeu7b495K&?1s2!uq`TM=nKP6LnF{7iNEm>M#AfH7KGSKtFF#Vi_g&Uh$ngP3&0 z$FPx5c6J;+3lfD^ygl&OMx`v4J1B}#bsPlDbLxMo-G8Z|^Pf?a@`tXC_Qwprs?KBo zC2h_$;IkBurG$LAx}{HR*O<-2xGfgpw*bU|Rh1P|zvT|tnVp|Z{5mi*JK;>T))iMD zNC4jx6|+r+X071}=|g`k5#?}-^CKPsB0OgI2!bZ-ww_UnArAWyhj1y$?cF{h$Nwk&rtW0bzQ$J zh)OGnvi~1vZyA&8%3zS5$t{sVt+Y|z;Ho+_PK&qc>(rk{@uF;&gs-Z8Xt%~cHv??S z_pAWhayo+2r_)bJ__I5~A$P-apEUFaW=9yx_2Jl2C2RQhlbJ4L4WuR#@Z@-EjA`mO zn={pGakk~&TWT>ddajL5Xz2gf(!ud30nbWTSyZqt{ukv65?S2;hl*JvIL zooa29yFa})$Wu(W`p!=MsjbRaXX$gT^*4=HhwAlq_0x^2f3gLH+!n9tIj~laAFy{K zZEk&{aEDsGgr}H)Xpe=PV>{KZ%Unmi7PTe;6g8JaUe_vAbvH*v{(4E)=qpveT;vfa zQ|&CBi9077N6*1=*FJ&<yyZzK3K<{J}ESJk8k2cT~E(#;1uUXlF%K)lZtOmB7d&j)7)O(Waq@rcjQ&y%~n z6?x<&-F_!}h>RE+JXVhCoh34mWL9`~>*W=KNunD^Cq}Mc&>wM+XQ=hVCXP;tHWn>> z2vP=L6uI#vs&gQb^3PKCt|w`A(U&u*gAmJ(o9vzG*(C6!p~r{D5yccjr$@GVzsik| z4P#&K++SbDM=G0S49AJP!L8|hXkjSa<|8^v@PmwHC9Mx9Em8|0Kx)N3W?Iy-WG<+z z_h}Fux6_s;tv8FWSAD+vuo@j*NI+gcG6ATmiX16IzeSdLF&J`s)J!As>C`7Jr=6PJ zlk-GQ&;;HkHS3f#8mAao)ov<(tU5%-%@yyQg`Nq{vCOvn2Zj({kVV3tJVds}z!UZ; zo3$CYP;9m4=$nQeLyqCwB!f1{4s5`p9O__4dJ2{(+ZnYPJbKPfB1||&@(yJr67=@= z#5g^!_rX&&C~()xFK_(8${F+A7>-i3jyJz5>1ezB!0BwjApK;-E(_cKMn0R#1kwT@ ziFZP?PivT3flmzPpVV3sOb)YbAAn-KWo0E{cJx)`F#1xoB!N2j@G$^pCeAA}54Im@ z%11HBvpw$Taax$BPt;J;r+`6;(tu_Km~IB&%ZY6+W*JZ2FCd`+t|(A!+8?pTewV0m z)y5_~zCPADxFTjrfrvYFJqcInIc7@@r0xta9jZrjxpMJmYF@78^TpmvpL?vo>9;!6ueX0V z-Kf4hRe!px@_l75@5|Y^l(v4FU)Qy=t8+9}os@wZPJG8siU5+~8S+sZ81kVqBwEA1 zP7H8*)_9HVVcMsJ+|kE<<*-`4hXA^&MIyj(Q+}QGb?i<_$Uyf=cbI+X)10c2`h>zUY=vytEWtfLO7UhlOl2-U3&o(ksp=Y$_@0}JnS zXXz7rkaRvC zJnmxl$%-Uh(3Ok$BIxF7vPW(&U-0rx#tGr$Z3xu9o$qiJ?6*EkV%L*28ma~Wde2on z-O#4E5)j1%0+KLi7c5?P4R59Qj4Q z<*gDi*LEqEz3sOC_TCtqE`T2lQ{nf7nqoQE5gTh zw;#ITey%iL!SeBp2;7M;mwXq>gCN%j-QTHelRf!^ZnH!oxG{oHqJPRyDfvhD*&gn@ zv$Up=UdZ-L>qy%j{iG|%5@)V|b#KX>4c=b)jZMgDom~oZcPz$ypWb;Po6)rH6!fC) z7`%4-RV*m2+Hl}AI^=o7N22Z2>9?;+H?g(fqPyFnyKAQJrII*S^6=4#V0>Z~e?0mt z{*1c*~4=R=Fm3O*PzX`$z zTfBODQmtpG6&EmXc@^hZ-l#$QJ{Lf1>|8qu=TG8m=$MwyEQL{ATdna1EPYxJh%#xd zEcmJBYA~4jBnjpVn!p^vJJ5f05q6~6AdvU@Bg?$4aj_<_cA$Cqys|6&ej($}M*;r# zMVz+xg!#O->wff+kcR=XxezzF&cmc-4{AcUi?=m z{ue6zg&O!eYnQ$4N@r4v1AA$v$R!Py>c(sd14TG-{h|zU8uD-xLKB*4qQgxk9B_|f z%9Z`1B6I`Xpu;y(69mN>>xKoS*!hGtysks5Puq`Pq+3UerbOeAq`!;Y*FNi?i%bxb zxZI^FK9%0k68j4Z*SXGWNfc;>HqL0W({aF^F~eyM2UtgmWwRG#4Ir2zSClz2ytXSx zZCF&qa?XkkXnr37@=Y-A;OhnG|4w5EI3UHj z!dlAqS^SR2vlE~g&(;}ci8hA{ybK8=>c+3P7Giw7RjAnC`Sp?i)in*t-gsVM4S-#w->FX$ZE!>Gy?x7#_PW(?bJ!*0G7IY(hoGFxh_~Vy1$a@vuVa~R~ z5&}p#gN}E3Lu@&XMDDaPn9l@IrM9d`Z&?YNdP)p>EJyE>{O*h3EbLzddRnX#)`DVA zC-N_36h6mN2J$H@2k?~w{sHjsR08-4RRRcM>@hkd$c{0loNy(D7|cH*n>*w+{=DT( zvj~sb)1or*Y@;VZZg^$j=-9*3hKq_IWKe`;{FrrAh@4ao4T!!UmGaSUUqB@1k9xKV z-oVbF^6t8FU<==gcXski6A{~}u`xBE>>^lO9`&JsN}z}?8m0ePozO+_sWvg2Kr4B+ zlcwWqo(&?mxE< z`nE*XQY~xwA$hirrcm#lcvn`48#ltKHRk#nF>UyI90;E z9Ob`!!?I{SO0w`(=42#h`=#Etv2t04p@5VEby3THnVa}-R?Mvd-SBJ=Ati8k64{j6L?=c;S z1uY_}SmJso9pno2E}w($|wJ1V|mp1UepWwij3W|E**)e zdgbpyUmu@>{lx71bF7&}iMvV9gFTE^U^3tC=DcseN!-SbBiGnNpHQ7t)!i>bXETXHUU^=i9>Mds z!`EuwWp-=ie*2n4*0~w?CV50uiE$YbW1bZIKg1&id%vPS|3=mh-u)>d#-Sea&cf?y z#c^?{T+x4X+Fxi|CJItgChl5b*L8(<|HAnole_o9P>uDDcq!Uf=5{|qxrfhK%^?o7 zluar9|4QCwQ^JovRKf4YXuvp6GeYq(;s)ltjTW7*ks`Bbp-6Di$52X2spleDVvLo! zmD^G7nfFlpzsaN2;VbRd_n+sz)#`76v^_xDb0F>iGnr^`lxx6>zGW&Suq_m}0@^b4 zh&DP%^oD=`8*#w1S+9>dOaOUDzpW#k`K;6jPd?0vb+1d)T=R1@^j}Uc3lSF5_LpMxV>v9f<26m@zLqb1g>T1;ef< zMot9z5K_EoeA0YguprkndH%YjKzUICRSs(eOAEO$CdkvfF%Rkj9XU8gU|Qf%dHqQ; zk~0*TNDs7p$49^b{FZ4+P_K)%gdY+V5k~yDJ0pvFli*XV5OxY-4C+E?O0w)2X@D%L zYvx2<@$3k(X&mMgI{Jc6jNJ{Gk9R~rNv7yt*{wppRh(G>cD{L_s-3ImzHb#?`jrCy zn48B+Iuj7Rs1o?U`e(F{fIX{X@vf z(Y?aa&lVU3gq~_`pA8zQH9aXZffk5a9~#J>YPo4Jl-HkjM@x)ZX677YU=lH==xHs?ukt$mFRj-3&qWIrpAWgJSovd*qZKLr=VV{S zU4S*!D&MPW?&3%5sfy3qoK^M9F~|QSIciX={JDq|Y?CldR_*-Z))5r}aeTU00_%yWrXn*RqIXfLsRS+)^=omzeb*DMAEAdKKDd;dJrxsqGmi5v zz@uxS@0{GfD|RovQu-mVM%jQ`gOg37W{E< zaEX^q-xnn*>XJh9ov0L{BiG%D;(5ohCubi_?^l_))#c`?IJr^lLGDL0ci?*+ZnB59 z4x-gTPg_JWZICdG@8gDg3%^O>7~c0n#FZX9Q|KSbgFXeo{n=hgmqUXhzP@P7-zhZ> zY0J%NxH|G7t*w%)bkJJzw6#)gez0aZO3c*()>^P?6AT5~8jwp(GK+D2MZ zniC8I+8T^hjE|_D0!>lzB@(*v>A&pUAO?~;1HV&FVJuQ2`IPVld6@>rbIB;hGhHO^ zFM8N9A5u;sEG-8K&*v!pB_u8r&DXw@AOwmr!@#wogBHaNm5>6(C_@6Q$zjxx$P2XL zA(zDAD{#fnku$dlIfO_!FqS1~2G%LOxlET^$t9==I1pB#jo$SvNrZ`Ms=Q4Q6EX!Y z0%1F5WNRBEB^{*TbznVc8Iz#Cmb$=miA3pY5v+}{!f}|;0<5OBwtyDrjg{o1#h97l z{*9=e^9zL0L!?9gs3y4_q+@^ctO zPgfk5#s1neutf`$SZ3Zx>z7}&%%dP)|-6HP%vVrih>ENj$)ZU(ro0 zta3bEqKVidrQDGwAV~K+69y#lS1$Ljvhw_{_hiS3&zrtb%JI7gXIK$lR3h&AfQ0|y z(-R;?KbrX(%#Rf@oLIvd*r3Q2S3;{uMj$hfMT_nUcNF=WTF42=`N}>{y!ir@$S_Si zOC{~y>b$JCWUfa6{H!|)rC%j|nCUbkju90);i$0CgZmgWTo5-0T4W~(=9e~68nHyC z&{GxP3}EJfkc-tp#}9CwMv#Of%XNWDgajYth?>sN^T{aj9{??q1;|Cpqny{efq0;9 zm)E*qGYBP3^8r^j&qoVf<-a9%p7zAL8hLOlYVnQw6o2!WMcAYA!`T}v944QXb;e*u zDQ_79b)ie)fTMPTi=~+%UOjDci_(px@irn>rbdW@whL#@Q}P5OR%Ul;OCiM0I$$41 zH*$YTKXO0S==HfOE)s2S#W8G~fSSbB4Om-7VvCQ0n%pUe=z7kLyMRjb5{etn~8@gJXA`~&gaEUYa?|M+a5ky`e9s1f($sfJ&>Bsp$Bc7Ma0!PJ` zaHZ8Z@0?a;!nf}&49y8&AJdz7rlvM_6HI8HxeQ+&vzYXKIDM7mECV8)TINNaie@Dn zawmo`zua3FkRQ*;pvy1%X(O3qAKtGHD+WLA#*%F|9`2?X@+AS9+jur~AHEF2rA9TN+WEkrjW!9i2KqOt7X~DTD=wlrW?k+g{nK6SEN z2#2V5I_yx3qd`u6zfT|+O=p{JXV;~mxK1`YCBsWNPx80@ymxmgk)h>V8$*d;b8C1O{n+TizshKwkMrv?cMIBpLb8U zFY+5d*^f@!A0aAtNgtY!0O@@+AKw73V_d`kA;(Ew|NCa2CqAsf z^dZPK$FCJ9uUZFy0UFhfqNkiX`t#&^zx{K#_r7SIxhOkVzb`-B^ZCp}bEiyMgk52> zhQas4gthT;#VB3>kLz z1UsyT2U68DoOBJmEg@fkT}}y8z>C3!pJ(xZ85=GlUqTF0V}w`9%O?9rsL05ajT$_h zLWaKqses^YVi!oZ1RIn>hQP6JYa2*rhZKYF($?0O!ERxLWcs5FNg4^J^3BhH7?3L3 zs{hrO0`gmhphZpul`L9tWGopqPf*J~zN#^x;t<8Y#5k-_A0vci>SabHn{brcXc44P zUn9h6?qznG3B;0=4p**v<8vww9eY~bDkLPqi^);I&_|+|P>H`1+7vOB`t0U4E617a z3yj6DxnuGWIC?m|Xhv_wUzybf)kXYg0j}N1V{{?p_@Qi6U6~=(|T ztmeMQ2{Gaw(Qt{%V6_BsQ*6woVs!jaNnd(X3v?^eO>ySSemNh*NIdnSF?I4LNT)XS z2wR8@8~h{`LvU3Nd8Kn$$#`<|Y=WAnGX_Bt!+S*ucr{k;RTktT^}2L|5X;mPrF`^S zXX0ZlfFa?Vhhl26P*O;~rsX`))ci9Ig+hRkmLPO=de};uys6n~OF81FZO%u@w=tgV zR8!I9R{F@Y*TJc;>kP}2TTLRtqqYgpgmqWu(C5c%n{0wrkvZ?M+QZpW4oZq9mz zM=?U9tIbKgWxX{Pt7r+;PPh820u{1VYj$J^d952~A{!NT9+V4;EOm*Jo`ebl_JbU? zBa?B`lq6>eW(Xv&g!xNgT7czp$eEoM z;KNKQK}oTOV4fhLXn+)@ELk7JELmUUK`v6&THnK@SW=8o6ChVP4FR`e{ex&%s>&s= zbPH?w65DP9mKXHsDnd!2NO+0mLk(T+a)l|L5-bzME~3n+K4X>O4`Rn1PKYy%2`$}> z40DAsVTvIq?gt?i7f5S^!ujAD=kn7!+StVVE*AaXiR7tjz|8?z*c=kO=p~ECQM|=q z0ZuXE&Stm|f8K8j#4E90j}L7DMzWtpv;aS~iZSMp*E$L%H>iV3aH?C&B6E2(q1jv= z{%bjA71dD1{T*RvJ$a=-SjibEsnZ0^5Jg@|7gkaPTAyfhrcCj{SG_V*7)xiTHW+>- znoJeOlGv@lSi{ij64Lfw>B;1jZ%MNDC_jj*$ExFq9Vfz6%3zj|$R-e(Bh#N^l(fhs z%#(=8@x#!EI;&0vG$NW!B7>@xh9?6)1wopmPG;bLO#m1^{6|X zg1}y;5W#LH4oEIJ+S2k05(EjUV3z7)V%W`0W9Tmze&;5kI~-|&gBRaX33?qqPG(#_l@&vB`iUH_8jinXGv9}*78_2~Ja%V`f2sP|~AY$NlizBb(4>SFPpHyW6u8J$%bjGCa zk_>yDDPcC7NGud9u|;US1+QUJn8`<^Fq34bz}|}1=@h$*^GTbDbuy!a1N$l z$(-CYG$+PLF=FGcWiKn^9$svL8~DVV##K_*%A3ViQe+Ucs34P&bp)@%Nh~IfMAPxT zjDUGHGLF4ClY)>6<$Jb59cH!@(6D%DI{l5 zytpum4&a2c;OAU|^Oiq_dfCGhEF|O+27Tr#IS4CYMzM-~qh|${_kq|M3JI(MA!S|~ z4~Pa$dax&wiZ zomRB*wZgKpOxKXBES9QkQr((pEiF0XD3O$WTjh4ymJk}leR4Ut#0cFY3m0|+mCnF9 zY@RlJG}+W2)2P=P8D3uHl1SHyqKWY zh+i5ImH5f_DN}nY=8yAtg9%yZl`KmdXXVy5g0yCxA)S?9hBFB8Vk%ct*!RpdQ_PzP z8VJ<7v)mSt%c#)r7Zg+%yT=A~toZpz^oR+*j>litj!XT1l0o(OZ==kGB%aRw~gT7Z*wHQXccuOq`y4oXupfG=1^ntPU-^-0Xknoh>o68wpWZpjd@Gvg|m%4ct_$j$~FN(pnM0aLw@U@r-ZBv2tq zCu5f672blJQ>dzlhf{P4lPur z5EqU^U-{DbLA_sm5C$H=!$$az#pXJ=`B!x@d*el$kjCQEY<|e*opxs^m)*`9-)HH% zAZ|3d%S$OM_8Vy)dyZu(Q&g|XvwA_QGiD00gjw7rA;B&Q#ZLa;sZ+kC}QUCU>($i^T;+}gqoH2j)X|lH^6_U2T!&=es?Q+{Hguwt9tQr z>ipd8A2Ty!v%zvP1=U-1ot$yb7WVo@najXzIry%&*3gZjF-7niRdI(~NVhjP*111uoG{C+!CI^6dsJ zO*$-1dMr&^EKT|>Nd^}OxLRrU?Mz?Y9>h+aT{iX<+b4=_(s5?}#9|HD!pxGb)1+3I z7z=%`IJ}Z^|5zoBE8@K(eWd0P&(Z!mw<}Zei zZODZ87^OXS{)#FS&$U=ue#;e3eL%G9Rk6HJ6*oxajeXe@H?ZQ3{R1EjnjwLS(9cDN zQJ!f?zC(v}XId;bIIT)Qn{^KvF@bHtpJqQSiaU)s7uIv-7{>!SF!$3JuW(>hf3*QA zq-C~uoyqkNIzufhHH)vyhskqA)KHH?Ev^YR$~|LG7rKY1&P$j%fmLbWnKW8qEC#Bm0WNbt{wHgM>0@BXOu8O+aC_kp+OA>lvG>IR1;D!<*1PoqUq(o{fum5vN-Uaek=ou_aS`u>qUszQ`bWJ_?X?)fe5An%kZ-jRV(l zrlA%xn%nf+b$Es{nv4x45>c7euyodSc;C@0H3EWbv>{O!tYMesVOMik5Se6?j1R2? z(QTIznYfdJzIp{xizzqgu{KoHNPT3*N9Jn=ILgWL)p(joRD5j!AvYqAC3>g_9) zbdKRiskO^VBp!#^(5ZYiLzPM^)%muDB9)f?4Z3paJ0$wgjPm8(G0f5z+=|gYJ}6j_Vn3#>3|+kZ0ENk(VB^?4=CAAzOEmM^tLvt?gX2&Qy|g!M#TUQ|S0-gVJ^ z%yVJJ$#dZbyb$1}0RIl~>VVe+ycv$4m>*KFXTeJne=k{YLl^6VF=epxZ|=^@1-sne z2A^b#1k~iP!18omiqKZ6T(fGjtXy_4%=<|H*zw+;@tx>A-l5A^#Gl5s^S4iLH<~}n zbE9#(=FB&q)a6InUVP`u>2mFSYaeTF|1&LwerV zAS624pCZ)iAo`l9UmR%Z162{{hmLeJ6dBIh+gdQH_MEy_v(XxYCS{p;SUT~mo?o`e z>5BUP8lrF|+5VXDgHgdls9X~jOMiymJ_JT8JLAGjF_D?3J5Lom7NPzCyU}Fe#Pj^N z``+vG-M`%Q1Tn<`-on#!;nR<@(K$U9@_YmIs|Q$eY6EnYtAC-y;+>$;{OC9CFTA>} zw1VG{YBAzm5YC<5>GOc&cW%nNJmc<+>z^=HnNz@{@Y_uqojDI3TuixEJ#9CHF{f2BF*A#Wc#QWjz^evpM5 zTYX93h#$rA&3d`Y3ESH^^??$OM)VN2*?VB3NtiK)`X7+?lFu^3BNHY6)qd$=6x7H{ z`!!q4%E+bDi=S}Lv_zxlIrgYdjAG!kGYkWpf9aOt!w@3=L|44xUNmgUWdPJyl|Z*j z(PlNnR;7TTPr$>^tONl({F!JW2T<)#yVvJ{O`G}+q8p2}<(Y#86g3}Sw8CYp3f%C3 z9H{Dt1SFOOG)N<1Qm6qzT4@GBS`EOPGx+rOxi}l`&y-*8t?XR*sGt^fpNw!Z>nSc+ z_hz`iXLaEETHA8tX(r?&IGlZ9OYPFhq@~{Y05moGk78iyoYb~sPv86@11cRKECGGv zwi9n|7&FxMhB^h9gG0$Lc^rA~?bxJ}-?v%hJ-mX+*&?4b*Tuc`;>pzg4;28Pd=fcu zdcS>XcX~hliz!p@3yn!F+mFh^^6U7C%J2%VUm-sTcn{2!1lQW16{+)`&!S{O@dJs7 zs=O))-zYEW+wt``hk=n|wKo~J3u8p_(n?rBE&|3Xo%Yk~SS`15+>9d0j?ad{TFvK5Y4Gw5 z!#ahM*CG6PBul`F5R^Oo=J64yM>2PqH?lj(o_%u62g${6tIs>)jBH#05pM76o8UU5 zJMQlGX%m8UE4?z8OcIW5bu*G+9Z_U^F^@MjBOc5brs!K&C+zx2g81mcI_Ubkr|ccD zU%unM5?d_M`0wG^J-69jqraG<8XCim8eItXe_C0#kblWz!pl6NL6QTPV9 zS{-E!n?iyi6vUP(j;!hT;5H2C#Pz-UtFC@dn)_I&G=r+##^>?dm_Wal0@9Rdaj57> zW`$2YKS>3n4Zb=9UB1N@&&UwoKS;g*iwG`$j}Cs+LzptE|Fs+ISjHiuM~IRAgp=uI zSjF27@uddek9y;WD4 z+2+mhGr}L%O_VWWzV;If9l!zhbZ$O60sEFwGl7m>BUe@Ae5Q}0|DLY%-Qho+z;_3M z+0Uqxgv1$dwUz2%_xNYOq27GRm4^o%I=p$5-*6*15%WLVC1-OdKyW4bR%m(hrI^4e z?)cZ^-S0c$yfanLn0C~&rR28?gXd;Jp9+f>AtNT@1-=Br%a5NchQJ0(cZc1*?m3DL zb|CgS_^wz#c7U^<@A&xS_30%M^+bJDke24|P? zy1t(pmCwAi#O^u_wqQb_0=;uOoJy(qbTMPmY-J)<+Rh6P>OCXzQ?x(Nw@2e1#3IpOvkjj-*KP7QMrX({OWfjN${ryv{yhf+fZ<`Xmu7&HB zQ%$S2`}{==`;DWk8o|`xIdZcPvUa3WmD|5mDouFf2e+LmVRUYGCwDbV_+%-sniZbe z-wK6TK`nw!(0%b(HL)prU9m-eYmgbOh*MtGH4qkItmUD@$w{`;!uS%*abGtmMbz-s zpmS5$pq_}uj%MwQu)-E?5K+*_9%g;a-jRtyq$)Y!0%9XYUSrd>aVYX}R166cXArfN zUemCO|JI;v+W)1eANm^q@ijN*4p8(7PdL;2$dI3L(l;HmcBqvEe@m3ulA`Q1|GIy; z`&!WayVrsch=3^1JEib9(;`LTHu3Q%9-bnAiH-O{E`Og!!>6U7hauRu@4$YS@%f{> zc_Fy#x-IUUKP9r?a*)ANbvuSO+*r;nvIvTGnR{e^1?ga1eOEMa8k@JrNAMfk%u(CL2?{YF z+aDrd0+oPeOO5X}qdD%uz4_lRRim~YK`iz6+XqGk-(qe!V(6n|@9!rrpLuff-~ZOT zFkZ7F^2?Es>HWy@CFpkmbX)xc$|-WIJX&@lfz_#Udp^BXFv7)ClW2fJ2`toe7&Cg0 z4Dc1i(|#F|DlyBCg{A+7u%7gW&`-f*s&wHrBcb|-!sxBh@H<>1-Z^}}*g1?(#$1AR z<>~FD2gPbvCc<;>>HSZVC0H-Uva%x2pg&$B$PVe*y0&Qwihb7R)NzNQigR4BQo(+% zqcD#z6j+BA#eo;dXfIsc=y^1AGX~Jx_$1V=QDUIpfl72f)sW35X)pGkp_>_4W8JRiPl-jJtodlKmn`YB^ z4gvT~jwjSijxL-`4sW7N4gpGlrqASvTvd3`E6dOFPGLoPl**BLm?tAWRQ}90isq$e zW0Brtd;51=N|dtw{l)R>0F{g!zsSh;O*Izn>;l$6+&k56pGNyeL>7gq*Wc*t$mD=w!s=_PPvrDqhK&5)r*eG-pC@Bes z7G(Mqj^~KPQgKs5tR1<~(LNfY*pvuG($L_9*Js#JzbapfO;GWEvl)NwnFppPm+#)jH$z-e+G-$xJM zl7QYOc!pu<3T7yYU;2cGEyiAkgocUA#cm*79ri==@{Z=P+Y?AP4N19wE38cGGHg%~J*+(jXn+bfj7>IB2uc8^4c->LV#?Uzzq^@EjIvMM&?Yu;!^_AqwfG<% zPPR64L))poRRRRt8W} zNC)tbA4URC4Y{7H(}K1ZByF5%8~C?pF<$P{Jp#pBxyt9$749}mU9HDowQucfz1lT; zbgH*%)z6o!{<1LvEfNRWAM5JxE=e5Iu@E=+LZICF3j6dF>HbO9{gPtDwA1NZhM~JU0y}LbeiU8?!)AkuU-0 zb~eh(Qc9?$2vtWpytZOcW6_B5xB=(mY81b%6mi=>*}()q3*ZFi3o1QA_gV&=wT<{f zza#a770I8)*^*S@`_N-{vBlXI+~fKoqISP1gG3WcU5Mb6Opk~nA+fdiM~oq0eUT7h z=ni$1@}+6d1GJY1(pnqLn2CZ>+G^o8G=lA_``eZbH>?}%xL4Z zaA+XzYlGN;utfnjLJ=hY&0veVe$JJcHhNk}986yrA4p0S}v z$)vqvkdxSt%=M*U%_~7393L~3J~x^&Wh=gK(B{aP^VX!rpF9+*NkBHI8nK~7!SA`Q z0!v7rcazK~%t6VB8ZS+5$&i;7@~EQg#)m0L*p-p}B1Xv!TUQWs>4g1% zv_$6#B`4C$y+SP`gCm8hEF>#qJ=cc??M(98*xzq}Ug~Q7Ry2BTs=u`^ov$Ce+ue4x zJ?iPagk|bUX!i=%GfJMMTYL<)1b*l1(3zd`=5UO|{K2*alO1sh>s%R5#N?mor*fLJ z3FZk-_U6PU*H z^ilALA`upZqRbA2wHCO0t_tZiNErtArRph%QR9ocqkk0#wp4OUdPlgSznTJZH4~Ve z;6ge>?~wWq7bwb3#HNjI867N*1ZCG4-cIJh|Ky1jRNma)PUgekkctk5#9ozyFNZ+1 zrG`<5fNjGRXAFSSL4d>+4Xky{FcULmB(l{43Xotyy5q503M&l#{`xe!9sk2pEL%ea zu6dhI#+ton0wlJkB$9iFcE{k-0C64enWOSsvQ8*H)Dte4bG8^R5UwpeTwDJsTWxSL zOAAy1c-V04Sd-~mII#7^|FJEVmS^szhUFHC76yGZQLd4%LG5HteFgj zeMl`i0Rg*?h`}iu*fvl}6cEG{(#!_|YX?v33K6vd!DNoc{0|p~Hlu~EiA-A9Ox6Gr z23v?3visyr2lW}>jT-;b%{@CnwYGWF+G=8VWbK)2BSwa$f1-^(8nV)b3&XL^T@M?m z;PDk;CpX-|(j~}h{(-{Qbku}~euZxUctM~IIJRi1bjU!O-yKPE=HzkNW`om zc@v}{^UJH%puPx?NLw*O$!>)mYLZU^B$fRGugS3VRJRyaYn3?TZ+_Xd<(dCZdw5JfWpLQDzoP_$+q+XqvcV z6p)f!PzoppUWt422eb_gw0i4BpEeR&&HspiTNUV+A3HTbIt*krDK;gXeo^&TB1SDV zz8N!yDFWs;a?D zmtjw@qzwAr}8P*OJPX(bs1qRTe!$MJj;3Evl$btd`;Nkv@ zh{Qrx$T2khfnt#lfvC{E{fs3V82L6Q5Q>iNGR#E@LW%|zt+o9^$s6fEQ8H&RT@t_U zNO<8JrP+423kbwV+%RHMv2Ozf(7-DTx|v1rMH|r9W(E|ub*l$q`nhc^EedNxd2peY z?q4^;|MtJzV*Ze}*U@x}n4R!@baM24lyM~tMiN<5-LYTepPr75Nscs`NxmFW$Wk5H z3y4QPiEPHNwpqQCQ$j@CGH8{mzfeC9qZ&FGvKqcO#9D<|4&*jO`HHNV>LR} zA6OSN{Ri#|;a)!;RjZ(+H7OG(<{;$6Irdn~s8o70F{}{Eufk)_;GyMv-}HE9b}KZK zfRl-+zr4{?)9$V1(6W?RIV%>5%HXP07>r1)R?%9_u3D%O3*^&g-C}@hW`yU9>5lD= z5sX!!90b3ekEu8}Q7-t5R`$O+p@KaI>BPH$v=cn3b!hE6U2H2f%TMOYB|>ED$6)n{ z)Zi*~1$Fo`1{hVGpi0DkwNS$W|9TzHyl0+g9%jy*=b1AzXJ)}eXfO{cp~F1nJt!t7TiEu)y{6Om ztJ}2s|BYbE`GPDv8DxCt2{K}HVKQ=I{yW77knuR6dH(a-L+_H)*4*tsNB+ndkNJ<1 zPMH75hRKKzGUgR@j+m5|kO1i)#z(T7yvP&LNAQn!;ev(;sa1iZC{iJ%e`~n^wx=HM zJeTA@k;YvnI8j<9keVyA*B!A1aKO1P}D-R&Z>6f5{ z?jIqb#J6&4Yy*-UB7TgtpQ*j*U}7YiBeEgNBSJ>KC=hTZVpeV{!jU@qyZ*)p`aW;M z-n*9~7RWl+zqd^G?v3oLnaCiSo-FxKbLQJ7h}Ig$3hhwGCUfXf_k&vYt>k5hrhxSA zdWS_$5Y+xd@G#p|<7-nlIUCiWQ~&`qsLDq@{+(|!_<5*2j~0qT14FLj1W8>K%owVr zlLh4;<}JHys7cRdB8Tej_B8*9Unj;e{=LH!{kS1al%dXEhz0#SS%M}^X#Ssw#mnD` zUnPJIBgI&Z6lpP1w8n@QllbN5z{)?-Z=L?03)Hzc5Kn@Jb^aRK_)(aPs1erh#6e-n zez(o4{MIXgbRj}-fzu;ctYp)v1+u@nD~pWgfX^DC?E{euxX`4`g4 z7s;>W#A#UH>{cpDVG6cz_klb3f17IH|J4$MLJS5<{}XBb){`9)kf%)o)bQs3sNs@) zG^pVY2{M4>+p~^(p}&wM`{Uz}3+E4l+8O6W;{FSZDpSxIX=?;XN=iKrjLN}NFv60< z2x|=pD+uZM7iwgg+lmW3U6_3s^f!p_cWTEi{vY=JQM=5Sz_^{H8v{!TXmeW{5?gr^ zTYD1QI1<}R65A0H+n-5REN~fZTw-TBoM?-QQpIL5UucPS+&|Hc?Qt5n4G%#>L}fKp z8~UoPn@w~2gg%xUB$5~&q#dZIsHl-~cyoww+~FAM6&+5Nb>#fm|&?>IXoO}#amqH`P{A(`o}RDt;ZFWi$(`>b`+H5n zlwVuT88maa@^w5mihD1AT-~1D;WNbcAVg{B;u3#a+sL5kg1&m#nb7OI+U2{7{b032 zQYqRk3~%HI33Bh6-l7Do+eI{a(2hR8WAqld;~#0r^ady#3ZochL_qP7m=eQLm=c3T zrnG!=NITZgpLRzKY56R9|4gYs-N{WJ{`xg|_Qm7J-sG-&{lX2eF7l}mQS?6qgU2ct z8k8yy1vz22GZUXDe%2g#zs0^9^QO1ywe^zKjE$wM2AD74*L3%&EUSt@J;hZ+K&1u= zp<3ffd>XSbFY3aShO6VoA{tCNZ0vw_a06x^nu<%DqTX@0<7S6Zhp{NNAMSLpyPiHm z*p|iIO2fLX&Ad6jIV`-!kJA6=iNhNE`gbc9!XfjjF(`ZTvLyrGuvmeqR)U{GnLm`B zDaYLep{@8k&#TrWv4r{;Qq=;(kH;&jv>7aYPbw`oJW3K>T`Q_{g$rNQv;{QBKkh!6 zUSp@Hm(3y``t(|Fi59V4>2?zu(!#B(xxSZeNb{s;F;Ql?O67m)lkk%m6)Hjrd|5Us zLfC$&>+>!@c1Zo++zAL^m^F6-Ht(FCHpZH?>x@=yLl^k=d0 zdQu0(lyLsGn0P%JYc)ha&0RI-W129nanN5n@j&hU@oO`B3!0;U_nCgqu3e5yI|M`i z1*EC|*_;}OjSDqo)iK}XKE?H@G?N`Hh^19whX;`$HdI)cv2}X!hqD^VQ@bAb*mo_y zT=1zNI@KIq+?f1zv&}4;<_X_?Vn@Qe%$8LvLe7pW z+EF}dICoeNUwgA(F6)`!-fLVQ`a0h9YOc;Qvi>lSjTljR?0J{ftJP)uvfG9-vk07@ zx^T~-so~yV$+eL3@W%qP^2}mf=-K^L7U@Ofnr9SDSY9ra4eD~2PX^f2QXaL{5g<4U zb_CnTbQAn=4szc&YOF(2w*(*m6BRzu8eMGYX+JMg0A9Pd(7r-!)9(%mKWWxK2}W{h zNoGLs|kT z6Tq{C9%Tgm+PYhe(q`EC*{CudG}w+i+#UK$C{23qyLZ<=(U1lQJ@892LNHg~g0UY4 zd390u@02&DZhU+(l|iU{t(~s@8cULL`v&>jc0Z>%=@#!FleN zwh9&N;q9kk@&%Ab9KbOiUt{lkg?{&pt@9@+l29wdIil0qZ?3O=i=JT+zrnJUk|BFi za8xsQcIbAs10Fyn{mbFjXoLR*{G!}q*TB1F@1JwAw#!^>!&<11Eo5B102hT(; zv+(=SLns9#B*k=jLB_Y9KXnxDSkWe3b29yI{e(5kE@`ZShc&4tn}#Sx%(QMLQncIM z)PO?EL?XG1(WG)I?c%5256W(&3lUUQS}{HSQ>LD+cn{LWFjE%K326y;RDoQ6CX2V^ zcqJ|MmP%FX4TA1R=uIhml3sM&74Vd<+jJ(@Ebp4W9yUeEduhuLNBXgcvxl>Vb2tlV zqjW2-aF_90+<_tbN;UKho%Ptc%f#6!GqMg{ui4ku7RSeY=({SeHs9M%>${oJRP2}% zE-sUR9>U#tvlKOi+mz3hB;3m+Zco*0W6r9l);RP2N;g#c3O3pY(eH=t%jhQYq=V6< zf0V%2*#Ev&qjvvWBh@O zbqPLg$c~k^Ce8(5lo31DZvCYo%S%gG-Avk-oL2V8I4_B31mja(3ik8C|2m5f0LFUb zFprFb|3`_yDz*Bqso;tF{VKOr>yd#s$lSnN@;li9b2MBq`feJo1j!_U+ti9ow{CP9 z1dcM6;RVb|ZMAqz|IX5scTH9Yf*^70@_1IJ&5##5aY{a29Od?m2x-j^3n;VubA%Oq zsML$8C=w)%#VhT#)wwdb9*-`5 z!_=$tOo}m(72DeM{!Ao^pGCV5KDcn$%@n_i3IHPocawVrlsh4_-SfTVUqi$qp2=3x zPVfxlE{2F%tJ6&+uDN%kiQF_H#Z5dRJQM_Lfy=-hRtH~mg z;u^wzl$t%-SlD%2r6uPhT%KZQ#bJdE(GVO~pkWzm?m_P1b=7b#vR?Ymt!)*{oD$JM z)|#{uIl%9`3NE<~H*7ToQn1(P%j2_A5jS{)x>uScQtaKUw1@$tt@jSm!z;bW?vPGN zchgH0NXjO)$X#rz{A<)IIy*%z0%R#!(aXFktAbuL&sqm|1q4|KoK12>(zc8-R-E8l`O-`OfBonTaj z{nGBg8pB88KF6wOpC&<|PZVv{fK@Eod&q!+9{>z;q}%lgt=uLsq8pV<6^&J`{6X;1 z*!G5*nv+9y*GqviBMG)zx-#GE7@ncXHry#EK+W#;aMEGPJ`sAzmBGS#v-N+2f?o}% z7X8~UQg8BU@ENt3kEy$l{j7%;zHNP5{@mt!K{ z<@JertEUCt^Y?k{UvEA{j7*w--VRkxW4ir|T;%CE;T+QpSFeOBRv_W`OoO-9 zQ3{RJ_n#G%;oRctMIC>RnCI%Xg#HftGJXdOUykMj2_NvjK9|w?^mDX!|C&o!Qmz`r{vuz}8>VIMQMaxm%)yF`B69lCQUS@8ab@R z_J&7)n%8L+N0%XXGh4;2=+ph8m)AZt&57X&bWe)-KIvjSbrueSIkuyh!Di!UO>_<^ z=i<+_&_ixkZIex8jlN^|R_W&lXgU-p3}g5<>1leF>-^J$?Z-o&_s*X6KhD_HP^Gr2|t?qRBw{vPvnDqNNT}oz!?Hue4xE zXxvNs4JrN@dqm z9v7{BTLbF6_3i!vW#}fnu(^p>qxEH;uI;-P{nfV#qs0ENDzsbmSI02W4+&t8PvLr( zw#0C(`9SNdV6~;j=H+7#N`8%pd2 z4&@dPv=00PMl?q<$f%O2XHkt&>XBNpF8y0eMXyHG{3L6Qoil}CbEdM&)%~x$LK5j3_#Qi^ot2daNAdc>~23Z%- z79M>rYU-bxbvu&2Qx~!Vks9n@FZ_I>w^$tO9^qw8y~cX?#$ZDI!iM8k;w1Svw3hw6 zLk?+*C<lB+ z8d=YNsLJ#p5xE|%AVpiK^fKW9VzSZC|2fB`U8X$Edjp%zcDU6$U?XSt1k%_xRr$ZL zUcW?pCnwS`;h^oBb|+`rtC_r@jUy7P#*(kiiaZlrADZqB4*Q2TS5@znia0QrdNr{h zs(2mJl;QJ-7ii1rvaaz)O0Kkc;0@azR9(}K7{54N6VNtw5XbFAf{CKh}kZ8YBn3@LjQOaJJ`^H;MdcT zFAL1QiU|~lk7!88>}x=%$U3_PUAsqa5Exp~{Q2&qAvLf1)+{r)INOQik+3b-+C62{ zH3d!jFIlx_2&}xa8|wVt+>)eaEnUk@!rpn02n>RSJ{sQ`Y*e;(6VP0b)s5vd6fq9D z1r2#&7ywjsw)N92@e$$6`&pEv4?^$OxUB4-IQrCBKdYbHRcS^XKE@yBs+3a5a~3VU zY3UJE7srv~4}&lvE#ln9?X&%1t}kMASqZaLnxE{FUC~zDX5vl@nUU86BcMQV8@Kc* z70ECNX5w3N3D@VJ9)oO>^EwXiRI4yRZH51 zza32A=Kg9f7_p`GJK2aDsPb2JnP@IZwKRUf;PF;Y)aV7L41_=P8<@(4bHs?fEQ)4g z+Wf|?F_4;|mm}3+o933`i(nt&cG1y<81-j#qmkKs$#7{YGkOUx_g9jT>CrGZlFj>G zc&-wL432g;@a5$uhdNTo0zMIpbfjPfki2w+F=f3Mhj>*zj}r3$8wipta`L~N^8ENk z*cK7B^MAl^q4`32qIVVSUj=n~?!`=fC9HdY1(JA(?8lw*tWolLs4C6wT($Zu;|gaE z>lDrhZf$ARTEg^OX`WF||Cn@l-l2&#L(ph9L9bS?Q=nFdb7VKclj?&;3%k(*VMgy2 zZYYl)`yH4DbHM?2=EFzBhR_`K(ridp`ZxMA}d7d{N%G<4E+X}$^N%Vki| zMEc#^qlObTe2{?fk4g`M6$S=HFm>;B2&VEIrX-O32h=yAzsjLm90_{m6-)+BmX+0| z)@g1HN@l^TNE6dEUhzuQ<99GO6BEvEy>eY*28l|3F;8?oYV@Vp%dTg%)`A3NtDG@k%su~SQ*^%kkH52`{BYzq zQ6oPXANWZpCP)IAgk~JPuuE^q9$DJa14~xgIK58mWGbm&8R<@H>``EeJw{(TuF`;q zlKTHhnm!>I$DMi(Ez{7Hc5xCnfc9U5-!^vg)#9cGt6Eux6%~^o9*P$wN^;Ehii#&I zfQ74;WJWE$*etsiRwH{ZI+0#ubHZ+7aT?R8y(o~J*v9G|?&qdC7cwaB9AUatnL1a| zdzU5L0@|n)0)9@RH!xFk=2*L&|DNOce0j=e*x@m1Ng8tA>fV%W5mD`a&(SU}wVj17 zLRs|JL%Xx{w?9rJ_l~g_cksLC$&>znFFZJFtsyLbYd78YclxBX?<;!fEBdnQjFDth zR2d&z9zEFcmMmu#x#PI_c{9Z?DZ4E-_kSoEnN9REwe?}-FQ=?z6Pyd({)#RRO?LZHzYwPEdHU^*a!-@e4pKo9_62q zgdk0yycCmYNQg;>JDG5Mg4h3p4eJy!XK198NZKZDdTUrECCTQ?1}z}1ae5%6yI|2; z-m{Vty;&;%2xu$*kDr&6S-z}dpM^cjC*R%2dZ_ev@E;OC4Jz3)ivEk1D)ls_?U!1A z^JF_s>64}0-@Nim=>9zG1jABDw3T<-2I+%V)he0ZL%gQBKPN8x3utni*}OJ%da#`J z8`_uxc<8K&RI|1NOR}Rh5FiF?2Mm;gIHEcm2PRV zpQFmWK&>|T{%=-aV!YPCzQtz}R;eA@SA2F7RbS#)J+uz*YiXoO|JLzs9-f<~k;*`c zq~#onhTEiRkV8ULsTAoZ7cc>AZCU4FTjwC&{08zF&(NE{Qc)T5G9v+4G5Vq+3g*S} zqcAH-M5F#Bnk&}T+*>xcrdzY?L#5n~-G{fw5VPevZ_F~ZjQZc`-=asi5RV}`(Eo*k z-7m81)ur4(Q>_y4c3_&F5sS+l)QrU!g#&&TWC9*gEs?x1UQx+$%@N|o)JG@bv0>f~ zv$%^d(T$##%Qjd+jV&aBFO^C8{x$IWm7Cs>?0!p@&sQ)Y392KZxh$O=$)sdt95O;T zX-_zSVlhN?-7z8O8(t`JkE8AoaeqDG^M)P#-p)&FXye?#$~xkY&^@-G_pY~#Z-avV zQe~rGKqV=4@P<&XH8D2$JmkMt$Ypw_!{oLRimda-x>`-_W`|!CQ4-0dhb<4o3lJsU zw3}9qcEk6&&rGW)?+WTC_ZP+?`6jo&I;ZvT-zLd{_50e;ZVM*TSw;#UBpK;{DS6}J z?o~4=lLe1<3IDM0%=wqf(~i5x(T&^q-m~O$g=O^P9g-E%@Ia5tqDXrt@Mg)r1>Hg2 zBOsg!EGbGl@DjiX@d75DKAlrno$^^78@=8xxj%bnp*g?vJ%Pv5PChHqI_ldCQSjpX zM6_t?XrtG5NV?~p{?}uP@d92u=&MkG_v#57kmD6~P$TZgwrK9hc6M>MNI-+-(8+Q3 zM}yT7NpeVl%5aPNumWrfOK0B>f)CO`6EK4m&?1X9JpFI}i9)&i!B<=VF%4K26t4h_ zEt&SXU|G_IIq6q!8LsTU9rEfD`pqhaIBb~UmU$98f2CApwq=?GImBIcKmjNwCdcJv zG&x=3-zb$a(febwfAK>~>yt`>!^FwqYVp7XL8UNXOE-DVt1NA@TmPm?M|{xQpP!Gz zquIS%t8ai?R!I|D4qwSucH#YTMF(@m>-Gjr6{;zfnS@sq;W9+dRn!ik3eVf$QQym+1`{JJe<;LP$4?{i4UG46@g-6_ zZmrp^h`r`xN_seh|g`?iO^M=iPONN zTkYzZ`;47PqoaoGR_u7`NFij8{|fEmM92&5FfVBa4s{Y9wtQInBk^)jA#kEBUBH~^ zW{dq~aMw$0lOjxMTlJ;r(VMZTAv=hOadJQ@Jt^c!Z4C2H`A369ySNQJaN}FD2~w06 zkOm^>4lT$*vbnqV6?f!4F_Ks$B6WMEG@?ED!Ota~kFi*v9%o*3s>nf=plxYwP-boA z`PBA!8uIRB9~T9>JKcA>MY_Ga@fXP_^VYGsaAolx7-H)ZRf$y1^YOiITY6Z6_;R9l zmIX0Mk}V{1B*>^TVOEFvRkB~}M0jNNQE*$A+dUQqV_=m6goiM0WO~&`op+#abJgI7 zS-!PqC8NL+gq;v2VMbJgJ|~!;dH@BJT!~tpAdv|q!kO@OEuB*sdEK($4+;<_D<31% zP2o~9i~K&fVfCm3S^HsB`nMRJa@{+W+?D(~nm?XpdA}c;Vw8@`{la>kP>HaOppB3j zhwsEtx&UFh#Y^D*OUljaE^#Nq$4itTqr0J>RgZoG(&ahGL0K?*bKee!_i$K&8B4cS zl3eGJp-6ub6-eHA8v}~f!yTj3A*a9wib+XiZ}^hTkRT%}7^kd$U@$W3&ISn+@42O^ zJyvnh2TQ>MY7vX+OPOD}h=Et2m(WMXM}{rNHQ4qE&-jB`_4W*7$z%9zbd@@(MYve) z4MpSp3lMf>n<_dThYP#%T)3^Jr73hcQ&4>-QQm*e4fK9?K1}cj#+T>0?Zqj}uLF^Y z^RD)@!~Baw&vV?|%Jzb~Lt30GE=TflqSsuied8mpa4&8?AhQ=AAX}vX@E$$R*yl%T ztfscuM3Lr-q`dz1a+X{qLid6*2zcvXFNd=ieERxI$tAR8)PLON!A>S#`{B=7`Qnah z_XEO_FJ@UMF>br%A>o|}9h0pqNRWICS}6DwmzNI~X!j^RYGZ#d5U9rBFh(_$0wao> zNbveDo{m;!iP{^!Sr;SBm^R7MfsIy8>vbXmOkAnB8}{$h@|NYswW#Q99m2oMb2Ut? z4(?=9quFVIJZQ=(xN*x}V}a=33jopcJFt=LtFP!Vb|Rn_RQ_6AI*+0dS?pLu>84RQ zyxNv)lm)DATsIy)ocW?BFCW9FlrcbNpD>tNrw;onv9L)o+UHlNu3w(sucBJ1D}{~Q zz&}JnJ&KZ)(AO`AFc+M8t4?JHjLOPxT*qbru*Sq0X$-z~mf8gU9KK%i}bNzsLL!9PmE`Mm`crw7;mVKETueRFKY~q8hF1 zbqSZ0zXpq1va}u~vS!eesYdHD$>WfU`)pE3_SV;^e-ndC1`xQL^wIH_nh-h`6qpt# zMn+j?3E~mCo1o};Cr#sgK>-x9eL>MWo~!Fir!F%_)dusff>LtG#@U5)B}7KmW^v;Y z^_iyA@$%l~b0{eAI{!Qkp3e@rUlBu;$Hp9s5&jzYiDIlLWgO2vEe>QEz*6n!A zRQ7;bg>UjDhe;a(H{1*(X47jx%(Biv%+8sS zhCO_4gy7rYUuXDC)W2@n?DNFlr57cgDjf`al0=5PT0Ph?Dfk3T

tutbPhA1Ol!F zf|Z0LDi-cnxN&7d$W8CRjEbgVoK*MKT%-tbt#V;hBR`bsL)!Ag9+ugeijSIOk4KUM zkHssK#FGq@Sipjq#`Icax4{^uA^`Mb_6;N8yIr13h8JMIQN^Lqyude^iB{qMVt!5l?Yv+tiyczO;cffzO!EvjDL3r0*1f)fhuh5!%4AO^qXQ(U}`%w_^L+hyr~^97q&paEflfUt)KX8wGzN zpt)k(&L(yKc=Uk5aKu~t)_)>H#zrsFjlpz?X4bn*;EtAV*cUb$xsf|k z9XOz%XXNC*teVYk^szedcKe*{dC2O)?@PcA?vuMN0dXID0T(kWCqpN}E&a_Q=uuQm zwYVAawk9ZYSjwYN15kr}jxRQt3b_w)3l5*LO|6lCxE-M#aH3v2!jgU-t8iIPLnV&j z8wFMau{b2J{5(}`-)bu?DUyj9>rgZn7=0WCb4XFVfFPXFeQID5+j5N((_l>F_v=t1 zN63xkA4x;)5}^WbT+o}9=sdAHT4q^d2#~Q0MtqgbvJ$}PyGQEhQE*o=6f?^*yS{uA zSlHD{>wABMU(P?S4LS_Y1o7AY6qLz5ZYL-2!>fDM5nbDX?%6Hy6x|n7;AJ40UR8RG z?+n^>doe_R9QJdPr6O#1Z)4@qhN=(3SxG7biaNWdkIjNU2Q1SM?j755^2)w94T$*e;#jF55TUw#2b6~j(#>hZc6Q)F!X zw^rn0N+scI6yK#E&;cKkr|Q6e;{hXB%gbX z?sj7l{D#=&-;JR3=2H0yqi<11#t1yq+!A2WelSXX#Q%LfN8#{tDJJUsS|N^n6VTzq z8W1PlJPi6*_yFT~E3`$kCNkb>1O4S7v_aD$f@)u{&`v9}=FtSkGBSYa3MfU+w7(Vt zRNj383kn`lW?iEG$3;?15l4+y)f%B3sj)HNMl<5nj->)CA0-d9*GYv5MJ4|+yU6s` zQ^fG5Jy5|_Hg_p7@ZZh#5{d_-ySBPmDIX+TOsNArhN3VrR!@~+=)D>@Ys@##%r$(r zxf`C+sF^O(G3YDy{1Oc6u2JyQvz&w@!fHNxR>Drx}YU?Bc)c*da-ZV{&pZty=S z((cKT`(XeY!jT2IAITjUfWcr*+wCxnW>i!!=HwJXSb*(dCPtz+0UV9osbJDJUV8_n*(7NWLlXu}vc^bTU!e0=6SC%_)5 zxbjE|x;log5m}MF?z@@jHA4FtDN=RQB5J6#Wm^;0S-Yw!-bwvbu^KEyy{sU<7UL7s z|ArY2cn&9qhw=l*j22wrRuS%L49J=`2&V`YEEO+`%2v!2O~?($uvWmB&Z(cLGiAvp zv~Hl9cpXw4R%_#B6LN_;R(J!1l4nRaO!Mrh<;`xy7>rr5Py+9hSPeRpekm$@V<}=^ zSP{x%x9hoJWs9KwcZcN=(9cN+*NFGWd`Qe{<<_%N2zqE!%`?N-Ke#dWLB9BBa4RIZ zL{hohOnfJEWfgmMRe@J3V?#0r*kL?N7!!Dt9hksa^cWL(nV{P5R!iJ5xzuDT!%yDp z)GahE)Cx3uxaz8ApQzK+{3SJE)Tl+_PJ}+w3rgV3UeyedeLCv9RE!R)4~r7Gg;Z<65Fxe38}^m*TxH{R zD}lO(m8-)$nTS44X8rW_Cx**qkKtA~?qJF|fGH#8HG9MRwNV)~6~?jvfg^yya3!R6 z>Gse0^yv+Cyg*&|YI4pQzOvk64q$=`YKk2je}{{fSrd8z3zh2wuvoK#c=X)c&9`XF zTk}lc7#ZFVXI;9DG1H;IOy5_);pO|GhP%($tvA5fP;Is`Ww3JKBJ7<_gj8{b$FS)| zehNyR_&3oWi6UoJ6w2b~rZ@N23AI-0d&=>d;Umn|d8tmfLt8?gr^}-HLR-53iJtD$ z*;C6y#P93gc19a)K1aifCS`NQZq)dyIRbsi>}Gs#Gv7d&=DKA{3m)B?Sesc`l1;{?KY|GfJDZ?z3G9Xc>|$ih9N%(^+bB z?<)cDxd|e(uN5CDi~rE4hh*m#kjP4aRiHKa9W1>oCZ=~X#~C)li{*zF?3_a3Slz?Non=>6{ccr?OJ6|9@M1I zPn1-mbqf<7{$YjKYtmlyg}#gvLuJpxWKr2naKNlFEEmIOuLU8)VT<#F@5uLO=X**m z=6k7<3^JxzI_ad~7i<7tW5qyhb^x0mtN*Is~43HgC9$SS#mjpG^5p3?kn^xZ`C5KB95O zdU~z${b`b*@SmW7a5yD9fU;~DNW-4AzV%%Vp5T(Q?kt`si+Z*e1WL=j1WKEg9w<|B zCyEFZR^SdOY>NVToh9~D_Kh=kS8N?gmO8lYlOh$Sol?Zo;&RYpc;ACEWV=W-^p~Hrk@g#|*HHCBnSjhu?mfG^br{!8`C(wjVj651JnlP95Gqaqwk{_Df`baTy zXrAG%+)KR8h0SNx&zau7O~DIzH{3-xNts9n7M28Mk`xhV&B52g_i2Uirno2Aq~xU_ zixx`d-Ba{pn+<^-*h>%q>2q3=lW^vB@bzCnXMQk=l`!hw$&-x8ulOkd>7SSL_{DCy zA2^cTUN!#UeL-*L2W-w{DKq3fTwtKAr$EJw7S_z(0=HxTEzHc_GrG(vlUH`gXyvO$ za4*djzlc0!?NkDK&0;*<+q^Z$5&fKOXBS7Jr0b1R2YL=tltK7 zupY(}Pe8|7HH4GQ?V9Nl3^EOuMlz9t=@jse4KLV`ZfxueevwmBcD}2+) z$pb6Gw8S~;4)oT|rch(25yNfzo&M@Lg(SEn9OnUK*y(!@Tqi?^#fAG8WF{9Rx&=ap ze|#1cs@q7=%SNLF#NEVms(KM01e@9Kia8M$Uz3j!A1{}UUgc2=y)}V>ycP; z{!=R6;U8{%!0gR`D*hYB00_2*c%66UKM((rAweDiTUi*KP&k9;#3{$_@2(ay|H^*f z^-O>~;@aZEj*Egq@xgB6b>?3VJzevMK|eNFQW?XmX$!xBs`(|&mgXFT4FKo~V#{MTIAzS&w%yY>88?CHwRm&TS71bF`&9VExC zt_Aff!}zdS@GujpJVxOCXF96-gLc=Rf${B*^&62~RGS5~NfBR8bLGfNX*Qzvs(yDx zQ$xHs& zG`;tGP(42U5jJ)Aqlk0WU7?O7cxwa6?}1+f9SF<|i%`mwz)uy zut8ms)m$A7Q(gUZ|M%beUTWx>BQuLEf`BsT1{v>!vZT9$0jD%RRSx!({>XPLDh|G^ zHL_`wjM|=L2nF6DsK82I>aw{8B?Cmrg!?LFPyJRZDQs`BLE37JwNi^)r=jjT8zdOd^4A(7)=fV= zWj6_IZXOx4w)HmG80#N~u%Wg?IKzQYJb$B-PVQ}f!_bWiBk1&9o|1&oxkJU5nxk`q zR8)mWRXdSFg-4Kubc*Dchg^A@lAXe1#23Soh-fnyy#Ja| zPiYbYwssiAZYCHXJ^N_0Od(TJ<(({4SnDF2M3EI9?%_%K7k>U=^r0#kSiLqwjO50C zeW}lQHK9w*Cx{ns_t3JdZg@}pINAzl zP4=Fj!yo=LtlB!4TF#7P|LuRK-eJ6Jylc2?dY|`G9_-%LLdBt6t6W{ETvKxvyZ-}! zLCtli9ZSb>1`kTFlN0H2b~(mLGN->1r)8=AmV6rU^O=vtF-$!r<9r}^l9+YL1krBJ zr>_YhjtPR^jNTaPPV(f_rjDBN;^s{ZyMFjjsqqVLsC*Z)C|)>N(a z`pJi_TCAiZ^By?EyWjr|yI;0y37RIkj)WVrXy5&kbD}bR`SU3GUn%u)xlYsA_hvfj z&2&v-6W=@OxQ0E!>4ItQ+d8$N5}0^uXnKXkbYrC&OmnnT#lfDnMl{WwPFs+K^C}({ zG4_+#$akG8?k`BQOG9DL7rFZ z9k;(GrtI7@(cD!w_6?7z2&9WCZIV{&UJl`KuS!nq*Xo6EIMq?sP7z!a8ojhnDV~N0 zOHGTEd>a;naipRY4}nW}njJ^hQ?@meBa(N=!dYEo`nJnYA?2s>q#WS%;O(4$)5h0Y zimX}F;~}-IS&(IP5o9?iUr-$4f6{v1`0FD7w^wfC*)iM2xvWg0<;f{_c2t!eFAVH# zwE;U^$#C=QmM^wwe;!7V|J>oem|bokWDO2$ZN=-+eE3V|XA!)o>HK)mJM7}_#kqAQ zdVc2DX_e{9y?YnoW&-=tjTdd@CbBv5uggt-W@C60h9BxTFr&uR$3m<|b<=t}!A+sV zDKSOO1MvaAA5*3NN}6N20sG%yv2U#``)JC&d^$n1_SQ1b41w(td;v*i`q)F?6(2H_ zviw=@scrbmnSA0Ij&tOuR^aZHVxAvQhOeAytPwseJit*l2#Lz)RqVKvnfHZ9_YUhr zerRlbzODn?*Ago8cn!!00S)%LcQl35mak+le_^INyrrd1{WbDQwywz144y)ey z_*`w&^0WEG4b|AvhF3LJ%9*=NZ3hl~6YZX>fr+HkQ;TK(jSdo6;cCA$! ztyQSI!8Bj>je*;S3zgaom$2^TY@K+PPzNBQA>HP zM2PgzBmOkq?WuAV{w9}dun$TxbWAbSS#~O@up^!VJiw#~*Y!?S3g41+Fr3i$LL;go=V8I&ithte!6{-1J5hR7 zht%`9aI13WFMnWi6nqK>x7lwIOZ;vrMOVjLEfp`u;9(;=-eOl_@v#UvqK)5NpmmZS zedmCl_EC`^cM4D)PdU_G!?lpCFw+(3EwmR327F=H)m-H4yudP!q0Y6`q*ZL+X^S$+ zLUJ^v3s$y^M>AK@IZcvVI!p!j=(o+GUbC9KsBYvJ);9UJQJFw&NaOAjT=(tGg(Q+c zEU>QIICf`l5{BBHbz}JVAq;KlmuQ{%E9y>(#TnLnhhgU{au+SEr~L{#CQg0TJsTpu znYO{X#Nx{m>cEVxcFGVlYBMzJMtfutNI?3`Ec;=6$||u~{1r*_1Yxt%5LJzDLBX=k?}wY!if26kbfqNiT&)P&dot_5$! zs)BH@n!O_F165>a$lKK@+g9aTm5E&1;0^+egpUBwxxVFjc4T87ZD5zo|{lpmNRaRrQp zWBJugORf5>WBzoSMm)Tn=o|i@PA*VsIo02(@?9`O_=mjd{XU}eH2-$(nP2*HNrs?u zu10+&;q7?vd&xNzL$A1{*$TaGxvOb>rq_)zCrErH@azm*<8xN(FRa3?KDUcY407YE zL&Cj}Qx1dcS&ZMm>Gu3qYG~&u?Wad4hY}oykusS$xuGAEO-@=7$&2*j-JhS7{40So z8!R>^dM1Q>ANqucZAER;+Wt!1SoBaXCHt8K-!@6}MEg~L2Yzg!G&dO}X7dY9ulU*e z&(JzGSEpXFNn2}Ex*ep7R5LA`XTZA_vqMAWc+f7j$QDh3PC6!0+b8KPKDhwD3o_p^ z>Wr;+bC`>(w5@v=SVWGpWJEG zfHV5Zrf0;8eN39~&zjyQ4T~kKZovn_{#Rw6sV6?aiPRi$9%FZ*17i)m&$zjTHfdnN zW&(Kg2QazV;GGf=vs9F+Lx0)b(nv7=nhjQG-rafjV~y%=hu*tL%B)Eu2$BY^Cjf(+ zib_=4K`cAa^-*xw7a=Vv&+c8ksh2V&?N4}J2*aObr$*gws(7ARcQCDY-5qug$i8; zn~ptneCm1Tm0|q%c)1I4E5gViv1^|L2R7>)}(WO;c6e3^t((ozb{0Zn1x>Pb?S_j=KGZKu*LWme?@Ew#@&p9)r@FW&qP#>I9qr|Q->#DZzRMUfm>q0QXo%e3Di6hOlCH^9} zH*B7dw_dLd^|2iMS|57Xqun0uS>2i_R@Zu@dYpf#;C_su_2sbzV)4(4sg|jX0<{Ea z3q7c&-L3d;!OI>YQV>e>j0UifihKyVxaHU+i@W?EozT;UvI36dPiY(9vwy8UY!^)= z5V^KxBR(y`@}if$b5N!^-`h`lJ|4V#@uj)-AbY?vSVf&)uszO~t)B5qh=Q<%l3`!)m``6*lKhpc))ib|CXwmD77B$*I7o#9k7+K<^le9 zfor~jtnKMFq4-Avg)bCSZF6kqrDQqz`t##sQWgd!nX{&aYSIYrA2kaMp{Lz*Z8eSFn_K@213fpH7(#ox=W1#K z3tHif&M8~y9Wn8qfR#XIxT8D^gEjMC>AQS3TIQ%$I5k-~wNlv8ac6Q;Uiv5{xpnWB z5&c8vBpLO)|MFdPb)Co22J}$msjQq}yZT2)Y|eJ?-#iC!g!kpY5$B*Yf#V}5Ik+gE zHuqCP02AP%w;SP}++g;68mvN0OS{)6(|Z+ZeJ&l6c3*1Zv^VCs!%J91A8e{aH`Z%( zv$Iqy&z4F{>N{tKEDGuZN*bO-s~r691K4)lbsNm>Gl#P8FoXuDZ{_H`swV%D$MD49 z{Z6;vzW5oWe>X>}%X^%p-9q9oky>tR&>atCfCEuPTt;XSm4mFJfPE52RPJniTaOwD zf2X!;;|TR#t?zAmW4SaFDfD1_`07vqxyVn(ChkDw>5g6V1=*j1t5r>@J`lDU)|m6= zPrDmxUDd>-?ZT30e?&Zf#o5v(U=FH5X?1`;8@L1N#p0LlUZPEw@J;lA^`fU;n583Wx+Dzb06Vvr({92lJY|!vbT{#? z;;me#buJa{X_8S-lO1TiHjR$32<5#4eI$)wTKktT;)76f1a%}IsYAkBFP4<;+c0)f z6IDG*^K1$vLDTRQB z0tqtSSTZwHa0fOhxO3=jy9aM-(Zv-jL^-B(88Y{X_1N>JuD}*wX7>1j6G9bZIG!D=$KNtbd7O8xmB>wgX1@4 zkc4|Zu&%yUwbAgwzg4=aY9b*1tJQ=@#zx5B(z)NHyO^N&c9xoGd=KvC*^Aw1Uk<+d zJ>{Xiw9f<2uS=8fKGZzPaJ<6P!+ksD)^o<3>$3lF!Rz^MW~e7}#_|7g_8nkNY}>*r z3W5S6C{m*!AfWVKRho1uQk6(my7W#|P&%kcH$-aay_XZ_R3ZW-{4(&+M}HT3c|1If+wL^ATxUIWofN{|O99sdS)HPkj zwskqdyyy+~p3C*)JX?#%#^j@Kz>9huQ7?7W-7_pGs?#@p-O5EUzNhE4h-knBAQ+VPbg0KPXfDx zKj6~8sJFUavBs{JoW$ql(6n4l8vXwx~x6-fUAA-Fi#9l+GYr-oIB(W#bT- z$dIzCnDrmneF-8{8m_`;HxyI$C$A7#=NoM!S|*hDfJhEdYT*5!wKR>)kr6F@H@YLJ z^kPBgaoxwCYsQU-zTEN2j#q{NOIa>i+u?WAzI^kQ4Ni0EELs};{A!tPszhK zMEO$lBZ2fYuFw3^mgk6KQ#DOGU>S0DjiAoGw2p-Az00@Gt%g^ewZCYe=u7eTySINNe5}6aWJR{R+m^s7_V@|prOoM*_7Es85mz;Q*q)Io%Oi|+ z%HD`l1$vtaA750P1D2;rCZO?sfdqoL<@f_J<}Tclaw|0XiWx*2Ycx2DV-YKdXR-X3 zBm}@#8ov@S0xsme-Ej%c@2n8r)4_rVD}lkuHwJJv%ZK``@CJHu?8vh!QCaNB?~Ou5 z4T!J+At2iC>%XutONU*VjJzqyu=T@ogk1UWuHQq!8r})DG^x=hR&t-R_=MLuwM}i> zbkduKec{hOWlv}TyMMSqS&q;(Bh^48oBE~-0$v61^jcNTQsy&1ebH%}Y~-eY63fx6 z83&zoM6Rk@=&;9@$S})hZJiFAyk8~aN=5LggP)EaRm5l=8@qg3IZqO&cGTKe*gYUD z&V73AD9|pdvxHkaYHZQqD~{6EI`3JA5i<8ts&;;m>20m-Q6j;xEZwb?LMM?*}3p1R0l5n&v3Ci@HIPV`R2z2-!H0Ht@fYr+>7tfufA-~4wJnrdwvnKK>3>z z^4K3uv`@r)C4mh0-nZ;U61Ypqe0A0+i%#%lRJ$j>y7IPdTbAFdi6-y${{)1w;1_*w zpq0E}-32&Wq(35rWUC;=X`2)PqBlBzme96spsb|Vv2E!41>k_}^2XuG*EaTiAL{ANL8%`NE6x6!W zym)BpbXp|lXYfPjArK+X@P0E}7qYgx?cukDZ!0IoCO{TfSz!F`jmIkpye#?Q-{E}9 z*0mA$5Dxwqf#YS#;h0cRL#Ft-aL?E3`wCohS$5y}V|e2`Y1LM)W$s_m!FsUJ~z~foUfFB z6}PDc7EfIG3CgO-rXgBrs}f`m=UC!cqFoYQ3g9=Mm{6&MCwvMG{)4t!1#dXM$);QE znPaE4g3_7ozt&5*hkJb}_2sYrh4agXFNhCTn>Tzd#s{>R=9_+&HS}vyxd5e>HC|mZ~)7&V9qp zsQCPryB!jc79qrPD#?QgXm#) z<|bu|YL7Z>M}xE3ygKOlD=)WC)R{)an{gubS*^JFV+AmmzMF9li9hCy6?k$v;;|by z^l7|bjTS8lLIr!n3by#mV~+rFlsE5MaXZa-ja|J_8Y8y1qH`JOBU0j*gCP6OvX}+f zxgF#ix@ z^{*nps%Ez9HHaV?8tQKIjGj3uJ}Im~cymH5F?o76DiQoB71e3l-8$V<}Kkv#Q zCN@J%p;7rE;GZIjLc^mj;E$mds`%49gU_Fs-(jB`6wJwfKm-aFg(R5?z%rE7_^Z9X zBMzFY7Tnt`EZ2gZBxS>=Fs9SJluPdZcPfDdsI2h-ru3F{7yZ>G&3&c!5|GPTZ?IQf zuJ`9{y^g$?c*N3OEN8_;=Cb|8e(2s*rksP!C7OEy4N1Jk0_}5tdrP;ee3Ir`+aG;Q zgB_6DuCA~7wkBfQOnj$V&}}BWMdt%?Uq11>k3Z-_&4nxN{eIK9p~Qf^XzXx@RHxFW z`WBnos|qLfEX&DYK5Hg?q8U57%|AS0o94K$(XStz|nU~q{;KOtyMV0B2~PW-ZOgFFukt-E^)x9(-4>JHYyuxu7JR(b!+*Z3o3+(^Rtj6)9I zydq}p)-&_2a`^P*P3nM{`FF zVAvC@PLOSfFAw?V%A$R|3Vh-nQ@<%eU!E@K|G?FrY||J)L=}b~TQ?p~VJ|hNznA7D2d*G|QK1CyRUO4mqG=G`!1>O3D z@BEfaTS#kCXM!%wQ9PGKSVz;TH;vm0z(Ro2O`<#02ybsGdJ1j(K0V%kXd#)DGId{J6b5M(%K{{-E z!$_Ylv?n~*^=D^~frTiqF!)48)B{s7z@$oX@yYnOkKHzw0F(HMGT0Ja;rw}3eV+ph zdYkG&V|$a3ECX~-wNyfqG`?J-7MyUVc|Uw1w=}JkGC}c1?#gSJB~@mmFj0xnOOTF zT!l4cs;t3&vD$uT(bFO6{|viHp6h>tZBuQ*jK2@p1)%hkh8oV`Lfe|>j@5?fsSM+k zvM1QW8rL&OpALINeF~~81kY@aft)qNa4TbYw<~ol{wSNX*4!Q1!U}LwLr?BbPr)`p zL~@$c!97vQi#>XXY|6G3?$lwD10&k!n*j~=ugriQdy8YL6o2O$0Zq(H*5!JBpkfqI z$3*jH=ysKeP@8?(PZ5{oIh0&n^~ud96<*i7uBPKVJuE~jf6Y)*}T z47v?!XnoCjZ|@QVpAj|>Kxd{*_*5DQjEk^+ZXB5HSy`J$pG>vElAf;5ulK3nbA5NX zmU%-$?9@f)Did(&*5k&CF{$oaQ zAF(K4d2x_v(Bh*$x2sEU>ET>^?FZoj;-3wZeFWytnexofcFb0pit!1nF_{-JAg z-mlqZNnxtZdHYwmazufs<$A$?dHb2a+6;^=lhfD z5NPfCOFaVhsUtz6aHFmAHu$+3^s^njF)epC7P7RsT7VT}A>pY1=(ycVB@VRUj9-DC zMk^Htxh#QVj|0|mH&uV{t^OX&*0z4DH{)@V_SOA{h~iai)|F>4r_Yq&2$%djG&Aa! z=kN4gsqAW2|EL*o8AePhLw?hj{ytjh*L0HfXZsh@`Yfj)(WIF7j|Xdv*gz z7;=Go!`C#9H2R>M(BylZdOz-Q#tT(T&9VL+8v;AB)+Eo?=C76gANw#tKB_ zZ{%OXlhvY2r%zt5lYHoSlB>3((eXr_f#?cJxm(zZMx(v`j9InLJ7eQYgI${G(a}e- zlC85&)$dv2KWBDb5pTS!{QAp%zGs8`+9SrC&5A|TjF&kYIT~pjMH>U|P9#X&d37tu zBQ({1R7Y5iDpNU|n5!w>m;6PbGKN0yYP8bmhhNaAZ}PmsKZkb+ymqBA$t4e!83(4T z)0~{1;x}%nk(p3jz8wA0?e1&x#+2HH{+V~{4q~pNj5Q1tGvqmtQ+8-FB4)%j$qUv1G*f}Z7c7z`NYlZjXdDX;dw+CReB8yM`t+y)X?;9z3P$7 zc-I@P+d|Xp6&V`eB(AAN1vrU+4vnYjrq%^w`XSsi!?LF%Bm$3K?J6)eo$`33QkeS1 zojnigKb`z^=@WWM`fWz*`={qGw68yC0cW%j*r#gby}t2s1`%&Wx&aU7YCeJ|ZxiE3 zb>Y!VE0Al)V~=xXW0&&eie!}xgI+@-izym$jHXOa%dBR*?#bI)*1vyRrq_Gz0l?M~ zSj08J^>=~?aQ$7aVf<)WPD)IcpZ|#O`CEJY_aC0xI-MnHhKdD_cjlf^+^g7M1e_G} z>ne~p%(!`Xa-nW-Ko1@~7d`&))NaJj54gw7C<&R($SVSCHOcgmmaC6~rfyG>S67Ak zfoICi{l|^hVj@Y%OtLKM=zmmu2HhydtcJ-2PjttK2gdiPB@ozX@yq30s>C$I63_FA z^z~m*hu#@geL)p^XL8!9b;_xgLHOmfdrcAro|1ouW-^6@G7S&|>o=E_~X((uCLQYVD=T;!chP9zDAc_+~x+dXQkl%$5#{g7#ABZQ(8E_ zyUP3<@zXx1-~x@*bEbZ z0!p8{qSHcGO=a~jjS)XU4fmR1!E3-9Ap-Vvl1C!M5oy}>#9fQ{68x9cU)Qym56J(K=K^n1@zlFjekeQF1L7Y6Z8I$z|MOc z0IP?$b3UJjPPrUdod0>ap1By6(pQV1)wX~!xX+Ip7$xq$OfWxli6--<#(&jrKVDPoWSoMzZ~`g4ncV{g zJ-(A(ksDI&hck=;s+s45WQ#qZg?o%~YM7W?EmDC?-#BH9KgM(9^gN7E&D?%N=wAy6 zn+RCW5eEK2CaH%YR#Dlnt&9NvM!+*+j98yN3%~z@+w=3DGGfV;k!t}6B8D&eTN*0WjnpOlPwy^WiUb;V+y=1zNUi}Mk! zjSOJ{qPIyLA5zR3_zt3((dR~;a=2QrSXsw!;w_K~Qi_k!^U?ER^GWkh_Lh|R-AFIK zm74@SW2rZ&H?D0kY2AS5N_9EceqD|Hv*`53qN{(Rx< zNyny?A#_^wrlOkw(dYPKuM!DOy|q7--PORPF z|BhPbTxK8Y@3F8f3ieOrjmZWmu(pbmQ{T?HP zVV9^a#_G{r-pb#VeUgsJ9+sa$fc>y`fZE1i z^Isvf0-9u=vRRT2`gkY9@gwg?&5vdyOR}6#5xOkPC|Cxj(t{g!?9e(ln{Uvx-Ez~4 z<2Fnhx5QxOfd)a&4;oa*9-_A(xSB>;EUpiNncJ=JC!Zju_Z z6Vh-~&}_?jiYPxMtGB%hiq@vX zGuJ`IoXj5{94<|3Os8ykJvCI3_T{|wY|It$oh;o}0<3c7Tkp}Y4&;aEIU@E3h*9*M zw2@ro6bnAEQUOQG(fFi@x(spid2OO9b$`0}f_mcn*?ir^r<4Cckfs;AQM3+ALzMLq znzDx?GT7(a1t)&n%E+^EQ0Pp#sYgB19I~EYi+f(SgNVYWyq)p`oyiH`{pNpl_PCg1 z*zd_xs4il-EhW8%_d(9t$5erA-|Pqr<#J7q5zCRd&Ol7Y+a#V`1daU=Ag0>a;2xKq`svvd4)$mVmr#dS^0`Fa-#THDs~zrcZ4F??0CLFF9Mja5!u78_IuabS!4c{h8epCRm-&qhQ> zl7ga@x*5LKlk3K%ySvq=?dinAs6MannSnw#u3o-7+^LsmcThEy9b?bzAyHmpjdg{y zOc`aj#Xv*tl!XhnbuFf{e^=;ywFlH13Kf7>5;*JSM0Sk&Qm00~9lgH0jb)hWQG8$_ z!eU@=6}4Hsj3uXyVr$C((SAkVd1BtWpf5kZ{Yvx8yI;DmTIBw~UIO@;p%1QbJ&_((4;xsf*RsaWkWiFb z4#4EI3r9_7kEE}DB|IB*wGMaEAMD0Fz#5<5A2ix%?>cLrs&oYV;Ck+{}+Cqz2lX%^7?KHBUB+qEZf{92dMaWhRpSOJuMXUZ=`lry*8E52r7? z>U@UU1eR?*ksP^dJr>}eLp4}HvNL@0n4f<4)M`X9Gy-jiFN3m&wpvc3tmZmEq@2z^{_k=X(%{T(ODTzAH z`M&%~Zd|5Z*>ZgYf9{g;C*Z7eQdBY=;-7DRCIv_lhnLcLbRFxq@+{TyedJZ2Z=&V1 zPh4|1N!Phzj-Lo@Y(n!)ok~6n-*VEZkl;{#piwc{aVaGF%1w@I_cd;3-3a-tSGsv? z_|dZht0(&@>L*uJ`w;92&;T|X64kf(my8cn#0;J~75Q0h{sb7|c$cCPiE$0#uClML z@I=eWXEi!K0`~W3vK9Gb%Pv{}HdE&Q;;D1@u+rupLqb`4xekCo*D6s-O4*Qmz}F9A zCKt49t0c>{^a;4cn%o0PGf6Co8PBe0)R1w{u`h~_f^J^e;;tn>A#DmjyKLGfp>o#g z(0nIA?ZT^TUlkb2zd$<)5_{gU>rXQuG2q?h28Qf;_EgMGXeC(fw%1^7&rfL`Awy0*W$+4Ze@+xEWR@a)WKS+E< z-UlKxD6z?%*f`$U(nkl z>gDVPppJ_G)C%HUL|{+^qW;_<%4>LO^oRK>IO=TkY3n_~Fou)v@OPaHmsqB3)dyt@ zpW~%^R$fP8qT?wvblQpG%2okGu4RqZi<3WJ?AO&yXS@C6fc8rCzhvGV2C(%GfQhVe zM=L{d5CorbZv2E%tKZ=^`T*20+iv%|t*&_2Wa;P_$;ZcuE$FIDckb^-Z$=K2=r$cIDOLXr#ak|WVwCxvP3Ug@$)(?tGf z`o>wv?E?@Jk1-re!IG>@kbK`qHBR-(MyY$9;r)D1v1t{KLY6_YQKASQaOauauZ=dQ z{WjjY?g%hqBZA{3;-Xg?rtQ7#)NC-<($v-lb}b!$mog(1=OM9$zu15eXISGsr{Iop zGpikOKh^MV?QwoLba{Uvsn7ZMZ;zD3VKzrq>6ioK^3 zXR*8>=qz7y!D=~J=wkP8EkMl#s8c^u0vl|P`0x$IW=#Y8Ylr7Ff1raZvJrK!3+1rB zR*cN5BAj)T47e`vQul8vaf|0mYyMMqRyGbVe*<$ccGguH$LqIccDA>(Rv0w~0yp^jZcX*0 z2b2-}hY-J&4U9gs41Gz963pN4dla6T)j^>_W){x(azXAl=(L>!hw8whIPso=XHikS zD}9=HuaJAq{mGn~hrK7|KAj6sjZ6BQAWH_>qH?zrz>h;<7 zkNRFz#|nLjM9Q`UEkmt(RFN^{7wEUtHSLLKDG8l=IoWpn*>)ki?%$T>l{GZJk-Z?m z5KqX~1fn_0=6ARc^mSXk2H%niN4!%Zb$6A%oRw6@7?I}2(XUo>Ud#KE6h53`aV4Id zO2QUxCY{A?;^w?721`GjqKc_^QJ$&N?wVzBht6DJ87$^_>x~*|;BHbM`3^$#Z9XZr zJ4B{ww@>Le(jtY;G>V4g!Kc{H^nUFG8Y8agr>d6PZ?o0N9>pl9WR%FzFfrI0oQ(Az ze+zA$QMH<`w`jBJkxhcr<%nqH9A_Qw&i6dYPGb8`_NvLmQntw?O7`hd5!py{$q_}4 zyglpGWm!#5J^f8r5mPv_5Z`x4izBD+^l+^Kg0MZ~9zNF3+9`Z)pNQ47PyC)ADqtUV zlX^$XEH(V*6Q4}Jfn-j#vNvSZI|)tloC=S)jmf0T?9{W$H8P5NdEY?a7il~;;J9mS znXkiXY{~lW)sv-qkxR&2=bP82KdLlemmQHJe2OZ0vV07<@o3opp6AlOw+G9KdZ%TYu1 zDuhS=4GVuyhenq>7uWSrYs04ldIa$2fLoF(6yEAA%M(b5eZf9d(piLql3j|nHnbFY zl&h9$Iq|mnK8YB{+OSz*U*sXHWApiLY>N77H*PJPK3zK@j_tdb;nTkpw_>u9)<5c) zgURh6Au|*Al7o_HXxxr2Y-QDwv>fYT68oG5-O=0S-l><+jsNbID1Qc3DVxjwlW@ub zK^;5XRXA;ktvS=*{dsK}q}k*%((z}HifCzhU{OLsKAVMce$TX>J^cK$G~b)cz^+GQ zn>73qRdj}e*O3L|bNPZLpDhapi@IOX$5yK}3+P)Lta6bHn(1{18y3fDzp?1KSpU6M z)v)fn1gq`1YBq3s{hw?Htv**<*^q;o-JMdc+K^(?Zp9t;w$J}-vYP&L1 z-RgbiVQ zPYk<1^74N6DvPoY&!FNtW@mrHqz4E^77ra($#dC}<|w~O%k9)cCzszMF*ciR1y;_t zGbmKn?@#d4hE8ydBw%;dVo%{A>>iWC6FVaH__=gG7j$$|Gso~@PtO9iO0YzNxbM#0 zo42_HnUq)eK3GtIp8XdQNsY-0DnE62QI5|JY9ZRXhx#===RQH1*EKs)-zD=r&hIDB z+2dEoA8NLV+$KmiODKU)_q@mrV^9MNJUBmUu2>aB=16Xje4>a3b2eY&ydpS2*c6Rz2wY5Mx3=;04I7_z0gLHC-Fq@*2dg2pYc;R-G4Bt|%IqTL3 zx;Z8WL(04pOe2eHz){)qFK;8~*V2!~fj0579Rb<$v9>=eB$lKT*HDa%{<>tbEH+?k z&YQ#HzBwL}^V^r!=dqpKix%b^NzZQ;leD-yDcJeh-PUgzZPx=>tikvl;E{z?sEk3R z-&rbTv^jwELv8}%A|TEuDBGW*0SIs~s`)Vf=KwBL}!)eQ_wj_7wo^%r=4TBCt>bTO3uNb~BM!(3HcK+^T8KGid&o z8k!M#gE5{Iw#4+2NN~ZWPVODh8$eMsexMU?le|n~wS0$={MotXPL^Ct8Zr53jj)WcjNA;xL(Gj}|Fwz1unZi7L#&aPl9ySk-Yh0KTqD2E zs=oiVI+xOsh;EIEmzg$aq}upkmEi9t`)-Y?yY-Uj9@FWxhs$7ijP5z$dKS?Iu)Ihn|Be-g_`o6y5cG0io$ zHjXs*G?oU?l0?Kb2YIE5xfe2y)og!bFl)n~Fugd!pGD@J;{B9fVDX5w92{g$>jl06 zxtxQa^Kc%)BPFXh@tS9&Wh;e+UWtiQP|cLZ_L)vnd?bkAqfBANTJ#r zBP?3O^s8ZRPVY+CCDLaZV|Hs(8kfvCI`ydRXC{fOTvJok(?puBOIlJ2;nIsXLgNmm2ABYwXSbgKxp1t7neb{JX0 z8|j9`l7^0Q{?+QGCS#ZcR8v0z?-b9M!cuovlIjmHpYYs$^M%~vis56jXV)4{qZ0*> zauWrZ3MTK|)KB0$qO?T_)hJ}D>WJD(q8dhj%i!kRN89mJy{Bl%lpzT3D7m$SZ%0~7 zo-X0-l_2L3`A%HqQ+v;sV{tS_<9%Z^lOrdmsZA&w`i?X)w<>zMv6Is#K-+@qCJFBboyUU7z_N>^m$2MSPTxy=7|#$ekGy~bLsgh^xLh*#GI-m{8ilS=?C^+Z&4ArISi9zV3r^ zb{wK*K67#RPL2lNl+{LQ5gir3{wZU2eYid5!|A%a>-;&0@miV-dZgh2+Km{C4kW7_Q_V&qC zNuAA~E(gSc`ee`16BAu_m=+qJbP%Cje$TZP`*(X)fA zW*=@>TW-ub%b%5=f}zWWi|FoiGlh0uiQ)lx;UiILse%+-l{RS2?G|eietrV{?$8eO zy3QUHPTwk*UGEni5}NpSY*~vV1E0;a((+liDA!c9x#nbav`4~0^;My{y#P{sX?uOR zrL(@?(qvhpX{2%Sr~g{r?&y5kQ;)!4)wc4nu4DKz6gn|C(W9hrshnw3TOIKYV zo-zB$oJG93VE2>xFfnmYM*gQxS4d>%@X0-Q8{`OpC)W(A>y5mN5A8OrHf%P`fGO@d z-*M?4!W22bpx_@<xC*h??W@;%ql>l`l23Sw@aPA)yKkaT5!S(gusa}6Wyl6@zUq;593!goJ`h2 zo=bb4^@%!d%GfioC5g?V&bS*QA|f#3ja0`=qdhPOd+!?j&ptGN4yG8n>NwJ%Ioco& z_g(2eaI<@|6P&$ld;f<{ZKxIr^~e}1>BbJSP9mPPK@lM(>zu_>%EN>MJ&Uf}-{@bm zIo`Jxa9|f|2eGS}l`#7mEezfEnjD0QbeF?s@npwGLt7~?RBcCG_1_}10zW;P(dxT@ z3LZtu&WhBl-mF}S0{LQSC&tFi6_d3!z8aXUXJ_A$??ayAW)WLQV-3J`T$|+mkzS`S z*tkMq_B?#L5CL4NCORPVUcHR+HT4eBxO^>+KK~N4^RN`|)WTrw;KR|SUmuQmd|uaI zc=yNQVgj}6&5iEyax0O!4w{-K*=Bu6ah!*_>NDya=vU(rxVGf0D$ySD3MJ&xdiV(U z>Hx!7>&~0VtJ%H?#$OB`*wN&W1^U|d4_lZ@D_8zg-%9hA4rhePg zjwh&$p2SuUm`oAQEnwr}GVPmt4Pw_Yt%lDK67Xkl?o~JI4zM()ul2IV`<{(C!r)q2 zgGkoQ9f)_#R#;*K?iaD&1Aboupt$-rnJgP-myMA6#bGa1kyU+hm6)621DwvOOH6T? zeJQZR!O9P)d}TK3+*6gZcj@+<4D`KNnYTLw?_D~JV*U>3|Vd3G%C!x;ZI zijH~*Wtl2{TOF7QHJg^tw_|nJAh7!cc2pB!+rEStO|*>*4eWMH&OplPx@X4TFq4d~ zL}``tTy2p+=N>n&w@U}fHYM+XOkuQ)_fFj(lP?#%<0-6QG{qmJMc)6K@3{WR_%=*|z+wXZK9B)6l=&xOOJ0h_)oMh%!ZK zoMdXR2)&d30Co78c_H=er=kcGSjD`gRA)Awj9W;28$2J$ z(h}$jQj7WSGzIY*yKjum<{FD#oYXFP)b1$H#15gdEm&teL!!2hg-70(rkq@h++=$n zTsa0y_#Dk)wy)v`LHgaNsefA z0gmBA)0rmgHDtrK&pdeX-O}x2V>=nwYfcPf-_-`BJ=95e6L0$ZG@V_x0V`*>KZqQh zmOpc~PnEWZzJ(R256k9Lno{o!m4_T}Oaciz&&*lhr|4CT8@mU+`<#Do~thYxnj z=bI>6Tc+yqrj-e3*&dkL%cyWG)fi0N28K$L%7md(Su|itII!k`8cnPYX#hrqg)(aK zRJT-MNDQ6a>#J1o-~2hW!G{ew6;bQeM@lni0(O!X$tD>Q=7qy_GI;YLM`wjb(1wQu zwzEV2EM9tjL0=>C%S}egc9|xfB)t2BcI#a`K|5hPf<@A1C8&4Obl5d#T+$-TP4!&H zB?IlZnqJYhaFP&xOlp?)f>^=tqga3X*T<_T2~z`zz~}+`AKg>)%U8)+LP_LlD1#p` z-_V-2r|h-Al-Z8)&vFpMBRX8SEJ8FmKpU>#4pHU9CIN6gJ?p)(t+O)_FIc8NCe9?^ z2F6sWAmskwF~zVg8Id|q^xJ!hFWJ8mf7<=<*`|m;c_te>Tixy|aa5ooEXD!7Ybe~4 zyk(Bm4X@YXKh|g+|5KQ0DRK?1dt!Svu~wpYjV$=*=sXRM{>LTD0FnOn2h&YLGj_eC zlI1*f8dh054lWc^*#LH!&UP%^aWGH%^>vnMxGwkU_}#m9H*Sbz`Hegozx&hb>4Z1Q zi&TsP^nKLD{--#vfIIc2$_MC!tt}zk9%WwnDxEca^xA5I103g*PupF(qha3bMnEek*u7OhQ{5s7> zsKq3!EnReg0LT1}s7h2C_RuGVNcoZsRv!8I+j~vf0m(1r-`VFS%Z&yv`vOz=!WZYV zk1nYH^01M9OuYyEk$ym}CqhtI4iVT2@rxiHr%ExY-UUtuX3tJ2NwUDs2Y8+DJZBil zvlBydyeQw7?5(28IbCmZc=bMo&LL*3!V1`K4!ob}^tIrja@ZMlPF;+rB4r_3_>^Is zhSa^{&MLxDu>1J48Mf2_|F8mSErQU&3r(E}G0bJ}_#{RkX$C~IES5+|&bYqYNu>ZB z8^fGrLBO{Nj_-E9j`abq&GgsSb#9$GNNE<59M5PUV?0R))zhwcU#+vA(#oW@7(XI(#>-8Yd?Gh4WwTtBCkevQ)Lf^$p> z3Axl!d7&1jN_Z`wV^SR!N=TqSJi9Su6*5 zR`TGG4aHp4Sj-`CYYKG&1FvcSLT}3a-1d7|s~xtLuez$yWnFqXlt?nEEf6{&7$uAI z4|Ls5ERqeo5eJ9;fcdj5ZEg}z1qzI_e{U377~Uq%Xsc0)aC65c63wonT!q<2W7tL? zGYqJd-ME#S-As}rOro6W&ebuj0iW;B#+p1T-qpR?3ROw1(ov@I;0jsPVABHnjOwa+ zk_z*=v2tI!e;@`VwgF2%Fr06{bDUORLUnj>78eJqchuWI18k{ae?KhdjmNhZ7y^%) zJBf3A6&T`9#XhMJzdoD?Yz=XZ{$YD-U;D4)4U?sgGg&a?Ow7Nd7lK{D(l<6aDBlwQrajxm}+-@Uz$o>ca`dser&t zR!Lp5gm9Xl&L6FT+QT#U*O5V2kB)IBkI+YB{0m5e-`~m1gW$(InTf|?L)%`T+I}6~Z0+gV^a|+siO_!8 zGq-ozDowJ^tgO;$mo100!e=vte{nSW%DR^yOp*_-4a3l^2?JzX{z_U+u4tm5Fzag$JbqxhPne=^;-yW&+f5{o~#wV zc;fbpfG2{Qf#J}mTDdmIaHm&?Odl_Xt7L46C4Y>nbL6vq-J;<<_Fe?5!hXD^gS^6W zS$oWM*U`+%e*8!5v*VAE#Hr7&Rsm2*UuXAkemH9Tlr-I*RFlLA&|#VVld)+UJ;&zW zAg;k6E=OykTB@Z|vox*he+#4s#}W}k=^PcX zBlyo4JI3iibL}nYz?$-l(=To8qW4tW^;Z$c?lTsSf8V&{8`tF={psQQMbVsfUb`# z!|96&^1G)uC*i-}P=*&6j{Sh{j#(`usQtk`=(TqxhZ_y~P65AXdV679F@3VyfV{@PSl?|Om$j!u`Mx_Rs$uaAMgEPCV5C^BGO?+!jn0dw3gk6f#0bELjGV#vkl%o7nSk$F znc<-wgK?+t8UfV{q~=}0wbDUf09QBM}YG9&O~(_pke} zDaxSshkHFFvtpKetu~J&{3;_tg4*ue-%oGJdM?Usy0-UQQl{2HVJPWUSaGTIy^8Bv zca4jA#O@o!q2Icu&W9sq40pAvN#W>6ua0Y0s|KHx(qlh&CDy1GV!;T&+KoHG2Gsea z=dP>HKo4>kM-lhNV2$eMs4f4*uBZ}{V_p}9D=^e638nEahG4oIFZ%u!)Gm>1D`Yo#w zHL3mkvgl0F&`=8tX1i7oM*N$C48ZMb@6xT!95Qo#5*^57^ZUlK7po)Nwm~F z)&*`ivmN81V^X-A?W_Uk;pKbGmQ9W}kizz-40SZ2INqWA$gLo8hg_K);n_T`@Yliu zX%gI5>|kT+({%aku1lS>cbPNT7CxcZM>)6rqf)Pbq%24A_c(k~Yt8W0VZ=Hy2rk+}N8qMu(#lkhtTgbC|+293-?@`XDiDrD<7 z+e4w=C&B-S==$iFWdELLh!I$wu^Xm8&nS%G;iz!NKJ(Q64SD1%A-2d_9LM|ZLoQFK z=Gz;}I?syc`s)>KP56Zlb7F`L>I8*Q^d^ruheLsGP_)v)HNA|OI+g_UzG1y75ODiU`C3+;4k^b=jU zUBxsAR=y<_8%3KWR1;T4Q6mFm3{(=#WbBx2blqT~q8~*CD2%7w`+?7i z-UMqP>~^*e@abEHLieC)nAN81H-5d1mYx9bOzCcn;^t|R_ z%kL@U>6;%<46YNlsXVQKo%m*UWC@`>`{NRz!=qSOo$#|8C_@^{in zEZt0n(+5@CHT)B$zk647JERv$=Or#XfALpFxKB@lwUE8uufT*lVBNr`1p7bv`}V3; z+_Q?(=XiyxdcIJo-N%bIeH0Bu#c?j)hu2#c*RkAGIjfB9pS%8Bz~*l>Vq&Z8RK{h> zFcTSA3Bf&j!YwaRd6(|xTl$AH1!C*Hgr-na*89yA2o)k-*2XIz!KDE39KgbUvQ1RT z+2r9Xo4dsHnfE{Zqr;OJxFJ~oKG7=$K;(6y<&N`s292lx} zLz3jK27v{!*len8Icr8c6Q9(Pe98-pkc#KVpA39I=iNJL8aJz`6aKvAi>*T{{dsEw zTW8|VXS5+Cv)8pxFUG?pz6GNc7ECXmFpgnUS264s$*9T)^h%t!ZySW8Nef@cH{pp) z-lbaENAv#^cj8S2L`qPT1{H21%=q@@AOsYdffg?azJW z@ovMNxH9_a`0C*eC1iPaeyR%_+K|WK9ZyEvtQ1r6@H$H`4~{eWMy~2F?Ij~g-!kt@ zZJr1Q@~>;|9&KarAF!Gm$3U2L+dYlta`vKr$^rUcSqinx}ai)>5Pv`&Biy9 zonLV>VrE(Jd4~QwuTKuV-20QXx^xQ}2D){nB&t8x#dlv(b%t{J7b(yX{;yD`Kvs$+ zmrD3Wfp-eK>OX~__st)d71Wo)xDvP*vOED#vib;kScrxR8zXYTvvA{7hT(AP52p)v6?N;m$qg-kEmP)F zSy09WN?fID{kGpMh*K078-_%=L;qJWCGjguS?LA3^)BZ*d6QV;S!mQrs8=t4wNUs~-+^tUbGaPEKKCi2OS+l=Q z*kw@^&3EgyQZMeZ1vxo2PEwit)#4itdP!^w8(mf!!@lBpGlPr--mqztdgo@7Uf58} zOILl?;tZ^Ds&6_GDO4tf3Y~nvTnDTX$FKT1`P>_OIct{f4RdN;(+xcutF?@fZ>z;k z=l+i5uYZe`^EXT#V;$u)QiK;2e!B4`JhEG-`cwM;kJ3x|s{g^x;+t7_U)2Oe(0$1i zpE6%o_&r0YZ8+zX6^3_6THOEhI%{!%@jd?P{{{A&%fw5Qy_2R|@10*`{V>E8{F`9y zapXn8`zx2{>`PEj_W)-Kw)NL@*Y4r>nBH35*WgysA9Zs+uWS^EHBlAFkz|<;D9nU2 zPQjho&7LhMete>-KY%N~#b>VNQr^5fA+?@oI`=Q~>z3Jl7&P(ym|t$y^j3S5hOblF z)&$$zJk{qi3AZkEZ!O*Z7H1~p2fnd7z=sGfqk>C$1gNp9{N{J!jYsSFO_XR{i8|@T z8}#PJ^5_vr^rI2lH=~^|R;R)x{MSibmy`t58`=C3_?CQ13-MFPIf4fAwGurvyp=qo zcw#-e(x*wVP$Maa3Zm+|Qfml4;_5i3oJN14c_AlrF~?$1(W+z+6!4s1WfRpaz0m8r z*qgo7n|;`8`>yx-N^kFK@9A1E+xuR&gj@h0% z-<5M2GvZ50#-rw$6r;=Oza!m#5S=3*SQBM5J&+qD?C{&jH<=mUXmll41@x>?_w@+Pj+$yZ|4Zs~6-teco za&v4~B97VJ2^Gn@^tE{D2N|lWr2-55JVwuW{P{rAd_MG9-csFW1T4lXALT7(_kVg!TB; zuS+g^5fd@=^{Vx((^8AaL?_wU*nxd@f3q7(lkHP}f?slpn#v5mX`LtfoP#ATL-m5U%3+21%U&ipt z|7(V&?RF*77i~rtW;B?e(ct88Xi66*-tx%qK;l2Z523!bLMtVqHj$L(XScQDx~0;i za;GEfS74PYHuTF}!k$+<^9|z3uK8o!m=+5y^SW{YF`b3dY{ro$$a+!G@Qv) zdrlm-+zjJYf>McPg$=sWmU~t3(q@f3F3!{@4(Fmy(oT%wQtP`>vhi&CbH8)2t?1Zk z4%<0NeUpILH#d<~Tg{lOMBgbzl!4aYp}G@g@gtG3wy88K zl1|EG{jC+SKbR#?r>$ka$uj9v=Y~{1{NMY;jS;mhL>kwmc%C&F;4d@E-^TGsmiN9z zryMHl0~r{<_-JS{ji3VIJBLh1BUX8WGB?XABD9qM9n0;$4G{}e~d?ebIOpQO)L z&^rdPIcD*9JYCD>TEJOz!`kjfIfFM-<%Pw&2tvmM&O4bj${w-Ijbm3qm^IFSD!3-$ z>E+0!y1Z<8l;c#+UyK3d;8maEJ`aX|LHvmN7$IW8noS5pis=so^R$0|$y=lmVA{0M&}4 z3ZGi@$08VjPq1Y#vQLeSsWH)gVM2JNYO=5G{1hmeqGktCE}QS0g2-bU)#dx8haDuBp`dYX zn$_lYy%g|4%tIWqeah5cp+9EOcj=WFC)aG4?A$gSHRiN2CjETkQ0|Z|xp1cNIkwzf zRU@s(V0=Awka5jPmc_N>fm(leU-9y_25i=^mUKVrv?bIo;=+vwyK7R&cW(1g=%M+V z@nBtkrEw zods1VO0iPr?4Jd3qGY`kC<^e`1rM)9UzOHNP{mxyCM%@5R8tI-Gzbdj!0gz22m^vQ zubvn<(PVEanOiN!-ahQ3`PxtP<8$=)(deslrBCfshJtg3oa^?EkAnvut&|Fm8{Dy; zf0?uBEBR)F`w4cjEg=>5(Cfs2^J&OYUqBve!}0D z8$CorjnQ^Xy$$X*{HBtb0*s^7$qwg-%&hx8=UpkYxQ7|HjI{|)0xxx`BJYOsb zq-S`Kk@lw$S1jn2E)6C$P>GDH+i@44Gon+ZW5k^@NHvF&qPM@6)j_!<&F7JXs%jjx z%u#e1P_+FO!&p{k5of|N%vpHz5ff!u+?BvsVcZqTH4dG&>rXcxZg_WlOIp|XQtjO^ zrol_a;~&GO-no{r=i#WwFt&;}yS)_}&%T-45;daWpIC#}OE8UZn38d$IL%X$vx~)j zf&Ca=+03H$EP7Rx)Ld5;S3epuD}O_ip>f8ZkECHR;n29;%Zl7P1SyAHTcq4XJh-bvF#?!wb zR}M$lG`ANUpAwXN03t2l3tN@A-T(1fi0N_DU$cQYvtJ=1(l%C^3CpnRbXeW^V9Oyx zdm{cBW& zl=nqPWSIuG?Q0DM9KNl4*Jx`~4Ie{IP?X$J8$TMeadx`NjKmCUVfEEjI5<&;S{#LF z+gvu248zyn6l^9)PiZ5Zn(UiB{F2niJ4xlegyp4l#74u2SXjN1r#wy+)dX=p3WnQM z`e1BE8M;_>oT7`fnp6*?}Wr}F_Rg95#f-hj8rI#rPZez#2TlT?Pd1{w3z z(QH^Mltvr{>r7}^9q@l@(FBPq^PQt7&KwEAs1WbUq5~r0>pe9&hY@3AYXhU`q$Fjt zzQ|>zMfFA9UBAD|Q$GBZgP1I!DU0n!G)n}}IZjlJ)*d&lsQW!#RH2RjGNI$Lx{eSB z5~wvao@W~~tm|tM2!RapZFj_g#=pGC)fq3ZofTC5OsTy=$Q2qri|V~=_u57EDJnHR zPOpN#Nu~Vr4dUlHN_s-L6|nk39@xMIim?0}6|s@nKr`(4N1}VPtB?^Qz)iJ&U#Uj0 znfG8X+VG-x`JoMNPyK}TzUz}q9ufMcHge)jp#&-vEP5a@K7o!(t6kcHnf?`0L3Jt^ zvBIqs*2GxXiXyCKrZR#Py6Hw!)#x3V`SCUW#D(x>`Ikn~-9tyTn)uaIElfgiDeGoiyRLDXnsVz=D=nG8VEVYPJ#^SB zlRZlDmqqf69TfTY#Ky)%(a&V?5P^246Y9CDoBNnM_g;r-Yf;#0BEwqSZg1CyzexTg z5xEbJp%Y2wLdPq*J{Ri{+$>}HsEw+fj7iwe4_Z5m4AkouT4F-6R|1fzuVZcr;9d@| z?H~tit;~r*hd~DY#EmhUL*axkD3%=4QZmRAp|K2MYa>l}sacy}mv=$v#{KHDV+P}= zRu)qUB?y&4;loB#H!P7%o0sGJ)LA1iB|kN9)#?;RGRi7XKY!iQz!9ce4P>USGKIxQ zPm7;`KHndWeO0m*_mGZ-3jV2hYf;FzZ|Ci0$%4`Z3r#BDFv-(U_1hgjXIn`OCtfS~ zS$DD#aUFc+u-w;2h zq{85eyq`bb)O&Z|lPwzZs9ph6@fVjwyO)+bg2>O2 zY${s4ZJ9pcF%#n zR>R!dl@@#yF~yVue1$1%EP4ZhqM%FVsWtLK6KZcFm=;Lb#lO3560GSX#>pgfH$OD!Kp9}?-ZXN;OiU)glV{Sv;HYQ};|br$rN?V=so+mSHx5q=t+D4#K3MJVmiKUsFX zB)d!^FpYcwI;pKU#C%z4*k!FCvs?FTOa<{Bx9T?te6y}RP*aXgJp#1L?q6FGj*rcu z%}a;dB#z6Pt2te-f$^iemPQ#s3;u_weZeR=X0-;gA*FG=?FA*KSsCs0z5QvV>owoS zX&n&eyI%Y8joCUugP3oLCFG%4s!R_p#^qOG^;t_548+tTKm0*A>nzly173WKqTZBW z&G_Np=kyFFbUbU>t`oHVC&KuTAdyYT2fjIg(Ta`)7>z`*zyi%K4lG!0g76J&FPG*C zYDP-ruc)#R`Mr_qpCijxBZR+40-j{l;PNd{8r8|xS`?TZ@DB3p3I;ww5jvjSqOFBd z+eHHQtq5)HgRwZnvAB%;jp=QU3-3lkR{oHMNk0DI2U*YUf01A|p&dNL0!llI4va*Z zNWH>}YViF4f!J-MwdiJvnnq|rH~EEaln$d8BhzVc?tpi_@QS#qsF<&3;z`R_0}Q8`X}fRU+$>|$kb zEEZoWON$$|5>7tvE=35j8K0krk+;y6P}zw#jbn0A6ESziXjZhT?7u`~&#G`1+9Y$= zKI}NCz4!g25dkD$E4pq)uhaLwhbWbi$$qMk0GojXW#f}t7KUNEEi7N$_J}v67U<#X z7`DX-+%bL^?#P=av16eaac+d)k=yn_*JS)&3|kA+zz=>qjQDzzK8A(zlO--YjSwi{ z$CLJCf*7U(J~#}pnHaVPJ|gL!JsSbaM;qbi!VJ`fpUbxoZc^?2$|hJBfZ>SeYye{g zSATPWo1u_wh27(Sz$2cH1DT!2{qLkN;N{4~powGzG&X!q0QiXtqd;v_zR;shhTVq$ z2TdE8pvLT?P=rz`bDzt79RbX)@Sp$8YDRUcX9^` z6TYzstA7rE0}%-?%j(wCv_|1mjD4X>BqxiZU<2i5e;U#yk|i&ZW%hXcAdk=blg0PY z-H`4PyBwvx;s5%WKwU!|QS08~JH9tmlfI?sXgJ8I4y`iAmYk`&& z4{{b}fzqJ9U@(PNY)UcmO>UTkkPeObN!r4%HD>!sMk*Tlk*Y(7U9AkrAg1F9`zV;m zS@9)C1Z$V$V;}+y$M6tc;MHI+sez0elYrjsft$@ZEDltj>QU=hv+CNijH~>^x8^48 za*#-9H=W#iV!oH!q(sSX&U91A3Hu*2l^McV?e5B7q!@P~E$q#%pru3~Z*QD#D+W

2{1zBUr_5GT z+kBO6zwuGj4v;+Kleks)C*s4%A3;su2KP^DSPKze6xCSZ2CP1ENsv(!@%gY+FXQO# z+ln~1No_sDrN}CGltBxO*BqK50B%%{Be_e&x3B{EEB^uf{AEKt0V>P-)W_3iZR&0t zOo-^P=2L$eRp)M=;yW^9lsm$zUz}nqdo9Z2n20d~4EmC%7#P}=1%L5v{^Hoa>!`mu zApDcm^=+d0i-C1H%0WRtGmj0}b|y&)c<0?sVtqE}mz{U7?v_po2Blv%=@eNWb0(E5 zbvjD8K%Ey3IY}T#*{h|fLd&KcQQC(qhn$vUo->$EfTBHz5;PaqUU!i37Hmw&ygqX! zQY!EPTTd zUr75C3q?_J4cMlJYy;P45)7D@1pk*8&>nYP1oFvUj8sq}6mZ+MsSCqyZ{Uhu_2jZAcWpqzGQ- zj)-6dW{0f|v<-;?x+W&Zc*StMD8_O}Cg3V(hX7aEb?5I$(3V+pKnyz`wy@g{(f^$= z9oAtlA#l(wO)#S%5g#xtkjqMVeI_Pzq=|^Ni3r(aj>x|`ApDb3%6rW)|9f6o>Iwzn9yy6}=pkICQ`|G46Z)B`@V0N^O>Syu^ZJIyix1k80 zq%67ANrRqVNM@V^514^tMf(~He$%Xl$AkWPyTI)GB=L)(W7>XsiWDo$?sOzO$5B7l zlm05rzzWfS!fecaTsItRqH_?`o-OfUHtN`92cu8FR5!tqc#hcb#mjmDGKvdzYSBW61KZ(%HE8a7qG1f?gY7iUn5QtWf= zbA~!Ey3>M&SQs-7uBwqHU&lm(Me%1VP|(KTbKA6-Vyfpj%fkp5%#tWQD00Yn`7|tb z!JVE2@-G&nf-w-UM!Gx>uKb7iKG;WSb6_BmhDOB7`^OJ#xMcLn2l~wcxhd;)@C}yB z?NdMM_?g2VUMH9>IO@lU0M=REw^-Y1tjXsN} zG8fB|hqZY!o{WL?Ri>pNiP%d~v}vc~ix_FQh1W9=oQ|?BK_*#J<$V*8a2M%A{;cnR z*Z>UM&h@m`!CyH~*Hvisfzt~!Jqa{j8TCBL`B8w{Tf)x132sxTphY^4nj=z~68rI0 z8>biij#58UPpc(Escd|_eY^v_{XQSQA3)VaN#I!)RyvBHO$92LNbj`2Taw&mA(dg+ z)$F%%+RROnJao@(x;|{RpiLam)m&FdyC3ResV;;*pXtc9tvo0T&>DEKaNo~iz{Uw> zVGV8#YHZ3FNIiz6j?KgM8^A<&7*&bVtdodkPv8bCCzbnASh+AIyH}nScchO#*i{X< z^Rh^-MOJX>0lPUxh*plCtgM8eDyQW>Iom{(;JjN}5N>?d9yO*x(0 z4+|^F_r?Sl7%4qecAn7`^Gzpx{FPGn%k1KE;lECE?7li+UF0b}W6s!R7C0_pzWrEU z9%-G$ymWgk<&;S1*1YyT=fSgORf6$gD;e%0x=FW-TcVpGN4ZZ2-J0C!U0;jKlot)U z75I-@paK>Hnl0YWy%Ib}awu!1Z?@tIh-nF)Q*kO=R@UAYrrTF?2+w=_fQI)h`mb2a z%CQA3#=s(BYf|sU=#hu2Z-7P~>SFM+4%kNIc@l$i9_~Sy1m=!fy@&&-YvIjBzpQ`- zXe!IWwPb*ubl$lcI6om*NGjvDtNkE059xQOvTtHJfOQENN=Ko4WmBM~i8!zyK_~;T zyo)|sU^+i+G4*gI1eCTTPlu_Eo?z_Mq@OLIr-NpuS>k9DzR{T&dN&8% zL|sUZiMG2-&OYT$^$30CH{YaIb7In$IK= zn;#izECS^>7Do?f5`};w%sa>`*)4U%se|IZ{ER6n785A6WjDL%jFaIKC|)fOgDZay z70Ae;DIa9yZj=r(sz%x?*&)pCa*EDZ2mE1G0oy3HH8B5*+Pufi^=jln$^3wJGqudL z*`W%bm63=`C@j4kPyFA4?qGLLvxspOzWP1dggoZ~8=B1QQb2|ux8(c9&TAgMh<|e zKm@G84L8A(51%JDuZeB1zR7R?*3Ce<^R2M`w-@O2PgPv&`Z{Zofe4lkWEtno!i2i> zhoGa-r;g2tn`9}iB_4q?%6Uyo$u9;-{)Eko$$x0Nh59+cxU>1_Fea($A1x?h6oQLk z`I-Mzs~x5S{M{=LBwfs63s#OgOwAT-7RG0~m%9h*DEa4lW9U8lH+VDYJ@^C5U=4hr zpgbmr_fWRRgi3@o+_UqfoeJ>zz(v!~&$^7gP;=(q=hPM+WC%KvqP-%q0#;GE7wQEb z4~1+-N4QLc+=alqg(8RUivxd-14v|Cd<0h9B90coxcL8{Wl=X8d@~l_oFj!VK)WS| zSA-k&dWWSM3^LJvX>e+hSH?&`gd{;l#=V zr(qehiLSrSASd1z^WwmX3LQ5mKowe@87V;*Z*{5*4}D8?p`GNT{@Sc$eeP zS0^~e!vwlnJ5ETZlf2?QA1eEAG89i6XuuQfVf99WsSzl%c1Z}tZM&D27Bn_Xhvv+X zCSHuc`}BW={m~2y;!J9tC(z}j*lADM#K29PW-=8TlSCr^L0sxhEUE2lQTx7)*pRtx zQ9N+_G{flv;HiLrgzO49AP|UW{}4X_5oN=#(~emIpdIyP%IYLLbz~(251}b^=#Cso z?-7B~ThRs7O|v=E3kYVwj&Cq$$_4AIg{!BBnt%MD*%aA3H$X;TqSW2I0y3-cvCZ;q zcq8$5G?rn`*;3B~Mbu{?QSswx&8R|Kzgr&A%0+5%oc{WFUgHPwxh)Xn^n3^^(&c>mDNZGJH17#K8Hk>3LopIU^0j}i4+Q=q7 z4y(j?A3c~$1Xr=?xB{PYvn-y^y1MNY1S#It?+Bh!rsCS;E-8TJPjZid2}VkIr$GyN z$sp39@pF-eK)M5&sw%EICpW5s0rliZ=Vh`s24pt|%C6nr=TR zrJtmaWt$5&lBBE}Z0Z&&l6CWUbyd;2^6syGFIu z*SAzS#>Vr(My-{dDh-Y?l0WP+hSkagi5IyhDZtTHeexl3l_0CH?bGrS%e#Za`RXiu zU{A@?*-ty5B(^Z7N40SXb^A%QRhqoejWE^Ae$4|aI9GMIZ7)zfvZG@Yu>r2=C^?)M^DTi#X!@}rNjD@v&v;*rFQ0~IxrSy;87W1)$fSitGYSo=XU61iR4tx2N#=%fs{=f;+d3J zMKK4p8oA+~WZA?Xi!gM1gvnw&m9fPLA@#fuI0rS!y8ww&ceQ6~(b9*_S##F01rJ+i zZRhiE2AYk9w)ANyNZgT6>AT^$Ke+3ow5_@NO;)=*w~C=)=vlT%z~Rv^+ynp z1Hy4j%3QR0T<$h-=BpZ?Zq|z!W=1mxmXGMA_e~f$c~$$=&1>dW4VvzX1eDV|RZgPe z)pZiI`5mVH@RNBau9|UR zDnB!aHS=(Xyk3A`*4L#0=Qp^4y4FpEygd^Ef3KZ`dq*Vze1t-7=5IDT0fKRI1dpIl|Ut&{O(#q9j;pOhzl zuRrVt4@{oK7i?1fGYhoOn2p;Z?b}4X{RcbkVu3fuWLpR8`ry0sivbE}EA*YDF2HC~ zm^&$emGEs~tjnyeZ+;O;e_W5;-$Bsh`ml#1;=();W^Nzy&1>eX+knX+1&`a2`{~Q? zHgdyJ^P$~ejUFB$&~LN*=0gKM?9?Nz^7^F?OUIi07z6d@eX9qwN6a*li+4@$S5eFG zxUtUJzVu48TXNbzP4am?qiNYKlJ-osj1+TBT4!ugC>=D%a*`aZAz&7yT1=f@yP)Y_&v1J-D1?Kz1 zHepy92CGr|{CA&TMKezpg!i0hpM;r3A{Nm5DSh*9u2(}g2VzL9t@`t9Gi=|*P%T78 zm}ghH(WqRjmHxptMg{Thm~0#|D)@HAfdafIP?jqq7yI_3LhGLjJ>Mc8onVLa(}%i8 zUtoum{Gc}9xKgmT>jrwDKM?>b3HEAW_&D^bY8*}SWwU=4-VyOAw^UkRVk+f^nbSVx zl75>6xqAMqv~#V%f8j^^ap>b2%TGETZU~$Z&rSyfZiuIx-%qSs*Z~~L1WGz)MgdCd zh#-)1muoVLW^9=cGoq<33!X%ry9%xOxpkQ(U#yrRskh`>jmDqL&Osk>8DI)LBIAxQ zJ2)i;_cS8wV>##l?Jf9>)_!k!AHbRNU4S3{;OQU4s=<$DnK3n#}<=(&$tDd4w4v z2=q_$2RA{tLKTMTXU4(*oHIjW{^$IW-1=5PqHXdeQJ~nN?cx&jB5|%B97DeN?6ByL zFuVFK4zRAJp^iBUIu3IbH10K-x0#2%t6$61WK@ldiKhST)21#~0vjC?j74hlwIHJg zDV$Lb)m-T)VH!JlLc`dA$&M|5UyuP|iV&adVeMy)=H94T!W3gZ*{29JzY+z%K1~LC z!5RKA3?DdBL21BaeOT}pU|VkhR>azYXyGYcu!$!1YbwQE*?i7~mH5IlvlXMKEjxSC zu&H(_1Q)G8c&Um2lJ`n&w`TggD|lHqFK0Fxc-E3?TLj=1NRugc>|gu|Yv|bb*|6bh zQEA-k!nl4Hifa#%RK%yAgPu7J?;HZhhznhHS-7kAGd6;{ZB|Z88_~ zr39-?sU6MgV3i5~`Dh3|o94$z%I}17w9U_NZ$-gBl?0qo{sndBn56tG%E)uDL&9z~ zr@>Qz>&hz`dHT_>A!?vUIrza@pJmD6r~ z;fXY#F;3F1;se-JFcTjxBx#q`5H|H~!1<@G=p!M!{((e_9m@4TdZZCi+e+B|!+vj( z!5p?Z2~*R=hoJAz3|ue}n4 zW4pYmA=*};|Ga-HNp9|CpXe=@ckcnt!1!o4DD}E~72GxY@sXM_cyRmI3?G2}e~& zoD8^j!wJyk?5#@7|Tx?9P544e^&BN`5YwS&LyH`K+~#?!{z#x2RWNXha9_EG2#J_Ygj=ieiqft@kX z7XqB0YChSevI4aEgVw2_7H%yB2@Zn?sm~9>sN?-jxPN-2_gX9P{LFjNyWYz8Gb6Hh zT{y1gI*Jg^0wyo;TL1#wjx&{o!|8 zHSLRWL3@R!?NNg?C~l{Ruw(h*oGKdHt?@7S>5ejaXaOfmjA`@^!eCCRP*j3eQAyit z!*3Sb)3xtUStNBtzinVU6N9qLud<1#KuZEU?1VhShAo0#e1DdjX-ztFI;v>)NJ?Y$ zwv5Yn2RwnE!@}*CH=BPpMrB$DzL{@H3`xy2B%2Zbq-Yi>uQB>Qs4-b@f`#ooD$bEf zrMWeEW`<>EC*Vb;S=j#b1af5Wx3>|B26r~O@E{vae}^trV@1&d8&ZYyVq}#`QFv&z zQEXSc{cA}1a0P@8^Miv~JoM$4Ybd&nI#pD$f?1cN$%9+;_<-!Y?~9Ua{CB$QtX7D- z3^I%)Kll3b78z*H`oYib=%-Tu?z~WgRPzI|{05CQ@i2pEyy>B&nH`p3i%coXs)#07 zu?9&l{0WX@=Qf~R}V zB%a8W>MGj`ejk`pvV%9hd$WZGY`eZK!N;RVv^&qG>bkL_QKljAdb*cEK=x6h4LQ<# zHHu$FOpH{HSw%qhUnuryv3B)Aodd6)&5&0ZNpbJIhm4ZJ;>kO!sLJ}y)-H&y&CsiT z;^JPWuM#&?JB*Jr^-7O3!d_8Ug>7pKs_t7!xc*d2S`ZMO)oQDFs7Qx8P8Bv&G}hcrMb!)f2Z2} z2KjBVR}dlkxbQJ#=4Zq&I*l{DODC3b=8u?2+MX!!xE->r7q$NzsSp`%O7Xydk>Q)jHIc1$kReD0TVb-VZAmYn7kgSmemtY`@~;de}a^iu=Zg zVGA6>STE%cW3yIvV=lpaS?%lp3o$G~G4zZ&z7_Yn7tyUXYK_+dslnzfCoKveJR;EV zBnQY!e~t4zVmbHHKEVdF=*NEX*2bca4=DKwjc{`!n<5h;Ya(;7j-JicD>ZZZYA3vq zec8;3w2##-y&MxP(J+^Kbl^(vvHcBEqu89W*;)sgek6~HI@-;8Qk(Teg-A@v59_*y zT-J?Lg=#Bp1+1z;scQsa-J!RJE!>t`7Hr{d+Nv6s)%CEDNg_x39EmHT2a%ijx(o|- z>?$BK1w;litGaF;Du8KmH<8Pz&@=1*B&la)rKi5vnKibz6WLQkX`L7&(0!7d_@zuS zbn2y?Ec-TDKDB`CeuuN?&c4d}e~~)T-22*eT)n6!ZE6jY329RnG06k_2sDU9RHjE8 zKhc=AJBt&QOZ^X$P{mIx-~CF+`hmY)S2<4dzUOyxwh29Q5~5<8<_EbPsgn0u<|IpO zSmuJ&`UrgJ?5!CMOLew{hr5NVF*+D?NDVhMNL!{IW!b=Iakb-*qWllS*bb3c_k&I1 zxDeS$@(ku{LTe#-y5A|tiLh)H75MSvaIXoORi28lK=i)Tkz-d42>~v}PJy_TY3PXw zIr)8(xY+{4!?ls!KfvlmCh?ap5xdvz;dex*Un5_4v3O0sd=_094R8JQ>NcMqk%$*r zDYfGolZM#DYq_bCll!Va9?hp)(6n1^fzv9r>Lz`|(++AZ+`^7MT9%gm^!|tSM6S&k zcp~186}C1<>dzWs^W3!I)4{Qd0Y$@Z%S=C&Yl!|*kKxtuzAkB)pT zW4AlA=k6V57w|f#*}3dVa6#}uP9#g~B{H9Gtb9~@lGt(9A;}5Sw5RRbal?+7*9?~m z5gBjf9yV*0FU&h*mG6szMhu+t&a}DO4_=0r(y-7QH*{Oo|2vU_Pap?u(D`3D7j~*+ zugcMXJf2nvN`a^tx;U{GS2s73>l!Yb#8vVN@T(YPe5EShQGF))@Gq6|gzG+v&)N!A zECxq?WS(K`4B%qlWjN4L=S>seNzo+)IB5=0&>Mv-*!BjO#`9#D;%=J%rNYb}_T37y zTY2)SK&W10EC4HTeu>#z8~)drvQlmo=TR{^=i`!%*?C7-25dxxV7($o3R3e>KmCHs z8m_33LR}ru^omdKz!B_Cm>>UDr-2w{D!6mbqrx%xROa!TY23Xrf*_WRtQ*G)yh2CMn z=}iHHFu&8A8b{;LjaZNp_st^EYy2*_dSOkahL0Z`J#%H`K;Uv9qB#WIzj2#5-u^F2 z!6p~g+7kZp$Ul-z>qh%ncfmrT#;l596W)wy+UQ{jRvh^_d;M5`EGL0(k{!GYh9Nmp z!E4h_Hc}<9e-r=Cmg*4Un%xfvzMcE5$Fz!3H;LeZC^E%uUgw*ajuM`bPlQ_O7k&^hoPsqG9!b77?l#_3Q}uCCt~}fMw2*Rg zB#GlqxV<)xxgnwWW7XTnJ->dpUU(h_-Wm!i*NEr(+|2!)&A%S!9;NED7tO*=^UH2O zL?K_*(!=nvR{zSjeK!wtuTtL^(i*+xk@9;+c5-E6{AY4|-eaN36>U`&Lx-J<@b*4+3BO%;5gc zr+aE#y!A@ut+kQTi*IH3SBw=A|A(`;fQlp7wuZ65;Dc*$cb6bR26uOY1a}KgaAwdz zkl;>m2oNklu;A{l3GTrHA^DoT``(q8x7NS@wf5}Vr>3iWy6RMQ_oDJ?6EKn<4Pne>^@pqHS`Oj`BS~ZJ(8*|G(+i< zM>qe`yT@LxAqf4d%PJox@BFcjUtTovtFBR6^qisgp#8^`%2bm<`-hZD{-UIhggSL| z4T^J13;2nk{bzR6k4_f;sjpQo0ivmP(@oeyH}|0}l~XISrpNV=@Yj1RCOzz@qAM?2aN2_{xxFCpla|IV#IEt~NfY}gDoEdBnT z;9F}CBuxEl%L&&c6~3?X6P)}3OHCL^reg&7VUB^cMGkKKA3WX+ku)!?w|dpT_WeQ2 zGgQ*>$lOCLHcT4!=Iw&lFYz#(h{dM1%b|OTUc*0-6SodizhlyTnP=Z!uH&Kk5=9$L z%q+fQ*eid&Nk=Oijh#lutr>t5%_)UGOSV8Yg>cillTQa9KAcO8N=2U2D-X9RPA`i9 zvSH)K?KB1DJqsp-Ae1h{3qVI|olzF3c%;2uXlZy?>PhB~;q>r1ydm0mt?-&*rC=|_ zB4iEf17vx!XiYG!7F2_inO2*jJ>)0YT!Z!%R34w;$x{^+8n!?_a%k=4q$5@Llw4s5 zNQqJfxj<}0!rrkt2@i+QGp4f8oK(7pFY+RqNr)y{uXh?Jzm0|`0rZW4I|#zW$;wLW zNHM}t>&q268pfSuXq!bWfZg{Gb4sc-dm+ee?3mW7=nhHn4Om;Ni|wsqc^O{|i$pvG z3&KDXAXiTdlVs7&U1Aq<;@Y7c zG8x41tl_%DtoyxLtf}cOekw+Q#pOl!`-ztif>6_(K(r47a5(LvYWBE)P+1u}fK(X5 zD9b<`C(6y!WxEGUBrN!Fn(ivVmj1oBl&yQQmMw%@)(U4V(rOixyAHJJD1Y={I8-|N zH`D_j8#5q$my9b@#R8vcH+ z?dBFFofpq)OgUMYS7T=cFm$_TV@VszD`#-Dss?avlkwT;gmLng9z= z8E7w_M*rk*YN@BwQ{=ozG^Lh9x#>)=tqXpp!!B$X{@Wm7r9Kb}g+SG}aNIAtc0&U& z7Q@1+X!HClX_qi7E!QwB3%4*Uw|6irX%8`jODvkkpW_OB;-fhdGa!wldU(d z0)@)N5ZPfCmHkI3fShmJ6BM2G0iw%@6GaIz{%{$W=U&(nZ^czl;pc>>UMiypn`P6g z^g)n7fcX`YktP2YuL(~agb+$!#5N17(`Fpf%w|&ZD)?{XBd$dSm{tv9>&6`~6MpQv z^Ge@yYBG&h>M$-*@fQW5otEPa?46l&4C;zOSzWg@YLT6HCvRp{_Je#}O$dS(wE41YVu z!v+~If<2k5O%r6j64Dz7|QCP?|ATNW1#zj+*4A@-(V^au`~X` z6u`Jd9o!+_N=G#@FL#5Cba%JM5+d<}a+L#2Y+^D&cO7bsBu=^~DfI>i7`l{QB>my0 zu2P!;*Ay(H=+s)_^M_M&!#jl+d*Vrag$ml^I$!`#n9q1n<@MO|1_xs$6!p;VPxRx8 z!9BfuR|FKJT)u=;B#W^O?i7(-dJgvK*O!7rTGL>Y(-&Wtid}m00b>5c8iU)OXe$n? zaTvgCxT`ez>_zlkI?)p=Q~YFK4fP!v$w%V_{>(fZ*E#WXczC>o55!>g*RhAe!FQIi zAf%bsG*`I;y@7j6h+J-z2sd!bS#;Y|hwyw<)e#gDh+9iY(@*vz&EW>UFV^J!t~VyV zovU-i{O{up9F&*#Ai;SbVtS3(euUz8j;(FaakWL+F%xjsVffGdMeHjJO7wQR6Aq_{w|xfN z1?NTQlG}xSM5MWVsfH?ZTWBXQyl0Kq`ahFav@~G-Cav0;diImGDMRUS0P&wVJB#j~ zdL}wiP}_iYgU!lT)g$%=P3h1z_d~a4#fjLQvHk4BK!#FPtDJ#Hna&M6dJeMT1r@AY zQ;8y2TiWi2yFD4hIhh8J8VJ7KNw# zLS=Y*<e#2jn>$~eb+^=joL>Tox?Euna%J`M4b4kYVn9uXQ*qgBbN`A%pP9CWw zQP9n*oJJHeDx}b3IlR#kh)AJt4WiRc-CIi3Hz+uiwXlL!lSI@9DNV<8-?7&R4Og!7 z95#*Iu{-$`)jnEvdrfS2>@j}B3k$NFU9*Y|gN1Nttm$+K>CBZclSG3`YAfGTC`}tT zN>b?kVaq@4@`wGW0cUH)Y$a9(aUkP`#&m^mSa4A{|F;>!dSbERc&?wmQ?W?1|NAYOOl0;Y zKHJF>$ru4lL%#9Ld7zd(e$kKDWm)B=@k}U@>=k2NY9jkFBeo}pm<~yB{%dxm-=vrd z$t9oF#B{QxnildOqoY>Zd~x~~7dygAZ97H`Kh?#LSbxCWj5;bLf*hI9{r|H8WfWv( zWADW)AxI_MTC7DI{s6V3Dr3lKN&RJWvU$h;1JjFsTaIwVc#OBxtxkbBM^ zOe@@ql0h;!DYiT!AAiDaBkSGOs|ou%HI+L1Cm5!+U+P{ zm~jt+CKA#dKFW(f@;e|y^juZjLOr$!vhZp)vV5NG_Oc39>AZwoczs6VO{%#ECawZj z6S`x+9{wV+rdwAS@W#FP_CU|L_Ck}bG(qPEDv#dw`D^dJl4{%MBH(u3uB#pVV0A)w z^eMfSuoU-b>Y!Kt-did>IN==bl8Nk@^C`hJt`h zN}6OJ6FNgw#kP|JU4S|IE0E~N9!x9KrNirD2t1(buRfS!;S6!;4pU1leo112Fnwxm zEXPeYd63noXZmzk^+8en)m5fdO5R7m1{9E?1DlC-I4<^Q;0deQe;GBucQr~4L?2`U z>klTu`Nb7E3{}_ha%fZp_|cHPDKlNrjTxTP8MyhbGw?<1J1;pXF8@sF-Bh8NxLB z&XZQkZq92XC6Dj0FZPj<6H@XX=Hh5rC1Lm4WFSRRh9%(MoqJR^95+Eh0H_P|dC7kT zOpqp0TES8%neXpOH1p9jrZ#$|P58inNBd*TP%p_?6h+vQ_%mdo^vNf6`m8l<%BAj)E(Q~pyT+%v_TXHbdK1Umc>Dj8b zNdcWO1FvQx%O_%|mzA%oG8pZ&*I>md9OR|b?FPZ{vid)u**~}I~Kkc=%vVs_bY%{ zba@&nTudT9?j&2Gd{`o+2U8{X=o$HB??81A0U$G)oLJkOj5eK?(Oi~weU=-yDC{6I zbhqKT(wpdUYNRg|vu;Qkv#w69&{%^Oi%`YEYNC+mMMHg?%J zu*Q86Ez@>lg~O+iLC>C~3f!59Df&_GD`>K-RyyRlI7XyQhKop>Uk$h> zF;!BKR1-y{WJgNNnOpJ6nUDqU=l9;RTRB~NMd2PcC4ZbGda78tHZ|=*ubXiB)fhHQ zE5@&9LBAkBLND@aygsj7pq0}|U59K{grl@P?t}zSdsg=5BWih^?3}FHuQYwwwASy( zqP?$SDcOEYpL>UFMfYD=Sn=gtaDE6_dB1MHtq{HDNI^EDK8BMfdmVKonx;Yo- zilW_9rOI_pT?MtZ-Jy*f6-k{>2OXM^yrw6q6XE%~nyI$(%_g0$zLK`?z?mj%B|Qu4 z*P?%-sHPxQe^q2o{jKrpE_JEB#A*ypX&qLsFlu>a&#px%%i-B#cAla$x4E{yft<25 zf$khmJaq_4c@k#&sUX#dpd!bCfO>dXisYRxBCbPWB9P4sWGgMc`N4qrSkpiTSLdzf z^Nat1`>j0vf|3atXO+O%P_CQr_2sX(?_I=wT(36#zn4AKXGU#&<|g{sCQ#Z8sH{^6!ye>A|DvZ4lZ>Q3GETwA_Uq2*mr8w#8&Xn5X%9mO_STWqwDA+$Vt z&y5(kI9v{3p$b_@pt1VH29mmmOleGuYwOaWq0E&>-}uU*bw93-r|ER*&qx1o6~GtQ zn1PRc9PrrOhwo3n(E@dA@H~)~5~lOeFhO23HJW3b-(slZXk5i0P_2tcrdI*`ZWg7! zZO{L~?W5mPv!R%iaaE3hxX+6gt+4a1`=M;oSl}t>WX86uW!1kdctX`t3->!hyq~>Q`TEnT(}@!k?H}}%m z;4N@YiVi%$`Pr%T=`@}8(UsN}e{~j#NU?Y;eDYHAWxZ_xmDwU2DJ4i>Af^umA$7Fi zJS5l($Uf`vJ?p45-~-A6@9TNZ8Ck-L?XMtnnHDgV1ntrArXE}+hbhbNMg2Pp38T}l zGezr~@uP1kG8x4L)Wijd_!U$*+OG(-0X`cTCT@G-rH zdG7A}g#@sVi*!27_5D@+dMRto<(GXr6$j~Ep)5CHyQJvlY`yhqPjm{<-dQiivzBczjW~WCjTWb-#K|uTwQW45H+miXC16smbq*VS!r z>D-Z|Ec%R)d8KD|>{X=UFIBuVRVr4j>0vjM!%)`3XRp?czq0H%YV{+~xS%DVI>C=G z?KA}?Ma0N*W1sx{I7foN{`8h9u2i~)MMIQmBDQx_of|;`3`bGaq82*WWnHL}P|n=pXftQ7U`7jsydFWnoDB}}Od{Gu3byv+=rgsF&XaxokaU2>xbZ>ZEse0Fb z8fw11`POgb;7Z~g9e5;qu#y=#AIZW1lO|b$ZB{azfHw6vRd=-8*AgaOeb3YHhTaJW z6tA|T0-K{~%LH*7!TEli`t3xOYv`%BX4rC$<(k>jH`;t@Wn-iMEE!7oTaiTtEy`X( z^HbJ27(P!S@TO75!SnE>8(u;vK2LuFW_0j;2>pf^F`CcSyGx&|>PzkYaz6_Haz1^r z3^5(j%UQ(Ga-JC-R52Z^(u${k<->WEr5uU}WpxF2PH$5MDtzErSugTydWWI|HdsH@ z(NIPzRaOq5{J;gWpBRL)V9vEDD2c_$ThPC6Pu0Tcz}Iz;-c0LORON=#(jR1wG`l$!8Z#z{%&d%5^f7Des*0Rg?UpZW^YWyAmC2I$mpfL-a{gf%;Dp{4A&X_^ zlNtZKIA&5kqFabClk36?RS6~NsxwpI!WBNn5P)TO`CxYsMtM9ud_m6RygCL$E|u5d zE_T-Wfet++4t1ndSbJAg0(nxlUUYYR*?K-m{7QR$UG zLO#+ktE324{a zWvA`_d+(>`YX3-%HnV)xe!y;$bA8l&AET)!TtXHz!BK-ag~+0@@xTM!$RqvC1LiL_ zQR#um>AIzDk_U3J+e+Hnh`8NB@f6B2C@k#t-0|V zx5C&XrHsVCS_plZW=VQRCvG1w;p2K*;5H{@$HqpZH2x|z=lCc^S=}7RK(@Iq*42t+ zbBL$gs)l;sw9S2F8eiP5l8+cqAR@wPrgHV#(BQBDKa_=J*pNsDtUahd*ZNKrt^6gM zL$(?a68p)`|uEn(MEmz;H2|O)Nsw1__oUsFl5A{h0F4!IJpf8wQX5tM?%q@ zWOU>63NR8gX-k=;U+#g*hpE_hGz~|J8{R3|ap#EktLw+UpWwzP+=h8#M11ji_Eg%O zJ8j6~K-C>nRKY2`s8O|=y{&58g9I)8);KNy4Q$-|QD*&-{($UV(Nm{>`|dXy3!BhR zXDAwayl-t@cPRGMBUTA|)NL!A-Bvr_;i9ud6HHr3%6tg0A5q62e&3G~f%5}DJ)esv zmw^{w_N$gvWH;2Jm93Pk;2jS-ODt2#Xeb)(GexJT#f|ZrMso9n*O$zG_z_=jCu)f) zNeO8KdMv_S^kdI3V{kgmxw{3~v{P2@kO;~Zy|5c|&~%DhLv++4$~9MsE8a`#T$3iT z=tMka0oo?DwASzWsWp<$H)y=9xla6^J-%)#ewYFff&AjCX>O&!od?{ECSfx_(Mi=7 z#5pWW@?6cTd_RBt=U9*q^V z501cX4%HztqC8-kN+zPbPFa7L$DDmQ{39FQoMT8~(Vufi(^-tSkkSFR!a&y{AuQ)% z(E^S5ulod*GPAp!YSXGPbb#%et$v|?#A2K$Mr`;W zhUqAe|E#IBrj=r%OmQtw@j$Vre!AvMZDycqeRa@c29xFmBQ2`GeT*~LR0lCNIwk3Y zm;@poxiJgifm-kzbMvjp2pAb5L(a-QT`AYOw&3n**<S3hHwPG2U^9SXoZw25Fp2S$pFO4&v<|bSfo_o0hXpnIkutG?kauyfcO2ZO#(G7 z4ZEwM%TB}Eh+R7{lods=HN1%+tecP`Gz4a5xnI!%#WjG4g;Xrjr&x&^GznD*2b3H} z4poMrB`VY5NG>kt!CARr{9ka8*y8MF_(kLR@5u!>I!z@!aKO?hWWsrbvHAZecwXO# zfA>D+{aaF184Iispr-{^2t>sKD+E@#fn@`peUHlq2!KqRUvu6&E`fhfypd@ONWso# z7TSn3#E@8fiSQ7p1|}2^%v}zTb4M*}xZ$`mve=wn@5XDhZ9UdEniZEROeW|dQ2kiD zl9$MR^5_MET(XAX_7EUavWFR)NCf~7$}4d2quBdacJ2gXVE|$k;v5A)F<6{(`qLoE&X4O#U3^wNC^DS3D6P~ zXpar4^Jgbgk2}G)D0=dzn8!}Ucsh}|jp=Qc@8&-YBOrE3NHYPnIGEb{@n}qsM`MBk zm%31Sz&YBS8Nat?j~u?@saYQUSK|W^3}S}_LxByYq#wNqp9VO%E13H+IJ?-=z!-$7+xqk zk{l8W5?*L16|FqzI`ecuvO(-W9U6Y$Ph=YLO?4Bu7~HBk?d}Ht+rf^dcY^aDt;#<-eif(LX`2-#-@eehgOry9`L6IVk34 zi}^P+K)bK%T-(`0e=djIMXz zJ=@{>k@G(#O?f-FW?kuZpZs9K5*aN$k=tjQ93vlV<2FV)2Mex_aRM{+KHYfIv7|X?ec-}! zLJATKI)!*ZucjpMd5q*pf5Y+|)?5I3X|fSG@ZJuyH!fFeGvEYkT25x6WbAVK}Kl zxU@9a*+c*~MFbg?K=Jrj9$K?l-O5gKETCg06aQ+QVe|%5v&xh20 z{Jj4z{jfK41!c#g!GZUjJ}{S$GBdOjLeb9i1@Ur+l`vlK(r| zb4T5)8NdLUzIow$%*1Md(v(sewyZdZ)s*DrYBcz)*-23zshF&g1#(->U1IM-(1Spw zKCrhNEJ0n^j}&Tg$%7!-rHNWtff5?(g$ECiB_cre$4()!+u<7sT{vCvUEz6D1EAUq zNMR-jh5kecu3uz&?!`uQ=;Z72e}!@ zGGQt+RIO|hU4&FF9T!#lg*5Fy>|=?7#Maf(8;0v*Jb)<$i$ZVtuftxd*>lMH`_^MM#*ON65(0Z1G)Kwf2vq~w{*xSVK*UR15GlmT;FpIfw0 z6<2zsB-FPyXO20_m0DQ4=1cz-&;ZipQH~P;^H?g> zRlx4F@YGy%|-j0LthV(U6UWx5pNN`4XM=(IG!4l~` zjloCvIBiC{*s35ihE3~P#CHnK8dr}^j*CD}qWPV8^C^`OR?!Z3fr5nk?-^0qGc-^< zVv8?d__l;I1?HyEn@l-rA*`=Eli+u4)a1S%-}Os<*=9ru?J1N-YiocL>bXWYNJXX% z)iWUc!>LcatySMbmHz?|a1G_6FcQP=vjoNVgNYNUxhSN~L?hL=L}6UvxS!2nFWyj& z>SkN+FIHHAl*TD|r1kx-8%esS>UYP$slSqrznDZwOqdQdr4IfZtYzpzai4$aRbxBH zQ~KG9`&=UdZ_ret_DNBC#5XK+^Z{OU=>>#~k#2BfuxP!0T?+UyFNR*G+@CVT0RL~$ z$ARVbTE?%Nd`)Dh(uX8Cz<1HisRiqX%3Qu91hZK{8o>D)5K(j-QW;47qh$Ld>i{|P zxS6cRbNI(O+#rMoT%he+jZw#GQu%Zsw+x~&P;worTL!)uDAGStHV!IV5x~Z;KH>Kv zGzU3F+2BM@y!syr%!kHEgyYuu!&insxG1Hv(6EW#uVa{RF8;O#p^yI(l-BpT_)PFO z7y#$d(u0SsR_$>7Qhy=%i`xC1(s#Zm(|Xcd-ZJv7A)@!w}HO)2Zd^*#n&YvDXNH7bK?$hsfJhi zP2CqJfrRf{l0(KZ`O|pLNZ#*gB6BBv+nQIahfC!-6K?39steSuu5ED4-369MEQ_I_ zDGk4}3E!EjTm6#XGE*+1%9mysH%LLWUicYpxalIeZs(#(RJp1pfE7CkSUoZ%eUPDK zB!=a9B~&|mC&|(|r7M;zVQyEElb=`Hpfm2PuD?`nqX8_UIB+H(E!OQ3?rrjp%I&V( zJCV}XCtS@+DquS4m@y_?%`V7L3iH&f*qNeQ4XJ418+hoXx$h7u8kRgRYf{t(G!K7t>*ia|QLF4r=Iot1)jNoW2XpA1iF>Cel6bC; zesrhJ02-@IKNdQ`jJaP}*^CUmF>E;i7W~5&w!m_aY8>FKVj2%$R+G53h`8~k)f`q+ zkA6FB?NRXf(R$K1W!&LRO6&&U!4c2QuJ@!zOP}>iyTCd$9q&kc zj*l5AhNEj7P2xRkOzKgcJ3g1a9a3Mf~8VFmDv(m|T1>(pkZkuK1@JOl$la zZ4L!K^N{mu*p><2mrra@@J59yk#I8H7ElWi3t<}O$t3i$rHIkqD*v;6`EqP!ub5_d z?FRQSB>c%ui^FdTLv60ff>0PCfpSBsS%J(GJa!gf?Q9n$2CWhbnb7Wr4*{usStSjh z&|Wc+0@0sv5KA$g(<=Rx$4zj=qM{ld_y&hC6|4M5Zo?s9X4|-3!jV23-`s=e^UUd* zM6}%#eO-t$G2weHi!I@v_z51#k)KB}IM`FzWjpq@dOXoXOUCfI#RKG-nXswnBokYI z42ONhH=jwJ_qF7lt;~-Z&PKo{1><%TyVDx(dxx zyj{x&m4~02!~jzK1)(ETvdT)zgm9@A*BZUOIvy3~_XcY%|-|f36<(Mj+aoZ!h zhcF7()gKQ|70SYUrjeO9gc0zQp_B)aauBtAWJ4+=1goikPu1KCnR`r2KV35R7C*9D zTuM(O&oaSGUx~&Z83~ydCkhcEED?GHAA&1e2?izms)Z$rVagt)DGR_!x8Kpf#q3nC z2^xHf$Td^(f@cwKC~eM$-Y_@CHZb*x5cJ5Os1Mgf&eOuPYj0q$)7C)()7fxS zf@?>^EvL#y6FqDfInmi@p;>jSK^Pa^kM}3=@tAme!*W)VC!)(T@XS8lD|TAEulj>K zzLj3;&Jeeyv9NnAYkz{K^|>+`14bIF z?8aoTB(1r0JcC_>m)R+aURZK-B$SI6(%~SnY>0;)X_zO$_7orTK389{osY87W6rx4 zH#^df19@{h(q}8VUhWceWk?2$6ur9(sQx-B`UDfK<-O&>rYiKhPc9W%KJbXt6yR3C zLiN-oXCYk`@AK>nHd3_I`8CeUnM*U6=!7+h8@@hUpCkol>Dn);<9F&z?}Ft#3^)UC z3tKAF#EGYj=aeW(?KmPzritpudPbi)2p*EJSMr9|ClurBTIYIA<+UetCf-OPZx3>Y zvee)35lf~l`b)*;4IPQ`%c@&l=g`rXPHmW>PEu`-e&43kCA~Znfu$geHK|z?cNLIT z7aa3l5aa6#!UB+S^LF__kKqnBeRC^kgIy|{aeW5V`>H28vokJir5!bOc$D|(kJ9vqRP?kczbjRimnGcN>(0*f-{V$93dr6A^I!`^mq@?pmyqZuWtm|DS_%!D ziUleLxK1lcwv@I?VGF#9u{?#{wicddo-YCC?%l&(i)v(!PKl9FNAs$wk`VkN#38wTk=@3}!w5XPg;*}=8v#7v0r z$9)rIpk(2BHFrLGq+?QqKVWHRoU$(Ro6%Inr81#s-K=S7X*6a9*@3d$`#fa^C)QB(|$g^kPKdbUcv#b zO^lo1rD5}V(D$dm>;y5>cB9C?BgJVvz`2tRXQ1%qhN^!dsHr zh01W-ZMnvC+GQV%r#P^R2@cCkDCjt;KOs_`6LyuP&)Hy5C$kOBGqp|V0A;~Twoe2F z&1{#O2^aar27uL4=5ss1wLj=>q9v4LDvUqvgEEPi*OX zrKU}NH<=Mbu?qu#bSun@v90(*Cpvqq5I;w za%J_|iY`8M~S)~e-F=$frZBQYeSR8t8trqE~ABbX+w@? zYVs-fF3in0sc6p2Jm4;Bb^_7KzC;*{ask@g(r&tPE*MK}3Rw9I_+2!07Q{7zI~;cx z%@RaEM$iXV%wh#Lv&6HfVR9plV*p@}jrTDMw$uYTHZQoI&=k_xT0tR`f_YFn0wn@# zsgs3nx`1b_6%@vUuqqm`ButAks}x&x=F!GGUd}8PR-Pd@L`!BKmXsQ_=fhg;9cPlwv{G42e?0le}iz%zk{$ z5#~*>we(!>z$Uq6FV~6A-i(>k81dfTOIA~1NM)vz_apjjZ&3}Al;Ek>XqMG|=lZ63 zl_UG!0iljSd3;gri-;w?p~IR$I`908`ix(2I&w9}g5D)s@o8rM>=)3& z)8)Zy*Vnt zAL@J;L`;*x}XbBI;TAv*(zG4 zbmSB`NGsRY9EbU-{kiY6T%?Ot6l_=m&BoqcRg@K@UcS*Rw{RlQJ~{ zY;HI2@QXu4vINA$5ybl4X6q?49ZE&kq`BV`czpbz^FA|9GUTYepL63ulQFnT!1Kn% ze*Da|tm)K%(1v5p5t3D5SzWANkJL{XP_1i*x%Ad~rGGK8N?|o;>?KEF+X2frvP{Z= z-LBE;iqoktKc8v+{{FC-`^l(|*0yo*_$f#0LJmAahp#@R+WVFDg|)?J$yLG?jwiuu zdz{%Sy9d9P6ujm<>%An%)();@1*n}~PLIe6h*f?av}EhqzJD%pIS@Vj`(!D*@u^$C zv)qd0bfO9#S^iCP$sd@KW2Uenv`1~B2e~|Dy!3f$!#6`FWwx9^Wb(Y@_tV3Zd0e*3 zuB%Kzm$jyrQO&tHmnP$Z(H>S-YvNwbZHjichmEI{`CQes>zGDb7P6D1o5pir63o4> zcJld?jyF3*8W`%tE+zHI?=t1;qehl@yox^89=1TQr^Lc@IoeUUI#x|ron4xY=;{SZ z1R2sR-BK*0D#!)fhCX}>sB!5qYx@y;zH@)P)00{t5aP)ar{-kYeLNF(x~S#n(AMgE zi+=tm85s@h3_4Y9U2ST2#z6!%Srl z5}N*Rwb$)k;xJ}3D+sb%Jc$o4LqDjF6Fa)G_q%`p#{MD78L9LAwSz;mZlS-RTCQlb zugB~{bf90vfVADyx>PRG5o9M7hn_aO<6QE~QCA7wfQPZ3ZzFH-u^Tl&IhP)rkfZTM zRHh_MMBVl#M~4Nyy$9pp5{DSe7L4p%6*5#@(p0s3xATl_t?3TK7C|YMSN1sD-D!r+ z@hC*JEe9@pS1q5+wZ4ng?-{+>WaeU6G(0b>+PNqzdtF0}l$pX=U|8x6d&tZ$U7~3G z{EemjI&~5wMEM@sx46JqStpN1VDx5^4SwIloki zqGNcdByGbx$={uex_Pip3j1xN7acg-)T;2fDtJk1^nMXe9`S1ufv<1}Ol)05Gp?FG z`Vlzs=0!K|)D<|<{Mh)lDwvc0-iLQN&e8f)V$~(t;+iY1(be)m5T^PMwhzx0S2a%J z8x4Q&c%O>5{JveBmg9|LN|O;@*DV$ z_WIeC=JTbd^E4qh2dfyRiM~R9h(} zy+QZ490qTk$)f%GS*jzejfs@WoMZl7>ad-K<64oE@lWip`X79~J-h)9 z2+}gttIu}E_NP4~mh@i9y=k3)db2C$JPEK##H!otHA`lIDT=Jt^+UFQiOTzTuZJ}- zzPU!4%8@X|o0%Sr%NIs=QqIGC9pJxkh})ncLH6W7sE7=HZHIV`BlOFa*H2IX=5=Jm zwFtj~`(wfNfcq{y@6A_;1JI}!pX)IZS+Z*aeJ%qVFsZZ-U?_n-YN72EBbCjYp5C;Q26Vwd(vr&FHxCQlfdHa07Y#rydx#kC?5ZA@0& z4sk5o#q$hHuDStwyZEjFnxr-I!bC}e_=P~=Tv7HRjS?OhIzl|y8J0(x#(0}XhIkW= zh1Wx}pMo0fT*VJg7j3=8?PbA1Gy6j+lN7J4;!e%I`d2@F0e-`8`{Wfz>vgRNI?;Xm zLH_e{iq@>9`qlh>KI32CRE3|;-KH-98#bDc)B#xN)iup=`UWk6o zS8%h-oSjd!4o|p)qa#o-f9;AJ0X5XRlN{JDD+}Q_IZI<0mn&KSX{l5Wv-l{)dQ0j) zk^1R+p9{e`!cUbaJ|@p=$mf=Pa0j|P0K7mbIn+<3`x*rk`ebo;+p~Z_zRVR#6LII* zMdCqf^?0+bX;NsMda|PQb;fgd%!V~st&YKxO`{;9X&+%#ZRuy+HDh38*OFtEj4f^< zsi~GY?vA>IuBNwRhsKD#JtobCd2q0>tUVT(OAh$ol^vm>Q`^zWDeXCgVNY^@c-7DQ z9Ck13tj?f(S-%8$lm;z_SUeQy0!l|{6USy+CDR8^i z8(mIyvUl#SC{23Sm@1W!wvmr6PK$#F_Hhx>RI+@fnQq3Y@7q5~MV(yMi{E;T-G}tN z-kg)X>-5Ek-+B$vtB2|`UCTy#zDJ8{>>3~H@f^IH)7v8GmV?PJ;KWUx zX!fBRRB5ZUVC(-zVAPF%Zuly2$^Lley+#yqJA-E;{=3}{;OAT$T~>Isw9(LiUb-?M zB6C>vBLPlCLLAqB*8IK=W)B&siP^wKlS=!qY>r_ly&o`cheQk}ALR4g;6u z`H47T{JFyS&t)h+1BL|A(!&&^y6`Vpi;Ps;bt6ZXEo1csoPFLlWyeh&EIcrw1w7R_ zV)4LuE6N!g{DBKY+U#BTs_yajndfhhSie$rBX3`CZ-OqY4{bhshceyg$Fz5Ejocsu zZLm~*nNsZY!poI~pYrdOUcSh3szV4jkfP{j?fW?vN&6|XInJD;_3+2}MAI(6tm3$B zPq~qsDb1dIJ{<Qc*e-%5rFQJkl7`^$T% z92r!Jru3SotKMzEF>vh%l|I>7fe;L%-sd;Zt1M311uxHsxfUy!ksi}nHabEUIz<-x zGgn91KA*9ci_v+c&u5i+%@)323Gstj8MAnt5Cj&S)S+CRyJW0Tfg+)5e!N^@rpL4Rs|+kO(%u{Zi$ z{-yO^Jn5&_%MGwbHQuJVxeHdl=UN<(`%^oY0}{RMy%`deZzN4SBsP2D5n4(q zgecA~$<5kj=O~;jeY)Ap^4~}8kOR=U;ny2Z+q}@3+ZHVnVt{YachP<_FTr+~vJaX) zu#;*q(2;>9zPg4KuE#d7vf}&Yqc0W~x=)K(l$ z9+X1w?;L8J!u_(lqQiN$NU~Ztw4c0B=ZY(|qg2InGlY>82*vf=aj9myWyHND%<9{2 z`250o>J$E5?CzS{l)tta%@ajzHNk6u6_3s!4Rj{yI4PuOs^i$_eu$4+o`)@KERsoIc_XXt~n8Mb$dk+mH==|zxT;^}g zmI?m&d8s`bUbu%=(dEmvZeWSype}&|qRF~k^<(3zbnb9d4Z#&>jM-I{bs;;>sq8PV zcRaFyWSURs3#>hI8zj89m}OVD77p37BD4)#z@`%ngYc8EjW0^4p;Z%R&o9hodtEnS zG0P1{Oii+B!g^v{k=NY!d{d-QCjGLXiw3RWcd~07-;jdnIcUna2(XMU$|>Se37ug2(8Bqrty4#U zXvuvEdw~Dmi%~*xX#XpJvc9sUrDA79N9`Q#0|+|uwTVWoIKF+@uPZo zALk!>EPxG5Nl%uJdJ#&4gBVYTq@_JC2DeZvqbFc=A+=r)aSi&X8eqe5aT2Rh`Fb; z7gsFAyh^0cicLpzV^8dyP~^#@?$a2oZy$$3KnK4S#_9yR4QKosW0hxp8S?EU`p5I( zZ@pt|wu_b}R3g4Q^50Hke!Lpy>0Qg^{asHwajw$7U-Kn+iu~Oq|G=m2j&G*17UpTa zKf^SKD~H2^icOrekN;#gsyH<0%Ulc5l4zTdie2=S0A5Ztuqva?>?W&~FCAYw-miZT z`1!^C*#aNsV|#LhkU)Rav)ANT)aNbbcu~Jz@h2|$4Bjt_^&M)muiJqNs$@|XRrVMf z0S|*>w#00lE#uUR>-4KA*8&Y#J1?_hWg4pw{WjbOz3>yMFLlQysq61OdPYa&tmh-( zSc2z59p5vs)I0#tWdskbkcFdHne>_GR#U6F3b^tkSW6@UZY0|eO&)8FbDdGVBWEB$ z>qV`jZw}!Xj66-qo7sU#+~<#JeFDaD*xGh^iLPsq;qU8BRdHKFOmI8XuK3;`9Tf{H z-&>T)O{8jUd82iOhDLTPe{2OLy*6iRlw>fMVc=rQF7t&?R)F{-0RCNld0BC7?_)it zuGRX8y?{0oTGb1t=qF$1&^L)qit6M}h;$y|bNUCmi3X+u1Yi@0^W<)=ueJ8W>FC23D*&cF0+_CD3tfZ;ly8gPD1~JTPNa?lN9%30_3JSD+?0b z*K=}tOZSA(#OnKevF7j?LWDP4%~K}vMM2tqC%q{^T&;BMhD8OX z)kn4mj7SrCwd-HLQG;F$fcc1q_}K2b#E2`$rqDL{t>gU&MuKhY@TYhoAY;EBw)Oll zWmo7cAE7sqb?fDKyRA<-*i$T&1#w<5+Md0S*RGOG>586dml%`3xl5w!vCzz^kQVob9Bpf2J!(KR5NLd5He2;{~i3$*sv&Kq1Tw!>5A0e z=`w0h$$BAZ03x=OX3gL24>_5sWe}MoY3ZNm*JzwOmR6n4)lQRi*ym&b`7Qt6cgcTD zzoz|p?v3E{m2r+oWY8KJNn@HGgMb!aH9=;T_?^Z->Ez)ZV&+vo8)8Qd+q$iVR56!; ze&W@Yr`0vQ&>B}~7Df36DW$8)&65>f<2tZhJMwpW>X~cH(ovH`fXMba<0<|rS=AysPG5yvJCo4mPgH^hbT(S7U>h$@qtrSG)Mt^~nc6U5uU2 zmGE5>i>11@x!XKaZ(q~>I)br@6Z^u}X-?eiI_;Ib+DxgnN*nJ*e_k;pRnl@k3bfT7 z&+MF953tz}HEfCCom@`;J4?a9dQI19WAldQzUYcY;GemzDsG#D z?rfD{PIc+XG$jejdA5NEus185(F-oY)BdxQWT#&*g7r2~2WX{*LT!S1lRIDTRU;p# zH6a^SCcGC}R^%T54O~===k((>fQAT-g<)M^OM7JWaJsYY3cvadD(%hw*U{9^f>cxT zoar^Nb;Cw&lc((>r#XLY@N-)1AUsz~^K{eulM~tp>H+7A?gwLDU?H6Y8t=4v_uyx0 zYqC4LPs?|v7H$x%+g@c>)eDmR*=UdRUMOliW3K@2idK6^v(ufz%TWNB2s$GvtV8q& zR)1|6fwv%I#a}P8-C*V7`+YZ*qoq{jPdUUJCgH`NgD+Z}@FkR8&A z^s&{m&iLQ}?zErHw#E->S``bSWp5*7e=d62GUd>c$S?dS)%Z1bS1FH^jooo!B_3?ZS zoD={0vuH{{?1wPWwLacxN6>nOl#1j*?|U*fu0Y@&Slbw}$W$5iBn{W5%4x8md}(2D zMCV(D8j9i~3UKO_O?+y@Maes(1>LcM#^TPr1zoCmM=h7}gmc=4-UEHZ)RIP!u>lUf z#^#>={lLk@H{1F3SN+|tu>?0rZ1BU6@ow-!H zgC9Qkx4P@i&?CMd-NY-^VpkRjXXg6eE&=*L&Tgwiw8~`6(=qykmiDJtGbyYR z^S+^B?c;ilCd9tX7u4I`aNtk1}={e@P>4%3;@db)_ac@+|T~J_!jpDn9`a*$?P&h z5V1Z?W)P-(h;+t=(fC7V!z;~P#fCktZ7Q}ZVmBMq zE>^scEpwKe6yiy@sTyOZq%zer+{Jz|9#~56yE0p39_Wtwg?RZM3D|h*Z$LIqTQwqPiY zTQpR$tbeuEGQsUrCmYq4o; z%;JLQ;Qo4KIK-xinsJzslYn0khEm@T8|1&G;O+?$NPa>IWxE7~m1py|bRkVf5yfaotm z{5_Tl0|Y)XNj#P@+QAjC*S^Co|0sjW_8o<|w{oAvCm;v|e8!Zs{fmvnC7LJrs74c3 z`O0|G8$R2e#w~+0?W|gpQ0a{ivP%O6lcK`WJG?}wG;qbMjiB$3XY`|KRD}fdsErK* zpHj-7cfCn83MiyBZ;9?6d1>QMR($q23N+TBo6VoXW0CQkb# z5nel^sL`Ud4IxjBD>YHNxmbhRii=588LbcajG*$ck(MEm3=uQx;WrigHClYIe&@;a z#-$^5%R6wpy}9|6G*Dm~Ll}0i-4M)Xm2cYej$bKz+88qjd&OP6Y$2q{7pAEFv>E4=KUK}OAD zIcHy6^;7C^A5Pk6N!6D@W5v8UH;|RUP z(OtOC*H`*|QL&&CK#{B@q=jq4m#mS|x-xfr8Sz$22-jN1F?Vvk;z}okHHAYoJS8s_ z(of4^AK6aS*8^Znua4ogC!>^0 zpg<%Fxkij+HB&$xqFZN>tk8axR^V<327-ZNkY23l$+Qm8o=6DdFeK=x8jg+u-)h{J z!-`$C_~$TFhjrli+>Y~F$nAK@EPC^xI^<%SEBNdXe*bp$z%BT8y4ZIAD+IN8pn&>Q z39XETZelv>P?ra7Cb_qc;8t{hZu9v`?yUq0^&5;a2kuR4VKM7`mU0ZILaW47u5Ojz@5j13Dn&B%M22q#Wh63xSU=bn(gRUno1&AJn zV3hG|G(YC-q7uFxezD)qiaE2ZqrWXkEvge-y1jN=njf(VxZp0JzpMj8=2q*Mp^LX` z^jn1R7W5bTG{TVU3)Yd|@;_wP5ep$>W9RcwSjWUz!~NEUklC?wk6Z}4VGkN~5`*c- zAef3-JCOOv;2Q|6*_V2;`5G941|9`t{}K>#jl$d}BX2K`g2kcYcI6OMJ}c~`CwJ*; zuvH-l$%Q$GL9P%Xr{e%r0{}H#skMX|Z^fLzSTW8>m=^5%yfso{X*S65(?!2YsW1HI ztTG-hCksODxY~s;q#iEsk19n6{RFh3*Kf}c z<2M0|b;co{@-88>I|?~kaD;Kl((S2n$f!WQ@zItsJTv5Y>S`LfdQFBp@Z8HcwG&&( zo!3G$0&>Sw<<{;Yd@dqQk;RA|-jF`K13_%FX>0}yy1C4DK=ukQUaQ|+?N^!JYGtgk z%KNvWD*XeYqZl(U=dF-d7QoyU+%4|l=4f)wNhYLNsu2NkvT2+_$3S7%XH!7|l&$;5 z3*(ekRlcm5N*-d68MpV%YYh|^#ZrnPH7JpQ1tp#dJGY>rTVKDx1+!Vq^~+Y6j0B}y zPVm;s`Qej=MA*&D7L7^fq`W-5|F#BoGk7!Mls3Z2r)LqbPxxeO_>fBzVdz8Fsrbpo3ZgZmPgQI)@`6a;K0(C`Y=Q zG~5LV-I54E9G)KnursIf3a*y`&nCRsTthvo!~Vo7)pm6eM%;?A=+k0Zpu6adcU8II zgkP>!Lj43!x3N=Lp`*D2f?`16uldd(U}-$a%>acZ^i{uGkTnE#bAzczt#Y{row?2j zb>9xQYRxIwARSP4^RS;G6s=IhRGGF0)Ds!mLwP66a8lj8@PtAZynJrpDG0eI5_o-SiyXNE1RThCCFZsv>wvkpNJt0}S=*pVF#=dvEki=^!>9g4Cps=2Zvf5vQ@%>^ypruzbFu#W*|QdP)*feM<*nCG5Z zyQ*ChHEzCL6i_(quy^L4S)!QsM8|tI=Y6^8ak`hEy7wpea{4d|EwZp}QdJI9$fm6N zbEtNqwG=dW`?R%sR$<~$;vl!@uzdQp_{O!t^sG{IPKILj#XRs zZIGyQ(rKw-Es%b$`N$`Qm2Cf1A8LdircP{DaZ@F@PU=mofu>k^5j0z{v3UVg^V!sv03MhtE8+VoCRee=n0jEtb1NZBZ^1;`+#$2}7w+t7N zi6IV@0Zzz9v^A^=i;zOwOe5eZ_-eZ9VB#j4 zmA^(~1%?)!7MVwdz;lq(hk^&WejpLJtiKFqr;Ad;E7MlbuX;;`vR&>bKKJw0E9T(in3jH!LuPA*9DCI}D!kf?acE!^cZX4vNWV9eqI25@f z91T43BfB};0-qdCR$pr&%H>Z5rYJEz6*=3QK+IgrA2BNsa^lL>xPLe8eQt}*oul9j zQn$Jg$%UcmaRH^9?VE|p*7IEX46;*iBw&*XB(b;8RmaZShCHfXLXXF{eFS%DiXJ0a z-Aoj6P%?X}6-QuQad)KV@=kz)KM?7JU=6ui(psf-x&%Ue_b|lTYWM`SI5;>YIF9Ku zY78>vVkJa4IQiI0f&JDV2mpFoxVzhU3fNfN;^q^XTp2op^hG3;Ua(<{$TMrvzm9C; zl9r%(Sf;60-fP-VM`$`z6X$hHl@?X;!O3a;kHsN?^krSai=2OA|Ltg(EX6>g^gv5;=Env(5}L5Avk{U zoP^5SYBbPo-&mJTZr00bTlIT}`|GnLiPuT#u+B`)S|+?5bn-#?hb)CXjhxP6E{0Ar zoa&{r8MhyJt_>`$Q|a|PWw&IK0f)&WkK_Q^t7HB|tY@|_aS7mH*s}!3dv4KA@KDCa zn+)X*KM`r=N||q<9NqGOiS(;c*2xTgKq>W&8;B~S=2LEzIx!?&#Jsut5MGb!*noT( z922+ekZX7u5Em8S*#ayDADgfG$4La^>LDHG`#V0VG^zwB3 zxYtDe$(2W-pHZs$v&y{UJD>ZtQS46uZgLN){0Dn*e{ndcN+Y{Dns+>oX7=4hr3H_l zTfbdR*W-tSNj}7zIz_8~R_kLpuRPUD>S}IN^xe(ic1Zfg-0`7bef7P%EHN@SRN-Jn zAvd!UYOCuerk(-2#gauYKZaz zL`M%UyU^QnXMjulBKWo3J&1s(w_2;_l0f<|yguz;_oMEY`&k96bj9YIf6U*#OHJwA z`02&;>w)($%b+v~&LdYdyGr(E<|^vb*aWNao5!nPvn0E=$8kuR-nZL33=H%d)Mgd; zUb(hPh*7)g7k|?)S%0kOO<~Vx;NX!%v;Hwc_H`EVGnRLsi0MwBd$6RQl-PhKU$7{p z^uNv+2M8t5CF+#^KB})3G>@GRIkFv!i zqfOrE@@MJhpDM_oEy@W3PZ&gpjC#-u8|JtL<|kzjhGW#uZ%j(M7QvOVhXb%A84wBk z>6Q<_$Z^Y;YQ0g8pk$UMonsZfcu*G-|y|>_C=kUZ`fiWA-UZ zppG=zq|50Syf^W)*v|FSLA}J^1?wcLe)|KXRaIz6lgC|_s;-`ik19^b+uqAlVxaS> z9^#m7x?1w9OB{n=d)0W%Re3$hiYn#X+ty=Kdfw*^Kl=A5T6q9+fU8ZK&1o|Qz`3hY zsS_~Z=>2?a+}E!nBazoGt>tA&!?oIPKlvL)IV-y%qUC-`YqmaI8@il(l;^KlPn=h1 zzqpsAk?5M%@yh|Cwl|v>2UI$6d{o<-ocFoB{pe#pPdRB{hPkRng26rM98OxN7aU@b z%ruTc8Iy*|@82d5m8(kSaO&?BOe7w}j1GbCN4352aUMMn)pTtxOtY zeriX}cYo*O#@TaCxovxY?E>P|i7?6Y&ioynCvuKbay-Q>8}|NZ>KV~MLc8xd?4|YD zO9z?zk!}%g@h;6FGvu2FELz}#w9O4=lvfYBDKXupz^{4bcF|tJb!ymmylrvlc9Dk* z+1NZ?0v@^US4JZb(Js;pL}(|%E(DoFwW~bB=J#3aIkW6zylu}{Q2cgMBA<)w8R|>> zcrHm2T-0^pFHrf*hqG1^7zB2Appvx$=X+zjs`V(hOc%tD@txg+uX8PsAozBHXFiJ7hf}>i`6zLM`#`6+UL+ zUD&;EEBe$c(Cb<^41=TgvH-e3_DvQuYT5q;W0<%J08`f=^e$L!28f7cTkgzv z?X^5AfNCLjV0=Np%$Qu_!G?3fUETMcwVrqC6K+7>r3&R2G6Z*)aYtwQD1I?G?Fd-e z8`*OlobbL);G5pddS;_K@u6s|ox!DC*4w-@6A{kzi;P50*ggh=iMMQCaLx~jA3Fc~ z(DvK<6O)V{I&?mho)XOG44_`XwN|z3{EjwUkV&JgV3e0&mwj<-%2{!}VW0atY~#=8 z)6PPfKC_)Ho$gJ$f$9-sofC0^QOk;%M)_iaFw3>stQE^I6m0O)ELA=GHADwmRj*u(V(-0sKyJ=Jx&bdwS!G2LYKB~|xsPGxV=eF7%>ICw>7nWJ&r zg>q+P0_Vdv7CtM{QkCOpigiC}pE4eer3bzhB_Xr+EVUanKVAAtx+WdR=FDFz*LeGR zRHb5CW5>{#(}E!B*T6c&d`(yrv}RucSG=L3%iZ(Da|n8@nL)5VG(?-U-@EuO4T?H( zilxQt=Vf%_ZmqU30toW1X9%$eF3OF_+uRsiYf%TkhHRQYr*HzsjQOds zf~mm&UuKf0wMF4u9nBsga`YB$2afK(q(+NEfdla}o}MBhj|Oe3FuSJKzNp%#=Pxp| z3W9^7f;!2jkTY0tMk@*iyA=vziDZ=Er*5M}%(C`X2QwDW95m*#0{Yf)2FxuDzc&qu zY7=qBc4p+M1o=oOU%;7j$two}_co%l_gZoj#!# zO3KG{$GX|U*_}4j2|rIFw#(BzQbR4y2r1=az-j7cAy~()5Gg290$r{Gb9)fo#);lC z>}=|$EaZRt?ZkHcoCWW4cs})6cYUJATUAX3|11Eb0kR@?vQ~D7K}?F;AL;&Zj)+Y>ds_9 zH(hl*TBZMI$`n(vK#}%S}0a-?U0L&VP#*{h~2(Y=D>{ z%Gt&rK7LgsNFHQaq`JU3pTsEKh9e@Y{fRN>`t9vR_t@Yu!PZhM??HzAB+EiT$59Rn z<~1sZ#AcwBPXr+~{4qnD?udPnjl<;^b8>aQuG)<=jWe3nE_V+FjJ0xFLBWU^2h1tE1c-hg^pujc>})s=5^U>&;KPk_45FB6oSqRU@=GYSZ2fK7 zM53DEBqavfm_2W7e*b}1PinJa@%+#B(-nr2&+RXenqkaIi5rsb={%)xh){2zk@+V`bHwuK@-3S>&=PrJ}K#d})>` z8yKn=DkadW!nN%Brq5$uueh;A_AXLeZdx@oH}qvnUl!Bd0=wm8Z%?ocYx*atvUm~1 z%U~LwdfKh#K)MYpJo&Gmx@9-#dqw?#hGx}rgk&l6lJvzf?%xbb*J5fPKU|r;EA%nH zX>g@!0!hN>`9hNIN9VH)FhNp(g2`Aw5fxDtKnJ%g$li5oy#)1qzR;1FBaZd^4kGDO3&Yv0v7G z-sovvxaxS^OkE>pNTx`qq8Vw9+vQI}Pwnh31lYg}qkjIe|3xPO3nlJ7$$Ozn1`!$= zE8p|Gw(waR#6Y28PsmI_l6c%V5mfIyu8ri;124FUwf58P?ht5oVPpf`-w4C2x+J!)pH z=|a@z#Hl1an= z?a*;%cPj0d3Kd~Tgl<};emf5QD=4DoI_7YY5u}-SZ}jIDxTPsClGh?r??(A$*cL~| z0WcnzooqUnV682D+#OR5A0A{gSeXZgAcLYFvPrOevR=i!njX|*w@eNgq>FM+0K8ro z#-Q_GnWYT~ay&`=s3zDp$jOCE!*0Po^wxd=M_7W!fleVu^+utNLvk>3OiR3XG&sGD+@}dUv{zRJ4)3vAYe-~5 zf>3(w!I#23yvGx1AswO8Nq95CXIpfS89j+2Y@R%YzLE5Jk6!Leh!Rx<3Gjs*`DtQ( zKW>R%*;$=pqUp98#;46lWAgWPSo%%}?3R1?Zv30Y zMzm7N-@4Db3ys7Z#J;Hc9pO{=-7wiJy1^&5d%-D(w^bOw-fu zfv8vP^`8$W%x`o{>uXme_P#ghX~TQ-=}drO`g5oy`l+ocg&koEdAg}U1%#k?UURrx zIYvj=8rWRZNKL!PnaFUV6>G`hyBOgUhP~NmBZF=d{5yhBNn6^BDH#a8L^(NX*5Y}5C*>!=qZJzaf0sVYNn6OXDoy&l#vQ#D0P_Ad;)UO`8TJ5f9tIh=n8 z_k8lixiL{$f7UsGoJyQG_s8dEKDn1Rz8_~2iogQLRH92?MVsXV^M?D}4KDAK6?dw2 zuE@s69n^o0TX_iAf5N42ZX+RDi&rj@+n3aNFX`i6TFom)&`zbn5)_ev-sc3#qLvFy<(iCy~j!OfY0+WhVq}bhI3~a`!B4GA&IrsDF10|IJjDQ z+GzcD?0HV!hUE38G%J8rBATYd*WX5p$}z2!RSo#x&D6MqzV35Kj!?}+P%K>24N!elIwce!dtf?I5+?0XoY-T$d_gh_swdkEG#VP_|FB8fV@tvs!YK-h z9Hv@f)J&I2-v-7N^S|K84W#e`!X7z<#2E$Px-Fi5@_S0SWaf8GsI7*JM~nY=1aB+> z_jwT3yaHkCUF?wmf2sfY*V*5`j{wN^-_XB0;QiHL3&Vd7|JBxiEWr}{8wzmHw)gYW za`zVSwsQVAk(-v#*qu8#IJY+cF^&JCfR#Q@{YUsehzNkJt^SRDS^B~84Yo4{mhr!$ zkAIc_V9)OTPX+{l*0%q~zKKt(O~iJ7O@M<#{2%ClV;$*maICG|1wbzUi&>2~5DRBY zd>rLx^#7$F|Bb^k%Z3%e-y_B{YxBSE`0rTh-{59W{Qn8YMo$0D?Z17}zp(!q=@28LF*Dh>b+!;E-cKOIY3Xlg7spz)^apZZG2EW?(0&qpdCC lzzcGC;jboSAmpiU<0T{}_RdXF)k{=eRm@9L*#Ic_{{V@1AQ=Dv literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt new file mode 100644 index 0000000..7b72925 --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt @@ -0,0 +1,147 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:32 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx +| Design : hw_wrapper +| Device : xc7z010clg400-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +---------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+-------+ +| Total On-Chip Power (W) | 3.146 | +| Dynamic (W) | 2.991 | +| Device Static (W) | 0.155 | +| Effective TJA (C/W) | 11.5 | +| Max Ambient (C) | 48.7 | +| Junction Temperature (C) | 61.3 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+-------+ + + +1.1 On-Chip Components +---------------------- + ++--------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++--------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 0.007 | 7 | --- | --- | +| BUFG | 0.006 | 1 | 32 | 3.13 | +| Register | 0.001 | 4 | 35200 | 0.01 | +| Others | 0.000 | 2 | --- | --- | +| Signals | 0.049 | 10 | --- | --- | +| I/O | 2.935 | 9 | 100 | 9.00 | +| Static Power | 0.155 | | | | +| Total | 3.146 | | | | ++--------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 1.000 | 0.090 | 0.076 | 0.014 | +| Vccaux | 1.800 | 0.120 | 0.107 | 0.013 | +| Vcco33 | 3.300 | 0.826 | 0.825 | 0.001 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccpint | 1.000 | 0.053 | 0.000 | 0.053 | +| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | +| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | +| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | High | User specified more than 25% of internal nodes | | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 11.5 | +| Airflow (LFM) | 250 | +| Heat Sink | none | +| ThetaSA (C/W) | 0.0 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 8to11 (8 to 11 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------------+-----------+ +| Name | Power (W) | ++------------+-----------+ +| hw_wrapper | 2.991 | +| device | 0.022 | ++------------+-----------+ + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..7d86908c0828fd70994e758b35050597c7f20654 GIT binary patch literal 8189 zcmeHMPfR1{6`wKK3=cMVXG4OBD4(cM4XI(qe^|#r{RhLs3NXRIW_Pu52YU?D*dAv* z=1){9NUJDOb7<1dB~6q|wTG(dp-QA&($z}2B+^EW)K-;x$X2RUsfQ{(HB5| z|HBJJ_O?C12>W~Qd-I$3-uJ!t=6imEVHghj9UeiP7!!wJC7N87i>0hyyg$e=!0@oW zo7~l;LP6I0Kj1jV$@DRVab57^jZA=GR#3};KA!R zriRlu-ko++5kDoT=|q^DXDnH%wAY)-{4F%_$DZe=hCjITXG@ln^|Gqh+w1iv!UHOQ zGdS$?CPF4IC6CB@{HOy756~wM(+^XYj*iHR0aFJ$d7>=Ey&F0n_i5-rKd7SD-~0K! z_fHTuou-PO-k-ny_6f3X=tN+2T2fI@p|h#SrY7ag1+iaF1*;6CWW^aMymV6$)dWYq>RY6LpQWX*XJ%d zNgqpkfCp|>-cpLXtT_*E*^t)(J>ZDz+p<=~J6Q51WppHzt-O?_9EPya1#JuCac3Hd z!J&V%um(MQsf#ZOqL9jN`2@i&@{m$i+uGK*ay~y~iVg2W+%G zujb_?Dz?I0^TQJXT@^**3J_f-I9QbS(Tow^nUm8>DMwtQFtmsNBj~bEBZPBt0{261 zyR*=_#~rZTYb^H$%k@7E>=mBf=(iQ-hSOpuc(Rd&tSP^WBCOPIHxz({_YoLr(0$ucw^tsMbLkW!NJersl5j z(0T5D2eRG}KbopQ+mZ9Jekw zmow5Bh(7IKiN8)dJ5cB^6uJjFpY7b4bh5}f2qCB5d`s>5d?;K|yWTd_{!h}Er=5U6 zB!WQHN41oB z26>()L=w-tQ`<^`7_7%<_b@qN@DuuAB`?wB0+wJVV@e_{|4#th{3>vZ8#7Dsgx3q5 zqGwDn{(u#L_0dn;vI=eSOvV_rFUOZ>;jz`&>PC_djSpyB9*+Pg9NyY3di+9}vPTkE zmuCRP3acV|;z)ePa>yy7|Y3f(<*UJ=i{(!eXi zjmCj?8ZJ7Rp}`mqwqY>xAJ(VbF1JVYUGxRK6TX0zaqE*Vw~ATLNW5nHJx#hjF|k@{ zp2~?6NYv(|s#&ufm`^UF@~_tC*;+e{H2dGSL%{dgZ)wLdE66pTGXe%rx06A8ahK-S zGfx6c%tdNiE%a+v=w*xSI*K+TPpiPA0Fl(azu zKb{P7xSx%6ZBDZDxE?yst zmlecD@X^r3u;hPZ=j{CZc4#*`AvHaR>Sjd4{(}{j{57*v2sJNb_DFF*pL(bn)@u%i z9Y9C}1%* z3<@J~s)L6rfz55a@SESn-sSVHsag*W(oJjzKk8>-{k8oc)L&am>n}xXVg03W zEv&y3u7<4A+wSOVL_ae<@n4>MzA=UHzqKEv&y3?pxGf&rR0&O^6xV(d2@v>B)k+ zD{I7^QLv3)`N`d6C(R%8#p`68FpV#fm<$jaMS79#39Th7G}h=sqjj;W_mQBULRY;rGY$Ar6y;>a}CNo z`7X7In8JcOzHNAvvtb5v7YD@jxGRsvR;yAxy6hOqz8s~z)V^6Ax;;-@ZLx4*W(AMv-+l%K0;5Xk)A(Bo12S^;ZyYcVWk#7Lr^$a zL1_N_3#2W*MB3mr8o9uG8*kB$v$WlLH9)%?M9?-_rp?V;Vr5;u@M|3%zsOyJw^vZs_2twJnRciUl(n(H?NU>% zz~Ia9YnC%q`md$4bzynfQZ5m3|T#{H)TC5OUnwy(gRC$1h;eilCgCy4ic?JLqpbWwQ literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt new file mode 100644 index 0000000..b1094f9 --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 21 : + # of nets not needing routing.......... : 11 : + # of internally routed nets........ : 11 : + # of routable nets..................... : 10 : + # of fully routed nets............. : 10 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..352fe21b25dceabbe0d41b19454a94065071d006 GIT binary patch literal 215642 zcmaI61C(UXvM=12X=B>Pv~7FZoVIP-cK5Vx+cv9hOxw0?)Yt!W&pr3u@2&Or%8Xw` zWMr&}%B6Uvom&}bF%?W zfUkeleB|=5%A=>&2+LqZar1?;;@bAnw(|v$% z9)b&4m7%OZ@8JTCv@(;?6-R zNQzS?*d048(6~Wc9oVO88ci;PD6r2J!70>P!2bN%d#c^=@jWks`>5J`)}qb2@BlVr z1$Roh>gKyS{1aTfShmTLxpOwhr1z3*Zb+yE0lK%IHi%;^@D(ArjucYmz|XV9Cz#*x z&-h4%%i-ptC7r^Y9zqNm7A;fX5e>3?+(?A5&Np{)rl^owDaiWBmHAq7#tssd6q1=Z zIGrt|igh@QRK)L#LZA(K4MllA`pSI~l-+8(un2JO)Yr9?dQv^9H4UQ_%W*^x=kH!v zCjbuXCzR9^!UW<7VutJD#es1)AA^zi(HdW1{CxltCK1Dco?1}v;|w4r4*;@P)8qFu z`P&dCgWfSPBS7vLT#oG8@5Zx-F&wYQ5cLRDR^gp_+dljWWLn`J;Tm<2091^U4n!ya z8R{BtaM-WphT)xFO;np9$%HC$8kAnn3)C%oH&Jg4O$!&SnPL-J@a>yh_AdO-Oy(Z@ zaArX$az6~pP1IQjnB~G&h~->2O>rp|@eKs2;MfblSZnPO7lD7bX5EoyIwNjEBTcmW zD%h1p2^kQ_eX-IozEWs-3$S>7+4}OIk;-YHc`JJg+N^#v+s@yi>-GOO*Yy|2UQzGh z=)kyZqd06KcVECJtEMyGO8DlMxA>u;5`gFwx56wJw}L&h4gx@YgzPiEN8j*mJBLU3 zEm6?b{bi&B*NFpzfdTy<>=z&?;4LWd>nGvl_(giACWWD==-4N08fZpub~2`|5kz7Y zFDYZOF@)7OPeFr;F*piEZYst@BM9kQQ_`Wt2|wt^%z;0na1=0}ItHDISaMX40RyQi zSaJf7`2%ir%(-~WQpkI06MlUSd&q_&CIZl_Ap_4kCIZB@GGRA?6Mia2n#jaocIg*E z1DHuza(j>U15o2|rCw{vnB^0GA9{df%#aDc?F+QA)a{h^>uqoA1J!;OizwOgkUF#I z*8(n1p~}rHBTY_(5FLGn{b7)DPw_gBmSlXzPgPoA3#DflJ5(C7ks`7Y3cQ0{o7?^9 z58jUd17x+7zMOBtoCAqNE3sq(^+)!pkH#;NVvTDhs*N9{#pzE<*wzxp#p#CSJ{kn; z^ylZ|BDXl_&%u!*eu78 z!vT?7h2OCNKOI+cU1_7NYCC0bHLG2JKExOdR@!}#7ODmf*aGlR&`5vLi6TfGoJ%GN zA;%jam@cE1;>M@2D9U(JB^8tRD=B767O1Zpm18PCgz`B8er0XujZ&Eg$&FCuMFuW+ z^tgIDC901` z^e_B>2r)@5V822teMvW_W=r%qTXX03^Dt4}Qs<&~CBoC~9UhP+S;-t+o>z;2t z*A&hHOC3}yiO50_9AAV?0ZPmEv+7goFA3CjWObvx9~T7<`hfcRo2?5s(WlTHW|cCz zDx*xN;>?XP?lL2~6bV<8N~*y2->^8*XA8CjkPm#XeD~YDBb&;vKH^&?&cXPFc7+Fen;5ub{zZZ*K$q?k~WQyw1Qu zPvphG0-cHte+j(KaQ_mB2kQKY?fUrjBNp$Yfsl~e`5Wj;7Xf#`v>V3lp;gx~mf(tD zTl_>xLeYH#0T95&%eP9w;Yq&4ZsU3KS&1I#o0f9F{>!39?l(yfn(cVZ#i@G=_2wbL z+Cl`K>ie>rm1^7t!swdInYla4+BwlV8CrpZ{O@V+?E#S|Fd!hG z#vmXF|7#kZn~`Bxrnc?s7<$OnQw?UAW8Jg}cFYUZzL_ovSYBf&bDuLtGOLK3Qz#g30_*A!bmuacYzzX z=65GCS(q<$8gAp0N3orQ{LQ@wTfZ|%z!mE?Y@TDb-`FB|$2b3G{T{J-#6AaNe`f|d z%$swOi&7+w?7O!~ZHCq6FyEqD1(-DxNu1~O{~4GD-N}oWzn0W6!k;1{2%lJtrXiu{ zMpuze#zDuzxss~=L!Z|>-X53}moiDDb;l&m2li>hT@STFf7!eCmlJ-u?fKboTpS@;;W|dA1G{%?Ec$G4X|vMoPqZYH6ct#hJL{*5f`=1w zm24$htcYD_w7nv`PLFVP)GP4u49QM5+?won^g(Xj6vj#7NBKC#Ffva6oM9Zcr?#O4g{!HvwZ*>~iCqrCwb8FNi$-Sp z0v_#JaQpS`$`JWPa-@*LjD#{M6yMs#{H#F#ENa^tzzVQI6do}T3dBIkse`oZ@hELm z8uEDX1x4i@;Vol&c<53+a3fG~c}RMfgABYQs)^YVy*b>f`p2|jH)o$?Kvw>>xc1x8 z>0i8y&G+jl&tX`%N__AtrO*p)i&f~cYmr~P!?J?oJsBSQtF)&ujh}EB0f3X>z7PD) zR+?>uuGv$ivBaq9=GcwPhndd155a)hw5HRR-YU>=(X{%Z87V1S7*R%6Nvc$!K~Q#n z+B<_e4q`IH5-5nS$|-b~!m(^5qoi(?&oSdPi)A40QLPxsqDPI$T6nRZGYCntDI#BU zA~%j!K4r~02|ZL~`g>5z+s_h>wthu8VTC2xG-2&DW)(9fI~2`vgUV5)S5O?YDIxN} z$Y9>Q0IT9SO`CB=z}8J4niD4&dC=*tC!x(p~!2zCDUH(~k0C6_t)*j*Ngi7I@ zy_hTb>{-+3`*+JE>f%g?QZ1^EL8=VU1EYhKDL?7(;S0VYqcQT(F%-|E>FBB5u_-2( zbj;{%Wdp-wcMP_W;+VwrZa`#;zQV~3GxZB0pB1YL-53MPhWmIkR!9b)*gT9I3gXQ(6b^aqaqow7MxuK>|Df za{!*B{@SK(x z2+f^U=4>!9YLXRo-_G36Ox50;SofW@I}k#Q%W>MqT!{g_FuDd;&z&{NB#LF;1Wy_VAOcXwAaGbh(zrud#G;Asu_j>99lIDMA{`W#R0%#>r>fR-3Sih!vBP#R}{)r%|1> zA*N#tZ;fEv66D;i+R0d}!2%}COcONp(rE|QK7;p~Ol64MUF_rq%Ai$|1!+tZniS@{ z`iN_*1qfOik4(J4|)BP zJaZd#>u_WLhJrdPs=R;@9%T1isM3g$Fh~`j-^g2kZ=Q~KqDsjzK#LtSOO}4wEm$Sa z@J==&;gm9Fkt%+_1|5sv4?T(hDO8@TKFchKMnWRRq+oCZhMZdDdc6^nePv*1_F8#< zu?#*MLW$C;YBPu7ZhLfAanAA~gX4X^#bE4*2%<2xo9Qy*>fOGJ@Oiu-LnYb0D+ z#4i1^6;!UlB|BS_VF8AeO04+!l-udD%ZYqJ&r6t&lozteaNrXI5@&UM!YSkrH4#U7 zmm#N_Msaj>%OiNvnRnzpD6Hs5Vfv4_k*RT|*EBzSr(yR)#xV&VZ!Nqaner`zVtVzR zGtw#LMh@wkkFRNBC8xaLXnLaXtrrYgW54))I=G(Xb?dWs7Zlpr$s&!Z2g#2_v%MAC zYhO^&cnqQhMAfEjs-vc#odiLzv`cY35$AWFIcXp%L=L17v&k6h)q>0U6IO!sO>115 zeV&diYYnOVj)FICFAABXv8E^(Mrw^~8;r;u9qLzHcS(2va0H7n{Hr9-p6&Uzac^Fy z+B2BaLss%Z4#crHOaD%W{fg*4#Q_8tP0)o-h@#XZQFRk=d83ynt|uIGyz_jpa(5v~ z#xYhuqA^74LRle19< zIzPY>@{i=V3Dbw<+ppLpxYw=JjDwIkiYbi=N-x*D_$E8WPw$_T8G)NES$M&Qew$F| z&n#Cqlw@ZWmscf526L{BRmF-^(fFiJdcYF*87amMcu`k_8o&vztSOn99)GDjK$=kl zLnuL`uTj&M>m4VI2xl25`2nsZ^#Uft#=w&T*VDkOO+xki3rkmZ?3KoYKG>0G{FB5B zr)W0!qhjZ0;GJ`Cb)nUmXsEa~-zc?2H&b)buqHHid5Q<0M&zQHyPP z9Ye#z$_@vV4fl+uJ0c}JJN77umkfW5DQPk(gnIA_7{4KA?&FirSpNEa=KtSC@wI_= zxh6CSh)?eSRTR4#nJi?j#TRhEeHF##U_&a~)*cR}s~K748|B`g3-pAHgvcXo$sQgi zT$pRGw7z_LxX(|IPyD{0pA{9K;$a5uH?q!{-gZRM8O8M;qN zq9DxHt}6oU{CWLn)qt-7kUI@H@cASU412iR|3rD)lK6ytJUYKSp}<-g5g&odLdT@f zyqV3n&ye*3fq>jeSDjO4UD5>1-hy9)B?mkO~#e(|sBr`z_D@>-#)r*4z zkDGKon%17(wOu9i!=L9;b|sPCm}ZZJjB%=6K~(&A&`wz#l)q%-cW8L;&&t4VH5Di& zU3W|^ENFGgAV>LQY63oXVFS-!UOx)|U?33VI?w5m6ceU>)29#!DMD^dWw7!5t1$Do z;SR_OoW5It@mAtUv&3QEk%c4wA*8v-aKgXh`cPFmrjzyzm+hHZt8b|t1+Png->PS& zo#Qy=w%7xVRXz)748zB$ltH6xgf{#%0q%DVVw#vn_F}PXZ#ELXf(##H_e4 zGQSNWI6uU9;!K>$P7ulW5c8M^8ut1jR-=9rnP-%WEn=;7BB;4)^&EP=)Cni4ih3b` zkut?$X_7Y0fx7cutxi3IeY#64)M#;-wtsJ(-&bQQy<#w-BM>L}KyKHO!rOo?V$p-sN5T1>-q(J~Y5of$V{+5q#)q2%Z z6WTJ02TuA1{HsK{Z+T0?>|94Cpp$agOioby>8&4K*D78R*{ZEwqOqg&DAKGsU|4g}F%doD= z*#4fh+xtcfvZratO2?;%H`7)=^@b9RmUZj^sdNB$ArH~nn~sOWk~{tB-JIJ)_#@{u z@w3jQ#9>0^kJ77{t>J@k8#9xmGyY2EvEp&%bNyt2%2wp`t zOo~d^VY(=g#~~lY*ejj+5IPhEJEgs|wv@tAbXOtI79oM;%8~<3E$u@(0rC@78OGC; z{WQ~Y$w5hXeiF4!&!&15#eN(+abo>8M4h_&{6)?Ln$ERi8^SFWR44pDne(ULX2hI&Cr z#&yw0fheu2GPunMSY;5p4p=%*d1+bozRSK{k%Q}cb%)B|H7|^@W3-s)%6G)dr+DfC zOV59&GR_4c8k%qEj(KVMY;6{GE(Tqcrsu%J{N zpRn>?D9&#OfA;gz8Pr4*(FHx>$l^gndZDXOSE8Uj0KxN=hxu>xM>Nss<+=CYq1=2^ zAx?13QOlTBmPU!0IXspE@$NstsjJYHMqp~7kqp%H@^E1Tr%5I35e}C&72uH=p_qtR zLV{cu*Iya0*}49j#jUsDP(lUJLdwpQ`-vjx zf^a;Gs?J<&p&;IHJkDZmXoBF*-4FsnK9XD?is0CsE!p`aX(`S~KDz*AXC+P*;fTRS zY5+DX^onHvd|)Rar&|vwZX2@^K(f-JJ;(N>uzD#4z^$WtTx}KtY_Cy2 z-2awl1n^kdK8#?tvclpn;XG{P*i&0ls6Cvwnk^pN=>g}qdm(enOUnFEW2|O zOKN>|z*Zk&>d;)))TlH2SlA5Pa_V%L`)3nAhKJT7Z9L;Iw62kp1;cN&rX0^KATHBX zoS#20mu`m45?)rd>17M7LFsBAc-o98 zwV(~-Lt{Gg6vP^#u!Hd2Ok*06o1fh!-M=+*%=cAN2!uPcp))}0P&WY;=B`wq%<2j2 z@x^3qu2HV(=gsWJS<@WNg~m%r9swt4Ysrb{%3K%Cq2U$ewph#Y7HU%K)=|jGs5@Cc zgZ65A>$)28wzcQY{O8J>S(ih<;FT7+oySXHk`L&JRk2AWo>?!qLf%-S`n-L zNeh|vVkCV#f815&<&f{Yq`al5pM-lMhd$l*w5ER6koigu{X~o7if?<394clYOXOwy zi3<{<2cBh{Sd-^y6I5c_P}I$h?{>gd?dp-_`-`?S+@<_5(iJM}h5US;?~YT0@F*jXH^VZ076G@Bw#F5Vp)Y8s)re?H*uC|L^Wx#2h>pI^Br7vb-E*SM-@AKkuF zKkddTHVF;^vpJ-nm8LVAg(?-Mm}c9_$^<9c!n)-z5I`jYGiCJ(M8xInhB7|Np1S#wO=o z5-HZB&iE>bgnp+tJ->gDD2zfFz@BDv9Jzcw0h(;S>5x)qgl42EQ;a#leA7cl|MnL| zeEjvhMz;GgAh)TN=Wjjh-yRf1&IdVMcXwJ@j=NjA=&n8CmZuit>c3D{$ffN`H{LUs z%XNlgnSUI+-=l1O_B4UF_P~cLpFKptgWGe8?ngn5*BK(<^Lck|>jgviCEL+->*Lk6 z`zGnjSl9c&bL;uBrTclX#_OoU_dbgM<8_50i*{2iPCS$WCv+RM=8#%YeYFyYMhe$zC4^1UZF1w4^&ap5GJ_8&&< zJx28Jo_qNEikffn;7qaMT7A@@XRBvCqrPm%D?3?-4 zw6}OKA2IOpr@9%eR14PNY|zp^qA^{YaX0#^QuqdM&)+W%T>E7(L-n!O4IJ4j!Cs#| zpE^=vmRi^$kBFsBb?t0AHX_f8?)>jUG3ci$0_ov0CR(%u)o4^BCdI9{w$AZ&H}KOm zUwqQY=o24Ya=9*IYVaeb)ZYrvl5mQnY=uTc>99K)c-qu#*v4sD4Sb(0R&gQno0U$- z+79+Jx_G%e-CDVM_}1muvM??e*==yULae5Aw7OgI1gY3Ft4c0vHQHzw5>xHbFzFNR z+wfa-=%{nHBK9s{uiaYpWUwOW?)%)`?H@k(K6WlYXJ9kj=+h@TWHgTk59hi&+j+ON zH?-poIJRimX^N{<1@Q6dueP{bha;X`*x4Z!5L<4o*KL+69%@$zv>0@SrhLT& z5=kok4&3bz>0BoVA6K5AkGnp@WnKGh5v*k^CPc5ZQpUbd&$rpb5h)`W?{>EzN5G%o zyYAD)Ip9fZm?HFVH4dAe9ei6?y;%^`XQ-28_u0B#*?qoET8-F?7{V@~Y8h+1@N#t{+Sin}?ac zo83F;Ai$pfW{7an9txc|_Ca^y2I+!g5Q$;{#IILtCvn zN&=Qh-Mn|!vQjf1Im&Xj zV675@B^6XeP*(vjg>Mf{hHGwxCJ}9AVY0EfSP|SdqPYg5#y%q|$ImN{uOalUl*305 z6TL#eYq?r|O-EY`AAf^bJqs-@sOj$U&nkoB9`V=To$+BA%@qqSG7y&wp4_^td!$j~ zw$y-H`#FcET_kQ32lUdKEVP{kPm<&$jPYZ%MOnm%xL3pI?Ftzh_>sw4HO~a**n?>+ z8ozHw15l)uqn)1Kciu;6OeuCev7=gV!Wjn^_j6d2zXDCWs@FHy32e=uYqHoEA}(fe zSZaE^Z&Xz!)H{85$j#_Ya=b1=cPcDJyH0LIXQ$(gOvweyR2RpQHyPgDor>_|;?J%zH>>MD*Y zP(`;j_hNscWbp*UVIo~K$2N=Baj*OOU9oAonMzx;>NKGDxs7u5xii%*0D2z+S3>yt z9$zg}t~wuAb3O)TKlkVxnB5;wGjv~0mjI5KS| zJ4QHP+ihW9zl zPb@efO$ZPngnt~S@A|9;IB;j0^FWpSEL+>hB`0OTzeV4#?h<`KOw-fKvZLY+F{Qbt`eJ|>HIO8U!rH{aNkZ3bpq!TT| zjT-$%g=V)(ZD6hLPm3Xv?J&`1v`8mjgc~{f&2O6BEVTjdx<9T4NLK?yn^7X2I1z5_ z=r=YryBlf)C5A{j(IQ@4=rr$$=TE;Q^jJ4^Id2`>+xLu;X+iMruU%mxyoAkY3=cr zUuX>1QW$fLCrq*K)Q7y1|C{9n7JZpdQyn&hzf@)e9o`M6OwsPt2fY^MZ_iSvxegnm zUn&jx{+qSR_T~FzJZcDgu`=MxSgw|tFh#jr8SrXezxqm@Gsk+u6z6Vb$g7$0U+nG6 z{u%Jq_jPOJ_@iN)?pwk%FqZ!Z4NoA;PUs7-^pXA}LV|N@hC7wdkc8PSyrXrhM!z&R z*%X6ph&kg#fc`A3qgLu{AKVbiqNP4MbOOXQ(sU~m3w4kL;qtrQZlDNo`3%ql0E_?t z0|3ATAm?by_lxfX0LB1-Apl?s0O$n(Mgh9pTU)zZz5@W*PrT=)o2wB33Xtx_br4|r zxp94!?EB>a$Ps?uVyO8EJLDVc3zYi&(Ei-Gx#9%sTwi7TBFazEb3DiUZtZP-jqrYY z=lIqEAHXRPTX=wQ`Hu)X{4=nu;us;`O@QPQx?$8!8%84?Rq%D@~x$(>0>^@avZ>KvGl0OZ?*LJKu}@%b_U4P z)@<={ux5SVU$tm;u;w>!YtH#{1zPmwrmyM1ZfDiud9=yf!TN|lTWgJciS7MnfAy2r z&U)+1`^%Hq#cKCWzl-(OdtG~Tj+*(B%gg>M*w>5&yRW#$z8sQjYl2DsJFYK>Utz!P zuTJ^$v^C3CTR-oOHhpMruXaD|uijq8tTuU{g?@Sb*t56xrEF~mN;z3?MLnhA13I70 z)V#B&4jt;x*ZB<9O>~E-RSw3zBHxxfh_av88>AdWd`LrWDRFQ2D6=h@W59E6sWSn< zH^k={+5PlSuhJ91#ssVP-tA*1RT~~Z(7t+~dCH=CzofMy&hr;u>jSU5xLey8Khj zUGBB>!+_RPZb8lzMT=h}gwbz{Z+f%TAV9hhSIp|5!yuq_Zuc6dg5Ch@g$GlwZIw&bKSkIn!79Ce!$l*``S%g+gpFX&X7J@aNmz`?^TX6 zaNjZB-)KKSTq0kFao?|=pSa)Ov_C)afuEzpFb`gjml-+lhf9D**&*N8ch}M!6TD|v z-9)b14^XFV87aukAg-R{1cYnbGVq!uu4{8mNF89eQ_lj|^_8#YanCJe9k{JJ*ERY) zsA!IppRW|2Tf?INQ8Pm4i}y*7+*uFslUo6@m_Pt>v)O6ex)eN;?*s&x%ysQj1)fvq zynS&c1&Q8-@U9D>cG7cdM%bV7IeL**%K8ZF`-%;vhW~Bd^4xcb-#4OToje`wXDLXi z`gfm5Gz5d`@}Nz9K&^ zX&CHj!%r=}X6o|n8`L==+C+n``>Y9hlS z{ArsQb)0x0^W%Rw)WmWk+)Zql*iJP>Kad7}U(V?M>H9*^`V-adDT^O?_jO~=c?nW= zScTdD%wBt;5xMvM7zSc~dOT$T-p7gf0KYASZ13`xn0RzH*XIDCdl4IhJ2&B*{J*Zc zkr?bFEjx_8(Xi52g%DIlWrG5Cx!f5wo^P z@EbabpKzifr5Ht51hJH-A}3NQaF+Cvy6ufN{+8W_YIjw_w^j~jgG+jA<}I|ziyrVU zX7$yBvs5*`&2wzyMGqUZMlG}sb3{wD4huvnv*5+LSns$cm)ys@U^<)cshB@idA@}W> z726zk^`s_st4ld>{vl&N*1$gazM_!3%-<&EUi>N#qY-x{0%aGDe88T3fU}5i4%$AM zd_XS$`U}P~Mb0{W3KcuQ zY3w}^XA#+J9Q^|~{@q$V#|ta9g)L3Cf_5!i1k?OHv%b~G+Bew7fyHucYA{8JPG+bn zLz_i{D;-J{T?je_noKcY+9twOZ+UobxERb3crU#p)jYXO#Q$ooEEH3a|7W9_g8WZ& zKtII$GdAd6iPOz}dBU&SluE1-!I}SWXl>M(K&l$Vo%s)xp*5wVXhm@L{u|_C#51{= z9-xEt7wZ8ia21p7g`|Svka85fd4G|DyNDnph4pPCKr!V@MGW-BoPO!@8ZU1QiZPa^%@`+EERZatbe%Qv)T4k z&mEb0)Sg3%Xq!~QdXfC)EQPCiA~)8-Z_%~{`AegJ*l5wV0(m-1qyhW3$`TOM!4M9* zlu(2(>2P~L1k^w;%mD1{vWH3J8!Q@%=+0s(UZp*sTC#p^?+S{lZNdANyvnMOT5`qn_}k)y6ug_xZ)cJ=kc4KkSZ1tH<8IqFTLuPOWP9m_*I- zHikwMj%yc(gf1eghKi*xZxkdF2dib27&b!Emp=j(0VArm&%2W@{GY)uOFH|1*|*Zo zR_T*LS2Ii0#z|;jeOr2P!O{9>{(qe9qhtKrN%VDG40lh@m>wJN%^#x7OC^X>%$v=DZ#jTu?zgTqZzDN3h zB+Y*YHu&_ZbM)j%*qorzMXwm=l>y5EB(lI_6J8-3OEBp(Nd-F72R~6gRDeAh}l8aod0I27cltl5C1Eimh7h$ z!9Nj!Q}yO=y3NYg#uB5yw8E;5Z061OS1%fVMZ zEXANa<|O|F-CZZnTpmAg%!nqj^F$QjjkPekfkU8%h07qJ5$e4H}a|EN1gXT zhC}p|DN?Vj6N5jj>moBOo#)Vz?L7Y`UNr*7lY2wyP}Q?LbNP^Wn_^F(Q}}SGY6Jv3 z3&+y-5X4gXcztgb_Ii7-H8yTe9DA!>`zBGt17sn_B&PVR#Nq7YY zm4&q;PR1%3C3W~Wa|iW)mK0WL$1eyC-O`KN@Ac5(QIk!Dv^+;#TqdX_KL~tq^W3I2 z629K#Ap7eURYLa{GYl7-I8Mlr#KA6-1UV{BxE-Cdd8AB)2o@O)T)n-J1s*}YedMPU z2B*;p(NZ~iy;Gd!JrTTY!WTu9%dq;Q^8ca=B4ps<3S{+Z$fM3=`am&+2j6|U|3I~} z>^v||=!1asUy!pJ{mLI#3PcI}f+(H+Pt~NT0!(M6eK|1^g#UtSWsG_GxbYHz88Q{R z0Z5f<>L3$b?!#DLzlFxqA~5RDWS zD^ZX}x~k<5ioXUG-~KTmgA+Jw5?{5bS>lAQ#$bVFV=7BgLD6~mXHTkreC>l>Wnj?1 z8Q%brIJX7<9p0U<&2r=8_$8`6T#G8sB!E;U7avesWj1Vp&iqCid|@?@Z1n$TgyLpMx-VBm&(&hKG#$s;ny??!oBpaz<7ls4O*HE9zd)$~J69qOYy+3_@8rLsY&16m1+tyf{(r!9Jg8GQ zqTUnUT2grIlC_Z%sZ139LWj7;6^~-o_~}gBqyQozRkP7@&hV>%yARl;*PDHxg-$rY zP)Vf$oc9^MbU~1fUkNj;l#NEqEV8cTjnd^(Bw+7apuw!`+4lxxv#YId3#Q@FpgZNw z!lS#|8Pz!mC+lvS)fXnwVk4#s?|~*9Gg>oG$8_`Yyo$3wt;l5DymffyaBw@LWqvRQ zgih{#K6?3TYMqzq5xZo$2Bav%WBD}Q0qNkpK%U!>a_p|4rohr~`X4IZVx~gc`c19} z`xlZXwp(*Vg6);#uv{T@Tt$Y+!F5&8j;gtFW6b#({qvZnh#(?LzfMrA{B7a9thcGb zYq)v#nsAwH%Is*5^7ZR`8+KzidI$OlN5uMq;_=RI1LY1QE1D-;E!O@4w<`>nKA{A5_)>rsOyncqW%SAj!WtL)b+@120SEl>O#@Yc>Qb@zDu?XJA~~eO?S%1Eq!7CeZp*wf&RLwA1FH&!4PJUEe9Ip32n^!lb(h=c92SEx9qtw~VYJOsVYJASR(E}F+4M)hD-Xx} zv9|SE*?}_rdl4Cv>L-i&Tf*gN;1)^s`~1KCb)=L9zR0#c%c5$ zf%m%k<>A2vM5X&VbM@*e%Q7s36RK7D9UYdU-9=WQ9Yq?J^;?q-)yCreh!V5B>)2|x*#EeKGfE4r+FUd2>e4IUkB)&hMA^V>P48sVguh;db zL_CV+W4B)r4ek`N2inQFSyn_U#$KTD2`SA3g9pjbRXFQv+ronLC9Nv9%rytdG`qxP zXRPXr81LaG$ugR(Ph4*ln)lAn@O5->rxfGCx;(aApJssMQHSC4=9iZrx*gX9Ng?v7 zhu86QxH|bPp(#fW{fV2AvIoz6@A zpC&OTuu%}*Q}<(ZaY8FQB2$Xk4PTVj#>}p|Hwxay!fqTQ#TLwrZtL4$t#0UCPBT)2 zwY;Q1B#p>%K`KP?O;J(%9|t>K<0)4=Os2@m?wgWW63a0SEe?z`xOS7(RP8FAxzcqKYq8@H?o8V;Bq_A7)`#s^ z=|BDU15;TCvS3UojTTWb>4=y{BaA90T%Pb?rIH9!yp3%I4X#j27V?YYnqd2ZQC{UH zy2_1lQykzW-bNSFxTvf+Zr#{Q$|RFR&zOJ zPR@FbEDKZMcpxn&)7zO(`C7#`&b~5w`GZ5@5*;2{fY-q=s0Rx_3Tz-q%%Le*5n%$v zxL%*+FJp=`abq>N&6!aS<3!VNEb_Su6TL~}@v1hf8`cz4n8^byQAc-^S*`3DmL&__ zj%WfAq-i(cUJ-7}}n zbZ9LMN|sU#o8gjGbV=i;IprJj0jX%;V%3{K(vpy4SHu>KHoyPHZR8mx(*g?AmXVC^eiA@iVzn=9|RK1z_cR0{p&j=hM;fVnu` z1Xh_{`%R5kAG+3~4_WUah_vTaUDj_QFa@NdA>~}OprAIgVi8h}zeHaRnzW~!3RDFR zvDU)GFG&upQkbJfjP368^0R-B*1N2UO^o?Bl^^uMop9f1Lhfcpp}A5ZIGFw|l3$@B zwy=9VpSc_~9YyWwHHe|if&oHpT+3-6NCg67E!X3?B0LuwzTL-P=IsK1Zg5pDraeg} zP)H$vJqA=Li2nkWc<9=AL%*bX@XA*+8^n->_i^yhr?@j_0`qWRhBRvJZ}5V01JU6< zcoEqqkhrci9vb*|>vhNR-}hP#dkcI*?1y0-xsAlsd(RKHrE9Ux`U&(ZAv_e)G-LD+ zidD{Bng&uaE-k_s_Yf&s8uI}b^|dsB?NDR)_h0OPP~Cnu&97O)yecvIo6=p&w8L_h zfa{ZPfK+rt)tQ#Ou})<`2;8*f~qJ(*Ot5aDKmpt z1|jQ__JL6QPhUvTt-)c8XI4OzG=|JL8ux-#KD4bv&%J8ZYvlBcrY#=%y*=l{9Ef)k zeV{>mhY~!s+}FAOp=RdZv*^yUKfs=96jy`cG@Y3%}7bZ#}Wi+4IT=h)8RL z-#-+gryp}@YZBkbPSeAE&6TGtzr#HVvMaz$fcg4bJ{BY#Mian4DzROO5fG_*)VqCy zk+I}^z1&q%F(38{nU{I>Lw6Q-M-5N|l+3uts%MAzdR>9MjOT)bWtw5672f5m6?)Qd zV41#Us~2{5UkR?Mb6e1EsU34)+*dJrAJW$*lOKAYs0|)ookY(mJ4sY594&WyPu5$9 zf1i5H&uB;H`Q2=FIY0%4E?U+Lrt`Q7vHnoobQbX?Ko9QPo8b&o4E;W$i9Q6A_`8DE z0BXnx@owDq(nXoPryAy~+hv8({fR(=1TFlN3{tqD1^PRLBh(0*KwaRnZ+PaISxzP0 zcQIA4zChRRrGOboy4nOI0Rt?C9}&W?bYKZ|Ul;1e#82bY_cb3HId$s}m72C>a|nx~ zVcxTM1#@O4{7-V~v>UB=-eP>=`_Y;@5e-=S3UEx%HB9Gsmx;bD?xqoaJH76F0id-W zQyxD=P|ZHm!MFQez?!oJE&}KRwU2!1Jlc%xsx!jvH$yc9&{y{MliMY7(L-)mT{?-pYvbTp7B z8p{STZ(veMuW}V;UKL}AR0u9$(zY+xVi+qBu=mj(p}hm0v4TV{HXPa;;uEim)3DWU z?aVOhQq=3rw;OF5F|+r7Nr~rhVaZ9w!&CW|t0bm@guPx}Ff*uwqw@LkMr$^s`t>Tn z14c}NMtjV$h;Aa#PfQnR$t8F6$<8Rc21{6*rR&^dbX+N|<9`=!@_tCEdZfPc2#MIb zdhz72M|#Kys*dU-A&k>5nk|P1!Q8U#0_C`Niv!ej(*{k5w^T}$M3zIyZU-?_m25;iNrG|c^+>6}_OR>m^AasU>3ISqWzf>#65pZZ7_VMSb zVD^N0a(lrTc3BQt@n1ET?2S$WQABW=A2D_+qh`{4$vMe4WeqXS7UK$3taRIYiyC_T zrKe<1u~Kv>Gz3RL9iZ~PWqg6(bo2k5B} zk44QPjg%js)|cblKA@X@ohg;LLyJadLUz!&4loVdMeDEm;W2}nr;-h90LP3>LiPs( z@ze&eki8;tdJt!v?$%(%r15=54c%iTv0U2DtRxWjOB>8$k#E3um#}A({t&LV|2s6> zn6A!X$41kF?H*|VFOg4-1*01^^16c6%WA*64Hvp-WjKdUHu#A)ITzQC&OBU<2vwY^ zpay0zFOqfvNACjMkU3`;e>x1#PLPC}*7dBt5}0p7m?l5ZGUVC{q%sGov1A~%K`i>n zg+7}gG{WCa8ud9nnKYWc#WkH3{^-!Ok9Na>lt}=oZzR&2GXQbaw2wEHkLeb5N;#c0 z_Kv3c(|(J=J1uRG?Q9ST;AARbjU6wR#O)jXI}8G5NkTq@+K?v9JMJM)TH%#1T1$hNNIL}6H)mQY$@+k?tm(VmpZz` zK^VVd_+0@PsYo(J)Z}0n1l^{jc3U92RyG(gm!XnKv%+q08?oX+IuU$F!`o|d+(1Dc zcH|J*no#Cu{jokI?-B%pYyq)w;#n)i=6z*Y`W6bfi?#-vdg5aB9 z$qHZZq_!=%>a&YTlo@gzOkMv#>|W5vC>p2?{6qdx1sXtS zICSP88pP^vl?XVrG>-UBfj12AH#`;#4q=wiDKic}Lyy`8S0QY!-VC-++iCNHs|E?Z z*AE;~90XDR3w<>c=P~^sYtQLt&Ho^-Fszldmb${0Qj$%Nnb!xaBO zxBofM|DP2C57EHv(u1GA)7AsI>_}Jx{n7W*wGX&TLtv+z8-xlAE`IpJMgqcQKH#kI z4;=IVwBp0UTdc*0IS-Z5e+Mew8YmPGDtrR7%uO`{rhFB+csgToge_HD#~ohZxw+{Ad!%0MzeuQq)lV~-HC|bw(z(ZJ@=xqxL$tl5UpSaakAvLasfN`sCFUp|GqIwirk^2u=z$A+nw8b}D64JY~cd!g& zOxO{B7QA@%C_?=r`-IhHa0`I=GriC;yYOd=Q`iV(8arCbhy@^iP!MD!e4%)mSHVyj z8DEwG!*r@d9X@^aYbXS1y&M=j0Fv~Env-PSu4t?cVk8xO+?jX5;9GzG;Vr-hV0SwY ztb^lpcI;I((X5S{s1yc$=c3Y+|fn?MwB(E`o zvO!(4d4;keCWPE3NUcI8C}c>m)`eW=e8ocFj6RfxRR1mX!imx}CehZhzNRW7#7&OT zLCHctiTH9wMhx_BsZk;$#uZI@o*^T)7)OcuEm17W!8U()kP`b*-^{d|>R&<&zLtCDAEs)lb^*?{`MZF?X;cn9N*nJ3&#TZ5S++{_oeg9Em1Hc09US=3=mtb;`n zUm~VoG9vJ!2y*2e(H~6slGZ3sd)wf_P^L+cw^E{yQYFYRCpg$NHRc|r6lIj<-vT}I5GRvN{gWpqCRe~~wBld6X$6|G zmvOZk&_9RV?Amgu0(5P3QrfueiG`YPEl1nHnp~d2^f3`u`qHwukz#e`PO*i=+7=u> zr$K|y6rb|YZ(-{^o}dXdAUM%n${-#YX0pe77xBc$P?>X=4Dt;(_@c$FG(nrH4!hM$ zO4_m!%$v#5n2M^FIQ6w<*f7SWd;3W^9<-C8;Nbl78Xo@>2c6_f&5^0V9d~mO-~4Op zt$V>kL5Hr#I?D>8ctzKe)wDRkk~Lp#;A+a6f*v*n>s{?D^#Vd=70cpu%a`8EXaLUb zluy@=#(vU|IOt16f7WZshX=N}Zl9L6L=p#HgYLG!BMSwMqm>F)w(PzK>>Rt|moHVD zOHZ2w#zE5vnebENKjF11;)TuQTo*Mts@v>_G_@yNPAl-HqnhEDujH(Cx*2jz->N-A zAKK^e{*7UgdItVj_y?YqX0b-*P38Z>$KgqTE;J-69IgKxuw_noPrRS^1PjwC&3Y^p zD0+p4_$AI{#t$-RivstaxHs>qMFK1oGjA&Fr(-qG=pJ~6#{wWR1Glmbbs`-6Z|8~ zWrQ-Q;ovBZYlTyD*&5AImAk2i`SY5vyBc{WfCxz~7-Dm?_7Z~A**Dc@itoiu|4NAi+=Ws#k&=?9F2ms4IYl!uE!#x~pyg4(HLjBk#ErwFA!9+x=Q_;WcywepEKGGw3}` zOAi-QjmbGum5ZPzBw6s(Ft+1<#mcrc=xvpz*U3oweSm-~z#s}`?U%8WXACykrcVgg)ujBH>CD0G*w-rdFU^FUQ%QOcfcdT$7oW909m_P0t@fFjhw$TV9ZIqRnQF z2H1&FB)cA9iczGwa^;9qWZtYDTNy++4yCM^wI{ET=MQkVr{C!ahAK&<<`pQ&gC*xk zK}-CoyUP1FWgRL_7K}AkxE|2cEmsWHTUh&J8=D>kTkOkO#~N38SUD_tX<89NrQ|5B z^0=v0KSeVv`wgW$4c0Aevmw>E)k9Qf*MZq*jn}AB>RezTK0?Dn+v^4P!l#=w{^8@1 zW=gj*;G^I`rpBoYh0b0#?lo~E(>de+D#tDWLsg;3o4>Y!Q6Y_xKYm~2C>8bQCxlHW z`3<#9eW&d#L8+_>KG+UHtZXeJPxUBT%ay+EO4;r)wGbz(*VuWoAV za|FC?kb~)iX?dOAGhR6}qzt`(Bs_5blw$_vXki?7&~un?=fzee&`${E1zxLhXK9D~ z@Q$2AuR%5L^VC+r1FMyeKqjKNWV!%BY;{J^S9bTBZR;tnmi%6(Jhdia{O_knhn@-b zD^dGt{A5#}OBc10ZP;-7=&~!?9Ic9Q`-BMYP!`q7vK3Z=$u$|ZET$Y3a9Mb=on4iR z_7SI@KTUvW6;Iy+$>PKonI=AccK7YEW7eMuoPU7@<~*n#WyTfQRO$ zF-A%Kl=mW7gE{nyWun8v+Wj+4Nh9KP6IbkPm{m4*tWR^B(8+_1P}R-f3yK zvMlqm5vo9Jml?!OhUBP&)VWI25dptM5P|q?{zs>9*D!O6)$_Y`|g&;T<%g4Z1&6N^_k-S@FFtG4N?t|GQ)+! z{nhMb^H+db;(;fH;!dS8qlrl7w+Tn6X(wKP%~rIjj`I*}C677oQWhKH*(7f0gB4=` zmwygpWU9-v?|iw4jra|mmi+g6HX8^)=KT6q)2PdeCdOUDDSb=NUO523J0 zn@=35NoB|W+1LbHX7p0iBguvF?4qoelEXc>L4<;i&UY8ofrSnVF=73`ixxhU8dhXz zg#CYu)7s1E{ieCBCp1L6esMHlU4JVo;!CW_j5lOZ7igd->XlObyp8r>*ZyHZmpP$4 z0?{G@@3Fv;=r$Yj8YsO|iGS^?R)O6lrnhP%UnWuH9zQ1O*&CLqSQeqIO%es1qZ?(u zVk~9DXo5o%#u`vYEGs)0%+bv(Ur~v%VKjp1;Et}LgSot;gIT4sqgp1XMDJ>hv;GWr z&cYk1h;FkE&#GC$+}AXzwxeb=eQf|WDjHogi^4SlTqT=jVbu4_z&>fB3!wyhY>*81 zc<}!ieXgjoyvT{DJG?TCgfI~y`=qr@+rc#L(Cdlnt_(==HAA)zeQFp8@;SN&c9i+d zlIW*u{}EY@A#IqlW%3EZ{|H%Fl{EDAQ@s9ZMaG5V0Wmg7Lp6@SojeYI)&;okrdu+f ztqmq$IjXJR@wCI9tPF@Avs^uSL1VmWAKtI&a?A@oodFXxrCfr$U}M7VjqNTUaJj$N zK7L_Ea=eN3yD}BQ4AZmwIQ|>xy-Sjo8#kI zcFgbt2Z}<&k`1~0#UALHd+exCO%{KG zYcc!)12`eqoF0ONmCi2{i0HE_ZC3E1hb4w|u>CJ*SPB7WUr?T169T>#IR=_KS!1wK65DPTt#dZ8ILm6Na zh%a-QApQ+Q18yYqmPZ>(Wjt9VS`WO=^|f;zK0ao@*-RS@AdR%ZKeDD0LdB9S9{cT^ ztsB(!aWyzvkZ>Fg7+O%5DNR6|5n~m-L6p0OacLTn$Xr$-{bxNa+GtBQhukFnP} z-javDXhVnb^Dg#E;sLL8r`%bDpgZrQfZ7Mx=-)NkqeUL~yeTvu=#HAB{_JC&>0uFn zdDfgF4r zdH$~Le>!bGzXl+8UtVV+cXM%)k4irVM4FsfM1pp>GFB;|F_K}UKxB3-jwUqo^WAFE zNOx(A0Fo%2J>7s+O0ggQZFr+t_SJ8rYq?YW0$IYYtn*BvB)FqP|g~0e4&nh2~MdhbR?V#%+l@+z3;E>Set`_HYLq zt_YpGeB)0fhrY)&l7+R2PVJDpCBF>0YF8TDRh?mgOXul6z1D6Ux!N!4)=#3wJ{3iz z(iJeLOM#YpU9Ohi7eNlSDQqe9OD)7EYzXP3FqYabZ14!{8iql zn^Uqvo``eJjGu6sTDxGKpmXLH$gQW z9Ci#%ANoGFRaCYs@r2!*1ZJZS{|?>_pZi%BPTA2n5h&X3z|nR=?Cy(e0Jn0IOjKz` z*Wf(o;@&8d-3{kvlTW5{=4&13nOtW0zIkYCwVfNI8?dsan?zeGu>D{$F zoR49BF70Z)uO*egbKn^L&^w-n)4cr4|KO!HN2SzKWUPY3ax<>(M4C@>;=fy*@dkCe zx;B1RX%S>@!D}E&ZJl%2;L8i;@_Zr?bcW{k*+S&>!I$q?UEcAnujzfdbzY47t@S7T zYP3{SWG}7iQdhWo{k}rcoBik7{YuH|O~XmP zE0?C*;-%YO7Jch!8L*--kwI%z>rM~4cYYoE!rhr3SV5(0nTyXZ4!Uq4|@~B&f{OXy#xKbcB>Qz2wY17c0dLZ>?1s{o^!(5dS$uW4aV*8`Yz5P$*&BV;oEcNjM$6)M@ zU52RAm<8-J{PWb8af=hOxJf5j%1OQEh4kM`tfXCB(c#WW;(PjSBXO=-9`aegd#pem zV*mNK!FKDn(_B@ZFG;5?D1mU;XVB5IPiGQQPDmKaJYORm{n)9yid_eqIb(mP4xw$& z@$TvO?IuAfwk|C`#y^v5st?kbat~;MLKGNlj-3}*vBq0{3Ii?t*W7`Hrzbc!Q zixaI$p-sEyQhl{M5BDzOHLu9uT4@;D8X1bxmOMl$n;sfo^DNz(-}n43oF89H=)Nj9 zzf8?nB1U>fI z?1UuP!k3wrj(@R1guG=`o*2&Auy(RbZQ%wMgmY5d{8FZ@Q!+i~S(}7r@-KcMJE9~{TXCJFhDnxZ5$ox= zt*$nwY4{%lWO<6GrpqSUW)B_5{iwQ5-a=`@6uVMHZmM3;w(n#6leV?)1PYw(H2247u zzC?a9!p6BGdCYk&PQZGFX$OoeVHXk_B&G$aYl$+GP_Z=kQf{9C6PQPJ$X~A?TZ|vc z74G4q$@>llFBkW2nzC-f=lKv(aE&>U2@oR!4j_ti>4$jFR(7 zibX1$HgU6@5X-eSqwnOu)JBuVMePsn`g90oqP=#L^|d%ix3qWo`g-Q^@b=oUcnwQ} zLO(zNwRu#w97-Y5A9?B}hoBo}Gi!0c4zMyzO1X5L96QjMY&z?(tD9S{ z)IBL(a?npy)NL)PG*H*FC?asM&eftJqT0?7lQC25;*e(`k-zPHkq7a);Y9y!`Sdon zAt%J;nAu-p9?%uITV-;xvPN=pw?eYA+D6y68cBHvT9tVRyYwpv@a92NIW zUojs?zPvpUkq-_3c`Ft*=umckTO8Q*OJlio%@p{eYo3!rcp8f+PC{WQ*Eyu4sL8m2>l!p+#q@aB`DbgFj4ewuZ@nrHzZ;>Q+@w?Jv+E)DxDKsnnlFd zBL^EL{X)q$lyD6<{7wFMW@~6IT@j0<_H8Gulg|v-#JSOKWetKy1W}3nxsjon__qk| zP#I`kqi{5wov8M4cUn0o`$hc|yvNrB=#*eh>^+-Mazf|o<9u{iae|$Aij5icFa*J1QAYIg#KXF_t5NJt1XiO8p6dSmj&j&1VUKp3v$B7C=e)x zvs*?2$8+Dy{-&}G`*X%YVja3UJWr8JW+rsTaeFq+sxG$A7oh8oX0535ow&lz0man8 zUY|9dDS)+(kdhdY0>EiPz-RpQ z=zFZ*{7}fI$pW1zs0T{CW1F*8VzB)i{cl*|{TnA^Fu|&&{FM-~Uq1ERQ=@^+3)vv~ zIR*>GaO|d?E%_yR$TZc+$wA(GsZ-+jCD=$6=Du=kL-tSfTYd}g7dvT$Mhy+Q_4LZ| z48)uGJ+1hcBK;akvpzi6Sda|AQo5xXpaesI7mzEONDn-*MH%5K&&sb5yTuk2nr)&XqUCOn`rmNf@?}{duydP2r38uMh?v^Pz+u zSnoX|lc(lysUPTQ|S+sF`Se>r{KmmIITsXs?+JgR=b zT5PtnT`#gYXgW8lC7U6)e821e-E^(0xB(oTh82b3pm@UwyN!H*7SYZwd5pXMO;X9Gwu1tqU?hv0G}7Od zDV&@oJ&^V`kS@Fq9h=gyb#oiEoBkAN-rZ9457<->G*C51p}P9;G9;tgu!B_tak9?|*W7XiK+l?Ie^u9R3~s=_ zgVC;oQ=b%0kMxEWGY*EP5|pXj^oZ<2Icch#KPPhFZ|cmy6x=*$WDlUs<(@?q#)a$0VYHXvkpm0NOsmPcJ} zNB&+lg%7iiwjg)8=&5}~tEho+%J-sMqwY`U!)L5DP2I2&aqxwQy#lw+M@<7e@#;ZI zO#~+uyi_RF`vN`BsOd8^mWt#f&05T{L6<)EZT^!Gn{yQy!_AIbWcVhf_N>#LI^^n8 z6T_H0e!yK@-d!91k*DatN$k*56zBh-umT+anP2)9HBa8-!hnS|JI!DNEPTL>Mo6lr zjb;e4nx$O5O-Kg&v+{Bu7+wooO_5dLsv zRK-}s2rHTzF&fHERikAj-vm5)Go@qfAFPJ~pTm04 zeqcb%)0aTM6xq%+MnnT6;fzSt?{VXzM0?pF5{l+v%`iX5X)8GP{WC zW*Ng=O*xFGrTddfSg~@}2Oit08$#z*J=q0p$)3RCYCFU~P|*!Kn^UwB#q`bAM#sDu zA;t-tljzImOmLx9q$HHXfNSnfRh%t6K%CB}pFYQPDVwUV(0%0Q)kulGlvbYKr z*MwVTFk{1NHPCjv&L5}Oc=AkdWO_$uM1pig1VXwk&S93STz03i_4_9-e;%b~b z#fUGgVvBiQ)Wf;cr9-^0*$*|XSpIYrAz8~;o^1yO#ptNQ-E4Ig89 z(WjMp>HD%NbahamVwVRj=!tQg7jsdENjgIf6n7&!ye0)9aOwU3EsYudqyH`hXxI(I(Q)*jUykDQxNo94r= z4(Ddk-%fv2dKmxU7ys}BYZAZexXNxD=z-C2sc1<`N&9crHTEe`LS zcZyC$Kd&Qum(O|Pq!aF9JLgl&JMcg11&o6TAg2Iqs?WX$)HC1($ORMw6lu{Hl2;2C-hk9Bk z!Hfx7s1~qxJq}%m;#%S4yA$;qMt&G$B9D%z@ia@zZN{qDD`D+UDF_~HOb%ErnwixD zXKW@E4H%N_5BRRzxzW<_#Y@4#l~?r^3X%Yt6w5YLu3CoYHQSET64;S)lF&WTInopkvaJTM3w0Y#i;y z?~@E!M`M&l${(Y)Uh?He=C)mG#XY=$waV$Y;o+;Fx>ISEt)Ch+ah7I1BMfaH&G5{H z=}^q{WDfNg2-H1dJyx8myc0ZkbPI^>Bkw{bLjAOzkP2D;)MpXR8I%#a#So2WUE*q$ zIjg{tX-my9X;Gq^X`7l24a*r0hQTX|3P$Y`IpeRegPDJm-a{ug&-O#TvJ#VlVQ@{Z zwn@#Q5|e;oa7nKA0Mmte4LG|F#2JF!3U;+|Log@TUpHD=d+b}vS(DnTxM)+=->Y1! zKL3aK8)G^&*EI{926P*dw%R0h8=16F6+yK+zOnHEntCUjX&DwvWOW}_LcgSEFFrat z`6V^u*EQO=FLLY*{)uCmA~^{P>Zfr0xkB09)=xf+eWGVkFQ)d5s@2gY3%c3KW!KRu z9q^QD2MRoTAeNh4{KH;wgH*kBi-dwZaCnWlq~FD%21phhy2>PH^)OSqr z91qfWj++lmG`9f_<#RlZA)Fcui-;3qV&K*5s)1TdUK6Ws=>s{*bs z{rby}bHtsm?+?(naYobBZjZ+?&R+(zg}&I5Y#*MZ(f=e^$kqx>Ai=d! zVd$071)Vx#0S~v-n2C3F^ZLDa!wgEaI_b zlrzD~u!4!vY!L_N;icmOEy!N!zk;1E@qVd*0`*;6Er?XPx&&G@*Fl#P_EutPjI4dg zc>@~MhOXxDqxJ|c-skG#K99e7{GrPzYkq-S(`@r~lD zN&QVAv<3v(RO?w>48Yb=k?sDMdx6>2d70T&|KEyH;DW(LS@;kSZ{GGu1>*mUTn5Mi z?4Th zxNPEz(-wcJp}0M^Wb+zi3m)QzKe0wLJ@X5ft9V zy`Wc|{eFg^;<$%Z+Y|90R(g5~s|Rzb81y_1!vZz|S$7ZLawq4Y$A>Izxz=r_4`*q3 zRv!v~E_+Pn4{h;)Z5UM0pb@Ak#mUzztg%Wt$h7)rPZ(&&*~dFgEeeQwjXe}@%3Y0T zwazucRh$_dKF+SbiLLXNNx8307TVvBpSs#Kc)lHE8h7YJ3pSWntmjafvj1Xb?WLHa;S?S@#iP)DAF?N9v! z8oP*F3#$C#7il9Jql}{nf*Qa|)UG{SG7s@fN%EAHT)9KZLfKR@J647oHnsYg0~XVK zY8`1~!ej{ms8Ff;oum>>2^qO#nDwH2Mu}0dB1_=e)L*hFDMXPCfskO>7{<_V z(N2KoMS(AIelqJ44SZ# zjzOZZkob}VvO-}2NdBeB zR5ih-nh`AP`O!LZgF#XL0d78R;t&++p6_R%?G3I0O9j|(810H%i3|REE5J$*W$!Ty zNcl+dze;$(ca9D0U^B$wDW3BQx%S`1Vy9zjYv4EjAIoS{%3=rGg>6TjI(CDxuXz-n4vaNq(7W)(+)4b+-y(s z@NpOxUMY2uZ3CGU5tQOUlR?hM^|AZoAug=`$s=}>0hCru{4TS=w|2Gz-qt9W^Ot@m z4(B98&LNe`-CCLN?0wib06Oa7gZ7^(7t~+9xVGo*9glCuf2L}_POo%jIc!@uU433> zues9muJ$k&BOwBg^MfsvLRKMsegTqoX#^{^?<0^M?-Y2BLShCpa|&*<&VxodLz^1s z^u^d-$u_=w5AnNS^GJ&FHc?tE%zB+IHlTO8#i)CoR>`%_-^)iOxtme9t>HHQLGy)= zVQv7W0OjVYk3o~;G~iMY>39kw=ixKy$Q?|3&b3FYTo8gM@w>EJD||p(?02YY{Z#0% zIE?t&)}`i9yXvkYRd(z6V?_yCG#HQ|`fN7Y>0(Q3ZRX^(Ht2K&DH54bi}^~sVz&%zFzT$-$Z*9d1&I=t2#MR76QPl*yLVe*;9U!j;o^d?V^p%=SwITz6M1l5nQgK%`~>q$e_LqnoQc+Jug0tgHy_(Y_{qN zy#29%3HZ}^ZGMEXwgcBPed2$MCv-UbcO7+Zx@MH8(W2(#e8qNdp{A2^MQ^(Weis3KkHoR(uFje%6B&sc%kEPVzDSXV{ym_|JDtdWzrDY`=OER4)J;T-P?5 zbWW?F*FAj8e&JVUp0Lcj)v8;b4neQq|1HBHaG1X;Vs@y}iLZ@XW1QlvYtc#=WQt@= zm9nU%Ow!}h-RMjhtUy~W(M#aw(GkQ9FE~eP&$MwMLyuvSD5wpQ;cP;U7{Vr>T@jvSNS z6=d^d)D@2)2q*QcGrBH#27nNZp<~vLSczt)MEfklWp2Ju^~B?*(GwlBPN59Xxg`NT zVx8noK*LTgt-ikPvzcmB)1267!NTe3%kKEZ@z);%Wke$b@xpowDoi52aGrU^2ocyA zR`Awng^~)`wGH7ZqVY_sGLW`V zAi!ofoAWSep+NKrL%PK7IXQW)S|*wg1UO5YDU6<6L=L1U&aY!duu+vZGoTk*A>^W2 z@hs*7S)8M*_C~ljV5YeZP^P&zutAa-B&k4>2_!i|vSXaUJO*#|pOv?2ItFvRf9srQ z+$$xO(U!o8x#V>d#VlK0w>r@NGREiG24L!QaXAV`>T`;~6;I5{dlevbH=! zD2EgwwlAExTnE%rit`bw>V6g@y==Jk*@e2ux^sKF;6H!lvq@l(4m9JI%A)KAfg;6u zPQ{Z52GXFr*smLZ5L^_WAnbCwif-6knIy|A!4JfE9W<-KZNwAs8KX9z>WVlk|Hkfr zsDFhl<#Abb`C_b%RNCwZQgG7aSwo3J&)gy4lwf4>N`i_!?7lLVdU}#acg{K`|Fh46 ziYQI#fgzR&X9W&x?Y_{VT{Rm!{Vv45l10K9txeTHBr;XX6}7$R1>KEC;^e8~?5Uzz zXp{DB>lRzKsg9T3$mGpxHh;zlQzSrcc_NN>=4x>C1|rKtji`#3(#V9KZu2#HHnJWF zrPa0Ss-d@@^@LQNZNTsG-$*rL_jKY5x^Rzyk43$O-?+Ww`3uGqF#|>bZ%f;rxo8AQ6p=R_cds}_A z$qWb2HOr^znDDY$E*a()`pAC?N`mS6kq*-_spMw4U|r4Ku^g0h0;Cj{H~wod=TK6h z0u7vQ!g?O=1r+&QD4UBnG*}l|FkqYH#-q!4v>>a9 zJ}vA!h74jA;Bm+UewJU_J5qudO2zJaUb?J*XXHn|P+wXN0J zI5=I3%VjqbsTlKl3#S7mJuHb{j!OqQ%>L^T+kkdbdV48SH=BSX78c9tL`nJq??!Uj zW(x5*D`Z?6#Cu-o_ot2cgY%RAqm!Le*ropvhg1ED?8fqgN=`hkQz%KjTIn!3D4DL2 zbv#)w-BONeg1tDkJVR}KL808zu4I9|(WYK;*Oy}r-&{|zA?Icmo8x&A+mdI`h9}3s zKB{iezd_*0#{1-Z^{49tJa5U=(*0gwa$D)>-Y9RK4|yD;7X*1!>FLN`Ie(o`MJ!`( z1;xM3#^$P%Av3Dkl?x?KuXc=>&C3PV6%Hkjc2l5Klg)Vp4SxG;#N|TArjvOsXttOz zEFE4mW5c$MaFUyE7{T*WKWFb7Fut zjiXt^S0#hJ__I@5?~1L$Xu`B6aax|Hd`o3LXm*mUWHK{HYqX_@HJy^PVr8djHR)5> zW$Z(T*JW4GnIrd$fRon~xu^L1Si?>1DA8nVAi&2`q^w`Jj@@dTaE9G#m!Ji+`gFrc zRde;K8!0t3Rjr$(fe*C;hwwsFE-_TH}mS@l*nL*Q0?th^R4~qxNS{d2w-lL))A<)fJ3p-;57%ML5 zg+Galhrdb%Ck?~ieDP!6e|R()I=g6%US4-^6_$DjUXi_uJZNRhWa>NkW!U@E@q#VD=G{c!>0KbTDp!)A;LNPA2Seg!a9p}{rp5AS}MB}np zw5ckM6boY@7A{x^nkK&}utwL#$3R*)oiw=raUgu=zD0DoQG14%w=SyE$3d^ZB$k=r zFK1!9)9C1#pty$MV6pe0To%}rnNneqx>}%XmF&cQ6TZyhPoZg2jozY@cMJBDlb4qA zQ$4lEp@kcyIva zXtXgajZZ4Ny%GTVb>b8H{Xyg^S|!|jE`n8y&&W&~IcvZ1UsylkjizCiR(J4d^mNLa z-wAbNLQsrD z;fs8T;R}~3fcrhU1-7v0ueOr39!s?4{Ykg&nG}&oT=nHPrQuGpcIN2un zwtXDFNwbvM%Z<}oPe`dKf!NE#cW+XN3ttx1MtLH|jcQH_h9mc6_iQ?T`kN|)N>hK_ z6=Lthj3uB8fVlW^>Muz6cQV%85EuZ0qxf`k>(F6z-lWDW6W-W{b&cx!7(! zbLU?kf9ZI#8i(X?SlP{^ycR5WO4j)QWU@ zwV1j);KU%BY>-1b?z_#V+BZ##WmT0W2-O3KYfVpWJ|aE@@EykVGiLJ0bN706PEk7 zm5WBBIAE_{8m^lbiHlf+H7Hmo!MnzJIj^`NQQR72lEVjR?(Nxthq(PzQ(SGNEO4wY zNC{2Fo`1x4?GjfktXYAws+Gxh=_1#A|4r{$E~9&nlGgDgvo-v?W<`0$y8NPf{!#nP zv)ZLgy#uAPE=N!BUoMF6KM*xEyzNw(!Y%;8?C+M>*$9*oH@Akak5g+S4T)`bfQ{?I z!3E4{A`!tC*!6&&_{@qhv;|@5@jRE&jV7!k^2(;G=)pK86ms1q8E< zB}P6Z;BD?j6|;;0UK=o4E%>RP?`y5t^N2L!*$=}acycRkg&%I@Le46h!nL5NiWv_9 zFCGGHIg6x>IT{C%&@bp){00O1ns848cPP=A46s=hr~so6Re({$9m8=- zzYt)lc}Ydg#Nvt@{XZyPSJIcwH1#VrgZc{JUVNW7kAHhs7QXcr*1QZ`o({EXWEJqe zoEFhb$hskqEf6Q?0F4#>F7{WJQiY6)fKFu5AkSeCd2GF^LeDPqfDvT?N>~Al|lEy|AWErRKTScxHA#6O>3_t(63SE`3$0IzG% z#)b%jd2~3k7{oYIcq-Z5zMBBZ9!UHTeD_^ueY-Llo}OXVD{@@zs>AL+P7vZsw^lQZEerbphY6qj$*%@0V2nAVlpi zJ4WTZ3Hr}Z(xOb+znU=plA)*qx#|s>{Xv%DBu2=wM(Hx{?of`T4-vV_!kBE9#h^e) z;!aqvFra}-LA=p#&WFyIP~x9wdt{hG-dqUzob=d_o5KEuchaSJE=w=jpuv#wkWv5S zH|W!H_!HO5*_@;C&TG*pLnh*6;rRE@%$kIfkXte*=aw@0!qAtdyWeZibSNZ)e<-}dlsuJpJu@ttcF!m0tG0%c&w3E zFri?d3%|eY`Gp-yP{}Xa`%8sJobwIZF&*9@N~jJQhpKP1H5(~9*|69-r!2z)>CV+E zC1jvGegJgGh-I%X#AP*?yJZJTd`l2rbW4!aiAopXed@R<5R0%Qh^d|!J0*w-;~zIp zhzY~dO{~=?ndXrWj0W!)cES_p<21rT6=;N0PjOhcb6A&lRHtx!%iMd%Ojz~x^JU5F z&!2$=4vJqV8euP^S`AHbVbGRLb`)?IZuu(1{Xq_^vX=?mmp$CPYCO3sY?GB~h14UZ ze{{bEyzE=IIb$nfcccvh$tGU8Tx>L2pNkV^69Mf!^528ugEO$R){lQ>Z`QbP zAJW~aBEf`!iY4p(@1EMPXjfp!t9GWHY|Yy-$Oi|EcqUQ;>i^o9w+qu+8*IvLXw_gw zaW&=~MZR0|OrV=EnYT3R0y06!H@BvpnA&oV#z`%BCe(UO!R*Z2!Js?mRwiyJSErrW z5^D#4rOG6Dj{ufTJ+@-aGjU{T_~!|bw*bWPuZ7Tytxr1z*sg47(Jx6mya85Crqh&j zM2uZV;D7*_LKM^%NAUCClga?9hz##Se7oEFPr>8HziOO*SPBN1o7e;Y#TCm!9#9l` zIB{QD52&Dc_lRjzgfq`Xh*d+*QNNcFpfEI2vm@t78NA4-b%X-_<-L=_-n^aG15mTk z@N&RB(qfTTJQLt!7Q29a5bpE5E7~7~1MJ2mU4SLH{BsDtE~cH(o8O-vpACMwG#k8r zKV;ZlQX}cPOJ%ip&s2N9nPpO>%LLIRG(FC=PQ0h-!7VAg;Y-6*WxckcxC*RgKSV6L zf|MvM=U72id2J$Tx{VOkNn?VPuM|nVl8S*vIh;!%G^oeI#na_mK9FQ*6>nYzZfG2vMB!ilE}avaz36`y2d-|Q^F?;!KuzQ zj`WPAigV&*5wngGR$ecRPi~(bjaJW@9o;*4oDAEI%35FOt>P0(uHJ-; zDm6QZf^cuc=dUtiNNB(NGD8sz}T!3t%kl!|2C z-_!upiV`(N(~45smQk!6zJ#*55A8R5`u3d?^aW zs6+@v?;3WYByxD~mVc?H!h`}TNJQ^1cB@-O`Q1>&g-E4P@=t-;b=Awzv`wU(To%uVonc zc#rO__m^qELHWFAZMEv`7LB`}D4HuS?6|>)QD1=iN7hGX(L@^I&_!smNAP)V!Lo2x z2TBpTZ+1-}3Ao)+F@t)1vJDLAk>5T%-$ssfPtqXxdh+~&S7|(UiPRApK&z&L_?8a_ z^dNg~b`kNs@fXYrn0hT$Pq0GuL+jIwb|x4fnQ=X_t^vslOIW;ynuywPD9?8-4Ss}i z;3KiMRg3w6cO{K&rZ7Y(mzlBO6XhdW06+E*_%$zna(pSQkN>v+V{mdka(bLP-p?M8`fv=IoIhN&3h0|uxA7_z0N=xP)pT5_-PLT2 z(r0-_^Z)HQpS`#gQP4%;3*h<7*?x0pbopNpN;gWOgtcrh(i1H5duMf7nliCOYKlGhuUW`95E5S))D)l-} z9?%z#Ryqc_zj*U@F5ZAeFV;atOVO&W@sVs7+=E-lfP#O@-&}GS3+d3YDG_8B-RugSt z_234iR=n{iY=QTCUiDYD*_vA2Ia0QfPqSz(152Ctlg=xIarcM0HT>lU2JK8w#S;q;N?ZqQW3^(EiAu|DVR(R4Ml;O(KyC-HEkv}vI5 zaFeguoqN2~r}GOQesmhl)CQ!16F4L1#Ubb?UkE!p>GnjvROhpH(#uOQUSy5?_Hd1L zp9XTejko-Gq9DD-YOcZ{XQs(q?o9u`l(n%%lkMPqx_#`isIdZ3K3xpfrNx)laYq5u z27Ck!7Qvz z$Fmc?l{{Jy`cI?Gs;?#(YjIy#>l>g-rOQawD%Ro4ajcYG%%%wD!wI76U*Yc(3+gh_TSDBrpmcCcd#3rat z%)4CzF)F>eci;;BKIp20f0<2KB_j%j%i=KFD~jT7Zw$9>Za9y&+{u*g5dLTf$BeqF zL&iiHCi};mDV=}pGD?uGl?V&ST;$^w*>89-Pvp*AZPCFnQsAL56jWu=K~wAp0;Kyk zO75g8y9F6JMx$3g(_GM2Q1Yu6stn&fA3JpB>Fjjh-1hQim6*4=Bw#0_aHP1a>J9|- z2CHm+eo0wRa0Q(VzVt!^85o>#~mQi`{AS4Qai!cbJd&=orqM(9~oz4nyNF+cThOMt@@~ z_X&4Xk91OlnX7R*sr~AvHr5(bH`7y6R`bX-=)*9ds~)ygbNFlFL*m=isixNCzA7+h zId$H`aJv73)>LgIq^0iB9InI4r!gqUEQ@KV(@_i@b?c__nwb8{VmXN^=F{MrPm+}*AznT z3}`@xDX(k{}C2|W}#A9 zmS{bdCDm_)qrTHN-n_sadUmL^g>~xYCGeZ2Le;2c18pa_ql@c({eHdg%No?}QZTEp zEu&U-SyDsD&Wc7~18H&6tNy9F30lS>&p+ z5{Ti|8*!ALrA{vvw8nI)Yh+BxR`Gr7Ad-6*%KMIUFUxVr<&HxsHiA8aiu1q#aHL{M zq~;WOemnYnxxW~~p`q5srb*i~9Vu+4kbw^PjZ&6^5~EEuM|q2#>@b|)j06Lg8<{8z zq^>aZNJ_o5rp- zpdqwst`}b*15af2htUEyF;QDM9c$Lzz>m2$YS-ldn}mvsCFgED`_u^%bz1xam1q8;^jzS%}CbQQtM{C4JwmYV(t8IItec5yN08*oKFmgB=ODu$ljw zK546VOl_2X<=GcPu{wtjwZ3)G?&e8jYJ`=y70AU3t#?R$u5DLR4O zSa2$-9(hyS8FPV|avC&UTZgR0*|M2%R4+ZMw*0S*UsOF*s8l-UMVzp7oex#;bk!K{ zdP9Qf^))24;#~Q5{t4_l5SYQ^bxlRDsu%|DtYMh5An1+Dm6EsjxSF$;hHs?qM_f+1 zu*;il=1enIQy~yBkEGhM`l&E?Pz9C3Q9ZXSWDI(yDZ1W6;$I!S1= z2k>Bg+kzJ)RE$aThCwtCHV#An@HLoqedwUnRFk@4=}WHUWVlh4>GQY zW7T-e^;dC?4ac`#z-P6Z>V*Eg&3q;t6KVd2F>+~>G=wS2@~XlKtv9FUM?yk5- zSUn30VG~IR?LS@qvpCh5JoUarVT`Tu2biMmnM*+-v_BD-I@Y_O4iQ0oVz2ss1S~X& z-z@)B=nA<=`k)4>RHuv4S<<ClxyfGqlud| z6ojj&P>~M|h;D=9^RvCZS#9;P#~1hJ!em6<{+e8)_^1qky_@$rBZ@q-))fK2c< zFzi`ecad28^3ewD^pXrGZX!XJAil z-gRgP-I{&5b_47bh(u=M2oRX0gDdw;FUXPQ`Wqk^pI+7(8pUn@WPIh=d|wh}YROk1 z12-Uk$9BGrS$`*7es+RFbs-}5Bnp)q0)zE0g5Mn)8 zO1`gfTd`fSyGfth zJ7j1)F^)bySLFTa1h-s`2UW!rkEGcOMO0_EAZ)ng1|_>0u+JkF*KmOrBqj1)6F^78 zxMx7&t3NNF3o=A#AD&}g=!#uo-cH0$jyY2WDoZCd3&iXkFMMCmxr7@`DK?GH99eyEW z5@wh+``7?$p9QPc(mE_GI?j(D$Rdzj_ZU$bE7KuEK-tWq|0qD@OoCX-ARr7^p7fU? z32wprV$~H(cL-%gG_N7LV2W*HY_?0nqI<%Ku@cN64s4a71u z3B!FKL_$Rd0)zZzfD8QoZ)0KY4#BnsRlsw;@Eg+Xh`;{ONrsr|tF)*c(U4~KKB&@2 zD;qyPDPk%d=yHu|o>xqlIhW4rV7A^+jogp8PV$H0JnO}vPGjf2Sq(QPQ-R9}S9})E zap0Vz`if4p)qY>{M>G+X{AK~dNP|C%<>#_s>t>ALk&W%fM%QAsldg?$pS1f6PRSuB zJp2=HTAYLK-9b#+gm6riM3!yKy%3aO*@6$|Vj3V#2r}X8D$Ll`%V32|C_-qs4?oJ_ zk#D6d6@=xP7o9|kQgN`iaKtv$ReqnLz&4dI#C)tLp-U*J;GBqawLl8vWbi=;s(xG-`nM*lbw}Tuh)Nu_B{97|d{l zU>_$kB_;)kk45FTToJ7eIi8;dWDJr%g$QO%5)oV+SLe(gRTvLj&&1w4uJ7pH2!e(i zp7$xBEpW%}ma2v1Z#(@U%7!Qbq{t+G8Z|SeJZ5Se*cNYVa?-HW%OA0$s#*)$ETw~5 zmj|epU=J{ze%@P=k&SZAkRw<#2FR)joSDej83O|W{vK~HU-6>SL#?0kmBS4VUyP6A zh-Kfeyr%}(XK1+jCxcc8eLVJX!EnJ#N5K8(_drH`pEH4IJUtRz)X-K}CF0dvi)FT7 zf$Nk{Eei_l{0&$BN!^Vrz;z4I?`1jHG{e}!8i3P&Otb_1kvg!TGf%rsDdSW2Rq45e z^*!T0=}`{lJwx%9A`Kc`-a$AGyKnkWjRC$rZ{NABCS00u1&Q@ z9<+Hs%+RCZ0s@uD6dCOR$2vx^uMKdlIY8tnmg`%P$l$tb705Q>skNqMcPMxBQ)H=M zYopB0C^EpNeEhhECiDRfuJEP%J3F;`W)Sc{j9_J!kG0tx-gX9a5OD;^(_bp~80#wz z8F3IR4Re5<)eBy(6!||(g<~1+$rhbfFD1UO*?*3jg7vXKmUYlgmZ@mSWd;;l6DqpE z4>l-*N(~`K4(Yt^dLfBg?Ulo*LFcCZ9FT_PBgm=;7fbl9K<$uPojNDV;S!=(FX&;X zRMP96Ba6h?`)yT#f|Tt2WuR+rjOC%8z<7nTe&Nyiv=e_GZFb8^#C74G)e=bx5yb}b zmWThatA8l-r$+?lmd$yNdS*u zWhB-YM#g)lD zEkOMg4YSHX^-j@5E5LS#Ml=kqSw%f&T`EevI%VFX4$4~3tcTHzIl}`!W)Ibt7u1V? zySpc^r>E-u1-$o-UJ<h#7YYZcsmvC(?Qty6g_sVg+P~_G7+cB|e(f zQc!uibTf?NDjRx;o{ADc$%V1nVoT4uNFtua z5js3qMQZ0p7p;*8PpDi-_t(t{CDte{U^iU~Ja=V2ZCrI-#bG|m8`=;S6Hxim>ZHfq zjHkLCbt(C1d`X1%NpQ}}$rAsq`+f}g=*|`PJr4GtTrpG$$ zAg}P$0}eopYDOPSwIH$$kb$Y5QVezWw3ie?w#LS;6E_pA;=1@Fhi8p}+Zfs#&35u4 zegv*wT$PJNDUrxx(jxIV} z5@^xyUh#${$BvUJK*LTd!}_PJsNZ49vAk73=NI?Pp?lE78cQ`_)Q{K9Wn5hq(mXzr z-;H46<7UGDOQ|q}iCNV0;S)i*iB^5^j+#u3xrK)Dd5sjd?<#aiRh*MxO{=El(!%0B zyiFh2-#`y6#XWY!4>2)aO77Erz-+-m?4C7|MAetbrCJ0}$TGxO!I4e4`jLi^d=${1H5n?m~p75fp)!_m;KKQNy*B_7!&1}Orj|~Gv z;J|aO!NRDX*yAVLy4PlouijBgydK_10rq1+)Ljw`z-iNPr>U4f(~8=?L6I=g8hD{> zuf>2joBjJs2XvzRNAv%rLEx*02AI8g6k0=f{(#&OJFrr@ZkZ!NVC)G0UDRFywsOKL z4!LsI_B2040!sY0p05ph2SpEe9}T?4N+kX;%8q{6YvF&I)qkgMo3LvfynBsrfakyj z(s>XTR#oKjOQ{%F#}c6iG+f?I#n4Iuq&*wYP+SKEN!8qgle3#F0O_s!?i7VAlFb|+ z{D)vNPlgTqj-EGB%+#?L(hk^B8^)lY8|f^_NSwq>oW$bDY-0hZU+Qp|4L*Iv1gcMj zAkMttU9^M-dcX#KU}fBgAn>_Bo70#pq*$dBY5YV4_YSYIRE_nk6~q)uhv|qbGBRG; znU#Q~OVy4_zK4Rd?s1(hKS3ea^uPwlT#hHMonH0MR1&UF`Cxn69+E^lZXiz-m5^H)Hp0s zdQbiZqy%9bi39X5IR9=(NdkNXmd?<1Mzjqf-qeq9d*XXpAPC+z;4!9>&9dTSG4F}U z0$f}(r{p=MWYvf0sB|N8qig9O-O=-j{|R4UN`L$|8JAY3$Z-E~ z6IaF1|1qM`<&1W;&m`9FF1yAP$)MGqB)iu4heKQvk5H}hF{HvLG~~r^h7Zrt@6(mz z$K$o+g!mFaftnd>nAu6|+@oE?g!r(*FCLwmyvw6j+BeUNK2p9I^TbS0rn5Pv-D+!F z)5Vt$D>StVY_XII@i}R=A`7xFI(uTEK|L3-34NE1^UXPk?}^c!=30h>kM~P+9O;&2 zbjpo1ifJFyJ)4T6w_YWc-=^b)xF<28ZbFQ4Q@>ELDWx98$MLv7Mtp|vI6kRDq)w?Wmn@;OF zh6A~;M`kMjrkJ<-?>^~p3GDY?++jyXbU699 z`|s{BV&hsJygNe<4*p#P=rY_tqdS#{=Iv;6OZn=LPKA$~O!JK$a`FIOpi`TeB0?+F z_#TE3*CsJ#m=4&H1MCQ5tHZ~?m3K$T!2%s_p55My3+zZKU=@IMo?*l)9C#8!n{oF{ zvxMLR-uU%jA6eD>SEk-DYjr-Es=CwM0e^*;0+RsJ9(JMb2e%Rgf~ij56m~%b4p(Y* zKj;>T`=(Xmo9ZJV!X)>Q~};R^{_n5 zL@}2Q+q+O==gck$%#RE?I*f2BFqY$2WAS;usnn9tSYky`A{iU3iv``@3W_+zm?MAg z%uTx|fX7r7PKRle(ekx2_aWMzJ`#G#blUZvf*)kN0#`4+vSAo^>OOwD;5NJDQt~hI zB&D59h{d12b1c{J%;!C^-i}z=>^Hbs!0((6A|G)+Ia_zkt-<@hR3?wYN?28pX1_}F zAlJP7F|G-RgvQ*oXKAJA39p_Bn~d&?=9EXru+{Veo4RvuO?~FWB4;WkqI0Qr#j zm@{aaCH~HobI^Tv-}2^Tff$YxTA3dLd%SdqB=-z*fBxz%x!@ltVep&9D$#%cio7;rTI^Xh? zlgp+~0q&PV1apVUqU zb?zTa(5H`ATTbG1=<{wMx4q0SMw*_4vJEQv_tPR*`%gtmE>auvRz~HyBu|-#4G`8| zA$~93!d*khej*vm(_KYr-;0jq@DT(!uu`aS*q@ZdH0K^4!sT>P>*S6XiY~D4<3B*H z3t4pSlLv09+p|V$K?x#1#yXIRyPT3vUYZt{r7cU{Jji~(Z&oVw$cBxFOPhLexV@$M zz>z5|sk>9I;myAWXZe0C8C=+Z@5*M~iqt&>d;r^z1@esVhBi6eVCV05k`!MPxdy7X z1;|N_O9$hu-pAlsjh`wi3ylrD*2I4dz1k(4nv1yoknIj4PhjN^M-~{L3@4ClVQ~3{ zO;!{di%DiUkKyDi91ns{YIsj--xA(;IQAahKD0U%r+#c@+kBl3mUSQaVFvqq!a#xL z^y60#spthePWUky$Acp8nN#zwLQ_=nHg{X$Z+IB^r;=nD=^*9D?PM`5?@!OSbMG#D z`&`Fyc$(ra3c1`v4i4(tXewXlq8%XU6cW<@sXhlBCRRjW4f8ABt>(c$|H8sL;>JYW zm|VgOs6s(%1M(eR>G(ux(uq>}G`7_I$-Ln@(E|I4x*0?^T~afxl5=jpq9ag*N?uq1 zjvJ8-BYEaZ?><3%j}h#pSkfTm81}moB&Z6IWGKy>e0A~ZFV{j5gM)4e7IiBqg=g{y z0awQ14MuPoM+oD&kyieqNAT)}_qa6ZCu+GSzo}>Hl~YbM%Wr`aa`EUz-Tk5P*#gb_ z4`gohQF&306tZ7bs0@KB`QAgooX%1}$f;1(B(0u9&)oPw@nGR|EGA}cpdRJt%|y!F z0vT{1x{`v{ZoMXxl}?IH@0SzU)WYv|xrLS>72}Q(DfAZkoHJdNo~x|q#d1`d&UAl! z(K1*nabacWOGUXibBiQhpP=7i{++^s{v-eFm)6VRzFC|>t|JNVh=<0(1;PS9Vbe2JsW({S)PgHI|ZxM*ZXQ6TP{ z=B8Jz_QFS(uqnR{%Q`apWXdEQ*}Q3enaw@kfz=7dzs#4*3VceT2>NDy`}PDUD`}qg z31TZ(av>xbbUIn%#l1#Ne5`@zaN{Db(#Hkw_(>)Dp&|?-t(AXV-A~QjFaN44sGss@ z^NJ{>pQ`_(@<;GXM>=>$eriIRsP;y#@LU^(zLMG7r+GT^j5_;hJAV9F2Q(uba}gZlr12Am!%+(7Cpl0fWa zntG#mnxF9p1s(s$$3a1^dZy=q<2n3D`FmvUewJsX{W(>4dFhL%EAL;lor2Pr(+R!Y zf{`q~8qaM0znng&j&HLEfAv@!Rz!4P54!dNlv-_oTbF*@#p8jV0?M^#L(@%{ z@s8IJKP#Pes5~&s`q(ULaQ(k3IJ;oJMln>ZCKY`GlN|Tv@`&zl4 z_Ho@&m0;jTFqG&8F^s6lk+QDAdS+bSPhM}9A`w%3`HQt+|G7>o z{y}D`h%MIogdJnnCiiFi*f9C0z%c#4fzR6n@~#*kH9>$!?_&1-^>+Rrm~BgbISQ4| z0sTzb68Eq!))d)01h28+-+*zGurAXOmZxjywuiqW2skMo3PKF95~b`k`tQr{(PZ<+PK^DlK=KIQek#oJJ<~xju1Q z%&^Id)ppRqm3vAyh$OApoWfOT7N-xPe=yE`TOpoWz?`Rf*u89SVeclKlPuCCKTf1g zafDQzWZ?hwRB6^s+?ZORRXytR(?b8GLltPQ>c{<6WEY)+R+OhsbpyuTaWL2v0K~FO z0DxFiB-Y4bVzRSUVrRcTu5;6?x(-XsEtd5&VN3zTbx^P;jDEdvhaTe1HK=F7{;fm@ z1F|aRoLA@~u8Y=(BeUtJqqifq%KOM9c3l>16`Fz=i`sm6Al1 zc8%2}lQWva34#vOycs5B3HUTb0d*Q}n)nfPmNeN7rOHB>7=ZIUr4@;dAbS@U{x9|_ zy7>RbUX4TLN+|z_y~1P$uva4K|FBnj!hsYPg(4Ffi%3^j8PnQ>96)3i>NMNF_%TS^ z#P~tbr5!kTNz19AKy%g}GA?lB)q7*IVE5U?5U6bZiP%9>Dw^^pj7oE~mQ3l40d{~W zn8htQpj}e7mU8sKjYfT?xlzZOos{}l-(U0}97j8JjA!-e8uFM2V2Brx2+*{{c`ZgH zqZ&u)8X>z?)Dku0(;8w`Ki4X%Dh(^B6&`3-_h~zpIICe|@vH3z<5F8IA~6u(DY*T0 zww}QCaPtCN*k~nd?B=Cw0?3d&TGe099+T2CyM5n-;BFp|lA^Ob`mkpJjcU17wwgIY zE^lr5G^&F-Y~4B92p1YfJPe54^Xs#lbu0D^c}J)E8%Q(h=|NoQ4!p?EeSx#YSU2h>gnRvK^#A9-N)Mkmd-e10l%KipmrkzYfOYf=} z?~xV4Vj6l!z3pR~33P@uD@#`5X4Q&w%~G*MG;Pd-cTQtKR&1@MSV3T7e4C1uL989m zcGtQ@^0X40O-@wUld(o8FsLHsN}plY;q!ox9i_~}aw6#@UN%w7#FJgyd^9|3UIm-U zo=LInDanf6kIjp;=YxsbANEyc7M4}Ip-FgA%ek~e8%MzeS6Btrh#Rf|i9T zk=&`JnSnL=&{vX*Z>ucNj)CV-?t#vn|2vnJ%T1->VGK=UDAC^p6i}c|9wc~qK{`L3 z4E=6FJ(uc1tzuNG^V1*o;!aEFI(ov%HU&z*5^P&*JzuokK3%Eu3AvJDe@Ge{i->OM7@c(x60R9@ymE>`Hs6Eq)FN6W^WS zeX2q8VGx3(ZGhEo%QUasB4(XAM=p9!N}+%6K}CDPHI_V{S>LOgdus+MRL8Mq)l(*v z0xgS2XJl7ri^ro zbf*=!%OhT+92RPWlWZ|*Ddw%oK*S-Yo4W>h5|{xoV_=uH?v zXs2lgc#W#<35nZ@dTdHZf>fWB_r4K92Rx#pfdq_@nR56viB|>0Z5zEJU(4Uu*;a{; zq1GE-{K+9GZRjU@iZ^_Q{*`9vUZMTYJ?LMPaR0+tB=D+}p0hOpq=32Ch5=bsuLVx7 z%M~RK3>-r+f7#PwQ5!!7gpNVcPzTJaIaJiVT=%Jife;>@X>JJBU$ zcE4mII8_S3XziXe7yQ-%Fj^pgWG%SX%qr5iG%$kE7IU^u>HDPhiHiv z@qTwa&d{hz=FS83!N>H|wyx5Dz^pd<(@Zsfe*k|)PuMaCW{e}Z%RPmnFg*9cbE;ZY z`ub~D52%Dj=*Fp5YrZe(ns{+9mjP8CAwuSz5`YyKL&BQ8s|W_2W#xB2wXEsF zr5s%F*7Jve-j6JB%kOBre~B;g!GNPdK-;&xUPH!wM8^C^);vz|f&PcG(t5Zsg8qQO zXG%Q`K|7 zl(wtK2x>(OmPgx+!@)bagYhxF2X#*if`}7Rkt9;)GjeUmmbSY&*xLg-CL=siW~_KFWns^!n$fRk~5ZSAzk(kbz+o}<0a$&elVG?PmJw0)v?a^SaZ>tU-jEaqIv5} z`+uRby6^sHRMz+Z4wcoeMA7GwK+)%Qv;xjzT+8pV10SNkvQDJ^V*@DDAP_)iO^Dz= z3im9fcmP^uR<4AQs&%3WGn*~W9Y`vjZ?HG2;=WXSOW#7E0z5C1HVZCfQqGMNd*~ip z1eF|VJl(#`x}G#3dM4h;dOYvw{}J?(kLi(bQ|~`;Q>%R(KSmHwH0+;ht|s5UXF{eX z(^DXqNFkX4PH=LQRjy=TBQpyZ6DRK%&h_`Dn29p)7?FA0!wPsq@+81g0}M}ekX*xd z2=zt0qrldF^?59`s%!_wlRaIZ*;8jL&kIKYsf2yQsKh%a?Lt=5YW)`# z_V&qpgJ~nxZC@p#z3uo)Us%K3#k|Gh1Datczm(fYa|Q}E!@$8GxwjM<#*>yWk{2rt zmAYWg;UY3+83Dg_x{AumW$SHX8-mi(5#wP@kok#;I~_mpk#CUh{KHZIah((uJ*uf4 ztjLe*WZ>t8W)SNwuRtnvh_A!~QAMMc=z%MvoP>k409`gSFQbG(6L3||)+QkX-y=db zk1DlgO4qD&3UmHTX%L1RGH$OJ1yO^dWC1tiEr6x`>LD0<9G>9=y0dLeefeYZHg*B6$MBl06A7uDv}mE1L8x z$eG+ZfC;|vRRiKlcGz}(eUIqiAP@09BOf~Ll|?lafMY4B0B|giU7)uF$@$hDqA=75 zyj3WPQ+_t<_sJ?p*(prfXwI&oPS<s{;1-f>qBR3>eC8Vm;kpjAreri$#nErz{9=2 zk3_)(kByc&y|sNV7y3gCaWO`?4L%H!_AG|*VzTtoe`D`0!|G^)g+bgsxVyW%6WrYi z?oM!mdvFcz?(P;KxCIGL$idw;>?H4f_wL=jd%r)s|GwvRS6fYYRdx57>Y18uI61?8 zL&T$Lr;LQ=GXoXmjGK3(%|adsqy|`|94c15bGI@xfL+fPi}9B5r|NZM5vA_Ac^D_> zu$!K^4IfAFQ4fr~m2oU#{ z!?M*3c$ucfg6<^$K5(pTm2BB+@gQLf3eZi*kxKmOs481oG#z&rCK9UC!3c{at6kS5II3k2K;IQnbG2 zpE^N9FfE9(Mkx+SQ_WN8^@PtCZdV{Xj>#HM%Inu&%Ez+>oS z80Ckxup@==DlTLE9;ZdS>TLO?O;M3}6Ppa_dd^8mk+tre=mDMH#yi*k&h7?jf4|>P zPnVCNwQG)~yCkd2PVG`%-cK1mH?Ym{e*HJfVM-ps?rLJb^|PIVqSl7Qq|MBzXsZi( zF(!U;keGe*IC_Wml;vF6_$}e;MQI|4wr1OFD1tR?W)85-V0Fkk_o4OiD?)sSXl=bl z)v}$pgUpi^go3#-vZ%yuvJxWd0q=XqtVQ1OC!JHGEsj};d$?w*CEGSjByc$TxT; z?suhuDAj4UF~xM!$UpR<_{^d2*~p$`H?{yv>*pE`%5r4y?hs9+iZ@Y4yHw_I-VaNm zf69ZWw#jsDbYXdojmyo^4d5HL6Ng4w4n;uP@A+$r)!7-Y(fGp2RcsWcpE2SV=QA1Q zH?l=HfC8VEh0Lk~<%1eA*vDkgYpsqPY3?yofiz%B1lA5$kwAI<%a*@iUINr(B53{7 z6X7z2$=hiz^QYA=$R8y;93hQ*=pq`0FN*w3W>k~(2@0)xDgRJlFAxVQM*m|NaIA}SxJa8V{n3G< zbEp6XYdTgGEh1P0vml7&jM+VyQm58;;)dML&QM>L@zecK#2v=1WJUCtSro;4k7XtA zp)6or#IPv(;cQ7+y`k=mqauvPpPM>q-y^5pa!{{LfDO1MmByH%S?9x;-f{Xuuc(8B zzY=4d2oIcLAbH_oL2k+p-@yY`v$)q-?;w`__&=;xht8mGI)?;#zT1DR#(s@M7XR)@ zw-`0i-OEl2Q-;(W^McSlA<9sVfRsjCsZ$YD)Zfa6U8rSa^POJsvz}&^YE>zh59{<~ zqjt#C_tO!t=idRpe>a}Z;)s@FeI#QK{f0~Ke7{VO3{H;iRgD%P5+B?GhE)w+Zo(vb zeINY;T69>FAm8*1T!k#0cmt6QidK-fHQ(_%=G*0qLY~j8HBA?tRm}FOn|4k*MCGjP z&F)pyUWJ9LSeQ7pIg7T940=qX8M)YS0u*gZRy4ve=s~6n%v1%J3l+ibVBjW4T-Qg1 zRvs0>;!v!BQHVCB1Xi6cbsQtV-ijWv-1oq%<+@dPD(-HY(w1v#g}C* z&dm$sZL)|_LKM#%9Ix>YT5zhQ@0TR;Cb{Bwa#VA+4h+a%efQ;+#U}&!Q+0aEi<2ps z%z8LW{#aw-RAGkC!gd(+tKwR}&B4rql5fMgRXL%R3BabHv46KoZj~Kiv)|3Di5T0U zAwP;>my)oGL~frPu?Kdh(9VU!m-FoSvy;Yhb^m}4^&swNB7^MA4mI`vf`9@U;c_Xd zeH`?>GWU`dH2D%AEWM^zv<4P&Q*3_jl#K0~MnxJqy?654(MpfY;c#Whs9>R}f z8PfD)2x6YJUm7gkTEkHs(&aat+Q`wJrj0^ZMf__NJp)MwP6H;3wXLiHEp~iJt;m(< zM_ABGZIs$9aZgUNwMKr=!Bl)L665nRl#xv&2BklxaA@`12gawC$-$xJZm-FWibn($ zcT|OtLDw~q%X$4VY5kwkK&}+(0|NPXQ3rN1WJiKi*Wsqq-e2~)+O4VEr^mD}0&SF1Wdzt>7b&t{)AY`j5|M zq0KNkH&}CzPAK!v8eI$}C6|A((av9zwIhtSP_+k-ULG$ocy0GM^bc${EfjVUJ;?cP zpPvL7hcb^o>l^-tI1yZ&@nmfuvV!t_jqS(S@^eF)-J_U&qNqn1U}8Mz`K{|LfZX|t zwwVOCM?Qe1={suu%j#k(irQF}ojb2-(9b27s^bfiXfehob`y1^@xNf8w-L~fi-)ihq-%phS^ZcH!Uy}p)CFvoTJ*kI)e*- z^8Ijl{cr^cdU_ol^PI)JZn+2guzNcoarr9s9OUpXID))hNmV0&C2az{^Xq%bQ3!X{ zRWO*hT=K(5zgmG=kMaZHAj3KtkN}zBR18c#gzxs(lxLNxCKIEtmkdHvi+t_PQ_FY; z5D{l~Ae}tnJl~JoV+o1#u%soRI9(1RZBKT#Fk+2Nop~e+cT9cGiOvGS3@6vE&B+|q zJJI|J=QJk6I*z9oi`7LBCkXfYAwi^r3(uaP#R0-0)`s>G)@#X7+nZF>x*>dwo)13- z0$gC0g^0j@yLo;#Z}~mpNPajXskHg5EiDw+8B|E@x&2fC+bi*HITWo9U)lPZ~Z(TwcV!D$E4l=QaaM!rUW<^#ya@vE1ABFoOfx5_7l zM;_z8S-ksZV-QXd@Y3Ycp0lj|p8sW6@ z#b_39)RLa=572&PTd=^(XH;Z4%Ep;5ERuY=hO+j+%jb^lwQcP z_?;W{3K%m~fImASZ<64NAZ+FhOfu!)rX;sur2gv#S}BI}Dy5z&i|$ zXvPI(=u;A>u^VUk(oTQw33vIr6Q{$}SH+sH679RT8-yI`Q>)GrZO4jvFZsIIwwrN# zq_o#@)`ewPL#e){c%EP0?PN1d;;x>EF=vj4EnWIPr)OzKT~dyyZ8xhsX+{F;gQY`? z9-S79M2>j!5&AwLXZbop$HUYirXE-M-BNuY3!wT^-$VT$3j50uglB>^OE!WAGd(8l zj!C1=8>aC)E<&ndJKjFIyMLDlo6pBC4PbSn?;r#tJTs#OFFnX20@5n-EVgFUQ0qo? z!voUXPqNcMg#?rV-BQD`ZLx!w*jOB!g{C9=8k1fz(Rk|IHJOgI*6mWmNNwdAJJ`b_ z>+=i%Iqd%iU&{ch+Do);`&%fLg@{6~s+SijZ9zGXWZ!PyFVp-iI(ikX_^k_kc~i`v zFkMT%uJiMdl5cwS`ca67eap_B7fu~K!ZEI^SzZaSs`|Pn<_2f1uGD1IU24FqDp=^l z%rL21lp4+_%zO)hl(O$P#k85qXcT>)JHvF=V%AV+e%W-iyc>!;EmUH6MrY`zeE7vz zZdqvxh^+4F8~?SiQUl*5tVmb+K41n|n~mdJjakKKgKG1rZgb^;&F0ys8~eo4=aIVa zEAb;arp2$%9dpmzJ*U;rVdSpXZ?6+PPWL(r49(BGyloAZDQB2GdJ9f}z4N$UCmrLd zFFQY(@^(yMbrk`MX>C#>q%V+jq?p}!W`dT6wr;G3|BIQGEBom?$uhb7ZuQwYgI*KQ zM&C3Zd+#r6P<(UC1RaPWoBCQO6K%4#GmSn9=;Yqu-ixPj0t?VY6Q&*^#)n=aEP89{ zbG@-2B?*KE@~CaAF;YUdqJ&GB9VKIjpCMNw8YUsK%0eVeY9(d|>V-Zj)l2?+Oezkghr`fyqls;K|?=m zdeiZ`J}N!1H=ur)YiGY;tc}k-u8@LaBjvK|Z)}HWYCeHuhH`FD_64}v8|gW|yMBhD z=3N+5=d@H3(Ns@pZ#lmf7P|Vag#Oi~Ea$S_z~S$%r_<`A)Q>o=rvlEDRJ@%(eVp9gVR(KMWTqDf!A`&_rx;{OziFCSvrk8jJH=|g&c7Fb0cs<`V({-|;lKp?&E zRF^RQ> zAdi|TKXXJO#NT+$pZIhiDgXIOxcemRW(o4)MUR=v8(aC?w`T`ZqTcjo1JTiQE< zQVIS5r|(8;Ws%cea(}A!OcLi0U?Iqrmfojej_e-&g`Nzn5UvO^)67808Xv7#4Z^gA(k8)uV*?t-ou&JHe6#}XJ<=>0dd{gl?ZB^(PaT2p(BpU56!`&HK z1`-H^$;L)O=y)iy!kqEnoMo6*og@ADd;8vai9{k!Un zM!%QUnxh?~$EVVj3Q5-FT#Vz(bUSuu?qUO>`L~j3i|Wx zO0yt!J!%-HcOhv}++gx*6OshoR23G5$S+CXlU7e;Wsmf@^fi(?wWi`yi)%HTf~YF& z7Ei4HWUuKb#g_~4S)!6lsP44GL?U4^O25A_7eV2V{atD`1aG5_|XS+sTJYb*eh@;TCGIdlI=V=5NQT$_@`8;-G9J4m5X=lwAZ7QX zim1iS6vSlf=i^wXQFonwV;G zEY_+2t}?b7N?*MZ`HP7i|H_x7(>W;p1?nk=fu&~IYq@$ZqEJqp;DkNW2we0%CI+!s zdi8}=lQr?_4CYT(TKoHMYPfabA4Fp%l3zfdZ#9gOx_;#r`j@A=Nt{!_%A zr*vMz_}lW9_WiGbf^t02Q>0$3^=pehQ4ZB8gT9(PzM#zw! z+2Vq(w=?Ye*U6t-f3jOXGgv;;SWZLu9l-zUXY~8+$+Z+!ziQw1IgFm%iyc1qdxP|w zMZiEZfB0eFK@9&M01ReO~9Z zoBAH4uQ+$Bv>~aa_PwgK3}QNFMJZar^#@_3_5oyYb@}+DifCc@6s;JN!?9!r@P#f_ ziCm#arYxcSO*-?7aV&?nH0kcQkZJC>?*LDc=H4PE-`RO#7pBljUrYU@DbJ<0Xz`g6 ze}r(W#@202ZP{6q`SNLAnVP9`#%4}XDQ3@2uRdZS*gqTl1k{Wcqeil$gdL2vJ%CW3 z5-eU1!AMnsRt+X^!wALD@A`27itmjU>iAyX%{W`@gC*jC@I19O!+;Xj7n*L26O97z z1qLx*tPu)h_}0>?sz1=dvL3@;aqYyEYUN8S-nd-56O1mSu7*Qt>GXs`SJJUU7iI^8 zl-wg76q`y0DJNK2Sfs07Br6cO=^+Kp!zE)&L@t;{rXplETlBd1APn54xo`c2;D~?X z6n~)<;=p(+r-{1T&%?CYyFNZ;cS!5!t!$dkji%Rh5GPH}*yZD40hH_%l5fLc-y>(JEpj&9Z*~yIg;J77IW9WgVrrutjJVMwd8TOmn>a}9XjLo zshBKtvTL7AWMfjHmHzrnzOqI9(`;NR6vZaSdjnb)flkHdZRP1DUo|2{Ow!{_^Guk{>Xs+_w}H`SYa##SEIO-{Y%; z<+7gU6huoDUsq||U5j*PzL_tIQ~j`1l4f(`M;LO$G-gxWi_Tk`Da>m}^NhqSkTNV^24lpsGLlanxQgHofF&O92K42agoml|s9iKN0?8$Ik9 z^hf^$uisy=pZcDd1a{g^zHEtaZ2WF5tlDa{3z(>VWa`@clldQs8w4^b@pw+y?BDWZ z_D|(}+c$lOb;&xn{85_!O#r{)kJ0%|>3%PJaF#r~K~vDBY$}=kRfaDL-5rDCnDYI) zZ0y%$+ya^(9|w|?w-;qEE-1ZxlaAhZd`+nqerFb=y|le>#HMO`w_stL5RbqAS=K65 z4RvNvU)w0XEx;gGS~8Sx*txE@cw7omzLCmfPx=j-V_5A}mT@un>wg!l67%WFsq+UX z)r8W_Z73IZHMJO@OR$r#Uu@C=NJFUIKiS? zBkYkSdv#)8$rX zY@v`j8{3UsDTZ&3edNeCiYPr*ZPc;k`=ueB1NyIumX6BL1$4;;6&VF|xdjzP1#}e! z6}1I)%|YJ{k_TE%=9|f1(;FGn{Jxeg?y=5($}AfTa1#UuumAkx=_h?6dv4&Uz7XK9 z60Q6&1vB>vxS=!wHx!l{nQG(85_AIvkhpzmBSy5??N?hLs)p6e4-LEIC2S4E3xuKc zn>C-R=!w4s6MtDH{=!ZoGrW8k{hNxW>=j2a<(1(^%dPOBZ~H^b;EnN3j?NE%5K!at*vgwYax3+C}+_kl$itJ0|76SJ#1N+!%lO(P&RgJ)WYCL z7rhm3rK)%|*Ey%m`HZI)tQIPVqh+n{Y0VYJ`eORPgrz*MlBdJLlOJbdXExt08<%>o zv?w)_gb@>~fQHhfZ*D%nY{^rRH26(zev&jSsI-`MD~0pLVaZmO;5SNm-+bG4^G@B3 zBCo>(gFk^%*6;LV+LW|oM&NHD`Iz%B6#NS%|3U=-Rweg{F%HhXao?>L61~<08?1rc-5}xwxLh~!XS+i>f3h`uBox%dAvsK$TQkr>)`%_jP5BUJahF(3 z-u2mBwo=N!W$ii2H{SHwJpD;nWL_DHSB8e!09nS}+B%hgGVP3AS0IFg3+6Skd8c5_ zH9PNKla@f2x;m)^0nHN4#c`p! zLVCYai4qU>2gX>r4 zr=W1|AIR@bv9Rt5;O}nQ9lC1Q%IO>QIC0*xj7DharCS{tD?OAqGtYd_i{Cp2LL@HX zV3q~T^2wdG`@ztaXgC|i{GjrYyQzZbE=>ZrY<^_r>XI$ zeWgE;q!h!3LsKRgF9qa7rCrKGmYpOC{uvbKzx;V+6L1k)4x!`;&rjHiL zr^WsPo)`toVy2os5 zk}`9p0UV{V{fxYtWCOKZTF+PotrE?o784X*8ocRB4U@(q9q-5#zc{Q2nZtea{U$uL z^JKbKc=D3GWG9nn74_iZ?D-5oC3noSUu#mZZgOelP$BA#m}Dw$a&QD?=5*pRd{px2 zgRhc)i6!;Nq-vJk^f`lU%kDl-^F^`@M8geB=Bo!@aW$n2oq|QXe63#;2#7>*iDnuW z-GQO!E;y>PU}Km&cS)$PSmxpVe$)+#3m9~GL^DCr=BvuiALql+Iy)$jWthiSm#K86 zU1g87@$N&+JPw;{J6%M0snZn*fTZ&wFmBsP2w_x9KR*wAK=lCXUPnc7qFop%*>?`- zubJRr7K&iPJi+v7q(s((J+F@cY{rP`EPE8~qDo)GU`pLV*e%y9U*;}UX}hhVN#G_| zuBSAn7RnDwVU(iX1@7LV_-Tn&|8v+sx7kx~f?z2+%HS*1TnqoodXOCJUzPg*dM z0a~;8FOMFID66cU8>3;$Dy^L>|C=CXxk`gb5QJ1MyA+*n?w}>R^gi9(LRMBQJk{L7 zN>?~uNPP3wl3(t)<5^lqN;7aGN2w*^(Cs)cPQhQ0nbyalMWcIZ$GH1GN|n9mt2_vc z-e=yx!p}c%Zh_`r0XB-Uz^t!yTp>HmSuCV!x#IRIB7Ea-zxw*NB!W8QRW?649wtyxER`>)T) zbknPu8|S!=Q8?9uo!~gp&sR`#3Sw7W{jLlXw$ogZhlDT$DBJ+gPryBEa1xdEK-=km^a!E9dIZj2J%aMD#_)gFB-S7- zT-6>}4Ul(+ob?`9vw^$+%?DnG7ns8#=hX*fMf$YnOM+i|EG3{-<}yGUr+o?H9}-(d zGqbBHDk+b>7-ThDfOhGe5K}59fXa@p0Hn@tCKXG?<0}A-sv;Wbk|Q67Aa*Q#`pbki1a~vSQgwxoZ4oCnuegMzT^*`#WOko6Vy`yzodAmB^uPzM`=|LXg`Cj#$0Z%B&DN0W5EIJPsmC-Mz z3`u!(PIq!nXTFZvXoc{DWB6nTw-y|uA!2s`M&>JV93KHGx-SaZzwRq>Xsm=r2p+sG zD}@-cC1tr-R2Dudc%8ic5*WOf;mnd*SlW_1W7nGx5`{Ll*YgC)RUs3?aaCTY>9N?gWvkQcpSApn*r>``xkYf{d;&ic!UP*xsz(QjCYgp19nE0835Y^?sJlZ}f>psl zeRU)~Hof^KY>F!ohYOdxjUE@2-$uL}4%Nu6oKZ-9M!uN*urElf0_{Z{7?hvriQacc z4j!{lLkNKUx|eR zM;wov0f91FE8BreDoQRjMkWkNInPocz!7>j*?*=0ob1*b1J?Qsx2+l#6gXo)h}JKR z1i=gZR@w$cA0$<(WdmsYdbECgL&Cf}LBd^2*7@qW)aLVD@@ z^?>_46M7egypJTWZr?B(#rlg|Z$P)<=9VY$nJEwT$~y3x(D(P{Wx!**UoLQHLEq&1 z9G>u-*Rv01JIl+JAD`jOOnT`Y!QC3jt5-#TDQ?jKjlB|_>>MABUJ6otE|WMKtYC(d zblnBHe^an0;5Fc76y*2#Vj)O+v->cRzrT(YAjA$6)6;7pLUHp*QU(~xPS9ZXMT?X1 zzuw(Exdv>|;9?d+hYYrvT;{*?87CdY#+Agi4uMm&Kr1Li##nNIOZ|pc8BL~vB~eZv zo&YQHErjgX0NRxaoHwaQ~-*z4*3+T{tu_2Xz=`tI|>@yqn?Bdm`xn~MqrBGhoF=n3@0+>;BxTCr+A z3pUh23^e$YsaRmI4%(k2N^bh{3}A(PdH6UFY%Cb=XT#dO#!`}gGMkx`vbCZI19APt zhNCC?Ea#(Pjr%q}FD*LJ<87n6`IX|<^|!^jy#B@gav6D)FG~segdUnt;`f2_vDm&G z;RKT;=3I`4eXWh@nIEfpTU(abpYmzLQM~eCBh+EiNpLVI8!4_M{rvBV?vKk1mha17 z$W4yTBa0%I+C;RU1^>-n4&-6zi`Q5y{Qvh4XqOCWFfKF4$?@xkv zmzxX0nQq$(z#Kwe<Gn-R4YbB_+=gBRF7a{|}0GHuw6uZw5 zV-gIphj5cn=t<|3SBOgYbdXvtDvQB^i;D~oSwyzukFt+6(FFk)C+exfa1{$T9}y@F zbK@_X2f@EIzrWlS7(g;h0>Pibn({G-?mc)o94-Zz7Z`L#x108Rn_nu^Z<}=_f*YIv zScwuw^6auSLCQLYdqyJqy_#gi3I*g+uQZ*XdyLW4VEJWkJ#b9{T-yU z^zz|tyo@9-y?7idhCZaRuV=RMosLEMN`{FyuuK|(mG?KtyM@WD(jcC z2LH<53Da+D2i~8wI7q|_ZI~cHiCU&INZ)$>)MUchxZklP>_ef~W}NV>l<9IFV$lr^ zzx941OpBzoEj8i2w}%fSZlQMk2kFm%GpR8V8ypZG;fm~n&A3!fGQ~$z>COx2CK3_e zR{y#O7YO-LH#8!(E8y|!W4K2KIzLiy#5IKY54x(SA7&CN@_HrTa@Pt9)E(iy-XJwW zejR@P&&cGXSKjoZ+gVDB&D&)MA^H!PDPUKC@!A6(OM6d`vC`J2h|yLZOCN=Rip%yC zBIasBGz5!Se*ZEK?Jq(m0oRu*+z?xrfqW&>lZoT!!@=WBqr>i=06zpRT^c3J3|Ftb zAu|+IzSzgxCqcw18XdLQm)*6|=fljeV~8JuE+jb8t)rIi*GJ>y9}DIo;Sr8y7ZBop zLeWdCU{&&n$IZ+@`Ha0i1o%H<^~R(ZQB=S-9~zeU@I`CC@Lu@9K*wUJX1#NlBqf1=I0@5q}@? z)~6C$6*4H~_dy@6z5IoYjK?oJCw3%IB!*{A%5m|5BVyB>E0>xJB8PEN-AQQy`^Z{M<{HCaY`vmpI!IQaUP`I8~r z;@u2#(e-gDM!(&oNgSI~dnJ)x3~nurf=N8SL-yNp;%s})4+;w>9Fi9aT1AT{>IO|H z%|=6rkK`^#IYezmq@b|wO*FqgxyrECf7E1SEvmzHW~5JBg#I=hd?~rg?l7>I3x-_~ z)KAWdrV|SD^J#Y8|7%w8hfPeR>r#^p9%u;K&N>9lJBS$lnc;Mp4bke^J4j6c zlhsl*@MC2}2yAFs~=YcK&?4y*)kjB0pyi?nkl<6Afw(qH$ig*;nr0HXhTO2MMD?Kv{_e zZZpE6WkZ7#b&v|wNgzP<2H=4C`O<+s+^K=(QzC+;n1craFNapEf?q#(Q`7y}#MV2D$pGasXbRl^s))-!^pgU;a#vkr;zLZ@#vKD!%)9~>_iU;3T2M|S3TFd zdtjiD<67Wr0>6`OUzSQlC5U~J9Gaq^yH?RdmGFcL`8Vm0hAcy~6Rc0FPrz&Z`$!2Q zeJ!q_1Z*%wsAD6U_$xDT(_zFzllcL6R@o2y8Q)on6oNBQs1+4p5e+X{gtP9nTn(Hb?kQ9G|U~J-|f=? zhu6oN%m}LvuUfUk7|Zs^&qUvmD!)7iWVkV6T?;-|b~A2g4PY1>=cQo?y)(Arx#Y{x z!O&Y$@TcfNzvlE$4}$b7dLYlvSD9?zKK6#iz9N9LSAtwH-5tT?hT4UX85j?3ujSyK zhyDazoS*F*ZIe$&7`Lc$qE0xwm@L`-$!nCN*q@5Lyn&?oW zt&AaC30JdcllSJV``Is9N~muUhh*e`hAjzNtbV&p(qvN_c0sd99$s3ms7n-A(TxW2G7w8NEM7ter6$(q ze_)M?^eZ(Gx1`-uB;1|cywy51NaAO50I}9g~8$ zdXaPBjtI7UY2NCK##f_;k*aD}=%_+gr(b679tm_&j@S)U4QgDNLknXqX08Z+u7Zrq zxwz4XbFQ~h1-LC5wIZrh+vP6&@1)wAG4*W_N^R&`fj5_FYwAeDTHgeCldtQj_d67) zv%ai&vSaE?p&ZxJPJc_aD@Gc=+C2O+_OEk=PAz!w{P9$;Zbq9{L+Gb&RalO zBpffghVvLKc~on)0|!J+CUNO)&k?O$$=*h!Y+JRmuM?P( z6Mj-k4|(y@ZzM4C<>?;ROb<5|_>RhA6*|GB6mDvf?i8s))n(c6%Qje(VjaXE!xrm! zH=0xf|DHPAYKEuLI+)1fB)MXbSNoH)7#7nod$toR8|Lxt1rv3+X;|^f$JDVOZ#{13 zGskTE0HmSE@DXsABpdD7}Ke~DmWSL|zV#HD3 z?%tEy>`beY!yKl&arKWHGxKR(pE9K_C7F0-tUL>-Z=y1W2l+4ztbv{wE)_c1$Cl#5 z#H<>yw3-HzhQFQXOBR?nRI9q>CJkdfo4}9sG4i;q{JzJa`dXhN@oGe;HNtqEGt9&z zKo{+l*JK46LCP8XVbU8tq!tT~m#sfmV9PYTQirj>V%dnfZ<(%NfHd4Y$+t;(xTeN9 z*e4PuNEkv>bR^M60<82R-U|hlp#u zi5vs!_>v3flrKT)Nt|M_)#9v%s@P7HVRgBU3LAc2=#t>swfLCwl+(8c?wkfL84*Z zs5a}J1M4Py7t$9Kd5@2z1&X&+zD8m9ZeN!9PkjG>D?)VK{6QsoG# zBQf*63cJ59SyP~}?QA@BR&OXqqJ5yh?#fyc$tcyc4ZRX;A%SB*yI7qb)Ul0*O$~4y0txzI7Q(OZxvX< zjr!8LF)$+YH5ABrPkv|bS?}Ok&xUE_e&y5?;s8f)gc;>;zj2VH!J1T~lLeFk4qB*b zV*vZ+>LV-x3{VFIsr9e_h>}yPrCbiHtr6Ly!8tLnotYpeh|;zRtX$Xuc=-JJ9g`nn z*Ic?nJ~y1Aw8Ei(87CE2CZMcd19CsYX8G@96hfms07U>XmnOKcZe1Zv5oE@|wdQGW z>1QU8s~YPA+z*}1bH&jaBPLJ3GJ>9wve_>Zf;{oO@`6zD9u6L9GQb!!MZwRZ5r80w z>fJ#~AuLNaK+%;gOTR(T|6?83J&yFxD(-^`CnfMvUiJrXZLk6K0~Fg~fDjlYbk_G^ z7hnji-usSSAr60CjcH&D7G!nJ%Cs_c8be~gI#BywCV;In2#~7xKdf11X!WolRb#8d zrqID)PXM8b#sSxoA#_6pK^Pn7C%FAd#Xh!d4D1B~_0h_l0b&UODkX%0UXr`v#kR36`n~%pY@+E_3sL) z2?S7ZAvmi4Fc#><0u8ohgz#S!twu0WS!SkKux-!_Ff76o{vblJY@L}@nXhaB7w_CB zMuX3-o|(Aa09_<-08mCsq`(ho4|EE!$@rxqvS-vkjLZia83Z+C`PR&M<7rG(6OVjl7Pn2 zJOIR+v419mZuE?q@gAX5+>HZWniX&%_uu~xfJ!;wuVCZv&;>>>0h>=($mxi{$_UWf z|6*$O^@TWaIai5QxoA=>U8cUP|JguJcVGQOyGGw6NgH|{m^c)oCwkulS6a%!U5X_k zO+%VszQR}`OTNTgkanZ}VY4d)S=$5v6hTL_FV`2g1pwV}a1V{Z5MjXXq~`2{ODsVHgcP8QJ%o*@hB5c$PX+O#o*#RT=ksxMQUYl#!+z;3vxy5bevaA7Un!O zOBVK~&<|&aOnx6VP^@aoYLn9LwA(tkstC@nB&Iz}ziC!Kl@C0)1QQH|gE?Q{FsuK^ zS-@yy;i))SGW21}kR^)N`XX^B?-Pf7zN-hC>EM;8KUk@ObSM`kpbzW`mOL;)?S|_1 z?&l1!DAS}fu`;0I;sEBa5DWq78gQjtP`ZlB_Aw5o?HN#oX>}cthkC}gvO?Bzk86(t zw8#gQ>J>lmF@6B%dUy)Ccm-$#z;W6Fkt9!&D$W#Yu7+?`c z#356GSOJ9|@dKq-z$I`13M&LdH4w!Mv|>U3!|TTG=eR|o*XP$5vqSN8q8i{?D>%gTJ`v4@FzfH$|G?|&9@w5XNu_dQImzE zb+dYlm2SqmNgQX#Oo-7NCWXik7w^uRzXP<>Ma`9>TD!*&q_LPK zwLj1S0+4kDQcyqyWU=nyum(s>H>xIrLmtRcGQB;b?f|64&=B^K%okvcUSt(^1z?UL zNoT?*en86rL>$5-Yftls-(;Z04x!9{H2qsI>?0Cm-1SrfH z+*<+Y{bwvh6$0XLj2&3oGOMTS95qoNtOTkp4W-*54pD?xp8@y*t|iAmFsFlA5__qPoO_W1=7;L26pBS17Y z3Rfa@Fa-6HQb?_v>0CL~SY31xhKS+V_M2|j10INudKU%IB>ER)$8{SbOmaGqt3&gn7CE;AS->)n&c|=3MlkvV1(?$ zxAP&sRfMEb!eWZLgGX9v@iIX`F1RtD8Yx%shWzE~_1t^1mC>tx^F=`dpOjpg^wM+} zf3N(Ux_)BrL7J^On9gq>VdiX^ETs##gUn9)^JG7>bjnujqhzNV2R^3FZ_96-S`e$5 zW*J;E)?U%L=E%3YpFM)aK8)C^WHjI>xD<@wgSRZ=CS+BWdj1!5AF&4(~rm*@-3yW`igN6DWD?3>(rsE6+GBwrj>51N9N2BkgpTUMEpBwFmJHnf+rrM@-7t9}Z04X#Cs_{W6E5t=pk9tR!2668!FWm0 zAGll~0`vF3#@xCNTU+y80VTAnGz<*d}+ z4>j|8M`#?nyK9twb7-Y@zwIGY)*Ev{Qn-+U9I|_23qtXNlH@6~;Pr(XMp^jRMe@vK zw`@jc-mK*w&0r?-^jfa&Ym|%QqC0!Y{qsT+X@JVypdrDKtcyio;+UkiRF{z9Pq;KE z4TU#MjhsU6n6=vsw(8N?4?_IU1rF3nhs{Fiuv(U-Y4J)mi?VQBK^7|FL`ZD)q1ztb z{+#l3qsR6_FVN$c{6_KVL02sV#sWe{U^1UhW1RL2Z%#Y6jB0HlkDpy%eH^I|O)=Jn zH*1}|QDe!rgk5PR#wBH75w7j}bT;|MG>o>SJTj-GV@(B3wRR&bh&#XW@Z2Wj`+wI* zoNOZe8>lPZ6N|kB49J|kV|t_R_H{c*TmI&I55?U3-bmH}ewT*fy=mQvktWPmbvusM zKo;$R1J?8RSrO-t=Q{&d)>;ut1f5QL^4Z+M3-A5^=+Yy2>iU<|&G=#%31Xlib5{LMzA^!}V9Mb6X7S)&f^ z*FEVmrjkmtho9k4$-(3^@7=k{@7kD}u*%90Rhs*4b>`Mg9^!Apenz0J-!Gm1c>W`) zK3A#rUT75hjL558hm}$m4CP{6KXFSs-KGvIp}VlZPrZlnXi5~@us2unoWT3;{}CqZ z*3W*R_2b(A!QNc}#nHBVgU8(=xVtmB6C8qja1HJj+;wmQ1c$-h0>RxOxVsZ91b0Gq z$n%`{eb0N&*{c0&zpdS>t-9yBd%6dDsDfeU*Z-@VW!6sfqKBx#amKh&3gH zJePv8-3HjdP!G67e7;hYTMWDekCM(sSB|bAw&}*NB`nwK6dj;4wcFd|Igz# zTHqAVeTD6~!D!C9t8~_1wWKe9FkGq%{hNml^HHt#s)?#uU;aYG{%1XhUjw9b;75|V z3-GIw4>ZQ=MnCtH0j=1;UL2QT0%$`Z$TIrc|l1ZBN_UnZi(c6ZL z;@Cxdp$6y8!MxZu9>a&a^XG$xpc!~Jk|EUQV4?5lO@5zKu{^WoP>wZBRZ6gSvD`0t zLK8=!DVdcfF#--;>GsTa#?5>eckeo1B&FjXK-3*Y(6Zk0@Cn>BL~=6~eSzm{ZwDgW z0~Kl*`Kc|l0KLfxZ(CqP%jg1JkP+15vwrk~D>3WSo}nRiPzGtRF}PQR0@cfQ1IaDf&@oZIp?wx z-ibi}f#c0Brtq+oCY@ymc#j!fRxqJutO3&q2of}{>Wg=e7Xl+xw7 zrdxcIDw*+OQqq2ein0vFQU?dZ1N0_H6U}`KRonxx8 zz!sgW5TS&Vq&ucC1wY)79K1LUFR63cLq?i#IVx5QlW=+b916+cn3b)9o1Fz9w@;g- zx(IAA5uqLi?EPE8voKE}X_Aq<@4 zMJsj?_}TtcF&1^h0$*zg*WfKhg7Wu^z{Aj|D7PT2Ns@u|xn1(m2PZex0?Sm6-e-1q zK?Dl>Vq`%SS+nCWXwWlhsM*u=PC_6gEbztT^G^0WFL5apGTpe>z-wg|+ezk2SQ`K! ze%Z_;Bn)VdVfSnxBmr0JqXc(M^xI^qrU3xCgjVVpeuBKUM^B)JWE=Qd>8wy-ObxKW z`8`8ubhrOHS0!%V{YY0$Xy@VatdK{Knlzb81w+s!LbJixeE855_)DjgxTMmycFNc< z1QoT>p%!&!HU8Jfrnk0JOVSGw_qrV>>S;rzZ6l47txpGT%|G5MAGWGP$mN{Q7L+vv z732?nM>eb;@*P7RRZsht@3MOG(IAao0%_J#)`S(6A*P0+0Y<_EAB7<%pCXKbw-8Vl zj$^TmQ4a039r{|Q|J0t)1ewjRmyxhkY@C2g4(NYO^@<_Fo=G^ise{zjkKv2~5-DAI zqnj%GHD#BJ4baur@cOf8&4xp~8a8jT{fVV3NXMgj4H(G%`g!WNxae?Z^*S3?LIb}T zRIgs$+&gOiNsQ_|h=uy9ml}Q;H)m;&3 zKG}M+@TeYDo~GBuA-f3Ys31l<>e@txO6rlXFwykfKtaHGdf@rlS>UbF;PN!@NMxt- zD$aZ(TR2F}b%Dw!2e<$V$Xk zu~;>$_}&7!Lnqr;t$zNgk&7%SOgv`Vbp(A;*3gSDodhNbvl37O8i461&Af9g3h zU#zRn9Y>Ow+K!^XC*|*;bnGgi(K3GVjsPK}b^_yp1a!*!Uq3R`WLA5PXIUwuzJutErS4bAeK}&yf-; ziK(+sK0;87pWn+0Ezpq$e`7(scldh1fi{UwXu(?}vwM|I@Ne`XlV$t0DLi1MvR{qb-vl z4`gB`_DfshtQ}QPAL5Jce9v4Z=PSgV_*}soMf)z&i=V_Y8Iups2d$24I!?}(tI)`+ z7&~?5G%2p%;<}$ICqx~l2N}l(V z+EZu}PNY)Lj788cpKzKDKEah#mkakp=8=!44M!1+_ig)p#^9~nx$_}n%B|PHhQ4cQ z>G$%tWNP_!=N>?@=Q5 ztko^mm7+=>zBT2FhNj zsZ?C4ncxB?G9avex#7VDMt`oB`pRn5YfQO$xV1VJ927?kxr79SV78$I5vg2SV@!y9 z)E9>gLgqCk)+_=Ta>of~Tr!-_;!y0^EZ`dPICsraVun3D4!w80hb2Q?fjvEvopV&7 zbJ}N;TtzX>MWdeleA}%cGv&_f0&@s7Q4{tuwEZVhaVs_yP*{!J)P_CfmQ5lkClg^x zl2X(s5#+Zoc{o4y;!X+rCH-YHAj|*05yNG@D`vK_>4i$Ly>qZ8n-IejgA=B62*vrG z4E+#ih}~Jh&h#oElZ&Hs3-@jAyU>F?M(IgQtUN_v&Sat5?3X+S5lp}`wYXrb5Ikk* z!S-F=dx<_ygV+Tb1!9T@zXX1{(8l;QDuo0SrO?l){LqP@2tfw)d3x{}Q4zY(*@##m zy%{qvr+B0$ZPiIvR(5VN#8>I2o;z93*{x4SnA+kn1pqA-+<;nF{ z-_N+1t@eNi0i(Esz60gA!nni=Ik2ahTwDy=JogSFo; zzXw;Zba8AhdTn6L_2TvwYKj`!Z zQi8k@tC+pVBL#QYumzb~^o|C;5r5IrxUwU*aS?T7_>?s-cODF6v>3A;lJIy>v`IvQ z{gk$^QA_g>fn_^f*dU*}Rm_Z;ywMfki%CR00)A&hlAr}NQ!@dNE0md_gmJMYpJ!F^ zy^+lj_?1H({K_$0=?DNUk1a}$j$km*Nfc(GB)V|)!)ENZJ4YfXle4M>cbo~G4ecIz zJ#h@wiXq_7Am%NkWsrS6?^i)SaF{hN4WkS9UhVI0G`27%VylmUgPx4y+(l0xYAqNX z$KZAdFLmaW>|l|YTjhXRNpCzv0~K*Vv!oDMm5srjohtwE`;A=<$GE|A-u=LbQ~gw* zI}W}a+~!MY%{wJ!B7t}2}*)57BWmv!;cu;;JZu`kZVvkvvNkw^2p@Jl_2Bc54+PKOZ2U*LTfuJ&& znHI?~Qv(kQqJ%)j>FI{>q$P!Xy|J*~3z0+Bnq)B|jOkEzr%^+ps8AYDfhPk>+qVKT zSevSM&`+n{1b7*5U1~>Y;aNM|4UZx$NG^`r-EGT(z%krYEDddu?I^{23ZJ#RY z%lRx4L(f_IwfTd)bQ>A?>@owC2zeG#`SXtkMa(+sB)W&NxXO*Q@|S8MdA@tMlyk!G zcWadqFY%;6>y^3~3u>a-RgDJOYw>#;sq7o8sqm-un09od;6eftFd?A92Uz}_sRw&5 z@+U})-{A=R5>+Ek?~%Gbn1tq{FF$p1Nzkt;R_JHFDwq%~K-SkMRPAsIM8EL^zsEyt z>|Xkd-X7`;4_T`ib4*8Zqq4YLxw3-2%)%uujV&LX$--YjQ0^g5b{&1TO)1k z&DxTO^ne1H;1ZLedjL_g0Myp3(Gcv^E%RpO%UWlMgWkmr)F!i2t=Jo{=jK3;sZ&m9 zrlYZLIf44To^D)q3u9>3dic%c#}PwcS`(iy`QL8EIY!#bK@>NbA~xIi{?O1MBWZ|7 zb8p2!$PdkL$or}w2pnLCotQkKbaDF_SD`0MQ;#g9t}6ng)tvc|kkGUS-<;v`5lg{F z6qIf`;k+Tf{nDZI{M&TqI~ZE=o#?q zDO;F&LGO9)eaw$h+I@4MZmQ?RyFil-GKLy%IwZYg{FP(;&vPwt52r#^_jkDlPsg@~ zCG0)TTQrX*ON_soUOBj|lzXvp7UM@ww|>!H=?uL#?BA=$etsB3P#y@8;k( zB{sEY$I8h=yWk@|hG$YSv7ok(tQ$90d!eKJhWGdin-&9=EZ{S(iC6Xil;@H*3QaN{ z#5`~%iJz=HW?vZ`rWTLSO16eU*Q%`xzA;*N^NUrW9tzK(4tYm;Q0%VGs|m~z*QNV} zEnh{=2V|(t60~*5*_oOQuI?Nrrz6OSz8B0C7-4VZd1rZC2*0=h-0Rn6VjnpAwZ1>6 zn>n~)sI>DHYn8*L(@_(dzi$D-PJP6rhZfkC$d*$xH) zHZ;Xm!NemrG}72&Xx?A33J@9clhSa}yczAYW#byZV(D4&0~Y1%^7io!!N>7F*Gp9O z<33Kilky8q%h_3ePC|)QWUqo2Xd;Glpea7?AilAt`H1liGhfTprnvzrNWdYv|`OtnN)j1UWoljz07_q zwM>D;wstBwAm)m&kef;1`!dY=89d-fj{4IK>KEnGpf2 zLK+(r(?kTVT*1rXC)8?Ibah{+mP@tTj&vqGQCuckQ-lb@ywJ_TfsLyEYZhL=R{o{* zvYv5{2?x}P3g>V;r?}-UI(5gUy*YIzL}~1eW}r(}|7|lLPlcqF(+bC^YO9v1o;=;M z+Du#BLL0Ey16%QoHe=%^WkZoG#A8rD^R^n%$G*;+SWmAat}HQ4&+EO(`Ab0-wqXL_OG?^DJoLp4`3ZI2_l;jwcsmC_IB;3yo==?JIhFb` z?Bs8D_B^=p5ZjTNQP{7vqdR=9MIhu*nKl8U7^v)D(+^400UlMc!|7%Zvq*9`XQbet z7IN}l(W*kP>Hs^^Ie~;9C*>5b+$QQ%Rt16c5z>Wa*!;>`@uf{zdgKR5$M1e= zfo=C0Rk*w3^I-xHR_@?unYQ%Aw3iA<%BueIF@kpdqAyC+6m*sSxYI0p+&CpEOO&l0 zkS!faOP7Z{z{I6XK6rhq5?vrm{3^;6e%06DcIdo|`^x@X*!38WPrZ#teT6e0WyDN= zaKeAdZPv9-SXo`H}vwi*BZ4F2kF@VSF00R8*f#xu#-W>^6Its<|_$Fci+P~6jhj@RYD!#trFr08BU%xDt=|^xwgn0Pd*D1%M6QGvuOGOq5D6#UiV?U%}a zEs1pns=9e6|45wacXr(Emicv5RsC9hUbKW=Gjev^SvC3WTz^)u^?0#~nPJWY*YX*g zr8J#LrJP118}>(n5r5xcPgEK?N)#k75S!}U{V0W;|md#(%0kSl#W=xCLXqh6PHd=5kRi-S7w^eb* zb{M|nBK?wb&xKyh^d!6hBC4a!JS}#3(%Pld1Cr?dYzYhxZM1Ih-&{wdJ}|UCv|*~F zaYIXmGj9AuSMbGD(gI$xeO>Y5ogzWeyZ3l8IGd6994czQqL#83)7caQK{DZBcpN{| zTzagOrA76SLr@Qf6QTigqC^f>?_7bHGNQ@xv{Q5_;HEz?8jvKdPYvE?#`?NV74LOh zGk6<~RiIR9D831%#f)rJ!qoWzNxZazMEc1s78o44l3N_C*n8#1Lzb8S90r~ zlL#p~J_aA&6oKyWoSojYS&Onx$q;py1WY@94y|QWtuJFTkk&o{&lUF4+KTgb;wdh> zr9KETwdF1nC1QSv6z|n7bHQwBpal@0D~QG}B~8}>db=(6ft9f}%QTc;tO@*+%S~;q zg`NxTiL|zV2rbi~a+658#8@YcCkQd^s)rjmkmNojz{jT-p(_`=;8#VBngrUY_$p~Y zn>x8c!qg9IAwo*heOVWW00U#ptMa*Hf^o2wu{ZFLx*7=9JFHh=M5cUYNd8xbWDUnq z$&7?-Nk;QRiS(X#?+z^GohYJ@wsQn4BU9#g7>t|p)JX6HA0xp^S&9cA9Ci6}x(MKyO;V1CSl_5gUgbR#-!7v|u(KAUpfgbQ;ZwcOdQg`5* zB>4L6iaX&jqxnyNjM3qbG2#V5seEkwZ9Pobne<3DIEh-}%cEW6Q}#TZ$Ee+26f0C{ZLHvzCW^0-OA?|W<@!Tw7cf zGB;(&EGSlJGZw8-{edVh29~CxaHyMH1rl1kga2pBxC`A`0OwIpgm-a&i9hzyyH*wO zu!knoGw!_7BQ@Ct`(yF|_k@s{OMBB;BBYAQF60_l8BH1UqxAy(^|I9q1fC3HTtt_= zq^;BXgNDmzRDWD0Wtm)+X|pR>Za9~rE1d6NO0%+zRR5h6>1Sjp!&JEn0sG-Nv!aII4J)KGG*OZyA#mXC%QEmED`?2y! zFklhoLO+Tk!hSF+$wWJ{>^YphyTV)@3!cqVQE+^ivB3sk{IN$Yu7;2l#**oKGa=63 zo)|W(8q%v+Cbqpaw>9!!TKU6>Y6lAo*Bm#4hI+AI655NgWS&d0vAgz4&2SXe3>-x)y_Tr>P7{#H}K47O&MhQJhnGMe<;_8eGZ-weZv3||!!PPcwY^L(t z?csDURhrO1YYt46^P}`q+_le+!DYTbz8JGA!;H}%FeFEHEV~QT9te4V4QTJ6-)WZc zF`9VO`1#1`UYin4OsY7f+0W}hqSvhZgTD^wmH=v%S7Pd|^4k}M2ufX&!YND_)vY)j zH!C#&6)w(LF)S>Ly2>0!y2w}Z^W=R=pO5z@7TxL-D4t8{RlYvT3g|@M+FO~horYU| zP-l3a+FR>T5$Z9|gz%O^=%XvUk?5(ODA+;?^8VIb=oer&6p^uFW zEx1amy%fGRS(h@q6yN={Hh#r^E`q$0Xe)yC0G;R<>8rSBjDuGMwc7wPj=^j2GdI>l zYPD9=x_gtzxqd4dr(n-RXFpXCHWe7u7j9 zVqPT?uzXv65510z;Ks=qh>G8lIta*b5ha_^Pd$~Y@7eiQ*7`RW)=VzvQ4j=WP(eQ7 zU_wN2K~6m$v7$iWu^2?#kc53y^2n1ahJ_~KhpK&p1*ttJDas4l|5q=Rb#ikPb{77S-_z2+WgOgFKqqcf=|P#HCcUO+-cFq5WH41nN3m#4 z5D2ZJtx(=4`eX^}00iPH4LOEX&_Gpxj)R3amxr;U!~}J?P4IRQu0$(^8lg69%HBT3 z&!TVQidR3SItLU6WP+G)^DP$VQUa*EEKr0%^^c~fw} z37jgHoU~LcvtFLU$wf%B1OJU0WNqHMHlI?Bp93L`;uXOFS~p!vCie-cgV z>sNH)8Cpm#(&;sN_!bpOi<0V>`@OG3(Og7(YwUNQJNlQEfX?B1T(s@xw5_RNV|D?F zLk$ItRx0e!8|B2bgwA)*1!*N_#$hYQT<~4Dt6j=QbX{kdo8Mc&VD4D5eu3xtgFnp6 zC~xAnPS|&FqS1QSov6=*!;DYC;%^tcK$?Fze=uvvdmnlzsDypY7cAl8zc}iVJAL&m zhq_DD_$EM%A-W5c0DGqrY34*P4u5PET?(;L9V7fB5~|IDc@YU4-_kH@885MT}`5pNW82A^sQ9!JK3o}OzkUED00 zRPQlk=mK5PSF-!OD0_{aNV{jeHXR#(l>mxUxUa`n^RYx6bTJlKl0a|{;5Z>dQQ*1a z2CLsc3D7y{!`+jVGRH0k9=(`82*zjAYa@PW_UaaP045s)P>3yF#m@cKW*eA?JF#Be zqk^oAa?=P5vhNw|<}tKDM}^CIV>w91F+`t7oc}ihHkn6y>xGL7&f;eGrxc?!Xjp(9 zkf^=sZQb23W|Nm)5Lp!v0jJBJbzF~7$3mxq(n z>l^M&q(MoC&QG zauHK$$N&L$JhX5EW)>h$i`OZ{F|A*=e@b$4=fdkR|Koyx(@-@19{$sypN!{nw#+^H zMN#tZf=a*O6oNIAvK<#Dpdt>}WtngE{YK{60N=GFul^VaJ(e9ZA`1K z0l@8zpcib!&dAHcXNVlZ^7iMbivKvkixbr@?Fuoexf`}X-4{Nr)>M8;bv&-iaokjn+GH^e1kK_h&V=<$_aZ$fkV6k%{UsxARjZ%CnRFaZ#Rqu}Gs z-4G-40(wb<-VQ$m3rvgSNq)SkY3)LlBY`|Ws_5PUt!nvpbn_w;+o?#AzGVfWxIMjc zA7|~S2|Fu5?LacYQs6hRX%zW?!OMNOlv8>5r6WP%vYOFK)-N<(m#=fP8{#k(GtfWX z5Zm}~>k|#vgXCC4$_e~DP&<0t&3SCzs)PB9aVuYgI4(FiB*l5)2^LL8wP%~2@sIp< zFgq^XS56w$3}iYhqG_t12X5GTzJnGD3w5Le$Jd!R@{QyG%rx3;G)v4ho}%6vSTW&f zVD3|ygpDw$-4ccOs;F<#6rera{Yms~Q~AL!7=2x9B3{rh_-=OS>16Zl2Swe+PD!Wf zhxa@TY^%_u+wtx2C|57CURC_6OKL+?T0^yk6YgV<2i5~!zkjT}H@Xr4U)~LtZ91aY zVk4LCGq0ZtqtQq~aEfwKk8u@tpudQwFC&SH!Y(RI(GOIZu-O;V&{;)4HrX?BPxsPl zHIXKFuZ4(n5S23etr+`vJgBYxz+5Uab7gnwCb=_GPsPt{EP+1reQ(y>y%T%=%>1M+ zu@yGuw(k&-kk-DsUR29a(P>Ov^bQ$6@-SK0Z=-2q+0cA6%4dJ1%);S}VUDsg0%kPGHbHvT4mEA9iam4L5H>aq%18sT3>ZElRtaHPvTOrL>?&uJF z+6*uZf%7e6+c~p4-rJ#lhpLe63sJl=V48g2EWLB~GhgWT<(5@e{VbU4hV`)l?sGY8 zW0^jJEnZH!hjVt}cO_F(=o^t4^)pK08`hcGEvS9Ul#Hm5@N>VJu)q@o1U?2=KiAXY zuiZGxyV>(lvj~Kzl^TlY)49RXFF5+wC0qG#Vex)3G#@JWNChCVKB_xS=N3jPndj%e^nO{ zaFuRI#T*-Glo^_-YEsR>R~{Q=Rcs9O9vfgNI7aE?C^(Yq>nk{R>MN9OgtPFs0CtK;L;|@`bQ7YJgnQeJIQrlGQ4E^V1cqOyj+PVdsZQwQbkSloEZ=N-I1bCQNcH}aQWsWOcD(1#$8 z@HY>iIXt?#)^e(cWSVEK6K1{NMOoe=uJ2JU-f(C^$PW=PNTF?a#@^=xo;r(^9tOgd zuoNIO3N(ZALy#F){s-Wb!|uFuVV2!ci56 z=bAQqwpd7%4zQ&qoV^}vR?iHlK_F2t(#DgUsZLB`-BeRzWd47~JsFe{9U7OMN>Wuv znnuwHy7{EXs(!=e2O{r49~S3}4DPM`l%-26UQVpp0B+I36zvrL5WE771)zSiC2G1g zO=F}3ceRNin1-iM>D?TFm8$Yp{hx>Uw+Q*?Ur*952zX640&kd4S>J$ z*d`mTch?go(VpLQhty>FQ)&KGiPt)>Sn~qss5i&9$X$9m?%Wke%k0+w6bCHvPiO`c2+*l--a28$aWV z(%v&X>bW(|V54>OTc)~s72a7{FyxU@O1WX_p`N8%{g4H(b;98}+A$zW#v##n17du|7J*wZwdD>!wE{bQ(Xf*2Ew_F3eT`(}-=##Er)C>=B^y z*wQpkIh-h%)x5`wjj*E;84o!c^^fO1l&&1HXe(RooWCE@G9CKt!wRCvvnOP`@MwY_ zX?aiBx*}(XnB7+dnO3zoQd)_hxC}{9v*sA3Y%@x)*xG7bcrV?b5cFvWT0kNy{wE3p znh-~5>Z7%PP49xG6o+-Qx_BBE*A@eXf-vD_GDa~esyEpD;=}d8u15!_ADYg!L;1SR zH4(fmwpA9qZP0)k94v%p7N_Y)cqKr!(9rO=XP#W9groXRsbKp8<&C*ZL}O~%kd7&Z z?1~RukRN2jwNizaCBsRdSs7o)!Al>gwp;Hxu7CUx$^gEAtHe#eN;e=W{Fw%vB{X}& z>Mbvy_QTxXo^&^g#4LtE{e_%@VfM;aB{KdLHAju zf6VXI`yko*TOopVK2(H47T-P`YL>X5`|#lTl|OcG401yC;u&c!fVr-ufiw)xA4LJ z5kBjG6F$80|C%G*2j>X?622>@ul%JK*Tx0Lmm?%`!I`@aA<;Pj$V=ce>Mn3=gWn^r zjoDe%x`)^&Z0w9|GxV~KKL&~rjgxKd(Dz)NdjPFas|9oACcgAc_8uJ_Vl#T%b%F*( ze|qNh>f@#)T`?hn&kJGlh{7;dL=kqu9r_tU>QS~35EYJ7yq3`0PpFn=E>Vi65}GIZ zua(qqag+)-rhTk?_#4VUCmz(>Ek(6T1Hz={V|qd@N{t8l<3BY@o3a5qpIi($g+`wj z_~XQFmf{yPzTcz2h-s#dQwDa_5sQ(oy}0Ny7vJ!OW?#sb-D^nDG_FF7eP|x!Bw(Y` z%)m`goJlD3FnS1N<}Yt53JY(g4YL^q=ihtCV(2v{l83A6lk@cyFmctdqZ!~f2VAt_ zgnF`XM7d*k%rf_cV8t)J2s8HK@GNtR{! zk>uoYxe@?FQcWzqb~}8eGkUbYbK>-p{axHAbS(MA{mECP9prpXn-5;SR0O2N8{m%Z0|N>6g~HV8c-xfVZ?j}-ZsVKqq=-GN&c3zuE^v^bk;(T{ zln%RLG_jre%6g)tjs53z;E{@CMF@_7I4jaxVlbK_l0|=T@NxqPWR4W2OFLVF3zPFD z%W4ws?HG#ZXgVaiV10_?8SuzN0v*}6zIzebS9|N%UjcHbs6?aPt(XHbo!4L>R=i0t zyvqn%?01UJveQLNpgqQa4F*2*H@Xl$VS47ad5OSnSc(a{jLT34kYA!E)&PlPcJeih zvv6@@E`l3tQ0(Nzcoj#FJ1Jd?S969F%f_W)m^Ce%t--B~`3y9Dov@ijQrHsVAeqsT z^Py+)h?4zG)sF~@B{3!8m(j6q<*m%_L$G~mP-RB(?2T7`cG9)MF%X^zn1Px$FhN0a zkf6#d;z?qK{~!4NzX*KB`&ufs8VvMlQ(;Q%uJq7xau!ULz=LlmhloFJmJ*8uTLy;Z^{)@eDrZ!y6e{3z1SVM8-Sja6Br91g8wk29StssxaJ8)HoD8 zYzRkn-R81gR)$INvC{H2kZZ?GUo@)PBYf>V9{lm1nqRkP zvx_5VKK)Hz)d#x=tJWURu%tdpCL8Zd>;3G|bL;BuS3K7Tozlke(UJ)MmcDjzcM;zg zeEY*U6pwMUp#pJR30dHj^}6M?P?uWYeYpLAk;>=}8~ukKVVoU&;;5;h$3;rUz$PX$ zbisUxxxY#CD__rsN6y{u#dW)% z7`qr949Zccimk$UysoEdX_#x1HDIn6TcX3>)L0L|SsR zZpndO;13h9s}}zJOs&C+Xk%If?>Bf!kiWt^PfB0ZbOi9QzL!9IxpqnC!eb1ooo?t+ zjQI6)^mfh2wAlmnTQZm}Ux?vt;6WTCTh~sx*pR3($kLcRjIw5$xZ8E!Gmm?umcr$8 z&YMy?m*V-j;rA-lvG%L>cta3N;O9n96&?tWiUVl{LJ)OF_wL_8#7m4R(5uBR#>n7kU&&}tl_r1fI3xTSayhXA5+gjP zb|qd~4{h(D_K<%%6eM#U`a@LqwPha|6 z2A1akOX)thu!uli7?i;9%U(2Sfav9?iG{+t9HOU*(zGKpc%OlapN=7FG7!FxjJ14+ zjc<(D&c~tPi8K<2;*sg0*F|1=4+R{rAtFSvV>gH6+2ZgyS1il@F<^<+NXOJ_$3~sh zUV%gizBE$-Zrm9!0G#N90T~r9!r=dHdkNcGfhbMEbRcu~$}hs_G2ZD8T9REz&Gc^~ z3P4-N=K2@@L4B$kPJB^FuhM72_AQfJ%Y4uJcLWXYrNFH4R0)vW>ACorH~YKI9@&P4PMg}f)5|ckO=|bT!NE`-r~2(D)5C} zH`k3~%Nq|$X;gnxU(kgGFfi+B`L&xXSXz)t6WjN{x4pk0kM6G!p9q-yf?7iU8wvab z#Sc8iXPwbMqa42>w61PsD0UY;_;?ZTjb~ftagpYYS6}9lnB$FyTJC{a;EktQzNoD+ zA9)Q~;f;4t?on6kjR!NENr?wLON4-jH=D_Zr(W(sv2ME63l5VMJ3~)NK@jUh^oq4f zrN4XnzyhE$^7+V8d*^&A+x5OjQFMW&hnyX2^EESPKW1nv-yZGQR|DyabaYGH1&#HxEmLHj_{Z4XmIZ-j z6WqZ(p9BxzkllGwS(6@X@F7U)eG#JLnk2uHsZSOdweUKeX$s;f8*U+cVpv%6y=ZXvdik;tzR;z9}T~P6MXv5S7O2y zD=I6%L0HK%17nQ~r_&BDfQ_HI9Y5CDUHE zK@6FM!MeuMEpZjCUt_!Z8;{fGgTJa)IsP*AQO*8lu&6O4)pVM@xg^+C_c!z@Dyo^% zIkSB5u0SE>)l#sW0-0)=I#ipCRrX&m;KNoa?cwGV8jj1^VW>B0&#SoHjJLm=>jvwL z<9CJp@O3Z*3T0o}?cah=#eyl}q6N&NtB{$~1F|Gsy}K#&XR3Su@g3{Bh4fCb%BYyqN z<5&&c8hQR@_2Lf*a2(sTy}C1g`8mGX^K5V*acQ@Xs{*fe<6$7GDfg$od#&>-uho6F zqN`^W_vV{Bs*O**o}m>n0#jYb6bp$!lUt-Wa|wYa__qx#d(T?Gn2=%|x3pRbzjrf& z{JW~edUkJ~9ac5kuoN5{mlYli@o`pWOTMI3=NC?C;-uAS4=BY$apG3YJK`jpv2Qf7 z%C1|fnku||^|sZ3SLHi+E9>M<$3FNLuA~y1|F@WguP(68p73H0oYI>c=X#aA2wBW* zaCXm!mQeUlcF!^&lle8f_rORfHTxRoyTbwxE?iY`@UlEuPXqQq73SbA4DT8I+cWqk zNkj(%>?}u&e~dZaQl!22UOg;mA$lEf2gES{HtzIA;nGDrehobE8nFg#ADsWtnaAH>O2h8z;3t{A(+>d1N zLbeYa235%dkUA>+Z`r#6M|P(iY^D3g!M_6ys{*r2Cn=ItlzKqrE92HLn`Wr3n6_V* z9gZ6vxr4u?EYL*Ck*#g zpr}*9wr5g4d%hM5D6$E35KmL{igbt_iV>^Z)Ct*f%_scdseA4s1Fr$T|M%(MzXbTa zoZVDsr#1hl0N=mzp3?i#P=Ni+d~K&_Kf;wsnTUH?805Ivio#+beu7vvlOoD6Xgkxw zZTNT)AMV5OaXtaG3&SZZenOO0YIh*LydVrzYx@Am(YM=UZp*=6m1RmRI4olHfYiu^ zt=6luJ!Yb3=B%7Jk-XQYU>lUZxC4eUb5(x+u6%$QSn?(ldi4p_@Qoy_5LF7}C6NlO zWKBj<85Alh!gP2-k0GS+<^HwqO?y-cnfClA+5y!!b(Os4a7nk?o{F>nVAfpE;&1(YD|YM;m=FkxGb8dg-KQn2_ZJ(7DAZN z8gu5R|2x8mR8jyJmZ=ufTUXYTR1=dk{HefOPuHr{R;{#H;fa>yxhGP5Ujeu#AkWY` zfMdcg)s+Tr0cMUc@5Wx!LD!h27ioMqp-xVnTf*L^cvU1AxD}gz~#4XqEV0L)qOIi~#d2;(;fJS9)@}GKt!Pa*n zK2SQZ?e)6~VY~P7U)z62P5SNQvvSh13|TwT4mPb>8)SwR{EHO&pST%MdR^Q6_ViKhcaFI2%wn=*ncY6GlOzs~Qh;}Ux}1mz9SR(I z{vvwH3Df8p4hqQee@;h}Y7mgkquR^wCSf?7Dm0d6rcYBuM$#kuXx>jgfo zCwc1gj1}ol=8C`H=OsAj!iTKMfa<5gY*;*xM&a68)Sg^3rjk0cpu3jL1G6nZ+y<&e zU+PPE%1w-ijNu-7Ryo)~YjEc;o7K~3cO#D5Y+>b3CQ8fJQy_8rik)P)ntOOAu6`4F zh8o8Yy;>bzF7^)y-e7VF7^|`O)a`_^0C)b5dcJo4%9fOWQ}fUV#4sd0-r$=FZVp+% zFoAO%5g1~V;LpQm5cDTPL!9vH3tj@>K1NI|$1}Z%-a$dF#~>L)OP>|7$5^pWMQ|;e z`%K%_-n*1Loa7r5kduy|xPG*fl?Hv6?G}-O>84PGXz*`EhVGVE3RWYMm*Q9-Xprw5%5jCm43 zQ(+O47%1zbr&~F(vh$^Z&JTeJgeTdN#mSgW8U$?cbozL1Ki&%wh8;NT`51EyXG z{xti}UaW4}00S$E%zDI@u^=;KVXWA)uM}&-Z}YLY-$S4Be){#Yd)?O*mT>Z7p4HfK zL$>TY!5z^Zl16bZY!N9hAWlr!$XA8?AxU}=9PS6F_RfVg1`Zz-C>t22uhKPaba}W2 z=%8pbC9Pyq4@AK+?q`u}8!OM^is{ElAXR81I)@CnMHkaG6p>>LIQ50Xk{s*WiEclS zP%7RJYx{27ynsej*&N*9TYTtcKPsW8w?-;%^#tQhcCF8c`B&9de_dV?xzu0EtO!tw zIOx-gw`_7)aQ8kp@sN>r+89bWjF`;z_h#lx6GBE>uz*EXMEcAK3ya-4#bAME-mN-f z7sh?z>qR0Q)FC4H#dahy{#%5&=ErnZTKtt}N3+^+2Is{=ZKLb06Q71c5gh~AAs!gl&DJJLOy$$|mZPh)poX#_B7;|%k zIB|#srhv+CT}jyUkLEv2WN^rD1>jjlTdw!9<~|a#P{8$y+c1PM+>h?lqZl%{cEhK! zIZTF7xUHn*arrhNyqh**|AFxZHHwN^K@h~}A|aN?K7m76aJ@9MC=?g4v`qyS&UU&N zx7>~75I&yOy)=Q5c0_6bTOGfE9cKZ(^P&T7u%vus5xttd zH!I6_JE?c4%J&(2*Viyr-zT~}1W}QX>rZbdjT}pA?vUFLf4X=1`2dsJ*_;b!$9{a} zzf6r+O0(BB7kW$;Cxw!)Dvx1U120g-G)#7*Ip8$r^tdS0dlz(5`MhJK)-59IJs^IEy|!zaaaKKLEc*9)iE|psrR)bLCfX$qff3=|s@*hL&UunYxkJ0ol|7eArrU=?w$mMa2%iEVgn2*4`Cr++SKot!>*l;b zc)eLU_Z&cC2BPk2h#5~{K@L)58V6!QhR|XfA7be|P4j=ck834ca$I~&IR4++yZe98 z^%hWZJWcm-aDoI0t_ki0XVDNKxVyVM1h-(p-QC^Y-EDEVpbIRn!N1M#dEW2;>N(wY zdunIT*=2fqn%Y~pn!#&7v>PWMT`J{9Y#o<59}=v!4nm3upCTTzP@qVqrc3-C!VLT2 zms7y|Wk8|?7_CA_{WS=MFL%uE6hh%^gi!c2_J4Z|B?d?c?L(-0x6o|rusvVDze9mA zxBoYglP7NrfZuN^lpEoahh*@^PawG@44{SU3K*T=Y;Zc_z$FSMj-jwe`gjK}{j}I6 zg_(ZF=<(FvL>nQ=EC+F;B1sRq`?wiTmVbI4uprBcu}BQ|tGYm!5B~`@Ew8(5@D5&F zcXlO>ebLEi3m==!`$WImZQ;d6{uuG6oE{tw!bZ~$7_Lj>w|)TK)gK2albkg(c-7fd zzr-J=koY%a(L@itwkcW{?QMMLAL+h3W6|W(Amcb!6(Qkca!;j{jaf~G_9gNBYU24t>0D*x!z)Ms`eL@!^_aF~A^nBPEmQ!D^ZJ#qw&N&3 z@j;RVyTXeO72YcI-OY9Bj?&RjLjt=`G$xRqad-bI!}i!y$OECbT-Z7BswULX*G z*xjQBEsUib{T(yHGtmQ$XBk_YipPDARh^dw)OtBd)$gjgaI7;zFdI+0{-Y{Vw-`jc zw<llSA06*8P!D5B%S`sHuU;Bg^IfJ`hkm4}#pgp|nRN;Xg@*M^|5B>41 zD6AD1j;chwTA}usg34dKIyb+_whWV$yWG{U-UD}{%ymYS!$ZN_>7(^<&?N!;Zz*pK zUo$0YTrLSq{o_5DFSkrM`2)ion7*#K`?dGYu0m-ZxCN!IGsPS=%8WHK``^^tFO)mO z!4w>>m>&sC?*9hTj{e5e;BjeiOXrn|*aOewnP9cgzx7C@g43UDi>+2PR(D}Z&Lg;+|g}e8c>8JRKx|XHmo7+5MZxXOEGJfZJD{O7gG5`VTzWH``T|=wfdWA}tx%!Mt zJne=_q0DGWgH2(4ZE;|)sE_Mhae6>zD#1F#?#bfs4g#|gcu)$e59_VVxF|aD{81VE zc4Ruuu!ME=wU8(RJGTwKj{Hn795%7G{LE%#BP1ucGu_;SYv!h^`o=a7zk}^yRoQ=; zH+nHr!XB#7u=yl6R)%=V2#u1RY6LXEOZv%4%z? zTwBxBfgo98ZMTKqL88cjrmpzfF`ii~zsnJAp*`+Dj!QPbjK_r0o)WcZb4`PzaPKBqt_0 zB2p7mHVN``AZec8l>5syLQxHmamooKtGZITLJ5|Ek`QHNiTN5&Rl~Gmr>x5LO^FHt zLvbKo?6vW2hzETwoTET#*1R3%-579d8fM)pG<>N73Kv4h`(Lti_wx9xMx_77^vWGj z*Z$%0i9wQKjUzQ7Q6D}a)Y3?)s^R!9Ck*>{tIAL3U(H1X=xe=u;oKM9{8pF-4$p&5 zqx}1pMg7JVm(OTu80z_Q7iSM9IWYKAyGbXvAbGiw51ux!BokYm544*q@c@*DK>JBg;3(a8TA_5Za;con~AI_8!^1N-u&-x&e_y=5Vf ze5wy}`H#$9c~DLqcetKbEe*@RQ*(Vx2J?E z+V8Fzn)!7@Qx+x`GEm&mta6%qXSg@d6Dv|%4@v89=E%?0g)lZ(Z6?iIH2!LIYnc&v zcE*|W8AIf^Nu<^8JS&8_3}raiWH#<9hvb?td7B`VLe%#*b8eyC?Zo21&e`7!s)kaB zL`-%rMoBsiZ4xi`jP#`;Fa{;q!?l!8sNtsxabvwCQJN5gywiPb0Nm3{Y80 zK&_YrTC9o01L`-pF}1Js@?GqjepeiGND0hEsySYXjnMn!)z~z8$(3JQp-Xzo++3-l zHQo{3P9_=3DCAnW$8>)VVXx*Onfyvrcy%zpe{tu%(jDvdD67gZY135-Z?em=6O40Q zE|l9vfOT9Bbp)`y`;v=OfZ?0<>oOlhlTe67;sc4NZZh11bHy?{uP6G=I@-?VSxx{} z@dp^5Ow!lsf^;e!uA}J!@A#x}YdPK}=lwM~{!M~01Tr)cU{&|G(>h)OgG+K8L460U zIeNuBjxIb}8VP=VmXjdI2_~%Q4+BFfk^S}DDBll6#aeSPPCp1Pj8>g4^={_Jdrt6D z*K!|?_!|-5&eUB|3XhL16_baP5|Eh1^8&sl(HGz{3DVSB)98N9;QiX6M>P2T6eAKK zI16v zbD-vUuRt@8`Rg^YjNy65G~nAaPWPHy($dA`j>yTievtbh+0aTGWm}ko?C|F>Fxd6* zabI#wS5F)B#|x9rR+D;~hqs_)&wW0u*MEWM0durja|65nFe6+F@=QO8K6G z`GvZ9Pn%DBH_29gZ#)xxNar@k&!=9CU5s8f%LS@fF+G`Ymd7`d`336J_YVuv+c~0i znQFC8&V-b`-Uh{=JG}@ziMvswn~rT#XOg-Z>wSOZOwmRRK;Cg)bMH7M+`*8Qh{dz|0gea7PLe)pZW;OePF?tF8X99s=GdiO7b=3#|+isO7}ea=qDGTO{Te zYWDcf4806Kbb`ng>`Xmwd0>cF zTHQA9-`*B1X=GPrr2%e`bBWZan3378S{-Wyx(~-nV#kwfU0k@W6K0uF!(;ppOz^Tf z^{AFzt-d{y-9BBoC;C2JgYQIv67!u=lIkbS<2xNf?NmCAB^9%TH??{f^^|&98=N#}H}VXr4@M)fmHO->uhH+DV8kE{UD^bwkGYAI&L6?Vn{@KFjzD zr)~dY2NTY&=a}JBN?8nKF&DLN>#{a86t(7_u}(2UfN`K(Lz?X_(gKuJ=&mVy)snGi z02nm|jCue@YcdM-WHFQCe~e4m;<+0h;m)m3TNy9@)!MC&#kYru=HAAwxIq9%mM;Jz znj0Z|sR$9x%`v-7`4?>d3n*~s4p&nP-w&oM|2#g9Qq;j$EGw7%zUz@aYnp1(xs@~6 zF8>q9E)z<#;|R)JNzH*$+CoXKZgGNHj8{`c{7OFg1?Bh`R6yH8PmZnP7j^3e_Yy*K zl;b3%fI*Vf;K@x2KXbx{6>^GCHUXTTfsnGx)27ieJss9kvN z&jM<-5ZTpursWBIR84yI=is5^<&V^s_=K-dwt&y^+m-BDk3x(T z424rAuHK%P&I;RsJjfN^*~hHr3CvQLd=KhYeTa?*>**9T4@>*{M#C#M-10}M(PYu9 zgsQabR>*7*$jLt<7Ooy;DD#%Y9}}|3mK|(Lc+#NCuL>PWZZee@kdiW!`YWag_RTN( zpWJHfK-*ZldH8ODomRzV7NuvLk-6`q>X6Q#PQmv}qacQy$6>s)9)9GKE+L&9(&X!V z3nEkIqaHZP1#pfsKaS+WTIr!VZWkqIZimPDby7p-QgT@Vy*nZE+#Jn=kARQN_BzHt zK@RMcv*#E0QYWmR2PJm8KiiB1eLH<3%3f+aPx9eul$12vwCajY zj+0+Pc%nuIdEA|u!iV1*Qe1(;Ml>G3?(xTh={>(zod-g@N3;jNqc81>K-?ohLV^fT zY70td?K2}-;F2HhgELvHe|cZky)!0`soV=l)-2MaS;`Y*o|Wsini&dT)Geq!Qg)tQ z`b8(f|C36IGi|y}O!lj_%d^M3{2qmkX!?e@d;QO|=jO!t;cBj#(9=jqyqAcF?GuRe zFHP@YVE8LX$SKhhtZ6iqSmpc1acGWcgvgci6h5!TiEg3+w~e65gwFj;UtGk z&U~=T+Vz5t+YTG9_&S(EBe)R^=d8^z?Fgqq2~pD z*8?e8gUKzZhiQzDJa39BZ=vq0uJeA2cH*My!k!S*z}95;^a&U^of?l+kY5W{tBX)c z+CCP@*r~4Fm|O)Y1jymjUU%uOwI^-WvyJC6TmO3;}QY# zX&t;@ic(gnZev0IddK$f}8O4>Y zs_vko?y})`PI>*c%WQ&>-^rW}Hg8GaqPo`8pPl)$4oG&U*M*7FJVS)*gDPgO6?BEn zLK5voDgwz3DTy%Xw?ow55BJq$Mw$D}LzEh@@~JxwZ#xN}5d8jDTR9=0u;K5U5g{sI z7e%8fxUTZ0%@h-+&CI4sn0*+945+sidE}N0e`Kvo9i1R1DDqE^NDTIW-I4A3HfGqU z$8(Nrp@L_Fg*C+_LzzXKBgyxK6~8QH3aOzpfou{>6vdK`J!u7EfX07WxK&~(55&g& z<~;c^7I7v}X&m&vEU6xRNWbYse3QxQ&JC#ImeV^=?gT2EFoLki<5Vm(DWO_Q#Y~0v zJ6IjJs^`46$Og|u-%g{lpUTW6iT6xz4^s+m#nTFIOVSIR(<6@YhZ}EHnJd!S--8#W z`cyWn#rKnIZZv2mIC5z6)18Sf zIG9q9M*>-l-1#px z_R?5RtaUKFg^|NMV^wRpj_9(UeujOY^cw$#jt(TRMDIJPS4asu2el3-&$enM&aV7S zm~~(Hq!Kcu4ACXm`2V}{QuaNYRLvBR4>8;*tHA^W!s+8*UHu2oR!QTlU1H;|iYh_qQ^;k-#A-`6I-jMOH6s1fGq z*BeK*62}GU59XJP1i@4yR3}KqW~Ya1K~No(AP!ISH0rMp*S^_=($8!(RGUPXF9(y_ z*l%0__ZHMw*Op<6z>YV{?7ae!V@8XWf**tVpJ7Zl@V;zK{nUVipFoR8Ng<`e)}LqX z3V!E?2311>tfASiCo#UZdyDJquUXWui!duNF_k|nVCv+@21vR}V166Z z=s0&$q$Bt&xc{u7GdN4uy;C0>VkH%jiHxt9wTx5Il&O;}mrz~c9xWrF)==4{NC#gs zy<9wjADv&Z!~*XSq0LG2I-~BCR_hg-ziyy7i_P08ED7s0D4OQ;uF75t*7K^GSp49h z7kwI)&t8zHzSdhCbEm6rALi?vzglT5sb*`(Y4mbov;=hcWSr-CxriQL^*rf1-$RdS zcneJl29S8e^S_~dAHml_i-319&Om`!LsN}oUzLZr3Oc;~tbH9vRjzQOBH;bsB1BMrj7Fzc*mVHC&B0KDsy&yNJ%bWirhk zI+j@FF-5fT96O5Nb>|b3u|>Aw9!r*t=XR7JzjM&?5Vk!o$>@cNF#Z%(kRinhUazS5cQF|9Ap9)zlGk zDqCyJLDJRww5o@`C2uH6%BpN0LQzxvx;zg5m&QzE%IF|(O#JZt$PM-*;dlYgQWErG zbH0%1*(wR)_&XU$1+X@W^tL#o*j?T4GUG)dXV<8u5Y?o%bj3RU&_&3g>4ca zS+DHG8@p&1?3K#We=y_x{-w-yq|0E@opOAXBof_B;n6}lbC4tg*1|+>rZ~2fz_OK? z--s)*P#fU4oKEa`KX9moEYS2@7*dW*erw&>{_j6x!pJU+Epc}5`H4E+8PQBc>K|V* z+KmGDqUx#{@M*~(hD>5O5lxJLEGLelhKgr5SrrpvayHn#T$X=Ak7^0 z=LgX4^R>ZiYpO5K%C84A!8ep^IY6?&i}uTHjE}&+Ui8C~@N45}_u|7+jPLD(+DLcX zvy|**Z0E1jCzY`*AIX(DIa<$0S`#_J!jq%o1pb$Vy5eld4dplu>i&v&gT>J=VB-{L z)(IYb(@d0W=ciVCzJ1s?0uLoN@(&+HbEn`n;OIY%xx_BLDZ{C)fCm zgo3UcCCq~CIL4QR@WO1z@EaxD3?E5{S-B(H?5D}=SD97**SIcpUx~Mt6XC~O@KTJ= zsS1_%m)E$C%jCVt?t>1p@NNp7XG(X$t@aywU5(j6y*Q@Vw7F7ipn>srb@2`ON$HH} zI)wdIa#pWZHTf|)>2gWA?vIpI`H$Dan>cWBH+%JK*wKzk)@xhFEf9Ayzndfab(Zf@ z>e?JXvB*)5F~@z9d6H#G#CPy&=?4%4qG>sz8F3q-mTGyGs>S@8W>On$OuUct=XpZe z*W$wq_S4vW)TP=9y^`m!UagH`RB`uR(B_U^rz}L>w(ve(DpQN$b=Kz^VDb4ij56X8 z?_BW?Iq_Jh?sb=$(PnYUj7jFX&^1dczuV9^YYg4jLU7Uq@YQ#M(ha?Sy>r36+Q~oJ z;#O(od?$kMpV_iF2Y7~=umkYK(PRYNmEct%8%?3YC$-IC9 zo@rMZ51)(Ke-$hw&5>Ts6uri%&XCT^J_-}4_=@Krqgg1w#1g82IrO%kOBXWU<}HD~ zmZArOjcM1}tj?X@XI;L;z^6;lRC4m4Yax`WSEuBNnLqUSl@#s9E5&06dZTzM-SZ-r zQS5GIM3T%8V~a~w?pRFi)$n<3CRSM5r=&*6HnDi~H0wK6nM)~~Mj9zKarx5vn6l`T{uHI<2l$@Iqw`ZXg0q__wYf^!_21YTT{a+^{v! zGPGN;{g0WoC2h)LBa;<_>_m==tLU5FiMLMLE_c+as^j~`=r}VR?*7NP&{epfeoc;%8W35klfZ*_0ZJ( z#wQAz=9`qSQUpK@VQ|ajq3cgN_0X5^2VH@Fm`}4JQ>=RM!~;~7Ve%r{LA)!_0VCJs zT!ULTS`9b%Oh34nvP!)t+)y$u)vvD-poJfO3E#E`-abxg#JP?s1--5P5e&+^5e%Z7 zz=c%L&UXuZ%lS`zxlr)#GFo6`Q>mceSN`9rTn26WZc34!Cc}t%k76QZ%kR~c78bEz4CC^H7Le*d!(0*DNX9|P^0(gBCpN> zJ^KRzfsc-itp^VlmJ5@BU`29YUYNLgP5@W#H8xMZ!k$+hf+b;24IV2#U?`* z;+VErIqJ5MwCazz!CZRbf9R9>6OG&N9;F7BYn)KEQfnmAk>ik6vuv!yX)(Q=ydThd zy3oUYB?k;-If#iiS`JpX<*w!=%>;=ovS7qk*9V3fcG4~44yK{FmZ_^@S+T8`8QLgR zjN41b?SW&&N{i6cRV4{1rjEi2cwd^CPW`ffn_|ejyfeZ18uhtSXHL&ySp;Vvwku8) z5r&H&b^k-yvk1_Lh7;wn^`O<;LWSo&bG7;cB{HAhmD^}CpY9bUp+%Q5+eET;!9!eP z5;LG;BH43Ra|VSIxRX{{(_-pGUv>M!>)e^RWRsz+&RR#IW$v(1Zq-zsN98PN!aUGu zOIsNbR?*Ypvh?8hj0<+tZ3mqQ0pa$fg@7b`3qC-sJ!fAa+F=IN7EATA6Vs>aJ16*0 zAQVTRPax>4HbD?HWMoEitl`(OIz@k(f&A6#ip=aCE7I+PkM1BSk$;SQ&r29czPJ7j zD226x*f@l?D>!e6leylg@s-9sMhO|P5wrMGn)_VSki-@46~ir#{8r$q%Wft{==ht|U_=`_8iU!v}=A2x&9HrR$(Rue}>0VHC?6XgF1l{EmKdY$UsiNPcqTj8e zpHJ!6X5}0mx@iXNi|^@dX>SX1Ea0%oYCJI9&z2izx|sk!vfFA7nPiEyub8kftr*Ww z>}2aV*t=J=s?G|RP@HR9|Uam=JK)Xf>MQ@=D2J1xQ|IFT*h(h$~*3EtyxNI!j%u_^D~~u9)Bv@ zy7*B*@1J+Qd@_Q+1tOZ3BdXp$bgXZdd@nV%!BV_jEh=bgOp$_{JccO~&ZAE)+JW4r_C4hrE^ZT6q3ccC~<{zxtEGewx;-$0tCYS740 zp7+mLOltlH6*ks{zF#Tw)8FOtt{6^8q6+fD9*>}|uS6~C;U2YM@UEl|*KyjEF4j_} zH`e%=|5qAREUSR=x~6wwW8Vz881Mq_YOK-5aTKv==-T~ExN1($;+sM(QoMAp^iaL$ zD4tiYa2)@bPBIxfoem=ONVF6A9N=fA;lFy|0wnl_HQIE`=$T|^C1w~ERNchK{9%4b#Q zZo#zfivZv|ur~@maG5}FZza4BgyHeKup5Kz>!$rEZWgonNhXDs%@MTp1+#~#;el^+ zh%^|C;QhO$+FmEfie6i3TQyEgWtEJ30jIaL2~oCD)ZReGgPE^)yqd);fCur*_OsAJ zGuVXBC%L&ILre8B>Gz)DN7>-Zo@T_3R0kfakw5V~HHu4-9k$q{KJcT-wYRH8gho-3 zEW<-7Mn)60EC}>ifkKfPBBT0rMOmh`bvS;{Ge5O1Eh#S`hX;`qa(%bl~RvhD}4hoXj zhnW`0>AHO%`Nhn&0gO#!N|ZQ73BrWtaoR!o8MDBSLxuKAmpu);4I9iuIINtYk|%U^ zNa^zEoIVYD;6bw&l8!c#ZE`sv5&6zS!OCj!ExyK9(cCn{m}%}?*hCAKRhvRmm3J#}s(5YJSGL$s{51Ih^i zXm_+NQ16?Fgko>5+P7|{GnLYrCx{CD+#scMsa$|gxvqj!g+*B|B>Y)SPdV94^eB4z zCz)Cjd_q}@2>GB&+c>mQH{}}XbTG>2I0_}i&xXwdtrZh`xGY`NkI;UsHzbD~re;~+fAT&(`!YRRfEVqIbF49SO9 zfES-Oeu1i~m|H|qWXEr`L?LbQe@q!)+{F*Fef-S4_zukW4zTbDXJ)|pCzkN+SGRQ)pm>3cB}t3m=d+!W;OBxwU<+6Po7f*zzltriMz|nj^-5~ z>_FpQq8Bgamh5P=(v^*huNGyr>TPx8E+2z^AJEu=@?2%gR%K0d;ZAk5XrXSdPOa@I z!Iro1Np|J?a8rCIv0zn)c4Bf6Gg7kuepN!Uzlv-TK5P+z^WxHE&JW^{T~8HR{|0Rj1|5 z>{19s*bj_+VT)@%{>*8T!`et6KdIxO3A>l$Tx#D}WB#@!Nn485Cb=!O@d9$Od)0C= zUH_4geO&aqO%xoDE>!w4#-MhE2!`X9JuI20Z)IMxY$l)X{g|X?eH=<;ci5OR!MA-$ zDk}Rz1zovSFVKz30BsYd1$o4j1Y6LQmNZg!;{_ zio1%ma|O98Wvuh+h}53`bIfG6KqR=%6o1bA0Q!9tMU5EYo=+Z@?>FEV&)iK&gCILW zQU3r*Pl7IqZ|W8q0&eRx znCoxzM45MQ>$2BC1z1SlX?&p*B_%-#$0F)mSW7(jMNT$68bxKzQYP+|$%`zf*axjO z%1r9@9azbxP_#@7o$F`l!asVMvimQ!non=(YFpC0dpk@SmLFwppZE_yaGV% zZ|R=|vMWAD&$S7~yJ9Z+~djGVuSji`8G30u8s3lLm3<$8ss19bV@JE039% zu4$JI9nKlvSb+Rl#NP!{!>8AiuR81;2-MTjrLCR`Ni|SE*hK9Moeddgd_zkS=+&g~ z=-b7KWAMFZuwpctp~+IkI=;x&);bW5^q2(fn*7L^GFYExZ#H1s|2ixuT8?ui zCO^h{Z^@)c(bd<=W58?zZ~JgV1LC-;U0SVOHawgJu*bMQnVDSnCl9Jzf>g1Q};wNXjEP$S+6zBq6xP(G0SzmmyCU-gmo{GId0d7;bDoqWWKH~T)1{ysL#q?E9nUT?dc+9)f)y2mY;8bboO7n8)! zwZ3QJ7>w^LqjLH+wkTs_9N!ZCrn#aX>BZtq2Pg6}t8`+$sR-*;wKmE&HoPYjh0iis zSSn4`2Z}Gl<9hFWPEq0N=)%HMEuxfRlufvCnERfxre|;I-x^!!0Pb~(v%#A z7%*EKJjBx9)i%)a3MM|LXOF4n=?c8iRo8l*^lG@_;fzjM7ak^XHs?;fh`e(GDvW%V2p1rAsF0#-K zQ7;rH$2;2hd0Q&ly&QqSm4e1laS^WSDZmS{Fha+P;*+h~x?WN>X^;MB@m6{^<B^3fPt#SRztX3K>7Trm5UG24&xKI#6ukKH?hfs;0G zXBc_sThjHzx%y%PQ74Idp?hB|n3{EC@emgMOQpEIk_pc5wXJG`vFO$u5@`D`U@e2q zp^dk=%pS+Jyry2&HR|gU>-)hGq-c&cX2qIqF#z<#@Eu^n-g>;}f)CextGs)? zx}B|x+2mkrxAa(&u|c@n9hd5*i&t3lv#gi5q0F-xS^rQ^UU1x$?)|8#TI`EZGI+to z^>%ZxJ8PP=={27oV9Ityy3T--?ya{r(NE|ny4JA!3aK$)N~fWHzHrpZ*SS=D51nX! z(FK}c0ldB0h|Xv|N=}Y@KYL|ZXyu-Bu}gpKbwC6aAJLm-^95oI3(tbME066nqMW&9 zcX^14eTi*P9c;w35n8dINFC+Q<@|MQOlc@{8EH>?*)Yr=9gC>5MIe zy6@T7`*o%B8Wv_sU_G@XVUS>SbCx&oT+ar5aL(|9npODLebZR0qlM)o`S6*O?c3_= zwIyr$NL%Sq$>M$5#Il6GZP{FNa$1yS+lI<&U3r6vip6-@EKXX57jQ{wz{ysN z3V15qZ@aXw-6}of7zwtx%xWP889=HG|M>%+u|LE7O)tr*zHY}Ej;@To#g1nG91`;= z99@DpxJU7lWJ3qN@yGefaSEjB!?s}&uXfTh^lL{k+ewSnp)2*2PH{!${5l)*;m1u6 z9IFb71?IV%fuUfU@r0H)JT$u>4ZkN+%P_sWvze-s+0Gvl|BMe`=zmW4APi+-B-rP8#~rV#w$D4N5}aGM@8AVqnN4y zkgnytQ3}@8sUGC$RBuFo#!4fVEjECwf!Am3;raO{W` z+6OoXli?cvj%nS%57{-v;dQ+@=)ox)XVcIvz`$oWF31zb70;GsUEfXAVjfm-$}W4G zix|3fri>9%gZu-X0S|2Eq17Y~7>Y`o+OThcj7pltkbWa!ZhZ3_FY@IZgEKRV8;dJ2d(NC2^Zs)?EIt0nk_fE{C(KKMTN8B-@GKjZF z0GLr3wA;F#G4)hL0N1{U$%uDc{fW&BjL<&kS_ZX@x18$fckj9`h36oM!!0hx1*BF?joWb?x)Xj z@7~A4j)Vl>$8s1K{9sdT!>8SdNU$YjLqHi8yh-8TqKTVd4F`RaJap%qHm$IqpCu8Jwkc-6##AslMcTz~GY{mVz(jUwDMCkPH zrN1=knMLFMYi zbZ^!$f|Kd6=_fk2EHn*jq7?UVKGNrhtmrCiP8cc?)`!3PkTShR69QUqrnax{yl)Pv zW?F~*&n&qFkDdQE#f>0dG&6w;bGP&r{UU8CUL%}(!$M-1aC`;Vuk(y1>x`!7aF%wo z<96ezoURS|<}5cTwS07nMtoyH>C10sZgr+wa0=TS7YUYE?gz zF@KlV>fgol3>F_DEu>s$VWv)Jo^T;{8mmNzs0Ds~RM+VTtcC*eFuws_em5UMd{av;^8(!N| z6aGjw%oTF+y7H5}_sHD4m0%?=`1%+9Z0njT?Vc_@*~^qT7VbaMgN;E{U~0EpgU*SS!?Xu?6Z;N{M{ zEW_0yohs*@g`Q7#&C%f7HOu3>T{pno=RUg^%Z=$IK^VgTk86N5{IR0fJd{$WT&1_= zu98;v>cf22-6o5uCoI!22!SYSikO=yTg|?utMBpwDtNa(^Fwo`{c|i=@S0JqjbL@Q z*B4l&s6_OA_4f+@2iPYQ(;EP5F%O97HPjfQ0mZThmd-p$!x={I6M+8)&6kYPG{aXR zjtu`Nw2Vh3egUb!cltj;bM&{?=x>ctpc?$k)(rcAXl`d^sx`WG)jLXp6_i5Z^lwmq{}Gf%p%5j3xu5cX0VU*b z({2Q;}ZAO+DIBXI@LuDcaw2ni>!nTI&SqbfjZS&reeubY@ne*vKo z9ApUfXRw*4I57^8vQGd4egXdNCkj7#fxO9xQUsv-?cada6o;kfiDm00m0uGKiI`p! zn}S%il2=+LR!yFpoS6cQ;FJ8v^)Ho^d@KeJ72K4IZcD`sHaH6k|I>dvphb(1B@Utx(T*!1}STrdh)Bqori z1ftYe*nxy`eYO%jxjH~}Uzr5X2bvE`wWEbBy9&!yvxv7e*8S2dX|;|bhj6n4zrUv8 z$*Jgml0o@U5Zdc#u6Fjva7+O~K|NI3V1X@Y7*`4S2Z@M8&#!-%-rmg%?n88S&x8Mf zD%-~Xq=t0s?9~B7k+ub#krO~ov$sJ9n5c>;&J^6K@VkZ!i72ZcIv7;ZW6<26)(@nk zSt!16GaFlI<}#z)I#p=tV^?y6T;8+qq5uigsnRTK{w zitaO%k&=VhN`@Z+lmPf;FOP8kqKp2NJ{e;qWICofV)*i8yb4{z48Jkd`}4jsYItxM zxLh7+ERwLR8|t`Duh2!~)ypFgC#vvKsqiw29<)XzWdwBCpIAscYH>W!Ry%`__H){} z?KvYrjHjAdH0g+$BNR!5wHX6@U~$GIh~CjuOeNIc(M)}8{g73fmPb$A56q^S;&lUN zP7MF}STI z{rUyS?U&79KRiYBY+o?jFK0uB@&6juSrq)9=`k8HsTsrws7%1KUK#j3^A&|eXyCVk z7z#<6*R^ zWje6&E%?%^pLPE-oEPgJKs?lqfXrmu&x6v`J{D!SN^?iU$2!}@ZVp$P=NMnzElFMz z1ex7%N^a~dLBpSvmIp%npbPFvxQR`XT*GOpW45>VU|A;seke||pZYUCj(h?AFNglN zg8bcMSc|ku%a|#Bcl}sRJViBV`eUgk|E!e%S}-9i$Nzj#6cl8P16GStM`k$X6b1Ip z(`m%kL;$N)V>6rzQB9$a4KfM&HhDxgPRYbJY#{S=fY{muVAT{j!|4>&l4P>vLJp+0rpP5; z7Kc`AM{hR7C#AfS|plxd2-&z?nNT_z29+>0^@ z!z;cN0q9U6RpZf63~gf-m*&RxYx|=L+D9Q6053mH7=V8Zh1k$V$Yu9InfgrqK`Xl}e@2h1en*?ciQ;6pdzZZ+SnB zdT{9|c6)!10T%(cH7@PD8yf3Y+UM)P_Rn>RBy#P3QS6Drvf~^#d|k8zZg8(EjuO*{ zfJz1`Z6bIre3s?0kY3gAC{3(}HO#wNhT-~1TChsXWGQ_(y;x0%MKz*df*Ad2tudqx zmPm@~34+U|*IY@0PMK|RJh09*R?}{17(|O|PEz{_jF6rVIc=WXiSFe5`d?}7-5Ali z`Qi~iOzrtODUT7|z1t(ILC|mBW?hCs58!{t@;||*ITQ#L5=CogDRt1XkUZ>_m86S5 zm!2shvIhDe@^K~rQ*ZcHj!+Sl&T^x>xr(vvHgu)2jo&bZ= zn6%CY14Ptnw>81cLFr*E9w}$pQgN99NwY&Vlj2>rd$}}TuM*rqV7fQ)tBsa1LzHD@ zzo4r*xSqgD9H4AVD5NZ++Zi$f#$FcvSF1ADjI4owf&(<>C#<|5VMcrBWUkz+Az{sZ zyT<~qKG~=_dvFWJg_HbemNRy=9?I{sJT}G+YjgRY;fYW_K^)$b{2)r9MnN?#b5#_b zA(r8|a7soAqr|V8wsCbDGUb&<`sfyY@e~%(=kjH+$N~Wsuk4&{Zp7dLn<#^DJeIPi9(EdU^EV1pU~G0X%fVpy5i>@AZDlC~iGngUu_Hx&DtZuEsg zLP7-ItgfLB$T%B;PC3HD7c)WzlU=uB$h7crbagMwJE~ut8U`^9k4f`a)ud^mb`S?B z$Zki?2deBFw+|Lc$gvIHcr4alhA8&(h%%+5t4#}$GW|K8Q zVUD0PrVQ;6`+FeU9O2tAwt2NF!X}1>?h#hMnUtF&tOc2KemjXJ%BZqgnqf8Os71)2 zo8e9lS}MOy?O0B;w&jc-BZtl@q!+}L8EqeyHj&0hRo%>)!RSF$h2O)sbdFxf%wI_& zYV+v@tuqHG6X<_xXAZ2$W3{=OHyDt^bYlODoJ&d|<=IBNolPZd@}-YvA0~a2n&JN5 z8M-ST%Q$pmWv^tSZFS=2n={(Gv1lf!$Si7$I?o+QQ7<{WdUfIcCC?^C>e=m&FNyM9 z{*^XzD#u zq!u5WQc#LJ*Jq1TLw^_VpjV|~sn*T~x1l+a*+1^ZW=}d)M#`gAqzx3Li-hUFBGsXP zmf3*`F@X97D!K{7TYAq?acYUS(xFp?g3}sWp{*d=iU3))Oswie0KUA}?lIzW`0?A+ zQUBb#J>K07vXvzYCp4si12h*E`!1i@g9u2<-z5}U_mC*}{@9!;cl&bS_0A+e zrG4rp+2?d8H|!22?>*T?LYND2fQ-o>#I2q@@80B>RQ5O1#Ew7d@J`oo9Xd$j2a?bV~WNVqBX{P+bAX7FOvmBN#Gt}>!(=gG_`?i(|21xYUWLA^N# zf#q#eqinjPc+)lJ!t@IQ9J~oc0*pR#rhp%E4R^zQpEl$>Ik``Yd^ng_4lQM~0v>@dFpQ&kmaq!YPl zF(D)N3L90J8^g&0uYtlFxFuzuJ%L@Nj~irl4*H$s8rIiSOsQFC0}@qcZ|T;r_)G3Ks*|~5%-%5n20|m^r|1~$QoE(*ajR^ds#LbeIYY0MO#TdRZoEsMlI?P|KMX5%r@vu97r>Lq;Pun^CM|W z|*n%3Job$NtO{R*L}~^-pQfkQI`|eME(@pqz{(#DysBcOXOyahCBa z@W_dnM+%xeLUtip^;xEhQQhS93Xa%iQ}JhXO#9eORhyyk4a&0N;32D_YyxC9ZghGh zlq*LoHm$7Ii|EP`J;KDylNx}f-+f~*z57NmE3V&detw@Zw(9+#?)-QI1%JtUN&@WR z5og+%`9v+wZ>fl+wL%79tIv`|oxX)1yNPC!umVI1@1#xJ7c7acaDslWne2ooA`wTj z$PqM0Sds^GQz0c}?~y2ew0`~qw-n;J7rCE+nM@1xp}WN8)DbA)Abh`?N+b9LBv>Fo zg=&j94^yPq09}<~f^>3#8|L>gTwol;Uh!{e%CppT1II<+{=VDYGuO4UJp^ZIH3rY) zJO2(LtjRl{-#c6J3Q7^R?`oHW?Q3B)9n#clwP0Gc@t5?iR2|a9{sVBgkc8-0*H$mD z%-avNIB7rBMpNlGP+2 z)DkV1K%z^O2+K^FyfEYGumX=@FJ5~))jww^TZ`obhRdB)+bwyRLS!{L2-8KWg0hem z$S{JZBB{~fwX6r+&Jcmb`=$i5pWfrf(mZVT}$7iS_ zlJRHbxA+;hkWaq;4n)p_m1`u3s|jD6m*1Dy`M>YhUVZd6c;BtD>hG%rsbyGwJAaO~ zS{1mDINWMa>Z|2eyCL(c2Z)o(aRD)x%@DerUW#6)^3yAHhgPP7g?RwQ(IM_!E#Tn{WtAam+Fmnt+UOl zTc>}Cb4lKvJYZ$TINSupUJSMR2}{L&*B8qFf#X)YFTol6S^KQmSMsf>pX5MMwlip} z8zcZtMtgfC?r4W);cT>_n;!7e^jsQ~d%&zK%R~%6$7ZyZQ2(d4#ga z>)ha@C}>56&_nu4FcY=t`r7>0$W*rjnk33(^=WrsgaYTB6dkd~)jP#q(!0158+!Ib z!d}0POH@W>_ES4bqguyzd^dQy31Z>b@7zSk(s=6on-`BhNQbMFCakMZ7l{wStxTT8 zl@JwAx9(q5zSqr+dCUF3-|J8Ws6;K#{`!gF^F+EkJN&_xhBF6qhyT?(m>~^-jGY z6d_$rUrBCt?M}2!tF5w$zgD}+^E=|+gJJ2Ahr9Uqhp%NrSMJK+9}FvoJRs!0SMzIe z>|*k*YtKpG+=i3P4Bm|==0u&oocWTpy1~LesoiU{OGD;<-R?N=Ki=7s75jeH-xxsT zhW^;Y5zV|vP{Jwe0%{fGfk8Jr#Tr#7q6?9R6bLA@RfPb;=)YlwDg^LjX|+k(rK}$9 z%m}XoQ6~9>O}Z3NIwwCp(KdH{Ikep^q}Y6R!1u76c;$h#-KqZTnI|WIcBc=~L^GX` zrT%XB(5z|5;5hE%-QC^zmJlr=HO0F>vR(Om4XiJk`z&{|*olfug4-;!s#J-?y88!v z4?%E&h&P8Atb+&FULT!KIE9fXc7w{Z;|jHAf+JMCCoX+|V6wnDOks#YYrNOv?ZC<` zL@WkBFt>^zD-%E5bIoz4KCrZiy}x*RxE~#=U{|z|A%7S4vzAdmZSI`tqo-_d@JL4D z>L98bgJ?p8KH__v6Xq72xg{N8U6KPH=HkTFrqNaUpa;gE!^5fxpw+ztp4zN@&+-t$ z$l}-f?|gww3aG+H^@)oq)<^E8f-tf*8Qxr1kG02}-z& zX*xIE{T?0^NjezVMf%&a#HtiM!m9ym-K(T$I^Yg$Z~v zyt`x$D7cvm+0eo0@r$IPh>-Jhs{^dK?yDEAc+pEP5tV~yF8&yA_AqoZog5Xd@$2)& zD?abt`pKI;9wh|c$5N&A#^C07=o~Ai9i}~uvK+F|ekAdJ&`?hjnE z(~AXJ%;QN!38dnsC;t?z?eI$-RO+tB5$$ByLeAq95li|<*O7k-Il?J=7i}I^%umq2 zwnO0Pip;~eK_l7_y==!j07l_MD+=`+Dtae3xErFv0s}3CAG{W3h$9TFaf(c|JykFd z%2Q!{QtplY^u~Vr%Vxf@4sv9KsE}?1`s1Fv%E~b1M%8s%k8b4)iSN>azE)lzDVNH4 zGYEarIG*pe|7P6kQoqrzbGBK1d#3etTNUWP-18=O@F;EVSlBSMbE<3M(cx>N|3L}9 zH&&LJ2o+EH$y}IW*IXEb?W1GtgKQsP5aU~4e$lIv%I*w2WArwFeS_Y%c%rmHC*C2HE4_01egfy zPGxFkXp28aTC!k1iz|ML%VeeS_4+9KafAZ2*9y1KM-OrO@Z7ieGK$qZBR8FD>YppV zd78*rPpTg3)z#KwfaxJNx*PB%KF6r@5BuSkCBCvk>HFK(($>cdH|;s^SMu0M0>oCF z6Q(5tTc^T=h&G%JJ==UvEDsGGFbA*|h#E*;)CTT89$v>Ge1QLNCHr zQQT2zW42pWlLZ~&8+K9PRa};e@l?R2`HvJ_⁢-hyCFxT2^aeU-ql<{}ENn?!pLTQ-Ug;3FIhC}WS41YyqPiG)*>uajYP20EvkjK{ zh9V->n>bnFO&qNe?acWbnNCt9*PD>aoNB~@CgQ04ib0WF-@L0%BRU@HyRLrWIVXupN%hio_R$+vISqvvn;qhfP!?hXyI9aRno zuYAjt4lY#l)EHx@pp_M%KPo^Qry~&kfY-;#EZ+hvr|yLIhw_4RM9)0DgP00&fbj_a z)JWXtUXayD1hQs=mBF6`cOG#k%V{KH!%cw6MV->ia#>eT5m)mjISO z6OKQ&XU*Q(qKG3(=LHu0S-eFjw)Dt+_BWoc`4JHWa_3l0G~g4V)C7$k`UV*Pro7wr z^X=WYDrLI!$tN(hmv%k82m;wKaT}3zV}9NBefO+JQcS<*A-w-x@R10$md{A!iayoK z#Y3OP-H_#ygH@<<%yQxR3m@`<)lZ~@;a^Ax)E7tx5m!hD2RBIEIQnVjftM%;XU|^w zD^ZIa39H0g8S)lx3}e64>8K^V*H3`k53`1LWG0nz^gAF z`0`bLAXPIrEgafQBt5h^67`)JI*AT9ZfL4{F?^}toeHEVA?mk^*^BdFec>nNOL0n| z?)g)a?#g)oGxw(lljp00dw#jy)SoZJxwP~6ZBGd*M2PvsNUDz)!Q&5fx}`aZ5HyFy zZ@j6yFhb^kg?LA>wTRr(jKB%HL0IrP@o!<_->~d&SRG&c^ZuIw-5mw(uDb#UjJj?M z13R9q**wCiDH*m*HFelQo)wb@2c2 z(HHZdFRp>zsJ}h!IZzIQQ9sOgI-1nqj^~y&7N8m0PRsCsA6MuJcbss&8+8+WKa`n;sg9-t=bvV8Cva(@`4OrNaun~cGZ{;qwH+`2Qd z_yg;AdGwB-u=1bY{KRjpt9w3y0fzY+(Mbo|HNAPgN3QyAM>#WrhrYw9OmPRd+2$9i ze7S)u#tbs;oT(N&_!CK&NC!TCk?u=E68t>*g}D*2W_dUF1>&saH{4?Nb==*Y1 z>HCl&+Tp&EquoUR;6p4T!EQE$Y37~Py?w-=Y?c_gWJ+!n+{8kK`74NJZVye7D9DFW zL>E_dv*jz3{81s^H|)PpAzZs@7lB4B_1fC+grJz*ZS=sKId~z{!6!1AU*NRD-Bh1s zl;G%KDU1#-n?V)9mmSZup!3U-zCH{y*KB z!}2e{0Ux$dz4h=xCYaAXH1~l@=bhO*-rW7a?J+i%samS#Y;{uR>Szj$l*Bu;!@aoS zog6SWR;XHPf{(>{-x;u^12QMtHI9nR$)}Y@pQh_;HsB$5MN4D zN0I>{_0XH})DiwDeIeEe5VzcByro5_QYExBaw2-)>bA5zqNYPA1AtZltc<7`5Xv|y z!8uJYws6DG6W#?h(G&tr1{~17|J8l>;UK%JlvMb|XecaX*YQlRHM#uUAbUlpT;hwh zn&z(y{8R74a%c9-yz|qsIqHwsM*-Gy@oCaQC3r`Di+O>3Qkzg)G5ak<^;2Vz{$1$O z7u=GSZnD;2(3LVWa@l11AU{vICK~cRNoc(k&_zRNjog@zuGk8drJ$o60V?BIc6fXg zwxyCd@LER(5#Cao0V&befFp&yJkB6^R#{B{K>(E89L;Gt3vT$6KR8YZ*0u2vjD`Ol zp9FtY=B9n2mak`IL59iRLqO-jG;K^fN?x-h2JWcI58l$bI-gfDkMZbY!QoF@IlL@N z7=xd$MoQ(p@Ni$4UFW|+yb<{DC$=^!-*bie!2fg_&ALYwI84baS<&ap7E=igV9N1|5)G*t&)ruEvDIX+J>kcCEAQ;0zK+y0IF3oy56Q z?}?)x{G4%V#Kg(;Z8+I%bMm@un2!{V=-VS5RyA<(<|N&X-)FU6l8<0^fhRYv7JfoG z;h*^rjP}?}7CYNNu0G?$_-xHITR@QvJ{;`5&&z?57s3=)=y{C+J5wb5>&E-23v%i4 zTcKJwsU^~by`C()Fy@%4!_t6h0l)I?BZ-Z=A@^WXw55y)ISu$Mt0}}dBioShM4m^M zbo2K{8-|qaQKK330%MQgg3^=Y`f9NTroOt4A9X5dIMAxQmy_pd9*u&qExc{Q7kwj# zzU|KKQE(lw7S2-d%qpaiiMn0fy)PcMClvhZy!<{l@^ke_uFAYy$mTj7-8 zZ7pgWD)8<<27E1WN9j1q?7$=#VUZB*Y`2?3Szw^gcmF0%kp!NcaBIPwAr^QKdNJn| z{E|qk{NZmNag~1v@K8vr43Z_v(GJ)Y$#_Gp2xfAi18zBe!)mm2PwF}?IE4)yK#OI` z`dzs&!Q`IA|UPwRLg`*NWK3H zyo92zOFQPCxE||_ITh~Rq75Rsc&fjTo5nJgqA1{($8`O;0QGgIlMnsX?X$76M+sK% zlI(AzPC?oqXWCt8A}L2#Jr9G8m+(&UDa>#RComjqdc7jl{Cr1wF zdL;>?e*mG!kx3E%HS0Xljuh7id2L;VQ$2;aTIzufeG13akebqz6$*pi&?bb6bS=@= z8i*<@Y*ps!V0*m-)JO%rT0ySwpBGF#un-U@Y)+gw{-~oxwNA zu!xhjWQJem>C2NeP;h01TSB%}H9!no*N^>1Z|y+e=g175 zSz8L$+={hB5_}o91wSRk(D$_%0w91k`~m(F&{xk#>VB>EDNoeq{0yNB39P>m_xT0a zg13R=*?&VZ72mF$7T<=)x>POzc|$~WVbbmAijx0^Lhz+k)m4Q^f>t9L36M;|MS;CK#P8T_)9DoUUE-%B}-J7mOy3!lNK$3@;C-F zS}^Q-=q+^~ACU7+d?V}k9Vij|5YrZ;xLcq9w9dYnCCPS0>2scf?|8M%Rs)K3LEd}( zrDm2e0ci`>QCp-FyaX}gV)hCPE|{b`dUEGJ9DK0S5$0$lQGr7!3P{ilf5;eE31G0p zVRxKENtf#ZwCD|!I<8yqdP_-bT%>Lu-=AF#Q`kp*r?1SYsHEnLoaQVLBaYr`n$w6zo8Mz z6_TWst=J>MqMPUu%1e6}ngJee(JO>H$t(MM$*YNmw{fMWRLxk7Y<#?MfMWY&$3bo)5$=5W_jxL2fSws`O2 zbfmk}z*50UU!DhOXW;Z*x=H>Bs+kD@f)W)uf30>m^E2cYs z3*Yw{>^s%BZ<-tjK&7(+=vbHZDq2B&*b==nkNij?pZ0e7pRo=bDBla`{AxdCz3?v; z&2@n(sdqf&MUXnbPT3Z08$CxEGqWYQSM!bg zPE}a3_VAckpEzld1kDHfDE~=-Pc-s^5jt()8%_rDaXvU`EQEmlNZbQTBba}MzE7*; zN+c6gF5VI8KeS%aV39o`DIasibTj=UBRXvU8@X>EeVT;~j2C21#Ul`j+AQjqv7)?yIDkV*s*x;ZZNJ`dC>rE{A zlNc4nf7_@l8ITQzYnKrh-LAYxv93Ol>=f2KgT693i98|T;&5t0G5^TB>!;OOiYFAM z1O0dAtyt|vI=NvD^Lv>rF#f~wFX-RufJTsCyn-=3Zx!=HwnfroNxz7HfLX0urt$qP zUE4OvFwSo^NnHa4U{)z=9qPaR+;%JxyvpZk$RL9mIYH}zTq=dtHr>Jp89Qn32BR70 zaTe`y7UPjHjBmy~1LxpYH@~yF1Vfr+drobLT8Vt4jVcWDHW|a*>{zG*{C_8i39DhO z1%Btyng)R7K`Ychh$E51y3Yzm?r(eJu$)NPUdKXU{i>AC@HNl!OFVcZR2#vXt!ZtD zF=Q^VYR^SLw}FqVJ)Z;gvC+Ib>$l5GoL)$}kY|JKXFIjWOt8SX9iBe}`tDqc+eb49 zpFYqs>b)9Dka&kGm`GpC=`seQoqN5)`(pq`&JU|S>65(}ZHBM!8xJmBzBAwLAv}?a zIQVqW3r9lsmI&xkp>w&Ln;f|}#ce(aET`e7Ld>AI1$%@u z?+}?G^2x0I3z{CF3jEj2J})^jyOZC89X~rSy??Vh*s4CQbea!TUQN9l*Acn$4lD10 z-I|GX^Zj#Q{X`^u96~VhgHys6KBJ&p%nN3GvMYSw%2*swCz1PRWq`V-6yR*%+Y}1w zThr0HS<{vK0W=(-3D&Z)1%JAN}kQa$~5aP_ERfKT2u8!pR8DXEW7zAyooq%9rY!XaLg zhE7o%k4Eq2T$suyp|A1y5I%N@Ns+G-9Ux4uzxU$-$u5DA(MHzct1iEU{@%z0{sIB7 z_6+FOd}Csr38|<%(`zAIHUYDUx{ek~yk*GOoStCIi7gegkWT`FxUya?0Nk* zWm;ci0v5d_08Ok45vq~}t)#Or^8~`83NgNth0Xd)pWg!L7{Wnbq6|?Q5y~#3_tny3 z9%K(KR)q~kKdbalZk~-J6NAb^9u$8DRtDA4*d_`(fe@1iLE(Gw0DK$tNIbuRf$3Cc zb1O85+ah!^bm$VKm#B1nCG1ZTU-LPTAC1^a78Lz7X33B=2!l{e|02?Z;O;q30{Mj9kUv7TW)$B(jD;G{ijUJjR z38#)h{sx%QM>Om4I9D8QrZSJy=HGHl&q8Gx;|pP0`dPgRwdSwLfIB)xcM1d0epy6}>J41>5>BTIbQsixz|?TnG+uFPc>zGmV|n0nf`TNCty zyd28Vk?j7m+MR7~ZOCD^tqz2=lGR3=eB}CP8zb=Z_E9`_%g!_yku4k3k^>;^&MaBH zWDJ#%j>r-W^@=+3N~qX?QVc#Eo@h-7a&>t29i3=RailwJiZryn4-vA|B}XO_>hMT% z)NE8~eIQMUzaWN_ih2f={@D&nq6r;oT$+uFupcNIfCcF4XO{5lXO4t0^Oa0z?(j+W zw31c1Xq8^#B^&<)26W57i+d-b|9xbWy@Z;i%V-`(9)0X}geXe>%0oS|jCn{!|6V`y^eQE#qa*QJ^TV-b%^42P7iC9A28%b`9oeFyxq=_Y=u7-4G zWzc~x-xE*&z)`k8nVHOL@dorb$}Gn-xk}*oLaN9qdAldaK}m$D)0at%1yv(ev1B*0 zp-YtT>WNgcD4db0w{Yqkl(Ke-WYol=sp1`#)_uAW9M&-LT67lHeTMzPjq=u0NSOte zVff5=!&jf1K=Yw$f@0E$e(9!Twqmr!cpUn3;}tMJlX=`!G$pEJyaw~gmnlP69<(A? zMaDp42UQ|am9J=)1TKLr78Buk8Z<+h9OQuJ65l{UMO8Kr86(tQM|vcagJUH1-tLdG zTy*<26BX%(yM$#1MC3%sN$Lrlx;?q<4VYtm(;p7yZMsyl9m#}m?E=GEbgSMp|ZrRxFkTA@K9OomV%sO>OIU)3|3t6^u=aV z@ry+7iA9qPvtL1kXjXF;Jj{ekBMQdla=8td_Y?;|Bcp8fY7WVp(yM{yx1nP_WL`nqe z^Q>a_Wt6hho=}xpAIXZLF%4Zc5^#P>j%Ln_XVM^9x1iA=0R10cIbhmEC>KJI{GxKQ z2qIF_?9L;+wxy7qMfT%DFFJ$~%7&Zw2qRGnKk5)uIsGaw#0!;RCp(KQW-4!d?<-yP?mUiJ><&;~PqP>Fcj@80YQ`zC@vR{$gyHN zr}glg%6r;*^qI=iP3WmJ=&#e;l(+V@#BjAJFqSUOQ|PSI|327IU+~0Nrzj%S>hv)f z6CcUGx!*lW1K*&6l<*5tNW-__RM_*hUN;?CtJ@eF)L8y3|IWEACr1tLw_e2Vag zv4u?kHgM-pV?#2$)46n`p@&$DN92E|BX|j}C!4G^B4i3RBUn zG8-=!CiXV;GSn@|>+%qzuVxDTxa<0o367F8UJ5w4Q;UVUN(>28?Hdq{6d&X;dM+L3 z+qg>x&_g{LLznGjc`4#0k?9i^KsZJC+044}x-z36KML1uGAybJ=DVQaz($)!&`OOO zkAm8U1>xJbiuIcRQ_#kE#dY55kxtA+#p}q`&~Y)(!un*32BI;;S>_Zl(4M zjGf#DPXvZ@i{~!V$C#hd)i%`#%&#VUaRFWPN*D9R_@)GXqVfT4+9ba6kfUq_d740d zpr3zC4TEPnbs0v3&D-8)y0KWih5tm8m7GDE+^k|JlB~Hbl$U{21>_W(w_b61otHT2 zRPy0)_r_K5gE4K_pOs%d^!Kk`{6X6yBZr5rPPq5hAtuWYa#Qbv+WTCNnUjQPzwuw$ zy<%mlTt!UsGH(x7^8C-V{;he(=f*AFvg2{jB}%8r*4rRQ_?r} z!?dG}wADkMxelrHn@!gC(oI&}b!Oak7Tk3vTs>CYan^f}I3|U5gLLQ4=K`;ejx+c2 zO{@9VNd(*8f)Q4{!6rH2>{y3%>^UHjUb=HL)0VZ~m+2XOE(Tc}}uK7hgYYTVcXKw)#0$Vy_pj7R?H$aA$#_pJK^*@x({a}n}Gof#Jk}s^6 z%^7)hFRbUv8TkVcxRmd?2jV7bY{P<+5k2OfSU`rySbe%%J(g?i7+_nl;Sr;K;b)7E zIUNG!#!>e|R`@Fg`-j+2`TzqUMRBpKdk0#*$Ch}DX3hMA#vW*flp*SRpxHO!Y_V(P z*3Q`C>AFW=!@NZLFtJ493rnk)nElO!-A(?2S@5>bx>4Ge_PSEqbp4B@qlr}~!>0Cn z*~H57+Nx4TJ)x-y@3$W3=fEwv$1m_V?+K4jUw)m8d|QrE*2&P<$=C=TJU&rB{SW7G zs{Wtu6U}Pn`(%1f`+U|T4Odu5@cf;uwdI};ACe-fTxI%KP9DT2VQrCcK&;*lJ!U4-pfyN;`K2t$9 z`(VGft!SniageoX#XoVO8@tWGB*V|rS-R@)&(s^bMfr(fePygp`j*?>h?1APX=BzX zp+=#-E~`r0j$h4;AH{RMs&-z#Xu$pFNn?K}IO5^>%}l2fBD9Z8oqDkMHG|;qV(t4g zh}n1c*m>Uj06fz2*+#E9?<&^a^_6KFXr`<=$6{VZY@n>c)?FYUo?3>$;$B5`jn-uB z6Vt8*i?VBlI4yv454uxf#$$*VT~$hCC0dJv?l zKA9HEzGq~{s?2lRp_rtu>U`N{kE53@)- z>U?u}sq|%CkztHjrcatLSPFDaHNlVc>8h4`Uv5$;3&)xuHdqC1J39UXT**6VXr}7M zpd%WflFCQ$0@d)u*?{EL0+MkD)x;X%lz(#j+!Zpv7^$R)gvYBr6Kmw6i;yx( zxC>P3exMfVxtr{IVLKb_@?bj~?LH!`YJF}S;Kr^iql;Y+XtLJEs(!GXPPHRGPT}4w zxG7dyd6YYq&aXj+&}V%FRv5K-#T+E_fg)ETg@F}D=61uTTDjP=Y0AC0ACWKzH-iU94b3t;s zCLoiwt&D)iBr*O!1Y1kTZOVV@zf#Te5`WbeHBM#t`{bpS_4Om2PELe2Z2el}zek^UNfUGD5Gp!+SPrg(ebj6P8bEZ)G zcKkZ7BPqr__Qk2Vm|B^ig66-`u+&Wd9z-FKcrGA&flbqur@<5jUw??jD;`>;r0645 zK8Ay7JWC%t629sYyT-nI({<;!?Js2Vf85~#3i@u`ap3D*pqs}25laSWmKE;dITV!4 z3P=C&FETdoCbmC29M%~`RF{ECa(=x6H`)>I-O-6PyYL|QxVR-a`gA?;7IMvA2%qsS zoDU$&M(C`5*5u7kFE|uRjM{wg^66NBt?&r$;|mOUzO7}9ckOD6I^27CxCqE`Dc^Gy zHX7NxyShLv9I0HgVByO7bG`Y!f~spDMty&Ym^ezuyF(M$bOw6&frN6xDbm4SvSZry z1|Kl{Q&;93_!M9`VJGe529jvxGMCMg*^d>T4)RqVygc&~2G5s*7R+zG48Xx0vtbYU zUr4RJumg5rIy$lMmAa=?$lmFuFW$)M-u>qw5mM#D!nH1Mgnee|a?v1u2<*&Wb(e-` z^N9F^Et&GmVTc7E(GcfK(N4_*J%$E-I?;YZVDRJ61=rxNO~DR$glq<0KHQNW?yfqa zJaQ_W9$p>8FbL;Fsh)Ca#z}}Ohb#w-LF0}^1+(R&f}7=GQex*}G6J3xyT@dQzk}`S zaPj`~)Xhhj9#(bx#v(hNrQEL7WU8|~gE#w^w*F&R6Nxa%o{~>nGQUxJP1V{n(A4P< z<$ViBMXsNXP3=6fVN-J;5>nUB`Ery8aY7!>7|^Nk@#%WytRgQzSz6Qey4XfuBC1;3 zstGFyoLo+-{gA(6)CA;c){&w%SDW_kHdhMf9O>VJQW~}KE|%sNA4U(BBs2*E#NCi{ zt~luOei{UoC#&##4^zdk#U7m*aCs5r-`y366m{XA;qWo$*+-E# z_fQzaue)t_+>A7DQ9d+C(W;Wu*Sx4IMWVBhb*mQxGuWpELt&rfH>^G$_7yz3nU-hg zAFQC1$i1t!q&;5-Vc&|~dq`|2bUGnVr~^sdz8jK|cTmnZ*Z5uI1cO46?~vN@epvQI z@Qb+Y2uXUQ9S}o@UH%FaTV;D9*fuz1Pnc|BS?o|m%{s5*NbF}OgJ~!0f?Toa!tvpN zb9uPItB1}*itcBIW39Wz`wC(1iueXxGKS-6!!o;E|3;g0z_PJJ?z8#i?YJQC@W+Vw zN7^)B^a_pCBew_ReYH1PQ4>Q6GeA>%n_7H0qSH^m6ZVl?!mOk-<*t_oUc@9yv{^0 z-!R*qh(_$%MK*_Ch@$!v;yU?3Zp-^B4sIWo%?t~*>19sccqBFB&xIyB80)A7C)8vN zTYXX&<39@zV2yWA;eH%+Dp{_Iv_wcEPZLMVR3wLC>J4~j;hs-x`sN?DcJNc4q`@ay zmuGZ?4*W($MfB%TC#1+jhKF#<3<+;h^M>o4JAha2k~xZLa&*wg{SnM7VIWf(qDa>S7 zk)YxfXn&~TpHcLpoH+5wPq|uTOhuRkTsz1{K5z9TaJ30=zoIf z%kf{ahOy2kna|t*n_Lq;&0WK_uthL_+;J(1p0sQCsnzt6dW;ty*n8vEZe=~=>Mv!b^6*CGqa+ z!TML6qQEU>I~s&Puyt|)et>~hgaJd?u9)222?Bv+OtcKELjkfX^$S0S;#`V(J!1%k2!L#ctcY@wU_?XRhWoLTqi1%Xj4@EmkD1C}W=~3uf zSx-+-FC)~9TYP`G7wWc-A5Oh$T7EvMoWQl8dHQPS$$wC$K6)-`ODtM)1q?0Ob4GVv zJJ3U!{oJkHnHF+N&>!?@UNb+D3~+(lm#|0o#Njd_B$)`rl73(WH8>Qa`|7MA&qq6| zz(Ge5NB5NSsfBymUG6i8c6U+iT-%sZhZrAm8Ff0@3Zl!C@s@OX&^-Qb}(;74i(a*wKkRvoZrCUbl_h^4Y*_Nz&x$~h8z9^4! zCf=E4z7o!iULA}+T-T^{!`IT%AUE95A>S zh}t0J7z^k9jym)-xW9?~=xbj29b}KBUQ(jo=wBn1*OR>hQ?Z(}7PA;mj=U9~Pg+pIj&+Glj+91n|wpKJ<+*WMP zrWW->-3RKC^|3BMfCmlYL~m0HB)Wdu^ck8Ux6O@#uMbODMSVmTq3QauaO|ZxrsYPn z|H!sS6UUZw6rVG46m7^(K(e|xxtVyS#_+(Nf7Cg-6G*WT6VzBzLK+nRp_??aeNu^q zM}dacl)D*2=32bmHaoOPOhmvE;w2~v{V(|RA!@-{BmgPCY$ASF_s3%Z8Akixd!~G8k-_7xDW8#!jrrQc3 z%%@it7uyONUw^5r->g1x6`mZN(~P34K(|%1u(tdP!Bxl5{M4W?593 z7MjR_d7+po4zL5EUelQn?86FGwKh*0w>|#dmNHD&?(g&7(JYygIyZmM^>H}{^XM4f zY_zP>wMUmXsF=40;my4^uk;|S{>#OAr{PWLkiUH228x}dI#ui8@@sZs&uJs$SkDdw z!E-)QV_M&8(Y$RbWi!p+gfS(P-v|zJ6cynqASIkjL_QjYxeg0rFaxZFALYVFZVQ2wt#(XgnU^G3Hm82;#ELu@X&p6 zUrh@+-azw8G$nd6;`&udln0Td-DQ$Z80zBsBS+jqGF)K;6R{(B#q*Y&_`z>w42BQh z$*K?ZcRpxaq7^z((o)gvAw}fa`jJ@j_(4Ns>f{^^F>a;emZq2=rR62d69>d(-^MT- z>+&oG9!a_XuXUt@*ICt%ARF0{`C}O$cZrjK85BP>Bv=b%TsWjSbSLW_J5KWvInNFz z1@ZB|`eiyQiD^JN1Uc3ETsb%l-ysIH_q8JiH1|!8Yg|5#t|i{>-dphf@#T93=dq_{ zc~SjM6ZDa89VX=>BkHm})^6xMniDxfH==Km_#}Fo$ZTA}v#&AK6>9Rj``&9}q@U+0 zOo#*2z~(!sHn>!WO1LU=+7Cam4@6KS5+-H6@m1;xWcj5#X-Pgjo01&}*YYZhP|a1( zhbS2?<27#+sH4U_cpz5_4=HvCj z#+<2#b-?juxrKh&N=L(>c5+ zjtoq`GWm^LwXc{+w@MS-8N>rjy1Oh=ETNRF7fzA@7Kt=SC zG07FKPI93C6NVmP3Gd(g1QNtN|9I!O49%(Dmj(xmLy;A*!9iicgan5cL6uPVG-G^g z9P)<3E;rVBRt*LYR+VT8vqe<<8i}Sz&jqD{fYhG~SY9KUB|}JLmh_Q?5JMP1@xU!v zH@5#*XR`&j_%%DEksH#&0cK(Z$yq~vp_q*cl zT(#db72Y;WovkNZ`ZvzCe(l=b2G!fOTEADSyd9SRiemsPl6ES$v#K&5)X$Uf(7)Wp zLppO*cUWk#{t0!Si?Czbm_9)L1`I0CVF1g@JG@_S+vEDr5w5$bg}||nt4h%!R7?7C z>>DBoewq>btwoZw6-GH70c<()SgfC|lu%0%iN0D?ZN;GWk{RPk1NQs1SOG^V;5@a>UOgOsUGzg2?g4>7h*Fq}>t7Gmqbmnu1BWf2Tt# z7+c^+fuw8l{5S*_%am)u9vs>O4Gn>H&0L{UoZ>CY>|i#jzt)8Fu^(nrJItxNziruc z)4supd##PY(Ez!v_8;Pqwq?;x`vNEKxi$h@1LT%k82g400>=UvLQL2jL3CSLSRo-5 zaDoLfmDw2+34x>I^)rVz*}Lb2;L!H}kFd9Zi*o56$LVhAW)s^(SZjJLXm+BKN+JLvRV}vGpDh_?vf%D>ITFY*Abq-Q0)<$%L;zplKma|_SO@P z^_z=khn}@R+vmf{CDyPfPLvMir~X2=O<@c93UYGF?V{OAHLJ86Q6!KcG4gcwktbG;UNrmNKR|OHb6f;a$moorRRauNi@Le4XguUZUHi zr5|4!_kt~^0adna@zS|rIdA8p4pIF#@G9@r$VIb$&)T*2`TgacAn&8@j#FFf^M?A! zAyflLy?xOlP3h6g)-IJcFr7C~et7-WCnC`yMJ_2)n2m;}HzVq4dge+FY;sAL)III! z(b`<*f`yOxBl$P^iEYE79a3nnmrskvz&Z(xIT$J($AHh8I_aQJJn$L4A@!{UPu!aj zVGxJth_|U8(6LsLnsXS2s3@4EAg+`$iqQ^)k)Uz+CH{rR&F!=U)}$>OOb(PxB?+Wc zgLrO^LsnDWa-WNYUPGFc*T)-phq6gZWRq{Bk5n?2%B#vIqpt$#YGK*Dm5&=lumbUo zQ!1e+qZcA*d!by08`JT6$eKc~@Vp0iV0P(^HH8ApYi)vZ3?i?q@x~aq9aORW(OA}m zk7<-*>f8&*QUGIY5WPAh39%Fo_M7!U_`-l8ofW15m-F=< zl`9x2E+iVZ7IIkBWAy1Vb}CjBsWNuzmss11;)kZ49>AltKQ-aHJj6H5W|bon#IIxE z<`eSV!61^jGP!|4?Z*8Gf2weU6O$Gv|6SZA-nhg!u+W?`fH!#Sv@nUjQFqE_pd{$EP+(2;Rda%BOkw0t0vAeMK{_a%*sim$HLu zk7@@y6k^A1O+im7k}E`z$}5hz3LAfY*R_E2n<}L$Lk9WB9r+h@ZG9LIx^w#8qfq_mui|0 zkWr>e&PQf%e<3g?jm^56;6ODnnyqsEo`Z`PM~N!5g^P?2Gez)T zoOU!s%g=()z(tGM0*l&`D(NAH8smx+X`(dYfaYySGco-S?t~?pw|$*FuIJ$xa9x|^ z#-tugJJbRn)Q!~a?Yo+M9Q2lvn*5ho;1UaR(7Qv+A5x@-GHhPODSH@?ARMT^>UdiP z+H#dl6Enr@-VLK@i1qst>XOli*9CETTPjG~uBPkg=G|~had5fGaa|4CQbAD!0mjIrM1GO z2T>9UQ>@_)m2}d9Y7UN<|kjN!-)SDGAoF zS_L}eaNRt?p~>R?0Zh4R;r%ph053*Ki8>hzlad5S6-q%dprnL@{qM-L=Y)9NdQj*= zVyiv|F88YM1!2jv=S-7InAGs#A!*)d$d#m|W$WPT-h{sgV+dtxEtZCjp($+MPuBFo z7`PF{Lu4f-K8{nE)Zgo4Ea{3Og@C^{EpXg!n0Jg_&zEd#omx;~B0i5gUKKKb`0;7W z7ovj9i&505eu`5K4rMlbOT$iN^Y7lLZ%|?nHBi!zlrSr-alc}mO5Z3Dc9O{zA0;oP>zu7rVjb-uU(~LQnjxKf)8L z1-DvGWy2@l`1TPOyV>3zUJ8h;>se(@RmeHm&orwZ`@3yz~7*3zpjV_P-YQ@fM9b>1~BmoNF4ua!E$ z362b)626WDDLRpE`<~B{2Q9x|yxjZmNYRL3icW%zgD8xDZaPKO_%WI3Wg<@KM5sYF zFU2~4z1Z5LEAF-r--|r>GlI@%f{dFK5TtBH3+A8&b6kMn4K5(K_GUZMr|MU4;oeDL z*MCQVN`WA|82T48(SiwRL1rKr9808c{6EDRiA+%2^3u!=3$7@8hGlr#x zRFTVn{I`nxPkoBhgy&8D6Hs^uxbQ1=K;ShPODPVOSS|uUxtaAqub0gApR=3)1eZFM z@&s17+yE5mF$4oe6Dj~Xmhv8sToO$=f2aTZ8*u_&kS}krKrml0Kb$BrwxNVC`0PVE z)!b{&zu7Njb>hw@+4FAc;gy)+Ya@BG6#JX6@8Z9I%WS@<6z1G%o^?EYw+Zqk?W2?0 zGSkbkl(uUR3ux+JU!P6^|9SoO^|5~lez=!X-rHhSjMtP~e9fWyzH^wAgX)zej36tx z;Xox>T`M<-e}=cl$w-ays;N3sv#gv*lg2&AWV;%|)aoe2h8p&=c!}RC%Czupzzdbw z9uED3ZSu7}{P3TkZ9WTVF#)YbIyg56&w!AZH68(Ab$?@D}#(c(VM2#_9h|#|%4BC|3+R{G(!=;_{i36FR zlc0njWaB^y_enqr0drZj#2#<91LrT0Ous6Ubn^1u`Q6}t=KqkX9WrA2DwUjYbya9u zGFn+OXk~4ql?Avp>xlGs{|#>=gP*Xien|@Y_3rQF)R#EK=zkFQ#cK2#OP@Ha^aBAg z=Ll11IJk%=K{T92EWBMTTvt5&qxjtjmsNHe!5l{l5}0k-@uy*wT2Ua&KSHOqd3k+f zvu0LDpE^Ws`IIq<+I`oP+6XX3HK%XPkV|6`N~iXu^`y$B>2MBdUYkj+9!YZb{R5my z0$n)l8R(s*FidEN;iPi8_e5dX`nQ}@EzN6FQ$_uZH+}0*mWpe`3q(EbZtbTcI>~)s zVy~QhO&32CU2H;S@$FVw4!!D0EKVg=RAh=Am+G+-TU1tQBmTgznH(5ngH1Rsj3K~Y zokR%JOALiOa5K&g;k2?x1CrLnfcy$-?kE)g?g%=x>zKGWMADeVU`H(IJy&Y#y!-S)wmT_dRMr%a8!kxN#ojc;5LS2HtUvc3J_?7LRvDVJhIcCo^k z{;QF#O||3`CvR%+hvD4y^?49t!QyVu{wht0kLIKFDLUI73 zh4`~SNGcB)bT-7{!>-V@R}PHD0}={x?%{aG*GT_(>3^_>H4DgG4H4Qf_<`XekmwvE z(K!apK&40p_&MH(t+*v0rO3$Oe+C6$?s6tSfBsTDjB9*1;ygq?oygWss+h?X>2>YQ z*XILeNqg?H55IDeBD{ud3vWQs=p8czu67|Cra4K#V?~^;7OC> z3TxQsSJW`>#oYcTZ76(K_%@w1-IM!|uIxd!-Unv+-3fDlObONvkkmEx?Uh{@HCD6) zS?UXNJgSVA;*}Hu&K-uyG7DA~#=R5)UWv&7;T52%FY>g4*U4~wa7A$Sag7HIrfA%@ zYDAT@y22wI?obB&JayWJ?0h?VF{3v3arb`3{py!~5nQUyon{&-5)VDS%QZ)$tr+-b z4$2qQQvAJ;rdg1qA8487(f7QJwZA-5L{r|5@538=dwT*)RcnNX zm`YpjZhcr^hIts~+>`%Sy zq>sk!`DUKge9nac(iTmka)FBYIc!zs`?NLbrXSi*1`2ChM@qlxWcU90(Q7NV?c=VO zE2Z~OnKzcI&bJD`2$nXtOa{_UTUffv-gm2;Te=TP%asW+EN5->qXVjv2|$zLd7c|d zU$_$g1s-4NAY$`VBC0OPA5;)AQ-8uNDRVEvkQMW<43?n3%q&z*oM#!;gT(ESUG|w% zxsORR0(v-A7h|O47TmKb=GPKOWO}Gdca_*!vA1QWl17xe$iBZ5&1}Gq2qxj;VAC{T zRgfcNVof5=7)l&z=KoA*n9=C`OXQ~=sTo&gxCovAm2ux~P7r@&|BLD!Va2Z#q%)0E%RMAo|*Pw&VBVqjkbE`A!%1)Z@AgYa;cq zyOuZT%OvQu<3+XozPw;AlQ8#30kUuuO%|gJdpqyVbQ%Uk*xi64^KbeLx_R!shnJDx z^%-T3$duLF{1mr55_-5;Wr+ir`$0ETR$g(9+HdfDX{+3%HPkdx&d4>r`E>dDj^~xy-8hq2QM1;fXy~wq z885}K#Y8^-AbqW+nldPn1eu>nd-jcsE%A36^aVwHs)Mh;Yig?)i$#nv7Zhn3ZqjEW zJZ`09A_#2&nW3wsAcfhfz{)|7E!MgiFUl^?rUz0Xk##f)h@jX?S==JpYvTY%l4tIH zzxO@ids-G|7B&@T3%(Ri&-p^h<7!nb$n^yxL0bx5EiSyHp4 zs5|8oTzGyalE$1sF9UbpLL{+&%RRV`nqJW%71i()fA^euVnTw}PvVJp>{IX9;D`<_ zDBhjTuLRB%u4b8Nl7SGAo7tdR#tb&AJ=Ut1{cQR+OYZVitKN0$>^6Z&H?K7FAFO3R zve?VZmD|JL4ynF_pA`EfHZQg+w#I??6Hz|a*y_Zx41e}#TlTS4Ty)^>2MgI#%7ptL!Uv8IqL*vA^X_dgW>C`JEc_wt85P zVd^3ZH zcd*DmErIxEr~b7n6Nx|0%p-wdPzLy!54v(D`7T(SykD#+x4CFe9n6{u#?vO zx34?`6>FEy>(G(j`Wa!d!s5K2_tLMNsg4jvmTurEPTz?w{&amn-~Z9G$YWPd-`L`` zXM~YIYwQO!E`DYHj(i!_|DnJ5+nVu3*l1MH&wcLSy3W?#-TUWCf!BX3_E=c)1PA%% zvA@E>UAa1Htd1E(_-)1LnQd$(l|(Lk?W)i3h&*;rwcF@GK>spGihC2ac6Ide`tcf< zGWhq0ldg{rL<5I&cz5_{{j^ayRjPWv+Gijz^wk!`tx`4d)wThFx$pN|+IsNHoyiv} zg*WfZ&_M!(t#@ob%yr%^^($1{LZ(hiG8YOcgw7>J6SqE!mrfk6w7Gg6U}o;?iRwk} z;v-Y9A0hBZrbewb;zcEXJni?pqqJodJDGrgBpo=kWJ+m_-C72z#DiT^(;-FarN9S; zyLp|Kd0p^vwV4t=7b&@yWforH^`>s|#HvVB8A=<3aczZ;(AUvB_oJ?o`F+moTz5=A z7t2#bHQR4GB}*T_v|$w0sJiphX-j5h(zLtKGhEgj=fvhFWo6U8jRgHMMne}JFB@DX-3^Kdv6c}@aB9@D!t?U=^%zgz zM`kS8zz9uWIgp|9@k*%iEZ<-N^YdhmHllZlAu%9|<4MF^gDkH|BL^jb@tKyY!v{-J z<_V1HO_mrP#l+|^l*Z1b&#|wbY}-}&91Vu@M^GNB6k-b)iOemvK#o)j&C%pE z0+1{t_mJeA6Pk2R(kIKCgjs7l57!#iswsZfTP+Y}(KjZvP#8XWxT;b<5fPESF{5I5 zEwh?QbB7tD@K)J)istnioT!M%j%byL4409nND};O;AK7H>FH5D%lyLU66BjQ zHYPZ~wQuyLOVQNaF-v1TF;A<$V>Z81XH{((;NMEL12bKi;BS6&`fa!(L1uJL`Gj=d z$uw)(=jeSvj9v1wPsXNHSWwl{8@Y)cM8Uk=wc7i)cg554Xp!gbU~nGi#Ud{-lh~Ni zF5;wUp)sEF9e)>Ym6g5|@V#F1M$e8DbT zpTOOMx2Lct|4UA*6heA^@MidCe!csCx6;|5x$t{(7ZBE%mub>lpw40aaqjVSCmM zk&FW?8UEO)iaVCI8fuJreUT*PT@s5Gox;Z!cOMNi7}|bL>yx>DrL^mHSabj^$kVCF zo!*H2gVsHcAJ#iDNnz|Kyef0pW)dbzFF<%4?f*ohvRhvD?U&}A+di1;#O>3^W_Gv6 z<3D9Ab%*Dccb{8S98$YSn~&RN;RRQ+lGgMfrXv$F?FqqxsD5l0G-i=syYR25 zr>>#nr>WHJHQ1I^+ey$~;xiKQKT!!1dCl`|&{SPw^o#e4BE+lRj2ABgZD&GLQ+7`YBKO9IFcCUXo!J6*1;Fuo(hp|*zCb=&-mL^c~$8ecoZF3LG>aZU@4T3A} z+}VeM8PF>Fwbuqrh8b@>+LizWzxI;zl46x&A}>fwG90X7J(0ei8M?By4kU`>uxmyl z$jHh8^XU>ZGs{47=*s3g%+I$K9bftUb`>_{*!m7?Rn2l9$lqD=t}A{iWhiPO2C%qY}`?8 zHA^#acjLnf(WaqxhLQa^$#2#Ae|5jKu;XXy+H zv}kc%IRFd&JUS44xM~sfo}439Nc0T3!6~M;qS7`#?>R;VTgi9qoX9_!jbYw&F50dw zH?#N(w}sUz{cnGzM$B}+Fm~ae<9-G7_(l%wFnE6lOZV;b;$SHs_6m-iG8R-jZB#c) z=M}4O2~^E;Z|^G&RIZ#qwfTsPFtb!H9c@E0QaM+enr6|9*YgW`q1uqon?z@kMnl8T z$n5Rp96}DFKfb`;MB<}N$>FuEP;+^QhR|m=roVih7y6d(F|{6>Ccc8VH{J~&u8!E; zB8%Kx|2s;LJVulb=}bFTeu5hN!}(-T)GV+RGI6yeL@6Gdv(#zb{)SDa<+M^e9#Ybv zKE{L8#IwW-d!Dg+;s1-Z%V|O9;-_9oMYHrMYfM#5%GE{2Fl&MVVPN9IZ=&7Y;>7c7 zELC_W)t_@{RN|Wi*tn9qOD{@e7JCq@laTAs!*1+&m0o1j#DZ{`Sd7L@_%ycXXu5(X zWLN%W&bejQ6NS)Lh)=}#TWYJPpT=TKIoAwn@2qjwBcG;OMm5UZc3xPk&3*$vIzfJv zf}QswJJVvoo#&AbXXnp;{E9g^!QR-%A6_F*?PsHpQiT5hJ{UVV8Ro9TigW)J`!`Vj zg?cqG_iEr7*`Xh_Y3PiZL|q)G8h5sjLzRyMrw)tzE(reyWi{MXUJh}}=FNN%djDKJ z+loI)ngU3qXaOX)h9xUM zp+fMG`jmHRHKzk6=MKn7sPSX|_h|?s(<_arIdZ(f*UxE4J~!PHpnv_&v#V|vbi(6Y zFO787U>-D{jN-<%HnVVq)z!ga)EqyR6fD~m(FB_R)vy>Bk<8Dy*}Y0FbehNC+SP%M z78rrHtUYmnlIkfznt<6_wuiykdd#xEg?$ztT}=M<5HnKY*(>}XKQR>9{+Fqw_$&U- zvp*s0i5SgNeAdY&z7|Wf^yxv+C4LgSKM@sY9*FLf&a-jpdp*pve$RKrZIdfNi!IuqA6?4hL!oxQ8Ye;b}EQYclrENYs-Va|Ef^ zz+8uehc&ca2)<0K<4s)Ifr~9$R|Sgpf1ueSql(3pwknf@cE}gLyl=b2GetAK>b0)? zhpT3qrTAr0u7N64^0!stcA2W=uux?xdH6Q2)^`Voq+N7Sna4BT%`?sE#DcIZQr-V6 zWK`x8`Lff4%^&OmurAI_fZjn8Fjy3&Mg;Zdw}nZ%pLopd&6mHe)#^5X5ZK85#ZH$| zS8`uP(j9szZ1Mn4{6AzXeUirv*?c*us$$L(9CWq&;p8}g;)SDXsOq{^?Od08CnXZC zTRYNSQW5O|yw0e)dS?b_m^TZGUfgrnO&w8dmLv|oROa=QuAm2Kuwt2}2jZ2{GP9sK zuc&k1NZm2m(1tspynY`s*@tVTQ7dhiXP zkBwoG5=FN%r%#y@?`&{0;63_6hAS@T5b!-WgAgcHTgY5m-%K;u<;L~ru#$9&L zja@j?*K!&(4yc!sH$Ivj2^T?_M0!_{MZ?|JI3LZ{Mh^B!gtgFL0FQyI+YXhqHrZsb zf0KKWT3x@cZYP^-R6exN{xi0hSKfUYkwNz+Xdx`L5dSkza>vS`rvED$!_p@g9XkyQqEBT2WhD9hRSRpp z4w#dIR*&QBkfEl-M8_@CAu^LRJdoRCm3cR4D;*M~)@vZS{MbVRzC>(V2F!4g6fl7r zn81tJvNqSM8G)WNZ!6d;0g0xes{3?0bRux!o-_Hbg0hSA&9RRUc!cQil$eYzh_Sa- zPgPGs)Id|;k$D!Q4>pUR=rWfnr>IMbfuLhzu$l>Y|Yzdn{>&?QV5D<-?4lNv|6 ztKn7OTfXfs1)iX)^4(LNw;+LbRW2Q(1(hr)pT$cxu*fCENcbSO-5)Svfy}(_xzcw_ z%1vS8G1+Da5qxc{TMH|xwa=v;XOJ^n@mjUorB}G?`Q_E%ZAdPfclKs|G#ajcuL%NU zJj+Ji0zKXk;G_;>X{k^nQ#)-m3LnYu@$)9TjoIZK;0t;a9 z)p`_lz902tw*Tyi{P(xTsEftcBRc<%i`dBpdkURLOZ&`&E{}RAIWBbJsjV-ig@ zkMF6%%_y{Kteod=iqZ4_wl?ZE8;sZbf*j$}@h~^#b~Nht5GQ=FZ2DLWJ~ugJTT&j# z`VH%9`=aake$Jd-v0}fm5%j)Ebat$1oeG?~u9OC-o~99~zBV}liXunw+#z_N4%3=r z$mPN$$~H^E;nlPvq$*)|uh58&Ezf1fJiQYq{^c`8fBin(!W^lw~2f#1*(5kQ?K zcJ$3KDJjQk-^Rct+cz$1H!cKj=ML=1L+e(thyEUXEfD?Ok9A|@)6?H6dHk6wXkzDo zfJi`7c{K``8`tx9MZd*e?2l2zeZ2KCu_D^;CF}RoCWCpW#AOMoz3zv(X%2LxCt!Eb~foylt z4Y+sf#?q?|FYC8tytqt(<>Mh36%Iq`CWPcA)Lz%$z#^A8P#p-{K!R59&4=i6TY_@m zvReXbw!8(@{4ocuW>* ze>tU3!O>F(7#wvaF)eM}I@q^rbm7!1pX4nD28hVad>zOqXwq(cdfZubhd_RAjkh4O z#^JOg)^g0inbZPbDq7b;-$BH|#{nOFkv*!w=h{q`1&l|Q(f!!G9+=701m_KvdE0VX2nM2q^Ipn(D%MuWAyQza@OPQd80 zJ7~}Z<42ooPa){3ME=RPc{!|7h$cW|h>}EGUqeJ=A@Zp)1?r4Ah$t2j^-fP2dML8O z2mEuze-9SMZU+&;xWNED>O67oJCo6@kEU)vhvdH_YR0+=+D5?hj9(e;()S?;c%D%i z19voSZN5W8{DvUC{#EHisBTtGF1~w;ju42Qhi-k}Eu3vcKVs086xdxy!D~#DV8c4~ zGEi}t$Gjs#)VP+aZ`?-cDILi2S81$0HS`ELJvz(~tq!#Ua7lcUOO+y*>^aBMHu;!d zl;$zrH-b+Tm@JTz8#r^qqwtW1W5r>VU;CjbUSKfJ+z>Sw^kqbc77T)c8>PW3WAuj7-bjyK@fDR8IREFdlLEq1!TJ8FRkn2AbdF*UgCPD-lj z5>4|4O3cq8UC;`haRCa}&O}$0B-%-^94=POgNM3;y?GDw@OgBI(~k|~_IiVItGP;* zEOjGqK5BQa`3J-)64^TgxL_s_CR1x?jUMv1yUVwij9UAgaP1VLA9V8^9EVZ)^#2h= zMe_-e#7l6#9fgXe$)&lqdhV7GT;wvE|H4z_*S)1cT_cfuVo*L~vTy@TBg$)XlEAD& z>%mVl4t`yjY<#r@Vwa+H#-;Mc=$lbT;6q|b2K+b1?|{90^TZoqOTLK6w7d@$E5)(% zQt;N5(moXAlC(#YgOY&DZ)sfKSLXy4AYbwuqe(1DHO5}k{7R7JxX|9#s>@Km1s!r~ z^fpy#+7@5cRXJO$jxqgR1AM2(_0>Ea=EM1ouxpo%vluM`iok%DTVEav2_(MF5tpE& zuikxQR%l{VXj=UB0>q`77B~J3U%mi-x9x4_<^2}KVQQ!M+w081r0N3M3Q?{METrc9 zwotGfpi>Ug@>pcZ0)?-!#a9JMfQMv%Jd{8`G}bR9eyg4?M@v;|tj|jHc5$U0OA1u^ z!q;{JsXta112I~AHH8v@2^J+)&!Z>)K^^VvQeaR$NxSeIkw{URa*IkOS(sJ~mqV3~ z7!gfT+G<$I79Y&kyi|Rc{F;fza*D+1u99_@dK8PRfRX*CXePzcEmkZ-L;Fq2OA-T3 z9%KM6%LT8I{latxgvDoPT?14^dIWXN$nKN|sKwz^nFB*$8O?p^VYm0jhdekgd`$A? zYG^@4&ZkjGHhA2ouDN4+r%W@gHk1jNf$1AwBX{J2`lSV^FaaZaP>J+H&mR~YsL;`Z z#9{?f#R$g8Q;9RwWBHpstS4RdbQ?SyW5I_{WH*L?90;{_Z;;PmZ!>KZZ(rF~mB|b& zC*9r%>L1i|-=spYFFT?9TE;kSRh1`ZnDLu_0J$b9N>D7W+?CuWc~q;Uj)FGqE< z0^5K}IuD{W_Gz5%3U`?Ru`ayJedj(vNHp>QXd4;cXS`Ecw~GX0AtT@U(^W9I@ko9_ zQeN&*_~{BaZmS-~scMnA_(&goYaP`r(xGebRnYfT_!gmh*|#n_N1~TSbYld@7RNp4 zji?r37m8s@sr^vs2&I%yHX85O0LP3&S`t5#qh><@0k-RusmRTF_Q7h8V}n87z+*!+ zG6Lwkv9ETZ$tLe{L+xg1vUEz}OUNc~pl~X@>~*`u-D`E$A+d&^ZCEJPP5mNNftLjh zm7|H|qGr6|ydcUk9SIvC_iJhU))GH7jl>f1C=B&qVtj9RNnEX-kVac3M!>kt=hZSama_=_X2SZ?dH}nuVhqGr! z@_=U_J^`{gRf>^jlP;dX-IHwm5r5%=BKG0jjGeT2_C2f#H+)pk* zYcl!>s3Wdf^mJt`TlQnrO^-%$h84b=UWH8Gs`9{x=@?hrTd7~H7>~IQmIq#K10&R2 zE*>yqeP0NzId^KeuR9L4`l1ICiOG~j57RaFa;Q;<6kx}am!$k71e0=6LU^?+VUS}elbay2JFF@(T_STVo@EMQi$*c z2D3RxSoRu0%9D!JGn1rx?+^idjwnMSuDAkYFnc78%n!Mf&a>ax%BSum zcQ0pwY4>fVY{k)VDEW?_dv6vM)>T|*q+@Dtz=OzI*J^~b&%}2ozt8mrep#V+3Z#!0 zCOS2JzmpZ;mr$T{4;P;KVN-t4skz~oHM|8$d!;tWI$Z4$=nR}|0$tGP78=3O$aNiY z?3f;Qiievx#_K@4Ei(>9SMK#ax48u^4K`@ zKIN=xe_vK$mBe%-kN$oR_vum2PT`Ddf00|SasDU29Q>5GN3Gip#kxV~UR}Gcv$l!_ zd-(|V&DTQWbkCVN{UR1jq3W>BI09!Em^#QHY%?BBnk7S#PhJw=;xCd$=8Xk!R@+r> zpmUoEa%-l&`nE}dkRN2WP7Y-D@Ed5(D3t7^vF>0&RGMfeenGq_-Z!@g(!)xux5Y;2 zM>ljg>9IqejWIj3XIw`(leknCL5Gz#cL)L5Q3QOJeujci3+yu?_=Q%qJ{G{w=7)rB z8aLRrAyuWo-W85|ln=)4GsdQ*R{OrYff(4bjISwgk~=$S2+DwE#pV_0apt$(&0F6m z?4No`Bl$w5LuK;Q6U+9hrNwA=v~xv`IXGV<_#R~KqH-Q5Ps}HX8*`h}OzJT7hs~Tt zv}`uQC8Kuhe%^PRSkv{q=(2iA69+NoCeM^uut9Xupbu!ss)Hdng@w+o4jRPlc}V^^OhBy4)$*j*@#uECsGm&F&=u4pvi(yW@hWoPQ;a{* zkgPfwHx_f@=};Z1-vj+54`DFVRWO=+pjyOx9gF~Zk+K!TsE)439w@JZl4+bYZZ`>K z&ft1U_>$SRFwns^7~1lHj`gYf6^Z;3!=jJU*s_{sc!3h=PdfYS1!iR(kYEnWU_YAXiZ+3e2TmwA9*nWMa_e$O zJVHny?gRm5u1DX5JLdOz=HG>DP&pIgiR0%93kFzc+49#%gw7;9tI<5a}R z?qfdZ%>%mNGhEiFfwSMSe5FNmVxZ*IhWo(`K#3{U1)snun=Q!>N_K1JhRe&)i(iVh zRqVi{{%e~mRGh8|NdVg%oTVE;ty~)g|Al4UJsr$;UgGJ;g5^>hso)eYP$ezB${i>r z8Ia!TXk8glQdI^@;xbzO`MuB_Yh>~7cTXGXsMrCL@lCqv;r=(j9~XU}gw zu*l5)m1en~i!m$_X{BAFxlvU?kOIi@OOgVRmj|rKqwXHR)1Q<(bIeatv_Jf+ahvsm zdUB}ve&`QRT5|gaxwBiTN&UqKUvp-L*4_vIxX(f!wYc%FF-q?4_g=f?aqKs;nb9I_ z#97fIIC+!x6E#aEnI~8j3{|PrO^7}uZk8I+6b4T> zo>F-FwMt#{^do_wpG4bei3{bsPwU(d{c4*`3P-BRi;6tQ)0qeV6`an=+YCH2d~p@L ziBvOvK+p^XTRjYOInkmyYqEP91CQ71*DKa*9Qd0spI5T}n7aYBzA;e689Tk!T2nV< z1>Lv1-rDZ4+UX1P9O&_U2PR^C();G<=Au0*OOxVn<#sWjy}2gkeu0~_xu)eF5~Px) z=W%&tPAC(-<*ErzPq{Gkf`A_JljA9)^7{CAP?!ZeJtg;95+rFSLeg4Q(nA+ws z^CxP=8^53azsIbU7+NZnNZ7sKoy4ro!9k>1w49TB&d_7YMN3}-|i&mxTfAZoBryBE1gQeIJUaJ;y>CQ zWbfkr8Ou-oi?cglG_MXwsQo9c}0U~!BP;}Df`}ZFSoT*LP zKFdqZC9_gpJ_E-YUi=K&u`rxwsHWpmTwLTKq&1|g*QXLJ6}BqovQV{cQ_yNk?sALB zcF6Km^LKyOdAqBmHOW3Rv_|g(b)oSgZsCog%fFft#l3@!knj(g5LGW$=@OgYQ`HBm zS+AKjy~wzv@Tm&0?@h!!-&At)V{ewuT=rtQ<6=((F_dZ)|O-Ez~&k;NTV*|YJ~hwPjWhcEn@)XQ7}7;DH6*pE1lm|zgANt7ddHKUN$}} z$ErDUa5VT6B9rcVuY!VQWRZC5{-^7GcGvB}VF5IL(_>d@uNvjqtJ9x+u@H)gq%=J- z8ueF3-p*CyGYY63)y_g%U$ss#dAq%%XC4&M>qu#O?Pftjb=PjzcW`o{cIA{SK&D3X zOMR=Jnukx}BYvZ>#gk{WhGDImn#s%EefS;8%LNHHRU`%%@`7on3A#;;Z8Oy^=3#s@ z1hv{CV73a}>G}5i*u7P0?hDMQn{0PN0NEm7v}7+FmV0C6QCFX2NAh^lN2rR)@lk!V z+F$WY!(X^yVzq9Ur58k-GNf|Hf|rc=#kDKY*B>;EBrEvRv3Uc`B8CH`Na zw$hZ$M0;lla@*oL>HVjUl-K0mW7TxA-ia}>Wn0Fs=~8IJHwuVwBQM`so?_*2P@Qjo z9PxTe!ON|2rHA6&eo3!S4?DhEuj2t5Y`d-S3;?RIhr<1^&3ojppwVXFB#`;sjQw7{ z5|wofR|i(j6Yns#UfYc~PflVa`Xa*EH2<6I?l@V@F=kC!LHnPPfP*#012+e(2OcYh zIdxekAFBr44d7SX`LJV_GB-BgOkMM!*E8+NyH2fbd-sjS{ZGCQvYC#51-HkE?fXht zz|RMtN>hGMHgmN3lZY9XN!Eo^g%ZuSh#8ehmWPeIff`bjOQn^E&@io41dXntks=yt z0932b2i6)IyUzLE^pXD`kP)EueMUuc;e;_pq9?qOQgi6#U&x_j#%2rAQr#2X2zKun zpB-#>VlQLGzrjM@D_X+|AuTCEEm|Ry33)AAO_nXK7A0gS&lo5KIxfGb)dF*0>mYKZ zH6+HqmjGOfm7E;eo1vK&^qPVsT(o#p#Mm_nQf_AN5-IN0@MBU!L``3Csc=;y1=WCq z6W)Z|W2xnqo&YHU)hN`Y;f}-cv2$elH~ELA<=;-F$4_+3vc`9}c-OEk)%Y8W%Oqqq z?$JX_zb#8^xBBJ4wsb)+cWTqa=1+fA$Plv0sm z;wVVVoKPQyak{h_V52lfl^7iqRPbgn4k^WeBbCPJr(4Se_HXfL)PL+KWJ(R1pTv|< z@^?4x71Zx>N~KnR?&$LQ7c|~~sbUgn{Z@n6G!TdwW&GMvm}*QsQLW`VK3+Th9W{>j z%3b@mmUMQqwIb-Ty-)5&)D`>Kfj^iZoNg7kP8~k^@b?q@4~xI$KlB%z1?Bx#i2HDG zbj-+1_w(o4hYT3&*72HR|G~M>`AJZ_Sd`zd{cbBncjx(uZ9VGE%Ehk+vyWbT>i~OR zVh?~9Zp?>PTalaY-Z2zVW+h&`__e1cOO?JO!?`>mq_`mX7oar_TAq0MCZ(+)Z-i$1 znU$S+IksnrT^3p0$MXXDKtVQ763(4FvJZWBEh3K)hx>xxuH@jhFufhn&na5x(boTZ zrGn?jy&2%Aybb)6zvCzxhQ=22%E$buQKf}M^508HF4lJ7ph8@2q_~HoGEesKT-qdv z4%3{w#z3m|95*|en2VB56C#}1#;>Sdqbg@v+(`{7sv~zLqSOz31M&YCs1jFX;DaR{ zl4{M9O zb(5~WP29gd6x3!=5%IK*Jnz`CuR+9*$k5Z<*_I8LrgUL>xJv;?NVUE_EuhVy{>WB| zy?r4FGe#maf{sEniEIrQXXf!x5a!!JOhbBS@ablimeopl0`bPcdFtJ<+ghm7hS{;y zAd*M~9OGJT*#u}xv#pQ$t*W!bj`=gS_m*mv_&Z%2v1&dP^%4P}zR#v7pL0LDY}~`+ zlp$Gm4{x3Q0joNg(Ay{|9KI%-*00d+tNi|8e~2{2U+q)XLCjz9yhGK&(Ns3Y(T~uU zZLXpy((-;-74rdi3gx+^>_@l2@#)VwWlMY-t;XqqKcOdGnt*I9zKdfNah}7OxwBiv z9Ajdy_z|4PI=Ot~$R&rOeMx`4Kf{F2sNft&A#?dN&)1kam|_fhxzsPhaAE2F624DF ziC9anb)GL3m5v#9cMi#~Q&-D$ymB*}pQ%GuSms)^@;AdwohFdaORH+f?XJ>lnjZ5v zDMrudd{3kU6{_KR zz0ofEpbIb3dGqLqdJPw0v&+{~ub4_dJr26KjmP8<#kj(g5Kph|ld z*oVF9LIx}jW1C?@8N$F3O(elSbIIV!eNoR5%r6!XmT)a)7X&KkT&A1s_yhEWgNHK4F*16eZX%0VWR;5Z^rasN{7o8XN8X=v^HSd)al zRpe84)5ncZ_=Po1s|!C)lKlwO=eBgWRkLJ80$$}X7W$O;>{M5E(5N|Yvpx}J= z?vl=xXBaei)lFiNio85dYMas8b4=p+Cd*)2SOP9bL16RW_dqclNQ3Qw=-l;QcH*I< zmUUc9`i4AQk|%-_i8y5gxZHtZFTUcWr{QhLY8f zo*ch|t2;uE%ny0JSQ947nnI|hG6rRW%*!v3SHjhH8aHPLpEx~(r{fNwJS(1xvJl5^ zTTtkX#5z=}jNSfxpxY`hti}~IMO|mmNsR>euHWSgqWrJhG$61B{t`Cd|J+=Fb+C7% zw|g={KWkz83*@Cfk7cCH*tc1&hsAm=XZ}>v9o*MBO1*V&tEj%oe;uFPAz4F6>Fvo& z>BJ$p++g#KoWk2&;S@i)L!jy$+v}4>u|_vL)Boe_Ex@Yiwuf;Q6htMYTR<8~=@gYN zDQN_xOS(A_xeg`38)L*9Dhf$_xMe3uoJh?4|rGnurv}M z5$R%I;W7b1)Y3oid76;~@OM#G;1_+G2e!urBGX=2Ea@LR^iAIZe{sxg`c99gIk9b4sn(Y7LU|m(vXlQfifk+|` zb9n_4984fRu+~Yx{@6Umg}kDxc2sxO0QZ?QCzwPyQ7b&v1ej}(+mX74dA|S_Yz%?j z{4;K;UgSGma-g5NxUa7Q`3YFnLv8gmvL8ztE<+UU?(z{}$!MCgCxNxO`HJ6J=r#9M z27s;a^1*N{etZFfc8%(g`y=B9k^b_WY=3o_0WP7}7z3{l@Prb(B^M3k&>vm$kr=Py zaaie0jqk?czsYHl56M$+e)>u?U+pmvT_shAv5VmrmM!XyH>9eBS!FT zqsNmY_|_%vt9gSj3!l1D3NJ;6R4k9i;V(kmMPh5J*`+IC;0D-g+TOh0(JU&4xNyKg z{Qj_ve%V%~>6mqU0W3@lLR_fAuAQb`MDc7i=0`#i5Z+yK_a{AdN&Qak`&fFUc)bFF$-7s?)rCZ`5_P3|1)g2D_R4<=1kW_Tu%k2O zh)de5=(T<&Y~2K*9=y$ttO@@P;|x%##}?V8-)MMG%qgc_a{Er`3ui1Vli%u=|+zwAS|KRH=8X#_&t`cMI!Mk<`EEe;fTy z|IPICb`gf{?|~=3e)4H@Zp5tys4M~GJfIJ3R5spdTI|!Vca!Zv?YbYx0H8j!m-Bs2 z@x=hB~O;S$R?-_pjfVa$J9UyapO|iHcB0D6e{*{(mP+S z+-tWM4~Om97bAmsDjLr?JNnM1vF1SI$9Z#qAn|Q5&`F<**@!zAbKo0HgopHwqgy!W8{Sv?bXyJj zex?t{mR#8IZyHAWG63Hm{SWsM;PUBsV1K?%FlY`0AM(fI0#XA6-vLk9N<5}N_U^Zr zes5Ijr5;k0Z8Jbj&z@gXT(gis*Ol{|`uSbMW;Jf&5D%A#Mj({1qldby^nKslCxk-B z9`$5tNYI&>zZ(ZllAMHGb# zNVj#>y?g?&*Vxi_n3LR;#EVR==Nh~nc6OZM5mS=}^E?)2$53oq{ZhxUK( zUCYY!BYXnDWup#!<0k_5najhkh^9Z-ffL}H?*AuNNVwHdy2s_3T1$_HnJE0AZJ8SaQj!aWc5{o_#Pi2Uql(7EZgB1Q& z$MJ_yT|R_G|38GTWLLl(i>PX67eiB(jlWh)1pWrl%igcmO{H0d4@Axh9;Eq1z8*+} z(Xa2>#JFHpchxHDznT$mSan%Vo(1ng12<8kN&lPZ{(?(%fWLeQ#6R1XZY_QA2+H{! zQ8#q(mr#01>u<;cGM=E8~ia}gv z3)TAk!xwO&a|2dl7qf#lNa!<~|Q$y;I{SR3~Z@_FMBV&+B*A z(n<6OF&H$91KRG@8H-5A*PS`(PmA7I26+`i;C;Ee&`cCD`zQ<`~%9oCso~U zjRLhL6HF7Q)2nqxGjw4thNa3S+y9|Y*-=Q>;^~COu50%VJ9-7RQy~-9b-P5bb|>4q z%R*z#2$?&XRHBqqsZxZ51)QAimRJ8vLA6th#$FlNIl4d2$1ZnPtm(=%=ht$*Ant*7uVqy-EpY*t!!mF<-aO6dnCH#XlSB8FdCNvZ z1G^O2+_##0*jf7Uj%O|_2}OkOqnguCgZp?UD@tO7@A-@?0w3y(;MvhApP0)O&j^gWdhd;XopB!kw`%UFWPHEU7lUO!vZs+>%tiGY= zH}VvD3p*xo8TfsN#eWrkUEW5Eh3kW}X3|r*y&PIrYwyRdGb#Q55z3j8xmD_PMHR`I z=ZdlzBVrv!N2OcWW%6nXY#cr(Q)a^dC)>0A@bjCz2KpCdUwUjwZ`hs}WN&4?#6JTa zh=&Rgk?xiFMY!N#YWU`uxk|2{+&-|-41;^LmW$xmwyGW<} z&1gkskb%wBiaLxoF&Xu1^rSN6gg^@ZXYweYN&2n&Q{}SP&-IQI zlJFzP>+Evn#M5Q{gMhR+U#;ZC{eN|`_m%+JcEZhGUr83_l+nlAG?rF}C{)n|>AuR* z!|UVt0qkwCA$gPUy!H5p`dC|zTuyWxGtH_6LJH@qyX8h92Kp#Y_L} zD$N~kDaVXi;aM^a1Liv`5TF&wr~&EwAS}Uu!TRH%|1;cMeH;Mx{i=v}NMA*{W|+vQ6^>OIX&uK=#H4@-4Yyq{OU^ z5`Zymm5Lwbj^ER;4a~1<9-V$?W6xbN?)X*(YAB3!UptwJhyA+%tf@_VcUft*v5t(t zZ2-{A%Gc9c`aFI*sS+G2v?LI`a9D8rX6y2aiPP0jGT=#K9wu@?_u&*3azTm-3!UDP zVCJQ7Yv*6ynUQpV=ytwh?A=V{fEbsj`v1G|p?ky_Mukd-A51)#g?}T;_suGo@6c>| z$7akO&z00esOUso&tmnK(|(dTAdSo5$Z+#H!n(LeBA+wB2YVDK`9Ru6(@C%0%yT1kHQze? zVIcr}-D}%wY($AVnm$OJkb&pz0y`!!KKg&3&Q*W-nQ~>olRG^kBf>ahAp)<%&fJpy zFx`TLjo#u9O`*$$rsY_K2!c|?lH4ppx&w91gDwJxkEQ+|U-UR3rLXQK=f4DY?1LjP z6`%>B*xyH2c&kisOP*qzge58z1KacSKR>!*F$i)!y_}+b?0u+^@5eXjb2IvI4BHT~ z7nLs)*6Eo#Eu1(_o$V6fz>k+PSQv7eZiRrSfepnmw$8TUm}H$@99Ob^Aj;0Jq6=B2 zyDTx9lBU&<1D|dq2w)fA!fC!gcZbvCutZwavS27=>2e-@9I;9W zm*K{ht_g@u*zuLHv5>$@;Hd!G%TmVI5MfYO{Zt| zfm&FO()z6&ogPer=Xb`6U2#m#Hf0Q{F4#_ACi#V7EHvMMv4q@5;QUWq#tc$@+RMKa zRSBLju}dOiX;IyH=+$7*Q3X=oc_+Aj_5TJeCm5}N_s`x}qxir_i4o@)W?1h0;`0<_ zl98SKHSk-8<>e-T7+KuLdAW20V8_>VOym{Iw^<^KbYI?%lNm4Sxux(T){RDr)vDYX zlclyI>!>K z9Xk>47Vu)7KKmSEpDz<|8Z*C8k_0=(J^`9VqC9@A*|y>1@D;K7TfmFOQ~Idx*vCNj zeU$Q84GVa^VoY}wUL3;@E2G|FSBO&9R~)LEI`*B9;A^Bh7%BFW`r=o_Pf{LV(g0Nk zOlHpih5=R38Hd3;m6}h1F#E+tS4ttFxqG<(OY9UCnmfe(Gqq~(Bnfcb`5XW0R`q?7 zo79Kr`(z$rAJ*-gK*Q6%3V(AofVUDfLmnHSM%%HA;C5IKb`q70n7K5W1q$3zcCXh5 zwNE%~pF~J@)85`f5PVNRCGF#pswfE*zGpQa&fSR%c4O%)ds(d~`60@o zsjY_!blx1T|7yqHpOm%+JIa4Run3%$aZGFrr}Q~=3SXr3sck)yHI^pnm^8`a=mhc6 zG&5w}h0xkJVA<80JXM-2SksQENZx%dTUZwC|8EiU~jCyt>O%nT>aYaS`VJTDVv~U|kcv`&H zQdc-lcWfJ+2e?Y<;O;9u!jkntK)Z~0@ppN|qaVt?-od_JyBTQTqEK=67iR?t*E4zD7@2j1$L~o=zThDl||7xBe6&&n86$`LXIl2cKW)eCb zUrae$w4zFtiC!eqZe}eC(9kjW<59yYEYi8BU=q(iBqb=YtVHX(qEB@{(9t)X!Tuo9 z(dj8()1m!LoBBfAg9fDE{`CzBsi(#cpwYpmm9_% ziGNAJ(f0gml$yK6j&UHvjXZ)|KXh}cj`&_$sLV=3)vY)HW4(~9ImGhek}@N5_DB1d?bp?4s(cEY8SF+zFCanB6}H(LKs@X?H)Cs@ulDCFG^~(w zL~k&1?!p|;A7I7?xIupxT^iTU9nL&r?o7cAqx^<`CYXwHtY0=cJn+!lKGuJM0rbjQ zjv7M;P2ns4mD=U76DVpl9NYB2IV^j?A%P#o@GSK$9|xmAw@*rLe;fMtd}CkrY4PcPp zg8^3xeOqOs=81e-`x;AuLEd5L(~+FCZ5n#5{-Z_5!YO~{!rlR8&g34ryL-7(!rs2;t@)WO+xBC^{z7Xh{pJ#!r0LJZ6z{krE^tOe=@T8<2zX-vze-uz zc?AI0XiJ!XR0hf6%cV1k`q0IS6zm()zwp0>cY92o!erPISQyQAYt7m;|3v|;P5fpxWHYfdg!~d^^mkSZNW_;lh{T#dk6?PR2+st4SC%U zY%d=YzZ@c?v(rvnFbP(C7^NSMbMiOQLT{xp3(3zNaznh68yC>@-n3xf` zvfxMwa=^(9%#sxRGSobrks`gZxG|_q=L%f9^EcIzqXKS0@)!%a#h2!0>xXu}&z{sh zFr&a=@FatgO(vVA)#i`y+}zkey>4jgPB`AJv+KXja z`r*5ryw%3$>5TfuFWu!O(OpLiPdPjG{gxxy*L``3jb}O{nz8soi9u81cVN;F24nIU zO2aXZ5l?dS21sRYUSMbc`s=@F&0lm*jAP`25%>qsxB30=Z;&#vQ$jIEj~Ks`ku89b zy|idVA_xu3@V74oAwf;8^+WpyoF}3U=phht1%$c+Z5Xo@fHGN>@i!lSf8L(W{&9pT zHtLpwhLS`GW?XI(mI}}18n26w-%12GYZ~4cI;NasFn1f(;IF}c!4`ZMF+nO=1p{v< z4^KV43l(7nAHa&&Gq|YdAHI})mMHvLK1b&kA5%PqWbD`lxTs_BJ-+)3_4{ zZd8E-ZyVGTXfkF_KwAJp3h~ShgafR_&jGq zM(pO;z&Kh|zx%MJdl7R1=@T)b+`%k#HVEJgrlwku1FuAL87N8_J^MZc80yTOsv6na z@!r_WBRw;_aSF4F@yXTJK)}>g$-r9yQ`4^0=fJ!Aw1+FgFgBLu@PyrLCm9_-$a>uI zwC@Fv0A=hVONWKoW2*K^JEZ`#1A76cW}XW`-87Yi2{@V4>??TgtBk!z}v5Y5k5)~PXy@OlhF35 z=sB*{T0sYOmgcg*u|*fpLKUHpnGChdP9(Vp;6gkaP0Z{Bszue0-0v^(cGq8G;EgyU z-im+0)cBYRJ#d4O)$*k$%HE7ZSN5^oxKaKyPa}Z8+Z<~DxZ~z^Oa~HnK)D}j5ZFgp zCvBy2@BI^SUDU>^t0hTiIq?MVOFVk)OY!vLx!i&E*G1Tq=5>|Run7$c5AQwA{gc`J z`OR95Mwko48v+!l45n?RrHhVFvF((oMU) zSuFY*WRO1p*w_6{^J-%+Oh2I8ClOME8GFvD>Uf`NzqoUCFOJ?@oXG=1G`!7IE1DBx z6x}@sMBLEC)@!IAwBt1CG{0rD0*Rn`)KE36VWSi*we<1#6iVdMJ_YpoQO7K>PLgOm zSN~aD%5Wrlcjiij9;)%T0B*(E!1|O)i^+sl%v&YOh_z<-kcet@{8@tN-I^-5@HLfY zd;2-lT8$6-`ZYRxL_VEscf#ILBy*CkVg^3_^y1s^C-S|DT8=pnDVtS3;HP3{U>ajC zVB!V5x7>Q?szo)=8dj~VI>!Avi7AI74?FgVUz31o&Gsj~5{)j_9S@wevMs+)>NWZR zuQ2C8jXlfiR`2!%2s>F^lak_Q^%Ta(2jbs9edNas-V1u^(VAJmG&J{N!$HVZkg84} z&O{K)$IBO*lp5nD-$pb5j(?@J)aRo34#zT8pZ+XHUFdkWT;V$qHEzH-%?YU&<9wP#zjyTO>$|XDy(`iItjnCy5ANsw zUEm;|;08RHH^=r(tc%V#5HNH3w4DFKS%~#!$U&aiD&M>sacTp^?emr6VzLOEHR^dl z{?e!mm3r{+$F&HnsuO4ktqZf6;;f6kehmbPle3wsbE~Qm#0FeWgE@1Z1-J0WJ!(na zu(#`HLq$HH8t%QMmfp4{#UWabKK~w1f`N1RR-WfOJ}Cb24SS!5U+CBb*MvWPiW`Vv zhPO}wk-Ya^um#3+9>k%aO&VhqqjaJwaGOz7=F|a5;SLeZYnRV%*u%+QT*3Io@uzoi zhV_KGz60#x4SUH6g#Mb>e+2Hb?Tno;#ze+aSzY~GDzmEU_C1{9_FYE_)@6KC_R>Ak z&yzjj*SK`mN7GF&Ly8+JOWnuQ{Jwvenw!MJDbqSX1;U5j^l52)Y5(M6fRsk3%<8-d{Y5F^BYzbjz3z! zL9V8@EmehUV5p_cVyeTX^_s=h%B7Ro5rEMTbYHNye=YC+6CSa@Yo{dBZun%{|P!T-VExt{w6w&=N~mL!o5p`(4M=`f;K#u`F)uuLZfz| z?-Q9F=w!~DMZDT-!;td!pi<)wdv4?*hu-(D_zYbNBtg<(ekv0u?Y{YH}(tH%m5b1Wr ze8Ln-f%iKSYq104!^-VnhqqE`8EBKVrsn0I zeKTzCE?GP|4~#_z#x^x~~&Me@x+(trs}9UKh?irh<96Jmdr)DY66Jzt6!R40zYxYU3Z{M=9na+Hg$M^8_lM zbArn=ZpPQ$qn_Pyet3Y&@40zp;Wl41kTT{~A>ydL-oMqLnK=Nw6F_aOZWX8p?z`%?{;Pd=`&wS@ACS;ku{>c{o1WoLLB4B|tTRE5I=T zt2vsseUuUN`y*>vk*_M*s@YuGj@ej%QCw<={>u9p7qHd3gj^CreV_sfMM+Zq%rN}D zyn40NecBqk9n3s|r&>arZXhXE>+k7|JUBouEnT;^o(Bi--$(@p!MSX8 z{d!Hhy@Ixv7S9%n)>5i`D-!;x6x(m`n)fM z9XTP1MuAYrAoTeeE&Adbia^zG*R}4Wc5tDhwW0_IdJ;q{6d4UnKRjIMPwHa`uI5g# zkq%!!`wMDFLn*m37a}8uN;^rA$V+By&|Jv{UHU~Zdi@-9wGJ9xP?Xi1`368T7i@c> zKV@iNMm6tJJpbQ-b=ut_>PtcIH-Bu?xTgC}*b?`{w4%}3*q7>|Upqkw@P+x}i2{R%?Gq%whd#NMM6Y>3U7_V5ROjE>mt8|H zu&fN4JCUGSR5Qh6xqm^P@W}k>H5w5ui9*q$jdRe=C($6(iZM#7K?$@-`D%m!swuLZ zs340_$JN~oF)qj=?NrUWuqKkc%ji$hrh0sFKfBxufvG;l=1-JwH+JkK6=sO5M)h^M zVQ7;OYi8ZaQTyC#i%gk1E8pxn{}slgtW8BXoldJOb?R}RWZCU$L7G=r@$bN*|LFz!AUVeCndQv)->vk;njaF`hj*r> zNgPh3U)ZW5s+dvJv7krdm#hG|-0xH`02xzc{V;}mq|K{kOg z{mZ{)<`<1x=jl7%64JWJ?Jo{1-kCGMj5Y)S@jelYQoTnwZ5SH(-)R0D%6+ungvY`2 zZHP<}+7WLY09+C|hUICuTNIqT^`j^-0X*rht#*OEKG43;!%=eaE{--5SUGUkG*o zZLOryuSiwN69Eb2(9Ffj%d-0U^D^efeKQb?`$W*(X;U;Zvu5w=<#6@2#98nU`*Xh? zys?jRS9G2;o#|@lckjEYU#e3Ef7@#Mp11cPtS9>@N8lUdm_(XpqLwimAw2PieO=L& zdZjWThE;EtZz&E}CrLWIOj40tmmIZkPh3{rtX@_;+}{H5aAaNXmAY$INq!U2T%K(K z*XtQq<42XB*q+=>Gl;eT zwVa2IIZVtMa%P<@yEiJKeB70N!`P@Fcctkm+tAbk&jybXttz+v!{Vj`Y`ZFbalC&+ z1E|68`fP1Idcg=(s!_RiDGLAuBqnxsF0HdcK-nki?1HZ7{f-w!!hGD=g50fqWqovH(ASRiq-lNvn?JFII2XK_c^*JK|) z$6hW#{VwB64lh<|qdi9?Jbgf<8sa;sm9@cOgAR=&j1|_)7pHN4t5cE}XUDxzlGZR2 z=EJoGBcmpN)XADL9=|P~kZ-p5;=!B!xWhMs+WWr={lvto%b1a_rMTB*3g`=x^z+r& z-A(3gm}&wfBMy>bCGfjNSxV4+GG9ino~BYG@~gYJ6@-Fr*Lz6CZ!q-J=u%4O+h{JD z^EUeqai>-sNDOwmYBb8W zRm@iv3m6svGLwI?qtb5a!6s3!aX6J0Hn;~=Y3+hav0d`@?!Q=(DfxofG@uo1zPAKw zSnuU*{#Z8LU$iQDbk-55{eGl7Q2YO2%hux3mMIPFW?3s&OjlHV?CeGd$SD(q&02XC zI1IjGTfrmls~%%=y7P7+xWD${VRZjRUL2@3sd%{K@W1^FwlZ=l3N*KZ?&mlpsR6|2 zo5yGIZqb(XRcaH}qo|+EUK-ofuWAa?KbELl(>{$YneV0`VYb!LAXtB2=u-Z9`8|6Y z$5$cUCr;&3%kMwo#8_GJ7aF~o3s|)wc}hF&YW(wL$M)Nlu#U9T&(CLr?ahzF0vU<0 zW-N1Y0Y)=W7)!r6a96WJiBjI9KsPrsTXc*ACNq*|Lu4k;{xygrq4G|s;9Y4@AxU|R zyrQ0HRgAptFCU_^)~xXl9$^y3`P4L3LG>DxZZ{bCh(DaGWZM6XjZU+n+*vh)Oy3i| zoXp4l*;GFBA>&EkFI9YtqO8i&9f1g*F3h2JEqB6nc+Z|GTm2Gb-Iw+HYGS(-1bqg5 zP4CkXMdV)B)P1T|!sYyIftKOSwXx@ve&Tfr?QXBPw9&$P?~Jck8W-2`npe{-yw|NM z)prAyShLj`<4o(wc5E)#>`1#HNi#tUH3IFI93nM}HZmlTS0|_2r93&QPUlJF9?@W@ z=tqQmWhOtw1(Yf~gF?^zg@@<4n5VDTUim;%ttK`(f^wDgwu8q zeW)XmOojN(p$x<8zd)OOQ7h*$8Y-3N>kPXuQ3PjnXzc5+pwE6?k}VRev?jDi(LeDn zWha9X`KP#NI^+j6YtInZutboXak|s_8o7sY`r-2de!syL8A!F`z{(p4?AP$-^A1;$ zNZB+a_Kk%Ozb)!^0jZ>LXCo|qgP8TiAt4<{KF-mLf!3^D{b9>%;6ALl}9>v z$8_kJ<;6eipnh3wXSOHwhJ8lNV@Ke8x5{5ITWF+KYBc|Jz4l-<-+szqXlwN9*nnl* zwFgTy&AAi!B3w*LHP~kixPe|zAkjpms=R+K?M6M7r@^itm&JQqX|N9)LdE%{ym9;~@)+lI5N3dTmLwUvx%k}8?nCl>0U>2s%2cM3 zM|zBdg}rHdSl%O>MHu~SnJUE?{qf@f7XJ!2HkRAvOIp_SJ-t|V%Ao82HTczH=Xfr}7uScCA(z6SA+E!U*oU=KdN`sn zZhjbk#{)9{X}!e@g7PWGkUdO~DG2_^u+zMZZBHBFcBl6A)Yet0MMKd8_rPJY|7W^7 z^F*LKE@Vq5{)DOM(Z^M$#u6&z6vto!iD-3&x-(kRQ?ur`QiMsTzs7Pa}Gm z(J0vW>pY13e4cw{{vZnKix#o@CVN%UExuR-s`_#@Ab~zaWn{QfPlMFb{iIlFX&aD; zk6{&GUK?Eh51JUh~&F3dJ-Ch%yY)xg2UniE!fD@^^I zhw3k=)WLeBFdP|}V2L{|@iDL(kHy?YZIeW1$wxJ)#bdrz>0n{Oh&Cv)?{QIZGsd>b z!EbLev7a>e@ctzlT>nuFV1=LD?&~55#Yl=_P`}5`Ap7*eJDDgs!ru{8?Og;@w*fWV z#k<^RZyK@q>_dCRldOC5M5vO6tu=XnN2p|p+_6{<343sJR_4uhEWmX!D<8>)=^gLz zIltn(SDkT*c!&17JUj$UT<63f`ue!0tIx&ztrv3LQZ`x=eZ1Ytz<{2r7P)t|f^@l5 z#f*^zH9~!TE=OuOtML~6QCFVG!_(f*nx;pQv}vpCs_2Qg>FJ=gr7Su{-;>P=*~^Q4 zo@MQm?G-@W1ig*pmxl95Qp`*0V0^h$Nt_B_tjNOjIp ze5zErz&OP<3`Gl-@bd~C+TA8TrqF}9Q;3%KyV*cJjE=W8A3Oj@v$4IJTchk5OPBYv z*A4l}eDY|oJ=j-)Y9dAcgX6r^gEs(zXe%#7qYT%)W47rhRK2&h<;*NltEi+fTB;x5 zCRHT!w52ZLE?@bS7e`uJ`J06x)?DqYjfT#>bGiGyq9ff$D3ps5U>Rmku&^={`Vak-r@&wMtBBdXX-NLD?by`ROt-&%Jq5d6lQX5T|vqO76f#b>CCP4qUgYx~1P$AKKd?x4gQQazE3Fle7 zd}avRbKRNiHb0|J~)T{oowfy@C_m|QnlL}L=9L~Jwf+y-ET;_-BQADO4 zMm;goeUpm9>LKJlthAYakW$HswF9*C1$rqxIHnWr7>qW?Lqn-hAPEFW6af-Ppbuu( z{(!TmgRoc)Rk}Xz;IrWMgjSc{rCOlG*>i7F`}_=r+Mvonzi~#LoT1K+C)SZ2HF6i7 zv}t(8z7oENmj~_Zfl@OszVAL6a>ut4#jd2roW{LlAH44H7sxWurq|Q_11L{NQ`>h? zareLe_>zZjUD-=ulx3*yvp*Tm^M<LbxKO?KqyXDd*VA60R-LHoex zv@Kfx-Hpmw5{snp9BYprX}`>gQc_Co#>Zg7GY6Rf=FyLjJ4kG=;wiZ#HIpj2$HIhsD#>k za!kO5&pBM;gUm}txEUvHKeH95ZA7l&sQGQ7zdm_`8Vt9lw+zSy2}J? zZKkYBA*1ZD8+9O={)0kcvN}2eS$cP-r_mxR9?wf|KuI9!cD@2nOlXYAD zTK&l6eS3DaV(xwG8+64~wAmliJyqc+l`p83Q}2KM&4%+^pk6DtP2P;Z^WeQmAl z3~xEW);-4SL)s@If7k7#&DHNj-Pa=Uk+LIw z+cpmDu6%9hXGaXM(Llz-$t$@mqO#K%DOS^M1~>J#aargMD!oA&kBOl73PK`7jLEdx zWZFs-*i0Fg6V-^{d^y}M2+M1^Xx9dAg}^DIulC;&r4A{MPAdZ5!6aoNz#?~U*!~iL zZT!P_11#>5xqZqxEOw)m>@5Za&@)~h>>++0REf$Rk$yH-v-w=Nnk*d z4Z0av+-e2}7Iy-GfrWn{fC6q%&hx@0W#E0< z@JN5wsIvuKvdEA3h+}55SxbxV+8FH-CDpwd49mWEwJ}fmqYba4a7&k1YBRm+(qQSbs)AL;}LnJ94o)Yo*V16d`yBp2Xq249j zUkT`x1Wx7CM~2_M3DFQqwg(2yhtvjC2Gp}uVxpc-Lps8~NrGW!=RHovy_~gl76{P7 z8!a?+Kd%72;GsE&-WM&{LZ4G>ouaQ*O0Lj;%x{tCrr1Ihy3$cuA9Zzg3iu8(q7%`V zrvk>PNn@&lM5sigss|czbr`K~99NiV?2L==us7lw^tBq1UmWclK^JXE3~r>`i`3#l=i+Q|Hu> z+El>AS?|2;oWxWTWW8+jU&z)9gnd(bsSdL zc!({sA>R!ewJ2)XyCA!fR+2L5Gm{*+pLh&e(&+5XjYF2RnnUdrrnpkn&;DIp*Z#sz z&Dk0omFQB~68#5<-(YXPQ+e=OIw|;r_O4xnZ%K;$;UteUJK&hFJUHIt4 ziQGn7wV1`T0b;}~{@i_})sk7f&E5HB{kb2xvoWooO#L+(zZ&g>ds_@0Qho;HdC?LW zr#v>_CB8ix?X3TFl_!L+4~@X!YJ!lfEG4 ztI-ujtF)d#Evb{?_f!0O5wR24TY*=iD&cu~xD#l+Wx5s`u7yil7{^J%vsD{TEtwMo z*$~+oyFxYeGon}+9@L-ea8iZLd2@*4wgDRyc(6=sXcT{7l<7yWjR7{HOj8tJ&v6K2E^aIPB0e%n_|NL+91V@enza9H|2g)vADANJ{~-B6 z^uoR>E(hDDu$}ugJXB4;xQ6uyMx@P^lpS(%hX-F@QXuLfV0x5U0Gny{viC+!#do8g z{-YMXQDY3w`K%K6ndgR^oC0+fl7O8I9NEd*$SPy^c2h-YeseMCJP;pQjzg zZ>)eE%j$hHR{hYGr~+pG&1d$UBvvu{38-hXm6C<%F?keQ)Ln3{nW|mEl>F+UOMuw?BLn$j+wLOyfuxFz`d;(rTO>wUt)?>rEcUY`O%s}&Wvub zUpoJAVGgO{V1h$JNdjkr-qcBd@Eq*MMNY!U2l#RTdOsK#Tg#a~tSbK!T;4iM-5~7g zcCfrS_2OY+>2XYvSJ$E~-sy_K!2HrQGk*=nnN6tI5_f9)y#at@0Xwcf* z0arC-3&!Bq240w#xx@*Du0{|#@7~z?^Qc7gCIh(QDo1HwuMxPhk+;*woav&+_b^^} zjooE%A|`XsLeim&EF^M~Xx%Lxdn~X9?Mn#RgH^vN4_%>#LXT!9CzGP7sZ1mt<`A3X zxsYqTs|ebxWZG*7ls5AEJu=0m=k0))aVN=WRFXoIOp9<;A(3KJM2CjIC1y=^siiNZb!ldOX)AlfgSi(LA@(gL+YH+=YEPZDz z*+`>TRjIA)@#QQ^L1%J=`c&x$+8l{mv4_<^b<#&&G$(>${QSf%R$14GLf5{eCx^W_ zL6q$8@75cEkk>V9_6;x9cd4+ZK$llpr`=u1t!;Z)uPfm$#^kH(TLQxpAC)V&ONZGO z>16v?N>zj0#A$W7Zc*v%mt&1Txb^1{SRd<#H;7E7zGdESJt*t<`z_J3{BAHg`ln^T z>?FiT)>C4jCfg--l33N{t z;`*+tlc;N7uN1Br!Zdnjk$ERD*WmG*fbqbTylV=@V06vNqL_n|b{MVcX5cu@BOwTt zKKN19`BtiWjfScw+)y;$YeL0*zDfh^vHLHv*iHnZRN&GBtktxvJpx*6I0fK97hVIr ziA!}sXLMU~<|<${c-;NJ$#u&ZgB_^YrE-F{o(73kHnJ(X(XN5~PO5quXe2gX>#|ym}eEW7j*euP|Do(Q49P~ zr}_PFoTnJtPso4X>Hb&+-7c29SA>kme(jenSy{FDls8z`wTN8PW1C^e?;881=1U)F zvekYd;V#ncN28-^W#^~Rvn0TIV9YdaHvFpCj-f*taE+R-->^)oH!Koh%^rgD;_V}p z33mq?V92*A&9i=faHn^MC^D_>0I8xtsEuTV|E$Xe!_E}o9`EU(&k*|Oa0KI4FPReTcxPcohDFL z>Y7JG)eI8bhi)7D8sGb~-_J*Q;R`BZk5wHv2srETY~I5TznG1?iuB=32(VKvyag?7 zh9jpS$+m31(aO0Dto_E36pR(VU7GUbHONE{Hl4p!F&Ip-NlOxhHc$iT+ zng;4%tno;Q(%bmEeoz4(boF!wBH5%|H#>p!H!&XGT!Yf;wx>h$k$pP;v3plxV;x+Q z5L(=;<84_IBwR@Id?iBt9XhAtEjkT4AHATP7Cb|4RJ*wpug_p)cI(Y^aMKU6Z$zi> zc<>9;c_`RSKgFh;7}dt_^UK%)bB@mD{}%w72DGd47OD z*CMCTUPmwN29hYN_Ve;0j}&|h&MYe`kL(i8HX`>n>lN$*Gj{fzyd7zOs{_48wcjmA zE82GqR@d*cagDdQw%X++aofdOVpgaYyPR+jtdC0ys{HWsho|>UX9HoWN0QfyWxxk} zb`6;*T`<+amHrKhmYWpYhZ3+fpr4l?pn99IP>i^O4kplc_{$pn8Yks$qB)m|xWy9I z<{IV()$eEVao!QjEd9!rsuN7pu<_8d&FNQ2*cCp|eHo@$Wt7|$L_HU{LuO+hUVInu z&zPpc)Y$boAi#GS&ZrMqm@;fTz=4wx4}7idY&C$X(NP+tk*JzzPWPqCL>^1<3%3~Q z2}>6yzR?5cjrFn=D~)cM$7wU!oboEL6IKaujl;7lowLK^?aRvhA!#>LB~{T);2X(s zAIXomm)OnLV5d|OjbYGZ7OBdFaRj@MbdC#8F`MatG=i6D<)U6ld=sAJ7y~c zDyjt^M3g{3?6zH#{2{Q?RHe%3sX!Y4wN-4^=EDy=3}RK6j=|2U@Bx8V?be$WNr<-p zMiI4)G9;|=WsqJ?+dkjh|BJD=0ITYG9>!H9q(QnQR2nYbBHi760jWzj5+WcWB_JSm z5hO3&DRDu%yFo-ix>3M*_xkz%zVENz=l_46Wp-xHIm_;x+1c6MIeVkm?9^ecG%wzZ zIJ~D9G`7*4W{yHrqq_I(#rtO30~KM)a^%2AJoWf-`VW3jWlvs4eYs84vvT=)`7zW# z>nL(J;_N6>wNX9wo7ZWgI@ zk91?({vp(GRf_tl>mqWROE&^5USh%U)YmJKteS%MXmRmfoT^hJTUk{)dr9lhA{Gw? zx2xbN*bBeD1bM?fnw=G?kyf@>cz3!mZd-;e8qfk1_BgrRSMyPZ#q_-&6t_6Pz88v` z5XUA6z)C+9HQc@3ejT=ye^U!pj z51a8C!afM>!Cn-DhX4a+qw{7Fk)kF6``?+w5=#W}>WLMKg%UNXJR&TfcUE8IoeM8& zbdZZCJp4SRagP7+-jDP9ZD>X+4tLshN*}3p`ocO4?jOUA3C8aR7R{pZ-v$l2g<{)G z0fxTdUo`Xn@j9_@O!o#&cFlT7(em@ptW$J;gF~3vH9ta%vVx)9>gW52qPpa+l|8vP zPrpFq-UmB7_I?cb{iu?Xd}lDJu1PX?(B!q<`Ej&GUPp*pBGfL%^6*Bm+sTz>Rx;*d z%<3lFc0=q!qc%vR_5{AzUbOH-ohT?wSuk6(tx|fR_dW`%IceJvWm)h8^!HgYMWM3l zmrwMnk9yC}{h@1d+lZUacIwg?j$e`Nco*Mc{%N{X-T4`Gk7v#yh{8xE0g2_{;3;jj zpv~`2V)c*werG!yWQ-DQuhmLOyC=W;!g6mx-z4;X@R-kspBN^gmAazFnmVEHU%WrX zVwFn$92th5yf&A8LehY_^$yZcFx*@$DW^bl35zfreN5w+j$2Q&>@|IdgU z0#!Wy{erBs*YPo^t%YqUPt`l^(onnr)_S)2e^43a`(H8STh(sSQXB{(pF``!coyMl zaDGUaa{*Rk3gfbVw3`X*zlp(Hx;<6r57}>(*^(KI42zKUy6B9kMpZBA^4+)EUoB04 zrIVzO&N~#Dk#OLoyzC?R$WP33%rA*cdM59J%@%Q ztA^x`%=Pbq?LTcn{=u$O@Rt@Af6lHiHs>C=zWH>%xv_oZ+_AV``>Sfg`}*@lT=4Ic zlgqu$?WK2X7B2#?X~gF7;oo#VV!XfVj>5jjHv{pCrcYrE-N2)srw_+!T~t6kCWRn`WdLBYFlE1!aZhqPPFR_r%UnM?c&GN%xtz< z6luOkJoan9$muSBo$cN9^%XU~vCv`|T4JWdeA49l{B*O8Ps4)v=r%P?0HaPdZSbEf zZ!YxM%0sll)xH|``li*EXNPrJf+{(}RkaSP^OO>2KQ@jO6#FXf-PB^aKffNh+F|Cc zsBo!LV+nJXrgXZmKH{PYD=VEgwA-!}`Rq)mVW4AWW@Lp|M^rA0qs5RR8kl43neYr& zl%#s#g^dra;tcVg`XjAn#KUN@6j}G8B$h*6;vUe=>pr#U+---6+#ML+p)T(pbwzEv z1;<)SF0xZgNp=K3znZwQebmtb_EIDEMktF3q0Y@`Kr{*Xkp? zb$zWlNDtGWfw)fZ4+XM6{yDp7e6#Ux|L5F;)k+=?-Dt~PZCsob_1S%|n!uu80x8x* zj5xv(ui%ZdgU^El*Fk8{-1dVN5RMakJ<&~}A;~r-X`CHAZQ-8w#Q%nW^>m!z+Jx>} zDtJgn((gL<;KAPU%@2CBZ&Q6YOG86*4Nf@dpRI^fUm=cQ-|lN)At#Vs9l139T*3P_^S){QV5!l9`y_gZN%Qg@Zy|ws z@Nf5FL$)`+UqorT9v#huS_ISH&&CY8a{X!NZyt8Ew$?tdQYm?QD8sb6w%1LEn6rBh zjiW#5v^>I;`0C8*v{_d&_Vp4R-<3&i`rsmJgg{}yvelsq+u+ZYgt*h)mfw~v4IQcx z8ihvl=O8Fp?Y(Mk+YTzwN5@<+hbqd?vf*&>bXzC6F1;{}DW4K0$Mh{!fc zr%Pg`#|!+32fc8{@rk_mFCZf<*O+gPYJLtaJvfzSSr}Xuvd%U=L#;JI{w8YTPIeyAk*1K>=Wy@*8Wp@yVU)V!mw8%agXX< ztU(RIbJdQZU~Dw-%^!^+_)Ej7E49>aG9S6&0OM5&uK@_bjpjnrsJ4f`5OS17J3G|O z*UzTD^mW{9wnT^vainZV6r3(s>1I=>4tjP^({G%0nk$!!A2k8X>23q%-W0dR+b@_F zsEH6!soxw^78&~o_K=)PEwKwvIVB!#PH$zvZwN;TeRVaGu2A$-i;)f2&vS@3)Q@*C3-8N-X>}>C2Kc7g zMk0ZZ;!b|WyxtaCzFCGN#mW-bNO?un6Ub3KSO2Z_`L&T-=@hw4Jkkn7jU4jdAWB=E z)6gD}%#|_vX@VGR2j^r^Fk#Jsu5F5Wrv)W|VrKy({fWDQb20Ft4qK!ORsvmwW7)DAxZ1 zO=7F_c|M`0x#ZTUXfXhziR04|c(G2c@$bG{uj;s_dXK)?uES_uGs4aE*Ryd^qjs(}i9-%J_)2Bq;j*g!tHrT#=-g(^) zVdHlQNOqEW_oxw{i;=57LM}mzV9NrV=bhESDSq-|wN~4``$$$U$ z*>(4EYQi!`OJww%lddCnmYt&EO`!86<`62Q5WX14D56{ZoE&(UUm^=R4^7ba9#W5mf6D!=v$q zr(s3S909y?+k^ixMcIE?alQmGn@V{>z2(anNtNas*(%$>?-0YP6qd9J|NW-6+Ze-Z z`9}-0a&(~=+eEV0qYUj@*>FX88HU4(L934UYW{A5(!uxL_C+`hSFwbL9?|U6&A#797+X2X*5ahIhK@|R4+~+@#6DyY&`8oW$<##9Va}M?;@{e^7fyc zcqze(zMzSbKA1=R=v42)^kI8arE?PUIHI7anf*W~O5_gofIny9MW)+e5_R%`a~JEG zi)L6*Ch^2g*L&RhUuuqvV*eH5b$b^0VisM=gpK<=wHKqWGZQOCp|r-e7x?PLzn<8J zPr=W|!uWsepI5HkJQyB-@`iKIs5J~kVr;DYBQ=w^L__i z5p`3F+R!qpx#OxqoA?lV?Szi{=p0MiVwydyb zMtf+FXFT1Y_E@yv`Kp_8eI#JR=4q-LR%G3!ZDL{qc_f3Tftc#lo~>c#s}CqLb^gp+ zOYJv^CYD`_iAMhBUyS*fCv%Dz*&f3oZONYXw!og7-lIy>_J|gQ9BrMuerER733FzQ zE)L}$P5;oBEY5SDOku2sOZ_mShq$}_^*Y{bhUZq_SgTQ<^v>C9pt??=kVj%}AmSt& ze+H$+qhi?+8|nr0EmID{hh9|iVsJnftv6{?C+@XTO&U$Z2$}9g8{?fSvB10s{ai3b z$?8RW(jq?Shb4E$t+BxLqUwtW6GZK`9PJe4>Wb_6E{VwMF$(Rjg>9mZNpC3Z0W@$; zKYa1**^6$QZXGmCpjuN*?6zo_TPqq=hSeRJIGDC9R1}ZA`G)a03X&rVk|!YohCBj> z)$fvUFiFX|H)*UeFSTLFVoUA%zUCj9spGk=0+p z^*HL$g)Lqoo_cPv)XlaNN2o&)3KU=!!qt)d2qT70k|HVP9Auqzt#r;=6IvmKLQ=-12ir+zU>{&a z?lM;8epp1$cdFD+IhIPeJ~ZoHAo~~f9~lsr)i`+7Vyp258wa|gtLpzVix}^^QVipL zMK-M9^H|2-hWC~JzcTp__njBrb*U=ffdy*y>abijyBI_TG)eARt*!~IxX$0S7T(}> zGpa(_!Y=tjM0#KARKi9HYIGnba4HJ_T97kSr}+kCKD_judi>h*?WZawtNDjKPDvCz zEZ`;oeb3tOIDCW>kX}Vib0ad*@W}mSx=?K2ws%trucDsXQY9rg3rr=zYszh@wD-5@Lj4iu8iGV}smyzq4NZef==f_6n#Q5{ z@zFF4e-tt&E* z%f1t7@_E@c+~smmh(Xt}WanP`V`;M#>3X>KYcco+L-bEP#w_WV7(}B+UyqWV!V_sTENPgA3}Xzf#{c;;arm3&*Ws4n%DCdc;5efqxv1MKss zY~yH%rv&+TBoh87(cMvZAq2aSFJCR5p|1DO(|4WTjWcf3DfNY2sF$xIX2k0Ki}a7C zcj14TCC8)Y0d{Nce0P>9nO#V3a1s*qm^)fCo0V!v zBcxo2z3-Id!nuav@7EO1bi$k<{TTl%$eN+I6X-X>^Ux1Np)-d@^#N0TtLI`a*R(<} zA`QP4lC>bhU8=_MTH2-FG?w&jkgr`#eD^y)aXs{#q}6DdV^#}U@A~Ft>D2-eHKTxY z35e2~Jl8@u)0HCUzq#}M2OMyX9}W;re7|@7L~4!s+fDxU6GXd4$0|AxlpTx>3N7?Y<- zxjLY=72ut7mw(pPqm69#?L4Gc9_A)uROSFbz3{&QbrYD(F4i1YP^s<%LdF+?q2J$s z{>AL~=ici3$0A918@>9GWDKVxCbT)Pjx*&Z%i~{3^Vffj(0{|Wwlb#HZV?1pVGG@kv<>uDEy%Ki+@`@dRoKt zGGFo0@bfgr6gL^wmECErD4#XF6lwYuvCK^1ggEOGY;y4D zI9jZ`Kh2`3g!b-4Pd6D^YUcNj7>6?oxadoO-*X-FTC4T6z?1g&XP5OhU>=a>r{gH| z`Gy1?VGb;=^D^^}w5BGJp2=?yvlSN$W?uL$xV2ht0yqop5d2b7=Z zrM#${e4~Fop)6^lzTm+>%$kM{O$(fhdQB0Wlv+0dOUr@hxf5iC4{)a5gzqSb zeqpHi#ysn1)GE95Hp(+WkEr^g-UnokZX1q`}H|KqKduo+XHNX;b5RR-P$C$#> z;Y~!FTBX{Dr8)5VyFuzi-c@uS$C_fHb@O=`;opG$>M7=oMpa<}VY^Y}X)i6@v$a)FH3hVh2cbsc1Y-EKj_;Bl<;X_o16 zIuY+Rv6r(gRXDN<@{Angvg_DFSdj$(Q8=3VdX=uQA1S_jIGUy9qF!1SX*^aqQ&;+; zq|S|9Jhk18+4U#BC2!lkvE&m~wJby>U%h|f;aJ^xx{vtOqTThU^lZ<X}OOG{=d;Wi-qqqrn5fgl22k9-6 zQ_^;eC#sI`ckbKykEZ|jE+o(1DYf%IPk#|?dn8;-0C&{L?0w1d$zhf7%xd|a1!m!f zDr7`0be(cx52-twg0w7vviDdZ@@Il1NZKbk*y9=}Ke5wV7POXmm84WOPD&ZHoG9B_ zEIiVWH)dBTPpP)E**BsL(C^I#sP&#u!;Ak3=KN(x;}Siszb;dV2+5C@%d4NOoae>t zxV|&yza?YQmi9aKh6j1P?08@fwI7N=wp zs^Jte-qq0xYql$ku)Ovu2@OH~WQOg08uzuD`1Z-(&x&81hbo%_Pa`&hS-zX2gLRTF z9bH7uiTuO*>xtt}ejZlh1H9Rc4Rj|D_xz*h{YO1LAK%7c?tgwS?XoZF{sV}x%V=5W z=X?qm;Jh_a+5 zhF!q-NrC>&rqr;{ZoV!!cp629(;RwSISPgr?3$M(32&1qRKjyc*3YEJx4xk%B=P7* z{hSF?%$y1i|D358xsxa@dr1@V>T|%gW)-zSs<{b`SkBGIF{>$BAMXiwjF?cpkk{_G zeToS+bJ)_M$&>6>!t$ZXOjV46q=={Z9iTNuC$mv#p_nDyDOC4tiQS)K`OAK~#qiB& z;!k)^WX+MnE7H*Hg4OIEJqG*Q%M8;r;!r^*M-Gu944J#bl%f=ju+i@+JkoX-w{VFh zRRTd{;`xm>LDZ<*vk^8@ip6){Y>+x`c0H)Q#cWAu&UV%$YhJdeHVC`S$@Vn=CxaEm zQfjqhVn!SdHSTuiyepkhY1hEQX!}YX4jor%*TDieo1e}ILd1nElF_<7Yj0shbc_&F zq&eW+NY9ER>0R|Tk>jb$(FC@O(yf~=fsh}_o*zR!KW2JSU=T0_2x^!eM=nZ$pGCNT8{ zLYOdbOyYlnwp}*T{IRpWyp-LS&8&6etL4ZE&E+(bMh|l`l0^@zsENhKSg~W!qjXL$ z&;?Hgv6LeChE`~y_MV4Fx5Dr}A~7izlLEv$=`)u$69YUu=@kyoZ_8wQ77?dine=9H zD9kz4>^tpC(&lgGgdJBJuZk=|Hh6VPBv-|c7hT-btpjuQISoYlRX z5CuOr3T+pyaWW|1?0>SYcUZo?!(=^`nbWtd-LV&-pWA}@BG;R13aijW+r-4>9PWg%eDJjR)c9sCg)Dub^YKLHV zlpenhKVWkT$_>IUH?-fAe#3H}DSgCtj+E92;@9Ime+ui9-u%i1PDuXL9lwb-Is3pz z@<9U33Q_r$WwO#@*HI+84$;hPZY}MFUnLkwV?=EmUxufkFdI?MBgY>18mn>x^F|G&t1jNijcJ8rsQXn<1YFzWQP!|b; zpw9=!co3oz#HX5AZRCXo*+3(b?p*#(`5=nr4n|xSOjeI9gk06TM$Z80EMI3O8$*X} zD;x70zwp=PG1t&-2SeeH-!1o73WyKj1>pc|Ui?oStliJfs9 zOz;LuWU4&uSnd_Nkf^S(q_s?hAtgi8w?By8)p>uf@WlF~l5kY-n-lpj^stsdZ~%11 z;qjgM+lU=58c}WKUXc*e68GX{ zh8<_trx+Ac8o;nFqO;qtVzqRWFZHRp~Z#}TEH7>_aqr-Ay_b zw^v%Nz?~y$NdFlI$;s>EgDyJFc@69U9n1N#4J?xMLaLBu!o4pw_XGt`OEn{fRtXfn zeR7q!>NdlJesnzvwAA=mMPQNEVLETYeV&bDwyAAqbE;;08ELTJr?xw(dU0kG)cl$= z5{)MQjxar2p(c;p@Q|Uw1LyH4rp(mR{AANcm4wPgu#%z*tci}2?F!Y=re|qrIz@Pe z6XI$3Gf0R+zam~C95%pm*LE>9@lo1yt|E1#+B-UbM$mjo91Mn-d}Vt?Wy_A&NPoAw zahM3Fa?%Wu^(KW|FLYHqtsCl({U-YG+eG6J(VZpRAQIdLw=*3f@BjpJSQz~%wzl`w-|6&PHZ*jx*u@TGY_c&c)qJKKdcu>Y zbx%fZwgVaKKnbh)h9B{m?{Hg6D|&vd3l{)F&C^%xQWT@)_}e&=K7s(p9Cc+CHua@jMVS^@<{4-cbj!VqDq2CV%=Y!Z^(fLlFk59kOSWI9)yxU)1B2 zajcRJ=hZf_VB!XT!x7h0cY^MooY z#u&-X(qg!V<;c}d$ka{r@hjLPKc}Ew46X;-5E>ucuQy{i=@6wHnUfJI-RY&A=p47< zdlJg9NaacpAvJJ8JJk&n(#`kate0Wemf;lOXF7(3x~>cG|nmCpZvi1&FgNB=7!~O>;3`q3FG>MJK1B_C)q2 zl*Nv-x|Zh&%5%etBgw|%9L>kW6U0aq-0*Sy}lc~Z-LD%U!i1a~>-V4A2R z5I1#=htamVJM}Zl_>tzTty$3!M*haxGLl@r^b$RN?oQp8P&Rvro+3ne&PwdQjI`|x z6cz<_%U3N+ai1TYroyeU@xa4a;B5onT2#rD6{6C#avGyolrc_bd)e1R2*lYGLduwi zO|6i6N8;LkeHn(y=aIwwuEnQ7F~9;*Y7kuqh!+Kl zdC~}W!)Si`-k7_xRniqCFOk?r7notBsv*1-?cAkG(8C+3o=y)oMrnrfQ;6Y=?j2>$ zUe-`OqaGY`hETn|LTkCIl7*9@Q0sMrXEK*4JD1m}285HpreajZG#pml&L?8h!xcI+Bf@_5xs?eQt2u>kEFPs{tb4XqSQW~vJP0*(+vHiL2`6k*y3MCdj?R^SM94D**MN}_Il{V*ZmbajU* zt$|N4gvHa&+os`G(W-`B$C^Shth`!S#A(_Z5c~P$_)w|tLK0G3D#3zsXkpMfiH!NBZF5kbL{BmyDBv5bt@(YRIT{03(@uk;2ikWv)=4VdJ{Snoa%A!MX6nmQ;EKFv z@h;&}d4?^Cq;F<@NUGz8je(PKM?dgE88q4g352bRv(-Mltn?`J^;M)7;zJf0XFB$Tq&kO)`baJaZBO=}{#wEH6W#282SZrt2p z9All((7Hc$S?9HuWeTE-OwX{It-eB_k#WcZS{2G#gdV0_7)uUbPr##4Bz7jHyCC0} zv^E;>nF@{jFkKSLbrZHdQU(p&n6dq$r*F|oABoty8%9$oN`mW=2GfD`S5pO)_0knm zk%49hq_LTTdk-uJCqWT)eXs-MNq6#Go=_gA?F2(wVx09G)(gz;@970$P#mG}aO0!G+RTM=H0 zuVb5v4s^I$hctADG=oPJFHXZ5+Hf{zX?w0ByAb)A-qbB~BTegZ0bI+}>OvbkLQ_mG zh1<(bq#L8Oq`rTgHD5m87eE& z99#80D1&k9EZz#VtB%L&y77`U8N*kY!2r;g1kN7c(>hM?pqIf zoA9FYGmdVq%=*U=Yf7JmGM5kp?qhzty9W8!{U=WocLf#5Iltn1+-?qCep>8%{()LZW3`FsPjB4I ztGtEi;#TPuN--$)MqDPX>oW0k>!3fqSH|sf#r?|#ycxdRr{N}kWGx#jcL#r7xI(rk zu%dkz2RaCFakfi8-S=NE91NltkV160Rjh&E)4-8T@OK+AdPS0eE*qetR{rYPXwjsE zUb?PP^O63$UhHq24mxt@`NxEEB`Wvp5BE8JFH-~6d@kend!cTF`~A@4hW$Y(R@*{) zO7kU8>A-LC^yofX_-9pS;i-G0y6?_k74yw>XQ7}>+^b>{b+5wv@Bx|)sCqzD{he=o zYebk~PtG{qA!oQ-mxprhwFSHsKf9a|xP|^~7o2W#B}K>Bj0au?@#WEVQz@b?Y?JB+ zyFW^>^qbOK#z(%^3fbA?3W_*_UpE{PiDGni9<{&AfH!oN2qrd}2Wlnhln5|kL+BPF zqw6jTB5s2pU`it;a@dP8TG>u_4rgv9%{JHf>or20Krdh7VnL5d8p+=CNRhmH^>{lf zdiX&Jl_zhpqMW4r@W4VYgcjx_mfDAn^&hp+bv6PeQlUu>2j z2lc{IN-@}d!z)Y~44b8^Ji?)y*vLWB&=mH&6S@~g&|M8=j(e>%A?SbXcac!^|3FKP z7=S17RPP}LNA;wzDV13>e~X8M*XHE6!GehtmAq0TE-Z}PVGk(?MIQ(G-7xomqkJCY z!nV!yX^CjSNXg?5SAlQMH2r~{dRkMLu^9?j)YLIvUV>dVqRV8C zn6E+$N2c2rhNwYDUde3x2zv0p$aSx=^{)L*Jln6I-7GIpF}mH5Z6DdcpH?gnwR&(L z4YqxBTp1E;?Bejj@cb^NT{};So0QQrIBeSVvQ z1I~vAG0fP0TTwPxS+-JHc3f$SY1nLB<)LP|IoLgT@w&dkYM6M_3X`G?N~Qr!n=Q{{ zfToIr@_J$oL27;|_S(^>tvsvmpA^3N8p<)cq5q%K2LvQFy$?OCTGg4EA~xlW4MM@r z>;i@8tFI*Uv_VQ^frM1KYqD+wxj<7$@qmTEWUnRQq*%1O%te>E8Gcd+wO_M3|41Q` zDba|`v8&w{1VZo^3~&KLDE=2I6TaXHM2^hu+HXclSkv-y8H`MjYqv4y;lL>RR|~I* zhgUEyM^f{kgtJc0co>#3JjOiod`nI!QS#RopbC}eGlBh!^w~^Z#0;HiDi9QZi6w*4 zI9;)<-RhxoFvwZY-Z(9RFEb||uZ*eU|_obZ{lXDn8GS|7)FQDo0enI)F=$*La2gXsS7N+jL;y)@{z zljfv*5p?ez(@|&!BDT9wgjN{Tk4X64B@kC^XY&Vq+po~OqY^KGGRi}1DY^b${cZs? zl_JCwr|{FA6e~U@D8$+9zoeN{+pTJ(?hq=)(u{imVb`I28&1rrC++TZt^e;ttrku? zEG|e=gMX`YekC4YWB-l+#D`ol4C0}5?&MzSrHDwlYBHe>zdW+@?R4W*dx9W zJPh{qdrpukgXkUho^#SzLZqq%66*v?!2s$lES)wd7PjLVhPW_jUu{0U7)`14tq5%M z+}wk+2jf9EsrT8}pcZ@7`@rH)=*}2JK(ZhCSVgB={@|Ykl%2Z_cxav1pmlZa&m|;4 zA7(NDt@ISl?yf$iiBH*fD-(}oN~NSP6^Ue`cqXvzb~mhSOYQ=iF!QmP4p)$myQC6D z%HuB%0|w~Dnd#&+Kq(TO@A7%jFQH6I0}=2EotQw`3%#(0#d6zHGq4oXxEPWfB$T(E zIdL}f?aKB%kk7xJQX-RF60{Adsi!zfYe(@ugQDXpnlS?pC%yT~7-K2C#ZWMC&c0mC zij?8n>rGv(s^fR7~Yrd<&NCVP5@k#3yJ~>Oukq?kq1gaTwCrRt7bYmiOSjW*2%G z(LszfUQTH8kohwBmr?PTu;M4xhoJ7pwrzET7$Tj>@iExb4!V%j*kkH!dJEZEfzeHu z`w#U}IMW8nRBdnyYtVHTKwZamzRZg;Vfv%|mH!R~yR`kYv=#CtY}V<@!vpHb4kwJ8 z6xcNybzW^{GG5nqt6FmD$OijFu?^cqe?vk6L4e_z&gc)y8tGxY?qc*8<{%pWivi-_ z$sbge4`;>1T?uiG3rf|0(o%!ly7PLM$uNG@IC|6ZKh#oJcw;z@D-QYU z2gL3Sa=J7OVSpLt!}t4dPU?YmD~!~GP_vhxKJqpY!3WiU07Y1-8;-#`Sw$D8X}C!f zHu-I`4;gw*P{NtAF7&WsTT(6xRa^b_P~9>S!A%Ux$jtN|4Te^RkOqggjfCFqheXVVK)&Dcmp$ryR z8F0`S!byQ)XsrSR7$^md0*>jRaKjjZvv7g4V*|#2VSxB|0y8ih@V}v)I(!5e|J;t8 z9tN5zbU$XK#R4?ky4vVF@Cc#|#S8rZxc-UFWAwwaD>7=*xl6FV@AWtFlhc8Z^3&j3 zC1JqQ*G`#wXaY6x$=JgSg@}#JV8}U1*cLTU=R}qF?eou3k|4`RQYWB?Pn!O${3~^& z=V651WQxEbUe?OA{cc7O4dd%d>+a6gg zp`R0Z;hE)RE)B*_3$S(DQq*iz&;&h?g1 zP<_}uxC;6>izQ%!DQI#(ULIh_6WeC$f!DJUzhFxW6h9cye++bJJlzcb*OO#tzAZ*E$s36+Gt4XumEFwvQ?;eqUep_1*j) zauMngh=lxe&OnQif5W-e&dg+HfiIJXEd@UL8}c`B(b{*S;MCABCo>zFeWRf>8o1tM zWvf=jwNTpIcmUnV$sG;#=I5Ut(EmP7v0DZgbENT^9sMPMFXp(-MI8yR_pFTT9$jqS z=(Q|L%#fqnEi>~}l7ZJd$~zR-`i!vSdCyiX6o5j~twr}a($WjN0qTlEjfzlcfONmH zywEgd0ofFuw>;vJw+vhhX1SudW^R{URwcF{o8;{i3D>GwJgnkVo?WK(ksq*1?IvKY zjLtJ4BEuxdG{6+X9K$!K`6%k=IgTC;6O@6^cX-60v4nZ*$%6o|Ld>LmNqW z0yUet1Asr+MvO1UJxF^W1%psf`AlU0BMaWQs#+-CWrQfsteYzkHr|hjY@5*3A^d3U z#L<0^5vd9JXW4!XEyOEPhkp!rE4?d&gZ|?*$E6OsP`I?{AFb!VC%!znJ~`dq(76e} zO8nLJlcj@D#_Aydm92QCS@L-7c6}Ie#>qz?4QamYxltwikAkg*xxJ3H)>N>Mmr9Mp zq^6N(3PUNTzjn1POn9gHMP3AqYfpXEwy@-#4j;T`e4ERqJ3E(->z&JMf#o(IJ{ZW^ zX-Up@ws|Ykta#YqE=o}3=qR}yEmG`q7_K$(OdD0T`&xq*1>N*&+95NPsCSQm1`O~| zzg?(9>B-HMrQN|Y+HO^?&*5F~c_Xp!VWRtGX5>jIMZp=25Otgjw*mUIcc&Jmd6KaJ zHgora_ptn60|@Q#E$VH2AF>~>oVhQ}CqBLSS{Yt&UncIL#?7j@+ALKKSD<0&!|U8$ zpY~@S4R6nkKRo6Sd(NqOKLhzOwdmdm)I4VDRj+Y9znXnnO1OheTzw6Pb8)pkSplBF zEcjF=x7S$Y6UFY4KP1}Z6~FO4f8*e@ED z+lyg@&NDqv??@j(MLms;m0K-U&UYW6YOmA!N*g38gxc|p^< zyo`eyR+i#zBNOM;oL~p4VAjjTpIRQ{2dd|N?+vIa*JmP7=}j?drXc+kRP{1ya(iox zv_L_Edjkkw8{^l_Uil{{E?8uNl9@|h4PT!YbGL}V2 z;n81#JO$|BX*KG#ilbhDN?$}v{M$lr5IR3Uv%+yudSJ4zjMV0IDxQ$1sjxy! z^jaB!ifUKQr-b%YkZ|}E(C52_@H!t<;Uqga1GDmeKH!4Pv<=QuzNJZ3>S4A0C4IL=D^@O{{oDQ|Nl{3k7qWx!^;Y- z>0#rcQs$n7#*ltTd}$mo;7Hkn;_6K3USa$lWgPWUWaje`HZXMi@KqW)2ado>1(fA* zCX}Bsj;DjuupUXDjhNg+=9r)9OGAq1KBf@j?h3d*y6brm=6|K%r5~dkowI73qkZ?{ zl(sbP$j~{k54ItuPrX(hUD!2HOOKAh{)`73SHEAH1bEPLED%Em9L+%rFL1XJdZ3I~ zDUMw5Nq}e^#|%T!j&Hp-+F~)h1waY)WVaS6)`XzH}6nVl%>R2L#y<;VndL| zM$3Bgj_E?BD1TQr?Z4%wP43PcmUYwwc`JSt2ff|)LUi&sPrX8VnmVmap*&m(I&_d? zE@m;PrkT1Y@UFc=#24-W*N?ovoAV!X?H`hf3y?Iur^z^A_>QdR*bZsFp?4)a?F8T? zdBSBYrw)wXG|8wH($-BW2h@OFPSLuFOh;jyW23-*6mI4D)qlnZq^v6GS9hszmmUqt znV~FXjDp7J<3$vvGdT!(K6Hi@&(kPT^@&DQjg88`n6y7p4AIwSJDEP(&Gjl2-bwV6Rghr+y<-jsWIuBuO)!nX6VQ> z`$YrIson_)w*;L}u8J&}3c3L}KOJ6{>-UoYNm8SQ)z`2A8QVzU;?52#Q-HDrAgBhv zp259eO8HoyPqoZ8&2R)#eR^J!rO%>m{WV{jaCDVdCtAE-DzQa7dm%^Bj8(%4xRM+D z<>D1mgdS7kWl~@+@s8~@ALUb;mo%LgM6+9f46CRG{#5a{5jau?54HR`p}##WmDsFe zGvp9PZ}=GJLG(1Q(WPd7>cEFc;G(7uL`O2#tCss>X$?)oA_)QNjY5?p6WIIlWCgPI z@a0NQ%G_DBN8O3I`XZfD`z%wt%C3gS$ z-5SuCM2Rs;eGo=ev%TI2rdYCF*%oCq~*kykgO@e6m$Wus zaO0@O!o%ity7wk7BnYqOb@@c)cT3JB2xpJlIL3N4mwNC}x8T;T@nzdQAX;aSH*r85 zd2TLM;DR;D6VLxhbK~Z|e()`46V&(1l2=JH8$BCeP8`BuD6#dg%2*!?N$l+9OU7fSkIZG&~u%<b*}4WT4Q(#m0y3$X5)oGxwrKygS2;$xznAC-UnX59F(ox6 z$s%>a)<=&C{m^N|Vo(Yk&OuU0Bs|eVqY*yu zAg8R`5-4I}5)k_?H-=_S;r&J~?(^wvD#G|;IyOfAmUbl^0wVjW-j{_`+pv-8bXb=6 z6CJw}_lRp%IAYg7EO%7b&atFr^md{r&md|p@Yw@+pX4K;3d!<$NbY*zUbBc&71!6`Nw=T!1Ay40dJUXkaz|u~AX=j1LdF%0j(fNHCZl_yAQaA& zZ2Zc7UPSuD^W&Fozn-y^==U4p;&iPGPqT{c>o1YM>?c#D_qo%EQH+;>=3dfv&i$mS_GrTYXSmtk06!hO@|cKfkO; zHwK2uX9oElWfwMNm$J~F*Yy)j>y-V$g{gDIf%&Q z;}h17P4}tnrB&l0R{*b{nLX5)1wCw*d5;lU?(?%n@nny&r!t15gG>8A6U3)}K)qY5 zQPpNbRk*bVh4TGHYuD@dH=2L_HvVz)bK$#5C1pp^qj`U|^54QvZH2SJG!5oD>`(Dc zDiH$ok>zvK#?9NajfULlxt=1w;_PWQ2lf!8{Ge@;&=^8K+t7mHFeJoqW9Z-XAt_V1u z=a?a$2{?uv-cNVU1P-LsMjhnrbr*FMG#2V8Xu_(uP6J%a&K9sb9j`|%g#QYeV*`N> z?S2Bay9>{%R4?p#4GaBwDLFiOQkyA;Ok-`hdU*pC3XUmiyShiQ-^j9y3zr}J)pyCv zD~~XeAbGvDl!D??2O1-RBNa$~4|uHK@1an!+hB?4Os~OBEiELn=NZz3$ZK@Np{Bna zOSH1bS+9KmrgW`9H3%9t!1+{K@&bxSgOn4 zL*>;OJRi7t6TsHU>r+l0i0^~1BL*f&`Xk%@2Fh0FUYE@3Opz_ra+=SO5NgP-Tl&9O zOw3M!OoUrWnG|?D-0mV&0-k|YCi>>AB8IM4T#AX6<6% z8)Cm{TNc1K+eW!JdxtSSF>JP6PKFn(*qh*lgU5}9V!~=!p9{(%tCgJQ?p@OjSlLO2S z_E2Zof*FyM&d@=0g2HrL>aO!J(`hUYaQrof!Gi7SPdpGkV%wXS)jVc(totFWnmx4l z`LgHeB&pPfw@(EYRb{P*+_)dzU384N?P_f(K(zsC5!=fxzd_C?Z#oR*c!FALqj2Z3 zmTmU~J5Qe}5?x!to(LoU$m0@HUbzIpH;K2x>b49)Fz76eClT&shBaTP)M9D%;*8-4 z%^uh;#>O5_E_tV^O4RM;! zvgGA4+fS*EcauaCFg~_u;^*J4OZQ?OFrzSTp~(P6-hS;!iRRLvB~HfJ!>?TB9yS(IoiG zKpiukn&+3>g3NTDo?k45nd!*Azqpez)A_r9nV?{%%W=0-+xgTjtS(-&@hMC~UHtdP zCmCsVfk`@xq>`8>KEg;1S{$NC4LlV1g$=*~HYL0+aYBh&Dw81cS|C&~(i}t{N20k> zNoC3uf%xO7O%(Ww0viB?3X<1}ghmn8iQMT=B$@xvNrv9gZ@nH)4=v$5rT1sRN`u9S zUz=ksmNl?c$+-vo;+!~r0S{fP?S{tf%`wmtF z-B}nRGJ!k&K^oRpJAurC)oJ_n6AVfK>Hfms=-@5Lcm!>Sf@NWb=61VW#mMlkH9^*& z=*eAcN~}MFTDvS*S$=Yc*|QUsCEOzhlNTibG#h8%f)1@BLCK-hI0?|wYSo}hB_fV) z>m3||N^bEl?Z@;#yEaZ$f_wp2XY7rZMV`&@^FJWy=IrJ+*s?$CW&_bqJ;OTWd>UrM z;xj*=qV^WH5jZ+U%V%X+u*wj1qG|J~qN~L8ry;q`>9QeG2kD9^po#*T;9%L}OVv^^ zf#e`~=7A0r6q3kF20C=N>|VnC>4UwW`_yW|iroD6AVi zS!eRt8r(`Es;nkUk{%!P)Z{IloczzI1E@TlhMm^}jql48C$G$1K3I_$*+xU;u(v|` zdn|{mO?u7_n*7aoVRb)i-lkgkjGqqS{~ylY11gGTYXb$zNroIn7@|Z8k|Z-AL2??9 zoI#QTN|x*lLE?}l=bRA~5E#ih2gy+~ND>5J`TJ3(?6x>`c9W?wHZ{4@5j2swvG?hl!V!CNJG4L*tiJ5 zj>b>m#dQVTjo2C-5gD|UkW+rYm!=>I<=<&^LNBesG;unbz0r67Sz!+;$6B#W!F)RP zoiVKO%M;W(316u)wfmqnqJ0BWPTbsy=b;#K*#S}jHaUv9nT=u$#HZHDfa^h+#JqDp975bsDSXGe zw4ookzm*Xn@Fw#O=IGCW+swB|k8Oi&Z~PT|!u|loTJ=Ziak1YHmf##>FG5($50Qv; zN`*aTHT>MOJXTE2t5FiPBHxPI1H_pel8_Ze;|jb|0lVM;--N+he^dQ>itNP>KUj~i zQ=w!mLEP0JP!mJ&8@5><&*+cprB)mRkaKt74H(4EcuWiA3eAyPKc%)8(^jxFe{GOT zMtf#o3Kd+q%UNK`wPl;?R6Y>q_`vpo{lkOdbSMD_#2dU>tI*@B_*_%@6{TG9&@NwC zEn>By9CJkX-C`3pXkVf`c%opoc_8Z=W*j1Wh|$L~OOFZM)b#yji21DQ7G8o7dl zcXJgU?SG7}r;WQH`(HrBT}ZL>upR|y8xIQKDSG>&lSn~4g8!Y=wpr2(i2a>xx=^aF zFsd%mvqhnHk?XMkH~7}76AX&#(?1g4u?!erDviU?460EjR{%0+!bJ5wFkk}Wf>8=% zXo~+0SFK=>otIMu2s{`|^C%crvz9=}u)>KkQb|uOkM~9Y4!j0kGm@Vg6L7f*q=6Ep z&%S8LT|&5nb~$o>*MTACjGi1>QDhZ9@jH}k!A?Gfw*Ljdc3RX|@g5EOCv`DmYy2Pr zt-gN^Lfl8KqNgWEM+W*sL7tRJTC=jK1=?aa*9Q6FJ1wEa>qw732s z2MmaUTTyZ=D!`E?^46slPrOjGUy$wWVEhy7ApBTuigfAMQJ78M0>kq;0sC|WZs)dA z+K5-=;9?~XJR+UE$PifZXuAwV$IgVo-Z&oCfh9HmiH(RN9)_ocwE9Hb5GQ=7ZTK*{ zw(7<$m)OqYhB6NX$WMS=y+dKR6o_Z&vP>RD8$+sCK*;o7BHHazYV;c#pyX~394P`G zxUND)I#r6LfFHsPv--;c8~TTz#W3|?1{8EjtcSQ7JLWOw(h4S^QHtOb+bjd2t0r)r z)7dLI`}y62u<@qdqaRusGTP=(KYZVOA5w@+bHUPti!9&GC3bDUr@*|iMjw62&x#c= z-t|xf7Wt5V+aigU^KV!qbif@cusD9-y7vH*@vi6fO=%&hPKaf3M5a!NbunOkfzu8{ z)4L{@Okr;zR+qj`NZH`@+y+tDsWEDxK576RZ4ZqKv^x7Ygi)l&Alx+vPgvPT1!DSk zuRICD1XR}eyy;a)8vD~({jo>H?)2??+Qxz=gcD})eq(is801Tyz>oV+WM5=WuD_J0 zuj5YSzff*0&xZWpBi7L&|9rjOSn2S(T>IsVE)kqVFr|tQ7M@7LnAq9iVN_ zU4j++0HPvdqYezGx)`az3@SXZr#`xk`wjX^4BD0TJ-%!bL zxgIAnjPw12ez|J)&)jAE!C}mbiEj0z#{?eRO3eI*S?NY8{-+f)1a4xu(t>C2jz*P= z&Jk$UHhw+XCFsCq7^GVzWUHeZq)R4ftK$}=>uNh}FF;_RQo_ZNBR_jlupV>g8dcyFSkih|zaK`-m$nFyhKG_J-%$zO%ciCvg-%BxL$hV(=rh&K%hufro;oqU0ruVY; zt+NeM_-{9A#BG`ZLmxX&yJQk+p$%hGQM0(>3euqcOpWVm$dOW zJj+!BF|(F8FEJBa@G zLwr#+g9<^X7z#9Dnjl6km_83LUr5q9F+h`l(<@?GvkmHRb|9RZmY^JIOWadmIs!1) zc*DK`tahnp$+*X%4qhf$H6i$-3DZo2apf&`ICYgQB)gDpYfBVl_AO^sDmX9735_U0 zLX-dkz1-ph?U`X{T67@N;F(#^EbkGFQBvpg#_K`ah_XI`)8ApQlf`&K*eYO0j5413 z`c!7;rh)6zPS(~`$?MTU zeaZBao{#7Ogf9kyUaYU>A?PCSBI5#ak$T9$hAq|P9q&ZIT%lBqx~K$1Of=VPW7!K3 z+9GASy>`r*bClox{hcg6q1|bUth$T6CD&~E<1sBV?*24;2lV_s^3S-(!NJJx zdkr%P+Jc`l+7o26(*3w4G+}8L=DFwHrY!PaQ9ib}v>c$4*vh!ZZn750QnO?K73T9~tDy8PW*g?|;MB z7yeJ^>dIRZ>Dw~kaam@C80vB3rL@0&XIrKngd_z1F4UIHB4`q)H;ipmQX}_Gw!$w+ z%ne+STQy#UUt^;mlFUzHHTX1M#Ddvypb&V=26Ziaopi}IO)xPbg%CL2!|fb)2

n zZ)fdP4^|K(a;IIr4<6@r9N?9u4;0P+nG8R+Vd>3CW_x3u{Xa?-arQe#gzB^4_qB--QMK^&D$HHe(XW&z_fMUy@k0&LiWoCIR%x{J1tuU6FJZ+cx z`oRljG|#beGHp0k&7p_YD`8018)fMDhXbKhoCME4s_p3JlsJmYrC2l8^oBxQ6(>rd z<2wN=JR%y<>LpzpBDgsd@*e1X!(ikP5ykN4%JOk(n|L%Z5=bCwH%SvlSj?lAZ(ji-Q~ zZA414mkqq(@C)Q4TWN6NqKi4b%ylO|716fP#vDw&mDl@8FtHlvi`%{Ohv9-Ds*%o_ z($LKTAh4}f?TA-)ZMtpFDTJnsb=FpV zME*Gk19=K@E9ai!MO&?YRBXFR0)W* zdfg-)kaWn{-^-JH?BHWzc6ZjtEG0rQ}UHWMzC=n-K0{XMEY_pO*0ow_UAO)5* zw*--IoUWt#8@V<8iZ+0)<%U2ViF1x!-`Q-?s(H_eFnZ;89~mw@Q;HDac`25dm`BVw z5KcR^PEZE)z+qf)pe0A7UDsTl(|*kT9Y}^q}yLwlmd&1{uw@6I|qoa;lNU zX_N?N@)Kk?Sa^rub<$>|bZB=F8)8XB+tB?RmDPOUa2PW`Z#4|+N5aU3)J&fquTbzs z-)h5Yqe6{1aHtf?X&9qVltnph61=29!JQq;o_GLk*xDKWPUIXbY8W#AQe! z5%2bUp6u5xu!SFBFPjC@cuy_$4HfBRAq*=(@zqjatp1F&xIssMDz*XKJJ-AwXm_@w zM8F{RU&-TdSV+!`o)dmX`r>{?d-x(YLb(EKIN$@h7s@@@bCRbNxiq1uOp9yHF(=1I zV-=EKhsWPO@}xie%13aqb;-9h=6@gar|?n%swCls+;=K_i9%<(aUsI(RIJm1pvw(u z-|v|%p6ZKYh0avt4fxy7Fi*LHE)%4E`!ZXs)ED^*onuZ<3EAwq`x@_WSxFA}`DG?v zOYvL<7@wgvUtqC6O7uD+Gy#rUG5NPmvdz<7GCaunyfT+j;pc_|=>ihAKI0d;NBR~; z6PDuTOE>O%1M9v&OR7?ocMdB??77Q2T3?nl*1i*~{5S3rR z{i;=3S$p@Kii5Lmd%%X;I~P zEEY3ag2C}&Zo8&3UE#Q)eUEHHiZYkyp{8pQGogjOgsr!i{F;VJ4^RzW^6^-V&U6u< zJRcr!v~{Nf%GuD>D~^cvD{I_?)CZrO^AB5+|Dmi;cTDy3l9e~+aCwv9^kY8OZj#k@){Eu&9gq%LRip%(Z(T`LEZos$%jYjS&u z-*R-w7#^r!fC3}#Oh%#8X< zpX!=wb3Cl`>67SQwZD}X4!^nM zZ|IW6BN*PZ7bELwVG&5D>8MY0>mV-FNYe1^|XO1oAre?4Jq24z^26I56!AvC&NXKUq2+BY-z|cql}KwlcI0;UqfCAu`IOm z9^FL3&z+xsdehO{i;WiBhxZ6(CiT)&9E%rUftr!)Lme+5$oLt#XoJZ;Omu4WU&*p4 z(}yUq#KNL!Eh;d1V{^PSlVfP-YX&0Lw&+}~nY!YlR~5TsgsfCQJ#bx7NhWdbP4m#& zK&JAsF2su*oRn zz~Z+)j;u&axj<-1|9+&9k+>Hcxs-IV^OSGTkupco5n zB1d-z;jdj(KLxq2+)E=lSOQl05cN!56Zb2$>>rrQAG=H9^2b(O*(AmIure$vb|)_K zh#Xxpe+j*uc8`M9em+P{ySR=eX*C(`?FA;`#;_Z{*TiQXPv`NpaqilkK6`f`(vZR=N;IM@b8~b^a2WiEkVxs16LA?jv+?4#E)KxE zO3;}HSB-a*WrM53@43R%UsajhCF1a$OLJRVs)^(ChvGkiJ0Vm=O8ogfF!hwGL;2v*2rFPJZ_U|6lqIku zaj2x8a{46#ktwi)N5>(3RmKxmiq5>R>`2dc1hr+9fp_uI5Gjd~&j9BLF~a&gnC&6> z1+kqj>~?=n$h4;2)h`g7A*?6=h2QhWEed!HdA7}NvI`w0qayBR0B6WU!(BgR?9usRid z|C@I4#PIwi{?Y!2#Phf5w`!w6`#-~C+$`?ON95AfJTzyTPkMVQXWc4o_6Gz2k93Mc zKQWQrogq=9ly=e=Y>_eK!T+JtPxOPMV$apMoCBFd?>ziJkmRAaf&2*Eg9wqM@_zVh z;P&KjT`@`~Iq0pe=4&6tJJ6wI0CV~#(F20=RwS92Xzz=%{s{xp{V<1TZtwd_JmE2p z;rCMduKfQ+lwp4nl7rzikGC7h#{#SiS-`zG0Do<(`bieJ7gKa~r9u{w`d=M`vE6mr zUqJBPt^N<_T&Seo7X4FV2Xp}j*f#t?%Y8@%+P{7IX~gzt)iBC6Q40U47gB=|RmeNY zT?h;KuigsMfuRz?M6GIT&NSj8OTzf^?h^st>Kzw3fNo6HlleJ5TmDaSuE59+Ze#sP zi<==J@!Tgy_~4N$wN0dZ=dSfSaL;HMZ#D*W^qNY1nuxK>hN}hv`KWbNqGx`XJ%Fc%Y*7ralSMr+>$4u zJ91`L1An>;5_7c4 zn{&@pd8cFOl#Te#1Qt(jExeG{dG0oplv(_!sX|5FQK0&f*KsRSDJ}hy=XTc{<D9)si;segqT<4fr=#-|Q;?QE^?^JDJ zvvVn3MOvqIyG8R>F6c??1i8H_XRCCGUS`sTDr*aNA8K-xGPBdH<^Bh`2iXbG`NwDK zafb7o429cL^Wosa>boW)IK`zMQ|5u}JBJhRGnJgGOtqo0$KFeB zb(S)}R+x$T(TB;Ti}|gBIvPq7x!e6y9F2I#p!Z$B2_HRB<3I)hS_IE!48?VKxZj$b z*87jOP=Ey zU#%@?3?=sQS^7H0OKYp2r7yE;Li@DO(kbS>josotZLxTcs2pdfuE`1YggeDj%ro&c zDgI-_msFIA#VTu8np{590W#8haCZ!p!jBVW z6ie;DRiMN|#Yat0n1F_Ey?J8J41Mz>3aM0ivwa_qFundFNnFwcW8J|nR)MO>i>WE7 zKcYJ7XUwLH0cnd5SkML*7kG|C)F(jVcNq)qVRSPLs88?}%&d5b^fjR~%E%H@-7{j1 zhargOv?l}_uUc;|1>ic0KiBPuOJXld#+Y=E&nPYxO4s&)f2RXlxnAu}Vid9|q1qSt z!&WBUy)hZrPs&2xFGDu$g{ofErA~jCRdF!fV;Kw*3Z~Zk2#+k8AM2dhw_CM8uRW6X zT~DQsAl&9y95?k*H~Eo|x!T+N^D&s113|dpmT}tWuEI*q(NRxqUyA>DCvnI6`sIA7 zNqH);p~kPVT*rofR^VaYUtj_rq}T-#hfaM62G)VsI6++P+S5(48wHjHY^&{tEwL79 z`G99dr|KZ*yHxkb^@L{Hgx>a>uDf1aO{es2UhY&rx-YKRJF^HYYjmi)q~XsekF+Y8 zSWM~xxc(apmL2DQ%j?MG(pKI$ti_}w_k<0lrcQ)gB*!=E`(k>pkevuJ8ofzNL;biH zRw|uXr-(^Q;F|1IIwvX*I++Tq^VXamhak-3MD{JEEgNio=uKz1sPAJ#UxjyK-AS0w zJ__)+0N*lTzB1}rtB<;f=RAi|Y1DiumG z*eMbvp2a{@RWu{{yuTW_PHX{jFK5Hk;&dv`!k3aBQ)SwGy>_uT!qyj zwJ$6&oqiM{h>#0z>IWYLKwAoC)fA7)y);&XHhYc7KTto4DADM+`0T$XfV{|Tc}Z;^ z`lzrXEtC?da8=pcmi89<1FbKbbMzx1eRuJ$G1G;kNgjd#-ZF#C67|5yO`Iin9Ur_QAU;>J|Sm z&`k4BJ~G<^=CPkMPbrXidP-HgaV#P67GZdPDiJ)aRo7c9+ zMnFq>iI!@uOWoHZ^Tw1P_#-~+>wtk$$;#wYEWw(ASjZ#atr0_AlQ$TGS6exir7cmC zxP99@6`!rI$)?39gHH5JYi@8$b)Hbyv1;3MN6u;iE)DrTyVw-voHM4UZOs+H6VBo$ z^R01~K5^Br5VQR{BZ{WBne^tB$iA6cxVIv(-UvKU6N`A@R)z`wYUW{gVM8)UGZSg8EHO`~9$Fx-?O6~;nS|djJO@aqSTiko$ zG_hg(d=uXcl~djMmfVRkJl?-VHD8YVlpWjBgvIFU#K%#s zypnCt-J(&`Q`<9byW!V_*u}l-ubEG@5n21Mwu-oesxG zv=DP}9K$P+y3{-i4XhM4)Vn zMmeUm4$TQD7TH^$a!6`w^-N_8ud=#~r4$o7qdQuUsCD!dP*-R8rJWNYu2Zj*llWU^ zi|bH7)eK4>7*^r-J6>Q@-Q}ECtjsaRYGY{&Gu5wTqJ*a{;tRrsf{q?zvt|sQo>TCk z_~tmjrO&oG#*>ot%aOG6BQ{71CchZ)x!c6zq<5{7RZPY%+BVG zo4qIv!LU-3b`ynl8%}E-E;q+t%l;bHem$W!rrftWA#>}Nu4a_E_CuF&9p2%lO$A~l z*i$UL+oJ7_GpQo2*Pbg4l4?KCHsOmmVpVTlS6=t3{Z#z{Uwq&U%;+ZXVLpivJ$xu) zJ|6IPgou$Vc;;5zj|aT4Vp%}kVi}KF-U`Q=4RE&}zCAPFXsA~Ese1-r?3FlrHpA-2 zafNiY%D5=oC22*>1J7-oD{KI2T}Tatak*%X@F>@h_LI$YA3pf7NoK{_gD$S@6??+= zO?p&u6l|9upxCsDd&L*Itlt(F_yi-w+^4-;-L{W451AZV}1N_5zh@2(WaxY&y!YY`f4?9sucC@+hW$75H#Pd=C zN<6`k5XbS-`7?u=?QGIOcB(#I3OSH=5464sDu!EZ$@%O(4+B-0?q;7tX!gVp&Dg9h zMqiO0^^+L=)0ojzC-VH#d<9>*yYDh(_+#LVg~LzRR?c!ar<2|U21$~ZRo_%TPkTC5%Ww9D1tGRIt0P|#ZuGE zN|E0eb+UvYxPAm{t6M3b_MrmZigv5Quoj>wV*!d=eEk}~ zR`JWHDrCC#q;Xn6*)J#BW_fK%nczWDvw=!=M5~~JMpiK=$z=6YXdj;$S6}d>xh1wD zb%c(rW){15PLevpEQ`HEMhB=Vd_0{m%Kc2b#iQR!LlKznqR-FVben|59B@klgQHZ; zbfOQdHKZ-cy%Y3z^{uOHlE0)|{Y$B^PHwiJh}KTlwA6S|`t-reB2wLaQYsx>4gz^& zM7w#_+FoQ9^ttDAizHIgJ7H6Tik#@AJRz}AY&K&N<|kh$#)`bgDmAgw(`B;=7R?sIab4bsW}2c^kc&@#aNfJgKa z47jQ2Z=YO)6M-jJaOG3L4aQ&vxUE!6hSGF1M9L(*fgqnc>R)Ug`cu+y>r&{7j=)yFkH!c167aiVl_$KE zj6kM3keTu0*H9w>^HdQq1E~)Ia1A_|DTvn|l?W3o&qaSn3R#E&*?LQ97f|zp z-)7Z%uyY_SGF5~|$W_p3!{KZH%!gZN5C$(bB_kJX{I*S8$bm{luZ96_5-bO_NgKzlE72p_ zbJc1iGBH`m*!mv|VGTW)3*sLF#5iO)0Ot{r_O1WX1^4zK!15AukK5gbl7%E}7B12} zS6%xt?+#nu_g}r@JDBVF+fYzg}GCOkGFp7A$ZPj_O+if_AKaeDlF%T^fM_M|Xhzb2_;Po2)B^|vDm=*j4j0Gl% zkzpcHgh(|l44nWWQs1XQtaG9DH&wo+6(=aqEMOEw^_`%HV24NsbI3HcY&Wd(QD_p8 z&Ky*uE)tmDK0-oK}=TUE&9Muwf-| zGAWUPVr~prh69eS39)645eyx^!-M=3GLC}-&hDc{t8C@3`UA#p4V3=p`&%!Ct!7fBJy)MA|n zolE_j;G!+5vXj@9eZx9JG=gv{oExJm0?4(@&%O zkfWog`VR&8o05R|>0<~jB7!-O%_3q(ArcY1e0Od$B9zuTC>i%&N<3ZmNoxP`Fyy-# z?q&z+;M)gjgj&M)JAXH*nC@+ZT37)MDyFL?Kw^G@Z}AtlsS52Z{)2CDtRMft^-+rl zYI_+aULLu!SZwDg9uXCJ)O^)~Y%p2G12!;eLXy9#m?W>&!}d`%b9rRT_x6_WV4uy- zVTs5rUuxMafzUHM{Jk;C*#h2LH4EE}PW7iDzMFrm&8&8W9 z{N)TG`61BFkM=*~)<++!ezAGgCw!{cN1E4* zDj?IeD42H~O}ecKjsl1(R6xv})k}50_}fZ2zB>+LBdqi?F;j$`x`E&d6V5VpIpbES z0Z!N_zp7ed(#D}E|4go~U2LM+m;I3-v-==^M#yvq@)I`EnF=q5Ofd29efsEjC?U{c z$|)iH2$y%-6m*qmm^s&}!W?b>>w2!H0M6RBD_@0kCR z3p1#w%9T7vnMfLnmqF?)%QE}>#;qVtoP<$XKB>z1)6~Zta1VSirxnBklw}0r#3Y)P zLz3Ro;5z`l-cV(>r2tAHGJST0Ub6#XE$4mhK;rXC5U>DC*!^Spw(h=CCAB-pYi4L< z6XGLrsr-De5sq$0bB$Cbb!1M1w5{-Rt4wex$pQxipdW8(ItPU9C`HBq2jpAA zn?ELKj}@wVk7?GEI;|R1x%Q}D3n$@I=PP#UXGK--m4#1Se3CZIop2?ox=RN`@HRsrCjI~<}Dy`{+TyL-VsY=pfs$P=eF3J0bj+b z+db)DM}@l3b<=mJqjF+8M`WqV-^p_GAVKv!6gb7sn2ImNx} z@Xfx>$Xa774=o7FLcPwuQninoOdEgI!A9Q;@p+#7Pv}CxY)AacKl_O#Ymh^nNBoh( zF}oP(-ckno(0$OE|EKDQ!Q%84ocLyh-5kK5+T#%2=0P=cn=XfYv;Ty4V6bPVd;W7D z9OZ!82KF+4?srhdj3()M_Vgg~8IKxUn zfRrQ?2#`J>U03oTtI90`x2GJkgI{U~n5x*45#ba|RkGBE!8p0;Z65*uk7)SBv;&%QL^MvGIm(gk+%}$E{?4f<6&E zvk^&*e;Z6S1A?hl__6|A&du`;&Ua4}N)M%1b2?cghp0`Qj*XY}qf!b`htP~MeW(vR z{O@D^6j<6sZDr#fPaHtI4QPdTYL-a20jm-0gQ^PE@aKFQ2@i4mE-&Jx&Ga)aUnqaB zmXIjZfEpC3#giuJZoBdf&9wpAEV(N+#)EY=uphE2@3Knqt znl9N@T_2#C(AaZZEpez0-$oXVU5aZwe;LJB+u%q*wD;wre#nMYEbWemoy<^ zZxDMR&+22itZR|Z>oi;LI_hw7?v^KS$P(&~{i`>sb?Nda4JUhp7E}5RJQa*w?Mk`= z%IL7_4I~vXptHrBBy60H6a1v43H93|gt*GjPC0RbvqSDTY6I8w zJ}X7JY$HIo=De?ruvafo;WO(-i89j?$#*giVn6Z=q)&5%`r$bq4yFIczG#5r-Nm8&9Du(hg}H

CG52d=|KwH z!Xu4O&$B%e^Gvg;-XiE_`0qZJb~6>=Wsl&?L1Md09hJlXUHrSIKV^#2p1`O8Lbx$m<$4BuM|GPm~VPMhYdmEP%6j9Nk z#Vu4fxAf)_v}nUMClu6Yk^Kbp^hSeoA6D+if0uhxzVWgJDj9PZI!Iw8fZO*YJKVrb z#Kdjl0q1786Yg!sTmn#J>#VrZ5W|CJ*s%Q0CnG!VIxi~QQ<+z+IJMLV^4=%|-H%7; zr1+1yYq5qSKB4WQ3NRi>%w@|T;Gm~yoV=IHq>>xLK^HCB5(FB3;MKo#fy|->Sx9`Nfoo!OfV|WM2$vdP4O_uJzAPvoukkOMe zHH|WbDueAY(ODRY-lE-!i-GN;1`x}ag0SN~)#75rRphr5p9-RAHD5Bv5q#FJ@awTe zdpJ>I!Z(A`n|Nl!Jez^AeUpG84BPdl=p;6J;%4n;?q>NPV|HL4xhaKQ)e!FuDb-4H z+$smUijgUkLwydDRe=Mdx|RA}xPm*-HtTyF)1?*|C)17$m4UY(ebq(`3So(kBb;|p zE>SbcJs^^7Dz>cBCurMB&`o(pl1wM`^|tbHd5gla?W4 z(hjg`e%%FR=;c1%*I7ztoqthr=iqMBsxig_nOm0HcF%zv5Wf(&O2_Ma z4>(n1-(JI{2QP$)0yik#aPzT&O20 zKu7xOn-=3h-mu%H`K0FueVaY&_p7Py@-AnM@$J34HLBFLm)NwPJeCjBe}W77yw!q; zw3C}zk=Vuk3tAR{H61AO0Z!e!^&kS`1u;og^E~OHmN=;0umH1AfJp&)aJGW~^ zYC1I~U#6LuPdxSQY~Tc5YOw~$-?TX#;grbxdG%F(lhTo#`wDc}-e$p>q~ruo3} zGRP95EK;yrD0UubS$KFmT>%^$5IrQG;3>7FpZxQR7YF0cP?#MTsPZU@4Gnd#bDRb{ zUSvw`r2sSf9`&8yU~gs})ie6nk3oW${Fh)$!AlV+Wr58bq(5Nm0-)VUw%%Aa;<)J~ z#t$$B!w{DHSt<~yNUwaubqS>MW&A`M+cP$eS8mz(+YtRpIA4|4^|8E`%r5X6zWJ=A zQgHjFR*Q#2CRX5SLE;9B*MVyG>+ejxHmdR8)7W@KCx!$BnUR-WqZmZ5s)@YhgE1=Z zKd%U;qhzpxTztmXVr(}Smsx}jBN=%xiHa|f?D@6aJD2dxuy15OaO1JW?M-oAVoyq# zIwldZJkx#%AIx6>950RDC|$sY7L|e~O{FgHm0fxYN#283FOpbw7hDEJhgdqLCeK>u z*C!V_THF6GYR8OZh@H!4panNNQs3d{4Q4LT`())sV3@D>#mehFkPKRRaT(?7O|4(v zJ5^G+Q^g7VmIRh30gjjYKnRkS0-B{2QjxuYIHiOh!oLa-z*(~qT4S|(X2jC> zw8r|!1^6EgJXPTbXl)zwn7^qt>{Qa_n$YkU+Ej)$Jqr^U`X(j7@_zw}GtwF5u==F_ zC`lAdte0ifxl2Se%RF?SAj_C|hq=ml%c_Swk}=RL>-CA;5h;1h8#Au3jmiEYocd`trUf&)7B70fIW`5+S5y2fWMdofeB>I$ z8%(@;TWmTo1zRc2ItLEmvIBB$mVNV3<4sbbWG$&`yl_-osQQW+CXEdIJEa3Q^Poi~ z!)+$K)ATtuM14g7la)G%BofeyV~ES}cWlb#$3wTxz;)b6;{#;=&^ z2Bwya_XhIR{7%lA=Y(hI@>9d_i#Pq+f3~;ii~ zWzeGUkFB2YM8b@udyK_;^T#?gvpmecyg_FNd!ut$QPzhm%Qen8wL-5C4C0>n;h_{^ zs2$;=(ajkKEV@6KQ!%E)`nmp{;$WdQ`O$M$mGdsL}I;C&QrkdekK$M~?2r3D@Hp zx_xI=Ka#P|%c+G}?A?kKS&-8U>9|Zfd62fzPJpE}MS%M_w-wudN}`@2vCh<`BL*Ni zwbb3qDw@#A9(|wV`?`O*b+)|fz36+mGP9aEl-^o7Pp0Zfce2|?_l^V@;LoTk?hn;l zi~sm~Z@9zAA74&9F1~IrlUTcVHvfB|l73fddSQGWS3mk`U2^8OK~xELak=|JX=Z9m zhW_(Uz9)N$gmjX9xa3!*O+sx>#PSaNlg)2@AosdvgYP>ITx!fZ9kRWdr#oBuHfKC+ z>U*E3Fp5F7DsjrEMS|xV7H;*2eI@h@D_)i?WQD6XA6Fsg zEi~gX_gxlFE=W&kyL5*99LlryJqW)%)$O0?)P3(Hh>20{6~(c%s>$kK_Q>tr*hQ^tEdyrc8N0ebAEISKA$N%=qlS&-GCb z1!0Rcg{yo1&E{2!;m1=o7WBdwR^C~uO6@5 zO?l^#GL1d4ny~#s`SgPkEdhe_PIJ@>p5L4ItS27tZ3M<2%b3nZQ5Z>jU%1okPZ4vT z904zESn#ubo|;!mv*^?q{X~rp%G*JoKz@EwHrKUyN`<>B`hC9Ao!OeSjA+ox=qKf* z?tSqp)oSstuis9})xVYa7A9Na>E_-2$=TTE67S>ta9hMa!%sIVasQ*ev+Y;jALqfz zb^N}Tu|3p!BCkFGlcEyZkA3Ht8+e_mJe1BYi%t@|59}!v3Pvx+1zEqUir?gm=QVU< zMWy@9O&-`rPR1?|HhVt9`n=J6KdIqp;nTU(g3-4f51D&5-o+7W0lBkU^hIZ+3cYiD zO7@b`;|oj^=d;gP(aOdRIb<$6DEz5n~$s0MxP^b^-* z$nMY#4qL1Wnr?H0!_Ktc)~ewzDH{Aab5^d0&*kVJ%F1- zdk=eV@gYEs;nw;9bgh%DnA5G8Q~rcht1_+N0$}_U z4;D$9`QqwBzw{ULq9YszqAv$>QUA$61Y=r1W|pQ+hg zJ2o53&EXbimwha?eWoDc=W$S$xba}2{}p5EjFTnDwR}CbljUD7jHV7Hwl43?Z|Bdv|i2j)>~|@nYgUA8X zH0Y_GS?WtV7x{n#7#WaFR1v}?NBsS#Tfvhr#lpvQHEQ_{l92=1;lB6BS9__^RRlHs z!t#08&O~09%$#XJW=J|*-YsznEJSfx%&gM7JiEy=*{&S2vt=Xm+%!kVn6QrnGrBhZ z%DGOQm_}&GH5%#xg1P*VginEU!H@06dG7D_oFnhRh3BC|ccXzyG%xa-MUfmjU9O35o9yEx9PIHdrucm*6)F-ycF5e(nS z7BMFHh1Q0p>=QklJyj#z98}*x5|=oiAKlKB_TH3t+Z97^%Clv!HOn~l6#^I1g}b8v z(gS_sl9+skphqU&M;1Uzo~yvWav&XPZ}BEOu-vRe>)n{DIOF_ntykN&J-CA2uult^ z^=@)NQFvBqyEcf=|1F+5ps7gN!vXx4_~is1<0Xj_$CtkQUFN4GO|=)r$+Ozpm`?4h z>K_V{K6KvK8cbVFt6j~fO4stV!H!_nv%+td}}Z+hr#e4k}) zuy+>=E2?N4;&aV9>D7%hSXgZveOu8o=Vx4fY4T^B}W+bOp)v0 z=^L>lD20S~nfYa}xfhI>?tbjkoE4WAIhg=!t)f82yI2c4tUrXK30Y$RKT@14Q{#d@ zcJVMTe(TYw(WBjje(yxm>y%=B{a4yYFQRiYaxyr=c$kTUyipkpV9yVN=xT@xWiF0k z3RVb2$F0EUaY0ypFaBoSkI#gnzAFiqBg{9>PZns$K@(CHX3#l^$rA!%b<-zo=1<<5 zKQVP{2s=uPFK3A@FUg^AsO*|bG<G%}!drUUyE{~-*Y)>ktnG$mFK6@zFq zO`_i91(NQ-e)$XkS-2R8co#O%{;K{Pw?#3%?2^z>`U3lWl0#L~Y?cD1Wqc0bJFSle zL!OVr-hOzDeb9W(bu!zZPC(t7Mi{;QY@r1H`mP(XwvYNw>{GnXx?B@yXq@G!;Zlpc zd_9yC(MVp3?=!UJQcg2$i=+Q`E~qeuaS(9cLU}>uQn~x`D9(N2=WX$C`Yrfyv?ky- zgUCY>Qi@iRAIrI?vtjFsK}LQIw_2kv6ne-F_!M2OTO`(aIO9xl()6}{>yMMoaEJ8Z zXPd_)fj?xCy(STw^sT3bWS~}Hh;O8-504tZpAReQ^|H%f86tHAV5mI$X_HST5U5Xb z_-yPTy334>ZbjxBpp8N?Vm#MAMmXa38Q^_g{6vy>`@5@wMOMx?SuC3`I;OH#{<>Sw zleF-U%LAnW47c|kgGzfeE(SiFlh3i}U#CSBu@cCm-YKjf@NZds1YDEE4Op2eV+DgZ z-~r=#pT}dJLMP!%_tXs{d{vkY3L3ubf#Zg~d6eV+y;4t`z4Sv8@bX5PCf!=NA<$;nKbLkV!m z&QkL)7k2WUT5R-MY~osMdNGk#R#NjOH``8O=6-q_CO@P+@t^jjlksFXD`A=4&d2 zZ%gZmB;vU<0|JaLwi%fP1icj#Ord&!qYY}YcWDRj{0tUy<=9Pu#qlPJOSu69f5jwx z24wvQYWxRiBrMkc=#>nFLY}j@>N?)_;z@5&Ow8zNO@JgbJ?lm6 zn4byG#!s5e#a8=X8z~+n@`$xlrD)0zj$-aq#HQ5Jh%s0Z8rlt%Gk-2IwtCf}15fgB z=xpt@%!@1W^vcM{gudWr0?S?3WJ+#7V>vv#X}}fT5?bT_vd(}~(5Y5iWuNuI zJFiBqQa^{FXWMxZJYF0w%0dRy%6gnQrRMjpx2An0UE%fp4gt5^K5Iba*ABlFZ_aZZN#cnw zAMwVIMSU9$OwBlv?t8!IUrHOCnYSN^^?LYdr-cJweVIF{r~LAJA;XY=%WB%U%rq`|!&MZ# zBV6&$zE1Lq-3#&zxXb*7{Fy{ZW5*9Avss@d{NepbxkFo6C!Wj+0*7a+DqmD1sI38mY<tnKQmo5XTv{0<|&T1(^&W%R_C2LT-^VvHkS*i*P=jY1Nl=Fc3>Ma!v0?KwLMU(93V&Rf0g z#J18_X4Go-Us-g1ny_*&$NV^zPcC|+EXiAwQf|pDpP%}V{^V)O28>SNCHXV$vVA;? zo4PU7Ji*`Xa_M=y<{YFu`P91yvMKLLS@P8K7^V(8bQ7_p1=#XWb)7$RtdR^x7%uHeS zioIseQIRnk13i|rXdr~{(y6@tYNLDwm*%pHq>DC%7N=(JeKQG? zg!@8H39AyFPe+Mazq1ubGFFJ0yW#E9nY>izXT2cOA)vxSImB$DcHt{mG#ssn{e|Hn z^fxcbeFC9qOgfjrIJfQ>5qgcL%INJN6Z9U@8!n^c<1#)4vSn~V%CqM7_v$yOy68TI zP;g#M|OY;&tx~VrRFGb^tx8b9X5=J)SGvZmX9eb30p7MKN+p7iGyYthwj&}RjokKB6BE%D{(XHOd*!!SB=%HSy5sF5g@7}7WH>S zUp|u0Q9)BUzP)pXjkw2}i4L##EgnlHpEWnGe8eh@?y!I3-uE%NJa7`#3m}l`;-LQA zt4Khk#dj8rga2v)5zs3|kLNrZMUQa8MjGi}W?EGfS@}~R^cygJRv47docbcu((-Gw zZIKt%C!wIQb~xM@By1uJbE~6Ou)sl=ps|SUVT9?KN#Nb=eocW0YSPF5UgI)slFj;{ z_x3G~?F9x?nuUVfCEiw|98LB0*A-PkBHtSIl+Z@RsX`9xcY?Z#&`ZaiD#ilv_C`15 z^Hxgj1xu1^k`4Vl2>kV=iw((6v&3gFq`u$g;t$^Z2Rf!zCJbC!G3s9QxLckx->u6F zUAINi!ZG1Ou;~M>45C*rccTB3JSh*-!`Z@+(v`|hvwDl|Yt0&4EmevO;>E+=Fj%&nznIEi*zSqEIa+ewHJTWndr5PKd4^xRy|T8w&1nXq^sAoJazo3@ zQGLZI0qzl9RI%~fk3}5D8V9H_t>j1sgjdzMR2W(@0!UmHj;5YV9@8q|p?*d!+ZqWi zSbXmzSpLFk?&cKtY0|LVeP&@I?{rR3!nHF!ClF8hWF|PseQk)yEWOd(X5SC$^vOOo zNIoXzD*K0umZX?o=GS(`YpBQrL3>0{SOcsOrj?*F4NLvYc*#%?)1P}>JLLg_+Iq~- z(KP;2P{$9@>nTDnAahUur2P=ntT(YUP~Q!dvwH zvwOKiC!~xQtY7y}rAkQy*NTCj4KR$V31L0!t*+Eb8KC@YCn~tqnV`jQaUDvilzljp zlQ(B%F1u^Xjp2dCEYGm<5>hw)xCKGwlKGZocT3AY&##lJ?N?_DP)K#?hy-5j0sQOR znC^19;2kZz(l{Yy!xrURH=H+OO9AWq)eS2C<+^7QbB&>SWcB3ssQf8M!wW1>+@oom9X1zo%J&wh^-#)2kp}b=Zug=GK7;ib3{zgX#dw znB)2npq-(Dj6@>%579f!v#xN{_^D7kGf0sbuFZ@u@2I~t=`=WNKk*r?_EQ1SHxQBO zr?^Ncb?8jxwz%KfGLiLn1t#Uur<~NHXeV_z@~q&YH!)k+uWl*f=Y2@y>#uI(6GVAe zA*v={k)67T^E^zFnF6f!%f5@-yF>PC{xw<+QzLHHo z%`i7P%>g_mg<+5~(N??q=Ima1I&_eBp%*YRxq+m9Xe+PbOUP zbE1AYOQB=P7B4uVZ^rwSO;0!l^JyQ^%5r;HhADo4fp}a;m=JfzC3lE{>D7Y4 z!RPl0P14x;&Vf(4+NH5i%dh@W5*n&&nlE=fts?zP<%WFP^KB%Eo-jN~LhFfDnus}Z z=*yzPm~AlIc`$%~sta!2RXn_*)$Q{}_DNk(`iX#?(PbOV9h325GHmTNUY~Nq#y_b% zmxqCul`g}*hrX9)BHWdZ@uq&W3PdEZJpS)Q)f;`ZlAY}h-=rJSH;l68a=RCKP9{oy zV)%o=tL;k$qsY|9A$+PP*?soK{Q)x^h6Jkjn{G6Q*0UCmp?X6%7}!3Vaqz3QUk20F z?ZnpH3jV$k&gN3m<|1_@tiZVb{*^^7TN+=k7uR@GZz!Msva!MkmfO*C&p_1lb@L~e zmghJrt&U_4R2qq%oewTTk1HnsBvj;;j@T>rR&0}e|Cp9j)li!3a2FQa3Yof^hAy5( zt1j$M`1W%t>J0`=j@r9Ao!0AzOtiJh1p>U}6ERX+`h&5?7Dbw0zTAHDnBU6!L}US8 z$Zr_={zP9cZ-%F|A3jIYyy*JZdDbBO3$&>MqybctRJ=L{sw#98`31`_Sow zSOo-rOcVI3gt!G-Fr}g zbUlds#j4TE-U30U9^{qU%bz!|Mfyf4^O8MUwc=xfIt2W&L4s0Vw%@(4SxxQcQz%^?qq(jUXzS&~f7eMR;~%pry>w};&NCuNon zb8u6JLj_Ad%DZnV2y1=0sUyQl(yDIjqTZ+5_9dCEjmg-Oy-vGr4A)GkOXJc{fLOi_ zeRxhv0#EUG+VnuO*OGPPMKRUXDc@$Ba%AdCtJG4*1zahn-^}3QR4~L1`35IO6K81E zv>2v0g>o7)%;dY}z53N;(8UXUR$|7`x=sr-jhz2gGatuJ7n^d1=ZsOb&`EP&-lP8| z{MvBnbU@!%PYVab7Ong%&tt!Mkg2JV{O6B~oZE=hzXtO}w*LAKL)V?(oxb4j^p(fc zd<+72wnJgAqDp(3Vh-_y-$pzfxm`w*rx&$JH_n^KtJOAqE|{(C)l>LCQoi{%@!Lsi z_rT+7E9qgv7NiruYiG@ufw+=0?v{q*IBv3hD|0a?hm@3DUJ>;S#* z9@B384#k1^hmL&gJB%-qlFzXNeWv3iE^}=cmp5~5L*1ju?KE{qs^vSr0I|BEQqLjj zQj?7nyYDvw^FJ`tsjt}8gDPxTIF2zX+OD+Hl*0T;5*FImRs2sXlJsK7B{+hyW_8J3 z8QRxnJbeFhXJb45$krlEc-F|i^EzlhR+s97*tKH5H^y#)8PjyGZ0bObw%%9t0|txa zCwkgoa@clc)q73gn9}sVtBMCn8y^5pmx;)o(VAh+774~07P&Q5{;-C0er23{Mw)bd zX8DXo!MF|lA#cFw!wa{xP15$_h=?Y+uVmW=RUWTWP+D?kCd7WWxE{eJg4cm!k3{bpViEAmQ%^5&BuDdG-+asduXI)}LIi}mEk4h&n0ujp9g zJ{Dk%8nEUH0s9+Jr%ojWA2Eh~|HxE~7@im3%do5L;=Pu~1|_}bnI;azkB{zF5HJt? zQ-J)ab;d;UeZ+k4{L(D_M;Hw&N91O3DWF+2&|EyRDQzBB*tO+8$=VnRak~=Ht{UR) zzF(IVlw1+>JK^sB2qJrd+`^rmXgLNTOU-RS9YNRh#Y4Gn-Is^37KTA$2AQ_tn}leD zE2B(9F^%Et`~A*`v(E0I<0;sU=nbzc(aoUW9dFG;#%0pRp0~vI9$y{)dx=TG9tTiC zS@LUDhgw(=A2hENwdVd_lqG-4!(9aN(2;CF{M#dB^fXBAMnIi(*4rq=tL^nUs7$3R zFKNf$vA~tbU&bS`TiqX&ZS#eAc0!0gan{-|hf$DT?OtR9b!tF_OSYW0o$D812Lv^!H|6Hkf`8fD-j=J&g$~!bWpII|VM2>kTC+!p$X4|GrKFzYF*ttB-M0w0@todc( zTRt_#Kk>XjJ`j!8g)Wv@4~P{W-Z#9PM9v1?3vpj&>*|%}@eBpSJ_9)D&tI5tAFP+t zT_Il^I&2qj@SAF#ew)zWnLTyZ{~(^ZCj?RF*|ExY+VxaNT1gb_#3hv((l^ArCC=V- z0Mt(7f_RR2ZT&C0*)z3K1I{TWW`|dcrg^*#bMtXi(HQS@DFS3n)S?GEY-c$deD7F& z#UKzagYK*KDE9(g5Al-Hp!1m@GQUH7a}vH*{J!6ge)vmaAtF&Dl2c6+m7>;gUDki& z?OrT4X*AgodA#wl%`35Zwumn2phC*@%Endkytnag_+I27A0#9?)GtJ}bnM&>n52iU z1&JHydJ12wCnDw9ZoXVaPh=n({;KT>7!u~vrnTXi1t6!60&083(+vmWq|LVjT_@&= zCmTMU`HPDk?HtU9OBISOg*v!Y^bB0kbPlX-n`}o{=a25yU%fi-oiW-8SXG_-BXKk~ z!SzCLvn?(g%r45%eO|Id7Kb*bcMJM9qs)*E3u7WZSdZ%>8lJAEARO zZoV`_N-t+lnr(ItablcQV~eu!#oxqL;src5y75i9KbyD@sJDy%(rvU4(CviRgq^R% z<=DDRvKZ?)JslsaR2BWB8#=qyk;r^5Tkt#<-`=$i)-IRpq-J|>i9xun{i$8 zoUhP<9fztUhX}iy)wPF9phy%XtA+lWx+@;yz_Tpip1V3L@HWR|JUj&`Gom(*TPARX zu+f|nY67eT-qwyz5B4B zw`-uLZqORs4Gv$fpg)J710Pz^w|IR4Km>6{;iS#&8FVF~a8f_y2<|qpBKWWmUHRO- z!+3WG&D|zC7nA`al4$wNQQ2V7!kly0<|I^3Wg8rH>uSg#$r!W;KMuNWc$k0KvAq2~ z4-fKhI{|dw^#+1mFN>IFa$aNX~o5F$fN1ez#BuNgQZ(ecKbNrs(T@l@F^4^l1wpYDUS}8HWKXT!jQ1aR zBN$;wNcT$cMguSe4qK~f@h!|RLh(-_fZY#ml7;O+zdLvwbn;$e$=tjdpD_s7ty0)_ zJ`#9N42QLy?*)3G211Y*P^v4$;-k^$(JkPWY>>x_D=Pb@5oJ*Za+3*OaYL~n$J|iz z;Az*+LbqVheJ}4sOBdsO;bF^IO_FbZQv}EsaA|jU70<9BC?jod2U_p}i4}&dPF;tg zwx+J{g2r$W1=2`(BXTtJI7sF`$bX9Gfo-7q@H zjd{&bi|>7@c=LI;txfa({UX(I5WH8eD%$i)5i--lD76smNjVVSX4Y-LmFP%+s2(>J z5{O{agUEBtQo)1f?tT65e&{Yd+;W4VKt^f*i}eF_S0DgPzc>MM<>{?aKGHr9J|qTD zF^&T|eJY?ox=kDl8}Mt&K@wCuzW2TJ+XBmjmo~s1{0wjDI+DU`GRB38jJG!6$k~-V z(COR=HVXf~Z0w@y{HA5{YJLiIIhi>zoY`@Zska0oJiO^20=H~C$^=+WAl6c$fQP00 zy794Lf8oXZLk3Oc+Wd~9^x3!N!iRu;kaV7kK&E#W1a3Yq9EgM+!OesBCi8Drbdy)I zu&=HfqF*#X-NrrrkkFwd-~%+i5YWJR7+ScHSk2mvOqVpjz=qGd0fMd$XO8-z-Fb}E zE#Um)E}^!TZbS_HhOC^hD7|=ec#;cNUs&64gNKYb@12U8!&ab8WGjoPSkyTbS~oG!<%8Lo&xklU-_R3W zK+OaV907g1r5?`b91yuzQ~n6Zc{*ziAPa=BM??z-HLjrG%j~oi$M*{-sZgnqkRRG> zaCO@mK)~4<3{JJ4gap@3ApF~roj17Z#9-bfz!21K+fJ&<%yFjl$#p)oY&&(T@F>JJ zinl;|33Y#0r;D`ZIXgc_p#pjDAuF9N$jzmSpuWS+J(QMjVP4I~&4wbe?Z(YwTh>8| zIt+<~f(niU-GD;a%-yJJfq9d~;KBRsx1o9PGGQj z=E}Zw)kPw|lPB-asI{cu$CRO)=p@^8dC`Mt;+p3ox&?>P$A_>Vpsohwok=Ycd>-Tu zXbWDR;>khUAXt!gOTmlx;)lLoZ5dq)#|Xj$$6}MI?V*5q=dD|9@Lel1_D7RRprpar z<;v7ntjrRzn`*pXZ5-+(AL@m)Yo2K{A@+T6mhnsWHE#l)!Kn@(&u`A-`3;$Qlo~Q3 zF;Z&sup|LypT`&6Z88B_W-_2b^!X&|f7SQ(I zM9?|)(OnrXV0qjnM|Z2ZfKmGN{sa)TgzN|5qt?tH&hqPe>sysh>q7P>^)1{lAgH6| zm}<=L;ScGzzq@b#N_M~N-}Z!bH{!0Z?jdf#H!@J$GAN=0_a5lw5+rt6b-!Wqw@l{y z?OJ!?U$Xu^IABqu-PLvp<=zDmslP1|DRs@w@&!FO95-|eLPkZVdF1bY-OakRUKYya z>{4J$`BrGzWTG zxVzhU^4M70qW9ncZVa6L^aMra-!eTGK>unc`ie5GQbBiHJzPm;h$hY%)VwAg znqqM$pT$$n^|jau9_pi&|CaMQ#7*46z#{)TJTmk6u!VBR!)J5K0zlSM!`2nE47Yxz ztbMe)EVd3+7g^LoiGIW+Iq+?u1g26b)tfeqU5p-1v=hsPnb1S z#h3#|o->b(*B+~uEf9uY+>c~Oe98kHBo_9Ru~GH3pqX`P*TH15mmN)gs{|cpbvvot zua+O5Dhue67$`y!$WRlSqGPQ9mr0Txt=wXrR>EkSQ8@}*{(=$;HM5L?uddXwB4Rx+LN!p!R7rF*B?U` zF~iW{xDSn**&EdRHR&ODNPHxNGxFk0ObUR-twAp)lOlf%X+MTRfj0gFgTqVI6M4!V zz4tcdgkiw}e?(P}B;T$ahQZ!mXw-oezkx~LF*Y{e)2Dn$WHVklwI(C$Tu|T?aflnz zIADIYVlPAYEMTM`{TGXKx&)$+rESmSc>eICm=y2H8*8ogY+aX?mM5Q*Oao(;KdWq0 zUFM(Ze(7!NkoDcqVR!iQi@xjA(1&%S_|7MAX>5>0I7mh`r?4h!<_~uc^qwVLuQM_( zm}y4vONUKs8!1WBXO?%nXP!u(GgoQa8h^Q)U7Mr>GG7`J@Dp!8QY91;Q2(hPy3Yn}LsxQ*wK^Vr{JTK$EbbgkjGT9FU zr13QVi40*k-Pg(t$Z5yh)%vYY>92|PBH!4&>z>x-K|we8oQ{f^gQ@V%BJ#!Mhr9OS z8SbMcf3P(vKD8W)6|-gacESxkwqRlyc4FnhONIq!!pQ2BBEW8(WKixFE&l@kziY=*8&acpRgos>sP#j+=5zsrA3>cbi*(ZIJqE}&@_tC&CX zZIUZqqT$1z>~&4MpXGx1#gklQKBaztvbpxh`^p8!-sO*w_;}hR!EJmJm`oLT?9&>e zkS$}q7)rhCy24p*ynoKUig3JEng!Zl0aavrF_}^Tbxzr#^DaR(o;-WT7bl5ffXaYh z_#v>JA8nF`FDa+xtG0$ppRcyb8JA;mnqsIR1U`6Yt7dJIn!UK^Nqko=Kd-J_8*9SK zqNp@#rReMHQ}Zy8;B?|n$WTBeWfZPhhFMqJTUEy(WALu-v(4}jZtF{!AH}Xa1$_a5 zgps@=TX=2lx2ifqX*1x0?hWnDsAwi%%hl~oC2;J$Y1M3}tK+;2H@6)v@g+o0QI{p# zs^~kxa zuOL+Usi(h2DR#ip)`xQ|=^5!S?)@v8h&Zm+sO@J5 zUHfQsEghD$0ka^B!RK)jt)!Vn60UbU1MfNOjaiB$wI*L0@cqUd+Y8MLn4Y=#szl6D zhU>8(syJJ$5b(z{Ku1k_bmoFOTZrQL>ExSN%32PRoe3=q$UTAJ}XsRCDJ z-ifh+l*a+FI}XVF?K!Q&0|9OaeS`w=U-g!#t(|&p(Afge)&~l(uutieK>!7 zo>kr&z-nY{SGyVG_MH1b)(sf1H|q#n>6(XBEbq2p&P6u*Qq20#y9WOhD(*zg-_H-J zukXS)81v^$MPFcP1+A(EeNxcjKiaoHnhVx%5$d#B7OJ`RDK2u_8-A|#-g7&=qiM!Y z1eL{JER*>D(|KB$}()K`XEOa!q&d2)CZSX%gJaaE^ zA4?uzdu!*&L|K*oH{=n=NX~8h-YA6|J0BN#oG~ z#A-WGP%z(eZ>jg7{l)hw_47R_SMV>h=PpSt28#l{ebmnCZ+i5wx4L!G`HD-ZLV7CM zWAl7u6ZFrz0@n^E4je~jz3-E`<__}sZIov}mF{#>xl~Dcf9%OUk9htIA5U7qKCb&A z$+8XNTntJYz09Dq&DwlrlG9ItDt@jj5B0e)|L~n{qjuj}hdhG!xoYM2NlvVN=H;Eg z&a#_LhwQiETfaY_^^`~sn(gIj_HEk@*G(8}o{I2HT2{|D%arkiTW&1mtyv}zF|AbO zDeF1~<`guz{Woi=BxcXxk8GJevPSa%VompvGEDwwk&l$YG%cVm6n~ad{q}3fNo3!Z zjyQ`7slH`B@O|i1HYVrAblVO-R)wq&M8D24E#xzof~_o1ZWH^7&b3-4q-zrv)C`nT zV?C!#T&d2jbTsa`Qs{|JW~J+3;Ia~`P(0z6ZTLz4n&x;qJ4j0i58v9e!fxc_87$+; zhD0KhGk1k_^Zn;Z#p*fLJp*G_3#>1{hBv!EZV0IPZP-_@$lg7pC_M1Qa0q^>mV>o9 zI!gZKa9~*{a|(It6i<#Z#7X1C4z9B>H0R~q%;94WT9%%WvAHw0RwoHj>fZUl#p!!X zXM{rgZ*pq*VLx>qi7~_ezkDc9Yl{gTQ?-6Rf{-2Zwl`%9$~oR{O0z^g*p*>Vb#mA_ zY?Sf}7L+rpHJF>JHkl{vK+WeH-t!ZtV8*g5S0ES=&o~qkrW(!;yLH$l>bDam-Wc}sO}1xsLP%OPLM?Gs_%8~%p)J`p2}otf7p{r;O-j#UIzLL| zJt;)g^PW)6p^WekA#Kf;!f2M1;tTB0IT;%h-@g*$4QShi_omZ&+7z74)&u%q9n(J4 z=j8DKJ7gl-x!%cG1{;3zB;3AzW;of?9&u&*Crq2QsO!&6K97&`+X1W}W!bz2nwtDN zI&2ga8H}`NmoH{6-Ybdbbr52yGclgnOCY<2TZ)4e#++jv)sO@^Wz40lu&Qr znw4{7)^9c=c71~dkmQqLz>7NNmglkoT?Bj>><$E^39{cTl&zBO2}o7bg>Yg9(cHTJ z#XnJr-U}H#G&BGy8rrk}x;S~f>@D1F()CTizy}`cMtQN^5o?Z0!A# z|Es9v$Wj02JLZ}yQx@O%V6o<Ih$GzGU>_p^P|BPUopFfiv)j?5nhNMP4-0TSvpDUGPT(2+^;L#PHkjBdN19|~^4 zSKls5H~6}0G|xBBtJS#>!g-9<3&4IM=cj^@t$h=lB4?s#8={uc9bDt^b9G`7q9b({ z(wOk;%vQFT2M#x??0h9UmGlS;nKzL$X#(!&gO3yHAy>QClHSEN?`3yEo$||pD5K!l z#7`|*2qwh)244%)|7;ZNTd%;Jw)~hRZgWT_<)bm9&h`9Ob9g4qAmD?8QlzVk zU4>0LllplPm7OEO zT&JwW7D2H0))>Sx1?V`7`!6U`G~3Xne*`4rzHpD~QPdDr2ugF*Ou|U3ka*v%7lB!g z8^)DqgznnEhSwpzODz-LNAC=Fyss#oZEnj%$K8vdb`hpcL8ph)0iW_f_Y5yzF0)AR z^pM*s(vxUN1aXzGeF0XQ`EX4+ADnm?(aD+RN(F`KhKcil71>r@-w%2$>6SIOOA#V8 zr00~w3d7{m2J@a1ez#jq_4aI$WXz5duS^m=mkS}~Xe8fh3!>Pv!jQ>`>XX`D8W8da z8kp5dW8B@$QN4NIienDcwex60X76L-l-)D-$faGr#4kVm1VU=I+Kw`G**V^zOq`>Hnb8LyP$ zYiI&wzhG6?kHsLCEiAf&Mjb1g5%VzmM3@GL_vDKa&7*H~lD!0r} z_S!kjIU)?f&-^0d8h{^aj-!yw#m#jOUw2{;c8{o@QD5^z&MDGp2 zqa<;5=QH2J2q$?HI`p;&i-8!OOpGi{UO!SbXYFfo?+zwIldxY{_$z!9KQRpUyU1rc z9=C=vsNuJ4xaz;F`KZp_6T3fh{*})wh%Ot%JI*_&(o)%g>jg}-aLq}*9>$NBxgnZFdDVRuDpXV&O-qOD~3 zMb_WO!AEHP)V`5T{@iJ4Z~Yd{X_2dYryv*pI4}7TFbSBSYPy(gts!vI7gx73KEkBG zwgdzrf@A2IM43GqZ{ps~ji@tQrUs5s#5gCLD{Trqpo-s_We)SQyh;gG;q4e02CqisruKj1d|pX8x9{sGQ1`d_d~2`qFDt zlyonJAa09)J!tw{%<#A0(I(^#Q$5DKoxKtDc#@!Cq%L#FwEzd_$!sR5D@@`G#(c=d z4#i6vPn<}bSFfkui+LEKRtJ+~gk=4AxFQVw)r>w!v5WGr=Xc9*fdYloR&GvNREF6! zWiDTA-Z1>ou}dYn=~mq82C}4eOMS^iu=5UVK9F!uau%=0Z)yjosSj?t{rU#-(aEc_ zIGTNYKd`xy@b^9+A$K!Y6l9xZoSW!!6sUQF7@T=nLxcwTCY@zx-2tqc9<-@ZO`r$1 zd=g<1MLt zmm__`AC-MJ)bGQ?e9Ai|W=nqcn5v=_ts+1!*o^t=ZeOaMzPI*^Z~dyZiF_;A-#gf! zt~jcda$MWvMb|+8tTk3_2r{g+hKdz&B6>9eU!q&_eD%t?IYmKl!8wrNnFwcL(dRZU zX*nC;(D~%j7M_!5La+>>HkqJrBX|-71gRPwJ^)V%!mT5|MFlpn%Qyo1HFw9-Q7g4v!FHGwTkCKQGys}$nu;||s z+W1r&27XKg@f18y)_Je`jE3g)sK$&%S>9%)czY)%qOnykS&icFvio_XFg4N5JDNY_ zWUQpLT%IoCIG7;9Z{kiwaemTdNigS5AoQ*uba6vzB4^`c zZyI#bKaaa8_sg#UUymHSGUCNMr-vr-aIMbY)^9gTzXk~|epU3@u)K-o>`rp6>-Zq= zk*Mkmdwv6E)KEdkAjkYqDv@ybePY(58=gH~-T%hW0-S`w!IILBrnPOWoa@$J@&J-$L%%!{YazqM_Z}{I47SkA%mwgw_~( z`(HwM{H(41jXkk6tu%guh8FVm-&o?u1N@HxJV0yPe`5`zW||Tn6)9n%q2d0c^s)S} z-VAy)G;1q&9zU1=%h-jc#kK%4OtgJ*%Ky2J{ulO-u}X~prO?mX=Ks?8@1*U2z{diZ z|EIN&DcpZs`|tSoKh~~_|DV|ZM8p4<@b6ggKN2V<{~r?m4gYtv^dGpO)c*m?M*q9-{zo&T!hfOv>B$-@*pDf3G&F+87wqxc6jQ`R<3W?> y4^Z{AR8$WT)$_I1khkO)kTX3R4;`COP6I2s3@)lE;{{H~D@}U+0 literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpt new file mode 100644 index 0000000..16ffde0 --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpt @@ -0,0 +1,173 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:32 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_timing_summary -warn_on_violation -max_paths 10 -file hw_wrapper_timing_summary_routed.rpt -rpx hw_wrapper_timing_summary_routed.rpx +| Design : hw_wrapper +| Device : 7z010-clg400 +| Speed File : -1 PRODUCTION 1.11 2014-09-11 +------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : false + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock +2. checking constant_clock +3. checking pulse_width_clock +4. checking unconstrained_internal_endpoints +5. checking no_input_delay +6. checking no_output_delay +7. checking multiple_clock +8. checking generated_clocks +9. checking loops +10. checking partial_input_delay +11. checking partial_output_delay +12. checking latch_loops + +1. checking no_clock +-------------------- + There are 4 register/latch pins with no clock driven by root clock pin: clk (HIGH) + + +2. checking constant_clock +-------------------------- + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock +----------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints +-------------------------------------------- + There are 4 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay +-------------------------- + There are 4 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay +--------------------------- + There are 4 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock +-------------------------- + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks +---------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops +----------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay +-------------------------------- + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay +--------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops +------------------------ + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + NA NA NA NA NA NA NA NA NA NA NA NA + + +There are no user specified timing constraints. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..9effd186c67aa4d53bcf5bd9a29bb061bef623de GIT binary patch literal 4384 zcmds5O>7(25q@uFNzN2;*Uvw77#DeEia;ttic86srABEi(Xp7wHX+G&n;)#7$S3YX z%iZ)Qx17@1U+qei9p8!& z5HXxLZ)V=iyzkBEMMB6?S{kX>w5jT3`RP@&XWHGBey=CIo$~S4yuMsuO`$x5()H@p zpNGcdH7;yn?f9n8*FC4ln?2W(J!uEB!)+N@rXN&4Qj>jXh5d`ZF^XpNp4eU+_ASxg zFuYoq8v9=-G8*x^a-EBt7f2VNNcJ#L8v^Pq> zTb5nC6VjVl5<%PGu4(&x%M1)|J3JEM9naj9HecJ}p5p`w6Xj-++!#~pZ^5aflpXIx zIh?qyd$Rjx?d^$$0c>qByFQpbiR`k9Cva>(5Oxr|Ts{HE-M-~Zeaq|wM#9{s!25P2 z=?T-89o@t`?;#fG((bqp{_;z|zMhxht?;btj>qx3n!SFHccdkD4nI+2@QDVo@kE2z zc%nx6O*n?(H*L2c=#jGiF^L|{#V&p_=;TY7j=Ix)*HkHWC! zIIdql1t(m*cKAicD%J*+ zvV{XB0^`}*;|e9GznCdFlj+zO<=mEHaNwD2%l;T8cQZU$bXYi>iKd~+MGSsWB3;bS*p1yN6Wk_-Hs;&@|Y42X6v;bRrHA!fkwDRMo> z6bs~7bp_SR#Nmi-o{3np@vlqx@@8r5mmIRvPeV6mWd zUofKPEP5r1A<2p)hVJOJ>SE~yAlTz8wqG8)viQ!}P>sx=h|n$>J`jFGujfA)!q!cN zBP*7(#fJVM{ZjZ9a$XgFu*WGq4`(mgVhsnGE8*ME7aR{Ce10(*wqnWW;7lUalD>~) zlHbDtpyzA~D;Ai9vjhYa`xE}}ku(QEsW#Ita!VfxW2UbW1wk?4vSPlMX*+73R5 z&53I`gW&WQZPH*YnQ1Nw&)VTj0^0|JW8u#`p66_ZH+3mY55{dcLbmceJezD;aO`!n z+sDo*oC}i~XLtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z(7@^bl($FE%I2*Q0}$*LW@zYiYZU>pdnG~ST}g(9-L6ZeKn7(5&% nS#dapy16@t1o@n`11Xtn4h1YkGM literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt new file mode 100644 index 0000000..be2fa86 --- /dev/null +++ b/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt @@ -0,0 +1,192 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:11:21 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_utilization -file hw_wrapper_utilization_placed.rpt -pb hw_wrapper_utilization_placed.pb +| Design : hw_wrapper +| Device : 7z010clg400-1 +| Design State : Fully Placed +----------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 0 | 0 | 17600 | 0.00 | +| LUT as Logic | 0 | 0 | 17600 | 0.00 | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| Slice Registers | 4 | 0 | 35200 | 0.01 | +| Register as Flip Flop | 4 | 0 | 35200 | 0.01 | +| Register as Latch | 0 | 0 | 35200 | 0.00 | +| F7 Muxes | 0 | 0 | 8800 | 0.00 | +| F8 Muxes | 0 | 0 | 4400 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 4 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------+------+-------+-----------+-------+ +| Slice | 4 | 0 | 4400 | 0.09 | +| SLICEL | 4 | 0 | | | +| SLICEM | 0 | 0 | | | +| LUT as Logic | 0 | 0 | 17600 | 0.00 | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| LUT Flip Flop Pairs | 0 | 0 | 17600 | 0.00 | +| Unique Control Sets | 1 | | | | ++--------------------------+------+-------+-----------+-------+ +* Note: Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 60 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | +| RAMB18 | 0 | 0 | 120 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 80 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 9 | 9 | 100 | 9.00 | +| IOB Master Pads | 4 | | | | +| IOB Slave Pads | 4 | | | | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| Bonded IOPADs | 0 | 0 | 130 | 0.00 | +| PHY_CONTROL | 0 | 0 | 2 | 0.00 | +| PHASER_REF | 0 | 0 | 2 | 0.00 | +| OUT_FIFO | 0 | 0 | 8 | 0.00 | +| IN_FIFO | 0 | 0 | 8 | 0.00 | +| IDELAYCTRL | 0 | 0 | 2 | 0.00 | +| IBUFDS | 0 | 0 | 96 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | +| ILOGIC | 0 | 0 | 100 | 0.00 | +| OLOGIC | 0 | 0 | 100 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 8 | 0.00 | +| MMCME2_ADV | 0 | 0 | 2 | 0.00 | +| PLLE2_ADV | 0 | 0 | 2 | 0.00 | +| BUFMRCE | 0 | 0 | 4 | 0.00 | +| BUFHCE | 0 | 0 | 48 | 0.00 | +| BUFR | 0 | 0 | 8 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| IBUF | 5 | IO | +| OBUF | 4 | IO | +| FDRE | 4 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/project_1/project_1.runs/impl_1/init_design.pb b/project_1/project_1.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..333a86655c7518f3a48269b34d7bdabbbee19f4c GIT binary patch literal 1307 zcmcgsK~LK-7$uYz;Yp*H?SQn+6Y4Z7wTa!LG)Oxz7?&}I#z0%A33<*(Ym~&1?Uuq} zzhQ@6_g`m+g2Gxj?iPKv-}~P8-WN1L>${-EAj3lA7|z%zF(MmaBe7OogW19jjp|El zm2M|&uH*^JwuiuC`V6gTIZ_<-DWS{Lms>X<{TiVy`(n6|FHM$yc??UmUk==s5QhxmeN%oQ-la3RdlaWu3=uF!}ymvbf0fg8GpYA0gMVmXWN z&S*fwHl01|S4pcIMrH03w7M@@>;{@MWu|0gGi=sk7z++`9j#7ycomRl5a*IOfo)WkinfqebVUhGtlGYRw$GuJXp^+Gv}%;3Y84@c>v?TobG|$F z)=pCO3m}2u2?-vMK=6VPUjYdO-+@=eJ70m>J=;0gdx_mpTB%YVoVmN%*_q$W?EVJb zM$?NyvuXR)1w0#}V-quDMI-x4+ily`fH|K2CPG2THmf9Z>%Kl!B{jR{v4!rBjf|Po zG^6oSII%PFh4Rv|G4!K?-n+~gX@<=8>zD<&P8hba=Q_lX2(ASb*NDwpl;HET(RmDu z7eH1eZFob7xEeQD>SikkZzr{~gMhY(3Y3Ha1*}mA&(W5@$v0)QfbMcpG|!Q1K8u7SK;1#gysPjhxOQ(~HT+Xa-b(*8!1?RB_aD z91=yfmgnt?6w)in2pH(>0Xvhd3Slw(!g2?l-~gz(z8f`Q(auiz4#xGeo}CJ5@PIf> zKZ9OY@iL_Wm3m0anI$c`n<~1p;t?A%lad;t98j!51lnS=AsD^Dh#=r*0J-b>5RazF z#(c*LvuDLdhOfgn(DT}F3YtKo#`L4;I|Y5Fth-Hu=c4%q?1U|R39IS?|I_fhn0R&= z!G?Q6HE$Mi9^WEh#x9<_bp^f(iM<7HXhzY@Yn2LoRtJoN#4P4jtpxwqC?Pm(>_)C* zd+@+gb*n3GyOgzrRI8X)aWEW7Brl`crNAdRB21*ny`@FW+$PV^L~;n1j2Xr3Wc+L+ zV^}$(m~@CebfUe3j^7OGu7htwO>MdlQ%WF|w}HHK(KK3V@aWD)ae*J#RINV(C()RO z2RF@;v`U~7K>Z$k+F6W4saN`2Xnv(7&Ymn{TCXn>QFDX#}MnRvXL0y$XJq_pOqLnXIczSejuI5#ht9Tsd z-*=^^8xYqkr9VO$1>|*IDGzaID8Hbf)r4u zh-Fx<4Tn`jhSfJvW^J30aJc$gL2t(xF|hb*BvAaCQnbER(5IsnE$Fz!5o7-q1hD{Ogqw7Tk&`*a0(5S&l!Ay!+ z2BncM8gRJq;Tq|-UA7w^c~g5&-v$4P+6V6xvK!vBYacgIaT%x;xXcaSxC9k465@YP z>8al<==0Q`n&y;e+Ez!x&`Pj9Y|ZJzP=v~NUC+bOmK$Qn3!E*u-iS*!Ck=%liiwYq zP&cUJi~L67M=}4PX=ZjZ+=df9(_cpuI^2k4&^3UuH2Ro{y_gRgK6=cbC=sMt@ZSX7 z>Rk&*y8r#5pljgV>%j6v7r2}6+LY6f@jr_c*hfyJbfw}(2yey26DGbBw0!71qzb_S zR#~@_lo&7$x;7Y`l$ti0c!N`CeXZ=n(fGQ9DDMGk?yoUKXQTDUC@gSBvb4d!a?VP; z*SW=u-;ZD6_k?=^|8cmsI zC0|r!iXj&{3nBRo4%=Eq%0vjJzAT98>7D7A3SUB}?{e5dZ4N!#feHicuzer6t$bd9 zY(gt>iaMTEw3zEf8&kTP)2vEgmAQmYcJD0Pp&Bp<-OufE-I|m;?DNBgmU5x&;T@jN!Pk;A)l*>DMlE;C`Do$Lmw3yL6a0701j+gSR!rW z1tA}R71o?a5O@Xd*3HY*DcrR0tA!5zzp3s0%EJ&PD!AZ$kT$kAx2YXMV?eEPwD)*>|DeguB{aV`Ai%kr>k*t-4Mr<`MQ{Rz#yn7!BooA^F|+x344vaK zq9lU(WrTxTJWmsY44A5b&9mKeM9IodIWXej^UuE(RC{WH=yT06W#)fcX)p+uvXRkq hTB(mzAH8kv!C-I+(U$urT1OFrqc+@8!PnJe%D)8~#PR?D literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/place_design.pb b/project_1/project_1.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..7e37ca461d14b9627f1c6c194b9a5359002ebe3b GIT binary patch literal 12931 zcmeHO%X8#L8PE7JOFFKUD^HGYtkp>WAkk4Lda zQq&qh7E%;9IFSnn;F?@=;|gc^EBG6d1OEVDw?<>h)3P-w?}Kux_TcI1`TFbc_r1FN zx9Bfp=)aZ60d~ki?-1KTL?~_tJ>S8ukK+!(b}x#EAHxLd9{LhmB5jz2qn_`({vn^@ zc0-SJVbVUAvd^S|sBYKtoqL$LASS{+O8De$664(vukiIw+^1IL;JLNkb=(acGLM4u znRZ01m}F&wJdo@A#Lg|XV|(dx6vqK*PD#WxKHrk-!#LYcx{c|{nQ^6|FT84Z+>qKq zNcO5qv!?1w=aq2sbn?^6E7N1>=V)p<*~cQDrD%F$W~`wtyn5^&TTT$$o{G^_=vg+? zl9@-n<&d`3^Ww$ykG)B~rYIT+oj9FHgnm&$KYb9#q#MTEf&o4Rn^@R$Z33Eu`6Jwh zt@pr+@6OV9F>JdIV-7in8GMLoGOo$yLY0|0@YV03*Vj`Ntk{K-RDppeY|g1|Je3u> zW_yRaEDz5C8yGcBH?r#fwSwfeARbLUu&$=a&q%#i7NWDOAbKC^5eb6`Tqd&d960Vi zb4)7^qBAx`=b6P9!E5YjpV+~^-68f76&Pn2%1v~4s~-p}Tg&(aTpj!b91UF80e_jC z8B_IzaacvYjViiGrmEFcX77Q|eT-&61mFrm6%z;3o^2CK+da=a;~uECvL2|Rx3Acq zVATn|rmI+Pa9&~|J^JfB1G?pXAQ zr)Wji7G47nq;X_H38Lx@s&2A+5eb5_s+cwSK{hNuK}#D@O(3nXguxIWnWvkYn{5?UKa;#mWh@(cPYz43V1r7-u8l%qFhwfFZ@fMmD zE{-3Q*mG%&x1l0{#fJ43Df8tRie~AK+a-98&M#s+?BV;&zVBh;Ss?{L_(utE5XfF< zcy40}riH{hO6MJd1EhbqBSLW4Iis#^dAY%3H;Q}xF*H3jGpW2GqWz#u zNFo4Os+Je|zu|4FSAMG)Em<;TL-5=0ph;PhMID3+kQj}oD*lnuk{befyzMY}YMnZr zO1h+9qSeIl7{H~V#J|PMyma@vi&)VdxnVgi3*s;}VAj3?jZ&g9QD|BV?q|=(s@A&BWz>r1dS6X1sjAcr&f? zaS3mQ&RbYuet@Reyx;)(NZvTHB2zAZbkM^vbDf1verRN@m z_2!V|w!4<+;q8tU5_ntuI(0CUrHY-LmW)Hb{)agWT86ToitmQ zcPG8FNvANJ(QwORozDBAy2p1;@`9ibctrZC!lI zft&4B*Uw%SCh4GKSuKpfvN>BmHo`X zSTuQKm@VQcmRj_t|MhNyjb|Fs273q_K5Jcd6AW8f1A56ikl^S&Ml|`riWuKE`LG-T zpN3IK(oya}Fe~BZ0ZGUP3NKt%5C@)mfwZccS)v>CRhoc{$Y?==3u-njpo$g@?=fm& zj>z-t(fEv4Giry(i!126A25r6>+lB$pvqdYbpWg-N#gsTP@0U0m2h->vFp*jTdG`B z%vO$VbsybH<$SpEa1}=Z%=Hhsh;dZ`+EZ3S6(qo5_Wu57==&l8*b5FhTt10uUz*$! zNRt_%aln8ol14*f1DgtGqyg1dpc{K`8A$u;rJyhYCyZH$U~XXOm^sOHQFK0i8@+bU zuMzoWZi0w#1Pmvo|7tUQ4!DvhA_`IVBcSAncmN^}(|;-m?*Qo>B9jxjfk=lB2=RgN z?UM+|?JPWjD&16-l%u4+g%BqohXD`<1!|ulyWR=9r2Y~3ffdz(9YVYyziq!nec2S> z$0>5@4*U}JnegEf(QFl{|IZ5gJXIe^J#iFx`@r|1m+4<{f{@E!&R?JYuOkG79vXl6 JeuR^5x4YTp{-HvY`$2NP<<+n}Re3?nGqJqdVJ+2WNN#S9e4lG_ zeRGXkF*F%Q%np3V<%;0?MwCR5WJ8n^INg})_B5CJ6tKnZhbJhE*T$NiD#9SO{9W>#T3dFPDHNoIu(+c_OR zCRez<`mXQB157&JiP%9mH{V<2I|{uUML{%6MP@I%9Y*eKc+7$)MXb*v4uE991Uk}n z!yr@R2t&|e0AF)`*kmZYrzw`vQ!?8Yj%?OPN$xyE6oGNMSvj_uZ|!k64CV#)c{{;@*7s%*JKMz6;=R;eA1=m%6$R{5Vve@dBWg)tO79qiF2eaL`} zBYOSe9effp>j@sKyw7bQ0SCYjfoQsmtvZ{Bkc=~fU1Ua)sW*D@|x$cM7sKP0^rf_#EmqFypIn!P+4 z4RaPVG&(!p~Iu{CDzI%@Y@i;||&$>XxqorTM`DT|W8Zx5@G1&7{zsh~Le3Cks`n&S5&?&lUHc7=L!UE0+ZJGLiOkUq~ z!^SA2w{b4tWFj9Fr;|m6QHg1hBHHp4fr;;X!7dW<1Effi7^%DvDx}8yL`(gTi!KVe zaQ44Tl(9Z&(UuoZ8_!C>65e3QyrD&|uEVvDc%@GtJz)p*mzkqeIk)(Tn5i`k9J*)9 zx^QOk%bBGkGt0Z=yBk5A&<_I?GdK;FGenuJg!t5v$0y7=nNCEga&u0z5|^6${=ymj z7Wql)2OXL--i1>{P!9QB8S>vFm(%k)+B3X>WaVIZo7_xw-yI+KC!b$9<(Dkrdotyt zfPc&)w|~S-aB(nlsL#+vDpkTxtArkvN_p8#aiV(d%&cD9W=DQZHHwPK9#f-oeqhQ> zf!cK)t-=_66xc$zL`gHOlUC{qCerZ<2^Y=;x5(QL!-_5ab=J9o)c8jFTHZTIE-dXiEii^acJ$bEEYAE475-{gJTPppVj7wM0+ z2sq=N!^x;P58|z~`Hdd19gKXGEoi{;K66#;Wg65PueG$IFYvCfDj$}tmcK0--sb)L zTp|3M^N|K;6J-U4;9pe|EypKYwopg54#rP;n^wFxZqSPVPc~4>lDpU0IsUqpKX?UudIy%aRgsjn)NZsr-6;09!(Qt@FgIQ+r zACekxGRy493BImivlb2)QU-0ET>XS24-qc(EE|nu5LMPLn*YtFz}dXo;eH6J!B6UHi5HY8zU=Okq>X3lJtatp3T$2f)=;aEx;7M>S zO)(|X6wb~}Y1UcN;*i&gJ8&7p92I|)LLiz%nL$JnztU=gCOu)T&Fv?BfIqrYgcK7 zQSdnkj~(^+zb44sxaA^^VRUe?a}Ze}xQ`tXB%G#WhsBAvFJXVG-s5PWCbU0S&SH_D z62z=2i`?kD9;2BV!SPOJjlzUB!rd89@t_ffhclkyiDbp!4D42j5&EJ zNPNM@f*Zz$m$}Qs&T6N(a>)iy%dcJhyF&I}m?iUiU92p9Q&Sbq(5r0Mv<9}G1U&~V17y5vB)7y27!~ZZ3Td49`Z{MIk29<9oUJram zW0r_K_UZbXgu@g-s_Mo{J>@rX%Ir0CBdgnAlOIj4S3JyYO?J(qd-^qtI<8r$I!};O zkN-!NXeZFVDf}Be^RXH&3HaNFat!|eR!IE9z(3Ov2^`_un6`*mI7J;!VQ4%-PnGMO z&nv(K6d_!ktLPnnJJUP9s*wG$>@WarP7979hI8(akKO6>c!XO^xGyx$ERraGLQXkf z*&r=2{G*tk^B5X5xbt9p0q!5s1EknKDh!9S`25ODdR}{XvA%@!TW6&v=G(68xIm=y zkWoLZr$@-==6^pwzRmR*i8qKE9&RGh+jipayU7t);2^a2(mOio;k0U~lZDl=Fe7)O z+#ou+H@RUnaqP1M^U|s^6*>>0IhilKhLcqZsLCYgrWwWBjN#`}!=>jD0faK%5|JN# z9HN|HDC1BIY*>*sj914wy=vm5OzF)^+;*sX5h{W(%%WNr?t`08^Ns|92JQBG4m{^I-0ey-gGz zeHU9CuOM^11{Z3IS8l||Pzk=^*S>HV#X)oOv4zGgE*iy6;hhQ!)>Nukk1lSUPTlh| OA$JAMiu04RSot5_u7Sz` literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/rundef.js b/project_1/project_1.runs/impl_1/rundef.js new file mode 100644 index 0000000..b16b00d --- /dev/null +++ b/project_1/project_1.runs/impl_1/rundef.js @@ -0,0 +1,44 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; +} else { + PathVal = "/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "write_bitstream", "begin" ); +ISEStep( "vivado", + "-log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/project_1/project_1.runs/impl_1/runme.bat b/project_1/project_1.runs/impl_1/runme.bat new file mode 100644 index 0000000..220ba68 --- /dev/null +++ b/project_1/project_1.runs/impl_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/project_1/project_1.runs/impl_1/runme.log b/project_1/project_1.runs/impl_1/runme.log new file mode 100644 index 0000000..bbd41e5 --- /dev/null +++ b/project_1/project_1.runs/impl_1/runme.log @@ -0,0 +1,428 @@ + +*** Running vivado + with args -log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source hw_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.45 . Memory (MB): peak = 1354.199 ; gain = 45.016 ; free physical = 8028 ; free virtual = 19485 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 +Ending Logic Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 20895cedf + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7580 ; free virtual = 19074 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1758.691 ; gain = 449.508 ; free physical = 7579 ; free virtual = 19074 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1782.703 ; gain = 0.000 ; free physical = 7578 ; free virtual = 19074 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 13d05ad9a + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: e8dbbce7 + +Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:00.26 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 +Phase 1 Placer Initialization | Checksum: ea3f9807 + +Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 178d50758 + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1e1587abc + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 3 Detail Placement | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ee09d3c8 + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 +Ending Placer Task | Checksum: 189ed1a2a + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7571 ; free virtual = 19071 +34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7571 ; free virtual = 19073 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19063 +report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs +Checksum: PlaceDB: cfdf8402 ConstDB: 0 ShapeSum: ba0d9628 RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1884.723 ; gain = 45.992 ; free physical = 7515 ; free virtual = 19003 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 8e697245 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: c2ecbd17 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7495 ; free virtual = 18983 + +Phase 3 Initial Routing + Number of Nodes with overlaps = 0 +Phase 3 Initial Routing | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 +Phase 4.1 Global Iteration 0 | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 4 Rip-up And Reroute | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 +Phase 6 Post Hold Fix | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0277309 % + Global Horizontal Routing Utilization = 0.00505515 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 2.94118%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 4.41176%, No Congested Regions. +Phase 7 Route finalize | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: a817c05e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7512 ; free virtual = 19000 + +Routing Is Done. +42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1934.754 ; gain = 96.023 ; free physical = 7512 ; free virtual = 19000 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1934.754 ; gain = 0.000 ; free physical = 7512 ; free virtual = 19002 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp' has been generated. +Command: report_drc -file hw_wrapper_drc_routed.rpt -pb hw_wrapper_drc_routed.pb -rpx hw_wrapper_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file hw_wrapper_methodology_drc_routed.rpt -rpx hw_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:11:32 2017... + +*** Running vivado + with args -log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source hw_wrapper.tcl -notrace +Command: open_checkpoint hw_wrapper_routed.dcp + +Starting open_checkpoint Task + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1087.020 ; gain = 0.000 ; free physical = 8239 ; free virtual = 19727 +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] +Reading XDEF placement. +Reading placer database... +Reading XDEF routing. +Read XDEF File: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 +Restored from archive | CPU: 0.020000 secs | Memory: 0.024139 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 +Command: write_bitstream -force hw_wrapper.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./hw_wrapper.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-186] '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 19:12:01 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. +16 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1739.180 ; gain = 432.000 ; free physical = 7917 ; free virtual = 19424 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:12:01 2017... diff --git a/project_1/project_1.runs/impl_1/runme.sh b/project_1/project_1.runs/impl_1/runme.sh new file mode 100755 index 0000000..1cbb92e --- /dev/null +++ b/project_1/project_1.runs/impl_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin +else + PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .write_bitstream.begin.rst +EAStep vivado -log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace + + diff --git a/project_1/project_1.runs/impl_1/usage_statistics_webtalk.html b/project_1/project_1.runs/impl_1/usage_statistics_webtalk.html new file mode 100644 index 0000000..6a10ace --- /dev/null +++ b/project_1/project_1.runs/impl_1/usage_statistics_webtalk.html @@ -0,0 +1,426 @@ +Device Usage Statistics Report +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version1909853
date_generatedWed Sep 27 19:12:00 2017os_platformLIN64
product_versionVivado v2017.2 (64-bit)project_idd300018feedb4d6d857b7a7b10aeaf3a
project_iteration1random_id9645f00e3026595abd5d9ced78dfe930
registration_id9645f00e3026595abd5d9ced78dfe930route_designTRUE
target_devicexc7z010target_familyzynq
target_packageclg400target_speed-1
tool_flowVivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-5600U CPU @ 2.60GHzcpu_speed3104.664 MHz
os_nameUbuntuos_releaseUbuntu 14.04.5 LTS
system_ram16.000 GBtotal_processors1

+ + +
vivado_usage
+ + + + + + + +
java_command_handlers
addsources=2newproject=1openhardwaremanager=1runbitgen=1
runimplementation=1runsynthesis=1
+ + + +
other_data
guimode=1
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
project_data
constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
launch_simulation_xsim=0simulator_language=Mixedsrcsetcount=1synthesisstrategy=Vivado Synthesis Defaults
target_language=Verilogtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
+
+ + + + +
unisim_transformation
+ + + + + + + + +
post_unisim_transformation
bufg=1fdre=4gnd=1ibuf=5
obuf=4vcc=1
+
+ + + + + + + + +
pre_unisim_transformation
bufg=1fdre=4gnd=1ibuf=5
obuf=4vcc=1
+

+ + + + +
report_drc
+ + + + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
+
+ + + +
results
zps7-1=1
+

+ + + + + + + + + +
report_utilization
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
clocking
bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=1bufgctrl_util_percentage=3.13
bufhce_available=48bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
bufio_available=8bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
bufmrce_available=4bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
bufr_available=8bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
mmcme2_adv_available=2mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
plle2_adv_available=2plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
+
+ + + + + + +
dsp
dsps_available=80dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
io_standard
blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
ppds_25=0rsds_25=0sstl135=0sstl135_r=0
sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
tmds_33=0
+
+ + + + + + + + + + + + + + +
memory
block_ram_tile_available=60block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
ramb18_available=120ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
ramb36_fifo_available=60ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
+
+ + + + + + + + + + +
primitives
bufg_functional_category=Clockbufg_used=1fdre_functional_category=Flop & Latchfdre_used=4
ibuf_functional_category=IOibuf_used=5obuf_functional_category=IOobuf_used=4
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
slice_logic
f7_muxes_available=8800f7_muxes_fixed=0f7_muxes_used=0f7_muxes_util_percentage=0.00
f8_muxes_available=4400f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=0lut_as_logic_util_percentage=0.00
lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
register_as_flip_flop_available=35200register_as_flip_flop_fixed=0register_as_flip_flop_used=4register_as_flip_flop_util_percentage=0.01
register_as_latch_available=35200register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
slice_luts_available=17600slice_luts_fixed=0slice_luts_used=0slice_luts_util_percentage=0.00
slice_registers_available=35200slice_registers_fixed=0slice_registers_used=4slice_registers_util_percentage=0.01
lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0lut_as_logic_available=17600lut_as_logic_fixed=0
lut_as_logic_used=0lut_as_logic_util_percentage=0.00lut_as_memory_available=6000lut_as_memory_fixed=0
lut_as_memory_used=0lut_as_memory_util_percentage=0.00lut_as_shift_register_fixed=0lut_as_shift_register_used=0
lut_flip_flop_pairs_available=17600lut_flip_flop_pairs_fixed=0lut_flip_flop_pairs_used=0lut_flip_flop_pairs_util_percentage=0.00
slice_available=4400slice_fixed=0slice_used=4slice_util_percentage=0.09
slicel_fixed=0slicel_used=4slicem_fixed=0slicem_used=0
unique_control_sets_used=1
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
specific_feature
bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
+

+ + + +
router
+ + + + + + + + + + + + + + + + + + + + + + + + + +
usage
actual_expansions=128831bogomips=5187bram18=0bram36=0
bufg=0bufr=0ctrls=1dsp=0
effort=2estimated_expansions=21522ff=4global_clocks=1
high_fanout_nets=0iob=9lut=0movable_instances=16
nets=21pins=42pll=0router_runtime=0.000000
router_timing_driven=1threads=4timing_constraints_exist=1
+

+ + + + +
synthesis
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
command_line_options
-assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
-control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
-max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
-no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7z010clg400-1
-resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
-top=hw_wrapper-verilog_define=default::[not_specified]
+
+ + + + + + +
usage
elapsed=00:00:18shls_ip=0memory_gain=370.359MBmemory_peak=1459.398MB
+

+ + diff --git a/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml b/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml new file mode 100644 index 0000000..883e2e3 --- /dev/null +++ b/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml @@ -0,0 +1,374 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+ + + + + + + + + + + +
+
+ +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+ + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+
+
+ + + + + + +
+
+ + + + + + +
+
+
+
+ + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
diff --git a/project_1/project_1.runs/impl_1/vivado.jou b/project_1/project_1.runs/impl_1/vivado.jou new file mode 100644 index 0000000..be37b48 --- /dev/null +++ b/project_1/project_1.runs/impl_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:11:40 2017 +# Process ID: 25068 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/vivado.pb b/project_1/project_1.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..50041c78865101468c7adb78c1d4c2c0af78dc12 GIT binary patch literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpoDYKlT|YJq~0xq_jkm7$T9fuVwtfuT93>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+Qibf{I literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/vivado_23797.backup.jou b/project_1/project_1.runs/impl_1/vivado_23797.backup.jou new file mode 100644 index 0000000..1e913c2 --- /dev/null +++ b/project_1/project_1.runs/impl_1/vivado_23797.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:10:58 2017 +# Process ID: 23797 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/write_bitstream.pb b/project_1/project_1.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..d341a085e46a8b041dffbe10f0de028de52f098a GIT binary patch literal 6248 zcmds5OK;rB5%%aAw~bxpVaL%L>&`>4HSx@lJrB+(*=%gdS{Pn06iK@_6eI%<*`uM& zA;)~^VK{qCjtP)kkmH{Ae`F82=bCGP{D7SERg=RZHFTT>_OJ;cK*J`xtGcTC`@U-C zv8&tucx-z17T*Zj+S2-x-Zix9<%w&0_eRpRMUQRx6Y2G>k+hyqe8-FU=(K+tn3IVN z`hg!u(yrUqM6B9!XvS`|HT`k4s*9TFb~>X=lclqzKU6NQEwEp+Z?S{O3?j!nhDm;C zhR@0FqOLWoS1jKPqrilbQ2da+eZg>vi50zD!_2&ezf{-~Q=2KS_gRJgzH;b{CBGAH zZgFc8^Y^%>ZK=Py*5p6o(lsZcv@eX;`C~cugL8i8@qJ93Nb@?$8ebD z)HRJBhk=xQGCB_(%XBf`70ups_{0gKSdHpELm2Zr%I`$7+C9&7&tImo`q*R4F(w?_)v@Cix-GHIxv*jcy&zMNpJ`7AR z#JXcMa(s_l{&?a_WSC=z$kz7W$2^qCl8s`4HPJ0t(_Ujubo18E*t;Jk9`aK&iS}_}AB>9yE3{!;q{dcpA9> z*V_1$eZQQh4`OJz;Cu_Rhp0u@bKD92_L0voN{3S!NDifykUbO}D@i|C2}>dQ8OecW zZg0SW6V#l>pUTqrC2U8ONG5c;pC;TKKY<{QHstufIbKbOghpp;8t=DZg=U)&+z;4W z4@qkgVJnd^?}@-SsWho2+GPG41u4x|0m1)NVcG|N^yS9}@?tUc?TVWQ!k;B&Tspx-K8bVZ;ZL$JFdV*+(NM zrGus#xm)o|Wn%@+S;-Y3<$h zTlo13_Fkz~Q#V58f%0rVJ|q^8Fr!9*vvRhQ(BTWV4#JzYAz^G;G7N{Y>z-37EC>|B z?3g#%tv94=-tf^yaMWL`8oWlOa6 zf(-j9`}Q_qLJ<(aXKYTg`2yfrvWphwEtB;IyPlt)aALYd#i(A+01d}Os}%xZvKvpp zrQ~|{6_7cdya4ern@z&Ko^KT~qvQxK!-i5CnSZXZ?qzt+Pe(8ptDKk|GxqLnKT56% z2m#PIftd(-c&cMkzOVB`ADSF`3P%^+x8)4c@RwO6Ne>-x?#Cns2x;#k`!Gr~;jHo|G^pF(@{vE9RCVJg4P|Yrfn<2#`TshBNNvW+#FEU6uppx zchL#Yqa7ke`{X0(PLh3?bTN~9+wEi;=~MRXtG(lRZO9SP`6?B6QTRyVft+w*aJ{#s zi!Dv(q-IOLe#DFhj&>snRC<-tNThGW_N_*m-#%_T1K*BSL8@fWFQ#Oj-X##p>iUZc z`vBbd5L5u_!LKRzsE2Jjp>+Q^9VHP;UnN`xR=51vi_iu@`zs>t=85z=ThKf6eh^rf z_0n_WO72y`Z$oz6F|6j?yIadG2*P?OVVBfsJlcn7%_2tRcbV*;XdivyTw + + + + diff --git a/project_1/project_1.runs/synth_1/.vivado.end.rst b/project_1/project_1.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/project_1/project_1.runs/synth_1/ISEWrap.js b/project_1/project_1.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..8284d2d --- /dev/null +++ b/project_1/project_1.runs/synth_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/project_1/project_1.runs/synth_1/ISEWrap.sh b/project_1/project_1.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/project_1/project_1.runs/synth_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/project_1/project_1.runs/synth_1/gen_run.xml b/project_1/project_1.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..df6d0c4 --- /dev/null +++ b/project_1/project_1.runs/synth_1/gen_run.xml @@ -0,0 +1,44 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vivado Synthesis Defaults + + + + diff --git a/project_1/project_1.runs/synth_1/htr.txt b/project_1/project_1.runs/synth_1/htr.txt new file mode 100644 index 0000000..9b933f2 --- /dev/null +++ b/project_1/project_1.runs/synth_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +vivado -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl diff --git a/project_1/project_1.runs/synth_1/hw_wrapper.dcp b/project_1/project_1.runs/synth_1/hw_wrapper.dcp new file mode 100644 index 0000000000000000000000000000000000000000..cd4375c32d1df27b7a3fc8cc5da2ada1879840fb GIT binary patch literal 7991 zcmaKR1z1}_v}SNC+LYo@ptuDs?iw757Iz5lUfiWfakt{`?(S`Y;>C(vaeL|8ecM;w z?w)*;$+?;TWbT>sW&ZiEA{Y)H2mk<(0pJu~urI1Gdm|zMPy=n~(5vRIdae!zc6KHX zOl~$XHHdOI8W1-%HZE}yGN^$-rUrj{GEQy-w;vhm*6IY3KvM(F@4~Pm7T=7=QOP@c zj_8D=q*JfM2b0X%sj2z7RqdlFX@F549`YXdQ=MOrA3zVJhfDaTmlYP$@;5AZV~$zP zY>qnp%UD zZ$8~!ssk7DH?pvG3OQ?!-cilJu1m{PxEMI?PQXEi++$P0;PCTTs1sh~m3V4Wcq?+- zW;Xt~sJ%6L7-=WF`Y3WSwO{ZShuf8z@FD^{g zb_7F-POKb&QEtur(j@9j>8K~Y*uvWp926!cu?7BIIhD{d|UPFoX|^j|%i&XGe6FW(8S|SF0F|%{hX=~)F$vx8vD9b7?TSx5;mFhUL zXXFFIhFABPQ}T5`$2r}yR8I%^oh!<^=xb35C3ZU1YWx1~S3IDfQC+>9Js3Tzl@SA! zGX`NEUJk6_-+Cq1SNu)8WZLACh!>e}8s5w(;wf%sCkN*O*E)0N zud=UUfQp;9!k`zZG`XGhfqkaJaRmtdY#$wo1Y!BcK#IHA(cSJmz0eQ#(ZpSOL`g7d zL~w~rb`Thnjd{fMBJn+Dyn&dZZ{7yE*C=bW(OEE=Yi?N0T4Fjt7+xaGoML_izTs0q z>V#33cr z+LF^4Ip(D_KX}3$CLCZBc8|%ZdtzS_Y{EA&MTM3bgn!Y4QK2{Sa<7LEf19Gta)1N; z!E<#*G-Wi!(T-a*I8<|M4|< z1;D2VWUTJtXEc=in{P1IZz@ zYpSnE81e%t{ef73@L7J(7WSLU^+)aa@*|MG+|OKTe~z4Ng^&3FTEv0YO4M^)qZMe6 zp(2rd=6JmVR8)N?QdMyfur5&rD6&X4C>?j+@z3lus_~1coEuE zyNmPr>}(wS;I%rou(~hi%VDHw>OEmsqfaLC14-NrWC6LoplNv4kp8U>mM#AHk=}Em zWC{NGfjnbm75U`c>YbX^(1|Q*NP>|$9fEzVnEBOb#m!^7!ekMXbA#~d)^Ll$DGgK> z@uP~|u7QM$et*X8SUe9kc0Y|O4sRM+{K@>Y0*)Yyc{U5Lppc+pV4?Xz5HqfdVFJSM zrt$D0VFoJi@QGQ@4O&%~uO8v{zq<~0`wW+gl7%Lf6lv0i(Q$%(W7W>wPwU*baRsNI z%0do*)_87lrk1l^-Pc@~xcq={hJ(jz)h^sy)t319YQoE1&I7bt%YKMoZJ(5VvqMww z-0d6Qw`6UuZ&vYcuemCzsnuB)nD?lz;ldkwkn{EAc@orRug<+SME3mt$NzQ@mRD|7ppbU-x+F@WC+%5 z+dRGSk6sR<*PE-pv%lEqaWSiKo_qW2KDZxWHcZi9UWx=HKu#YfUx*~PRc4FLP+4Qj8U?e7k!XQ62B?xe->ogR6lxAm&H#CP+_;} z-Blu@-xe}!d!ubonYU{h_NriSpEo+l5LTzAsP9~l=fu1eoFdF6Gn$_? zH@B(dgxKD>%eHOvQAlKaB30uijAZW`+b4fV^4h+FG)MB*Cy;da9_F#=YH=k6*%xc> z6yx99h|)d442J;#e!>9&NYL9bHnL-KvxY>)>nH@U0K~JFE6v?zkg6dym~3VPBSgXI z{wx<^PKx=T>hlwBOeNY~Oy&Oq!Yy2(bb*DbK;M?!en>B6v!I7kG+_+T9{dUSXZK2pjP z9#rPo`sE6N1JC5R5lh$V)s?&Mh7+L;!h|C^oxi!CIzE07vne8Qr=caUtZm@Y{Zv;Ef)(_ei1;@7RnyqgtCo1(4>rOQfE78v;CeZkF z4%W-KUD=`?5Lp-c{8tyU=Cr$21^OE<+_;%Kx!c%2PWs*2{mOiuAjC`$wz_$q#VMUi zd$*5@a3t1tc!tHL<)4ZP2c-nXw?VA(pK>T_OSPVI9Zj%@oPP^PCoZ0uZ5)0w^x~COII<@|g{6T*Gd;oRu zh~H!J(Ai1sM07HXqP!kit?7bW%ZLl2xu#ncETE+xFOJ2az0+-Zc#kiy#qV0yi2P{A z7e<^HbR3ojzPA+hOG9$H&*Z{bK7nB@%&f&|Pkil3C~Fw#l7}|k6CXs%5O4!$dF@&X z!eHb(_nHZ90{05>^^CsdY4!2!X4(khka(TpV>Zuk)*cJ+aEtIFVs57~b^Thhiht3f zp4S&X@9q=Xo5I^o<1MBui8WyUkwAr+(x$s9V1Ih+A~Z_3Y8ThK;+A(RXxmV9kRpO)P2XN9}TqWE6WOMs8 za(ro5 z>cT#h{W{RPm}A-6NrI31bFf8b`pM#!di|q@RPEk_L1l~2{m#aOvHO0P&sJ5r8b)WN zCUL82)d%YMkMp-6F#0^jBsG4bLV~C(S*%8=*-ZkRW)Ix$Zrm`*(dz=$yak5wk}`#s z5WBnlEV`?djyq=g(4FWbvM62eeDXES0nQljyl;}Ni^tIp&3GY38T9Zg5!^Ehz5z=d zR^;nRpKeU%sz4+dS^;P@a zP|;QgMa0xwlatD-5mH5$wv;*b_0*0+#cT{+HUq@PUrGD2ew&ZXmwurL=z#((SpQ+cVsHFD2s+r2S1(9zH&bpzhW~HSQg(bB?C8b-~#S?1k z8FKH<(B7+$QYdGXSMa2jOyHJOe3s1T(H3zY5AcV$ za9Rgk%oXK5!@iQjwaKca1{yPgpAb{#3y@wAcJvrk)^4m&*1<46b;**N zf_CV;-?>VSdUdQ{@b1f+t!Zj9UK_lH>HRB=4UD#GINLTNH%FuuFYc2=;_J)WkeCKY z*brqFU##+djOM$NUny%UPWbJDn7?I@Xj>wGWFdJ_T>^C^_E%pKD;uQVov^Li9FZ-3 z<{ZNTfA>!YW*w8Jx?CooPR^v~k{EVbG|Y;?D!7sN63TXI>~_v0EZ&$1M@X9}*T;>v zRaVdAxmP6U|ma`%kQmrsFK>lLnC$Bnu_ABnKqHNKJ*&Zy#Z?G9( zDz>KImZm4mH}?d+$sR!9QA!-m1LLxSGMZM(bMjg}nY2ABOP0kx7C1R|Rwg)8|>>-XEp!$SHlV%p!_m85;HJf(jn%(<`vLbGCNM>^sbQHK-eoLK?pX|c2=EpaV> zTqjn5)a!{Q5=p88O_9R3Olr7I@`A>2898`$hPq476rA2plfYUAtM?0sm$W)7=VD`# z+WEiIwGHKP?dQhf5NxQN2N-8OCm5^ABAWJ1!(h46kR!F(2_0<0zG?L7aE0tOy;ryf zBM?5ob*3WS%oT;WNk}1M5FnV>b^Rj3ctT?Poy4W5uPi%bJ8$8%HUN}dSz`9>CED6D zJr#uEl?)qWr|?-Ne8-is{@!)U^>*%flBf5cEY+KTiCaDHkRNMSy$kyff zveRYViU07@$KgK4=2!gIz0{nq+}Qfv>eI6=5vRoy0UpX=+M(@_Zt>svo1>GnA(M-_ zF(fEj82bMW3_QN0-!|_KmME}vpyQCrQ7jhs&~}OE&}=yfUVc4$@7ai5ci6vZwC%~p zmSMR2qkF&QP5z|9`R+1{dACt^z6sNo%Yw(rF>5MU1{fmgnekp{H*2?olh}_&5Mvc z-Z`L2s z+y-pTgr0R3@DAwirYrSqn+;WtYb%{{GEEqk%{2%VF$EZI%%`s#Mq!Yx7N<+UxAIKQ zthN1@NW^37VjV%Vr3=j(_Wvzw@1ZG!17QV0Q?{aHux$P6>yLQ1y>cM7uvp`-hrtbexh8D)bfnhe5N z%-`@BIw4v1U2a!Ho5}z_u5W0wQ-6b1-fhFYY*qLk>s8ji1FVHNxqK@8=Ew*z?x253 zHEHth)G87PJ4lajMblbopsB}9znRKH>9xc^E?{!6ZLEOpBh|Sh%RujPOQiMijNAVx z#*`0*CM5})oM+tL!Pvl2nn60!b(A?A)4|?pbeNeL%*gt+mYr3ZMxJp*c5JvzhGBSY zvbIKsL1toz0sNkYU5N!CB8oskhSGzGifoL$PDm#Nd;?w=`h_J5;0+|3$$ryUj}Oe7qs?_A+s-bH6NbuyxBhNY~`$ zV$il)v?}`E5R93$Kbh6)=5zi#<DI&pntg zuFp5I6R4RkMI@alrY|5YJ{8Amca@js>gc)F*&QPm@p(5j^P4TH)$cYfBU((9lHcPM zjXtK<=L|4mmT(wz4d3TDgWY3`4Y@~in~|kctrj^dt+k_~o3%ay-*azQAzO4VSo|&z zRtbDDzCb>}#6etBhi7>D6~=rzW-y&~;yjDzOEPsZ`Welpx$q1ALiT+By6E<=6-i_Y z=fb#VPBfAAUf!tUTA?aDu|rPcgh4ok2rB2a;lS4zH8R<=Z3-ycB+1z-&a=g7YQ1Mk z^pMm@b_A6jOyWYj+)=8_FX*YCYd0Iz*pmypn%}zB{M&!6OeD6J_uwxd-wK zIcsK}?U8_~nVR5z>rpB(u>d&2XlRZKGs}p+8z(8F_vH!8+YNWE_pJ>reCRQX{M(e> z3f(X=M#JM&Gi)dBJk4wwjk3K&-v$%iVFXcLX@#GE=m;M1Ia}TJfiBxr=6w; zmu1EtP-hl94kU8Ha zS339^AS)%gt>E#)}XA@cjWG75P34eJ*jj-M$dz(Z4_v|NJLx~>d_HRv5=dE$n4Pd zGQ!SpJJ7M!wB6R~1gC=(0^`&aDjC>1T#M*7Yw)Fq%UnX^3;ZgPc2obv&lg^3#|*2s z-h7DYlh*5w#T@9X0|BHTI*D6-6559jeo%UaeC|WWc478Pn$UM39K3%LK>ZMBUJ@gQ z(h-vN&Qhajhfpl&7|gEIJ7=H}LMm0K&r{m&(OFF?;7@ZRdeHL0mJ9WyrcWJN-FKQ> z0IpKXsYlnP-33$9$)2)Kv)_u7Knlxh4;n$e-`~rU&x;Ohb==yaAjfw= z3^Jjhos^lk*LrX>8WKw-Ys2LX(sY;ClI5dqv>Qlb8r-z)I3D%A)*{OiyZK5TyW`m> zlOZRuSt+)q_asN=OOcS|O3U28St+q)+fF>9hq0;BnxHVSSt-6Hc<&57o+Kz7D+|1e zf3lZ-RIaM$sz5wT(Xp}SX{fIp++1yOoLT*$D9{SNk(GV)PkI#w&zCMEqqFhWAA%|qbz*d41xZ{v}5D`hEzMiTIa}~ zMJq{EUPFIF>KK)SvVNL2VV8UsE3|NK-AHHdUt21y`A~llH5^|PIjG%BCir(W&{Rwq z=nkNRja~Cu7Lmi7!&JruLE$>{r@(DQVNiT1esH@ND)Zz! zQ{e61v1{KrT`!5tLXA%1jYrY7jPW&*z0li1Fsrhgo(y*fj6~{k26so9ghbyMyo6dG z#0vey(GDA3{I|&^t15P_e-u$f#1bPr8-WcUziUlo@{Q0M>L4Tpp~7M8Qhv?7kKl?% zt|BIr9*oc-&ff0qXZ7m(hvQH_s+SVCu?#|o77=R>k)DF6QGCzKIKRcppExFKZLH%H>{k1C0Bd^wiHaIEoO82r$K11Ee=2y|Foy156V*UZsy8=6Iei#pWwp`VS3- z+S*3ZNz>8Yk#GnqQ0Dj7`#Y6<*_KEv+UuPTbxjbK0~wGTbZyIRKEeD;8&_&H$d#e& zA{^Q<|795#HbxF;!(-!P;278cVn|nGU?!Pyxp8{wG5h~wN^GG2FH=$k7?AZKMk%@B z%}B+fK}ik_ED-Mh{X7ynhyS!Xzdzky?hk!B`5gLZz<(Ed|M-8b&hIBwQTq%1NA`Ul z_J1p{&q2=>zrUaulz)B@s{cL5K0g}$ z#pXgO;@`>Y{hx!<^9aw634bHZLr)F=Bf@j|b0Ym0egUPaf8k6}TKydRoY4Nk{;@j0 z;+Owm|C8Q6M?WvrKgj>JPMAdhp#PMuA{YT$0RR9h^ko7qk!a`;CV)7|LRQ92T|@kx ov9Sk8(N5UG5@ahZDXk#QB5dj;u3+G5XeI7pWN0O9Az{V;ANpNF&j0`b literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/synth_1/hw_wrapper.tcl b/project_1/project_1.runs/synth_1/hw_wrapper.tcl new file mode 100644 index 0000000..a5b7e6f --- /dev/null +++ b/project_1/project_1.runs/synth_1/hw_wrapper.tcl @@ -0,0 +1,34 @@ +# +# Synthesis run script generated by Vivado +# + +create_project -in_memory -part xc7z010clg400-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/wt [current_project] +set_property parent.project_path /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language Verilog [current_project] +set_property ip_output_repo /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +read_verilog -library xil_defaultlib /home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc +set_property used_in_implementation false [get_files /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] + + +synth_design -top hw_wrapper -part xc7z010clg400-1 + + +write_checkpoint -force -noxdef hw_wrapper.dcp + +catch { report_utilization -file hw_wrapper_utilization_synth.rpt -pb hw_wrapper_utilization_synth.pb } diff --git a/project_1/project_1.runs/synth_1/hw_wrapper.vds b/project_1/project_1.runs/synth_1/hw_wrapper.vds new file mode 100644 index 0000000..19a88a1 --- /dev/null +++ b/project_1/project_1.runs/synth_1/hw_wrapper.vds @@ -0,0 +1,258 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:09:56 2017 +# Process ID: 22570 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1 +# Command line: vivado -log hw_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.vds +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace +Command: synth_design -top hw_wrapper -part xc7z010clg400-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 22703 +WARNING: [Synth 8-2611] redeclaration of ansi port q is not allowed [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:8] +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1147.867 ; gain = 46.246 ; free physical = 8252 ; free virtual = 19653 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'hw_wrapper' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] +INFO: [Synth 8-638] synthesizing module 'dff4' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] +INFO: [Synth 8-256] done synthesizing module 'dff4' (1#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] +INFO: [Synth 8-256] done synthesizing module 'hw_wrapper' (2#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8269 ; free virtual = 19671 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8268 ; free virtual = 19670 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/hw_wrapper_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/hw_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1426.375 ; gain = 0.000 ; free physical = 7986 ; free virtual = 19430 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7z010clg400-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8073 ; free virtual = 19516 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module dff4 +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 80 (col length:40) +BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |FDRE | 4| +|3 |IBUF | 5| +|4 |OBUF | 4| ++------+-----+------+ + +Report Instance Areas: ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 14| +|2 | device |dff4 | 4| ++------+---------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1459.391 ; gain = 102.520 ; free physical = 7993 ; free virtual = 19443 +Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.398 ; gain = 357.770 ; free physical = 7993 ; free virtual = 19443 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +15 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 1464.391 ; gain = 375.352 ; free physical = 7954 ; free virtual = 19404 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1464.395 ; gain = 0.000 ; free physical = 7952 ; free virtual = 19403 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:10:23 2017... diff --git a/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb b/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..5b79ffa85e4e799165f95b8d068bcf3b32ea249d GIT binary patch literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z(7@^bl($FE%I2*Q0}$*LW@zYiYZU>pdnG~ST}g(9-L6ZeKn7(5&% nS#dapy16@t1o@n`11Xtn4h1YkGM literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt b/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt new file mode 100644 index 0000000..4bae7d6 --- /dev/null +++ b/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt @@ -0,0 +1,171 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 +| Date : Wed Sep 27 19:10:23 2017 +| Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS +| Command : report_utilization -file hw_wrapper_utilization_synth.rpt -pb hw_wrapper_utilization_synth.pb +| Design : hw_wrapper +| Device : 7z010clg400-1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs* | 0 | 0 | 17600 | 0.00 | +| LUT as Logic | 0 | 0 | 17600 | 0.00 | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| Slice Registers | 4 | 0 | 35200 | 0.01 | +| Register as Flip Flop | 4 | 0 | 35200 | 0.01 | +| Register as Latch | 0 | 0 | 35200 | 0.00 | +| F7 Muxes | 0 | 0 | 8800 | 0.00 | +| F8 Muxes | 0 | 0 | 4400 | 0.00 | ++-------------------------+------+-------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 4 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 60 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | +| RAMB18 | 0 | 0 | 120 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 80 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 9 | 0 | 100 | 9.00 | +| Bonded IPADs | 0 | 0 | 2 | 0.00 | +| Bonded IOPADs | 0 | 0 | 130 | 0.00 | +| PHY_CONTROL | 0 | 0 | 2 | 0.00 | +| PHASER_REF | 0 | 0 | 2 | 0.00 | +| OUT_FIFO | 0 | 0 | 8 | 0.00 | +| IN_FIFO | 0 | 0 | 8 | 0.00 | +| IDELAYCTRL | 0 | 0 | 2 | 0.00 | +| IBUFDS | 0 | 0 | 96 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | +| ILOGIC | 0 | 0 | 100 | 0.00 | +| OLOGIC | 0 | 0 | 100 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 8 | 0.00 | +| MMCME2_ADV | 0 | 0 | 2 | 0.00 | +| PLLE2_ADV | 0 | 0 | 2 | 0.00 | +| BUFMRCE | 0 | 0 | 4 | 0.00 | +| BUFHCE | 0 | 0 | 48 | 0.00 | +| BUFR | 0 | 0 | 8 | 0.00 | ++------------+------+-------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| IBUF | 5 | IO | +| OBUF | 4 | IO | +| FDRE | 4 | Flop & Latch | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/project_1/project_1.runs/synth_1/project.wdf b/project_1/project_1.runs/synth_1/project.wdf new file mode 100644 index 0000000..a8d65d7 --- /dev/null +++ b/project_1/project_1.runs/synth_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6433303030313866656564623464366438353762376137623130616561663361:506172656e742050412070726f6a656374204944:00 +eof:563981654 diff --git a/project_1/project_1.runs/synth_1/rundef.js b/project_1/project_1.runs/synth_1/rundef.js new file mode 100644 index 0000000..4bf8de9 --- /dev/null +++ b/project_1/project_1.runs/synth_1/rundef.js @@ -0,0 +1,40 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;"; +} else { + PathVal = "/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.2/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/project_1/project_1.runs/synth_1/runme.bat b/project_1/project_1.runs/synth_1/runme.bat new file mode 100644 index 0000000..220ba68 --- /dev/null +++ b/project_1/project_1.runs/synth_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/project_1/project_1.runs/synth_1/runme.log b/project_1/project_1.runs/synth_1/runme.log new file mode 100644 index 0000000..49f57b0 --- /dev/null +++ b/project_1/project_1.runs/synth_1/runme.log @@ -0,0 +1,257 @@ + +*** Running vivado + with args -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl + + +****** Vivado v2017.2 (64-bit) + **** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 + **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source hw_wrapper.tcl -notrace +Command: synth_design -top hw_wrapper -part xc7z010clg400-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 22703 +WARNING: [Synth 8-2611] redeclaration of ansi port q is not allowed [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:8] +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1147.867 ; gain = 46.246 ; free physical = 8252 ; free virtual = 19653 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'hw_wrapper' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] +INFO: [Synth 8-638] synthesizing module 'dff4' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] +INFO: [Synth 8-256] done synthesizing module 'dff4' (1#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] +INFO: [Synth 8-256] done synthesizing module 'hw_wrapper' (2#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8269 ; free virtual = 19671 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8268 ; free virtual = 19670 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/hw_wrapper_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/hw_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1426.375 ; gain = 0.000 ; free physical = 7986 ; free virtual = 19430 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7z010clg400-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8073 ; free virtual = 19516 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module dff4 +Detailed RTL Component Info : ++---Registers : + 4 Bit Registers := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 80 (col length:40) +BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |FDRE | 4| +|3 |IBUF | 5| +|4 |OBUF | 4| ++------+-----+------+ + +Report Instance Areas: ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 14| +|2 | device |dff4 | 4| ++------+---------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1459.391 ; gain = 102.520 ; free physical = 7993 ; free virtual = 19443 +Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.398 ; gain = 357.770 ; free physical = 7993 ; free virtual = 19443 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +15 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 1464.391 ; gain = 375.352 ; free physical = 7954 ; free virtual = 19404 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1464.395 ; gain = 0.000 ; free physical = 7952 ; free virtual = 19403 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:10:23 2017... diff --git a/project_1/project_1.runs/synth_1/runme.sh b/project_1/project_1.runs/synth_1/runme.sh new file mode 100755 index 0000000..c118f2e --- /dev/null +++ b/project_1/project_1.runs/synth_1/runme.sh @@ -0,0 +1,39 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin +else + PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl diff --git a/project_1/project_1.runs/synth_1/vivado.jou b/project_1/project_1.runs/synth_1/vivado.jou new file mode 100644 index 0000000..b2735bb --- /dev/null +++ b/project_1/project_1.runs/synth_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:09:56 2017 +# Process ID: 22570 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1 +# Command line: vivado -log hw_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.vds +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/vivado.jou +#----------------------------------------------------------- +source hw_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/synth_1/vivado.pb b/project_1/project_1.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..f1c3d0f915daee3702227defd8c54fdd3246e11e GIT binary patch literal 27742 zcmeGlYi}J_k#%B+9ztsb4XIn&(^hU0C--`H@7|XoZT*N7)3|Y+SL>=+o4v>Ort96? z-MxN9R~3(MeBuLqyq_01K?VCmAF{N zhOQCQA$Y~I@d{Dhj!p21QRf5(635|Mi)_FX>=OG>MQn@>X}|)@ls|;-yG&^XN}VJL z@)PKOkIoV&H2KWZkW?>KlzJ4?&k0C8Z@Ig}Y;fdIMH2TPvnF6RxHZUI;Ew`2W0Mxq z4AoX$-7>MY0(^3G+_s=mM;)v?*aXy7!>~3R<^@cd!wym2)bC;!YvZb!K z4N~417E%Cz5DAUpf#i*b@T{;j)F@HhfWD!vAx_`#1T-+}3?CgEWb!4yA)qe_fy^yl zxromh>J7`IeF9(6*9ku9oSMK|yMxbSQJkRvD)_^g7;4)gt?0!vo+s;;y^T-KPr)ld z>Z@>Dl9YP6QLDo{tEz6o6{S{|l^UF{*o5Hr+P0%>ssT3|a#i*(Z|Jt$VOOPQty)1z zB7v}@TSqxzzY@@KMiNNsEvi53R;y!hsU8N=sZ|<&8$F6psI5t;cdxOIqX9|DY^}jY z36P8mvYh^`wJE;NDtL%c9PYs~amSqxd*iu>vpB#0b9Cc37){?6=zqPU{ z16@lot5gNkF5gWR+>sAJOSDlxy+=OeNiC2qr!@cD&5c|c?_o!(L7LS~-B|+>C<*G0gc+q`H)2kY<>nMZTdugN~y`!a9-ZHKM(W zpC_)NJMIKdhl92dH*B3aoLA7?=@R?%e)viJQh3Wh@_!>wpWgL{yO{lT=fKC{hyMTF zbGB{S4(?u8Z4<0796_hzcHno0IGU}4O9Fi<|LgAh!yfi&M0f;+xBMgj_s%Gm7|Pvs zaNP9emaT6PbJ-*=(8XyHy zL-d;!h+&R+zU|VWRI1j+D7dH5BWS_4G~zfE;EOZUc-k@@*9N!IWue$1Sy5sTb$~Z zuzGXsJv{8|xVquUm(khXIrd90OSa*`U>HWrmuh~Xkk0zO#%_N*Ct##!-w#S z&-XIE0?{Xl1JDfv-yj&GZwsO|CUL&=@8BC97jJq`bf`s!m_v`DRmOm(s=074{G@|b zK#NK+e+7cx2o_9yPOiB=+_M@=OT? zz`k0`O*Hzvg}wI(7Y2v7IVduRLR#e%t4T4CSiBk-nEwtSd(`QTLLm%~#-DJS?&z`S zyqJ?6_quoC#n8EW_aQVWmPIiQEQCw-W}}w=lGIcxDKD`UOzU{9tkTAb2LU ziGv{NX*9-iQP_7^pr3u;?q^M*OEoryv{lW~fszoOC;UE=I5D5CC(hLeEKRR;60H9XmjNMe(iv zm!4MtiGaQlwmQvursO>2VwyQfir#C@d@gRhO6Va!|4JE5yD3N$Kv0^SfW00E^b9(E zy3~_gjGabK@=~lx&|Tb(p$Dg=4FkA5-003hGDI~YKZ5g;s7cUw5$k;eUA%5yjJGyK ze!S14twQ5!7{M}Kdd-DIfv!1u>HFd-dM-{;1wA%HTouwQe0U`!iaOU|WYP_I;Fg?? zgBwNfqXNH3R%zk{h5&K_B)y9Jyn%t>N5NCNOV1);VH}y=&q1$veqV|+sE(ct(suW* z5EakXm*Q-lM5RzMFX+TpZEcOsA_xWgUeeN})WnF2OAe&m>1>o7RcOXJ_BeX)Jf9Om zXA0!K+_xJ0GyD}m$!V;YnrJ9FKHzgt2)0Q#=O$?6H8q6wr4<3z);-Y~x3#m?uVg8=0fLN)yJ{qoceq zPd$BG56}>JQdaw8qj8H6 z8N@9`M|*caqWcbthn|W1aVOCGxrv**1QYWdm;(gk>FKf`j2;$mT8hWug*Y2wzBFg! ztf9i{ZMu94PZ5~sNN}IAajnb|t}AiIegvHiGQoY`#yOch%i(R-;0bUT)@XZ+8S%71 zRI}60fmOT;7LUOWqZWOZCZ&^I$q}pH_Bf5-70?$#r!i_vv)x5H#eWwWr2h|hvA>kL zzm%Dn{iVz~_9r=ohx>Jl#8lbpmGi`ec}jF$UXYH-0a<)MRXhfN?=kDY5YV?nv%V8^ z`({4gk>&_nKP%85C5;OVKg$uD%o)?G5Bkb&HJf< zz8ST78Jz7Kytw=xcu7k}ftfDD0?hdBPc4A<&1uw}#Z^gPj4`&aIro_6%*S(mRrEO5 zoC~m8+@LAc>5k)C>lg}R+B#GOA${G+*PXxhbUQy2(6_>FC!4i>?YYOaCs~^yv)_T# zZeMlsRVSy8S+d)qeC_MaJ*GEV{@QgL7866K6VytEm5X$VR*tVye4sHI??z9d2mP4< zQ--R&I%RE<{1wtkYqHazcis?s5*jRu_OZc`N+Wm|>!6d+_7}H1fyS91)MAb>f0{sn zv#XA}O0t&I2D3?~SPKR~#?Ucu?gIbuPtqlE2Pl5APlif&8rFQcS&PnhfZ~6i>A8Qh zdtAISoKsh4&wG_OrHlpeJK)ll*#hG3?9Adh4~nt}C>0K64v_JnRQCWy;ZQDxQ1*a} z&(Pg)H#^JcC#5l6)040D&&N$i4LuPt zs|n1D|5nAIN+>V>OSh&7N_`SknuNJT+idp+D9qVgL6mM^0)-F2EoJp(DaZk-K6b?W z1g-m@QY&+5P;Npg-ROsIB00UPsTR~tg^IC&!Supa>=39B+k%py@HH7K2S>h^R!Nh7 zO^$8iu+JruJ5X`C!)oILg1Wf@vo47Z8+2gU?gg$GQ0E!LBy+e@W;iz+V~3y&Gv!VS zz8RO7hAL2rHNF`|@wH%K5ac|NNXyhXXDG3Lv3Yhf?OP%LDqEfmPkE*3iK$T^7D^98 z?OD31542`vQ=en7H%E&2WbG!gJ9ZvcN=j_2o{ymsz$}zEr=!uL*DD>v*yhMZ_xh1B zI?9yF+i@tlB7cc~Ayx?c9|xjv?i1+#DB?Sp$(5Q(!oniDS$8F+rqDV2DepdvjzIm~ za;2KKF$OD?TTRppd*FIig>6d zOTpenu$PBMH-TtdP}e*K@z>BRyQ?bhl~jlAf`X&6-7%eU&V4CTQM%mH+R0BY9f4h% zK;vT;`FnH%9j`UBOv{~nMfkId?21s6n68rSoj*sfH#2)+)_RexI9Orh)c!YpYapmd z4;tgM7VLabkNo2T`oOs@o|u8wQR((g + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vivado Synthesis Defaults + + + + + + + + + + + Default settings for Implementation. + + + + + + + + + + + + + + + + + diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..4ab86c0 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,33 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 18:56:37 2017 +# Process ID: 7822 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0 +# Command line: vivado +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/vivado.log +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/vivado.jou +#----------------------------------------------------------- +start_gui +create_project project_1 /home/jspear/Development/School/Comp_Arc/Lab0/project_1 -part xc7z010clg400-1 +add_files -fileset constrs_1 -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc +add_files -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v +update_compile_order -fileset sources_1 +launch_runs synth_1 -jobs 2 +wait_on_run synth_1 +launch_runs impl_1 -jobs 2 +wait_on_run impl_1 +open_hw +launch_runs impl_1 -to_step write_bitstream -jobs 2 +wait_on_run impl_1 +connect_hw_server +open_hw_target +set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +current_hw_device [get_hw_devices xc7z010_1] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0] +set_property PROBES.FILE {} [get_hw_devices xc7z010_1] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] +set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +program_hw_devices [get_hw_devices xc7z010_1] +refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..40b2f9d --- /dev/null +++ b/vivado.log @@ -0,0 +1,62 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 18:56:37 2017 +# Process ID: 7822 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0 +# Command line: vivado +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/vivado.log +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/vivado.jou +#----------------------------------------------------------- +start_gui +create_project project_1 /home/jspear/Development/School/Comp_Arc/Lab0/project_1 -part xc7z010clg400-1 +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2017.2/data/ip'. +add_files -fileset constrs_1 -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc +add_files -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v +update_compile_order -fileset sources_1 +launch_runs synth_1 -jobs 2 +[Wed Sep 27 19:09:55 2017] Launched synth_1... +Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/runme.log +launch_runs impl_1 -jobs 2 +[Wed Sep 27 19:10:58 2017] Launched impl_1... +Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/runme.log +open_hw +launch_runs impl_1 -to_step write_bitstream -jobs 2 +[Wed Sep 27 19:11:40 2017] Launched impl_1... +Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/runme.log +connect_hw_server +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2017.2 + **** Build date : Jun 15 2017-18:45:18 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +open_hw_target +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210279651540A +set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +current_hw_device [get_hw_devices xc7z010_1] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0] +INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it. +WARNING: [Labtools 27-3361] The debug hub core was not detected. +Resolution: +1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. +2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. +For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). +set_property PROBES.FILE {} [get_hw_devices xc7z010_1] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] +set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +program_hw_devices [get_hw_devices xc7z010_1] +INFO: [Labtools 27-3164] End of startup status: HIGH +refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] +INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it. +WARNING: [Labtools 27-3361] The debug hub core was not detected. +Resolution: +1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. +2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. +For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). diff --git a/vivado_pid7822.str b/vivado_pid7822.str new file mode 100644 index 0000000..7ff20e1 --- /dev/null +++ b/vivado_pid7822.str @@ -0,0 +1,321 @@ +/* + +Vivado v2017.2 (64-bit) +SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 + +Process ID: 7822 +License: Customer + +Current time: 9/27/17 6:56:54 PM EDT +Time zone: Eastern Standard Time (America/New_York) + +OS: NAME="Ubuntu" +OS Version: 4.4.25-040425-generic +OS Architecture: amd64 +Available processors (cores): 4 + +Display: :0 +Screen size: 1920x1080 +Screen resolution (DPI): 96 +Available screens: 1 +Available disk space: 10 GB +Default font: family=Dialog,name=Dialog,style=plain,size=12 + +Java version: 1.8.0_112 64-bit +Java home: /opt/Xilinx/Vivado/2017.2/tps/lnx64/jre +JVM executable location: /opt/Xilinx/Vivado/2017.2/tps/lnx64/jre/bin/java +Java library paths: /opt/Xilinx/Vivado/2017.2/tps/lnx64/libxslt/lib:/opt/Xilinx/Vivado/2017.2/lib/lnx64.o:/opt/Xilinx/Vivado/2017.2/tps/lnx64/jre/lib/amd64:/opt/Xilinx/Vivado/2017.2/tps/lnx64/jre/lib/amd64/server:/opt/Xilinx/Vivado/2017.2/lnx64/tools/dot/lib:/usr/java/packages/lib/amd64:/usr/lib64:/lib64:/lib:/usr/lib + +User name: jspear +User home directory: /home/jspear +User working directory: /home/jspear/Development/School/Comp_Arc/Lab0 +User country: US +User language: en +User locale: en_US + +RDI_BASEROOT: /opt/Xilinx/Vivado +HDI_APPROOT: /opt/Xilinx/Vivado/2017.2 +RDI_DATADIR: /opt/Xilinx/Vivado/2017.2/data +RDI_BINDIR: /opt/Xilinx/Vivado/2017.2/bin + +User preferences location: /home/jspear/.Xilinx/Vivado +Vivado preferences directory: /home/jspear/.Xilinx/Vivado/2017.2/vivado.xml +Vivado layouts directory: /home/jspear/.Xilinx/Vivado/2017.2/layouts +PlanAhead jar location: /opt/Xilinx/Vivado/2017.2/lib/classes/planAhead.jar +Vivado Look & Feel: [Synthetica - the extended Synth Look and Feel. - ui.g.i.H] +Engine tmp dir: ./.Xil/Vivado-7822-Kreyshawn + +GUI allocated memory: 169 MB +GUI max memory: 3,052 MB +Engine allocated memory: 4,880 MB + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +// [GUI Memory]: 37 MB (+36419kb) [00:00:06] +// [Engine Memory]: 4,880 MB (+4965227kb) [00:00:06] +// HMemoryUtils.trashcanNow. Engine heap size: 4,890 MB. GUI used memory: 26 MB. Current time: 9/27/17 6:56:56 PM EDT +selectButton(PAResourceEtoH.GettingStartedView_CREATE_NEW_PROJECT, "Create Project"); // x:h (JPanel:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_NEW_PROJECT +// [GUI Memory]: 47 MB (+8397kb) [00:01:29] +// g:g (cg:JFrame): New Project: addNotify +// HMemoryUtils.trashcanNow. Engine heap size: 4,961 MB. GUI used memory: 30 MB. Current time: 9/27/17 6:58:16 PM EDT +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, g:g) +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, g:g) +// [GUI Memory]: 54 MB (+4971kb) [00:01:42] +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, g:g) +selectButton(PAResourceOtoP.PartChooser_BOARDS, "Boards"); // l:u (JPanel:JComponent, g:g): TRUE +selectButton(PAResourceOtoP.PartChooser_PARTS, "Parts"); // l:u (C:JPanel, g:g): TRUE +// Elapsed time: 28 seconds +setText("PAResourceOtoP.PartChooser_PARTS_SEARCH_FIELD", "xc7z010"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, g:g) +selectTable(PAResourceOtoP.PartChooser_PARTS, "xc7z010clg400-1 ; 400 ; 100 ; 17600 ; 35200 ; 60 ; 0 ; 80 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 ; ; ; ; ; 0.95 ; 0.95 ; 1.05", 5, "xc7z010clg400-1", 0); // ah:r (JViewport:JComponent, g:g) +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, g:g) +// bs:g (g:g): Create Project : addNotify +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_ADD +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_NEW +// Tcl Message: create_project project_1 /home/jspear/Development/School/Comp_Arc/Lab0/project_1 -part xc7z010clg400-1 +// TclEventType: PROJECT_NEW +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2017.2/data/ip'. +// [GUI Memory]: 60 MB (+3042kb) [00:02:39] +// TclEventType: FILE_SET_OPTIONS_CHANGE +// HMemoryUtils.trashcanNow. Engine heap size: 4,994 MB. GUI used memory: 34 MB. Current time: 9/27/17 6:59:26 PM EDT +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Elapsed time: 16 seconds +selectButton("FINISH", "Finish"); // JButton:AbstractButton (ButtonPanel:JPanel, g:g) +// 'g' command handler elapsed time: 71 seconds +dismissDialog("Create Project"); // bs:g (g:g) +dismissDialog("New Project"); // g:g (cg:JFrame) +// [GUI Memory]: 67 MB (+3955kb) [00:02:41] +// TclEventType: DG_ANALYSIS_MSG_RESET +// TclEventType: DG_GRAPH_GENERATED +selectButton(PAResourceCommand.PACommandNames_ADD_SOURCES, "Sources_add_sources"); // B:JideButton (f:CommandBar, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES +// c:g (cg:JFrame): Add Sources: addNotify +selectRadioButton(PAResourceAtoD.AddSrcWizard_SPECIFY_OR_CREATE_CONSTRAINT_FILES, "Add or create constraints"); // a:JRadioButton (JPanel:JComponent, c:g) +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +// Elapsed time: 66 seconds +selectComboBox(PAResourceAtoD.ConstraintsChooserPanel_ADD_FILES_BELOW_TO_THIS_CONSTRAINT_SET, "constrs_1", 0); // y:e (JPanel:JComponent, c:g) +selectButton(PAResourceAtoD.ConstraintsChooserPanel_ADD_EXISTING_OR_CREATE_NEW_CONSTRAINTS, "Add"); // B:JideButton (f:CommandBar, c:g) +selectMenuItem(PAResourceAtoD.ConstraintsChooserPanel_ADD_FILES, "Add Files..."); // ac:JMenuItem (ai:JPopupMenu, c:g) +setFileChooser("/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc"); +// Elapsed time: 10 seconds +selectButton("FINISH", "Finish"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +// 'h' command handler elapsed time: 85 seconds +// TclEventType: FILE_SET_CHANGE +dismissDialog("Add Sources"); // c:g (cg:JFrame) +// Tcl Message: add_files -fileset constrs_1 -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 1); // B:i (D:JPanel, cg:JFrame) +// PAPropertyPanels.initPanels (ZYBO_Master.xdc) elapsed time: 0.2s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, ZYBO_Master.xdc]", 3, false); // B:i (D:JPanel, cg:JFrame) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, ZYBO_Master.xdc]", 3, false); // B:i (D:JPanel, cg:JFrame) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, ZYBO_Master.xdc]", 3, false, false, false, false, false, true); // B:i (D:JPanel, cg:JFrame) - Double Click +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, ZYBO_Master.xdc]", 3, false); // B:i (D:JPanel, cg:JFrame) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, ZYBO_Master.xdc]", 3, false, false, false, false, false, true); // B:i (D:JPanel, cg:JFrame) - Double Click +selectCodeEditor("ZYBO_Master.xdc", 99, 182); // bI:J (JPanel:JComponent, cg:JFrame) +setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "led[0]"); // l:X (ax:e, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor("ZYBO_Master.xdc", 161, 335); // bI:J (JPanel:JComponent, cg:JFrame) +// [GUI Memory]: 75 MB (+5468kb) [00:04:34] +// TclEventType: FILE_SET_CHANGE +// [GUI Memory]: 80 MB (+1460kb) [00:06:14] +// Elapsed time: 466 seconds +selectButton(PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR, "Flow Navigator"); // z:k (L:JPanel, cg:JFrame) +// [GUI Memory]: 84 MB (+91kb) [00:12:33] +// Elapsed time: 18 seconds +selectButton(PAResourceCommand.PACommandNames_ADD_SOURCES, "Sources_add_sources"); // B:JideButton (f:CommandBar, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES +// c:g (cg:JFrame): Add Sources: addNotify +selectRadioButton(PAResourceAtoD.AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN, "Add or create design sources"); // a:JRadioButton (JPanel:JComponent, c:g) +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +selectButton(PAResourceQtoS.SrcChooserPanel_ADD_OR_CREATE_SOURCE_FILE, "Add"); // B:JideButton (f:CommandBar, c:g) +selectMenuItem(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files..."); // ac:JMenuItem (ai:JPopupMenu, c:g) +// HMemoryUtils.trashcanNow. Engine heap size: 5,034 MB. GUI used memory: 37 MB. Current time: 9/27/17 7:09:26 PM EDT +setFileChooser("/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v"); +selectButton("FINISH", "Finish"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +// 'h' command handler elapsed time: 16 seconds +dismissDialog("Add Sources"); // c:g (cg:JFrame) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: add_files -norecurse /home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v +// TclEventType: DG_ANALYSIS_MSG_RESET +// TclEventType: DG_GRAPH_GENERATED +// TclEventType: FILE_SET_CHANGE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: update_compile_order -fileset sources_1 +// TclEventType: DG_ANALYSIS_MSG_RESET +// TclEventType: DG_GRAPH_GENERATED +// HMemoryUtils.trashcanNow. Engine heap size: 5,068 MB. GUI used memory: 37 MB. Current time: 9/27/17 7:09:46 PM EDT +// Elapsed time: 17 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u:M (JViewport:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS +// f:p (cg:JFrame): Launch Runs: addNotify +selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, f:p) +// bs:g (cg:JFrame): Starting Design Runs : addNotify +dismissDialog("Launch Runs"); // f:p (cg:JFrame) +// TclEventType: RUN_LAUNCH +// TclEventType: RUN_MODIFY +// Tcl Message: launch_runs synth_1 -jobs 2 +// Tcl Message: [Wed Sep 27 19:09:55 2017] Launched synth_1... Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/runme.log +dismissDialog("Starting Design Runs"); // bs:g (cg:JFrame) +// TclEventType: RUN_COMPLETED +// WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking too long to process. Increasing delay to 2000 ms. +// ah:x (cg:JFrame): Synthesis Completed: addNotify +// Elapsed time: 60 seconds +selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, ah:x) +// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION +// f:p (cg:JFrame): Launch Runs: addNotify +selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, f:p) +// bs:g (cg:JFrame): Starting Design Runs : addNotify +// TclEventType: RUN_LAUNCH +dismissDialog("Launch Runs"); // f:p (cg:JFrame) +// TclEventType: RUN_MODIFY +// Tcl Message: launch_runs impl_1 -jobs 2 +// Tcl Message: [Wed Sep 27 19:10:58 2017] Launched impl_1... Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/runme.log +dismissDialog("Starting Design Runs"); // bs:g (cg:JFrame) +// TclEventType: RUN_STEP_COMPLETED +// Elapsed time: 19 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 21, true); // u:M (JViewport:JComponent, cg:JFrame) - Node +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl Command: 'rdi::info_commands get_hw_probes' +// Tcl Command: 'load_features labtools' +// TclEventType: LOAD_FEATURE +// TclEventType: HW_SESSION_OPEN +// [GUI Memory]: 89 MB (+760kb) [00:14:32] +// Tcl Message: open_hw +selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 315, 83); // dv:J (JPanel:JComponent, cg:JFrame) +// TclEventType: RUN_COMPLETED +// ah:x (cg:JFrame): Implementation Completed: addNotify +// HMemoryUtils.trashcanNow. Engine heap size: 5,088 MB. GUI used memory: 43 MB. Current time: 9/27/17 7:11:36 PM EDT +// Elapsed time: 15 seconds +selectRadioButton(PAResourceCommand.PACommandNames_RUN_BITGEN, "Generate Bitstream"); // a:JRadioButton (JPanel:JComponent, ah:x) +selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, ah:x) +// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN +// f:p (cg:JFrame): Launch Runs: addNotify +selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, f:p) +dismissDialog("Launch Runs"); // f:p (cg:JFrame) +// TclEventType: RUN_LAUNCH +// TclEventType: RUN_MODIFY +// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 2 +// Tcl Message: [Wed Sep 27 19:11:40 2017] Launched impl_1... Run output will be captured here: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/runme.log +// Elapsed time: 17 seconds +selectTab((HResource) null, (HResource) null, "Messages", 1); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) +selectTab((HResource) null, (HResource) null, "Serial I/O Links", 2); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) +selectTab((HResource) null, (HResource) null, "Serial I/O Scans", 3); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) +// TclEventType: RUN_COMPLETED +// ah:x (cg:JFrame): Bitstream Generation Completed: addNotify +selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a:JButton (JPanel:JComponent, ah:x) +dismissDialog("Bitstream Generation Completed"); // ah:x (cg:JFrame) +selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h:k (JPanel:JComponent, cg:JFrame) +selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ac:JMenuItem (ai:JPopupMenu, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET +// TclEventType: HW_SERVER_UPDATE +// Tcl Message: connect_hw_server +// Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-2222] Launching hw_server... INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2017.2 **** Build date : Jun 15 2017-18:45:18 ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// TclEventType: HW_TARGET_CHANGE +// TclEventType: HW_TARGET_CLOSE +// bs:g (cg:JFrame): Auto Connect : addNotify +// Tcl Message: open_hw_target +// Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210279651540A +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_ADD +// TclEventType: HW_TARGET_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +// Tcl Message: current_hw_device [get_hw_devices xc7z010_1] +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0] +// Tcl Message: INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it. +// [Engine Memory]: 5,217 MB (+97807kb) [00:15:26] +dismissDialog("Auto Connect"); // bs:g (cg:JFrame) +// HMemoryUtils.trashcanNow. Engine heap size: 5,217 MB. GUI used memory: 43 MB. Current time: 9/27/17 7:12:16 PM EDT +// Elapsed time: 19 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 23, false); // u:M (JViewport:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +selectMenuItem((HResource) null, "xc7z010_1"); // ac:JMenuItem (ai:JPopupMenu, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl Command: 'rdi::info_commands get_hw_probes' +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +// bz:aD (cg:JFrame): Program Device: addNotify +selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program"); // a:JButton (JPanel:JComponent, bz:aD) +// bs:g (cg:JFrame): Program Device : addNotify +dismissDialog("Program Device"); // bz:aD (cg:JFrame) +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROBES.FILE {} [get_hw_devices xc7z010_1] +// Tcl Message: set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: set_property PROGRAM.FILE {/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.bit} [get_hw_devices xc7z010_1] +// Tcl Message: program_hw_devices [get_hw_devices xc7z010_1] +// TclEventType: HW_DEVICE_CHANGE +// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_SYSMON_CHANGE +// TclEventType: HW_DEVICE_UPDATE +// TclEventType: HW_DEVICE_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: HW_DEVICE_PROBES_CHANGE +// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] +// Tcl Message: INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it. +// 'I' command handler elapsed time: 4 seconds +dismissDialog("Program Device"); // bs:g (cg:JFrame) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 23, false); // u:M (JViewport:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +selectMenuItem((HResource) null, "xc7z010_1"); // ac:JMenuItem (ai:JPopupMenu, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER +// Tcl Command: 'rdi::info_commands get_hw_probes' +// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA +// bz:aD (cg:JFrame): Program Device: addNotify +selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a:JButton (JPanel:JComponent, bz:aD) +// 'I' command handler elapsed time: 7 seconds +dismissDialog("Program Device"); // bz:aD (cg:JFrame) +selectTab((HResource) null, (HResource) null, "Messages", 1); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) +selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) +// [GUI Memory]: 95 MB (+825kb) [00:16:17] +selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 108, 76); // dv:J (JPanel:JComponent, cg:JFrame) +selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 108, 76, false, false, false, true, false); // dv:J (JPanel:JComponent, cg:JFrame) - Popup Trigger +// Elapsed time: 51 seconds +selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) +dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, Add Sources]", 2, false); // u:M (JViewport:JComponent, cg:JFrame) +expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator]", 1); // u:M (JViewport:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES +// c:g (cg:JFrame): Add Sources: addNotify +// Elapsed time: 10 seconds +selectButton("CANCEL", "Cancel"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +// 'h' command handler elapsed time: 10 seconds +dismissDialog("Add Sources"); // c:g (cg:JFrame) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, Add Sources]", 2, false); // u:M (JViewport:JComponent, cg:JFrame) +// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES +// c:g (cg:JFrame): Add Sources: addNotify +selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +selectButton(PAResourceQtoS.SrcChooserPanel_ADD_OR_CREATE_SOURCE_FILE, "Add"); // B:JideButton (f:CommandBar, c:g) +selectMenuItem(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files..."); // ac:JMenuItem (ai:JPopupMenu, c:g) +dismissFileChooser(); +selectButton("CANCEL", "Cancel"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) +// 'h' command handler elapsed time: 13 seconds +dismissDialog("Add Sources"); // c:g (cg:JFrame) From ccacfc3e2bc1c3e2a854ae14f7af2e46f5b726f7 Mon Sep 17 00:00:00 2001 From: Jonah Spear Date: Wed, 27 Sep 2017 20:00:29 -0400 Subject: [PATCH 07/13] vivado project code --- .Xil/Vivado-8659-Kreyshawn/elab.rtd | Bin 0 -> 192255 bytes .../realtime/dupFiles.rpt | 1 + .../realtime/lab0_wrapper.tcl | 94 ++++ ZYBO_Master.xdc | 8 +- adder.v | 10 +- .../project_1.cache/wt/gui_resources.wdf | 44 +- .../wt/java_command_handlers.wdf | 23 +- project_1/project_1.cache/wt/project.wpc | 4 +- project_1/project_1.cache/wt/synthesis.wdf | 8 +- project_1/project_1.cache/wt/webtalk_pa.xml | 67 ++- project_1/project_1.hw/hw_1/hw.xml | 2 +- .../project_1.runs/.jobs/vrs_config_10.xml | 5 + .../project_1.runs/.jobs/vrs_config_11.xml | 8 + .../project_1.runs/.jobs/vrs_config_12.xml | 5 + .../project_1.runs/.jobs/vrs_config_13.xml | 5 + .../project_1.runs/.jobs/vrs_config_14.xml | 5 + .../project_1.runs/.jobs/vrs_config_4.xml | 5 + .../project_1.runs/.jobs/vrs_config_5.xml | 5 + .../project_1.runs/.jobs/vrs_config_6.xml | 5 + .../project_1.runs/.jobs/vrs_config_7.xml | 5 + .../project_1.runs/.jobs/vrs_config_8.xml | 5 + .../project_1.runs/.jobs/vrs_config_9.xml | 5 + .../impl_1/.init_design.begin.rst | 2 +- .../impl_1/.opt_design.begin.rst | 2 +- .../impl_1/.place_design.begin.rst | 2 +- .../impl_1/.route_design.begin.rst | 2 +- .../project_1.runs/impl_1/.vivado.begin.rst | 7 +- .../impl_1/.write_bitstream.begin.rst | 5 - .../impl_1/.write_bitstream.end.rst | 0 project_1/project_1.runs/impl_1/gen_run.xml | 135 +++--- project_1/project_1.runs/impl_1/htr.txt | 2 +- .../project_1.runs/impl_1/hw_wrapper.bit | Bin 2083846 -> 0 bytes .../project_1.runs/impl_1/hw_wrapper.tcl | 65 --- .../project_1.runs/impl_1/hw_wrapper.vdi | 430 ----------------- .../impl_1/hw_wrapper_drc_routed.rpx | Bin 353 -> 0 bytes .../hw_wrapper_methodology_drc_routed.rpt | 55 --- .../hw_wrapper_methodology_drc_routed.rpx | Bin 1041 -> 0 bytes .../project_1.runs/impl_1/hw_wrapper_opt.dcp | Bin 209589 -> 0 bytes .../impl_1/hw_wrapper_placed.dcp | Bin 212379 -> 0 bytes .../impl_1/hw_wrapper_power_routed.rpx | Bin 8189 -> 0 bytes .../impl_1/hw_wrapper_route_status.pb | Bin 43 -> 0 bytes .../impl_1/hw_wrapper_route_status.rpt | 11 - .../impl_1/hw_wrapper_routed.dcp | Bin 215642 -> 0 bytes .../impl_1/hw_wrapper_utilization_placed.pb | Bin 224 -> 0 bytes .../project_1.runs/impl_1/init_design.pb | Bin 1307 -> 1307 bytes .../project_1.runs/impl_1/lab0_wrapper.tcl | 132 ++++++ .../project_1.runs/impl_1/lab0_wrapper.vdi | 371 +++++++++++++++ .../impl_1/lab0_wrapper_12929.backup.vdi | 371 +++++++++++++++ ...lab0_wrapper_clock_utilization_routed.rpt} | 42 +- ...t => lab0_wrapper_control_sets_placed.rpt} | 25 +- ...c_opted.rpt => lab0_wrapper_drc_opted.rpt} | 6 +- ...c_routed.pb => lab0_wrapper_drc_routed.pb} | Bin ...routed.rpt => lab0_wrapper_drc_routed.rpt} | 10 +- .../impl_1/lab0_wrapper_drc_routed.rpx | Bin 0 -> 355 bytes ..._placed.rpt => lab0_wrapper_io_placed.rpt} | 16 +- .../lab0_wrapper_methodology_drc_routed.rpt | 80 ++++ .../lab0_wrapper_methodology_drc_routed.rpx | Bin 0 -> 2192 bytes .../impl_1/lab0_wrapper_opt.dcp | Bin 0 -> 213584 bytes .../impl_1/lab0_wrapper_placed.dcp | Bin 0 -> 218145 bytes ...uted.rpt => lab0_wrapper_power_routed.rpt} | 85 ++-- .../impl_1/lab0_wrapper_power_routed.rpx | Bin 0 -> 14086 bytes ...b => lab0_wrapper_power_summary_routed.pb} | Bin 720 -> 722 bytes .../impl_1/lab0_wrapper_route_status.pb | Bin 0 -> 43 bytes .../impl_1/lab0_wrapper_route_status.rpt | 11 + .../impl_1/lab0_wrapper_routed.dcp | Bin 0 -> 222928 bytes ...=> lab0_wrapper_timing_summary_routed.rpt} | 16 +- ...=> lab0_wrapper_timing_summary_routed.rpx} | Bin 4384 -> 4890 bytes .../impl_1/lab0_wrapper_utilization_placed.pb | Bin 0 -> 224 bytes ...pt => lab0_wrapper_utilization_placed.rpt} | 66 +-- project_1/project_1.runs/impl_1/opt_design.pb | Bin 7820 -> 7822 bytes .../project_1.runs/impl_1/place_design.pb | Bin 12931 -> 12926 bytes project_1/project_1.runs/impl_1/project.wdf | 4 +- .../project_1.runs/impl_1/route_design.pb | Bin 10967 -> 11002 bytes project_1/project_1.runs/impl_1/rundef.js | 4 +- project_1/project_1.runs/impl_1/runme.log | 286 +++++------- project_1/project_1.runs/impl_1/runme.sh | 4 +- .../impl_1/usage_statistics_webtalk.html | 426 ----------------- .../impl_1/usage_statistics_webtalk.xml | 374 --------------- project_1/project_1.runs/impl_1/vivado.jou | 10 +- project_1/project_1.runs/impl_1/vivado.pb | Bin 149 -> 149 bytes .../impl_1/vivado_12929.backup.jou | 12 + .../impl_1/vivado_4122.backup.jou | 12 + .../project_1.runs/impl_1/write_bitstream.pb | Bin 6248 -> 0 bytes ...propImpl.xdc => lab0_wrapper_propImpl.xdc} | 8 + .../project_1.runs/synth_1/.vivado.begin.rst | 2 +- project_1/project_1.runs/synth_1/gen_run.xml | 33 +- project_1/project_1.runs/synth_1/htr.txt | 2 +- .../project_1.runs/synth_1/hw_wrapper.dcp | Bin 7991 -> 0 bytes .../synth_1/hw_wrapper_utilization_synth.pb | Bin 224 -> 0 bytes .../project_1.runs/synth_1/lab0_wrapper.dcp | Bin 0 -> 12148 bytes .../{hw_wrapper.tcl => lab0_wrapper.tcl} | 14 +- .../{hw_wrapper.vds => lab0_wrapper.vds} | 152 +++--- .../synth_1/lab0_wrapper_utilization_synth.pb | Bin 0 -> 224 bytes ...rpt => lab0_wrapper_utilization_synth.rpt} | 30 +- project_1/project_1.runs/synth_1/project.wdf | 4 +- project_1/project_1.runs/synth_1/rundef.js | 2 +- project_1/project_1.runs/synth_1/runme.log | 146 +++--- project_1/project_1.runs/synth_1/runme.sh | 2 +- project_1/project_1.runs/synth_1/vivado.jou | 10 +- project_1/project_1.runs/synth_1/vivado.pb | Bin 27742 -> 34994 bytes project_1/project_1.xpr | 22 +- vivado.jou | 27 +- vivado.log | 125 ++++- vivado_7822.backup.jou | 51 ++ vivado_7822.backup.log | 124 +++++ vivado_pid7822.str | 321 ------------- vivado_pid8659.str | 435 ++++++++++++++++++ 107 files changed, 2611 insertions(+), 2299 deletions(-) create mode 100644 .Xil/Vivado-8659-Kreyshawn/elab.rtd create mode 100644 .Xil/Vivado-8659-Kreyshawn/realtime/dupFiles.rpt create mode 100644 .Xil/Vivado-8659-Kreyshawn/realtime/lab0_wrapper.tcl create mode 100644 project_1/project_1.runs/.jobs/vrs_config_10.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_11.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_12.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_13.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_14.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_4.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_5.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_6.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_7.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_8.xml create mode 100644 project_1/project_1.runs/.jobs/vrs_config_9.xml delete mode 100644 project_1/project_1.runs/impl_1/.write_bitstream.begin.rst delete mode 100644 project_1/project_1.runs/impl_1/.write_bitstream.end.rst delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.bit delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.tcl delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper.vdi delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_route_status.pb delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp delete mode 100644 project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.pb create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper.tcl create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper.vdi create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_12929.backup.vdi rename project_1/project_1.runs/impl_1/{hw_wrapper_clock_utilization_routed.rpt => lab0_wrapper_clock_utilization_routed.rpt} (82%) rename project_1/project_1.runs/impl_1/{hw_wrapper_control_sets_placed.rpt => lab0_wrapper_control_sets_placed.rpt} (81%) rename project_1/project_1.runs/impl_1/{hw_wrapper_drc_opted.rpt => lab0_wrapper_drc_opted.rpt} (90%) rename project_1/project_1.runs/impl_1/{hw_wrapper_drc_routed.pb => lab0_wrapper_drc_routed.pb} (100%) rename project_1/project_1.runs/impl_1/{hw_wrapper_drc_routed.rpt => lab0_wrapper_drc_routed.rpt} (78%) create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpx rename project_1/project_1.runs/impl_1/{hw_wrapper_io_placed.rpt => lab0_wrapper_io_placed.rpt} (98%) create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_opt.dcp create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_placed.dcp rename project_1/project_1.runs/impl_1/{hw_wrapper_power_routed.rpt => lab0_wrapper_power_routed.rpt} (65%) create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpx rename project_1/project_1.runs/impl_1/{hw_wrapper_power_summary_routed.pb => lab0_wrapper_power_summary_routed.pb} (53%) create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_route_status.pb create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_route_status.rpt create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_routed.dcp rename project_1/project_1.runs/impl_1/{hw_wrapper_timing_summary_routed.rpt => lab0_wrapper_timing_summary_routed.rpt} (93%) rename project_1/project_1.runs/impl_1/{hw_wrapper_timing_summary_routed.rpx => lab0_wrapper_timing_summary_routed.rpx} (64%) create mode 100644 project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.pb rename project_1/project_1.runs/impl_1/{hw_wrapper_utilization_placed.rpt => lab0_wrapper_utilization_placed.rpt} (69%) delete mode 100644 project_1/project_1.runs/impl_1/usage_statistics_webtalk.html delete mode 100644 project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml create mode 100644 project_1/project_1.runs/impl_1/vivado_12929.backup.jou create mode 100644 project_1/project_1.runs/impl_1/vivado_4122.backup.jou delete mode 100644 project_1/project_1.runs/impl_1/write_bitstream.pb rename project_1/project_1.runs/synth_1/.Xil/{hw_wrapper_propImpl.xdc => lab0_wrapper_propImpl.xdc} (70%) delete mode 100644 project_1/project_1.runs/synth_1/hw_wrapper.dcp delete mode 100644 project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb create mode 100644 project_1/project_1.runs/synth_1/lab0_wrapper.dcp rename project_1/project_1.runs/synth_1/{hw_wrapper.tcl => lab0_wrapper.tcl} (75%) rename project_1/project_1.runs/synth_1/{hw_wrapper.vds => lab0_wrapper.vds} (65%) create mode 100644 project_1/project_1.runs/synth_1/lab0_wrapper_utilization_synth.pb rename project_1/project_1.runs/synth_1/{hw_wrapper_utilization_synth.rpt => lab0_wrapper_utilization_synth.rpt} (86%) create mode 100644 vivado_7822.backup.jou create mode 100644 vivado_7822.backup.log delete mode 100644 vivado_pid7822.str create mode 100644 vivado_pid8659.str diff --git a/.Xil/Vivado-8659-Kreyshawn/elab.rtd b/.Xil/Vivado-8659-Kreyshawn/elab.rtd new file mode 100644 index 0000000000000000000000000000000000000000..528eda12a1b3ecc4198b6df577216519eeeabd2a GIT binary patch literal 192255 zcmV)0K+eBVO9KQH000080Ir=oOCgk^2mjXs06IVh015yA0CRM5VQ_OUa&%;jy$O6H zNp(Li2!|oS4!1c12C~G!LRymT(Ez)+)!njsM@LV0%hoLHwl!AU7As3al4mrqfD;m8 z2nhy4@IN7m69O?LfPavHxxElr14}Rvz+^ehZ3qw(E&~bt>ib@)yX`UjfBRW$x2vnF z>v;9<_v((F*uXtw;XhM;^_ro?=^@8&4JDNL>7n?UCy$IiIdNv_iOPtg1oa*jY4fZ8 zIotLxcjhaX8vTMhzx|Q;&(h;Q`d8n7ek^vUuU$sS_xrg|x`WF`GvX#c%)+fiQkB6WSjLiu9kxFjSRdZhYylXhI5B~{$4?EVX%-pP1+U?6D`*+@^o-v&Zi=DWlY`ptE z4@1fQ#GjU#u=1K?Tg55EMxTat>pky-x9Flfbsn?vX>?ZA{V#IVtogT-+5@i z&eb~~y%y^pLrG4_OzFCzI*wtxX2DJ8j0sgcFaB@K-lFNE$zumT#!Vh5{yOz`vDJ+) zRC-!{vD5Y2PVL$L#=SpGW5a0evYs(q)ru#IleTUYrf+&JO&O!KG}ExpImWp{DX;9l zYk)p+{6#$VZhnDpKYmRP7ky|W%BYn~n_9^+Z!iY`QVnMHgqEx}D63)eMOD{TS1lG) ztE3AUjJ+Ui@Wf@=0Pu>hm&6iS+4I2=ZA5C9DUa^EyFDj$QgzjGQF-uQ4 z0LGl(>DHPJaTW@GclxWm|8y)X-Ab#{oi`h^e%qaI`ZEGsrho1dgxy8_r4#A+^3|`QlZoMKc}vw?bJ9rfsdpim?n8MZ zwYklw;oAqgOS&okyo9w^KT1ZpHt*(JlNUDgEJj@aC?!5(u#aIf(tu45*MP%Bqe;^+o93y<_RXQEN-5q*j!|x7V-5GlH)tyK8B;G^)8wQ@i^|6+DGq2}z=_wqX z*f^uB--`7Q)M*^Uf-&WmwW5*96m3gT4Z}V4P(F|7?WUB(Yk2SuUcxvG{g~-eMt3~j z%w)V`$=&?l*`QG+mU=1SGdx_dZehBKhw8y~jD7nXF{W%C-V)S(=6p4*d-Ewjd{|ii zAp;#qPyc8e&kD|U_CtKGLDRHiK4TV4Cp&-3Qv)RVto`r5jd~-n6GSp=C8h}M%Gbt& z^VivxvR2-szA0baLpeOvWA+>z`G#F+;SfU8yy?rIr|{C=&SpVx?aG ztIyH+fqXBC)8q>lrUHgxqTakvsTV2>erM+apP)%x9SaYjR`)CIY^~F6wlAx*qA&3c z`qqNq=#GD#M~k69d+8xB2o~~|A-Qc>53{HnIrY2|9~|AI^`J#E)Lbbq-t?|wJPSa* z-cu@JW-YVmO^VY$_>>w!!hOYG z+wYnUfU8w&`Sn`EFF%wasL!fot)watVPW9n+v$7wfNpDN8P&y%E03+#%g>RNHjVw}zQ1z-$1^MsoO_U#F6j zdWy%s!K;hWTa3a7{`{E)IQ{iFlNfH?`&W)7v3B!wf*v&0(Nx{odl0WZhNnA4EuXg4 z{FDtsTz(Z3;*+{cZakIeOa$M~yX9=rrK4L|JIjasq-G=Ycj46t20Xg`G+yPCs*i5V zublL9Y5k2R`j+=!IhvA#Jcd>F_ZqhQe zob^rS(a@b>{B7IFTOSF<2oNbjqflRnDenHu=7PaHs%B&?eM+oJ`rr}&Ob7t&tlXql z(hbeVtd!RNPw2B(yov8b-!Q?DJn+^xmGXL^ zh%Z}j`D^MA?41-KYmKQ|wL5S5ZQY-1`@S<%srv)ZXATn!I^j2(3;xtR=oJ8W;4|U7 z&gF*fgGe>zNDLi#5TkY)ubNLL^6|0V?=V;ckmNTP>)jgFcd6;}Hus1zSKGCVe%tHR z{Y&e2gtR87Ylase_yLz-UUahPNOcw$l!q}-g%c{JU)$8z|9gdI3r0Gx&-L)0ce+97V?Y`0+oXge}dEvI0*G-r8@qFkc{>##Zw>QO89EGU7MTp+d~J; zTtw?7R&V(M)x(+Yvn&Pwk~QIqrH)NA>_=N9*XExaNap>*k$qW+byZ7c5|mT-d{02h z`xQr(8dt=;=i1H1mesB`1=<}v;ZtKhSvgvGdP^4mw)m?xX4=GLRO$i0an|=+ylweP zd#={lZgJ13KRfHq)|)M_Ug^%vdl%Z31+UYtd(}?Mt1kEp-l8a3{R3u#u)G3a7E*U- z`WGF9I{)q;UNN`yhAf24k+Ic!y?M##wJMEjQ9w+(-kgDyva!RkgqF3A=Qk=B>i$;l z-Bbv;S?u`gg_(S%)e=)!`V1pE+UrKMJ^t^!*Sz<0iTOwI-~hX#q1CKc1lV0R8~kCh zuv>3oehmvgv)FEn74jAvwQgBLO`q!!a&f{qM?Ci48o>=gWG37cY^8m-0 zN@phP*XHKCr(VVN09Y9soU;(%b3;@QD!MIHoE<{%w7Z~sij+aDL{!ULe|x5 zv$Fy>%>W4J{Nd~leKW+Aadj$P62$26dhQzvhMYnNB%_vcuAq>H<{G+EVxke~-2@LI zp6Kfm)mKu2!bD8h>YcEcE%_#`-~(oBD2W!M#~qSWYWuciPrnyL#Xz|w!hUvw%L{Ynxu9sS#I7jT`Nl>Wx?I~{RTnvkoXfQ!S-AA+KiBXijDeZb2hVB zuV3zq2-VhaGD4>lQMR(GV>mg}QcgWP#BY)EcsWuYvJqAxwxtJTK2kriChG(4Tg^*; z8=51DC63ii?#sGZP{%UkjyRlx;7-3~;iRrdl;@l{#L?c#w} z40F=Z10AFq=pd)>b{!1_wI!-a8975U^_+2dPv#D>@Oe5g33UoOyx50WFAPrL*p3O& zMmGx+j9Ugj$;=$^DI-&3W~OH{%mM&1Zhpct%QyZbRU3+ddu$Olwkr!vD0I;DhFYzX z5;U=OJHs_vTRCxd3o-L`1Q~ty8%jhW!CN^~Eog>v(`%`3j8|ZUYEHmRW9D+ER`=IF z8=^THNHO&}o)`MF%Na9M0Q5N!nCa@$Vos<$%K_LJbc~y(M<%zs$ihspn zFbjvLE(XU5#}Au2|Lwa)$y`!V%I{%S0Y2cCJ>8rf@kDd)+}6ukhQo`#BtcTo?qBk* zK!`M3-i7+j9{7kkzu{dR^AcXG&y{$U`dss%%;N-(Z;Sl`&GM>?jAq2{jZ3P8pEM+g z75QE4sWqzFX``^AeuZ7@Yv9 z0#Vp5lMZwIC)^0U1*}D^!rHnYf22RFq+-31XGM&rW8_v6e6~<1$d7uV(`;YRFE=U+ zHE8Uxi(;2M+57P}1MOZxIZ1NKRD=NA?JRYec4E~uTceHG-FkEYJ-q61$@J|@g(~)+ zgjT`31?((FzQYnax>=0p4Dqhu+Qr=bE!PA`PRhS&l8wgS!-@vrV!;tFRO$j} zSG|kPIvB8h$<|_-S-@MD;vHBBsa_L9u-!D2h_SoztnsN}5ZFX>smjW}E8Z0M4u)ts z@Y)t@Bv{?Jo=PQ8k14(9v6=>KMhhwhoN6Hm2tM5J^d;Hh_k5BB49vb)6Wm14xL83I zA;^k$0KRm8rdjpdfUa6Y3{w{;5Oi|je^^08Jal>@uc?;iq%PvkpVKn~Wkh8$qRW(bs!C7oeH7-_G8VGWex+5rx zml*YQ&1}7ib*!PXEj}75+cs`vhNBO+IQoLx*qNLVbPRp@pFdBpL5noM&T^?$sa6G_ zk*UmxtxbI{q|vmqMyFd_5In=)snBx4hcnq{layYAl)%c_dnpqkV0F8k5IYOi zEiB?CyWp9H)OYVph=v+NF_cf6j?2t)Ve2>UPA~hK3Fxp*J^OQp@jHvZM_oH8O?a3X zqrrp?+x@}qAul7(DC;lQ-^*tdZv}F;n+ssB2>N>;X1K=t&gF(DB<@c4a@}A32!8`V zu$ilC|8CI``UUBISrDunDOa4ug}r<7x&a2RcPC&rc0u5ciQO7YikNw`)fJ~JC-4^? zAHO{yjqGUFS!}hM?XKFnyda3_rpxRjwwM+S(uKe#tfML%T*XUDpY;?){w46RJkEzoTcA`4_)~(2ArT zBZ@Nr2BsZ+oE#`j!H8NDXNk?hwmtT-({kIS3jhYq9qRU{(h*^5WD4x2^$5+EEYU<{^6k!R}C*+FlGu#>BGsm^{qEmi!o7zSCvvK;SWq*Jch{vvH zX{Hd4lY4K~Xk*YvK@gWjI=-a;HfiZ_!o^PJor(S~3K>Bw+FOHnrlS!yNepJV$?@(- z_y3u@Cj^z55ImY@&~oU84lGwJ9KQ7)bgWUMkKq){wFs5l#yPvZ@?RnA+-mz`;=Q}^ z8N`ukwHF(HsUbw6nRy6gf@{gHGB1LklBwl&qp+W4MmEHDr;T&EVdSms-XkvtNIi;T zZGG!`PoPEs!%LNRLl7mW3x&>PrM~E|75D(+xU-H#V5w5hrLCHuV_YERne>R~#K-o2 zX;2{s(KiA~jb!Q>jHtj)2dgk)+L z%W<5nnQ?V{_0(Q~jU>w(aw3DTdlvNt6UZzva00_6>Ja?{1M=1jndGAbTJ@;-8haq~ z_P=V^-133kHNb^9GG|o~wAAi5_|#y$lK1k2Y@06@Qr~2AInlwKK<=A2 zT?aH9!2d#}eX~byT0%Ui`n~00J_e-w0W(R`Y+g4V(44Il^OIOv*EF<|5yjL>w(eMl zX64eBZ5Ef_z}A(J1dl+n$YnB)`1JPOnQH@@d;H^9B$~r6%#a6z;Dy(|63$AJHI-@8 z;VCxG0{2^qDYB5n$_$HG86u7z2*}}!8#D-PNoBFybn3p}f(9s8Yxtzz^{d0&`Q)xD1~^@^0G$N!DXFd1GPxUt4C|Ci=jh%`_XcLcH#tdNfbsxOPPqgR@HR`shOmqOd#Q z5y3vJOOL!h!bqj31owCO(bRuLmjwZej?MJH@DV;T@%WA9>|+D=OPT`(lj-S`2`@gn z{1r9|_UD#TVy|cX0nndt&l@A2mQ@P{BS&Vz?T`I^fJ2UVmRk8LcXs^1YC;Ul754w| zzXL+bI&WIBt<-$j8-u%vfnqKO-0FRIW)vBs=` z00SI&fKERr1UlFXEj#T98rkZynHnRIa z-@STYI`AD=H^&puf=>^Ag+2nojECle5A3T(l)~adwX*rYY<@qni;?Yza2J>&X=HVO zwj#uB=TfaZGaqvIbA0ws>|{)N7dXxMoq{M!RUU z1h=ZPFDOQGvC{TB0?&JOf$qCQKMvi|+J3iE1Fzn7n~O8^&`odULUBb}^`}VdHUCRi zU=ei?=s=(#V3E|P*s6ks65VOtc6~xftwd0it3uJWa$&}qY1dj^FlVjm>aC%rgdkqB zxtovve1NGV<)bT);c}wf(h!z4y|iH;-s!sm^M_4xZ4F9bXq1E$eE7AHmFp{P2>8rG zcAB|H(#VxPqiBiMR<@qPw!I^z@z$OBBS%UTy`eABB#x9OSAX!SVAiB65ln#)A1CLl z_3fYX+Dp7X8cz&LKQx?6Snt3DYbD)eJ@WIIIYoD1;FIb2uE`91Sd@t6ju$0|3tWUf z77Ssj_9-Y6Y02v-;Vc5U`@ z=!WRl1OsuA9IY&v9KRN7ma3iBg21wsYPI}+#!NiboQP5q-+-2ZSuUxJ0Csr-nFuh>rUCGYw&yJDa?8Rd$PB;kP>xs zLi}sGYK~pzc5{5lu?ro+FU@(bebu|5v(fu;mJ_Ez6c-@tk6>s#1M2tMMqnTj~2Voy`Q{5W-rp;QHH56iYb zJIBW5@u|@49nr>|PADrcWNi#KOfbFTsOcF5fCy>V4|v)A@3V3#lx_u|$i|TU`?7S4 z&w|^6BF`<$*$kf>A%I1@Tu!iQal7o;nysHN>^+lL4zGyJ3jCo?S$5G?Hh#(uURS<~ zAIewgmG>xA>`(9VPT{A|M%!q$_n9y#heJACbwZuod^-np5Nf9S$-Yqa=gf9v&M<*4 z_zM>XZsYSxf>wT#Xvq5A7%xQX%@4_X$K^K8ubKaJ=;f z9vKE~=FS!*$y&b8TLLs>YiiCYq*s$(uv835qkV7N*yEVtCnMoGQvhW_vAj^y5okdm zD6ipny*izWUFiUZRzmt|Ui5V_r~G1X`}rXg*td*@qQ3P$wuX_Lb*0@fXVZ(bvmAkw zZ?**xRT^apKXi22P?Kbrz3d^o?1All>Qk4b4>c+aNI72+Xm97a?9&DLTDnyW_R=gE z^#8Ol^N)N7Eev&X?Ut0FkHY$hep=aiJ1fJ0yjtz%jNj>aFi17#Jkr`8{*;FqLXg5% znzg&Kl#L^pH_29R2*w3k)Clg&#qPyt0niC=8n$y-2p{My{eVg66$ITaEJ+g#)NZPn z@#?)93!*Tms167hk*AIGw+3)24ROkztce4JyIin80k)MIwi8Nb_Ky%zhCG+ zC@UvU-G?hj%96vf?AOn}G%aV3AE{TLg8%sLJ=n)HL;`MEhc@wiVfbc70#6cu$E`l2 zR~Vc_8>e?>Tv~uAM1y>e3(W@bA;M>-$k}T8znNZu3ocn1mTa8GR;zwFTWM75{y~wE zBDM?Q?##jYJ%Sunz%}Xeya|o`%aS!jZL;Dy! z_x_oQ=zLCnoxwh!Sh}e$|2`{duuc@N<_J~_F>9uN_*Ql#1yYendUg)PoS6>WpIL0@ zz$CAo7lCO)p`bzFWLeSa~VC#Dl321iI0|x$d?X zXS#x<)(d{OUh4=JT$``et8Kq=YM;*!hAGZht1>4>Du!4ko}*F^q6!bLVrB(DVEsnY z@d4*3H#m|`Y?ZhOq)8AyU2;4^*0q|Qu2ye0#I|pJhj%$_m+Y0f9hQt_Mn}+yglC@m ze(18yRY#Pkb5*Q@sto@u+-5aC9(_ubkv2_hAby@c$WQOF3ZRkbgoMRb=zW39RXlcsoKd{_^(PiAcPEwp%ph35u zz>!W=GrlKl;wi0e{*cuLQm7gm8QK3kR+eFCMImP-w$HHi2oyG3uXMY<4CZLhFkd&c z!{R9X%C6)#@)NFGE+oWW4!(pr8e)N%xAe(!>xUr}>xucuJ3YBApMXAcxwAql$cD5_oJ`kLbA{!`(}KzB zBg22eO-`7sVXs6ctB*=V2U zwsgP8#??6}6viBQL{GnnF$A`=~f&?367qEygRLWZc zT1c0dL}VPWnp-^{EbjbGim2lK-;{^Fh- zKfOy2-81Bsnc@}Go3G{Zf=FV#1-(=NOPNO$&4jT!$s>h&FQ*8mA(zW&TAuv8EHNQN zA6Ves1NaLRFIc6#Q*z)@sfpuix?0xI&KA!Wl-Ip8=;;cJBZayQJcM-us5api1jm(S zzi>tA-NbmBw3V7IL{GuPXlM5?gaXXb7V$yZ0-G6_Ybe5GsKwqI_*R;{d?!uYHOeE) zujQjpOXr-*ewhH_c(u{k>$n=2ZK5tt8pLQaz>)`Ic7GQURE%<;$x)5>e|iC9&Ep@#pERoYaynEegLs?lTxnyn=h9%JzDaxQ}_ zRxGZ>&# zSglk4hh;1xCk??54$EK!sT6|(TJzH7@3FTDO|ZNvr%&>e6vYK6<`4r~mQp>wL>#_l z#`8tDu>DK6XyJ2`73@3048MnM)9BqO?7n~(6Ged1K9mcBKX8WCDy%Lx3t2O5x|^3+ zGD7Q&9+Mm=JsGiA@?Mh)Sdcc&adgiv7G0W{ZaUVVGnU7SQ@ClnS?|ekQyNZrM@|-l z7hL&dr9Fippib%{tIi`W+taco?Vpn(zG3sv_JdPEDl9Dfl&QPf@`WD+)1ELkB%X+( zAlpfOiBS;hn9A}`xDFs|vE##gUH9wVirFy0xgR`*nLwI*c|QWOW_(RH#V{h`pfRSz zUc{=8sI3T`xIiGbefT-H6;UUNP1y-OC3m7vBm2>((b!?+X>2h1bXt*5N=$|e5yM0F zBsjNL+B5T);rYzbA4eVJc5e#30VEWKGWfw4Su01MNoAuSJu@wz7<9-SsGl$2nFIf6 z2gGR$=DL_G`iHSNg=fq&4Pfl z;eTZBF$^4$No{{l84X#+3AZeRI|u&eyHpXSYA#=NT-Z~_AIfoc7(%u@Cqe>|3ra(U17AvAKL(=J*g}CyRJ!(X3v$R-PnzQzIENh`YY4WA? z0M2mZiOi2hx=Hk8H~6VktA5+-_Su@2T*FB9WcC|_G!m?2kP0SXgmz_4m`IC}71;t^ z3E9$%FCFkoK=z#hJID$b7$`0`y7PXg)>-+><0_kYB6RxO`T#2#>BJ?Vy3bqg`L+Ec z{OIX;d}xQGgNDRkv*Bl(T{uii4{x6o+>vwu%!vX_LhURVt|h6R#a88X<-fz@7&vz1 zb+c$%Pi6j!c+{NbxVAYV;A?A~gEG-Oq_)v?JoV*2I98Nc`WhF3p(h7w_y@3O90y!J zoQD@SNp63Wo8Tu96O*2~^TVTJ;%Iv0)PHhSnu1xD_yx4)oCTFev0%DITiGcvSqfW@ zmcPd>kJpX2WL>Oww_OtiXhUiauUMI4OuD+DK}(3fMM--4i3yBZ!IE`bKVt{MZ;ycl&#M)2z3G*@q`q9OSPq1Y9Le^|kz2v^EM^7VJsawVP10}Fc!DmYE zTkP0Ru*SGhri|FVogth07@hwquK_xWri+kv@^q~MCFN2i`u5nD;s^3e)Zf^K?5|H$ zBgv##2e$bh76ZsyEd+hB;g+6tG~j4^{pXx@1|W*SJzuv3`KnhgP>f&I-}#G(Nk-Zd zKr(mU#GDF^A-((UKpGU$9e=7R_#aYy9XyPgXW3zVI@;lx?B34<90dDm4O?BQ z_S!rc%k4CD@aMvL*NAXmNbt{#;BW5ja~+X!qx=^g3EIVab|Di<7Ie7>5_AUI?N_p`hG@ zeMT`PN11;E3$u{kk!GpZxaj%MTC80hdSa{ApfpSLvnz8gQLFgDW7&Cu(CE#zR$0)# zg^pl;q&j*{2HE2CGUwV<8ti214_KK^smeMn%2Fl+lFSBiPT6~f!AM6iR7VU;Yw)WyE~!{!577-T3}Mc>3Ig za*xPUvKM_C*^*D>f@IhbjP3HOWTY_zc4gESJKg4j+7&#;g~hHJ#6?viDPW=6BtrS-O)3dcLS96L*2x&pU5mW zX7ZIrWzKK!evxq(ZLYm4RfwRll5Ztf*&uV>-a)8(b({@Z9bcAv4W}`|HLlC7dUPC# zn2z>^?xiQPVN{}_BK!-41SaIk%H{E8_DRO~b4m$JsG;WVGW(9?6leV=)=2@bXW&W( zr?cAfKeAzwb|$~J&)5p13hmc|;wURGd{nSfN8ZE_iFDY1U0+P zzWhuC0NnDP1OPywTjqd`);n3E0av2sOhZ5*$CT=mIX!zj%ee@p$}l&HWDA{Ivmtg$ zz37)3HTXWw_^J1Wxfd`*N7E?%$>uimT-anGo9CL1ISTx$%{aBWMy0#h_Lnxe>7&Kp zaXTMk8IML{j>||)Y|uPe>a4aeWE?ottbU2%78S~ZjZUl#a%KF?R62ey_LQRq&mNQo zShdN*!G}VCpkd`Hjt|= zjDW6889UPGLVk8S`m>yK^Z8KM1@0SYe*FckjX~{<=A?_tzhnb$9G=)6!Q*&H;PWJ& z3>KTCpCU1@oDgaF>+B;zrcsUrGG=CscDvc`2mBvK{Ji_)DyQVQF#bNvp;F$L-o1GH zST&|0EJ`3d>r>ARK+Tkf`IR>_e*{v8OqadQ&^BV1_1t-}OcMnm0|^#q{{q|2BJGn) zZ{zymu~qsZol7#02VV8Fc_ulTXZCg;35HL4?|vGJLb1?KWK^_U_YE_+EG+ie#K%O%{L zWowhEceC~nYfI)rBe#{|oR)OPjGTa~qS&2@`1&>9q`d*}-0|yz$P4zyY+S6=YgG!i z78K0yT5#lbx`J0JpJQzic8pbjj_VcHmKn-08Np{ax|t3_tqJpYR+gAdmkYC9N?n&a$Mj}48@F2fUFa)zv zY}W+aDkY36)1tK-c`p5Bf&>^{CK;*+RJ8KfY~}*Exw!<~{>sHkfg5whsp-+s0bXoV zy5xwdm2YNEB!n#jZ%rnXc68#fFW5pc?Y>^i~d;K>;K;#SF^j z^XHc;OcEfc2(d(v-Yrpq-MrJ@3&zRW$X2|Avk1GEgLkuBpWb73H z1I%sb63IgOx7b>Nx%BgI=$rq+dKR>hh%0bKD_Hkt&g*0hd^{F@#SBrV_t<4Q6pSug zbja^b8i*vOG@5DI+W6NY6wnx4J6AITIPG>Pv=w`Ho;gq(G`aSNugc9 zct=Q^%iE!@2?>Temlqt}5`4cOE3zCaby%sf_}1B`%ax8$rmoo$~0Q1D@? zh#cJZo9!xP8|aX?!}_P0Nx{+{PyUja|K${6fsK<=9 zw)}o>d(z9)$_Mx*gnH>(hd4Yz?hV^G*Q-VR5_4%%$k{p!W0q}ZU&r3xV1(x=7bd)* z{3k*6+v+^2-L0;Eju#lxXP<8i)7Y@oA4uEtGQlq+W*j$1g_%{HzK!QIijSmdUPM~% zplwP9yOLop%9>C9S@VSs!eW z^zu$$YFDjDY_fnwO{2I$(x=Qyh3Id+SM^pO8y8C0!usziD4WKL%hHP2IL!TQv)-Uk>96q&ZPgruFBr1jyD= z5Z7Erv&8W%+BzGKR_bgWjXI7>6y_k&f!x^jAB6`KuCNxef-7n3#lJck$muI0@>@5A zim1NnPdC*Gq{&0PTn2^9-(v$H`mHu2K~_sL$O=0xxpkR7V{L?;av{*1knxo=M6~KP zzdiT?mUgiwImq8YfgZ6H(=TN;3cNK|uu}bwoD3PH!@8d)Z7>tda69pk! zvVjD14m8GgdCDkS!_Q{r76H~Rn-Z{yf*;L#I=IS$Qb@r^WV(>nJ_k-v7td?SE-Pk0Py?>DM}3UKJD zp`Mzo!Vu#Jzrm*gh>sycr}Y1}k64kVTf(TjdGWn@v9nN_4}6L}OvvP67Hr3!)KzEu z%bafkJIE(XurJ`p2P%BHn8Bb`QEefoElHhW2&fb=C)m?vnQ;WGRcUT~il>R6#wEXA z-x}hnpiUpc#SvY4F~`zlYyenSH?0ZgZBRX<8(w@~ntL!7fp{q`T((kguD+YOa&!Q? z;#F&HFow;>_y>8i7|UGT`Yv-a;Wvt{0)GRimF#7Vd)+clsROhtB*R{gZDvtxzJTn! zW$2!eQ3mcG4K3lD4lL#H;q0Xa%0b>;fiF+`vgX4aQ=HAzdVaNd;hCMSPxEF)9E&3{ z#MAEz^S}llh>!mkf8a=IV)>-f%XwmI4$I*ifg6re6Iq#I!W(4Qz~|D83NQwG3MZS8)NpD<$fqqPOf=~>MV zsb$5mE0?zqLITlGN@qIm{4Ku-PItak#<7WglG(zm(KoBs_*jyyP;Codu2SbjmWLsZO3Q6J zGnK~5VHme2Ra#1fek^^H60RmghHb_;K4Rz@ zoXClYAoPJF`V@<*g@Jo>+B(S@pBasBy@oksR3=NbU`nm_BAjZo(t{FA&`EZmeDgxB zUh7_tZ88e#3n`ju^s{Cfg`K;yyp0+~kO{M}r0fMe%Mtis3%2<3_zy#OUfamP5OpYX zW6*48Ds_Ltu{BqSRLjq0^byvLmtPy^(cq%Q<`qTB!Pgxx8tGk8G`cH`i1So!`@A3< z#>BYv9K|^ol02N&0bD|lI<~=Gk0ob_1t+&-`Zj= z3R*LXO7w$#*6+uz{b)of5xn@Ij26L9S#ZziW8Vs;M6Q!iWSy`mnT-_b=L;|}D?^+r zmF6`&EWLW6kJN(8Mi5C+YnTliR_P9asyg89>k~8oe$|WVXoah-i{Ny8MA&I3V=t2Eg^}mUS>3n_8e7t zp|kXEX63MVWi#iMkf#K>jg*(Og67z!y5*MKR7%F1=ZIuAV`iL;rGuuM1*_x^9k79! z!lA`_GZ(ARhPZD2#VnPaG>^o{^dZj!OD`kdgAHIYe9BR2>8Gz_;2VlX{NPBQtv7M~ z0J$yUzka29_{|WMq3#4}-`(U*!aM~Ou&vq>hoiO3tU4x3S`VBrD{r{P!p_@S4QOz)+vr_#Fl<>YUB@=-$g^%&i)y&j&2Q)iiAg9=I_7 zj4kRJhdKy$lo{N29~j2HN!}$Mh%1$St&zLXd;vd5OP@QN{WvEAX97kH<>978>D-( z0k$5>OKVW3h#^+o(sW1HY*jnE`B7G%aXL#{y5_<{rBSs7Um%#LZJ9Y3%_iJzIe~8~ z@r`@$QTIpLGpi7*^SQ@Qe|4Oj{Y$hTog%aGo#FrF31U?jJHFnjW_QP_gchJ){$oC{ zcxqPmq*Ougnpvs3#=*kG00gUVWSUNz=a%nR;~O%hmfCb$GARVsI1mJFT@^6YlNVQk zPdSpzA?0NRt{nbsIyg!#Z~a@`k6cu3YOQ zE?H;YEO_dkOb>tjMfy;_0M0m;*Cd|WdO15TlQTjPinkLHWU)^tV^Vhr_8PxbCqT`N3Ff?QV1haA{CY3uU^)=d)ePJCrXeusq0L|Z> z;qjzqW4p51NiR>Qbbc8uaH%C17|YbT+-SBsmpi1_?bZYpI50yeE-?i7eTeQi0Eo%4%~vrX;`{*>%cMYSr`fZV)X=cU2~JO+ zokI>#c9WXF$manwhdo6c%JY`7HOMQEpQ!I<`AMwCmB=q|_uj==7ZX1rA5Yw_RBMZ! z>=rKsM&1|vlR+fad)ZZ*IC3=~Po&Cmy(fbjuw9fPt<>?cU3C(|mhT$|Q6kl!=PAnr zgqHaDazYDOVU0rXN1<7|)3N00hArcRZN|pHdMBZ%;g@lKTXfH9UZrX!qFT znj#E-j+C~v37Hxam^*d6N&>`)RKTxERBc!b6|ysqh6<@ESAe+4iln0O(%n`+L}a;oE)8B!Z~iZT>`smo7eqJ+M$J5UEQdGXoJ4szN;)Egb|{gDm68mBWXGev?6Iy!_CxG#IC~B*zKLT0vR^DX<9XLao>P zVt7Y+DdUhMT_!doT?VDe!G}fMHtm9)(FBOO%V*hC9y0fRh#{_b?b^o&kcL}`oXd?# zzkQ+E@yEZwDEv4Ky5&s?gZPmQ1bJ`_{E{I6KNM*xgtHRFlfiE=>w>daGWByr-nO33 z`Aoqb$^!4dVIq~=djBA1n{U-pSeh9wFwJg)$a}c zfRPARL!h6_jl@&LnVy#^!0_cD^%cyn#@^f5u#TU;*<7tw18cVihrv{No9*RTv%HzN z%W0Z<_FnI$!-t=o;^v@8rRV~)Fd+31{i8=(X&k-zdopm-(MxEaqpP0l%`*_v% zt4-XSR;l{c2?Wq}dfy0BwnUqcZaz5-TOoI`Tqax0>GM0R9w3Kmcp;E8+6(60=KMiH9NV~-7__f^bNqBKZ(v#zc z^=Q(Qp-HAc{X>JR9gs8CQd#X!jJ}#rl~3=S`zaksI8vey3BJ^VRyL z%H>X`zSx=XN%aabADIc)9RAL_>tUe zD3QE|sJaQmHsDMqNUQP^K8$osIFB^`-TY&PK87(8_iBl$2+X?kb!J&nU7Q!-E%Ffj z2lio?cnEhk30%MXW~NU^`%Wn@<-Q|q(3$Z1q0Qf9HmEPr!e1-kk*r6t!(}+d+}<;I ziYU5(D{{vYOaIDYi$N_-UCMJUw5FRGY)yBxg7SLK3xM$iH^h&!Q|Zz(_=b3P;DZKG zaF;WcE;8JcnV{0>PTzx}8=KW=c5AcBfeeHOkjh*0NYjT)^Xiq>(5Lv|1r6c@QlEu! zP}DeX<`DGG0B1m$zba0p{)cHKN$ar2vgOolkaTk@lJ!_7vBP#OzluEy@FQ1OrrPTL zh%JraIs_|iwVN#=;#|(dnc42*HWs%jeqcDB9}6wMvz>*0dcNhW`Q#48t~3xZb-{#Z z$V9XEOo4?0M=$0}Wuq|gT{d!~`=a3d5_^Bow^sBEMwBn!LBIqV zZ?@9u(&x!W&8spXFGj>V+GVfk3O+uUSM9Tgz4|+U5`YN7gZQ4uxdi}a>{V6}^jia= zJ74I%h1qR*20s1j>W#Z8o(i%3CN4ik_MVq8XMrD}=SussM~QR?J`-*zZ@}q3AHVY? zHb+1YsPP4Z{p_vce`P-L)%!EPz|a;uetK%kn1UIHB+Tp`;Tabsc}jN+!>{5*hiF8+ znWdTKu`w?>7~$s0+t;eJ1%dMG@DR4HXI&31fWQn^F0E^&iIOTnU!VV@@FrxoK#4Qn z4{|+qj@`shdKura_$9X3O4@qg`8Fo`0e~_nN0~1-X-K9a9TmQl#miTVqFzKiUGS@bcR+hZLiT~UF zJS+Hv#>E3Uqm%TA$%t>R)FZYGK83_6lEuO8=Tl29u+f27OQ}V~MOY7JMHbnytUOTy zB>i_y?QHKk>?Ftm1(8fgCBduRKmzgb&lqtKontBj@?n$>t%Uc?8VzbbxrIdi zYF?Vll&#nEp(0itVNh1dWj961uy22ca~Pc5KAw65x5o+-w_=L}(YT2JYjiciR)kj0 zamjh%2PEN4Lg`K4K}DE{RLpa*L|K{#@Ir_|=e3-XGxMga?tLb_pC$StJ^WdI(PvP| zazN2edkd@)!s_!!6oe4$%SdU!J}~@*k&nAh$t-AKcsA3_y8*cX98_^03f3z)xj8;G z;g*d=KWqBdHP=#K_#uB*d8e=1p4pYz{Ls-^a1G(|WJjZbbrTbjN~#FJPhfmX{o%TXv8l^3?ajTbX5(Ab55LE4oph88K z5s3Jt{(#p)Do&dhAvqw`7=>=m-@@?GXF%xs@xbk@C9faHH~B5Yw_4t3+2{C+^rn14 zB(0c7re1+3Hf{;SThcI*B{J#q%Qy%E{8*@E?ceeZUC!`XzU3Q7Rcvq?3Ua~Xk!kkS z1HxG$Wj8tNaiSx~$ffSh@+0vB@Pu$ccHE%{vEx6>K?0Al{cBZa?uN!JL!1Q9^Ldtz zuvHf>G<%1)g?YK6ksp)5+T|~B><3H7U2~z;77N{b1P>BFL~Lz!tH{h(f8hki=9^JA z9v^1h1&UQ@2~OP*(h#r|(4}El*CfM(!Bv{=3*+moKmlC9qsdF1dO(jp1h=Il@tY0* zk~U#56C=Q5`%}!e0c^#o$kckS-);yBE`)Wnp>dA8wTE&g(1&{MLK_4=nk;lkD$%hv z7cRFdosLy&NQR`fGRq(aJ!O4SP~IAxo5Y6%8M2xk@@}QNOVX>iFVZ>oITvcH4K=(q z1DQ|}&o8!Z{k^PLr){(ILJAUGKtZ_|ds?BgWI4bJ#SQ{l@75#!rh?3$nw-DZ2~_t=&m^;gJQVCQR;Ih3$S>_v((ID*w{E|G-wesnZI?<5KeK~kcRce`8CwzD-CxoW3 zWFPnOSwiYAHhi^HXf|@qPUmt;S_IKsPS-3io{|Ywg8qv`R_LjXqzy{ykztBT6vUSz z1$EfLccf=_zeZyFSd--7ba+2;U)P6#|E25M0Tq+Zn|ZgKhNF6GicP%z<|EUxdB0$E z{aC@+fh+*>vT>(mIj(1=p|M}-bgB~OkYks&ysabEy3%G26EAS#-31^qPmqcM>1l@- zlKHVoM?3I892|iDaL*m9Inuex3i zvq#A#b2g18@*HyrAx4r0RIJsx`i%fIyArNKA8;57U zLVaS`{Y%X~PGlz5o${yF{LbOs8T|noAO#B9sw5?>Y&pDbrJq+xNW4QT0~9fyWii<) z^{lb~Y&HV}i}KY70Cmb=!|X(1`5#%*VfL2{EgDtn{PHJu*0sEsRvlyLo7`2nnx(QE6P@DUldICeB6y6sEI=WP8SNH#y zy$aAjWLDgysakGHaLe|FOa#Kn&2m($G+}BP^IS}(8Uy=svC`(C1*q_#Ip1Ak#uh^o z@+nSCmrpFt4Sr zchw1_U_EpEikjB=pK(nLzwhe@tytz5oI5bA9`qu`Y+%X9MO-pARu0)vg_k<01D@hr1a zSSY?hn+$x_^@lN%hY|*mZRKFc)3Qax*Mi4L{Vj6}v?)^F6WgJ7mOrz3^D^!sVo-|QaJ}|J#9N>DthJlYaB{-= z`}3tD7$aT%ZBAz8wci*N3|h%CnaD6PK7S`#`o3`Br8jQl75z1t*)5pP)@5dPjFAUF zLK5>qf^{QA8)Z-*=NVp+QGI|myPPXJPBDY&D!rF89y6pFYW^qtjZ(=(qZN6>_VQH(xbOUP!G{+GJ*_X2U zJZx%brHK?`jO4e?JhtB!JYdNkcG)MKP2Q|J#vC&O`oxlNEO1B&1|*J#Yr{+l*CeTf zBZUGO5YlccYaeCOb+kCXFN-mJ_H>($aduz;CL2W)L5kBG8G&QXblcL+p*#Jmx^d;E zkS`CiVJb2UCh^de;?B^tap}a)vIu9bQnr4B=^~&=K&N03HP}zI`AV(PN!=;bcK2Jv zhwj2H`hzQ`p2nWK(OQWmSu0wY+>?cvi#V)h)8pWx?fY|xJt`xGF{%Et*YI%ro(L?O z!i_hl=bfqYAM-w+oIN97>7{FQ)0=~fX7>Iy)VA~aALSv%Ude{sP)i*j4Yky%+c@c# zoT^dS{0~;7oCqpz`BZ~Jg>O-U#^(qY1j-%$)6m5?qo!?B^CktM@Z3_t=sks<2>~d; zZ)~|)m7(ewB9nj|oUY6XGOa8<^6LTq2mdM9P_jA^dV?>)?=u@t?*ri;dH#7BS3b-l zK2|2Dzep=F#$D(u5?tcNQ#&7ISH+XDnsQ9Jc<^+FP%MO=nIv}+c4;m)3eB#8 zEQ_&EhRPM%J%UQiZwi)1!)Imv+cH^6&GAD58lUX39f5h*IIs(1q1^I-z15&bH3}01+N)EOg3A-JN+T z@X6iwWoo_uiE(N{RFCdUn0^aiB1GD&QND|O=seqgFr7XNC#J-ln~A7SW)iW!0&|>; z3ld>lrPe<)`6aq&Q2J2#p_y*p$QSMN2X}loKvjJS)D;H>fogk?VsF$wQS5{NfdqF} z-pDNfLuZ&koY2u&_A%TMCV0_Dwt}A2Tmk6?Vg`H#CTs2PVx=w_+w99j&w?wr&@Gpr z#aoDEZZZy)VhQ1};-yXNvXFvcM-M(DCj=631A zr?a(ndn~-BGvcp68|y4CKn?Ed**kcLsgj&7))KdJHs@+Hh$`*uOGkTP8cNNJ%XmTU zY8!HQmj(Gw*{92^?3(uV$we0&k9`R`>=RQ_sGJ?ErnUE3=k> z?uzp`wv%Pq5kH`{Gl$-_Uo%D1bh6NZ>eUmwQcy4T4g$#22Rw zU(FHnAwC{crOx$%>;Md$#!i9xS_5}Uz>A9_bXMiq=2CPSFr(}J8e`~r4t*pj;y9*MH6L#f&;%c#i=V| zLyRI$n!H=ym6NC2ZJ_mwBv==0jMy6^xAX(fA%*c%mn9*<;x;F>kW|a>46|mEYpJbH z!9Z$#lT%`z)W{gW^F`_rk{V@?q&qUCC#MT^C8T>Hj%?hYt*pf9@+}u)YFRK@YnM1C zl#(5m-1wHvg&?03yGNgs2S=Yr2EH9piT8urmdeRDXy^pOFoVR|?DQxbulVMn74StJ@FeSmX^>1?!82+#l zrj=v2ZnGe|FA*wNUdjAiuzS$i%H5mNixW`Y4t|w)4>;8>Lk``27hAMRX%Pv~NG6e! zAbUHj6eD7oIt4q<;2!J3PHZ+Z_;GG_;@h#M9ezuU0Q=s0$j#TreV}yL_|EAtS{0&y zE@$liCA)5%*kx+}6Wk@{)os^mon}`0#RKszHW2XPSC>D?WfUiS#Q%>NFDq{pdiM+O zp%P4r-f2-ZndAGdJ$Z!%v8I7dE?sg~p2xdF2hUuScby*eVN5Z1#hrVZFQR=DeZawQSB4tl)W?J4k zf*&+-HDl>(p@N~0lG$Nts@c972^Iy0+WQ#KONug6MoWq^7(p~ogPk!Cf9EyC9AdFe za-d=6+zNG6p+ncS|TC)hBNJ(QGjsac3qR)0=!E@V!2aoMB>|o^?7C8s_ws z!A&;QM3QX~%D&Q8xczDtYQo0xb=f#9N~GR#yePRXivY5-l;a4U_8m529|%43xxq;J zoyYqaUAv-aY+n}PIP}fxQa2(mc*MF0j=Bz~Y0vMq>dm&_P9-BUzT;+cs^Cgee-qw9 zd$709tUuOkC>pROMM?W9_)n|t3hbVJt*|g6o z($g1MnGXczi6ifMcJZFSjXz2O!Sk0p>~JsUjF7dpv5RLjwWA^ZKEM|~z zVi?}eA@2x&Uy-@Bu-+YHO6jz2I4|IE{ehv;G>wY`1hX?O?+zdqSxXDSQ{ce^nX??r zAeNd83jS9>3&wxUU@8MYa;;X%(7Mv8Y*#K3hQqUvmS^=7UWXE|NcLR85fa~&B+;t zsxBb+1AW4LY{h{4d)_y{kP^1ALfg@jJP#ckfgls)4G_D7Eh!i$ zO_{Z=0{!G*x3$uPhs?3#Bl2d4ykk!pS$VGm33850>1!PK;IEmCpp^3!xT>XO?-dPk zm8J2OlS&i2CzU3L?#-oSz7q^g$G4jc@JX#!>3;j2k20CSkMxXaeKgb)Ru;QWr(Kth zr`DIaGCslldqN1c^Z&z)ETLc0Ik98RpQv8ntx+x{pc&F=x)&c`!G>J2Sq!Pj&E03qUaYSrf0aIzu!qRkPUr= zqjvyyb-!}ar%UMPii_RitiUDP^1>$493hAl2uKUPhNW?e-BT=idl~v_`^XC?sEXgt zEwYz%R{Ft*!g5>&5Dv(Tok*!6!)Az?+}zTg!o(xe zdktE1Yw)WKEBJmO4VijO<|!e5IWsYt$>i)zsh|}~`GX8=1cUQzSO@oDF;;Gn!nWY; zFSCgdtG0L~hWnI^;YL414y7N>N+iEPUn#+$YsrLc#5|Sq`SYHo37oq9kZ|8ZgE8GQ z0ET#aiOmn_uimUs;#k}72x`+?;t`PgRYrO1268$$Q@G=I+u}){+;MzMc1$uSNjEJS z&_c*1Ga*DM*5i)9HcT-WItB^eWLi1~;mG6^iZ(I}I`Tyn;gtgk&Z@9a4J59pO^BTI zWk%iXOV}_(NE2DG92(DhkBV>}n9~3kp_PxrzgQxdmrUgk-P0g|&RV3E>q6 z%K9%@-GBT={6N0IjB^Uy7z-(HDI?p?;UC1pYi$Cdr8?Uk z_*$rLfv0Pu*=jE~{3YqLR!%}?+N!ni0g z`{W3TPUqHN&R3CPth}l5(ZKy;@PWLigs|wQC*=`K!RYzSkCK-EV2d59Pl{kmc&XzN zY?dC{+y##&SRoIYN_T&kizyQiBxeowUPn+1!PCM8Z?E%z8GG}<*4DCKxJ*(+q)bv3 zp(;a>;Uwv>a~zwgP1qUMPSQ4;!8E(c-U%mda*}lK?h8UcK8GqQMMMh@R0SY--;w&0Iv%JFCN5g7Rk;v@&)xRJS2Imx0&#d7@v6fM;8aL{_VoOS> z6b!+bHSpL^c$-pPy@r5g zXOa`vP3UGJhhV;N{@RAjn;l=yu|Tg3h553GDE| z7ntFV+d94G94b{*P-uDQ72HD@s#kF?4eT9Fx{}4%)}nJ4zCJwlXMDZSrya6B?WC5a z#T&dF6JL7COs7_>-X5N^_}L6qN+Q=DXxW?3pcx3eD37v)qgv$;4@iQM6Q9!TBKMx) z+RyPGw;?q38rrBaQhtKroMU6v^ zAv!FPG`PJ@BwxbrF)6V~!EhX+TkrfgNsy!wyDK4;5X5#t9ed!2x}Q)X7s=0s&ff8% z`JKHO-Pen<@l{2DGcR^|^!?Nha5owDDL=pq*0J%R&we&uWamo- z0uvtZ7}ek~jPWMrop`Ia=}<)%Q)gp2kD`Q~T6Maz)M(y@+`pp;sv?M`GV!!gqKsr7 zm}NEw4{{<4l|Ul1_SDS{hDTGdBpU$%&&6d1`q$CVSjZ6n^TEM)*bto=L=I&a{(!=P z*Wv`UMRto@D8(%7MvBsrkB(_#<@U>&!j8++scgbc)?WJx!QywIC6Z97%j&;5kKDM=s%ZZpMKA6ohI$-cM5lwWWIc@Kkd?F?84G(^nXY#27=Q$wiE8QvgYe54w zL>ZHL;PEBivP8KKzKZtWe)Xbj{&O?ZBoVKq>IHTndC0gMv~@JcK&ZOnBpg%n zse3L0f4A7edk!?F29pX*pae2qq`I2fUnqSE-D&ts3^%_h^uiqIqmMH5!kQ%lm-@^S z`>*0w#7oXcORDg8*rb;Yy+~AZDw|9hswH%EI*~67J%AYZa@2E$VmW4%H&>NAxJ3co zGdc7D@}(2mg`cIZ#a+~~$q`*tY!!vrK(=J`^zmfa-(t$k8j5r4tnOYX_ zxhKQdU&d1p-XPutXQkOpSGv7I2cR6X!m9(60ny{4A^F8tOe^$le1yyX&Bl_e>sWAJ zU{^Bq9REvxgWrdxa|Mq{=H>0Yo1%x0YKA>6~rh$};^37Hgp>YErcr)#eLw{qfw4GW{ z81CsK$PKUrIWHEr5ScTYQyR!>U_(c3R?C3@q1x!;9gwRa*B}Ko-D{ z@#%@IV*}(PYyZne6!l$+?&}rH09ikL?L%aMV)AoUutdCQD7}-&W{)01Jq&uEA)1jJ zaDy7+6X`69Og*^dXG%tJVX>1~V*6)jyi+> zPZ_}7X{fO1iG+g>VO1UcELHBQX`s#NkhR;ppw5Afm(YYE%Www5lmL*1aIHOq`Srpj zVPgiiK@PF=q{DLfOJ-XJ_TNsZNdG&6hx!hD6GeXyZDp6Dzt=%<=+D>z9uNQ#C%&z~ zA^tu?`_E+!Lhs2?NuTsu#XNFcWg}OUx}2LHSIzZ98J7Ob zsyT*EwGC%E(K=fqS&La&BZk_Kq+J>7Y&6V7Ik={Y&6rakb4a&8abF<}YL?Py!pPto zNoM++qmDM8>1B53$IS-UtNpVCpp28l<SdPqZYYAN}b<-^)+O1U)poVY=K_Q5)EZ$S=ZEN`8H{s}+!j5uu zIg9jens2RlR@N2aA6>*|M1VY1xC=-=fFUjP45JY{VQyK<0&kx(J`ZarlruyZ$gxI* zKVjfv9$EK!dCS+Ety=?!*3P8iT>(RUbJNFlMt0$9As$1l+2%*d;=~D@LO7LC;RnA= z)dNS_7xN!&^?I!(=l=W-4Ab2r@I_5svXVQ*{*~3;zbBzVH%oBWRNB4iX{Xj%G`nI` zAvdgg6CAgO%$--C&9rpN>>5iotzxeO2oxTCy0Tel>MpGB5P!21}2z zJl|=R^ML{Sz>cW2)&J4jmmISev@aSqmahj0AjX4!qulK@lM~kI59_-Wq9&bOJD`&d zmxS?0=w35CVOY(w5}4EK_7ps)AJ1*{KWS;fY$b}xST4QwQj0aF`Z~k07E(;B$E{8X zUi7_xp@|Y7K|864>6ujV=qD-b3rY**UEr`+Rvkc;&D46R@-1;egWtg;L+4x71(lrb zLgA?xN%7llLhkuagOw ziJjLqvLuX7=24g3zbBD!Cqv@#M66yUS%Rp?fWmB|&6J#;3 zsb_Ldu@|l*6e3L^nQfK$!6KEG`BcWl_3MVDtpA2BKPo7DvqdLzsPNU$HGT23#gdw$ z%-S4WrZDDzE_$NRiD0Riyny80{gkaHAZD08RN%npik@cavMK$N5okge9T7~`hw%L7B zqC$XZ%rx%)lub*#u%oeoVRnRNlQ4VGO5tOX@Lw~rpRzvCU31|IeQu>|4Wnk};whYu8~lBtE4|ADvBk)0dT?A&CSL3(%W z@9u!_jc(FYu`nxXMzM@z1fG)SJ>dm06qJe-oJ_HVV`+qttLpk~{}d0qyWeI{bib)3 zX|7&vPPcDVX4H$2u3W1%yCYQ=2Ef|T;+Bb|r*rvCBA(rSJza_CXn#IGRpXHQCLy<{ zli>0lsWKoE+kiUWTr!+59Q(*{EP+@dh1XyENnXHwu(ETuvQ+CvnnoC}-p-q!Qk166 zI|xF7GlEe2&q(9&iE_lX*bOq0c7A{cSA2)ng-+i{J;+Nm3!O_eJA4VninF;1M#hg6 zi}|&8ll=)tD*-~+9h#rESRr*2cfUgky9Kv=JM@P)J%UF2#EpD((zrs=(y8*5N^ zUdD(GSCTZ78%2P{(0l2}#OE840|_pBdlWh`QzV=a*$FGq-Tb0WuDI6db%)ggMU`+O z8r*rIUq_rUTBPD#xea5-@3g_r{>=M)VtQRO@7;UH1MlL!nAK|Sx@2gaXm*Bs)5Pj~ zY|Jx|{TSbY+InxL2_9c=HqLaV+k;`<2-vmm@W;4?z(1HnoR<=4M%aqidX+}gc~k$M zm~ldg8;f7v9b`lh6rpOjEg?A8wx6lAq1wzY-?QAhwVZAl0`xE&?L8fL%E28iZ;Y*w z%oU26R4S8?UtM@P#WU^zsExCZAr`TSvl^lh?33RoeC~-y(l&`(Y%pCa9vJ)z9hh)XMH;)QZlF_?D# zgb26zv0yE+xtOCkExxm+RR7^NsK-Zn=M3Rp50dcu1~z-50|S50K;JKj1#Y%bmY}h# zr$anzpt-Cd;TSqoOc!PgC{#J}C(OmNT}IR4FSASRiN5^Yl=(E|>}I^Uh!XaN_Q=(lij#|ywJX`<4$1$T? z>p6yygW0x}e5q@h=O+#DT+=8VO+^Z3P^lPDbD^sk<e};Vm5Zy4WXv67)pI#M&s|_$0k{v8N}GwYMz6@swPqr$`{KU{ULuPTqS?N z+Nhz)F!Wep>r;%H!1p5kuV`3O_;DK--5VVEH19pr9$L7#eQZzLF(l1{&nD*0nryEf z%L^c{_=3Sz-a@2u_<$z>kEXKEFiN8O;ZN^R#wCVPbGl9+!N2>n1kt>Wwy$|^U^lngU!MOteA9}G(Q*p*cOsRjCYCPU;WSd}MQ;n@SmBkX6 zDWbWy?n@L1re^l1yk5zd!kV-!9Zyid{+x}nU#hePtS4VtO1_86Eqe2`;dCOqelK-u z%+pM9KH-8{1~z_);>Xzw!9%?OMUwWae2w_6t`uL*)_Niz5B?+xhfnra8Zq#V5!q+5 z;vP(TG%@u`h9Tn##wWN=t6_CoiFBWdjK!st+|hTEntO#t{O}7}Xk_&TgrNaF>Kg9p z3K_GCV;*TXxBk=C58 z=Lv>4$H>IczlLjgdF;-!Z63Q+CYK!51qGtP3=~U1f7|>8dZ2^^OR0sGRT#gus^7Zu z10^xC=6w*p!wT&0ZZ?4LUQQ&O^(5af;m8H^A|n!rTt7eRd9G+`*QIc`t4{dNF&btI0 zo87(tGD=58ZFhZo!bQwgDWtOg?ey!74%moKKx*|+Uk?9rSJSLyWw9Z(qBeC!F`=*& zQwa1bNp0@f{D;1z@>KGEHf^zby;tnEBh4GFjuHKq7BnADI1)V(3=`f`PXu}^mO$ZC z)6*DwVErF#A~j_{qBK!a9{d#x%H$aX;lZ3L(u()gf%E!G@S9Zo8Aw|? zn-0X&vy#Aj8rhSNgU7>2+imd}D=cxeb{+NbBrdYk41WjZYz+E(v(@UD7cFO7l1}BC zy0-qxmN<}r3Neg8_DT#7TQqTqFR@HEI^)EXrxt1p(m1@&**K;WTdy?8T>z_z_mBdK z%T#n2nw*He{@Wb+2YI|$Y7rLPeA8V*P`P9g(>5IeqthPF3*Wogt0i#%*G_Z&z z<~wMlk^LK_r9xe-QCp;&Bdr^cPZ!%uA+_`B9l0H*WJz|CDke2=YR7ZU+e_`0?$)Di z3a8G0tiZAXw>dmTqWwaAD~VO5KuS0Q4MY4xc@A_3c1 zE??FFbQCNE9ZJ6BGqOn`ADDfxSc@9vP@-9xseAnQ7VSlF;Js*$!5ehJVnGThCNA3I z;B$!pESW{7|J4sLxzZOD7A|;x`jS@d85y{sIzxZBOZVWV7EsJO5QjI(YDC*G--~FH zGLXR}P#FVy8FPH_iaYRg2vow*>zYyw0&eyn0$S3CtAuD1dp|8YQbkcsI>}$N^;|r| z5k77Gk>rq=BRuELTRL!hmGOR{!diE_r*F^B$bY|I&-O9!{r#;f6Ik9-_NB@?FSo{fbFT}n{b*rbnbH zL+4Bvi2pmfZQXZgpBvxZ=W`cboZNdCFM=!!zI?QC!$>W#6MBh4yIN28q7K6Q?`8*> z7Jo^G)eo>51I8t2@z*gkw++8Kx=BDKbk{RJH(9A6~ zTV^9PpNj84pGuOIsRMVrwWkI@$($!po62%EXH>t{A%)C$%`aj+CbU~TpZplXaWJl> zmDNx%9u9}%!N5_QzBx-rnPRGR^gt6#@qyA`L?FbsUrtRJuWzq3o7L8><>U8rW8f^L z1Ce6U98SZ|qO-+fE{oE(g+zQPOMyqEGBIDfQOfOiS};y!NR#xtJ74AyxK&sSHb!md z7wHNH!PcC@2(Yh}~YnVt0uAwEiNJ zC;Y%k6-{K1A~rINt{{EY>csuMeXYLtd?~)W&Vwhp<+XON9&cQ~UTno1unMA#?*4~q z6~Q`*&QK`FQ`z!-E+2OdnmcOHJp0PIlON?PaTSqVF+;MAG2(`b#LPf(-gz8(F1mxO6o9_z%YpindLGfT&B2`un?t7; zHjhn>Uer9kuFaSmkp^K#WHR`XLge*7F|j%Ke0f6lwc6PQo7^0tj1hKLma)}b!ceVi z_OfCL`tk>7vk*5ozMDFf_jNwQzw=S@cihyA@Dw1!ib?zQlfEFKa^vi4w}{p0Ho&k4zfo(7IuC+GwTIJ^38{7FcS? zCZ%UG09g)|D*`Gg%51OEtUrnOyTYSX0uoX_^k9;5>}av5I%Yz$+iLd3%#*o9W}K5J zlCj_?4~voE*RgCdFz|AVuI+0NE@(UEv#*GsM0_~TEBe?3>gB)%z4>vz8eb0K5|Aq$ zL!lKl{ZI{pRO7W`Z2-xlM`c!Y!(}))%$FoT$HZv-xRGya?ON67w|=IVyPO?-HmiVP zzT6c0(N_|R7)xT2jQN_OSn$rr?8z3GpdX~5{5sKW(!UyWuz^7J`B1QW_ zs+vqQqZ=2%E+Zu;aus-B;m29(D z)jTN&PWntS7%azUBWdS#R#cF*VPMR`yjRaUI61Y>g4QDBIDa(DK?@5cwHCYE5M0m(t|N1L!qH$L8raY(C+DsNPxOl2 zry2n53^4#&=>|X_K1X~YN$>D`G5H7BQ1;-jNDpw_)H7Ut?n<{8Tj{l~Uzg+7*2v^L z)Y-Do&Z{q=2?%tGgk00n!-5qY_?_<&j{sy7F`6n=PZTe?)`I*8v&QoB3* z-dm(DiX%XoC)#Q?3%44*#d>g40f;WT7}`~TJT`u()^0Xn0EcC&)*N~#wSp1vxfrqa zT%0i@LxE1G%m{|`AY)ooh=2<;(tbU|>V3jR$cHaIWYe(oEZ&{SMn>*qWAISsIGxTH ziixb*;)zJ?@`>WbVQ4H{5INGsc-s_R(AZ@W#cREl&T<*2OHeURw7L}rY!uHQ2s*ahl!TJ>!hAa$oWrjBvrvQe_pXig9S`;TN)FDQ>C*Xj4z> zMmmxw!|FB%3diC0EHSM}7g#G$W;|oz6p)C}{$G=os66FWUf#P-Msm?Z;|K5MLl<3~ zJbWK7%F=mW{8^Zs^1JI6QS$7A;iCgSh&!Y>c4!uwP$H6X@`-5xMuQ#Kze0Nx=7X$d z5(cqsVjfjC64TSUJgixX;?8%H9=gJd*f1rxWN(GWfpf7|vqo_@bDKna9CgO9kgJ-fnm!+WrsplK` z1JY2m6aK~!fz_Za#$C5h%Km!^{(-QCp(Kur-EXc#LyT zF>o(VwKGTD38b>4Z>BNLGjFhpK}Pbaur8@=@_rV}%FVWf!T*Cv@S#h_C&YQ7TKVPO$~|^?f-HSVuWOzVe~FPq6mXVm_PKto_mdq9iBQ7Q>-- zAIYvUKG%j3IQj*v8oL`0o9=Xj?f#pCqrb>T9AaUh9!!;4bi-rcK?k(|nNX=to*;}j zI)l}K`~T?ocv2*eMT=)4-r4*cY;r{5Td*wCu}s97jmP3pDo!gbcL-I~(;xu3CT7`6 z)27vAYrXwvb45T^pbh{-acnXX6z)HIi;i5}F;|S0&6I6FktqI{HmSmOh1R*bbUbi0 zN5TApYr&~`i{TeZ&%(@^EHM{H_8S?=yjhm$z(3hIFDG!leBm{WRujV#|%(7>4I%iTP&*l%<+u+aGC7r!cek;X)qVPTET zCjs&eqf2box1Y_0&gVCQS3 zR2RQDc&hKc_;RTIbza8TAO@<1(1LugxmMtEU;NnEu|9V3zt8R1FZ`2G6Tv}=^_u&;^S!pcZGu>Dnp0YmPA|iIA9Q48W(T^p@ zhJuq8$n@Ol&(ZjWj*<17)ff zG4j+(H?sdHbW}iGp;eqYzeY_5gQ9z5>w9eMlXzf^DxD1ft+YvSn210|I15!<#a6q8 zbf(fE86u7xw}!a%rMwLQN*_wV4Lr`vmte|H6(RthNyLYzX+*=|Qq%ErEHU(pED(cc zXujgDLLl^Np0cgogo05cbZ6?pRF81nM6VqB8|#?`7XT}RNjk7LLl>a%cXM!7unyg& z1h6E{tdw44-AVrEg8TX$t^zs4Xtkr?XVrScCj|#BhLe_#TwQyI4KW~_4QbeP@`t!x z?<_b5Q`xo(j-XU2=`ay0;|20>=MDSbq}uco!qs3(hJT(r8&bX5T}x28gkrk>$$@8C z8qx&N2!1}}3-2kq}h+9_0)h?Kvf zAP4;{#>q~-lY|Z?iFT!nDn}|~w_vXafCJ5P$o=Y0sU-=$7;=^`nbQz2#IgeqWh5FX zi=eCuS6@w09>Lum(`BvPl(;VE-DDZqNUF|FDB8==Ybf~Py3vfWlI_nk4-%U}={Fq) zbv54M%}bTGgZIW(dfn)47x2&MF}3x-^=M`4pH zqPg}IdtZtb$VLx@FSZH{{vK;Cmr8RAc1>Zd3R``UtA+J>DP6GU($b!u4A(07q! zNFrjZ)!cq8ceNzSLRHk1RG8_wF}9~Uxu8H@sODN|K_K&1f72#J7#1AM7Uxii%<$jU zUt-8TE>~KeYvsnqYb}0FI-p@KDA#qOXo#Z&&}G!37+J2$+D02a&t{Zb?zOmSx(hF{ z+tm%(&o!&&Y!{5W0@#r4pXMDPr=o}(wX%1tP!MR$IS5xZ8p(lbB$ybfa9{7V%uBk% zKOUBLd`AqaY;&5+V-B|w;q&tnikW;6-RbBuB1NwpBmDd=2?CxM@c)Hx;XAO(9e~V6 zD~)DqX{lCin2|Sc=a!?@s$i^aYN8J&4$yVQ#OALsSsR<4R%*~vvTJ$&DWij@>OiS%&aN{T9M~@*|0%nRipdv$UYVIel@l_#B*&MiwenvOv#Yu+@xiPwOA%aux z%%%&8g)LjaaW?1i@`W$Z&?OQ@I-UYhWFn5h4uC#o>ob%X@FPK3g&vOjjobTwX*onJ zqv^GUVs_+B{N{@S>@Sta&%|nOD5@qNG5aglYOX9TGbniXvaiOcPS0FAV$S=jOb$+? z5$5wHfpXdSAqJXQkua#4VFAq^70UytLsWhpP1_T8akYR0IxZURkwawzSK|d|BD|^f zv}7QRQj`)4Ykx|q0b{S+Y&AgqM6juK_SS6Vyz7Tb#q0k%;*F6JL$2|2bMomTt78$m zt?RuqN`ZN(O}96n#IZhkz{&=(LIgJ3c5Ato2D$DqkOY>-O0#RUk|Qk?iq&-=a^Pb& ze11=}$nPu_g;LpFm5T6vom;4+0i>)3qFN6wvv1HyL%_|t@4lYY5C;dwr-adJhqjqm z#)+d17%76=O{-aXg;vbytF`EDu{3&SzafQq!R;_M8nLIP)MQj+uQ8brT*W{ue(dCr z+elPb5a3og(h?qGv1YU)C^<7?!{2G~)`~GBho*Mk&iDgRHg}vwBYRZM+?xq5);h~Z zmvAzf^?%@W;zrr3$T1)Q+(a-`4hBMF3{FahNnY@Slz*w>n}Efn{!!W!pFVK@*o>Xa zOR*u&<-518aC2bXH0SL9qkqF{YNnxp$C{dnA78L0s;Cb>h957V3UB~7{wiO)S*tX$ zt5CSP*&9`AkG@@`RWPZkCy)YNeC*GTKcL6np4M>}^-k-S;0mk)Vg?kfg3T(=6y7Y> zT|?NTsd!-Ri?#rbuRVCA?OPN9Nbtk#TA5@@N;yrL8yy6@JtjksZt^ynT@A%a!3vj ziM!#FFzdb<{dc;ZAf$Mq4ek4KTcJZY;Lvq=Fmz`9Q(PsySCoAwYQcLM>CvlQTkM4u zc^9150IUU2rrp-VS@q9_j7@LRi&U9knb40Jh;5yCL zV%g|vHyX=ZPwAV|SR|fEWz*)f_Y{Bk?1ku|UJxpjz6^zdVs$n-Mg1AeOvy!L$*3U< zxyvVOoM@~=ANYQ_Tqp-aoBv4kefM~XB}?oZ3)ijx?EHh1gTM6vkJnYbAnod&#@m26 zJLB{DOr&sm;90gFnvq$uk%f;?%ES!5pfrLC-^W_v+r1~ct@ofKqZ1a{yCoKtYCnK?37`OFQ+pjKW0m|NiMdOu38N<@DM**MMomCLR@QiYN%k>rIV!FU4H-xIesb-9xBb@5zdq@w-Y(h<@P~>lEOtJ*|_jp{(107 zs$lMe(eOw4AOv4u@R??m@Bby8^-zQSIOzz|LxRDO0;J1iLQI+|ZoctD9=Fel3g*^- zcK({)CQP4E?5-QlGbH506C#e@&F(Pis1TT#E@$f>x1~9}fL9B`@T1&#z9*a=eF7r3 zk&|=tP@oc#3?=?SEJ`_R)Rz`#RKFZ~9?EwRt@9wx*3Pgr2v>jJ4!?9^)A z)#q6&r-&~gWs$hq5(8f)`NF!Y|24I&fYkeM=hs?!(p2TE6Lj^UYW!QDGw3k~P* zcx`O;&ez5d?|f|{T)5-4$;~@oyL778Fabq5rof~%lM)zuk%g6}?Kj$}Hc%{7eB-ph zIzLB=^4#OWwmy#Yk1zlU2;cZ8YDdr+mzP@AV--m6EI5y)vIB29Ta+yn$wOms9!TK^ zbYa@?+gxEUMQ@*cDGtAf1LdSgv=%mo3gal-)44)1c^9dY%Y|n=aO>>}DiSW|fFYDd zwD2QnL=$feP&C1)%t;c!(s=R@Y1%qBEs^jCd6abqx+7Xa{M?<xp8pmXw+T!^0N1&nj4(z!9EMrA}-u5W6sFR>@fRCs87h5|YtVsZ#RIp$=HWB`)$JVuv+i9JEp@h~1IO z4&9d#F7#rVO@oM~J>4&^Mko}?XyOCcZJ`dS&S>TlnUbkxOuku;^*`g-U^u=w8`8^# z9MN1z+}d?Lbs2MByi7TdBOfZfJiZvl0`5!6yJMS#mKvsxj;L-rXNiWzbjF~*oW+Q$Q0G5o0m z=Y`SmIbqZ)?nlq&?hyNJtNZ9)n?i1&5XjNX6w^T$MD{ZxU*O>uYsT|-A$qJ! zOWks0H`K1ea^?&=;1hnR|B7BK^;e+Nq? zWBe*P-K`?{;oHcXumYaGTrA}!;1c=8r@v*{tz_Dyr&$_hN119kXuptxmTmuC++F2xOq%)+ZQvfZb%asG)rYvsvAU-THSD zkOe%?b<=r~dJ?3w^U3}wb;M-|sa$)A#dw0_2w2aNm>jmNPGbLYBr=%R98yNo7>#$j z0$P?y#GgL=ITr=K-B=#>LD6|}Qw!>65fVw)!F*gj2ODpp=Kv1~Vkvgaf+CiJ{jhq# zpq3)T*xZkneJ&-uyL-Nj8XnzDBtMhN9=?_Y7#$^w$xJ-9`untTV=SVDS?6_N=*2d% z!HUBAbD%kct1y^#i(j>S}cl5msaunNx#wQv@gdVPBZ3X3(8jHh+B!QlO zCds2oBTN+YshOEXKD+vDB)PC>x*xBbE$(C*%iv~W!s_np%8QW%ic!3f7@PV8qpxUq z#1YxjO7E@|CslogRGn;6&xGp42!Hh@YXZc8VsUV5M$)3F0EMZL%BF+ijJ1p!{wMh+ zf=Lq$40D0bT?r-iiipL`_?&eHHLVjvjunAL6L^o!oaEXFW{ao!>^+Df10s&GNWI8W zdvCLFrJCaynvcvSaz`Jer3o(wJ+GFuKDCHV0^)3>yzm?7Z4eE$DCPP9?gBqS!iaCr zm^DfwKI8b!Kkdm4aR)*#z;AOYLqm?YX>!9Q4Y(`ZUS5p#I?YYx%n~{|TM8auV*aEHS`2q6V=UiyjyATRVF*0eI_?NKz#)7pm7IY-T2Wv zxa>G4sR9D`3!&{Cg+5WorHDS<5XiYkrMsq9Vsy)(8YdYkB$D4|4Uw({ zTv?4bx{}a^Fo1iNag@qIWopW zBG-(@1q~1mPaKD#CvYkukxPvBd4&Jbc}8o4dog0QoG3X*KW9NYJ)cS{K6u-rF6K*S zkV(w(Y%#KNXrb!;7ovxHfozpg;xI@=VpnL?S$G!TkDWlj?4EK;1(hjwZnMxLN}wUJ zW&bObvS?ivJ_6NP{O^X9tf>VHr}1?tECr z_U}?az@2@?PdYWmUX{D*vz*eJU>BL%w3xe^+VBa!avyHaZ1Pc-im63Xg5pL8xtZn5 zJ?%*hzIbfp4PJOql@rP2VwJ^utvXj}uGB{Bd^0*(?e+*0QZ{0b)J04_k*o`}G%LuIvE~Y3 z;tGOkOku44ZVCZ%pge5G?Eas;#UrI&O>d`DGYXBFM*`mtDmV9sa|H9Hc^CNR@DIsZ zurcER1cL5hCazbD)seCxL+aw>jAkjKV-WdL+R1Q_49t>vq8ITlXcX4|cW^^s!bz*9 z%b}sCkWBd-$48#VM%)g`O@Mx&y%vh$j}kV>fB)p@(|JF>%N*YfV)q9t#7V&akpY?=Y&9)}Re1JuEJgOX%2iVsbU;B19E)w#j?4MyuWPX@EdRR@~Aux8V+!!IcU*!Scqsq zt0RCGck4#Ni#w_1M6&{kB-hCrGB@yRKBr$QYh+3DvvpsqCKpRroD_h%6WLv@4cCWk z5>NP%O$HuB!3Ps+wBu5%vwg^|>yO2DW~Ljff_c)s4XtfJO%?9=;Y$}8tX{F6IEbUA zqL6!61Q@z(k#YIln2~PQzdquvv|$hv!B8m}PyS9?<`id+Nf|TF)H0z4M0St`2nJjm z`0A&b#faB?WCxN%&N+GmDGs)t5&Vi&We`HV`XC#4=f1fx{26OBRLL1-fHMwKSB5(T z5TMbJFz|p)qn;$TkHcwe{d5msAr*eSEeTC!FF5YA(uH3fbCF`M#3YJbfmXD1pk`A`lQe_nwn=so*Ju1c*4d-mmE5dCe zsvCCK6>Qaa&giQ?d0IyeCs>OE%v6=gAsyKg2Gt_-7(}^mZO> zn;Yzf;^x!@7wL9uMDy*QzQZQIxqCFbvIHsJhhV1(1Lt-`K0o?L+!5a4FXp$hJp5=v zBC}cRjVY1^v9~olX6ptQTgyPc>TqrxUnZx(t-494k=W(%x00A+3`}sG?Lzo5 z&-ZR0YL!MgW`MkpIf>P;(!C?>E`2$knwySpG~VoSeh9{{WbOeUnE49-!k#?Wm$5C$ zzQ{5cXWbZZuh$;`Veg&dB{Gk1?f|>rCpq5*+>~8z2WG7}`iqQI#*K=~Aq?V(s+Hv& z6D(wM_;4E{B-&Wh~#qcV#YA7Eds7Hyd*D&MFKa*0ECa~}s&SYOlxwZecseXO;2lw=TY$Y0l z49852iHU9hJBc2J*XLrzvcOP5d)$63FQ7Z0ZeB>FM>TU0B;NEEOO*4u`Qz8ne}N&m zYPQ7Sebqt+ustB#VehH@Y|%ev(?!GFuTDLhv{}6Q>RBnkS)Q2BN1UN7x1=D2c(5@> zRG;lu0HY2`dEm&ViYsvtbA;^s;fGp|0J5(@?d@M>)HOcTC&q=FP~}N5PGJ`emP-CbmqRf;M3~CG!mtPK>ylt90rg zqQC*t;zv3$T3%(TW=@z|`UbV?28JT`jBI2Pw$^0hMm^oS6>D@BSB%o8iAZ9WJ1ZBN zZ4-VVg(7rS8d*iq&!`6d*bzsP4?2wh*3}5{!`=9Co-t zZO_qd?b+W!a7R1vH-?7(<9y>-+t+A#Y{77|MDbK%({QW|>j!o8D$guD)`l;-Vi|hd z*dm1k+i?l{Ob&?SC`Vn+71sZl(!ZGgR<3szG^7d>OSqC)Yw21GDzRh{tUb<}a6Nh} zRAS__7f@oM2Wxsov_Pa)r>~ZcTzUE{q>u9DnTR=jsr;4QeSh7pIZ1IhYip)@K`Vy( zsZb$RQWG6ojkr2(Bz_~#pL~QB+(hci#}E>zMs3MfOl42IHaUO?cg(t#kDtPGh@U=0 z+JQ}C$o35(x2+aG_uPmmO?P(KN{lq^8a2qR#hD%4p^evFGZcRSsY-s8axjz*S2XhA zT(32jt2PO|{azj_`x$|tg;oD7=?`AwN-pYqO8yQFH<CK18nE^*h*KSmr z=DnRhT<8TgYU3PD=VtRLX=!5!09oHOCq2~#lx<_NuGmqos(`#FBMr=3kUz7*tQRU? zYxXM6W4OJ9x#qA>@m;)Eah<~GBx5&=sd;^Lgee`_74*(?+X25igHIEFVlZe$^CJ{@f(niviB#uG# z0b=+uM75-{J8$5egEBdxM0Qgle(@F~2BdQFD~0;YY^ZF;==ZbJL*K_uj^2RF~|_frUD@mF3>l8$;W}>`eb2tN#ff)W64%-q82=g!9I}zbDu9Z;3wd zGd)JDRc0(uRNGhPi0@$8%nh~iX;+t$tJGTc@9^j!^}XuuwIvodrF5?;SVr;nnuqPnMp-c91mU#buG$dac!|w_4TJm-|f9 z(HSEz1Xe#rx^t$ZOS{^Uy)}5Kw?b@Mf_K-Dl4YZ}c57AId5w|{8a<^xU*8H8w*JkA z{Y0|yP&AkxSmicBpE)TCSGbyq=F+1twm|R7D>Mab}9$vNl0BQ(Bx7r(=P_e6NJ@D;BObv2z_K05SF)*n=1&d?>u(nIgREUg%*>-tLN zu)f{nM_Y6zc067Pe2EhZ%7}`D;g@mqNGzDxrRM6@CJRdydP}|T!jr8Mr^Oj00A2)$ z-IvlLB1)VDUX-Ceo@n#{u3_-Wt3Jr+Bg$PMd=gs*U?n6UdX-P|qgrwu@5E@)R+ z%$I@_o)nY(0(m$FU6zEE`1Kx4hebdZ$$Jlfl-4**WRsQPS@FrO4|lBb?U*Yu8keV2 zhNqOi$J+N*C8vG=_?WU8Wv34vQtd+5Q`FJ#l4tPoF$Sw8U=_?H~YBi1(0+He%>Bger7PRuAlkDfis?NL6tD?>2@pd z@|Jt9CbIegi!q|T1P`!ja?9h#bb4zMfWOUVtvd2! zd_+1AM4tz(Pu7y=KyHV6; zj`!^g3axtmFzST}tAucYcpjE1pGEP&yTD zKmDIQu8}XLr=7y=*nH%2!Vu%q=kFt|2S0S^39DNFZGtok)$ohHfb8y&t%{;C1FAFl zaqEbQok2aOuRZyHsAS`ZRGNvkk!tmDfJ-Z<)wP|2SX#~jFb>--cwS50nhMLES0^;Qdskcj+vlf<4}U*7ssI_U&}a@i%OwNRU|dlci(R-%Ey3v5uKeu5L@&(NV!+@vEqq2a#ELJx(syqp;k*Y8YG0= zz?YscI}c}7q>fmzINYL>5xWJTnEAwv01&V$Kp?QM1%!ONm1KGFY1Wv;NZeGx3GAs| zv#&Kc^6PBHj!4fIgXQ?qzmnXeTd{Cvfew;cSv~lBuC{k;+Rr+7}@MI0B$0as>qJT(*!1N0>|`Qi~otAQr!k5yj9)FT!vw z$L3MAJ6~KKp;fT&rO~>+RHnZqg))!+nH3^zQ*iBEQ)unuXPd?Xzfb2d34^ABLQ2_s z4s~!)96{)bC9ToH$SSF{#Icm3d)^m{jn3!=2>$pLYoRl;Vy0C^5=in<p}ph9!9I7Q2*Ag5lPKDiysFa8V0}r)!2J?3)sejAY*sK;aT}JBN{YB2MDXy7fdVatjg^idRW{8Bjb!Ll=bNIzjlMTWL)-c{Zc0gI+rZk;*{0y; zxu(#LugOTc@I@Xzi|~~CRq=&$eUI&*>uCH)n}j~m1xrf4{`#Mn?tGsAp!NJ8s-FkD zz-6doEZlHhV~`M-L}diA2Fo`_jH24BG~jq1c|!I02f0v?yDfty`BQ^g_(|X8u`3JJ z8VLM62~dA?a8;Y}%2eP73qKNk(zW1IQ$h2uD)Ieye}d#sD6~-iaW@2`9iwOvLf$i>uWA${ zUO4Sm#f`2snpLNMyNloiFYIwwO*%rgsKuE7MdC+4LkUpi6y1$p42{p{2yjMtJq+Pr z{U;h8{981bLFQq$^dFS?F#ib51P$J~KT|zyyg{b1oHZm+pt)3j#2p@XE$uXeReDt< z?G1oeSDn~!ykR{ z9j`^F^qM$!RlxI#AB47E!`cTIUL8ODU0xL}S&f|YdAAPm?@>d%@X^VkZ9a+xj=+L- zWq*UR*@gFqldt9dqT0Ib2ir&hIH}VQ9CEhtgJ>ml1b04Ug@^7+yWPAUsB75(EF!d% zd?J(GQj{8dKs45E^kH;Z*yn?5`aJF}7T{N&Nr*&r8tBLe;HN4D!)quXQtM=EP|!%tQj^jkZ0Nq_f!{eF`u!Ll(qNc=8uC zW8g=`>R4+9$q3Vp)#Q(J|6loUTBsa9RY{G-W0nlrsEIYikI>iM*N_k_GYDR%)hyI{U8L$YTemj8h0+HkZFPEM z*XL`MCQB+|$z>!bKTZ~jdBmsBBr+iZ(sjDzBM{tBzbjvwHKZVkgoAi$E>$q1(R3tM z%;kr7sR(%=kgn?oV5i+`8cCp=H%qquVw%b^;syZE%FC@rw^ptTB4glV_8HA%j2B{4 zmuz@~x5}yb);sNbkevj=>SkPjtIfV9-d8H_7-f3aS$&IzA6FhE`)wdb1|;pa+*&sL zYHntnO8VFgYc4~TDP&3m?;}(K?r;N0^YL6JlFAOwFzm_|GiRJhmX_E!vc?(_ox>ZH zu0Wb#4WNOVog=y@# zWvSAh?ZPQo>$DZ(5&h9b88>@N!XCP#FXryKu*2})Q`sTDrP^(qGhnpK!pgN{trLzz z0*;6#v&o5;;)6LAP2iISE+73)*Y&~s$gt!c5! zi^db0*nb+wgZYkUAO%k&QzB8^(6C<-4#XxA@3u8zI9}_SkKO(kn|*k;Cm}XOjcq*AD^A^!>IvO~>!hmJ`*b?m_qwNgUnHj2g1_OECiLrZVsgOW+ z2merRbcJ|{^`#L1tE6uu1G1h`p$wHJzd5$p>H%l!f7CYK!$tP_>TSru$&)S2o0CqL zC5*?gwCQ+VE5k$DaHW2*;X<#~j`W1~?R=Jti3x(CDCfi=J^wVt1Oe^P5E&1D1sizk z^E4-54?r4AU3U{&vr^T(0Q1@44oN$HK>wPx)lJ2t#4 zlpQA7c>h906Y{GYUsS(6jbdo7OHT3oClo8ElqriRi!^~b1L2x3GJxEwAp?E41 zPfcl*HeQm-*=**ckCPUl{Z^&3Z1f)ix1aiUYf`-?hD{cXr)kpBxy8!6>1AWckJx*U zP4Fcq6nNRdkqrt;#A4V(vBa9f{o(bPNdaGr#HXWXS_Zz#fG+%K>bRuExmA+{>9TvI zIppZJTU#khQSdztTgJd=ipj)yU+KW32eP~2gerlLHr=Jayl9#;L83hScCO~X&M_F`YTS81l>T0@7%1#H5f%o5LP<3 z*KgTi*c1djsiby!A!i+VnN%j8P8)GP>pYHX1zI&o&BzbOKViKqh!H6`@$lPU>K%Ra zb>^5Qak|4_v}PoUCW&2Mc%UeD*&zE0Rk%YF6~d9ZzlRxRtfCzTAmc z{`REhv3$wJ#~(;hTDE=UcHYfdLep$C6U`Tj$tM$U4BaG(Nkde^zsG|h7ON-|v)lh= z0~uZ4@jQa+x9+u-qb1XEVSew8SvQVBh;LY9=9(cIDWqGC9+zVMIL2+Sx9y>7b-E{g{ zK{@EU=nn5k7agw86j7jtLT+H{r97Z6uSJl0Hv9&go#DSbxUP4*f{IuhC4zTKAu>f< z_PnTuP|l^}+aIxTpl52;05jdv;J|P4utP)^QXMzCPO-JJSWg$Swcenv5_krq9gArv z=A@ZNu~w#J&ohk>gnS}eoDRQ&VmIeE9~%Awx0?Pv=6v}l<&*U9@sn@#{XMbK{B3V9 z=UKQ9b6pPX-pfcA%v7g_2zd{?*>0@v<^wGe_CFpRnY1xt{tKaSh5eqrFm_O5gx1*$ z8BwU`#5}=B%y0OF0uFRo zW|^BQ?c7dX7x6aQ=yfwjYbn+%%cV!!7*X2Uww^;64*bMZMldP)q^Rn37U43>64+J8 z_L=tJfFd&C$4tOjsB~#zmeC`wMK)iOByh)fMjHA!*ZMI2yxoO1x@P{~3YoDkq9D(@^5%H>g@*gyM`B?}CQk3KGkPGA(R-2qnc* z+KD18l^jBw5aVLvX~bBPn>IiJ3rBT zLweI^Aws-ta56fd$R~ev*OUG_tPc#z)d!co^K6TvwaChavl(FM7hC2&1!H3h;L!)I zKUz;sKak4tE9w7~oo*=6)(;+eT**gqM1gTBgXBDID_64Yc2Y8a_J5taIb>U8258(u zR`Sh;9>4%B#15K^`J5M?T(}B2i!2OkbUr7tdylnw%*7JfSzzEB)IV3$u(z-G!EfeY$tu*TS(F+|hs{+k8o zSJ0S-;0_wa*@z#*pSCsD)35>xTt&_-#@gd8_K1XBAd3aoz<6qA?T0D+O0M)dq5!zK z=th&|00VUiU*VqGElRI$1b*@}d_9cDGjf!T9!Ho17Au!F>!(rozAM)iQGJzi-RL8& zTxZ@VG&a4`3wG;T2^kkWlo(_OPW>INsaUWI_PXrxS~Xs~ZkD35bXsA3Wqc{16Vz3> z5hFKuB|kAyv2xTiNJ{ahrOImAD4%7q_%5iJ3i1;}CBtAi;8fS88##n<#%u8n^x&C% zujC4+AGiLbsG%7~4@Iy{JUUz0{U7uJ`Ql2Xl+*dRlH+Y!hy8&_V{RnV!Xho+_*kt! zGY}?nijTWF@ge1I7j=@~(@yZ17V)E`e4;?3UepNOLih5h_}a#uF555)XN*|;U*Xn8 zpBR;VN@li{EomaR@A=8m-nl-*11oI9kKk%go2^+$9{$d6%4|qHkw4B+VkiM8cla(w zF5<_iZLosGW3veQ-MWW!j$tbN^1=%^FW5(hz`?TzU6jx*0P4vyq6$BZ)r2lnj+`nO z19rgTQmec1KC4da#uDRUV2}Is%=6J94fe!Yhw(Q!dGaVi6hUNhQ_xb`d?Y)QFb8_$ zl&Q@ax>m~C{##nVFwKjwZ^oy+v$ino%RoZ+;lT&8dwlJ+Mo%@?Lq%EzXYxlB`RKYU z6EA2`k&qNDQoK-(YU$CPPZGim>xZIK$dDNRj17^4$z-XhbKvT8WB;JuI70Y8|pwve@pOPEUmy}T5R(Muy_k2l8uqU!xf5W+P?~3l}T~_4_ zXpHIM1g#FZpJZvQFrUiKtOw~Iw|3}Y2qR=Nlh#3Z=XY#!eF zyQTMA0o8m~KF~iK#qCX+_wY8w6wDP|Fp1;uqCx1X=D)L%Wufxq9Ru&DvX*qov6?BS z5A|4q`Xlms)xoQ_fTqeIL7&#J{!&UHLSORP>6p=7<2!eg;rJVq`yXVu zqow%4F&9|Wt_$QI1ckaEC{Xh?uFg=Nr|U$WBAgw8dQ| zrbv>9Q-xq47znK0|M^0MAe>#RRt!4u=e(i%0j8nKs8ZL@2H>$n!vJtjNf+6 z{zqyN!DtMtn9Wn%L#HPnvq9ytNH*xz5gySx!Z6?7srGVWwY~LDnm}b56AE6p_QGd* zLUp2;ESBPX3a;r* zm|n_a88?5gu244acbh2=z#&o^yqk#an4&^H9h^**{+)}6Xw0R`J+-+;r?*mRrmh24 z0};&P5=z&)U1;NVE&7F{kuv1fC}^1Z;aS>evAKG!HfwZ>Xg#0KoM^%l*2)QL-S9oF z8!mB5jEyqFMeK5!&ZhEA%-Mb-3j^Rjsi7yLi{M#xN8Vr^AugK^$&SWw+V3Yb!I(HR zW=jeR=yZ1}w_HoM5JtKFUQUvTM%8Mhv)Faf4iKNz-D^@2I5UaGQuwnr;mEBh0u=1J z78Jo#v2-3WcE>-#cmaI-Vx>*HZ1Sh=rs?#WI2J3bkXF}yRlIy1N7uAt%mn@3aR+{H zWaVzXnFmJkb&8JB%Y-#E)a>8~8SEwRs`bR9C>WdD8p9~^fs+CE*T~US7FeotiOt)D z+D6Y($2gvvp0+ho_B9U%d(;{|Y`I6F|GU4zJTNR|+{kJ1t0Oy<#nZXI38lFV+}GxI_e+h!vg-g zToIRezORy+Av6Is;NwTcA19D})RA|bpAPFoS)*6>mxux&q}$C>XXN#Q0*2Ubf*ab+ z`MZPL=k5+2`tFt}^+LhT93NHT(B3^Z@*TWe#5wwdHRW#VDdf;Dd&wJxLW5?85@aD_ zwF?=h5RaI%G_qq2!jSZwSYckIj>GTfJ8)#!lf-y?N_YK%G?d{cH&G@J2hPZcDQiMp z5r3Tk9x^Hs82;()FZsgMVK_@?APb|m!1ntHnTl_91X4UZ`ctgNDqNAA*Q@;NT%{z6 zF?co?2_Cvkn+pcQfB+Y{a{qvyDGyB4P@{M%GBcmc#|5h$jmQ6PYf{6HqFM2Q?L&WZ zsn;GH{P2bCq5a2j4$0A7u^Y)4vCGLO1@AM@jE#N|pMii940Mg^SnF=S-Ad952NQ`J z9{`B*j=wosti$mNJwo6t#2f zKWS}y{J?ox>r(mdyUo9#7&dzCa;4L$+}^rO8U||OD`sL8^yo^r)*bkWb()E9e%2|^ zrSio@X?*IfNpDD+pT=yv#8SI=JAz~&`kxmLtBRlAeyF(#h*zly`tsz$+0A zj(m}R2d^cx`yaLiCc$#3t|+6xN!CO>ntoK`+;7# zf>;24n=yu1ct370Np5Oc!>JwhKiVT@llhJZd8~0hT=)R-N7uSN5iSkytndFLMFT8T z#Va0GFhsXmqs>k&jBCF@zS^IGCv?Kgz+3+-n}LTn9;nS9ji@c*RH~7#_$W3$W*LM` zTfC&xrq9+k)fFPaj6nK_BTRw*@#Diej>AzKRx7%2REj3kxjbNk5@6}!tBeIlcM|(! z*!21%sWf_nNbjp`EP_%fRtA|X7Yic?+-$CTC3YH_;~lMef(P8#ws>mhWQ(L2gwvvI zTkc#Ms;I&5rGfO=l4Fx;08bH zi-s^6!Q4fz6YRXLuiJR9OvLQ=2wWZEuQAjL10}EUMGvfyOJJ3a&^f2^PJ!1F+YVo? zgKR7dGDH3O93`&6mrM9s?9tNu>E;sfSJa|IqvS!jT76_1aFOxCx|UAFbP#@E`#m&` zcrBrWA0dz&W~Lu9btvCHk(@Q8srx;AkmU|Yj@wstF&{D6(tQ*j%hThIy<*&oS5p@9nl$I*YaL;M@6?f}x?&$F1e6 zn8FmsaQ#IVkO_v<*yMP0a?&uE#Obfw;wVz6+6dz?=btE+KH3#y2ZSlR`8pdgK2yA! z7;|I32EOHyRsy1=>XicCdaJc}uo@x6RAyI&A5Vj=s4V`&K5LYvB2>mTJ?j@d9o*EX z!I&$Z#j@m4>_5($yWE(hXU;dwzm9I&80>~QC87qS>RKNBe=2DsND!chd=%{pr%29A z5HNJ3ucQLxTF2b*A))#8&oW~e!)5w{5s5UWWI%D&MG$Kt$UvjbS5`$HOD!8+7Iw^% z#+YMP-1Lz=QY6M1K3cmG&`4OkrdV=w^dR!3vfHW0$c%~O8^8e$bB!~zr9vXT^&IN; zvN4559vv|41&b}|bjWp2T*)px$|4ZRozbcHTj2C=uhmv3ulckH0h*kzF;GxU!-fuS zYm~U`c}44=&jSwT;FisnMG!|cxO&1||Nkr&On zA~VT+`T8GGYUC$(>-$>F279u~5bf6ThQh~Vxx^GM?q|&$dp~s{5%NMdp2GQ83($$( z3d7C1MhoD=S)My|OfHMXSq2Vx?Ox>8thCG54TCWw9r}9?0v!Td9WOuG>D31oC1^A|RzfU3NLqS7^WXRW$d4r7 z!*9k}?a#b7GgeyuhI|9>{js65yt zJEdU)Q@?C8d;I4xhR}!X_D?hR0bf0x$|h3T*wL4X{LX_Y&IDw3WRp!BZ|a#m&Btc< z)Z~e`8ZH|}I;o@9Xzs_A-r8r$^JRO*QVCEnP|%StQJ-yUu-Zj^_S*f<3 z7M`5A`)QXuwcFi#<<|0Du${HLPw(`q>(?080=d**fpu)GJdM(=f65B1l&WIMXfA8M z0eOFc&9_r=K7T2Aq?a!4baL?RZ#KvpBO*VC;FQwrv9gO>m#qvWmwcT45-|}|Bkh0;rWMy zb$u8IY-PFDU97YPhzEAm_9z*isAEQwOvF!y=@!JRuDf90eeli6Kc^If!AkJFxIK#z z$MCmY6c(U-F%e&T9j%u(I6@EX|F5GZa}Lq$LlJIE4aS@h6`qVeY#z zdaO6zN!WFqO#o9stiPXPYvk_q|E26r;9FbEa`7S@C^A(LE#OeRB6UDl((djaL2afs zIXg|(PSV|MK-26d-H9hnLelP?&7c+Z+KW>!UbTutLB!$!1;JaUOA&=4Qyq{pSM*9z zhKh()RQ})dKJQxJO52V9`}=WvIBUvU-|&vl`@Cp4b$H2Aje69*rI>NilYf50mp*6c zMW6}ye}`r_%Mxj6=BmVC-8Ax1LVmShq@^kE9;VarDcT(}ms*WX5JN76kQt9&SS0g_sX4T61hVycgFs@4R?07-jxQd z^Tza_)KnDS$FE;58qY40$keoe+1K>(ROI;i%t_){+Hq$SAY}hKCa%>u9gl`~%ETf2 zN5ZuVkNEzDgT-^yr6&#v;)Uj-dgjCJm%@?kx6TM5EDhSs2-0bZ2QY1YG_T&WWR^7+hR2-iY zI@YJyL*e8%ojo+Rt%oqx&DNsypD8t3w*?Qou0}D&O;9JX;!n}X#@E6ROf6|RRWj!a zVNQOI>PP~f1!=zKXBW&yl;FwEn@9kL1udEmyVq=9BT1kO+{Zjz0{3E=<=I9t4;F$F z9W>7|5kQqVCL6y%xFUTSjZMKZTgVc?C6;M0|A6jv&*6H-o3di$JhlG86+)T6Qmc2A zLr(sTWn@yzMN&vPW}Gm<-F79>TWqXWs+sC-RDSQgl}Z?s8ZePUm&9h=`*Zd~zwqqD z{$KDcKF&*MLJm!88q+Tblm6+_VAl9Ke%i36)dn0drj5kXSi6sM9Am-;EV|9uhNT6h z!=&Pe?adSH-m>;g#sTpJ<+u~y*Z*0uJq}exTQQN14!_*yb!ln%rT*ZUT>Bl?`g^}9 zy-h)axAkVvd02x!cZF zZ+3@%f>BkxKT$=BV_-#mH?ktLzVqXjJJn@7-jgpeZ6XnFr7linPDU6kQlrTTWcOB| z)nx?o@amZ(V}r|Qj*L&ea#7}A79}=qBpx;LJ~}i=zzt?RKNmH2NvtsRc#aWV%4Gz2 zx+~pOQ}ZPmZ`n*&NsNXW;XeiHJn=TRVIkY|iAD}_s;(9R#QwgbyodN0OSyDP0_ zj*Avd*M5V`H+bXN)(_IWAYdAeR)923HC;2ffb$EVA0YhOYB}4b=&%8WJ3+)4wP}6^ z4eRDJ(cT{8_!6)(>thkCY~(5JdUNDS-H~s3QukX<|JLGl3&j~QW@n4?$eyAa@rP(h zsP25U_Ow5gXJXxe_GT8X&9l?f*(;ghUDBfC^)!pl#$JbyvH(jjMKkgq=4bH7s8@*u zL(m%Eey~m1=*crB^xXV9BHS=*MjSY$+r|TKS35!u7E3t2|M7Zpp)j?A8J_%Astnv! zzSXK%B3+C!?uNEp@t-libLY%cFP%P@M?3-VdspIK4c<%lN+GDOGDnk7E`0*Vq$l4xSHZ1Z!j zo4(Efyg6pp&(RlcHyF?E5+m8x5*+>4S zVzvR$IV;j9N@M5p`bnGD$A~8Dl}feg13K6KnzoPl#It5JXWZ=O2N|ZrZ23vhRNN_A z!=#Gd5UJ)|w;F4dSG(2r7x)+is*t`Nc*4u&#r3~KYp&&5kifCAY=pmfLA&E%QAoFW zre#{#RAy}~Eg5Mx^_L7MijNKnK^}ADc2gP0c{wRiE<79B_)2HPCwkUuIA;SN}VD+W+6NtrZ$KxJknCiC8Wcjl?sjk6_4EFN`1S zg_8XMFmI;Qx_z{4Ykin=HfDIj8P#rGq9u(L6isA$KV;kV1z96JsAom>EXWXw|G~Jv zZ_SwXw);w3Hmt{@1Fy2_R^!vUIcbEudrW*V_8{$-Lv6c_hsGkVk?e_qksp_fu;|*W zjc!%=4@#|OMW{dazTakba-RMmj_Y$Qs5y5gv~jmH;ejn%h|+gvY~gNa#`pA$>{jR_ zK@dQIBodj-{vX;-9S9Xvp%l6l9y5Q&CrhYB$UBQHYQ=zc+rmEB611?i@z{Eo&7Lw*mR+e;JB3ae zNlkUYv5pF8-78|OeVYXf7*J#-#rnFM*?R?(l4_zEb+^0!wZ0mXBjL5bI&)-f>kZ#5 zV}Qfcsc0^L4fK{y?So_z_b(hjdEJ;FQL-0?_VvP`(w>fi8?$iwPmDqN&$x8_Ycken zo)I4X5T9XXMu;3o2mi=CN&od@>w10v;ql`O4_``t_@cuT+ZP_bJophFCNjw~S7n!$ zj74|)SGEW&hu)JYG9P$3mrU@WyZ&1Nb1q-FI+ud+9Z4U44{5@zh8UkW8^s-B8V*0} z4I>~qi8vT&_nyFRq-P#BH=>+l{l}x}njW_`9h$odM+({5R7|;ujd`6pd?>3eFn<-} zwIYO6t&|rRSC^0f$Y$$Qlwa~-5<^cX6cI)O3N>kiOm|w#d9>y=0<$yur!>ECd#AkY z*4xIMYF5RtKF}TmSjU(c%Z!Ii!Y zKnG3AfUsk>|G0DSCCY&0Yv`(olb8crnQ;I_nu?Ru_S8& z(S)=`Qqvar-c==&&o<-L<#MxP%&bLx?9J+a#CGL0R$iW7)Zy#MX-fl4<0cr{kvH)K zX1g$-4UcarbwdCr#tw_LuLc^=k+ZJRKYZ>nS|5FFv|)v$eV;hwh&2|X0q8hhyVCrm?Oapv+|lB zLgQD+;SQ1vH{n@@qA`PE%&>pQ?z@=om(TzV!!?aKoWC|Y{BB+=oyfuOY8(aunxE}u? z&8rKHbl07FI*oLEN2^oE;>9nz+Bh7~(xQOeH57;pD;J*ULV!0$YA$O3&M-Dk#N1g0 z4MVPK=W3i4D^g>z!{5YMu0+`tt{zFJ!|a!G-8rHUga|=%bveJsBpe(;9}qHt_5&Urzn#MwJ(UIfG2y)2uxs~4v#2%L?@Tb>y!jzy7hQM zU-8*yrC-Y+p+gK@8v{=bl4R({IzFVjxn!QiX;IBKQkgf z1!?Ai#xM#J!pJs&^+(Lt{V`j*#eht{6dta#G7=`hjn$=_i`8Lm@)*2qeDwaat+^e) zHTTfZ(#^$M5P+|=j4ebmW24_k&+aRZ!Q7UPLGTABoCbgJ+(Rb@23{zG9=v>Va@l@H z3@zl+C(7zAYl-pbux2cGzHE7r1>kpL5mce#6@m+MPGlA*a_QHgrxDPC<{ zt~fuRE8d{Nq{dswjUW6kOW3y9sY@?yzvypI+ht=Xdix*%7d0-w#DNu%xbOn56})Hr zcD2zs90?>-34ub2ZS7~`@)Foxxnew#kD0mkL8g)8|IJp17oUzMcX=8Qold3A)+^;A znJXJl;BR3f(6ZV{8zW1TF6zHeUQT?j<|%WBjaNwLqth|0prOoL>jg%c$P8`}#*L5Yb{ZhhtPW@%8OLJzJlfSqYhO)1 zN`bB-*@%F+P=-g-Hv5^X81|}>~NG4g{utp36WSbcKeLSQ`?FotcU|j@jR^WK# zPXqmiRLD9$AbRr=6tj4){~~@_@3EHkVyc9}qLj)MU(F`e*jOH75xfu1)IZSjI(s6t zr6(|&OXW(XGpPKt^4KDC?vCb}@abL|90`MVvWH zUg5rCEkq0(V>oVp*;q$z*>Z)ILD~)u@hm{)-dY}gzRh2NY^IK8SBoUXyxz3v zAA>Z3B;UKEU$DzqOOfPP+bVt#HHIiizK)%_@fO%$vFOyFP-_I`g5%4DwFAo?<8v*f zGS2?vjzr&{IUX8%Jda}$G0=f(J2R|LH_8z?<@Dw(h3T0)!$rOG%<-`;J#Ke{;}LkA z{vok$VtvfUW{sAN#IMz~8L<@Nz=&rvTW{+X?zF2X+62K){zQb^e(>vTsi2$A6^(a` z#Deoq<^Tl)f%PlYr(RNa@lKAF@I5U+qt+HGW~;S(k0p~IPTrJhPBne9oSna?v4Y0Z4jm+Vf5-G<@Z#{+JqNzZ^bU^ils_z^WC`m+A~F5i z`GHR}j32z~-jOcv!Worj>^HFWQft)0T%z0_RzDE;P<;^Ftoj2_@!degG~I8Y!GtBl zV#GNkEKt7^Ym~djn3*>FxN+zBXKC8wS`QtH;_XdE4C8A(7mrEu;=5>9B8lwdt-}D_ zPgkpz8|B6Oaeua%ZB~(fA9yGqg#9hHHeSQ?MY_XTn%GctX0lLJqNRZe%dF=a`M z_1SC}ITwpRVX1=@Iut=u8CL*AUuAv%prIVeBP*I|zm^6k9^lysWKutPsm*J^J`z*& z;CC%}t6(HbA(l_XvYB{37nwJYuKgG>-5&7W1uPB8E+3WQC^MfA3=j1)ikfwL_+mZ; zqe6*nBRBqYPXC%Sk3VQ#7sm`W>M~-%k$7g%Z z;6RW#`4$GqF}{6T5Nh(|+dm=$s6m7JlC!5wU^YHr3*#mq#Da7G>d>KHjREmeKOUYt zZAK##yFQ|sG+B+t0>lE3Coof!nxep;m^%vyt1yF&{0992{7gK3JvBW&xxqDp5vmw@ zxl+v_AkR7hV^&QYOC#I3v)o?oCLhSbqVXjd6OU$EdcMi{A1Y}QUX1;*@u~ULjCryB zHle#XCp|N~?5g?S_M;i2!poR7>fSc~1R-quKW>q3Y;<`sL6SDU#Ezn2kUW|2fc+w21tR*9l>Ah6rp-Nq#np$}VHu<_|u=AfIH52x~QaCY8Al zRSxF=m1eS2Zzif$^1<0$VlPnQhgLx#31ETVpi+N&Zh^5Uk=bLB*~SPXdB_(qB#8D# zHYS)_fguP_W|+QilvQ>3IZ9Z_%=-$FnNmJw)bZdBRq@Ec83*1gVheciy$4%v zH#6v9wDS9L?9ExuB{vt%$I$&m%2vM|@I(S9LTh@08%bINNCf!sh`~9i3Nv@-%VO5P zmDZgI|2v?PguY$S4u94RMFW|MiPG5Sy|#%AY8bk;r&bngBTrd+YI(Wb9)36%ve=S~ zi`Djulr}WWjTsc6caJspF1zGv-e|pzce4->rsPrs7{aEp&bvm3mwedOaft6I3ovL8 zGHUX9$|d|JVx_P>klB-B|G8pl=wH}+0HG&iaTbsgn`_?RGzLQq@SUcy79w5AUzTrH zCo2|C?j|F71cef0DLQ#7+qT~2hr(_BA@SKn!J6%m%ID`2v4|T=WG0_RLtAx^w13M0 zD$R;CM&~vk!exPP4Uq9E6W_+`$ixR_AXrBP2keqfY6my&k-5U@>**!xJ~Y3RD9A@S zwF6eaojViS(KAp&mDP5#YHX^`nu1-$(n7{s>_M$WMF60#EN^=+vZ&aZ!qaobj5GNN z;#2LR(Av2};r(-m#)clrL-^vL9?fj22DR6Y7xh|v&sFeQdsGk6!5KP<_To!hdNCHB z*UqOGMQ*CN`u*zxLjVW4+fNy6ilPhj5>f-j#Z%sO7SKZ(~ftM8?&(5qmp% z9c86R=pDS5y8zEIQZErJl;U1Dx#5S~9co<6OtAoL)840<%f8@_sH1oI@8~)`eMfsJ zw0G`McyNe^U`!yzM0X4wV~j;%Oq*)+Nbwk0o-`(m$Ahp#0v(U{rfeq&K61>}M+T&5 z$d{t)$CRS>`teP@-X6Mibnei^=-2R&xOqqt{T91m+Dk6C^%6{=2S`)Sk<&NPq{1yi zEaJb=kaCNt&mu7aqpHV4D1tlG7H+srYX;1!5q{PWe1|`)%jI6QQbDy`_h8-Hw0$>> zuYHg=oI5ko{?J{{OfGzwXGAh-O}o}d9ju(IkD5@Q@%Rn&8AahnkOGl9n5nO#bAzwd zN}~i=PJnY9ty*-ly(P4zxAY$l4?K{Eu_6#%6ohf9yLv;K_3UV7x&NlI1-%JtTFPhZ z;Nz=3nd=q;Qi582(LO}!uBGF9DMnZDRf?O2%i|op%fgG2h>tcp#*PUcsz$$p_f0I0#iH{QX!EJKbNnARcjjFkI@WT9vsZ_b z|9SrE@X$SLaz6L(aD{(AbcM}7@XM1<*C zr=x#>QS9@^^f=Nk--1kF6w)C}!L>weJJ#RaS(xisN%08!>G&fF339M?Hy+LyQ6QK; zp17*|Y410gfW#HmW@V|~sBSBbF{~YClcr-CHw!*!HJ*`yC zXlErKkCjd?(Rjm>@zIQCMH>?t9zgGN^usVPohky2O-2{Re_6ZFGN&woW2)NO*CL`I z3Pc-r36Tl>FNtFu{96Em;Gr~9@eKuzW9k=~xF)-c`w>3);+O!YY5Q-uIAm)WM?Eqd z;lGe(sy&xg6Zo23YOa)vmqg*9Po%TPDh~1R1^1oiJTetGb^j-Pi6GbmMbr#^J!w*c zpDH>Um>J2Y)|>T$IWzpvG`htHs2WFU(P*`~ZZ+O*k52+!UsK|C$lbH_o5sJ)MAF8^ zJd9DdV-%Lq9oT&$7XUV6eF<47QI~z`n;mT<2XBi&K@HZb!)3{uhcq~h@nsXTIg|}c zZ;tYE)lBVyHYLMan4L=(Qm&DJxl(>U0=)B~W^Lg?mT$l_SzOTsl?T^5{Y7iUa+gV) zhx6?3?&BCTutL$XU5p2qR>BuacqzzAS2UyIIW67fi|9#Uv9poD(EsI{!#4(z@2HQ9 z+*mYr)~U8j#5eYbr?7o$zfHSW3h(@qN|`6w+4tFcwz*U^myN`ck{C*UWnMEk=5D=# zatV8Nrr?(1bCIP<&&dZS8NS-^_LJm1~ z)H6u`7mlw`7YEZ8*UFt}edTt&TV?H3@!6a;u`G>#Tl)dJ0Qfz|3)Ds4dDB_eMk7E_ zH92yPb($hbE%y++G@rhVk{-|OEna@M6LJ z&5#N#zM2d}SPckj&m?9M)1F2bpLC>f&}6a|kMr}Naoel7xNnJ#5im++uBfm_^TJF# z5r>s9_!zpQxJq(1=URd1jmq2pKO77EmaSY##6flge(BAbFUhOQr)j^>YsTOdV3CoI zn-8(@N~70vD(Q=g*c=(4w_C5+=FTF-&5lVtYqi{{uiRPCR0Q^x@Y#fKYMl>ojbU(A1n8e$iL^FB^1Px2AH(nxD|$Tjc`62m4go z7%glV8TKHjBK^;3uh(a2$C%BQhJ{rS75} zxl_Hl(n37=NV9kN^yxWCoo0-|SZtCCP;3f-%FIB-GKIbGw=9I+cEt0pupu``LqmAIc%S9$X$sDVFVs>zu zPYj4FOP-dj$2{m8e=@G9c?#%nV>s~p5ziU zZEf)`NvB*UN6g>U72>q8&~iQlnptkF9xyBZ_#dp%LV*~lj}D*wUVpVU2&cpp*wZTe z3vU}AePy5_yY0cz$8_4>r|`V+2@?yix@&Lwd}(rX$M%+&+FmM8280inI63$%*n07F z8U=W;PU6nPSsfM#SjoSXg7Xy&SmCv$<(tCrj2j4oVFXBXhmWEmgWorInx&OaM5cFs zn`jJ7frKE3w7(dZtQUGPpS|{@41Ghk%yQ#1Z&X6N%v5|>fem;%K_~fK;_A9)Rq(lD z&Iv=-G+=Ux%<1<#_g~)FxBjHDo65|s*V2!=xOjcsuXNmsTB}(Ue5%+7 zM;y-5=aH`lX~W>hEgaLUryeh5b8YoeApMPHjErZiS!&mtrA4IM_TFv%?rx=*Ux8_M z^UkPRS$HH=qqER>o~%{xKxq5Sf$+(h17nlR{RhU^^Z;~rppKONT#SL@96z2mlXu6Z z{r}>Q(83e?@0b{B@(vu;__id9P94Tny$dfF^+G(l4>PZJKz94#)M(zWrZv5;4dgMV zJ~jaXjP7WaYae!y&5C)o5=bs;z2fE%SV%(JnTr|8FrA&t#1CpLmkc;}lhy(6{U17a zSXn@WVrwb5ctlAry>Di!)hy2%E8`%607r^l&A5UeFkV-x0Fu0|pP~i{$QWWh++VaZ zW)TTE<+9=G*wWR3+q}wG;e9^%07E4ptb0=TyZ3&GjG`FmWG*G zrM<)fjKSKsGHK_1jFkCZ&F0s&%n#bAIMV8jJbmkaswQrHq}JXJljwt~m+F4dbAx7A&lV8_QfPrdr91S2 zDXWsr_8I+M;$^Y!0#+Uid(vk0WlnyRHOhKNv>muZDNE=m`D%Yb=}N?|ArJHRGg!c4 z54k6L2!nQ`g0>7|yYFjLnDdr4B)k%R2Gt!fR`F{_f?nEt!pBA3dB(Ey zGm4dv$~bF3evd4*z_HN29=qsQ!)qCZLT&Me z9w%ZtaV?QPdK2LXv=N zU~H`R&HRG?o5qTIQ~%-dtqTudI==AmgtK_j;mbWeETT#c3XJyp&k_wGJ4j2F*jUW@ z6w77Koe6E|8SFzg16XL-X1qp0Girq(Wo?LC7N){EFgUy^)h8Ru`GC!H0c)Fa+t;7X zjxbVru~=>*2zvi2g6TxNl-ix@QoT3u{Wk1?=nu)7XF&_2e*BKMa>dj%7%gLa#1aU{ z+aKkE!EdR^^33k3Z?sJWr&k*d2@iyfFSK)=0vBi7^>#H~Z&v4wJF;_NYgDNWLw0%F{3UBw%~*Q5)@l>w9HOib9?z|W%TwtqnZ)?^ z+aBw0I>CpIiAfgTf57W~n=H`ZJkrww7#!DLC^s=lYb8tJ8n`~Xr`Jn%R2Wc*>twz`QG;fVPMQb^*rT6s{tiNy&5z1cE) z$@D%b}o{NN}~s3-J8?v+fyy=80Q){Al5zSZn}H&7PJ7Y z?2iuy%8EK{FD`aXx@DAeO?w;RCEKgrTB>=AO9R;B?%un(A~3DeEzSmrjm*zi8#{kR zNrq>m3yOIo6yb3Ik`HAl?MVYltfUmDXlzxf2;?fZ(rIW4%`D%Z;zlthwQ9pyDWz_0 zxpaT^&Y?1oVd%;37mHnwr4t8lX8z&AG^TJ=_CC*j7ECL+c2ytiG~CT9b=@s>q(wjl zo2E3Yw~dk0y1lM_S@CY-zf0CFQ>cCB0Wyyx1Ga%iA9y>!l6m}D+lNABkzXyF{7uSe z52t6oAnd0x6S2b4?-N`szc0+Z5ftsFr+$ii5*p0Bt2)_t@bh>LlpJ<9A7ZmUfgP60 z?CTD@aM%jPWP`(|I85!o)wJofJ%!QY!5Zhgw^THmcSr+$_|g~}KV?hk%xlgRlI?Yx znxgRM(uL4uF*IpB=49-)NJRVzhI+$WuV$22pwH*BBqB4nG)*FDCZh`$w3QPUy!8AM zCnf`r#uz)3KWw*u1^k(4-D-p;MA*hd1L34JHr-4j6OKjPgOCk&#B#F|yP8HGC{ud` zL&1`?iWiKWnn@=ZVmA^$l^MzZvNh*LqJ*@o#`jXvmriwz5O>t^ho8Ch8T0knw>58T%#TUsOkNXwX=jZXtjaDHEguB`9ICY zdbK-HxA5KmG;&dUYh$g_>qIKPi`*5M99wRu-ZKBE_-7PT|Hb>x9qRjHv1D`M^l60^ zIk?Zuu=jjDJ?x! zBYIT^`#;W+_%D8FZc~5AdI({{Wi~ZpszV8{)GTCyM`@^DG;|>yEe^6`8WYp*wA$sH zm=|G4^zJQ8`32rMZ4P8DmYQZmX0{}^#(g!M}4 zcD++AEtcE+ihaRAm~CP#pEPiO`D(R&{Ec>xV?7ylSZIOVb?BqEI6Ia#ss)WkM*qmR zoKeqK3My;M)*uM9HQ4$!Dq=od`aH(&px9^kLwq>iY6b1(_TRxb#NY~|(+uv;FP^P< zbhP5}I7DHAX9!zsJW>mgwJIxIvs#nhzM~0NfvSje%hCvmR-rR&eK1s!HUxMOVt0)L9*o>_h;ye2m}z({Cp{VI>tyoPKa_b z8}mB#P2bI-&GR>pZ(rig;wTtp(%k(sJ9B!`#M%Te3LKj(UbZ(%hvcn?Q7=ho)2Jla zLkmh1LCXzYE(@);s8=&%BT9$rJt4ZQPtd$VFlK*kn$G?H*D=23*G>sCs;_ox`_~yQ z6p`JPCT$FRxE=5ofr)Blh+~UCS~a+**>QDx85fDxS0bo@k1QHvr@MKFq6;q|Q%{by z?(r80@)xDA>w4aJO6y-3kx78=6eg^c@!4CQ{GH}Xt=g@34^v#n_#@2%j9Z|+0`LKz zxHfBYu8An2(GAtgeXGmupAur0UI1d3ocA10WVrBrkm_a`9+sK39sg z2RWw~oQtmOIsCFLD>aIoPtS`~65)}Z4TX&rwfA5W0Agfj1hQbkWE@A zp9iv?3==YR;bX=Rclj7xGMk!>sWL2nl#w)ilsI~Mkc2JfJB>&;YKFh}u|NsH*@sRH zz2Rb%ft;O8zL96~v3WNY&YL009KV(_?yl>lP~6k?f}2rt7taZ7HbzP!&ta4s{Zj5z zE#=0vCNfcU9!uBm5Qd36yan%~GEq{!!+%HZtOYs&NEFMOr3b*?qix-m7a#U`YmSrL zVo=p*(5Iva9#Yh)rvOodD<1KexpxEaI=7?mdiTXsD7w0MFoocU0gZv$5>L5<&jhVf{35Zp_^s{kXg)zEL$52*(JzRot5(ZDu2(LNS%Q+It(< z3d4fk)E?zz5u}-YAqC_hW`e zw5SimB%&f?%rjumH-3*>1xv$xdQ?d8(#akN4@a$Mv>BnE@&&OQceN8`-|cf7dONPB zj15KR;ipXgF&7mU5Vu~iSIpq+i9(WCFiizG>_+)Wu<}}^;y#Y50GD|YE^20{_z61R z=TC*U^c2?BEy54`0|EOFvVj0EIFij_8BGO(BbvM!^RcWCWYi88?8yAcc>A+t#2}^w zo*W4Ey$ECX=sPSP$_Q<`SB~@FspTOEpVTcqsZv^)~FRxU~{mV>X8TFS31uM}Fba<@8I zA?g_~p3KAGKKS0B6QOTwLyh@hLu`&Mw3~dZ?G%l)Pds&WLRZ|v=Sj~k6S(=+9BAxE zPcBG8Fn@pbwzzKHBh8#hl5VwIi`*{Mj8M8+1ACz$^m{*T@x=0**^?LZH|=6{0;L`6 zTKOZ%BG@*WNV+r~&BaP)eM~9e7Y43SgsYKD#f?SaxLqbi&z=Zv-sMF2=q@M5MprIA zG2Ye_-j^gY8?Ryg*4axh?dqlYa?}x<&3W|4M7f>4c4AA4tEQO^&vP362TP}Awi7BlQqYDSkDz?$vQ4WRugEtF#O4!Mef?)SV`>&F zYsJxz(nrQe7|A>h0@~QLJC}7&UdP~^w^xl`_m|MdgQ?2#PU&nUjv&zFFWRoWxZVuT z_D>V}gI_>%^Z3$D;}?(o7+>Tf9{$VcdHDBI-00p`MY>E}(Y+#}&7vSJUvQ9kD3zIf z59bHgi2?#IZqMD@A~q18R2(TcD`s9^z+oFm_u_^hL zH_Dw|rCGxC$+*w8m!=zKVURVV))HA1NEtAsm6}*zeuEnDGe|H5bXME%vx+Y)5XBG^%7T*8kz>&X`70zW^F9V+gjjdO>8q;Pdvsj#9>k!~wGq=_$;%orN`e zSNJ$1V9~XvuZ2y%(P~$ZHtfvFOe=aOUTv?`rt6*V%HgN%Vr>bje4!;Ry9Skht>12N zGedu59iYWlcZHSh`4uos6wDx>YCa!-1mKZzw55fNlPY5M$K8S!s8kGM}kZ+qMBTqj)7ok zRufFS_Y;chy{B)TrJaFcn%yw##p?qh#pXvC20nj%D5=+#4EByPg^!dEDJj zU8>#n)WptRPhCFMQy5!TOXZ4Gr76})VbglnShP`ZR`R#YzKCO4SMA@ezvlS?fZz^( zaYQ7JXYGN3$=@}ne{Z=#Kq)0!KXdd#YG&AgAoDA@rGk0YSyu}IA&r+m=C20b<|J$$ z`2lWo+}qbs7G0p2p51*fkusj}FBC(@-Y{Z(?dQpnibVmcqI9cuf4NpISGoyAc)BY` z51?ej4npBnY?hVFV7)Bl($Prn=wC@}fk&`1hf-6_(nx2qJJh6ofgKL`Ri35Cr!S*x zCab#$&7aCd;O?!znofz}L{k}eK|zq7=qMnR3Fj~s$;1+q53o#*l7U)W0rX4D(VVw) z3khB;m~OmLsYpP|yr>07O#Ve69v%@Kxdw$#zQ^*Eg51%KkB+k#Mxu$gOW=1b!Q-!k zsqW}rN-yt05`~k=Dn5(_w)|=)(Z`W?cHV8lO!1T(Io9$N{qN}T1Nq+=8d8r4&-L!V z5R4%@wX?WVZWhOR;mCjl-;7|GYc8@_)z}tBe;Li?cIwN~XsfrUlpy$J4FwA^jPpJ^ zvbL76fD*Y}F)h47?kx8RTp^Wc6Boyrk}tQhW+3omlhF`(2@=lfdx&s>=ijX}Pvu!Y z5|vC!%G$i_{emDGQX}ZxlR{JP<&*FS9WM!!J@^FOpT47^L+zEo;a6Tgv$>r0M_SGS z|MKwmgBhYbdwJ;KqRYol9?H1j*~`PjkK*MRY;u=xDRDXMLD%SWvzjx`i`abq?CoO< zdi%L=k8Hk`-tDYwDKt+e_<2II#5AvBRPTiO3HcPMB165 zjE4$9si=Bo-U>Zb#|(p%cHH@#k^Q*QsHLlib9=t_@QaI4e4L)kClhh^C4@WRW5Ej= z?x*ejmKA@A=mrh@8`RmdOcM>F(}&(n0z*8qm`Wp7oWW!qwcqD2LH~I4y1V(f_xeJX zDsPNUOSb=$?J)2IK0+fli<-^B=UP}(6A}s)Fck^SsaV;4Bv+@zy*+Lw=b;?k;KAs+ z<`pq;KwrQFj2pRTETYWGV{P>rEG6-pBO@QAB&UaVEjEYc2EhvhEHiWuJH_4Pe8@R`20tE;mWB_Ba8ersp1(S@ ztyfC`NIHcSA~Q~Vo57mCqoD&mdf~^1#}6OMkHD-vkyMyp2Jp%A{>iRB~Po0LpQPTi_i8nG67VL@qDD^e}dtaK0lg=!67?oDGL zBCz!de!>Os7f-F}`&nH8B~Alo@?{p^$LE6VDwE2~#z@5X@6j+V}Nf>tmjSF`zKoq!c=SPybqx>0VFn~O%K zkAA0Bn(?bxIaxC&nVCfG%QWTj4qm?4=jm`h&G-q13(2EacST0K+FV3`qn*bDtz+)A2hv)P>lRCO(NJb)ymDP zqsR(euJA^uP|RnicYc_H^WwtiOMYm1K)ZJ1V)K%2e<~ zqhjg`6;^W>^ zC|Z(!fgMx=ZhV`q#i%|Do21z4I3Ko174yB*#rU=4+iB$E7j+kV1zVd>wm43!$c2FV zlnV;Z72v<#!S%3J$1FNP8Lg3RU+rH~E>T2^k| z|9(GlhQhW|wONtk(Dr36a13fbmkv!NN}={=`J)(Pc_nnfQf=GC+b|#&8mi z;2APVfzxIC&}Qq*SBOC`-CNfmZ>=zVvrv4FXvcO8eS9`D1|;#xr>XtCpO^TIRFdqe z?8cv9B!4{NtiRe?6CR>y@DX-iJtWbH9>a9lmL8p(g3IgX(J&m}vG>z_S?p(lJ^)eT zA#n=;2o;0{W3NbSI`}yAwbhksw$rYawO2-WS8KhkGP*<#gvZ!crbcmOTAgKah*M2i z&s&2WN(hTwQ4k<75^R^G^;a|3ezyyv$9jRFoW$D*_3_%S-5cnFNC^=jT;5dnI>^ON zwOuW*oM=nsAPtkEWPtRLI)~GLrGOTvw_TA;5xcYvKgz=Rki&gsVrmhblgb7 z`I7}YqZpnW^=5bxln34Nt?I!ixaP40Nzx-74a}&QE3uaJ6Fi=vVah=+4?FD zH@-^v*pyW?`Cx+SaNOwgcy@NqoGL{I2CV8#MQ37>w6WH)Zl^Mq8ZvN0bXaeI5LlL~ z5$a?C-HzU4H^6GQn!kmTh}~B+HX&B9V>}wOH}{`u+n9IF7Rsfgt6i+m1+7~01UkCr zXtHAD-x+E3Hcb+oR*acHm2-@z5%!)>7$YtdA9|_n#x1>;mb%N1mRmx?3D8cvxT3+y z$#dA@L4vB{Mof2?V=GLwjr=IBO8iP9Gi&pTn}NLIvLtwtDn+Hr*3AiNVB+*4gkYar z3d#A&GM9qgJgh}(Kc2IRPnH59v!R43i3jvMg5%OF99=(I=UaDPvnhOz}SmlF1NuCP{ z?{peQy=0r!ZoA&Z%G%SY2R8Pibe;tb?sN+bDP9Tn_5x8icz=QIN1Bx^V!*Sjy#RGU ziofkgS!h`v^p|sPB0RD~nzP`+vC}``L5z$xAZ8KWx)y?Sd3BA-TLgx?(25$5WK)|l z;!&0A3X_4wcUko(-JVp@I}7UMojnn90w*k##Mt2*3MIiRMQSyY-afS{`QW9YL%kFe zui2nnQS(8m%;dd<{GYuoJaX$INKGm;R?`y@Xi%d!?-=hKy-x(V*KX9Sodd;-pS^c{ z=PnPubb6N)6H~V@K5=gqO;@$A4+V#WFl|$N$3N!D2MCb*CBj$mIE7 zhuX`-yCa$&{8!$A!z@g827i<+2=-9u-YbO+Rgv2 zxuh->4BRS$&Y4CF&ofineON^#He8J-4r@7Aj_3oxTC_tC=dR;`PJN}m}wuFsuflH|3tG3 zk_oL@ZkIcisc)e^6I7%=16s>ROm$EHn4v}tfkc2{2T11tiNG=W-Q8FIP7H%lXR*-4 zy4u%x6EWIzshOEXF6+i-G6xD@!4RnnBK|U~+$O)8&WHC6vJA9XtN2@tUIH!UqvB!R zp#-08(EtpAag|;%w(8&5=)J^A)8R3(b481+e?6@NICiLtps_GopwEky;q4Ri??`+% z&^t^(pmAel(Qb8;r$m8*g(>{q&Qad>137bPXiqN{qg8v%9Q`)4vBIO__3)4Y585D< zJN0g>**oR8AZ2lvqM(LYVLMt9gwLvil*o8Msmj)`Flyj!F2DI-YVs|XPzTXUBTq$` zqfg1szuRzSpVq!QzouII+yk>U?Iq|}W(XIm8?4M&i;#5^s!RsR@4t=wo^$-HM~E)J z_;hHY!_)YnTy}OWTnz0#k}m$mcZ3i1j*Cx^xm})yuv@8D11Z}=>*>`_Yx`r|DHiX_smptdX8%mdq7xAgu5apQZ)GJ*_d&gNjZ$heL z7V4JOlgGz%u=Q%c%vg#{bMdNcETTaLXa>f(P^|rqEyNNi6wJPYZGU=h^D|bH1Pr`FF@7x_ zciwp}nB!466kltJ==yfye0*Pbp=@6En#g+@kfipSxZqrLLC<-=2I3$!mM6c%hK_VP zJkEwk(ICe!N(5RWLfNIl?Uw8XV@t;Cw@!cACPm|-tU;nYlh4I!ALIhZxb)Y)8V5X| z&K4x6m`)iRd-w@~UMqo$ppaDA?7t&=_p{^9J9&0wK-{Ywc(uF4^4&6<0zt;A2OV2b zjs)y1S{7uVh+-dAJEsaJzwn19HviPV1}9zPBt|rJsyhLbR^qa*5w^)6vC-*s51br$ zHy?-v(yZQ&-f5S+T{sBS#v1P_%uzIIFlK4i`P2`xV$@6eiOx);j6-5q2hR?4chy(P zCjWE9|1k~OirlB?{y<^^afSFdUX!7PPh0;+$~jY+$kuyp>peXd9njW$_!CIbwXxvSayv6j!_7O7;HqQWXVx{ZE42L)1rk`WvE^jBHe5EewN)RpWeVURO_es9V>mU@qNYxZDdwI}g6_f0lmtO1Vvd@3`u{}jR^@O&^nn=jvc zR8Q6{{sfA-rn}1nS}loZ3+z>9H|o5Nvnx5iGypRBLe||Z5f?9ON-0-h=D7hz;fisW z+yB?vtBqEzES;bb8XGH_`3(2o`DWSs68W$x3bX(-l5t0cxY*R` zaC#QY(r95C?+fo^vA(2QWCbT*z$VhT>4vdLs^#X1_NTb`_a~12l)q00z^wS>bsuap z{31qc5D`V)htJ_si08`7M*I#R($1AVo}0~FL&SOS;kIyXwQKCVy6Ekmw(joB`2l2t z{uYy^Z}9aom$eV>IaZ9vh3_98-+2$;A2aTC%#$^D^yJ@^)sM3`?yOYBM9Rbl(p=AY zWyHtqBLMdkH9Q_y;fX33i9q z^YGcX!axph{1Cafuz7^RQ%Vviwbu>aRyo9kCtyosp!m~lxyHH+52P$-Hh0XH zd)Zsn4rrNb+TRtky<}by1wYB@?D6wi?SL1cUy#ax7B6;XPfLgqFk2QTi>wsQ_;H

Ctp6j^hu+qN3E?{lC(I(BxhHh2_juv6QlzIE@ywOs*EFL4AB6CGrW=7l{>ONx%A65?%-@PphdDk>UMEtU; zt87mq-zjLrS-&aB!An{9XK!){;MkgH23E|kR19)6mP;|wo6221cpl3+BnL8kjV`J4 zz_*-v*d_KQ*?Kx(Sl~F4Tej3+QFJsVgROijUBhv84U@aJ0hO;(=BGEbAqF1U7bkN& zXi)EJ?F1fZbU0bw3t1z&GKbH2yuVKq*Rt`rn@q)FobP`JA@@38`MK!c>ln(Fzycb{ z8bLFvxMSR|_Bbm$j-!lGK%%lmE(&|4Y#(NtS+j%MC>Ni?uM~XpWS%ybM;RvRbV;y7 zhomxtU!*MrdnAZ=q=lCJm@NwLceh~aoE$z>Ru*2$GXT(H+$mj4&l(TQy}bXYFO@Ck zCH4WXL7kp7<}mM_HqV?HdVYUP z)I$nT)rr*w;@-RwD`gNKZhnM<7Jq<8Gy3ynm6qI-2h>W4GnSq2G+Z=n?KDH8F|#YR zs`7guX|=xaczNSxuYnFNEiyQ~YV(K*%J4qCDrGBH1b}|R5(F41PT{;eah0{P4 zLuxWX2!Af==bS% z<1KY8jfI&lBojF=eQXTLlVOgjlouJ*bn;?ac(8#kf)7!BWKI=8B!>F*3@o!mCR3ZS z5?fI3rA038!~e#w!cfMijVXg9iBC)a|gw$w?cbO+ao@)(a+S*?w+l2Xs&a`)!pGq_Q)->ghvl9!@ti zYrYm6F_wyjM~g-tj?5tq6Ve&Ntg-f?hk~JM27j&sWZc;!MK$T3H~$%4`fVJhE75p`Q`+Pgf{!vSQSLPEm<3f|E;o!X(J|_K@BJJxTzL_NNzN$w)$Yk2 zx1A)2ZASp(3&@-c|FE_Mz!;b*M!&_`{7fR_96i&fF2yDtchICPcePgG`{DCo%cUp( zo|y>1-%7$r7GGLP-E10toL?+AXUlCcORaYI)hxl=@SSz<8hH_yg6})UKj8}tYQ_9w z%$+swgLG;>VV2uf=Z&0?6!>F#!(`-OuF@ zQ&0srcq#%yyZv|yZPCqsOB0C~jcP*^JQT`G6qkAKNsnHDwFL0eX{VUr;yZIZw5!L3 z;5D6g4mEvs=DP6kbl-KMk&j$>-B?erv&R_@IC&K<5hNAjIvAP3gP!E7kV3m{CMaOU(a_sAG>`QvXiMwI=_X zwkn2IefoTLrJJSijXB1bA1mUIYGcwwOcbrahFXE>&9%nQ)uO?q5{@jjs}INhsU1Hq8L55{++BK z!8>Bv&|yXgoPig!0}js^2hfue=%EaUOY@Ddb&X?Ja zt^aUzcz|J8p_SM6QT1iNx=l%NJ%7nZnwAg#O_JXJhD4 z7Lkm$NCLObeJG0%v1!&A6$=1|7Qc@<2JCRkGFYcXt`g;Z_)dzlAVqGbC2cGlcK|O! zcg=*`-B*fSjERxqV!6-PjMbBGo2Rb5o){cBX8fJ$dao*N=h+g);=VTCW;6bv`J+WA z>50E$_!lM`%3;!1hQ8))zEJDlX}Y(~dRox}xI$7Ce##X?V&gEim8oWKVA!fx!2F~GxrIZ%XgKU$1VGk9A zgCFhQDha%0QBnzmW89&ht63$o)Qj`6?~qk|?#%eWh-Jo|oh!z!m*UA!-Q_V8Cwei?m}M_EKtvkF z)xMeQ9*<$EawPdiLh#Q$X7cEX_BVNPbVD!3=-vjv-+PhG+=zNVdlB6mWn2;?Ga;n#3rgE4$UtP7nx z(?-)Y?$rL)8Z{_Bm)axP<%c#_A9!SRK_6+COnO+C4Bj|CH#>VBF}TwF&f_>;{fCRS z3lCpkyYTSSH}$Y4rGk{l1viPBr_&vJAo#|50RI{V5R(>MFGlr`#i$iO5t^un?_?kp zvR1JVC4k@xk<8e@qnT>Qj?9P)tX96{cZS}@g@%O4PfTR&ubHipQK(vOKf=jI~E|7M-(uF+aX zRNKB#B_W)wJ%!VTrPZvpRv;_aHB5rH5)5%p=)BzLGF*olp3dcsXV~Bp&9so{X_VWO z$~9mWV#rsB18=j*#C@$B!ZK7Q zozH;6Kk-w#7qk-8`DgmgtnOu{oDXj8bW=^=Z&|;GLj*Z*EQo5c)hV>%-L^l5gGy9| zjRL~B29gg=FTgLJ$%(Rje*1u@(@;ijo(@8F*$mkm;GF3Oms3x>hha!)fJO@0*;Fhs z13GMp%Ov8NtygjY@ayM;X-G{)+f7E&FckG}rnO=ONbOg-NyOU#G%c1S!J=^{QfVn1 zb05J_8Sa${E?$pwdV7=frEs(KC+T*L_LF3>TkQyG_1XzX9)oONW(FPEOR+>L(o^u| z*%Q$XJt09gWR?(AbKet|X|iFdP{<;=+J8hO7c7d}DljU?TH-Fj|9G~LHv%9zM_GJ! zy%ma6ujG2e873v-)fF=ht9d|4r1$Q9sQj{FX>AM#}$hatgSrRn(4uaW07=#8n5f!}t`h?`^G z<=!cO8A6?L#0;Wd2zE-Wb#K=OpuC>Uxy03t*I06_`>WMCt||<*AIQR0RKwRlEIucFv$7CU_g|WBC>qE8y+i@z`uU8rhp8c@hMMK+yAMYeO@k zfbD}<{L!fPR?IdkS1Lf8ODmbZw^64+EnW~k3>z~JvB3e|Fjx-LakHjEn z$pjX}jW`BUo-d62p-Z8A&7W|%1P?gB{%-{HORo5AYX_0}YjMkuFXBr#jbmMJv~C_gn!_2$H|2_%tXW-RBOW}T zKp&iLGntlY#r)>oCt9*k90I(^d?M{6Cx1k4>N^_RzUXLp@LnEex=EmL;&jBWGIG>Y zI9%UdV>P|2@96lx9#sPqvNVyS5J_iez=JrVUVYzvmqx$$f~QTa>(P+`7&93JHjMF6 zYqcr@+%bNHjfkB5y2YvZmVB4vt_`%Ppvp2L~}*Z|&I$s^?qDPrCexyh-ou z`-3C7;)HKLjJ}pAJFGjR-w1kx`kt=$CjY|HLj_#$8N|~-NBITeHLP+ALtsQc+Wbu? zfBlK_4q^-j!wWHI_qS-!NYZqE4Q}n3tRaR#7USa!j1LkJ7CfX{T z{y4WIhbu9&{6FR`5BKAK-=_~_>;0{Nu)^@Wf9qzo<&YW7L$ zG-FpbHRg%a)U5f0c_UD`O#LqPE8e}ly3)$6HsebxL!Yo%Hmm?)`xk|EILR_g39na| z7GcbsDw0ip*tf%BK5i+`5#)(^m6%yHN_cBV{)%H%?C{H(496c~ZW7Nhp4+kT3AM$6(HfmDoajPU9Gda{w8)lF~ zcBiyqjihI@`2xsglG=)bWH4!_ELtFdKJkTG=mJLH5+kRQ_pMeBmbbgcxjag@E+P=b699(N8Y@fAv) zLX#UsYrUd-ddu@P*4HWKu^_r$Yopn6=YAh`IQUGCy#S|KYIrS{jb#e+sf_V)hyH{3 z2An2AVZ6MI(%$JZ4AMcRC=|0ERoD{YtLMZ}Is%)}BA`MawHAcESLDIzlangX-8 zAI&O04178rjz;2zG-T7pf3pj@+N|8v8lsb@@^SyqQ#3ZQr&DC5MLuNqqnk@@znn5h z+y%)-oV=Z05N54ZGM*7;E4vhF-eGW}R2mp@WL`%GbUo+E7%MElOGpMc$~Q9Al}5e0 za;Rw5Ab~UU#+p7s`7k36hhJhA1>ZgwHM^JSghXa^v@SDtQA>o$^DPmkuJB`pB2)=2 zOOj?8wrb1*VuQr1OL5*V zv0*5}VJ)x}86obYkr88Kr7pueEAIHHW7!Py)qhX>uPq|Tr__@pNhNF&gM(#24i;!NG4ozdY`ggIr^>+ zou0osG^Ej&b61CpFSRaq-Bu|i?@3;bYA>q)7zd9~jz{;)EZo(5 zr!Q@NJu_O~fr;bC_aC_2)4YIpU~=QkfqS?00KU!Z2A0TdYBMmpKj=|eil?u)f7W*X z@QKgna}n39np34cljIpvsZ}J+X3ITk&M^2J7GIzvh~TUHj&*-v=UjI#ollt6H+9cz ze68uR9Qe`Z?JVQ+u#9WvW~EWRR_@dx@xiAoh>zo+;*Vh{EM13@Dw)%lG4LTCSCCvI z(9^xBoeS|H0jZG6#9-}j$GDO3f{aQ@d-r;?60I6D&S($lI@Ws9tamN)G0dea(ig#`*{0Z z&WDR%`TW?Hp2v@P=q)-6#}A_gjF@n_*#f}aZ8gfECuZJ%=YTVe0Tb1__djSKirHin zZIjvzR&DDLSHi`fZL1*)fTDV5s#PUd&5Wob;w-s>1ol>rUl zmveD~c-Q|Y(T)BV9hY3_=2ySo7u*bD&gOH|31>iqmuHTLl7XP6xKJ3*M0#(ENe7I@ zN@umXxTX*btV*>uN`6z&^{dtuGK;w2chlVZkVP00B;?NL;+d$k|K;zJPZk;}E!K+4 z*6GGqf#S)wS0+D0Qwu-YUkx!`3LpF>iy_WFIW)Y-ObfosbK(nGp!R06*|-J@^ZOHI zU%Keo@JP9A@NC$S>T zr$wZh)6pHK{Um0B%5meJM+yn>nr61Ah-Sj?iLNObn}=PAFc2t2M!<|T_riF8ty*4= zxT(Pp+5{g;obsIi<2N%d0UaUEul6CFQJ;d=ZFl4WG;T$VF+B)N3W?nH572_JZyag$Wv{T5=%%l^t%W~P-gb)v-T?)h+q`>aO zn9LD%K{v6FoVXWbrID}D+*AnTTFCSUY~K(iCZG`PJ(wr(ae(q98q2LtbyE>wc(0 z+e?jByE~{`@T_VsWVXLVYafCb9crSf(LZC^JZ=#324l?jUPtHy{-m<0c+T~ykT;HO zNK-G5?&;+?)+MEL_2?s()L(umyLP}I@?Od-otV!pJcr3S46o70v*-&LuTR%|M{hYx z7jRh|w~a|c`EUb|kF%rB*8j2{b<~q^`e>C$K1q)Pk1Z6h7zHq_wAvg}uQ$97X;*TT zkQ0+%q_-*tBuarMO8I-u|Mq_5!?NXZ(u|O6HevD=Q~`+HRU6%EPaS!d#b^?mNmk=c zA_|>eT^V=*m7@$fy?{C8h8TH0oAFtr;}U#%3`Fss|Btda4~%T9%Ebc$M#cc*3o00p zAtD#5D(Q4x;X-OSl@wjW>8eV)`Z821sp?L+Nmbpdq&tnM(T5m)`ZEqd9wO!`YD9)8 z4p9UnPavph1e7p`Nu!S;!Ub}<0>AyOZ=JJGCFw^0=(;y&+-DDKui;zYV!;qOMYo_= zJZ$w3xI>^}2(B4bdP9|9nNj8tbi-9W29YISj>UMaG-CLft+p4h)>`8l%Ybkd&x2I6 ziM0uC>`@OtriO>+mfSwm^D93|0&gigc*@~DY|Z&S^?2Lpr!fi!|K3JF6%6kUj+tf$ zKR}s-v&?-#wFEB#ruRv<1j;fks$Xu4P1RqGCgHowWl%QMb} zd%wkVVonM+KYc|)KXZ-BTuAHAVV?{@b-MCrP*(uXf$HK~`(icM+*NC8r!9NPbQ%U-`@=06A-mJVzHdeeatHtXuZMrI4?0w7< zZWtX%$(O|@*;dyjo?}j>o2JM1mCY6(jE8-)gtgzJLm%xDOSF>Q|2~UU z4a8E0;zOb3-{B-gbAtZJz6a6|35U#db#6Ytw3u&5Hwdd@wNu~tg3S*$2j+823)5yk zp%PTjSGcv~hs@XPkaqDH)DpkfXjPk|X)?k1dcLb?mZM9e0iE?ivuJ8CPK(?0LjRG{*QPk1$}ywGTba0qppOGaLl zHMD@XGZ+%;B2eBPvE;fzs*lLQ?>?GtsRYr?*0j1BVS82YAPYw6RiuRX~BA#28BMBn+HqU{hO1i!Xqp< zb96w?B6J~*0Yrg&bt}NqTrgssis>R)>k%|Q_z>kR#!4*wK&iXK7uq*rGCRo<6OeZw z%*-X6nCs{x0rK8>CpCCahpB*Y0EGhj@1y@oK(%L8P1@0VN%&-x4U}ESD;c7Ujg~5U~J%X6X5r-9+pZgkX)yI9IDDn~lX%b7^L_Ptk?w z9-rRO7|FSPMIWGhjNNED26zK7v?V5!J3X}KWc{e>D<4J1r}m7m5)V{-1=i`;+t{oxUZ$thb0eEuA$67S8Sc zBaKO!Oc+7bjXG+Q@Er}CGkXDH%_z({e*;Luo&bedKz~4ZV&`3!IirB03LJiL6^_q! z7MtxG#~SMmzHwx?#W&)6+zc|4V+qtoANUh?0XiDWrTe^6%xF`kTuU*p_!^j3io4yk zAX%BXl1WzpPH%08BZFD#1)*Pp!fA~~q_lPf`LnIX+o1K*y)0&rC^(&bW1?|aqj|jn zH}lRMuf${$Jc^ls%NqU(d|708xy>)b%L&ObD!x!e8*^6OFU@vTOQHLje&HapO{j6F zevRCPn6Cm|4JkPQZj@+4C3(E?QW?#s^mOZx*6r0pPu`1Je!JR%U=>>(y-(9|(f7WQ_Uk(f{WUn&h$+mAZKI6*ib=XRYJ( z{S;>{+U~|m@HSVt1p1!w3-UqiW_KJOgYhK-rJ#U-o}k@OW;@tYvD%B5I*a(f!*^I# z6n0N$rS@7;u>(3-G*Gkl!Tee@d&!td6=U_I&S7mcVx9ZsVKd^uOwr`!-2S_4T+Muq zIU5p}>)LDiMNnYOaJrc>CpVQXMbnWx)Upil8JqwyB;TPz|2DA$BHg%yRGwR&eoU1g_lj~?soQvO-j8M)NWI6UyO0B#p%6a45gFt9}LWwku%Phs;C>lD01JEOLA4#09AlA`zD zY2mU)h2 zt_n_vN@imzg9_TFjjyzU%TIDdEE z$2(!kS`!JCBB0cCdLC-6cBULtcEsrG#)4n(_{4SD3A~HOTY8buHkyt}6pP79 zF*lZhw=tcoWRsO#=x52G1F57N3x;+34uWy4J`3mKLLSuYv0k$0xFBnKvS1C~?$?uujYgWfYNj_)NXN}RQD1I3%mDMUI1sDjlz zrKOpmgL*MRFDNTzQG7dF%ccdNKRo-Nd_I+@yu17rI~3kUBOBka$SkPmOo8iB1wD9a zbpM;Y6dmIZvEErLPJccgd^gy^cfMq6BM4rqlHC0hM)onoNsVHY!PZ_&PXmTP08vgZ z!K8)I(We8{m|dsB(a-P{gq#F#0;HNc69+!eS}XyBBuZehN@w2R!71TL$17xQV*@Kbz0dbGAx%%O}7o7p_HmIgShz!igH|Pu7c&&nISL9 z!FO69hL_19B~3^Fh)gp$+nK30DszoNts5je!ikK1=i=1cnW_(YE{bF}T`a)LmP7#s zB0|raB;e>b{cL?0}msGwGTK8CkdcCk%yRH7t09&l8QgyO-)k@#lBk*Raj{wA^fM z)t?2Xb0sf}x85I;f4%)X}MMy$meX`m4jEa3qh9pkGVkvpC zm`dl8t#43O#@YgjWl4V0?$a1p>OP!bd4NTl+<9C1w#&DPhL~<_y_kgGXa#kNi0`xB zS84z}ux*`jOuwdPXNGc0U9ot~+!eE5)kp83ki#1p{2Bsnn_K?ufQ*_bJ3zpc>s&&_g&2_i0e8x^ccm?xm5bAQ^R_~!>$cB7S_+9fxroKtn zB$nv4>im*XF_o%G)G4M}hk7Szo{CCXs~OYOj2o9ZRoc!#k`AE2UE#F}-i04>BOqW_?!MQ?!{G+5iF-03VKvah_A0Eao6~g(Q^t=^t}CZ2mcJy@B}&EIswUE* zW5{t=kop1>#kD*U(7Hn-h-Y4=^wlIISpGh?3OB3l7~#$9?*m-jKk|e1d zy@yU)bf6Jpq0sJE2|C2HvSZnjTg;D_DV4ly!hK)kHF#<{otel1Y>=NQ4NkvX9)=Y| zjvV3~{I4GP8_V6|p^__g!Y>CDHjY7;q!1Uy>lHY@@&ZEb@mfTkTTAmEQP;XgoV9h~ zP+42&9SCm(nroVIJvKd)s2YpTeJ=MR2-GFS)!W9V7g#%-4nCpb;KyvoBL5mS+l%=^ zIyc$VrgASzX?LSfA`{ z%PI0R4VZt`=}xmfT|#_D6>3^A)tb?6JN3x=Pg-msYcGObc%qba5}~as3oo8=E<8AG zYc`s}Pdbw-B_o-VnFi&DkgPzE0&vIX+jCc;zB^KhEr!&);yL>Gi!2a*g3(;_dN@E{;EiE+0&1AmF6OrasPixcIT;!;`Ssj1^}LyLxB&F*e3- zO#M{EJ%|zChNqaRRGEj$xc@_(UpS*8qIknt9qpzODV-u})^+NO{Xc7yMob4>Dikh- z6R~39Qm*v{CTQU`lLDq1e?C#;5Kk`v7&Y6dY1~ivUc0x&W@@jIX zbK#@MGtcR)lM(lR554Khq1{`Y93H*@%}<`&)|0wOZx8i8*peuoym}<`9XEf}`ORCL zj1D}2C#k#T1=``{LVb7J%FSNs3=Hjs@~_jJJnLb%ub=s_a9JPLeK@jl=Ha2kGY=1k z+B}RI0v0wzxptKmy!+B~%X(?|;gOv)51;St*uz3&zyg^^TF(IIpdT3Vok`~a(#{v6 zmnqlr<56v$qaSMUZ;3_U&CUyd#htC6u%tI; z$$_LPot-Fee(visAqe~M30=<7HpKWqMkLdy_)HTZ7ghXM*Dc{qy(JK#4pisc|B^)s z`u9Y7M=TGEe{^V8kD~JMv!DI!t@l!zbzL;Pd)BSz2G8>-zMp&0c@J0K{~+SZyKWd+ z)f>8wok*$`Dx=xTRI0f1eOycEdZh>q?Iv0NA#f}_=%!t7}<$I9k zXbd6u1x#MTs~C(S%fi%GENKSN@WUP&{y0l}&^93wTGb{5j)f2P*nfM3hDLe{Cnueg zn|ca^UzoNFb5|-z#i+Lj52=QD@=&Uk`stg8@1&83kv4M;A7I8Zv~fs6AL~&fP)3&4 zky0>p-xKcnH{Rpv-M&L5Hux!S1iJgj!fQ)JA9g<>GIg^j#9R6V3B+N|WD^sUM))M1 z_=FKOV@|I1KyKFfOfN>P16=Pc&i;)}a#{E6Mxh9|;e>ibre%heQ3NCnw=>cv+8O>d5Y2 zG~yhmcK(>*KFk4;MWu2@DqTg%jDLxRCGbiQS`Wbx{RIjJj4S==fR_wuw0!l+2VFC3Voe`|qaGLUWV&F)Ri8`(#Isjqt`94zWdsdzHryI@yvd(X5G)=2^wU+@)?=2GpU*=n^bPCg`J@^Elar4(qwDdLj8V|>2HjYb9-W{mg zl4L1yRTgKe^MFsx)JH#HlXZjn*7+nf!$7jNbJ>xwm$8NVK5Xkuw^5vUu_39jj&sL2lC#G)4-;S0w6(LBV=8iG{ztn622Qc~# zI!i=eGS@ghQG{3@crX2P0r?&A<@f3#zgXLnijVixsfve9WZZNzU5NgMWpNrqWp2~^ zvkyD)_ZJUb5qH)$=!u60=kbk&c3#fpF&w-yH(#$bTlL1k?GysRE5p4%!z;N2l<-9& z$h%|2K%APjg~F`PS~SOFxG0p1`PBXrPf)l@*yU0N;_A6wPNG!VZLu^tx__qGx-rqI zCp)d!;$j~B+;PVpp}(_;f>>q(3osli|2Y*z zUh~{zHCwQCo|Ow$OmAx{7?!f8Yk(MF>#JN&-c(0PO|d0cicDPzG|XMqhTZfn`GX3> zDp1JDc`M1L?dn{^sC$aJm}_)))NNv4JH)b}2a}u+I4j)-x|6Doij-p5EcEOp&;qV~ni~+_a~8h7SPK0({a|=zp`5tj zr8Je}6xpZE0w<=0K%hyIP;p!UJ{yQ9!Q05+NnlSXkv@3IbAu`Q=(%$Hjmb37bT&+NhZoP;Rz&l@|eD3AU$lzTm#zCdtZd6xTVpD4EnM zUqBkI3OqE+-$539$Yjt)dwg(AATgu5(Q5(0MtB+(8Sos*`|Cfj!90)Yep7kw=^-VfYR46s(S10+sfRH$f_(N8&JnM}p~5uKzSG6LCo{?MGQ&;cnyD^0Gc)V2WcU-` zoAF7*a`{WS@_$$&Fe)X51YB;VSkHa5bxxg$kLwxS2q7CJ{@nQ3=lzMDNR5dU7ry{( zoiQhtxUi>%*YU&bKxNz6ds{+A6}Q@MZ7#tJS!X1uWgchGps z=B%gHduwLWW}awh47pGfEEuWzM9y}F?q-Wb?`G4I&9I$gC>;Igb9ZPW&-T|Sc+gh% z4iWqn`-G;ZiS znvCJGucW@5@qL~+s?&?M8suQ4)vYSx}Q=gB1p2$(Ow=th@0kDpc_QJ-)Z5j*eF#z>5UY&1F{hdt@t2b(k)y`eB z&t`uQ@U_@F)dw_D%EyhY5H4?pYkW6d!tj=eo-Ebii~x|lbS0pkRca}0Uu1Y1FC}`v zle@6E^FU^7b|Rx4#X6EDr*OqXRp32o?c)MK7%3HCmEc{HpHy-3K&*n*YJGvu*dV)k z(lpU+H)~5Xi=}#dq0|2n8^-mp7qV5ZEm0Y=ehY4~Qn@@5-HZFO*blitAz0Ob%bB+g zZ~P-~J5xJy-OyX2<7W_+~H@7ui!*lW+HWIXfEXzK&EwYu)|t%YW--upck z-lCO_L$< zY9J_fCHj+`o+9jw8j|Rcou_^`*2U6v&&#b_+$^;Y)lf&z* zWFnL&8or53og62YMNy0M+$aQ(^_aei|7ZI)*4jmZG3Dp zZ4@$mD72E55o=jA8};!fa2liMtaKmovb9hPf+|M!^g=!pz*ePHAVA88r)jF@VWnR`=1va02q5l5Pt zS&F&sI~fOjX3uH=#PBWr6P0lENFzLGh#x0NC*4UpU+hO4gAT6n*&(Zfn#t?O1(y#j z*99_ZmJsJ1RAi2%rNa|d>C|Q_9ix0LR_2kOaD~DJKgoPtxO=~!?ZF`smo;kBTk6zB z6UR#7F#0Y#R$6N_iT}U-(L*BxMZUye-ogqd?!Zv_|55Ec<6L-8&x!K+>^sV;e4>+} zjsq$gLd}7lr_dttHY}qSNbqIz3XNxI6!h{)GM~|Ya!d2`z)iMmon5V{f}6b}Vc6}a z3fw${tG-Z7If=@68hYZ?Qy4M8Pa_L08oG5Yuwr0WYn|?!|fgx=)$2}aKlXOwJ8a%j@*sKMXU*2RP3v@rN zU6Q>!snnFF271_EJ*KrykE&vO|* zqv!OZ7^y^Vz9?>Axon4sE1tU9(2&v1!jbKVlh()X4A>Vkp_PXPn9Mcm!H7}sS8eKj z;EwQt-f`l1Wc1-@9v|8`b(|&8H$KQc3H@y5dorJ$D92{+cdM@$IeNsIUoqVC2)-lu ztoVvP>%_(9ru5Q>cxS4I z3ZU$B&R80S*amb%6t&Bzs5cWYkq3Yx8l!heti-a^J4`yI{x@*`o!Kvu;i*TlU(gm$ zW60I7Lupu6O#xfKk zEHkufBAvV_NwP-E>U|zP3*u{-$Ydtm@lTWK>(n#CNBRs46v-D8rVGq96Q$#-E%(-S zTO|5m-iE;jh+O=dvk$iOxa;zv6}|k_nc-bMmuxy~6bO_y zEyWHW!q3OUYTzn9P?v^y!{VsoRD?Dbx{pO{@N_>~=5v+oDOU-8jB=356w*1jkO$vV z>yKEt)tW~kf{|Qx_TtA^5sh}W`V6Xw;=eO3TArM(&8HVyOYOQCXUhlFqS5)R`PN)a znyFhrwi$pKDj4I z_u^+}DGx+o7hTmTDYmFgNPM*k&FaslOC=|{`&f1{E14Q)+*p^3a7#iHij&21{QE2$ zs_Nfii=sLHD@r`St5heOTBafza6cI$=S>t#arbHe>f5s_c1L7lkH41NRnWRf6k`nN$Asv5@M9J+$8djq&g`n-=xMh! z+z*ik79J3ItqxOLz>n#)$&{Hnn9EZyxB00|L%ZbUb~FtQ56_Yb4227Y32gJnX}95m zxej8i<<|P0=8+k8O56%7sQJ1m}fg3OCgl9E*@QmxjyQi;@tn2l3v0>!R{y6(a zM)k31{A!xuhV!Io&wE%>Gw`HyyZR(FcSe{KtJmt0>AA+Xl6BY(m_?&UKfrZ^4<9St z3DDKiLn%-3)49=@DRdUIUg7k0MR9>!?2J^#NO7^=VsiJUW;5dH5+xDF%pf`gb-kHl(>G520b(jyzoJTs;IVz8_?9LWU{+(ASHcHcqf};I zTjIw{i*?hbbicuuH2OhyD?XF$8S%VDE8kCmrS&AqDamIlI;Flx@-*7jCC!Z}Z>cE9 zImtZ(w*zc{V-)N^lyAgOyM7hIdshLX62?X;SpamBP9{Bx!aSzSL+%*+`=(cfcl8SF zOl<8iqF+7>{a~CxPI$NgQ9V@ZLUcC>PGhy2O|{#6k6n+{AtKZaThAch7}iTMnGK0c zyXW7SEJm>;g+v9H_v1|ULbE#U`O5TCr_wOH{oydhl8oh9^pHkwuKW#EG}*Jsn~_XR zAOaI9uM&KP#Rnog%$=Qg*&0Y7-3hab`B9mnAGAQ>6yn_8dM`Bs^cCYx#mm`v9?Wa; z%Mmv-5M#gzkE=IoS2RIwUkTT7FGjpFUxm)ISiM@`9<-vigj^{&-|!(@uY92RX)thJ zHJol&yz6pme~;yqD`0Mz`a?Q^@B?vx!cnHxf#4uyJB8Mnk@i3Zp7qzTp-=BQ zZR|xV=Wc539c0;sm@}G46`P*vdkA$89L3DaTmUmwNWCloh)DQE+*w5>JpN8yUSeUFu%<$AuqHiRB1EgHFRs)V}ZraGXPN`X_E{MCpRR&@#+lgF~%vmdsw$s_$E0v)BND~@P zp9)9+lBfKx_tW!Z9Zar?PMw?kz$Yx7Fa=Vea?aL+*%N1c(9qE4oB2Wb!D?n8x9DV+ zBNcz$b`j$!{AE;`aiFMrWn?nI6%tW@KTaj|WHv2UsKtr;92Uz;Epf}M!uxs^?OBhx zCbVM}F(|DbM_EsVTI*oX%~>9#Mih542@CaVWkI|~DX(G$06s_GN#SMtm7WI~p3P6Q zU6>0qOP$5$0u5qKdoI9s2nrbFN~_->M1h7(fR;=^ zC4x?}+{;T1L6!PTbn{2~$)epS3rXikN@GW3$N zv{W`4Fepn$cWogbKJEOzKJ6JjrzQUItx`E|@0p+odgg!k&TurOw?4H;!@=Torr@l| z{6KnY!OIAP;Gg=%&&m9T1nW?>RR#@E0eB#tqNvAt4%`ovY8o zk&JRN++EqeRizcP_eHk!KFnvMvnHs)u7?gxA73# z?xnSjBdln2;7tW(*~`zZ>gD#($lj?#=lkDom&Mp6M`-Sj-#;P8@I=#{W7&zam%gz7 zcE%3>+w){-WJ>3WScG(k`2;tE@383uo+unL^PQ-+38R#bMgM{>dNh=@*G_ITkZWW{ zUt)6Uv7NV)s$Kf2?BrbWa*FmH8hxi_b2)J?yrJhrTcE$58{USx>;r;+#257LYb-gf zQQAYBpR)iKDcokBVRp>q<=JcG8rKWMM|vR+613rm1GYMZZD8u1p^1ibOAEDXNUclU zF9DxYxiW!*SjE!eHyA`0a8A*!BqVa(_sC^Aiiw$W$6^RBa9fy;~<{X*;Ct5yKh{E1}aov@4pVn!zIPi*W1i;kL4T>SW>(cb63WwxltwYP_bi6bHbq5`VVe*+C+Cvn+TVR z(KOsKvV!4ftdz?akc=SUx6nQ{jZepasss~* zm4Z~Vs?63>{veZtZ}pUj`&>TdRu>H&F4FUdxt}a3RnOiB={>?QnO>hTO1cz2-T638 z0f`pI$6)pveb|_Iz(&Oq7j|FDyevF1QOG9qo)pd<1Y$WD2n-B1yo{U7^{UTM9HSaS z^wc?HyP(GQ^3;3f#aOqBe=3zSdmnh3FQTE$g3vE|8x>hx$*zOezWGd29b@WBeV0{* zO-NdncyX{9Vg};>6UaFTedJxzWL%PTC8C^7mz`v6A_?~&9Ck;)N70L~B1vbeqqb{f zYmAXq+Of+Oh*S1=m#&F+w12mbb5b9qEjXx?t!oYpuc0WsK@9PYcoPBTj zxR3XqeLAxFMFREl2sk%Vg?zSRhVtNkEOmAEZ9{AC`c0XPCxJV z?T4_(ekM!I)QmxRuUA}RBi!(l;t_=qY3_O~Xl0bB@#-|d< zd&?#g1t+<8fnYLk5eqiPd=h~%Xp*sd0F3HYv;S;zfQU~HaG>M>c&bqG0~O+eYkoQU zE3~8~FUTi-f;cbUH3vHCwos3+y_}vP(QM>%&a<52&MR0>5k3Tt@en+#Ip>m*5kNoW z3-qzB_0vrtAjqY~g=#Am1>=O+z)=8L0oVv_I-0AQ1uOfjC4!YNxn zz)~_#Gyl4ZkzQ}M@2Xs%Tb!+cy>qTLU)KUo4bzKdtx2leK(~7oA!K!pTDYo*mB#k9 z{)7Yh8rdXJiUzk!7<)57F(joA2B;r^pJhRM(;2#kQ@ zp#o0g#fs^->U@ua(6AlM*Q<9KOAR^&vQN{E*z`Ry?{_%bjf8I?Pk$cwL{@27b+&TCGH!ZZgp zDFh!W2@nYuG(zs*@%M942_&0>PgaFLQF~uWl^+{&MM6w-jqOdQ8Ofjy4~MYE$J1Oq z1?S?yUoetIHnm@AO8w9<iQ+qFlBZqjc_hW=u7D+!w&U3h1s-${UmN zVrVoMBu%gOl=FrC z$Jp_cJd_+27bAOHzi-1VM)~l35_@;ss_~~XGmPDTWC?WqFc3ByBR&W2rH>S!&vw%t zR-AC%%w^c8`)Rfu!vX%OiBgh-z56X2y{6+c%!-CRzr_y250E1svUXpQwOBJq^j$RS z!>s4a4r$({^<0F9{JGhq3@X^N3qE60x+rOom+}R`CdNOT@ZNXZ73TRT zoqQ_S`))cXv00=OG1rN#9TRwjPBY>>9!vU^seAuH=aql)(CF8BF(#R}Y?Af)`eHrb zZW(1+@in7risDAI?yptbdm2nd_r=GM2E~9teDFM#iy+9gV4hg**h=S}%~5(=#UPb& z!&srKV|fV?PQBvG{DKP|7Zz^w7*UfYmy2FiE zGb$<)K$Ns_Djp3DkA&8L*H&~oNrdhfw;TL1n_r~1+j)^g5?k8W0*6*GhDN;4*iyF{ zCRQedJO?7kiV5^x#@To{qX?q1YHfuANY~0*T>;&!8QaFXE`N$BK%5eF1R4h=iomxD z_361rtxu~fqkGB&)kbaL&hlMUXaXxFrrb~Hsc9t@E({!4KH;I|-5 zE2VVSDTGEJ$w#Vd3)!-$t66GhkSBDsLq&@3FYdgE2+CVOGkm1x(XB6w>}b*GTVFQR z_i8>3y(#Jz+GnhkvX-p&mAnYVdQ`cuZ~f5WQ6=oY^=0P{wUWZEFB{qX_%HkyzU2JW zchQQy^<~k$hqGJ%hPWOlOljs&EAT3*kcf zk|a_c{umdw6c_TH(IACwU#r(L)f@G8r~lJdjF-yUSUN}U=9Rb55ss4#4tLgHMkO7O zYcv}{A97r>sm-5x)lI7 z=c)q0*SW5~4muXatU#gHi!@b4c`*X|(%gK_*gwX=H9PY1&)dWvpOhz^+|t}5bfDj{ zS)+ZWqe{KGG(!u{5X&V0JPS(U>N(`@G^+ERSE-pocYVWuVicpt?sghr=DrSpnhT*IQW+}u?!s* zL%NllDNSaQyGmyx22L?wH1-dc!>A@5$>&{gdMi{6p3u{snJOOr;zD(PK0p0p(<>d2 ze(kB|?N>^WeNZSu!;z>N)^p?u9qi?H>E*vGTM2W=z*e$0!B{+gNXP`Q<@9vM^xLS` z|Dp~UDfl|@L5Oi*3`b{ap)$AeCMv5$4__!r2y<1F7BCl4LIYac#!D;|(yXX#CRNBL zvu?5X%T%hdm!eCh5L&sALL?*;E3LekfE?+n%mw!aQGZPs)4Gt26)$Yu$?o%g7r{*X zo{zAa@>wT`dq2vPBIpsq#?&>tS?iYw6F%#vk-m@dCbZ*vy?R%uf0R?%I}i@(0k*lY zd+!O~N-(#+|KofbMu9$STM7F3byIdwDn0CJ(mRi*9)q{UA`+^0m0?L@Wz8gjyB*SSy-Qi4UnaY8|knU%3%g#D-{a-P{$~tmYm+ zmf~L=)_&M^@EsqKVZ$zTEvjl30C@>==0~4L?FzRtx4IC=H%DJdeNe_LpAH4;vTmr4^r6`kBdqSX`52zSxQmA{NP%WnOwXe%0a`&TjrUw3d{#Ql z$%W==gUA#p#s^-$^0o^9i zM`q!MH7EDz99J$Td?u7i!-I{Y1jgRTC!{h*vQLS}gz`jP_b~ww7@=KSYSe3qVr)aR zdmu_X)hqRi(4h!A8LMsT=~nS1k8uI%TNxv=Nah~>yp{T(?K9iBE0e$V`$S3MPKKe8 z^A+nLQL4DOu-G}AX87F77<#*m7Sy9zyg0QKpx7ui%hB)WM5Ak-WC7vW)`u(+SM}=E zHumysb=8#RLq@~=kgogU$9msj4+@*fSm0UcZGfMBp=o>AN$xm%H#+|FFtzsf&BJcv0j7HU(rgn%m=T-kSmbh38 zemdge^#Du~9}-CI>eG}i^q8DG`0KzVD2+=s-U4E=$FJw^BI4(AtXE6z^3V8y{)vYH z9%qK7?^86*c|{_sR|LSU2yytG+NUU4UY>Njp6|@+pH_5_T*5p42gNF{O09I=G`8OL z=lGWXDgIfg=VlgHP`c6>OwspF`yLgWJk#o$ zQp%#GQyOF6UYtkTP&gGoKOB#Q4z5uR^{$Pt>a{}NWOh4w+fkN^6BiDZKW0H(f#bv5XCFV;|8X8aG5bs9(cib6c!3*6mi2}c$ItKU zaasOAxhGwsxI`7&{56|NT_{)brJTUgLc8~~zFOU*!T}oShg0Rk8o>TNH(!Ufb(H49 z!A(mYt!vy#H?qRncK89#T6Dc-BO+bN?7s&J;L<;EJEhn5-`m1!C+-R#=v{bhwR2;k zP+jO>r*BT=VLoX2VbZ03c!jjoo?t-Up%kLBGprIVLUDhcv`>jk_%SF5AE-u7qh0zfJ`1ouS4jA0GQ z=s+8cKHR1NrwbR|NNQWFb4u2$W-zC76-4BUlE&;l;Lm((QoF(8k=MV|#seke@mjq) zpQ_$fFBzSG>QQ`|e1V(a)KSDSu<3MeG}iX5+TGndx!D(xMo4bHnBKU^{R*3~o1Kh^ zEwc7;8b7#DO6|B{5V#~9PJN$ZC`^1hh5SS=xuwPc8cdN7h9f68I?Fu|KYnR(0a)%o zh{@QPJ-z~i@R*z)&r^%Bx_Ly)vptxb2(+?5n*N0VzpGhJC@?nHsA@ED^iG@8VYX-B z26tXT=#gY^G2_6=&Hg7deQ=%dIA)_qpR=RxnF3zum<+(PpWzDg{33$=M!sg^B9~{c zCL`j}rE|F12c)r-oi-#z?I9BduHQuPO<9n}_;I zT&`}a42dm3aq2kBfSNLVOdp~XK zTtIz2ADa4|f08Gjx;7koIj_Z|EctH!!m%dp<2ya1)OE9q#HMH5XIq~-@rAIxor@bU zxal3?W4+^qiU}?=U&SonpDLz^@(ik575~POVQ>VHKcXNVn zPrsd|Lv1HIT4-!iOJ<$UPyHL01{Q!B()9;eSFe+c@9LlEuM<2U1AoEtQn=e(i7(!M z35)W{&G|&=J;a+@d_t&@PHrAkC&5LjSYfc2pj@IiO#e%k>1DI@jEzvp7gjD)QlZ)K z0wcI>_N1fxnDT^s(~$&9nx%8@L}~dIFP3h>J)Ol`MX+3zs`=j8ZKZO=b|;5DYPWc; zCZ$mjy;c?qBigqfzy*a#i^Oh`ZV+klr7MFUqza3nZA(d`V*TzVqkYa*=gG7x;^+92 zlmZwd;7Jo%&?uzi(9(?nSy`}<7X@1Y7+Y!5VdbagvvI9s-*6MPBWEGM#Q)+6h z={04nuwFWa)|%0Au%M2#ThjTg0}zQvpCIU=(IqU6O%7 zMXcVJS%-NlS=iBQ#jyx`EY|6m21t~!y)?7f>AT0ebW+LUQ6R-DG1nN-m=3;8>b#q` znU@r@M*l6A(g_ruinc8OsaLu;W_F}wtphLpVtudXy>l~*MKdi-U$6c;Wl*CLp{WkQURAW6$djw0!R!IO(I6L z|9=@jlCDi%9C+-X`C`sX|IaQhCuXa2jZ|l0R&$0VBExd@$@-P5Sw%^Sz27+a5F3rD zFC<9FKU*|wGl@Ek+vja=jK49F?gWc#KSEORr}vx=heRu(n}$OMUO-7B`yD_1yccj> zRwSD!{-}7KEEaP8ucg#NCz=7BDCF|-SYbR}8vL3KCMtBjTpY`$(-I9RO{EEMvM?9y zpa%+b={g!7T;);B8m^{%qwdiYxb3DH@P+b^us;OApNUO6m7<%TjZym%GZ4{d)9-1l z&$_vBKnH-X#*D`9BS-&0;|=4Y734wMP*`dpE}oiOp4H;;5c@uKf4*u9!+(OF2=oYT z1_b#)DfA%f3+Uk4s*xE3@3)Ip^rj42kSfFy$Vw|Znbk*9`;ZxxzPR>gI%iblS6LGT zy6ioK>T1CDW2Jxl?)O=8TDory1_ekCAk(ZJTe@^Ai*7jz;fL!pgXIuT`XI1hpJ z==q;a)e$plu7m6q)R-9$)R^(8-=V)<*nSmrA8gx)SR-ub`2?5B;;OPnAuV3S+^1H! zNuqPGBb1Exi}3c;reqc7Sv*Ib^Vi;yh=vfDX%vN5eeQpyz#@l^-P7(b+<23Aq?I#vj2466FeC0dj=2U`xGn{ zN91hfZ)mk(_WRKGQ2!$iq?fvmhNtu>ez4->bG5m4u|9ug^A+5cyDpDx>gB}403aVX zJEbwbTuhqL2XX;Fr6(XOiZQ4kt)>wyX19;E&B`?snT2gSeHu9Iddtv}zC~0h?1hzV z%&g9yeoAZfxd(m>Wc_B1$pJ2sI)hE<6?G&D!20kDRA-@8p4=mb0;zW`qlC7rH~JjD z%(JG=ggftB(>qFd=tE&lOZ*H2CP=1YMtN8bB<1^`7h8SPJ*USfzNbe|t+qlT^kz#{ zEDT%REHe3GY4swRU+|jgxy9>qLe>ww{=v`LICtk-#i+e=*Q)cf6%6syMtV-9#!;I9 zsTRR#EILpTe?fJ=GF>;SX1#KCW@b>+Dg=|>kJ!%akB4kSN%z7Y&<8E(X^(u_mCsP3iuJ0WSe@v#kChu(RnPM>G^S*_yJs; zluvYiu({)*l7Ey2?AKUwyoz}4Y(y*lur6XW`!3WF&ioEnS1h0`Z^FutM(Z|gZd z=g(Jezx}3)(Z&mHpu0vrZL&Hat#X^fw}K`YI+##0jrV`jm(D`8qp&sTiQ8YJ3kjV| zI?-O`5ykAugi@E1xqL2Vo{|xddtZrmq)4?S>e!k^WsI=ZduSVB6o{coItg>9l+IoM z6Kdl)*;f2NYE_B_ysRcq&|x*?!E!h1mc5O$=Da^!cT&^^ppY1yb6nv$P=$Pz-^+Uk zx4o+SXu0?l>6nTS3@vs|kui6e9^vIj&_#`o@N(F4WcE+wQoBmbi{NDonfDW&da}7- z^yxHEmRHQk?H0M!Vk?=J2oVS!B;^vInT{mT=M9wc{l_r9a!J>b_EdCt@m;l#}x6(2TJHRaiR5(T%nlD zP<|Fn3+Fq7*O>%@8$1!NR4T4k6v6AD4}l8@5@BGA2n9?PKdVvsJ$pXFv_@QjN~XDT zX+xKx1Qm z&Q@FX9wi;*<06~-IQ}`bqkqy+^EG}mxx~#ft5sysTdbW2tjOpi8Ghn3hWEQ3dG4s| z&yik*9rDlf16_YcqxvUiL%^m?rw?C3&SGA7yQ|k>`piEvM|v0LME!jBs<(jy)lEis&h_qdfzF$X3}9m~INr2hx`M*qn9))RTe3#)a*bPpxABYS>XSqQST8bvTZss#aIX1T>;C6+1WD{y*L+*q<=mSi?@!71O( za35ac%VgNgiF~H-ZB%!>wnoDJ+F$;W$nleS1haUddRIN22Sb7po`fb&DK&wA!|=fC z*io2b9NI8qd4~_=z@o8uPNLcgAcB;UZB)6#Z}mmFZT%uVu8Y~meZNJzqb)$PA>yS$2R*q~6rCd6)^$B7LajEEuse(~} zKog#%mN?LZuLMbiqa|Q#C7Ah5a(IdW82C%Ni%)*X~M%JQ2$DAMK}Z#|oh?<{Z*u=o&#&F`Lxy+myXEN^fb647XI= zdwai|QK&gElQq_rfM`i(^SN{>579FI5nE#Sr1P{Ajvo9q{imD=QjsABbta+C(~y=~ zqYulYMp;%~XLJ~~2t>jG3Nri6)<-Gzpowu$lE}L-bqjUK`1|N&6%E!*S{Q%vr7KtJ zZKie~{v$Vkw7WiEomMwsC;C-e2?$Bj=G!MDX>dFq{x4=nqfuV9^2|iz$tiFv^QALCm3+r19)nFe>x_ERkK!H`h&L&E50lM$C2^iPacBfvDP}1(bocy=g z7K*w4w#Bt*?RwKlw~)@d*O=9eGk%hz7@RAb(P5U)btj0yT)?+B7^N+>!SN2DS}uQ%>fu7g31nBtDuwW~R(O4H4y zwnhQ=pHJzDmrNAn<8WuKzm5h0MpL-T3W<_v!%>ZBr)D3Uks=fEl!Em)t)h? zxg<@@ev~_}56YM{rqp*(Z-BN;`bbfPWa(=T*XlcMgoOy|0?gB~bPh$D-M^xhdvMCp01FkI(tS&60F7_)& zd^dyCVwGWY$Px!Eq@e&imAqGI7hjewxBi|KO_&6hV3u(*AruYmlLp^948vi67W5t3yqZ>f zu9;n0F4pG{Kfom=j)_DYS&02*4PTsT z4tH{?Etqkm^i6%2rFXH}4Sufh!9UwxBb9|icwg@g?rwsi4q{$W%AwW9cDa_e!9A(P zCK3fp%WNP}Fjw!-cSBc9n0+Ryfmf@H4t8Au|`2@=Ptvr}-!DS?8vb<}3 zzsjYGe(>h1)3^dpQ@(B1s_DbN&srFPS*xuo;GhJZR~w6+CVZjj^f@+x$k&q+$=vJ{=t+Pk06dh4 zvRoAwtYEbFz8+*im+}N|643ftW&FdmY&2(PL26W)HB!7{jPI6mz~kf+fQ2&%|5oOu zG|IZ73%TVRxjGuKwvh>rnIef;J1@5oDR`~wwGHKXk?n4Lq6Clmie~cpa@@HrZ)#NO+#Ga$asXV27IWF zpZdb0A)ELtp#+Mn!CzuFI-2I?2^I6HT=^Z`t%6S<85rWz(aKWViMbO-{R^)y67tb? zG;*j%F(A`(i$(Lj^$gHS0&$N%m=aEAey5(Fuf+(WhktKC-Lzc~92#Fb<2A#ZXB|D) zdyPkBM>YDRkqG4%a%Fd2I5Mjjb{##xt49ML9~s^oKI7w~gV!zIg&R63N|J?*cX0nh z$jhU$brxESHw0nO_7_}lAe<^udvF9U*0W|}l$x&j-BO(fOSzmcgd>$mWK$!1n1GV_ zX_PB5PWFDuP9sU%kTG_jU>)iKfOF=;)(a@%F-Ao%-UgYT&pqRmzs!NJ_l0n_7zt$C2gid3L5UY`QSMWMl65n~4L( zbqh<&Fr&lk;1uEVm zNQ_vh3{}ER1$gVN*9-rJ+!U|g8v5=;>?r9M@%tbU$g4KvsC-WYi&S(9}o(Y+6RCMi; zlIr5P8f{^KzQ*6oFcZwtD!k1CA;``d^KfxyHr+7m-7DYMtEfvn=SuhYv@~Z`)IKlL z3;%?fl#}DnVw>?j6Y->z+Z(2$gImsZjGkeHjm|{tYD1ZI32|<`iJ{Fip6wJi_1Tyq zg>qhM)23qaLOQYXy98%3_m^~y->bX%)5PBRYh!+Lav1J*vVq4kh~nyT4)dzo;n8v zI)lOMpQoxOuBYK)&@GP}J4tXFD*;~5Y$={1l4|RFh<}qQLv>xgaBrAJyU>Eg>rJ=X z>7+WcE6n~Rr@rSO@(Qp<&Q(%o=R1DObG>VYl=o zLG~_T`T$KpvcKp}j(tSD0?*;<@jM>FlIH;@A4=U67gU8Xc(GZ*e|Mi?gU#MzWMP|~ z47(oRU7wk47L$o}rMd3z1*g#W0rtW`&)FrgvT%5{i`VCjKn{J}k^=>)@RNH#y(3Zw zCsJ-wp@;w(TqL&=6s2izj_y`yr^u>l8}-)oU_^lF$G2G%q~k4_tPvoJZ52<>k#2Ez z`6xp2Kr52rxtz!z+t1nzL!r3EcVS5Bt{BWNIW-xD7FZr;;rfXFh1S|1oDB zJ~wsd;gQWV51&8M!%xFuZ$TwQ_LOCv$uVCBxyI5odVA}`6m1v+VZMOgkk4f<=LWw( z&J)SO_wEuyU;KA@sSCLBm(a-(fBPDSj;C-8zGVd8rY2#EQ^GnywW9p` zhpY$GjF0QXtIZCa+r8JWCuQO&*8TZT9-!vHz5H(B4yZ0qR@-w3aitrz`m%8Nbaq~A zF;*0S=Q(>6s)ewnxFA#2`A(gNL?>pA57ObR{{o+a{vD4MO375Bapho-mMeY$Dl=WV zt!$D4s>57F!dOV5dYt?MP%40mGFMvrH!ds~Gk#yY)f)12zyNNP_o}OT4(O!TQfC$; zZp;S8fTse*D@CLVXSnIA4JA!Q7b+@xtfU@~DXo2FJw@T6fT!qD)>E`lZQmt=BhfUb z$lwLa6}2=jIsNBoY2cHYcrZH1$>FmR3L&uOx0TA+?e~A2E5_O)!=56r|8yz|c>fHF zsA;{0Q7v?WN4rKa7`-*Lr<5mwW8u(@JqBMVl%3wkzFs~@IC?mZPO!KfX)PmxxOJAM zqndVrPctGOMh1cbhvT$it$RIeX|Oi_ltCM8vt}N08WlVrmn0vw^H(wxrEu$=+(59r zf|*TT6!%EWaNu#!9Mh3R7%6Z)1GWZN0c6u=a!H}~=vAuDwq2oNo9O=l8xbecy<{sC zyuQ0wmcA+9d#|mvDD;c~4$i7dgM(rfS4xQs13yQ_8a=hJwCrVt_xzAma(vk1L}Tvm zrFy(pBRgAr_cZ~@AnVdE<&4^)52dCfSS~1SKI8#;dO|YWtGEe#8eKO!UFPcT)lXR% zy|y-)p4HZNeQz?B9@n0Q#N}=QYijjt^lJnkk#D_?)E(;N-xr9~!-f^!g``hd1GC?i62dBzA4?}R5}LW- zev8BncyzmNgodE=q3DSwxvXBSz*-k>6K3qIi(gzVLFf#9RO^bI!C(^WkzPc5(Cwjb z(t9z`1Lm_CFU=o-9VWfP0!M^(y1BghcnjqR@qm`l(Hb9X4`me{xEC_X#Q2xED$pib z$0B=E?dF2?$gEsS7Y?yuO!la@N4AtLJN%w2n=3>E@ylSNL0$rb_oQKv8Bc4 z;Qg(9RfDIC-*>8ql7+8ycl4FG2QXY?;Phg0f zLLL1nx{du!A?YOIX0zz|5;p~Oyhr2Q^DeIb6W4~P^jb8%^#3#V?t!grRsDD`@+k1V zP~HVZBXXfC7xHL(a(GCS%%lk?k2y1Gn{#=jIZfJzntw2r$xYT0D+1i1q2I%{`P0BHM1ve&xJp3?&+SHJ$v?Ed+qi7ET=GM z=bgPrwy9fi^|StTATAXj!rpWe^W^}1YAhZ%?e&{DL=rz5MoVd8!T$Fc_yhE{)~S}r zbF}d|txgTx9-8{T#tDIFrsODfb}H=^*hG7hx-N0o78hAyU_EB!pZEa5-shy= zxDp{p9;xwo-GC|B&e#8hlT`6jD5`CVax$icgxS>FS$M=HwfURssJdR_l+)o)Ye|R; zq@9kqn33 zALzAGl4D7=?riI_Sc7+mPULRPE%TWRa;k5AfK$gX_H@$7TuHs1y>b{&tJYGBQ$w;o zvQ{g{BI4p)<5MOW^og&dFXePUjL9^nl~gcmeV0!$JTZ`S%8()_|G+vTX@Z0v@78eu zL^hw`{dX{e85R$qrj={8PP4uFui77{^tb+FwD5f@`Ux;)=^R?7Bnk?2L~0|aBG^PQ*~neS3qQJ4ErWRVNWsI?+i?M@0zudntz+r?D%eZ{SKw z7;iQapMM&ArRmRjVe}`id0Ic{ssc;^r&@>c%=3p??_)y^hFK^=X|?1u!eMs`l`WRI zbnq@-Nz79!4;9F;M}LDYxK;Gt@jRP} zwJXsle&=2#->9aV2(#Y%HEm4s{DqQxvilC5YAbrI8~>B~Ii3kN zQDkgq)(9QGg3E;a+QL)6%WWj7!Mr$)*;jjP)-V$GUPD)CR!;;eYzK02^i}*AcEMu# zYP+^@@+c-JSBL@}o2MT1U&TBLw05Rg4DO1)o^2SoOK}dRco9ULk(+um9|L$%rMh~x zwmSA9X7ShpRBaTsFiT4Lr>Ypu#{6e=e1n>=?!U4|i|@ePx^N6Ya}i^)dT8BXVH(?x z?_#AtJ4@ug{wiJ~49}IJFP5B8baU-9#85C8UEJGJXHMo4^1Rm#-jA@I-$^Y=N@$55 zzu)IeleECOSh{fdF&*tnwHX2Pqh4^F*Y2+9t&@>xAbM+fVzU2N4E2V2^9PDU#d|#y z42hJ%Y$6Ge!_h^q7LEJ;f{qe%dVf4mUEw<0IiS8G%DT3C-5Y)Mm`!99MWeB;W{^&q ztu@v<0YNdxy3lSvdWbeOBO;VM?3Xu1`N2St##k&}ak06M1)J;a$pT;u`R9C(Pf?nu z^i0V%a3H6R^lVK+=Z43C?*==PzBY(lOMBW?fnj8?&0kSCPW4x;`M1dgTuFT z2C2(>VLC>;^==NpJO3qli_U52iXjmM%bw*H>SM$`FGanxXxGx2FFJ$$Q%H$q%CA#Vd2 zC-^ZfirwH{(_8W`nj|_!C!M);ZhGtjyQ`Q@BjmUUv6YE%ME#6Mx!lUs z-8g0LM|BzMOP83?6_UclqMd;lv^UIq1bmEA_~An8epwfABz$$m;S|$_OsueXnUU3R zJgtdKC;n;8&MHD;k4507&(XB{>|RkXRDSq-I$m~wjUWc%K==sglA;SctCW4e2A6EB zvm;oyHy)Ic9gzTQ{J4a|PRg!O$~kW~?cknHN;Pw(*+Syzw^>}ilb2nNc61JrD~QYj z9HzO}A`{?|_(Cx4^?VC3ZR*$(6ig5_DHpQ@Ws?$|LhL0zRltFUmpE1cGg`jZtW|8- zZ4}|zGYWu#PcOfo zQ>jQ$@=$-{Ku;U}2p_Jb{HUX3d_3r3o~2O+I6Z6b{XU2GXpM`oI+R*Ur&(ZxWmmZK zeBiw_ZytIt9OPto3<%5)#sd11GEL~{@YUK@?|yf9Jn$_3ZakHU+4dE6po~g=H(zK4 z>40ZLEB0T@p%wT+Ay?a+POHN;wsn&}g46l5lAW>jw*T`X9s~B>9p9C^wWJyHB53}G zcPd+?$StG>7#w*Ihu)*7diiRwbVr-Oz z4h`NO3BH$aX9mtj12fa_a2n#^&EuPL^I-GDu{47>dj=s;!%&(HLWB2DTJPigF&@bD zjk>#cPDXTKiGJY1t~_wsI2sDW?7FeTBGV zrzcNde7M~#53Y0HwV}ym0sIO71nVN`{fckFm@nmgTx=b@HfvmefZBDYJrYL?GHK{C{#)c z5I+PE4M~FZi6ghOdl{1@Gst$Jk=u9{&oi|HLh+QTJ@8b`y_763HX)E)aPv3rps}63 z6xx;&sbt)UAX`6c6|QW3l{w_u2f{~pVN1^=V)M zclcWdpNP!g@QI6?QrdR#iSZqIVz7DQ_}u2n=)2ErzOXLM*rMe8jV-jBoergtb*z`` zfL_B)&M1?@gs%?~8DN9^ItE7KY&gYKxoS>9@hBxDj69BG z%g$aJ-w*O7D6?##NTb5yv|*B`Bp$Q7|IJX3kjcgBMHY~R(( z7t5v-MKM=AcIYg-375ui7&*C~<>&Bu1v^K;DTwLYf9<>I75EIh$gYIeOMKXA+!oq9 z+ZOKr6sy9%w#cEhVHw-Vm0?67HD{~UO0r(#pp~ufv7;R)cDqV0!t`o&B@KkGMWl`v zlED*Aeu{?z=-#R|;@1(RSd^d(T;s?CUGsf@iq&aaQKPW;1?G`p_y9Pobs65QMF?lR z58#gY=Gp$uy!`ZMGQPRZCVD!dY44O^AIRqpzs4~hxB$@y7+fJEVdTcfIEDsOP5R^R zLp4}Sf@(CYLuvSD*w5rz9*}68$>%BcKkLMIvz&~D6NL?cDJ8czm)hkOwNZ`gYPnXg zR?J4F1!#)S)JNHu#r99ck)$N75-*`dlmt@Ivc=KAXWKhQixj(j||Rp)_bB#%1S-2KFE2&u)Nq*GwwW*SK=9syiwa$xzV! z2~O5_XA-gbHu4UmE1ZA=UFb{%ogl=w{!v{&<3UOhm{VuwIuEkPMZiJJIygZm* zjh%i?$v4fmby4qKa)eBK5R*gq&W;hBnnVgawL0++V@Ie~6oxGMP38K#S1cNjdf5-_cj2Zb^{&&u2Y(q(_^KRa%kSoI!ect=2-^_|MizN-e+ygV5nmUK^H?)A>lCz-qmB#7-W?2|QZ<`=EMU{j2^ zUDf6o5l{@M&IL}l$r#bUfuZN)^nh27{7 za#QVE)u>;;RBPNmBCr7<_k#A%4FBE)ab)t=D{?n4C!OOdoy#s^Z2-Vttqwh!X91=M zzk?l{6{G7tNY^*GrBk}4b1afR&6ATj{4W-+L5ydLxE3-8Qoa@4D}g=-lv13kE^_J7 z!}KSl1>|3S!bXn0-*lW29{2j^dD(F{#EeS49uz?XTO^st#;h47)T@)%HiHWsYRj7T ztl1YJ9=fxTEhNKM!A?&r0e=2lOn{zl5>^79L_!qftearsgl$mV^7bd#LAP zm{Rk%aXT}04AhDhfR=7O*vDe)Kuyi4Q%=clt9MkZ4M(kHC-@DWpVV3+tlwkVYGMQ} z6m#>%2h)qa7HdaZ@Z&nrlZj01M4}RCk!A`9Pk0B72_q&IW&i|rSwK(+rC3Fx`!{ja z&Cd><)n3QXV#x=Snv`mt5@W7kCEPu#Gj;SKeGFbz!q-}>M4>iONz2vrnn_wQrdM1b z@S(9=xO0rOFldPju78(P0nWJ=I+1JOhK`*nIfW}3bNl=387HYh(CQ>VZ+?xJ@0`BE zt^ee{Ffvz5fX@CM|tfxpk2~&gpH^`rZxSbYWkvp;L2Kk&z;zoDsh=mo!rkXM#EB zvFOzIZ`kFA}WN3MBU zVBs@aU>hcL1dK^>vuv$__$_iEZa!Q)mNS`4aJd!|J9p=>uyY1#81~ll8B`MAqVbDL zx!{XA931=6F6NyyzTM8p&7=3Q0TJ&gudOy$kP?3I1l|~U$5o}i+#Xw<158JbM9^Se z^WT90PBNpL#)+g;5x1HkxP|#|unmSz6^hoL1|Y%VOJ7f;!col;Ju}EWB;3WAY&r@rB z&YsB`P!)4i|IXtFmt>E3>!U2hlc$z*OpJ7F8bQ!hQv)6EPBYRf9gxk&YROevT2-w` zqf!>2In;EFh$#|%O2L)vT9*rkxqA49FEy7BkPEEwo!rcaDs*_C-`DI?Vrr&ZUPRbo zXG%)(VPI}*GpAr49I?QOo?TZX1xik(*wu)glre~#=2~y&KvG=rsc?lNoc;)0B1_l) z?CA=#6I^9zNQzKEi0ZWK6rt^X;;|2VfP_0M9devpA$L5)OAEQ6O%b|ArzNQWMtfoE zff{UsDI&u4hmNi?selO@xfoDX3ubuZVJu4P+e2I-qko0J7egnl4jV~*jZ*G{+gES) zBDGFk3ym(^@LG8D#@8al6~0CTv5>i~Bv~pQyRW?k8a;Ei+P>QCROh7%5LnBaL~B(D z{4w1~)T`yjTB~(8tz#hw#x~Qr;F~xe4|C|{WGUTPdYzI-L>AYwr&%nhO>5?cZ`O8y zzoKB~tsPMkC`8vw`%roT47Qy@(^y;@mT)pqDyLq}Wt4QjDd4(L?9UTT!3>>xvsG;g z=qJe_rTF~I*a(Ti7|B_}T$T^9KCUZpTh4_k!84*iZL{yQe_2lSB*W!CS(iWlN6{gJx@6+1&EdZ`k{wQ_y_z5MOil?fAd zllNcF5rP;Yax>b!3Fcd_r`&avM=3vZs6R&=AJh$#<{H^Fm&KTQ?lY6apV!X_TBkbI zH{Z{8nKLMlxjYrNFmrw1>Sux!R-Vk;S<{$J1eVws0K#%D@;*S@Au-C+Ia9x*e7#dz zTs(dz%g|VN0)=w%psE$52~|5Cq}wU>z4+qbYW7wsHHYh|HmcfaOG22|u%y#uj29RIr|b#^d^vr6wwha;uj{=e`y z;x&nk4I}H=+c;Jdt6NB$)~p>*7ovx4R$%;3TO(iMrw4Am80he=vL8rshKULqR$Or* zDj1+P^^ky-pvziQcHV&?VY_^la0e09Fm?yCVLC@FwRO@;E=hC6R$rUdl{V%Ew^xxT zhS}B0u~J+hbzhCSe9Ds^+|Tma%T@r%c;#xI-q{#Cb#4oi?ZryP;|Qd_3z*4{tF|Q`F^bI3TmCKkiB_G05#5eB)TDw zoOA8skj>ZJFiGUKnLwsErPc?d|HDS-A9Z7BOKv>-wotGuZ#(B&=vb~{)dcB$YFs;Q zBuvN6W7_{NTj9X17x+XZWJD^Rnr`Gp7~31x=)UUMYT>L|C^v}Xr}Lftr!eb*wA+Dl zO7ISWTU)h8;E}g<9@&+17^8@(kDcBZmkhQr*mt2_MLGrwxGi+Lg3n6uQMJbMt`rm- zke~fOZlD6U{Xo81v8M&4tg+QK7tkhz9u2cM7W2kr z5K6|Q!MGXR-r?LZoDfttltKYIBIC?VAR+JR z@Qyq^&>9)O7q^Dgf8_uY%Nk1ooWg4U@y~K20J*u@DAxnGbOMV_92C_`;Oh0jEtOuB zkH$fn1F5E%5(>{9{a?Jkm@?N$&qbtyJAF(8wgG=U9;$V@5C>a-%VG|AqU%Ll7|1B9lt+J=sR$jZ z1BlZ}!%7-jYwbRmX_o{IbppgImDzH8^JDD9qF7#+vvO%KfOHbS?hDux>8y$s6cXZC zj>iglYwPu_T>B#J2q}$mJ8sf3&6o&+Z@D5hSjQfrEvOvV|*_IrR*k`TMNf7Z*bzBN~lF6Tz)#@iwKT z9-aVlU}j|scVExtJu&R_|an8u-C zyMj0dVX^U36elEj>`HZIv0h&4?26qMZG?!gw9V+FnD*Usz%E8yFMJ@z#>Nz}GK} zGZ7Ni!qV;4YO5<|YuxPFe(ji<+qlZ&5c(!giO5oR;qFSoXq+*vHA?Htlp$m+0ouW7 z*VB!p;$GeNG7rFqda9{nFGs6dGo5AwpJQgm{*i4mpgWk87Q-X2$1P+ zFs~*e?V2k|_f_(uI zJM)Ts;8@E&6uyj72ni=PDg^-WgpvxCl0xM&6e~P<32V!EOmD4x;!?n2GY+=qSrX5q z3Q2RN+Ub6cX`Cgp=Tv)NWadJ!(2Ch_-K@b3L_9S3e|>=hB^m{00&F_zoLZ3HC|C0Z zjW()x9Nu=M1r&s&M< zk?I$`<#y#Cr@6Qaa42YOo%!eK+N^>~<$n16wOiKC89NWso|aQVGDSqmj7GUoJmzJ& zTyM_HJb`Vi<1}XhLObS|L=3^9_p{64+^eB^xe8(-I|`PRlNmQ2qAN&J_1x-HYpY7| zof35$&#@^nWUIsWdrU4E2?bIFKCS&h?rrd?7QetZf-(`1Lr9d45@M8u2?e{>5co|A zxS;58w>+;pUJ`jbkt;-hy+=#Ij!AV8kOb~fjQv`>+MUrQcoKGWJbK|`u>Y$(|Kv6_ zO>5I9g;14Eo1z3WBVS;=BP`^a56ZI8l+>cWpkiZoxVW4Pc^ZAn<7hV7Yz(A;oLXs8 zIg*_fj&vM;{@KLskXtnH$twSlj8bBdxi;kulpa5i0q4!XAqvOY) z`$aR>=lP4!E$Z*avN8VO(cSm~?lvEIDt8OQqZA~>wUw-Nt^|%>%2}K2x}e+5j3q_= z7<54GI?82_p(aAXj9Kx$Z*tHLrX*o!VR%sMw{3MSB%mIi95059`LFR#!9y(o7pCdkLI*y#)a5j;jSsXDs56Qg481hBRYw(6Y5Nt>e|SCxJ%!r;1cA+Z`I}Q z>|$97(VNM#&N_rb+D*%0h_sZ(;_8 z%j_Ak>GaEAtG4Uq*3c(e&p7|-c=0a$G)RGr?-4}_@%}X1-0@!0DaUSOibE?btAkRR zYgUa)Xg)}=4JeD+VsPW5TIG_qP)pjhnlkAn@V88U6Sj<3&&dT9gH>M<&+)pCS4x8W ziG)azhzah__7~0Gdw=aj$0v57 z=2|+Z&Z%vwaEy_ZC?nliBu%0K19+{`=|6=@l{Plw>vQQiqXO+q!Ca!aw23TU)3%tw z^xnddX_#TlK|RNvFKdK+-fIT@a&5cWT-_EFSuDF+R@+3TjF~8y2339E{0`T#^#vhD zyxACf1sfvh%*b2<#kdApHKVx^vq#T@a0>}@_+Fg&KvpcDJHs_5^aV;A*FD!4XVqs= zPhwaK=#HuX?hF9X)zk7yayS$)Ks<;ujdKoWEW??LR&=tRY)p=+-STbBLgG^Ek9evF zc|tmWB0PbPY%AaUFD6wom@%nho=zO5Qq12$I7%;ytkACc;-Kx)>AKPLywgt_zlt_U^wS?sL1 z__1of_CnfdPu$Dl@*gM{ZH+MZLQiUW0q=2~V0NUNLYrrr!t38-FOzPHY>1thCd^JB z-2Pm8h_s@5^^UEd)=%3Vs|rlL$?kd;iWfc&ekxvB#Y| zzzZAFj<3oDDStkfFnRwwnS6ni!`%D~Z(|YyJV2K94{AUbuQjwUt(sv+Jchk4Mlxb0 znQ1c@88&fObmjR*dU zTfOhFh6GNC;#oVZ&gpoVU0+#onq}mgDH_Q2B_jw<dyRqAjcb7n_C`y!8kTx9GD_ zC^`x}lr0n>1y9u%%ihraIl}B`hg^d=82Twc0U(1jRGe`8hb%7O8`L{k7r)Sb1f`;wqe2(td*teiQWFQfsfOUv8(Fx&rdbCioZElW`+ z3qMJBxrgYI{@|cr)Zif<6c3MI$|i(!0uQIHnUNQ8 z;30^ZLOGOL0mF)Gh}tT1grNfd4o>2nHmY}y`5Pluc$y`GBk&Y)dY(nYns>YBNggl%+MyFbYfVm^d#Gl*txxWw$9 zRZ4a`g6lm+M;A+dk0fxWf#}#1+$fkad`VRtQ!$vu)R&Av^o5k$db8zJ>x;mT=sieB za22x7@j7Fdk;yD`2`GTU;^)}?;cE-+f0oEIR@6c$-&BRlL5a1FDuiv&f? z9BAk6ESup?F#1SXnI*0(D9Y{X5}M6q7SE2x%Jo?ITS? zj#bK1WCS_1Mqa{MPz2ScMzeW;rVsf$emMAd;#mI1XNjqlQe=6gBf;(-Tf#t?WGt~0hLae{F>UdItCZzSDL!z6QY;cXS1~!Ywy7S2amc%} zmb^>;&h=k8@J-gTTqo+W(>oIXhY548v^DX9hb+Ub1ib*z-4^Y+!XPAD8bel>4Q zG^ycc?Sy$e^%A|S+lTR ztpe~e7n|*sgL~_Uu0ugEK7<672f%aOGOo+{h*S z%}`Y}OlCYCbClSdR%}J7|6tnLG=e!LWi`eY&%v(3dk^F@+SATl+md3jqjh%8fHbJcGMVarj&*S2z;JYU zcfD*%u(K!#S!q!Sy6-)R<+{Q5Uf4MM-grvh>uXDFN*lKQ+G?%d8IoXF-%ZA$+(hS` zw+0X{hIh5Jo=qsKRdnBf7F%R}J(z)?(FJ!XqUN$=Ue3*AcW-7iHQ&9-Wx3baHobec zEp>9XEj{%}A0hyqOn^$G+~WQlIk(t%`%^dMc3<1218Kv@W-?*hOql6e6QQutNAZ=x zsg7;ElQoXP=6GM4@ra9Aoq+fg{VFE{;78(rIF0_5%x$p?uM#ZdzLYosuSE=WC|Zh4 z_Wy+=6Vb1BQRibu;2q3md@qC(#<7NG`1r*DZv(q75m@y6OBpKw*UVx;-3(@v&IPXX z`nhg}X)_x^0U}BrS08wdzbg8HH8b9?(hZm$c#g%tRE5yD|}&Z;}2C0;b-&t1ruiH46&3vfilkYzszS z?Utb#_RW#n6IK(|n_{admP*N>*Bjv{s!w=g@1D$n-5JdlPJV`~1dvhHai$9n97nw# z1B#Q9<<%_35d@Mvd>Jbzq>#HllxfSRV=gtXcdg}IU=WRaF&ZWvs^oScY+IGmN>c*v9Rp?-uOjVE5&(=`c8KL-1v!$%f~!7 zAU1Iw%#r@x7uNy4m+?6Y%-wLO8@Wq<(ou;%!w+&56(`)2d(l*>b>ODik-!IR7C6qc zfb_DmO1a3TzQ!J7wx&uAG+2~S1T88BlmM67t^&oTQfiWu9>^Z0g>tLBP$OyUh$ zaJwWDRXeK!(B_2^9KDMV5X3MHz^T9*;=V9JzWrj>3>SY_KGy(cuq$gk9?GEb0C8`!z|7jx8+)Y&F3fdq{{V1 zd8M|XWd0gThPP|i%5}vDV$iBVfoEVFp=q`1RzTcHKOx`XLc-~fo}aJO-tbk=$fhb>zbyn-3*Ye zO4=doYX1Wq41(!rii=TPHDm70Ei0AIQRG=YXy{;GZ!V2aYyF|RJdJ?dxrl+w$Gj)N zvnUpfiz;+`oOI^%VP5deoWU>ag4niKEmh6XewmGy=uw^uyOQm(gvf$NoUSDz3%B)k z4(G??WUVAJh;^tW)pvQ(F!Qq9@w5?$>7-D%>QOpZD!^j0`%n%MeD z%Xw!^qNdTATT2&sMCsiAr!=@LVq2V5lwV%D4lw!7iZ#s$7Wgd6r-xXwRpR*d^QXN~ z+>vP~N)yuxr+_Qn;7?hj!L{iEf&h|AcgO_i6x%rdBwHEaoN*1+mV*y#mIebPtR#iv{LiwK>e@TSippk}-10rxjEe7r&`Ad#!p7x8 z1D|GogQw+KwjIQh*OnU9%G7WATo$J!`8hN71`hQiA9r)1Iwd>}taG(iMG_e2U2^5e z^?UF!i~W~PpQ#l9TH1Rco0n-cTrId!@ifq#-(Uk4)W%aGP{>B5)5Ba`R4bdREiI?M zsL@+unHfk_`#1AaumX)u6yfS{^R`x?#WDwue)3ti%jx?=9P-yIGBd+)stuSew*=V@ z3&hc-F5>jmC-}qwfA%vz+Mz?|4~9{GI#nW1AHA z2xTpk<1+@lYNra&>HaJESsX`PdW#EsW3ONoiMbL9EMw1R5fxJ6oEhth%a{L*AIhJY zBR7}?o4aK)3IX4iNqxig=wY?oxqVZzlU?@XB)Ayd_CGOJ4?i}u<9n>rTwL9{mEVTR zP0f@PwGBzkFTTQE_*}E0j?5+GI2W4rD&Y01>p$SgB+Qh{YUq%(?HwucPOBiyg0Lo_ z?-#$R(No;uR+viEZnlI3aNYBhNlA$1k}gf=Iv6E1aY)>YWT{V*viz15b%dp2K_zu% zz%L$nIt!S11OSQ6#->DwgWal=4`Cj8`|yj}0xBY>9YZ96LA=37svL;7elwqyM3`PC zTv$;{apVzpHWzKMF&YGdV}SWlMQ5O1|G_ULM57Oodw1&RK$(CRsdR4 zyS!rw031nF$QvV6j^Gp7T6rUR!m>8QzePlWA0_|LED=!zcQV=-F>obxELX4$sKvOb zguC7^vzzM7-QoWKV}y+}S0bsNuJs^R41(rA*<>8hGf#}~$P>6ihbn3;~BY+26=*yF_tqTP{Uzq|cXm_g=*PGz z9Q^W+zA4g`n=ln5-C60NQ^-aChlM+Q1ZhsG8p=7;R<17p51(E*0FntOUU0f^=Q9Ic z5N0TJRWrByTWsb<_Y?+HN1kvs7FX(P@gct8bab!8cDS6~Qc>p|;yr^zk zG<4hOF_&Dzj}f1aD<+f40F+9d+9$^xDGRcJgEQED3*+Fp4WZr(Uqb8aHiWmH!l9*Z zL!|hpFCJ(Zk3Qz50}T`NkLCt{X&u4klz5hb-Vcmi8Mn`TL{D6yr zM{$EI@<75wo@!4tSqQdNkVLEsX0cETPqd!FfE}24(yI{arZ|(*`*TN+V81$tHVMwP z^=`I1$$P^=>nB;maT`L%Z{idUw;{Z<&8y*UdD};`=H=oSG|-wz-YbBP?3mq!Dxn8- zww9Q7+%6-B@4qi;I^=Zc0wrxO>qRDIglpugtDUHzuDH*QZ#;+Di`y^}{o4Ub2~W)MIwjK{Y!|UJWSYNjx(%s%Y4HRW)VlmIUd}61AilyAYo@2 zI{A{3$&cKdvoPE{$NR6n4^f!gFj0Imf1=xPA^HzYgKk4~TjtAcxR`n`-+@-bMoQMp zlup`NzNnG$h#9KWI1(vEhW?fLpmZ@Y-t&d7`)-^#Jayyb{#$tfT|lD0yd<1w)K*5c zaV|Le-;bs5;uDQM#f0dy`BU1Zqwf#R%l&S@(GmG5yiL(ENLtaegYehTUW5I5SoKO1 z2fSVFG}qdQ$8IRq7z+Q?dj>e)WN=5q#@vQ*;2k`2*X-%4uM%I=dpyV2xc5g!26_49 zctAMNe=-9oW`_@TnN7 zHPILGJISYHB=UwCn%KA4rF;4tBa!0U_#53fL^uANDFS^oTQRI=>zfSG>g#cGlY{>vz%!N5~^XSf0l zXZ40zplLms6MOYI!oedMhtKC9bj_8Q?c&xFTPuA*!<1N0QugLEnL>R1g@QKs=ZH_ za2u8q0l(EIDm^Maa_?@eLgGkLnrVBriYgc6^@Vt7S6fv{Vw?BH2T8XfOS+ZuUmWj$ zkeMpTSCC9dI+Kdn?tPQ}Zx3QblEn=snW=m03T>JEbUNX=_{VA? z5JUCkxLBy~%83RTqEP^TBX=Mg+yd7Hf!dmKEbXjyogdOpzydm$Ny37*@ z+Gv&AO0=ogQ`Nd+dUNF(f-e)xwR)xd_d0-$i}9(gY3BBR;g4xSz*Mi*n@j1%X)fRm zxJyN`6tg+{Uu?t`JDTC-M&jCU2_U0!x1dl!7vWt{ioe-ywMo4k&9KgV%aDAwzlwY( z-EffLsNU)YUw3WJ)WZWPI$M~@IWrbvp1OY2985UytCG5$x<898c*>@OAwDD)Q_}HM zSCmGWaDvOPWigkm+fAge*Q;f9NL+nZbz!x$S7mnXeYJJb7NP_^=!Fo)fxsNtX_`_ z>Ht2Tr3%*$d@#qM+)!vG709(Ju5#u29hnf)@w2h8j8WflY($=!6@E*laBPeVmiS)d&A_x1N9qpe1IDsupxC&NThcYHPm+4+T{;9x6kPC zgSk%}Q2x~aA{l`x{-s^5ghz`^57-BwtHu(qHRKo|=%|J*DF%QkcL`&qrKC8gVD#S4 zB!MNStN`^h^+R_4W4vxq4on2|zrjTA-%sS9P}sq1q=r=&>By@ zkXzwf^PJ-xy1;q#ajF^6SwwWcbs|9~prcN!k^`s%oh0-3)u23fo-imm?pQNY6$a@lsR_p1p^d5Qhbf+D zeLzF?Qfy<44XH6NQGQ<{rLc>vjG@GSq>WQron@NF{$+NVT}5$;H1>UVqeVttZ@!hE(LeuSO2I8EK4AikmotO#rPyF4OH z07nG*;<%3IIeAfk;>_p^`4ho#xGKpK#o7p5N~u!2R=Rq<6fSj<*RuX`CM8}CD;W5I zf3>X8viyxM7dtD+j0K9*9YCrcdLGZd+#BAPm6X3DBa(xH4!~{|8<|E?JKonkwmDcKYfxCTdpxF+T&%wUErPC7)0$;$dnZTDGNXFvnDJv9r zWXd4%-7tH*|9iSrB0f|}4r~P7f9RL!TR|JF*HYpUVR8-s4+DB}-8vkqrNw%!wTN=A zrOxV_+5_d)QX2_fqxa<9d0ud%+UI+p<47QU*^CoU!4SCot9*iMSv{Q2ZHug~g9Ag_ zVZQ&wCDFofAu44Ch*y-7XLbmIJ(Q)6m^K-mWFps=AD0os+Y-Rw7Hz**y__DM6 z@Jx{;YuXnVgw=wQ0X2K%J)ER|`oefoE`ZIp5PTbJRA6dQie+Qrx}-BBT{rM5&3rn~ zZm*t5G#ECE3yOo_Ix0wOSC={gLB$dHDHg#0J*b@1%JLuc<> zK)8*^dNw=ev6RLDMp7=HBbcN^fl0zR5H(%DzIUVpvj@u8poF?a%Hx~W*Mtlk@hIDI zmbcjPl(jRCnRD_=6v#X3McV~79O_|Z)}PM9!b=s6q%yftDVglul!yhgr*5+5fz=F6)Tk1>wg71~N=*d6g~CHjtuRT*ngSp#@*t6FTUTNL2_cV?j#Cc4Q0ZDq&amLZ{vpTJfbOWE3<3O%{25mu$IoiIQZ3gh zRDJ#xdRcKa&}ookwcVpHGdJ=Ko#7(71AFea-^0dK4&srx;NTFa&f^m(dQ6?9m@T`! zg24DADLtiq3*-7Q4*V5oi{M!=cQ2_{k0D>JUtxqw5jPnYW=O`v&)E=aadSlO(jrlIvNfA%ZiPPMT5S>`Ob z(10akMyfd-+=thg!aKw~4TT_E?q)knouJPyt>{0U50{XkIr=g-hLN(S!<;h7q~n;G z(Vx%}$}R(Typ*4Vw{Z$G#1j}(Nz)>k3Q>Wns+zfu5|u`NjipB|P_vOKJW+B=q44@& zJ%W@OjIK~bbZ2mWh|$G#Q>b^QDIB`i;)NuAVd~!kGy)%Y4tw0W_ci9u z_@M%Evtbg!E(c!Dex-B2G&~+0VmqDtx@l`NW>ezIewGjYLFzKiJ)tg~ovyIS5^%QM zzP;K8T+^@wXyK*qX^n`^%xnf&8~YKRX-|a&HpDfBK0Qdk%WKs&SOyl>+HJM}hCah` z6vpGGVnD|n`zMz0!S1Lkdc4_Ha-s>PhoSTLZ)Oe)a^(3Y=)&$V@+f$laI692yIK6@ zwB5{^657-$KP=JKg99+_mJ9&PPqAnAoP_L~t^duui1LDI$s*Y7UiQo3l*TXdf}p4n z-W(6X)u~;f0gLS*$L^(UeV_>5)1cSEI_rzrdCTSYYD1|_tBWX2ct^8+`?3TXownPC z!XSv{H?oR)?$z<_KfjZ!lZS8OtKfx-!`bGiGDVoy`A2;zp(Fe`o!gPjeo&U=HIk^In4hDLPI5c9exGLqF9p&~ zEHkt9SL{u~5ISxludYPJ;fl`_tEAGdcw#*cpizFKBb@q;CsBAy9e-0H&O<>{(xg*p zYJ*i$w0AqA+VXK8l(bnLOd2{rnDpQw%y3T)Pi?rM3{PY~b^K0!f~`OhTQo3<4MT+5 zudxg?9qf_f?=aSu_he{Wp7dJ6{XgKbdo7V*fYTV+AS}~i8U@a%$VG4FDe)c{@5%$1 zk#r8AI5F$vY<4EePHFQLU8Tt2wG6_f?~L!tow_M8@`=+;MoXIT#RJKqxwRD%#1hNR z+CsH+@CBwIeRzf$7`FFBK5eljCCF{gPCL$wnlCG4=K4?J>%1jhh*1f<9eON>-Gbox z1Wm^23}qqmGaMqVLo<9aMa!S&tQa>kpf*zJK1zm5i8&r3L-9X2q}IPQ@1jt#s`&NP^_|j4PA2; z*j#mqcmN_G0>>I=*ArpsEF60T8`?OmX&@oXgKEkIdmPpj7;=qPuAO5Q@cMBo1djY; z_1fb#od8DiFA2YE4XEMCS~vJfJ`eBgeKVW#J`g1DVY>(p!-&)A>FyMVqF}pTTdvd# zN*b;;*JUAm^vyYt%THqZ#qCNWOO%y9T&eaSplv83tEg8@gCBDm4eif_jRi#_(AM+$ zV8V38r-k~>Tzu~W^Spuex1FA>KYpYac9+@#3Ejp69AHUW+Sn?uAjZR$h7xJoLz^$= z5<|G3OA`<@)bV?nXM=lQqpF?St(-($_j%#mC|0ucRqvoiG3}xWv@%udpBj zt~{*{-_ZK+F{~ibjhR){mN5A%0zb`BqL|Z}yyB}Q03Upl^-0_>r@n}I)>kwhj>NiA z8tPD5qsECW?Mvqw`)ih^efnu;)-n^BQh4HcMh8p}UW-J=<}^1jEEh(779Ahm!CMID zAF^>02`gh92sSIIQVJ2P(>$GfDvG42e-TE)-X$)a=YKLa`Wju&#;d+;SL@|2_MQ6E zlC6ikP{hNDf#ivU?p0Zufn9035DIl)#_kZT_KiKuo8nl;4>3C2gO|W15#(CE| zfAr+L(&zasMo~{J-;wli*PreAv>Y}1x(8adCqWQoJ_ycH{eh`D=EoSdC$~!^xDa-bfi)F!IQVL z^b6XdM6y`DuGDOlu&psjtwBL^5|M@Qxld1d9ET6mgjY}*xfY()e)(VYakH@2S#7Sw+6&9z(t)S4sQ^o+ z6s&4Vof)g0k~%+1oytOYkB0|3tx>*xqJDdPZ86=*=MyJ4u_jNDRFW4AcZFAHva|kG zb{3F{lH5S^?`Pu(Ubk3lQ1DElO}L;+&2P&wIf?$E@CR+|^s;z|KDAp~tI8Z_) z`1hO%iq#eV7Ec?)$IRQvkT)1*qE79N7x1|O_N@-YYl#)LH0HBYJuPxe@X2gAtrW89 zWL;dz|;zoQb&@`6Y z%|>&rGbA~{=-b1~ko?*1GnxOBaoodIJ8o;JNmy;D^n3}TZDUF44%h3|sKo8lEb!^= z=0rrlt#b_&=b4E11=?8aqTx9@l#YI=VH4csdq|pUwOdm0jk2oOR#(ccVt_=NO7H;rk7fE8X{)GLtdhdoNd|P|>+uHP;3C6fAA^=S`KC9;d@^vgs_Ck-7H_ zhRz~sooP}rx1QQudkQ2 zP{=_j9_;aq6OVMC$8ca2>cEsq94=*2~$e0TD)Sh@7t~j{PZ14A>&+QX=Lg zdN1V~g=iM-20|-2U_~#ED^)POBj*wI|B%Hk z5Q48zrWdiQD`g%D5XCPHowa_SgDtLxQ-aPM6lie_5%sxNS|x}prE;ZGT5XnAS$a8; zg2`C1G*?$PLq|{MLmpQs&Sv8R^Ch8m&8M-D=YKRZ z^g4bN#Nt+;qL`rL&#)r`Yo!i#GQF4b6vYlBNy*6;M?TEXYS2{1BIF0csUMELkRH{2 z2H8}&am3q$^p^n?yPZdCjo%HK*U1xyi_AD5Glb)glPO`vvK22-x?&}^>MgVzguPtR zQO{Il#mpyjI}hSL1YV>lxwO!%udOsnbxQ0``B1~8hIJ?zzc?OE1bgf6^a_iqMFoNe z>-b8VUVb{Cxp+|l5b-#A4;)nke-MCtHw(p zG*cgCeL%l{T;z@s$;HI-4;;Urc>uavg%=7oSdq;FLpo!Pl+M_q;&rp-`WnoLSF3=TKAGmF zNB3S()(rSzzMVt;GJVKbnob<^nbz)f%iyQ6L_)`rLea@5x9?yQrelPnXbBnvlF;t& zaSVtn{n*6`mZ}W)E`Z^kK_zvrIB@dZi%qe3-WR)9C_?Z=t^tK=wJ!M_&et2Zxa)xs zm@Iczds65Yk^mizp_8{V5B6HZQ*YxIyp3{85*m9@9cwd6!6C6-GN(zu*&V7r_!=v zbZH_#sWslZH&-}-^z^L$qg3~Dh$Pwv9t*Md7 z2D^{fxmjLos3)zMA;bnLd2jfk>_i;6F+3dP8!0vkQI;9N4d#;RX?A_I9>}pi+WQ5i z&vr3|V+UVg2@Mkf=t{O+meRS+{vxfL@2InK$8yx6(Ne;(l~FhEY_Uu{FtCW_8`wE7 zjt9TUFUB_k`<(*v$#ybzw*lFY`y1bq{svkn`qGMB!G@Je#aX**p#oRC5!rZ#2DFi~ zTwdZ7ag3dh`BH|gbU3SMEw}$x_6-v^XGvo&ZA?9c?avrBD#oO9CEJLX=Im1JM7Tzeh9zo_$`JaW8LU6*iT_Uzt3U+^qky=R{D=Ql9&Q{x3o1N;;t6A$OftsCd>0EI8 z3tWBa&U+1Yqnvc6$1@(d#^V|2FLd-{^%rh680=u+;c)PaKhDFE;tw?tpNql6K^(!< zHyEpMpx;RM$Le={@AhB1LBF9L>6f`Em=SZ)y?-0`sNZ|vc<;x0-^Af}_&fBZMwew$ z@BGQh^(XOi-1%)6mVdx6xbxehQ%4{FF@}3_^E-SSC@W*d73;B!$b!msAI(Y`tkBpQ zqhd3|-Xd?GQufqQoa(Yk2G3U!wC)-bwjRZM5gdE1U0!jK2Z;3?2X41t@_mxhpZ_sV>a-&NCS#>ju|Dff8TG zyhxNuGF?d979d@wB-8|-Ijy8LjYmK9YIeC`7TORv84%Zrb(5?XV-=9+!Wo&2zs& z_d56zTLSQT)7W_=M)YK?%q%<_NWB+qsI9X8S{Yl3*GJOs8sR9)5?dmpIha zeh*Jf7DL9S)X64$qtCpcbS-R@Q7M57W^pZw5;6()gTPX3Hp)_e=lCz(!o#!slZg?Kqo4Z?+CthbFd0k zHOWfn;@B;Jn#0(CXxFvx^COcZPvFmY7ee!iOmOR`S!_J#T4-p9EwZ@A5WQNb*#5H& z$)M*v7oPV$cj{WC=eyQw*P7Y2Zp?Kuv|hmC$vMxB2X4AypA+jhzBbvv@wE$6chMk4 zuH`&hB}@<~#pc5qY63rE1m?V^z@M-lgWiAyZd4b`N?%u7zjJaeMBfB@ zp-2X}(oO{96^(@C3)joMfcU!duHz_qH~4mzcj+80BmlpV%-h)=NwOsg&n0Mdvis|t z#YleCZ#L88@Y6?S;tl=QH{0YhqZMot+YIf@)`LvT_lnY)?(VeyxC(n z8CXOnhz*`hBy7`wuW>epaF3m*^}O{Xy)wb&;KouK*+GISC^%yIS6TC;4I^@p_Vs%Gt`^Ad@g+;KBRFH)1STORTlz?Q(-{GM>#=*hroC8m4C9G(K zfo{l-AkwRsc(YaFhzrb*hnZ#MQKE1x#bKn68FCL_MsF`PTg+ZzSt&Ht;fsG5)p~6u z`W5Y!79Jo0!H2fSrLT2Lb?D2b4rZa+KKTcxdc19|L*Wn<0Y5CxW+;VXER~oVv2Lm} z9H^FF(nN8eX$3P4tP`@2`Cv|VBuNokwL23YVgoFBt^eROB#Z>XukGdv$um=8VD^R3 z_;L!O?_`Gq%$qW`qtY7Z z5^!7J(E_ENIo|7wFir&!4mDiRP&NDHK3DW{&-m7vV zx*wsHKh~)}8`8}JGJKR;GV~oC4}{buvM@eGOC*4=hUuk5Hc@qY`~trd2aLeAgy8HX z_KpIQR_5r>SfV2_yj51*$8N58Qf2V}W2q7yh*2?IN-7nO?b{&5y}Pk_kvbsx*{H6T zYjwMdqx~q(Qx8J4i#T$JmpC&P-%zk~@NXI;Pte8X+-(?-86_ua^!m&|!QD`gBYM|s zM1`Eo>pAn-nd97EYYE7s+TokeA&wYG&PuDRO3+_Hl0kHM)ZRk1BE^F zEDvl8Gz3Pd;WpH7(E4?bJFnm!fk42E&j`!~o*W205p6X{%7N8(ZE306_Oq48mBB+D3*`kA%;W%RqR!&6|6s#0I)-W8weGZT z;qL;OLC~f`;D%%8T4QW$#nsu^Ofk3r0j4C7_)NS|#GS_zjM3!YWR30fO*rnKT9^h3 zMm%RA7(|H+d&|sw@Gf?d5T$2l$M&^{L@C}$l$kS~6q&wzNuB+fNvds`8+!rgQ{c@? zB_k$XUbsDYf9+*-Ym=L~Qfx}9l4IO<(Mcoob$gi^!>N1YMY$Jy%hS`Msh?#f4XsAb zn#nk@S4E|QyN}@oPcgjx*E7Q-XOf9Cd;TpfFi|Rwvhy8LI^6Pa&3zMBm9c)mS{~m(`Ag+t{La&O?*kzvPFAB_o0?Ga{%b z{uz!;ZanP3lM-E}#{2HgmvL|qu`stpAEHfO$tC*mxy8JlxKc9a|CRT^jpR|wI=(OC zj^ZI}oU_xu?<-}<#ebD1ot8A1{&QJnz{K29Za3Ijt|q@(*$`FC`?{H;d>w?w0Z#&D ztd|-}sVf0%0ZnzJZf}1=dr}n5o41)pBD?iI4lM^`Q@UV`-NiGjwYrq&T>dQY7yad# zpnN&Sxq2~*K+Lfb(Ni#&Kg&B4Ju4D5Yy@_3NYy7;#R5yB6Oo_`Asw+Vc9ZMR(TWuj z0;T9C*xS+qrq>z@yoy`taFrCEQa0vXD#bV7%g*V62f|~I;M_zEfW@A1iag%zam35O z-H{EsTSsLDLzH5m7As-ymYBLB-ICy(TU*Hix`%@RIA^&fg(c7D`k_-?e_gmfW|dw3 z(^OGMVrHA|(a&i6UCK!3L6?Y>B5CC}Ij*1VQAO3NJ|PwqSU-Pbyeo}(7ukf1a!L$* zer)(2eYy>AjAv&uh0ukeXEM4uepu%TNjF~b-Qd&DISlXXy6}QnY(y&?mNZQ;P{oZ3 zR>~=GwQefM2ioi3i$kB!lYh^_+HvLIV}aVivrH%B&Ya>J%MlK$)Vxurp#~+P5$Se| zN}5QN2~<9%wrssBR-@ftVd4Qc-PQ2Y_9s|G!~O?u#B+it5;aSTdo~t^({g{3ovq$o zp^+!+;R!(RbRlJij;A;gogx++N@KXas@O$s1^R^|;*`KrV1$_J~YUQa>FEH7|A5@QO-vRk66*67N(P;bM&n8D9^+$#-E4$O8gmLqciA zYE3e=Ms@RBI&`L?_U-ERR@KVt@@17Z#bIx2HdnT#A1r}7LGsCDPtx`D_l_e z-0hvd1gp8U;~qsrpJeOWV}^)YJ%KOlsGA5_o$1Ie|B{=@4)3yR4gyDB62hxEmnw$O z1rp5Ouuf8f_>~SPLQW!6$XVZGL#LRgm12-2_*Gfb2boIGcx|!L_*1vTLL(Sce<{b5;7(-od1K78ftVU zrR*qGQSGJTz1iC44xi;&rIKymg#!^l2xd~9ZZnP@cn85L!!lD>1MMk0~}A8OP_?qQNy_I81T?LfZ+q6spq zOU*`!x87R2TrV{kigQDR6G&5lM7I!>D0zHLEXQwRkyO8JoQ<-9E6m&Zn6vD-qcqC{ zDQE9*42>?HZ4A##BU^f~xCkH`+S3&m-CH9&=R7}td`{y;bcq}BO-2UbyI0n4Vruc< zH@PnN!3@8AcX3$jqOlbIQ%f*D8e(t%(ibA`RElH$K}Dh7t+vQb;< z_-Z6X|7At}Q-XOs@LI*J|yOSeCu0_&1<1RAQ-Q=YxCXu-L-)u zE@hv53wZMF@Jp0$IBQY?Hx?XEMDoZD2HRaKF%^kjHvi8Ubuw;v-o+@Sfg4$WHG}jb z35}&%DwRyp`kQX@MsR3= z+{vOIhOgOOsI_rS)jHv~(abxiFlIP-d#HSSC^$yi@%7)L zSL-G}FtVzhy2<^~(nBrbvse0MveKwGQizsL8lkiC4(s|pr-`wJd;f{?od@6R{R?wf zIR+T0gvwO2W5j!~rP;4Hc{kj;_qK2ETjnfcbxexnRzz0Vmxl$$8M5O@(V(rT_;Wsc zc`^QoG78IDQnaQ>3Ec4%u7!K-V8IA46?`g#>C^seZ3=`fEOTDborC9C%os>5pq*+lm znxe6I0>k&?AopAiZr#^PSAcdUTrj5yMtr^nfNCA?nN)oD1(XXtO@+1xY>fd;MUm9k zvCa3|L=^Awkv)A}94`n27Y^S>oBDJE;g$c(1|S58PBwi30z=@&g`FtreZ?o7&qdZX z6<7Zq-O_)fapfh_n%x^p=yB>7Lu1bSY>E(pqru4FpE4i8cP%=n*L>$rE;s%ATwl<( zD&j3hVu4h`VzgmX>ALWTUhqikV>B=ArRa`c($7fke3|t*@fmLTfp+Bj7pX4dWv2+W zH`Dr6W?Y^6vXPPD_w&mzw<6QsoMuHttp6ipYP~9?u{BhMM1w|cgfl9WiJDnk|Gp2M z8mdra>&#GvqQ`m-4R|HbQ2+Ai>#W|R-&ySY3cnK`1ns)8w}d4tIq=02#~* zWvRDXzPpCV&g$))>2qHl4}XcT3K{@Wvup<2#Zi(&Tz1)^bBXl!HcuXR=jL-UvvW%s zi+Vp3Z(uRl{rgxlHp?;`E#@z8FVVTmNjCaTBD3*s8t=Hy+;tnxQaC}q)-EY+2sS{w zwp6hZO(~wR{Z-z^XN#gPXmEthu*FXv$wJ7YZ^V*`*rg0;+PHGw$hf);n1a-}vrwpN zVTv2;+;&5)A7r~+`#I**AL{XX?kgil`pUU?N9P{JyFMXDGVpB`a_7D_cA&3On1U@J zpGw9O;oq3LdFRKSNAo4CGF`}f^kL!GQDr{&)eC$2>bZBr6OXx}pj5EMml6>A~Lp z9DyeIc(eDLZP*sVZgr)(64qoFtZ<1Ns$<3HR6W!@3fERI7N(VfM#N1nF;zBZU^$j3 zM-ConV;BA#(POZGeVeOXkMSGx4X-gMGw&4(mX;}@&P$S$=COlA=!=?AnMxy zA4u()&ctJ^p~j%Ia+3`_mO*En-bmdswqMyC;rMUM{14m|s53iXX&v5UBSEOaR!B|8 zkbarXfleeooqC%m<|H|4HjxQGj%vHQv$az8 z?w}NU0=o47qoUcW)-%KKv2AAd9Q``wy}Eqj1dha;%lJ!Z!TJL^K4fI>tzIcEqFANf zzLBqh=)nxkQ-WK1mmQ}oty2}QZ_#kV(DTe8I4RPC(|hLo4B;_JPJ*WfinURa+FaztbGrJ zQQ8^hW{?S&tNv1?8@KZ=)+Gp8vQ9D+IQ$`MlKzXq)!WWq3>`d~ASwUFk^L*Q6y$uw zOs8gZ>ZRcu5U)Wuda)<{B1yx*Vc|2JKZh0?YzM@cY{ z_=wL?9K0_hBbYgLQ~Oxor(aLcGdfvaZpGR)r9bFQYlUpwrXEDL1m}GWxcMTmN!Qx(9j*06xQ=~3SRdIhz5p{bOtmEXvxtUktINLT)EM$iSJ4c zC~>O7Uu&$n@U51UrrCm;(g3=fPJD5^mcC~Ft)2tl?CZ>-I`I9~4$Vq$G@6aHzuIBo zyS;Ebt!SSsW(N8#+ztgJMiY~PP++}IPz!7+f+c{{d;hW2XXJe7wW%h{p_7kC<*p2EsbI7s(6pJhHebdCaqerQbD!XA z;=ZlbY8yVg?ab5Td-w3^bMJ-&pW5bVbYfVj=4@$^3ru_=0wGUkGHb6Ok_Br7*nr7qyVPux8q_UehNRz>Kd03PrE2U;l}!q6kJ@flJ3 zH70Cg%E0IjXVK7quot-2ns1MckA$uSp2Athwy9j{41d9wKp>w0@?+tidIz1WXu%i{%Q0U+9P}=6QhUHFa|-gqSI0fDq8Q()~nrx&Ybe?oL4Ai{A{6=foTpq z-f>1mS!sQl2q~F&4_p-Rb1ft@Lw`pPtGqWVy!=C7<$E}XCC^+AlNx;Dmzr&`g+7gz zB-91-DVg!HJw?^w1L05_4J1Z)SGl768ENuq7Q~<#Y2t=bj!sFUGd&ylAp3=(L`BYd zb9ezLcc5fmt|la0^F}wAZn6wB1b`4I)BgtPR%_QQo9clR04D+v<@p6bcB-H+t9AO7 zqCR1+#5N$`MuH7H1BcgK677B6--L5gdmfuzo#BJa%~QMZJ$+ zXz8QaJVnPSF8NKZ*y8QCf|7Aop<2w>s_T6=_9PIw$l_wM0h-QevuZSnHO+R!hVc?| z@|kSb*}24kz8E7$IXAXdJXX}?wY^`n8oHE3a7ih4zsJIvE2tCq46{$Jc9ss_WASZT zj?FZ+e}Tdc-S^=QAV+D#ub+Y&fXG@b)j=)vhu(SC6w_!EnG+wFgEJf)qhWTkPfQOC zl`}Lmv4~SJA2@w~9=>vf08ElgX10HudfRzFmn(hEmSZzBDB)U(8czJQb+(wZgY=Ws zXb=8~@qi!eI|a_58wc<&xKWoXtri3gRqr`v;lO0_yFxvo$kn$pkO;A9^V-sy=L)p> z+Q)n?xLO;l-io9i(qxv$_nkdCMsE(kThCcT&LcoKgS4`2*{y}VWLaaHosC=B5%iZ9 zqvxnxeWmrNnN^NtR3t3P@{&ZhTKnjdB~we8wHl4YE(VVNfo$*oi^1tn@FM0x(lpG; zx_>95E*^e~)$Pz{5RIoY8@F%;;Pkt@(w5N^Os+9?LB1&)ssGuO`}jF2b&5Yjw_48V0@M8%Or4Y3;Lewp^P zVBsZRVC?XgvSJwv;aa^hgv8#LOuO{3=YY?pQUy$kOmQhp&mZ};h(nkm)Iwd!c5sW(B)>MR$gd! z*R|T7PIf@qF%JHbQcQeSsf&|F+NTenNCY6p)0n;a>t;E6ddL1lxdHG;^rG}kOOGQg z$g`!wOK+y4f?rR?V@_iI8~k8+v(KZB$686`jdVMCH}xp-{K8Y1Pn4tmuO!-9LM?f>Js;9 zE+KY;b#)RuqUDtOU&tln2|HqWA?yZTMq&5g{Bkt4qrVKn)WhBpia9AIR59~7pjZ$n ztG*Kz$W*R>5g zb|Se1`m-=VyA+LSWi+)1PoBuVi9NC0sTHm_d6Kniwd!(fK^f1zO_YldY0{{uN}wu( z7oo7b%XB|XtmGYd09ZlS?mm~B0V5&87F198thacUgVGBNu`N zkX(HY^`*0oj}AWSX1_ZYezb*xiEC70DbZ%P+gvKP9A(hN-t|DX${Gp(mN|G2?#l@} z+xmFmD|YSl-ny{!R}59DCx2#gGG(+#Czp+x-LpGLQ%1CV*&`S5QmJ6o5)TSO(nWTA zP19Y^{$6-R#{jDXf!0_$6P-%tvj}`fBe}s7GCiYxIay{LbsOz5(sj1U+YcYfCgFmF zCtQ7fk}3?xBiKk6o1nPd{4y7;V6VjYd$P{+9c_axFP_fIuAMj{S)Pqy1V?NPW8j?> zPUs9WF4Or$Chnv&k&Bt#uMCQ~fj)31vYP|V;Mzro7tlTgNZv^r$&(4+LJ&A!bOl~7 z=kZXQB9HJirZKxQnY@!LZ7njshCa8Noo>3)qLy=Mxz@h1dtV-c;?Gp&XJxY8T;c(6 zs8vcah;W?YmlKGm!iaK(s_ePLN7Iu?L1d(j=s}{`4`@}1|F)6f#ADb924T@CVR{U0 zJ=bCvAS@E`0>8ba`TedbEX2&}vpjha+p=a*DSo165cW&GBgbf7Do&XXR?9Q|bL3dT zZ*htPAtp1vggzaGd6+5N(xRT{k9?ujzr>N3sxR!j$me$CntB=AUPVmnIUfdyv? zI>JiOar9x!c#LTYcmK~yUl{O!UI1J`qrWKSQ+QBjjtT@} z;3rkJ)NHK{hbA_@OtO{Amx_`2;R6n36ftR1)aGZ>kwR>`98Fy;Pn+F;^b#IKcuN`h z5Wx9~vh)G3g2LTw_hbe@kz)-%oW2q5|1Wz(Eq`+VZ5G%qOfYXCIGD&RwCKgd+Vo6& zbJ+P9WGDJ**Wp#Fr@2bCzT9!%ZOipp^R-ymRr6JRubD_0xU1HM7t1w_KQXMud|>xC z83@LpxCjp-GZ?2Eey=sf+?RqwFST)Hucn`DmDM*fix}TixdI5*y!s?N8!Aw+3cdJA z;^`%2>Xli^sdfOPRMmscmvL64;Bja9ilZ7a)sbj>yacDcBxW3k zl!^D;x5rN2z_-u6J095KU2N+4N_9okXwJ*d1RSR~JF{=n1~Xj8j*QrZ1{(YW9O=K6 zOBKVoRB0uVf2D~t;g8#0Z@;Sl1g5z^ku zp}utP-B77;FLy`w@9pmBbn#y9j_vAQI3t%TE1*VcqDr+$!EkZIrlF5&D8~`^{T_QW56|99!?$nhU91@ZNsXR9IvQ|h2qDGK z5lC1x=FW0MxGpz8$PEWQEbf514kQFI7=Y=7ku8Pbs;^oivls%aDj{$BQs_`$I zz+j2}v`q#NwaIf|2(F)bAryY3Ey&ADBO7NfjRrn&QRJ(=G`4=`()jV2OBbAdUc#oT zcfdt86H1tkbEDNgQTG#iL}BNanc035H=&nFmG?6F6r?erDmf&Sz;4&oe<*+~qw>_j zZM@vB^_1Y5P-1`EzsR1-M0+VZd_P{ouTwuMDD`}F`HJ~hv9nLz!c8d^9>f9xdh*k^Z;Q z+e&wfB)nvyvS;$?WWgK^(~lwt)Y(^~j=qXcO%~DxCp3u+{jF~d$z>U=<*Nf9WS^uM zv8MJa!`g*Q;?K=VQuA&wBEzYYDpj}d?xy;ODe zYWWQRIyHN@Kr6lk?oG|^P2`g1i2j*GZY4=o6AOn&gb@(4!gW}y3Zu(PlZP7~(@H~v zb`e0b7q3`VY%88R>t!_dm(vX;t=nZ+XI=6 zG7XO2%g&)*#vjnuMB*srn#^XRTRW@h4~B6)hCGgHf4;u4ojb%=-Q5CBhh2YgZjD)F<@v#suBe zGe}%uKBh9|(A@c$4e}Vl1V)f{u4XnoZkh?5>?|>j!8sMz($;Gx2;&$!|_7 zv%E7-i#cKTlCzfQN;AvJ~Yc`Nf5?hXY4f$kHpE?x`X9vXXMsPs;*eChQFtEkSEC^;c?1Q(twMPl%a z8Vx{*L;Am;=RVpLHJ=1^aHGKw)vrW#bTwg57qHilUcn3=eoVLZ)0_u_q`H%=+u`S2 zS$((#GaDT}=A%#F#=+gPpio++4epH736t3#X|o$HLiQpOM=PFw(2EZZuP*)ySbjwsk2aTnf2BG zqD|31%WO77g=1SSp z_gbD#E#M+0z)%(C-~(Kt5)^0hmea0XD>T#Q?}-)=!~)P#(q{R)C5}o;(}#gX7=HUp zHjoMeULm6M)Qnv1+Mw17r_k~-V8*AP#&5=GUe!XhD_W`wp9)#ya>Nh*Bl6K&!mPQAkVtE0*r-SSIG#Zl7 zoDItzK97DkX{Z#t=$6&q{?aY7_Inx%9cx1v!g$i`f~3=TUw)JH-R08A6*Hjs3jFe} zx9Ge^Mw}_z$UL{|(Vgdx^X(hAkc1CiJi7xCmNV*LX1_}>D9+S2JZN$R&(^yO^#-Cs z5)oRD5ps%^NeyC*6)UW zB}Y7JNnja)f!Y0Kj*FNUuCm~>!}>nO*gxhv6;G6d3+kdo(~=}F@4}6i z8AGY=Qm(>r-EKFV-7;aWOSMj?0{lYR;KqTxeHJ+A}zZ4 zS=uxhU@s@;t{T%3J89HZH=5n%m&p>~MlanoQB+osg<`v!CyLLQ&V!diT-vX&5pQBb z01Yt1HSpSP?@YUja;e=~`zj!L&E{Rpt&PX=ILAnJ%x9U;tT#k_YzDd2MA(^j_P(8v z;k52xAMk(Zm_7!sUh2_IBHsT3QKx82(xJmY#CCm<2pj~IKh8f{%#gb%upmmmZVk`g zfDTD4`V`@U1tGeg`g_gM8oq_>H<)Cxyri9tvkn@2WdjphPaP*d62fjyEn;xN9} zjLf&2osN`pB;QM+*=ly0?e4bnzK}Wqqh^j77CLk)olf8zR7s$LN_wgX_)9hCE2!#O zSz7xy3t8<58~N26`TDg+Vx>#ky90%gx;k+I`D?nZl>*0S(WYft}5s61{l_Ukb_{YLdQ z7LHA;@2q^p`uGy@0z`Nwk;XIdRu(N?kGawSp!;2iTDQvENb@_FXX(OrELAA&T{Yqj>yJ1Kb1JUrGv zaFfp(cW!p)!p6<+gb(!&#@4lww3tpJv-*n^-uI?ohLV{R)i2L$BX_86xZ8Z%V@cWk zGA#?dd$rQ(=BxAhZmrd6{i-k4UUFja?c~!=DzN(l^ctVO5$u0BZ;0G6wrK0M^w*t! zFy!cir*DjG=nV)+b$FUv8@JNkDRLlRfQw!>QEWQt?Ebth*Hw_fxws2KH=~8xeNr#r zNxi*)qSV8?tfg#}(wR!T4qGl-@3Jm$_=ZmjBx%0tuK_LF1q<+OVi+8}Hpl z!3nQ}=pDtze65W-uH75OPAyhx!D9~H7wA@uF;kowvzTZ7~V+Pbuv+I2@O z(n94~sE#a^?&98iUkNXx>46|2ywZYs-chbJ2Vf-n1jckvPPO3@ljC+H*T{$ zjshH+i3cX`A{pNqovo0W_&PVH@A2T8KJL2~+ShCN1J`*0@TW|?EMSi}+Hx%V{K()U zpC9TI*HSMl@MN={YzXyxcG}qT0~+n}y+1nldOA(fWdMBA7T~j2CBO&m_hc8CQ*HOq z<}&m`J2q}3t^*NQ&$s3g1Zr+GSi^j|!UGSpXf{`;Q77`&KXY$eR!`w|w4Tzre`Y$H z*u#0Ls-4~ZGtzyd(LBHl<;dQnxhCwT=%HQ`X_HLEW4UM~FIdvj|Dl@{%OEFYpU2-v zeI5I})~K#OnXeAvpJyyi^R-5~aA^hR)cC|OGL_XWUmpzZzB(pfhqf62&m*5T{BS!S zmE~@;+^Jku|J9(9K%Udu_{nS78V<`-UKR5RiOk9z#VZ~%_X(*tcNSi02?oW-mIM?h zM=(|)JGJouUjn#;!@V4d&&;hbr%`;|THGTcCv-A1WtP$4RT4pAczvOwNbF+YRbpcB z4Q9 z0JqvT`n0Zw2S37{0F90E%3-0gDIdMev=$wLO9x~n@g{W2XuEm6Q#1Q2ZT@khyd?tn zcK}(AMiUz!wM-hWGh0N)p^6OLHG=U_)t6$(PftbpNvVBauqE;LqZiv^A~ zC~)RZ(D@SYU@mG}lCrWi+iX`85}n+6KG&d60}CQflaR@ZF zhLkYp;`H~}q(Qi`OW9lyRWzcZ+XKUY$!SJE-DxKG-tZ$nEy@9YwS!<=SgEGZJQ|)J zVM3q_^xE;cSKB&QUV|g+&$%v-LaUcNtXRbewnnu#MBM3Lw{cQq z;m#Cd*pz6(X1xjC}o19lnM7{L5w6b6MsbuLP7u`vl30C z{Sk%$T+x(|U7}L7rFAj&Q{#e6I8jPiY=Ze_<7)j{K+ipr{&*A{Mj@EVC8oxQ3)bIk zMlx%D(VQhaU%!vUmT^lJw~z&yLLHhd#<3 zDK35D4aE1Nrt$uty+Ce5+PgSNvuh=`??uq|KSr^O_A`-8Jk+OYK<?k93T2TZ=!AMuP>Cco9eGW@2&9g7xFUYoW?fyK-Yqt4KgXoT8w?HjzED@;NIZ)Z$PLaPIB&by!E0uj<^uk1$$; zKa>U|*gSI&q%6RUHd|5nK>Gff03Ok1Sz7`}ClmDkl=cs1wza&tn1bcpUUYNH_CC#} zfhLvgG9E)h_x@d!!mj4wrtV?xTETl{AfP?Oqf&uL_(bCZxe%P#z%Ou%mEB!~&(0;|dpG#iKJ|KRGO(xWwYxJ-i<-6`exEJ$ z+PSOVidGgY4ZxlvM$ub&vSm%j<06!i?g!|xqm}9Lx-*)KXJ-;QvzBI0l&Aw^a#l~m z3OW20DoTRt$)?Ns!sS$=^zYo;7|)`yy0hVb@nziV6ckaM8cOQW8#sRFTc~6`VlGXj zgVG2%xchvHsqSXk$J6HUU3ez9v2WB1r4=1@>##!kD^0HqzlQh|tb}Vunf@TPW-&C( zII4Flg;W_MgHU5`TI>43#ZipLldTIZc!sHpq>7LM<^VqYel96oRlrQtOzSaS|6Js< zm~#*_+v^P6Nal$@pr!xQLcq4&=nQ<2n^x9sXQ}^fl;+x%?BEmF6|`lnx$-=eVUUs3 z8^b?g^>D<0XX|aHFWUY)hHwQTI5~v^1*nV_6at1RV)F+NDEML2ELC8kHM&zZsdFyz znT=;tn&A%+AN8w&2?c#%cZvhXNB|>bl9{8>l-1iF72SspMfzCAUokOWFiR3;Kl- zc4_Dy8|(B@<7V7w;n_KjfeOCxl&d}HgOd(LtT*bE%rpOg|AW5lGWvURsbsorR{iEQ zL#CpWAw4p8Ihi?l2wT&1JYg-$W@B8hlZ(ykyYJy_iGDmSY_ugK2xEo4Z*!CQOLrIv zm1tD6R{`%30fPX<&c9Pmp~IR06)NP5$&BDi12ICc(BT47Q^i+QbmIBh(p_{I;XV!D z0N|R=P@Fg}k@};ffkG~(Wu4?0#Ka$b#7;ysD9GpGzoCrq$sNsT-&^lxc5+iCQi0Xm zZ1qwjKX9a(sXJ_ukoe5=!9#r>OM9Ub2)z2VsM7~S6a9Sf%$<=ca$L*FZyVuSj7mGf}%feyquPmeKFMc zn>IFNw;wFZ4yAk};R_@fZZ}fcn&ZP$Xaa&F&73LHv%{xlX|x zn`7Z{);Ctg{}Jcm1mNAvGtmuw2HnE|n}t62=Zw+Z z%WJVieNFV>Ue1{KkfyZX%lq-+NAP{D-;`q(NVc3g{3&Lio%+<7jQ~Ft*XKL6Nd?$+ zR-S7yb=ror+kB?9fqg_Cvcip4ZQ>OcQlC9jjJL1v54~Nc0!P!^`<_5~kCiUuS(BY5 zx@8H#J$?au0FzKwI^?cG)XlCei~SpT`wvL>(WO{CXB0~(p3JSLN%;qnQhWL`N-Q91 z+ji>^w}Eh~EN&~x3OxWPRK)1z^9${!`SnhuJ>Thjj^(LPYMuQI(JG>3y5iWeJ5pg&2dnT z-T=ek;5Vs8qW?x0TI{TUgl8gVq1tH`r6!!mxUv0g0;6F)xqP=~5^~1EK+tIGLlo_V z9ro~x)5E;2sm^#`JOC+Fq=e=yJG)O8i=fCg{)VCfLjI1bQ`(CMP(#CGbm?Xrwdp4C zxqheaj&g=$#7NjhoXO_jS^%xY@PnSVcKC8e?$JNq>Z#1p|4e$v@O|A{r(5pKR~qKz zH~$gl%f-u|&4QE6@ff1z2OCUxMpr44 zLM{>^d@GR%m|>*g;q;Z|b99MT6@C;u8@`~wV2}jYi^=$)Rkim~J(SLP4Zj>Dg7t&7TI6Yu3$%5u{x3+COxBvH#))13W6%1N17&%`bt&T!)K zN9vI0N~u5|+cB}LWytX%uF)@t*zr?&?4d9D%NUTA6UOr}Qp5(;IxEVfh;MWKjgB!9 zS6{_&0A8Ts6I4WbDMKAaRPyC|pE@k5?HMh1MYTPAUcFCP6=v8Ey z_*Ywz#hVyoi0^6ow8$17zW|p39DpI3cre$y#9#6S$AR-VjauQy^8lg(G~ zYaky|0XPOTppZ!#OWT;FS+{WI%A7Uk%mS90J(0Eh9Ll1DF?SVX8u8_-9cwJf|3>sk z-+*yYsaCyEN4)`NO$o_fTCOeEN_SDX;VTe+1&RdTtp$y}o|mhJf}_2;YP*_%EX!R0 zNCY0OJ)e>brWzFC9cAdmY+F3AX{cITSrDIt!8KTN z#2&lJU{mc%YoYI1v|KR`W>=WW9r#;objGBbwU8PmGaJvQoq|8g3TuR4A`?HUaFJmi zSdYN^eCLK(jezMAMkr>Cc3eopYm!Q4E(%RKF&}vl@qRpGGDKC z7L+0TeD?|z+M~}hkch{WBw<@~LD4G>XPQpT4N^8?E-hDDyp&)B08>^-g(7(;oiFC` z&7i?e79`}Dy?CPZv3`b|oB;RowWm+kSE9?;4!%kM5yWsh9ZMtReDIMqdF{-s<#KB} zYv>e866cLkT8^ZO&SkRU;JTXzg=(RDON@n!8CDV(UTolN3{>D*fRAEEB4FL_&T%uM zAuMJLlsWj#JNu(t(O$}{OC(Htyi}cEd#_Ez0A%K_TCKI9Xc-;_Mwc_Ef&9?H2Q2T6 z;6{^~ay;i?8|>X$k^bTx7uZ!p%Iv!8k?vZMBq^+g-5hlZnIT5)g1OA>z%%&a*7p|# zEGrPE!i-nk+z-U9HWUwy<}u`jLM%8NnMq`akA}R(?Z)Ax;ObnF4g#!$4(X(f;@?1Z z(Q7-Z;X)~P4NS)0VzXtCj9h2g3=BZrna&oRR1xe2iCAHC%jQ&*eAcH0cGedu3d9Fj zpaB?I`xuAQe=*qdU37}(M+@adW@Uv{U|lP(PzsFOAj879=p{z4Per}ViptOK<+he_E1XDBphjsS~Dk(Vj4F z0oq~zfwqO~ z&1vfj< zwQ#-cCz%4tDLQxxMVa`2A{iWLMjozwmrAKmZyE8y{}*1$lL12B2oyxyQ_$`v;i%)O znA!VThE?na3lMoW7vjj#D1bH*CrR6;xjG^G6t&7e67>!at6=;{qebX@%N!| z*BgQ3d5gNNH-h_5w+KXWeS(H3nQ1-PdXzQZrwaVqMeCQ;EqpuTJc-H4)jy_}2DeTD zga&_~2Eo}|qphu*-5Ov2gPYw7Z~q~0;g7l`X5nlPd=Etc)no^DWke)Q;NSyHvN&@m zIJD0@t|L}3z~;E4CA9NpTRGsmq`j1vM46t@N=>*cyD<|iwp8a(d{~p4@l8Av5siH%j|h zKy4x97Ds|$V7TWcN!RE^wdwowc*gRcjH4V~Nz2jswjEtcTe|H|bs>BBN;+?`Qq!?$ z%qhkIw(I{n3RoGD+BKu`Kcm);EH3VA^v+5K5Vd-e;n>I4s@5REUkbRC?a{n)tT4dy z>;ov`z1alEDLxJRh5Yx+oo;i9w%7Wq1^atWG>LT%{R@wH3~tBB%&Pf`D`8D^6sMR6 z9@u*TkppN%c7$aT`dRC5^5@|yZAQD^sSO^mN&oLGIQlyhW{RgX6JKXY&)swC&VpLF zmf=t6qt2Q>DlrlepDvk6M{bxKeOme7)S0*w>fLaenP?FI)f(;iX@$DDphzc$^_7Uj zjxuu`Sa}*98@PYPbowsDP$HK9e&xm7g*SOWc;I{gocp10nfI|yd`0`Nn~y-%zT;1D zcy99g$cDb|(`YVOE|Q&o8~4WB?$#N;c&li@o~tWhj=w|!{)%WMcG0}$M+yh&K?4kc+@c5jIq3|QmUL0A~i|nv(#Hqh zVsl~j$j>F?3mVi$cM#lRg=)GoCWg6n#i?ah{7hnMvJ^3DStOZR{aMSorNPY+TX}D< zW2@GA%5rvQVu7;U)h%*H`&Izk+$2XCbBBSoBrh{ z4-d>*y&Ir|I|@_g$Vp`Sp2Pit>jFfO0(Hqu>7mT7IsaTZ{WI%&E}&=d2hmRJyJ`XJ z6dhw;7TdyW2sA>3&`WTcY$B;HzkJ1IV+Fi_Q0;e2YXw^t5P7pLyOk^T#d`O~Uci1C zn9^McNcc+>-oC+$47`)9L%wU#l3r8AG*r$Ee$M(nPfu;3G~DIXx?hDro?BsK`!c=b zUR%zbw&iL8;$e}9UzUDib|n>owmxx@+%eFIX$0l6Sy(}nX4q2Zf88ir;CpBc;m52B zkq*qL(7MFayyS}c z8?9&9h^#_KWCsv5(*G;8tT4ptmXMU+zy!^M^X=U;#fRpST%DNa6zV@h$9XHGtC%YB zQyLZ6D8S~<+GnZ#TB{_W`FI1Gc8d{;C&ZZ?j|C?urg_fF;)i!sDRK^Pp=?HL3}R(! z*Yj~FS6LcRhE)uSIT3R;O<^518prkn{90@-Uk-VA+0$#DUt{l07SLx25yb2X4F4yc;8+#Qt@%o)HnUJ&L@ncXbrNS@ zXGp8>o%42ZQ{RS?Q_M%F#XHge?1ra7L>b>m7Sf4yHg|dBrAOXMmS^EDPiCg}hri^V zGzljv_}q4eU;411CGUjr;L2RPy z&vf0H(MWtU7fC0a=h4{403i#ga;>(fDV45RMaHo#g-v>8@!L#bi<`wO4!r)qw}C;m zKr~--_nWkF@sjvcfw6-FuUK#{TRs+4hd~UYFZFQw4Gbu-dxcUmcWLllL>2HG#OE4N zpX+4Atd^A@v$aR6^{ZDiLMSPak_@4g_=#Gh+QklX6pJew{bZ~`Qrlro406>z^93#_ z{qU={!lEWzotdpzMhFF<5|+@PlqXaG}wa zT{h@G9(D9_%n2b4`RJrpDjB|$hSQmjg+_-yZQC)2MKZJZB~}lRNW^r+e56s}1K*_M z7=xcHX~vJ)7{gCuqzP|Jgn9j&6m#x{_?BM4){-3&$rS>iVIQ~;4O92Y$=y@0P9Nw6 z@q8OA19|yiufR!bq|3zfX>8!O3zjNm3&^1b7O?Mse?_`1+r$kt#YL2bZ&>%A(Gnb~ z39$YL6iS$(dS|v?MZHs;iA)#IEH7=hh^oLEl6bpg4np7mqA$GNJNn%LGho52f9@kHA3^M?{I=c_CDFj&_z=;t#VfvbX zBfsNIm$=LZk*PHj9gOqqTJNMyrGCe|?uF0kXP25KQB8@(s}uTy_`!sFR}%45iPEn)n+CNY^xGAHMT>o9e$oAGzGH^4A$BB zYMSdCtBKjIg0&cam_5mGLM^wPe_~(}-^wZ%;I&dLhfpz?!*=~$lq~43Q19TB%;nA6 zJ${zYKUyk#=}2a&$U<~}<$hvMh@W|MG(gYC?i-nGDyAi&EzKp@8Jto$`PcrI*b=;iq_;>8q-Sur z1)OL5>Ma1CEP#=u3qGtlj-}<5u4Rt3YiAn^Zfj$*rt4SMKfpDOC*1^wd@h!YU(Rg4 zld6!{tP}n`o0X18!1FM!oT7C23@T2>@D( z_Z24tZTf`qDr?{r1bcMxFhUp>erXbQ)ylz>WB$z9&yB2n$hvciNtkkdDa-#WMYpAh zN@ne62){ksM)W}2z#EN4qx;X)+V!h92DBm^HbWtqz_LNf(0DO7Wz=4rSh-|&ZHo&F z<7PDedGG2{c`D(KX1}V4%xwA3V@q!;NNVOqmD2rIKRyv<7d}8`y=8Ne>eBu%@o>)M_Wg^C&rnrmn9ZoRK1!I~k!3~Ja!W3A40y{tbSx?gD z5>w_KGu=q=OwIlLEg~Myc`G>h6uyNqmJRR3lBKmTbFUjbek9AX#E zVP=$8)RdP~$a%NLyFRw_)W=ru{E2~I`nGf%dyFostl4La6>|tq=F*kc+S4t5p{MJ` zE$zC3okD(#l$o(Z&DZb{l%5k-@-t%Ltz5A(a5i(O+0mX{m#?#?IKC8#)2MCQ#G z4m`{%IKYTf=bjSE*IA$?^TtH49V>iJ#9DKHPaP0wXqj}#H@3BMv|YgCl16m=fyc{I z5?4_%l|nRQ|Fh(A6gdrE0)(q`D7zW{49@|~w^x!T+GutI8$OMqd2Lm>nMJTp!u^Dt zruZF3^&WUU6Yc5iD?WM{Jf|8QW zwx_w9t`yHlS5=C;f<(%ad8sEG?_lB>W*T{}<3?ncgIiy*yp~RSrab9PMdUagxZfwe z;easpT#n(F(iwowK`etUSh@1#Tjd^y>iW7?jKwu`5agwfy;-^o4`&1i-w)Ho=fvp$ z=;vi~oq8p>t*@NA9y-zM_`|sm0#KVN?EQyLV)VT*GVut$Al}GyB&dmf2cNR;fknch zp!|^V%ZT;qPgxbw_3ul;m_GII<3pWMSOzi6H&3~tia=!RzBcCFb0^w=tL43gE#+~X z8KY|GnKX$oZ^GZ3%;ie)1ubC)F-drm*_-4o6Z+-Om5IOPuF!~Ru4Z`xcnZX@u%=ok1GN%}9FoG8M@5AE~BO1%40Xl`cU8_~E)rLM}L)1Ma8_1hB>tyJOANM3A*SG6kaWuCL ze_UqRP&pqAuii##SbPp_+}XG>ar1@j>a&^3Dw~0cZOM3GPLuF_Ik;n99JEhu2GOdW zWH6mv*SrevN_OqfsJWvbf;5XKvw>JTo;>+s3tqdn*t}9%%o`cg>L6nblrjq+=VS=J z%RMoXxSDN5qs^7Pv3Wbw-=+SH2V-&IV=o1EiEo9b;-zT9ky}isB;O7CMc;RM;-BtR zcKSP3UgSzWI<=Xvqc0QO>N+_Jq6P=UL>=$F$?_y=gbioomez+tWJ^REJbU%|e0wp{ zfj#cot^G=oAd80Xc*Mka{*1^g0iJZ+T$}tt1^hpOWm@@|hEyu#8a+mKXQrP@<`L`m z8uvU?b8n%~9>2F(pRYAKwVhErB);`BGT!$Pt``ibSN_Qc&lx*!DG&jRH3H0V>CN&)F?pMt=!yUeK|PQd8$ zRX}xcIFyvR4L#~96}(%rJ4Mrn(?!gC_%e@t5#7t(6Q84Bw}MQv^F-?RK65|hjr76i z_(8a-B*m#z{&E^QL7}Y_;fd&1l4{*x_)xZ#xJIgX2@`x|Kjqtt^L48w=k`n~%}hh&~L2`-FE)F+o2fj#%N(Suj>wR7)|PrUYC z?uNI1pLcPryNG2?i4BIr<(&~=l2vI`7i$Y@O`>~xghinyt$c1sntOcT*+?g9 z*WCnZu$|`$QECob$=L#ZCf-ZamqJ2p3U#*{wh4sem`&r>ZyZ9{2+L{u6!Y zjrkdBc#qt-eEV+B=N4RUA%fyd*mCME;O1+?O2L4q+*L>q>{K=z*9Dp1{hSTUsdFTn zGm>eVo{{j!sT1LIY$MrJHZ!&PuY8jTb{i2w>k<+gd;z_87zNt*hkwDN0bueqt%V!P=mBAfLL-*3<@l-G#OnIP ztmQA~3yCzRZ2K?hm7pVM34{;bX4?{EUARh0)VYM zCeAM8Qp2uVRZakTq}l1Z(O{zkxQN`nf1wg4kpwb_xoO~};tyz3(CFsV*f zOd{drQ|8a=^XRR7Lf=^da2Aj2WA{$m350%bK8%u82CDQ}?k4;7Ax#b4`aZ^V{A3

@LLQlThx>gx_;>DrIR-Zg1D3~Wt z-`{%GWk9m4e?dWVZWqHRA7>Xa$T5)en*-|P4HQ2WaGmET zB#jRakgs)<4Xu5-@*GB6@M~UR7s*0zk^nFiNB z%*L##6dbc&@D(+_DcFRPDi#;~R#-`?T+7cGB;zhjv-LB?cA?=!+9_P#cr?+jLcLJ0 zxioh?nP6_OYKRL@d3z%f+I=;*M(^d3iPxTYd35FXd0FCjDtO=^_Ug26>#v|+?Z#5G z3pvzvcuRbDa#q)xs{#R;PV zPe9Z2L@BHOkdj!RYCY&^>t{L|-XCU1PrVV^&^MqYbr#H`b463p4DNELU(+W~y*6^F zuZh0vRx-~erjpsrPLwEcw0Ol>Q{^j0tGd#V|JP`?r$1!f-o6$`hu>){O2N$00y#cTEt1e!|5pk1IXzvWvE3iz zbZHY#pl)eGlAuP3_2)S_*v!d>(eo>dHrw)*+%)ibrYrO9rk5m@U#PTd&U|-GGo>Ix z$W@+0_L$LK62{(HR{$8~O|w!z@s+_fo7CuAHvsnPO#CZPq*LEDGUEJ)B|!y8KnYy= zUWrbr*_x-uI%WRsxkGOmNL!`dNw|L&bS!d!$=%74zr4>qZy3)`i<6!lCQMW%c3O@a68DXt z0=;r%;}8F}=R)*QFFc{|tX*(#j)6IK3t*IRLde-@jLpf`CBm%Hs%yy3=AuUXOo#uT zI|9R^aWhFhEj@yOZR`W=Bc%HuUHGz$9&VUs;vk(CuuAK_Y{X+UkZ7|}<6H`p&V&~6 zhGHA@>Qd&HnoZ=OUSp2-)}duC(kMrv6yJTl^{0FE=-GH8KKCpRG^Ax>vY1bli}~Ej z&y%DZ4S^^}icP+k@g&U1-C;PAh2Lgsaan1EOV&|}m@9dp&8}Mb|JqhuT)n#TV;o;O z>eX;IkMC#b)Wkih5;3GTA|QHI9RWlnlbAKnBeyu4V-|kv5j1D1(P%7P4As3m&Lk#> zH8FWe6O*wGU5|_y$7Knr{2DpMxqslzFC*&$Ai>gW38BW~%}&P4vBZ|*w$45r>{sRV z?5)tmi!9b!KW_xfZSEuVwO~GC5hGL5=CljnVk4!!?ToCy*plB`{}BQSZdvh@7t(>` zZ!zlJw8hai?b+E|W8oLx?AG|<9WR#ch5=1euF#PprH5ATPr-u4;o==;CFpm+*sWwW z-Dr5?8_`oQXwgN?Vp~hp2>$34f$_|wF#|7dKEl?>bkZ}%fRHt~dtb(1W&JHIZU0P-Yg0 zI$s)=tG=%)!T~EPD!!tFw|vz85?m9P3X!RqRD9#7NV)Bk{Gn5lzyFUmsGM`DcrurWf$*k~&!^2^Gru}KZDE&nYxN7lDqcsRM1UF_aT{B3 zBQ!2vy8o>-IP!S^Yy)X)xCU#L6~m`BuF_Jl3Wr1Ib{iJ>^kDuCG|w zj8;?;&vGue@IIfy*NO~&g-B5TGdidL#7{=S`U^5MbExIw@n|u>zQT{fU8qBD)oKM; zeudZqdcT!tp&<24nUlEwMrwZegM2fy?~EE9yLk8jrfZ?IZW;*L9`-)NBoMqI*h-_S zO(im!>F*HpE%=;N2$0K|lh_=k{dcCF;DGWm;VWB}>WWsQ!h^`^g{K%%#AJT|8RRI! zVgl3D1)*BTFg`JOCxKkmIl##%|dl{g_ zr1en83g4FU#@gqDC4Ju2qQPx1>$mSa1kYl5Uu!n2)EA~}%WX;0ANVjgi-6*dJe5?L z@cxQSA3obva)aXkI#N9so)O5(b{lM0QunH*$kooiLY!p1EibhS3r7B3TVQx~_-j;D zte+y_t2wswWkx_TW~sPS4sK}eQop(#oOR}u%my7?tX1x+T&rbj*FneJr*#du`ISjN z8p%0R)Cw?2;`N4mrZaGzA#uEt$r5q2uW23lhLV#m$KtUgC< z;?kZb4B(TbrozeVc!Ds4K>XRhgUG90-2ZJlv_(bNS|{dzBk{o)PjV5F(zgq$PYyqZ zO6d8r`?R$&=PyiMa;A;YkOjB0OPS%%ppl5FKv0aG+$pI`7(MV#(qgAJn>u+1J>$4z zBWE}h)_;>pBz_Sb@I>(5KT!l@%@Aq;{Mb~!7)7Pnc*41Zin++KY%DQ+iJk>lyh!G; zsB#+peQcjsiO{Tkjla<`2y$msiHg?{z1_Mmmld|06PnFIhnx5r#zI`7AcY9M$ko=a zMc&2p4pad1iK}m=x&$esVikbUt40Qt>&}}v<@gckO2Kd6@4mYCRp0Tv+}%^kI}sH|nRF283PguytVj3y z0_q%0a7{9SA_p;3$gli4nQGCi>x)aVN-JmN$>vLJ0xmo|@w~B}X3XEvm@`E}82kb7 z0%|1IS)%WaHc`aLoatTm3NlVuqGg$0+#^i$)HTZ@hK zT!Fst&?^Ax4EuzjH)D#p6bD9OY<>AvvWL&U9-P+KeKw4gq$ zu`;CfAMknACUh;=L`qj0=HP>T8GJjg1*0@Z^La zJIS#4s-h=x*ALbSfm1BB@W%w};J3{oKAX+O7j7dsWvEZeZj-pT)&|E};d(P~OgbqM zE9yeuqbLgG;8GW}$UNYeV);l*75Q_2d^Egm!AV{`4YhaozC;xOeK?Az0P;B%WA z-~2P%6c=59Amfu;XfoIkWe*ul(1s}cG(>ss4}|;w;`9%Uv54CA_wfe^>GzYv*n+er zC5ORw6eeS;DO$r@@5SsR8j&0{)QQhb?=bmH zp9)UDh~`KkTKaAfd%UaI`1Y<^8s<@N2*#KUaeWb^NlHT^dR!tcooPVUC&%0m~= zD_vxa1G8fS6PCG~LsZtBylJhU8D$ETV%HHKoV*ou_S_wiG>}nWF{G@vajR&-&`O$@y}6 zj6#$BagLEXp3Q*nJ)3xSN>_7k<&9B+!z-HsZa?A_5}D!mQ-g860Ofcxuhk0U{p0rM z`N_pO{bcV)oPl5Bk6;kgJrg?L@3;3FTLFTCR~%?!>J0xLk*b&xi2=o?8HG2x3PJ#x zy$3Ok84p1G2{V8(U2}I7B2!SDHtzYVCnv?Cg}9v+KI?-D8c8glkC)TAi@9>XAjK|{g_Ctk zG;GD`+}Y}QFJ*N+OdIvGQ^fqnUYh%Wk4Zb1No6h;olIB}p+9W?+X3FUyxcYKlUJnN z#{ZO;Dl1Es_O*IrRcQ*Ky29x3>Q6)7iwAq{q1K9U6B5D6yP}ycA{t{#~AR zIu7w|EtK8!RCG(9f~f`YZl#4>gS>c@>UY=ji?!?d#b&Fv`662#)C1#BM`l(OjF0bb zySy&j@2~+aF04>~Hkp~)`bi>o(Ipfx*!&UeL)DYtO;sG!9Dc0LB|y%=Sl(=l{M}MN z3(mSFGeCu=xQ0AH_sL~$ZVWrlvc@%*l*=zPuP2P5z65`gSkUIy#*!7|X4ui*u##fq zk0A9n)$;(k4v|tVOUwL3SFiWZmw3%n$Op|E?S|`EUC}KjR1NHs=&)`e~Wo* zuC-@GQJ6MU>HW5Jlv|cn^X|8nExWZiV9g2I`YW4u@98Jx>@pfJG>1M#?}!y^1ZKhL z_o-wQA@j`fFHM*US!6*b1__p(OP8lqeK+IK`U^)wz_*T&TVn9h>OJDHjICHmGO$XpdK zf-HWU7QOp=s=Ih@>27{wqTOz`!@k5yUzR~=?R#xfitqYp|M&Ace#@Ni2?tbU5Z}py zLa+j*0*B`2j|6x05!oQ-^hn1dPBLS3HDgy88Pf6<_J}8)sQGU|OI29qE=Uw-V9YX@ z`Z{|1>ciPlRg28jMPm6(=})K@Nfu=`8P5Ue8aU)h4Ykr7m5ERpTz#1Y%SQ%2@ax_W zD5YZzT&S(zv21l_I43*85L4?MuX1+L-8y&>cJ8D;V$GPKgstg~8+w(s-i+kiQtJ(e zw6~z|s$D1Vb++NHr_P#|E%5CjP30fluoV5i_JTV?{xPY$;Eme|uyD!!PosOSxBrExig+rRoZ#=9*S? z#Txb8L6wza%h>CSd05Szl2-3<$JfX{w@TUD7zx0!Pm0EDs~a+FAhx&+un;82a6ga5 zoASOyJkw+KHY!ZA0&3SL-pLj4zxt%gMtpf`>4unhVAtxj^3BC2AwohAiY>mOR0|kL zNoazKU|t}YapF4HBPQLgVI#Th{ja3Giq|UFj8WX_7||_mI`ciNQ)IP=@Py_DT=~{} z$QF*Ka$epuj<0VsdP$X{91nbld080sVm^m(I5MBN|DOJHG~%YZTwuYN{=c*Jp6naJ z6W<$7Dx~9>LpoLeYp6rvhkb6PaxlC$O1~0rSC>(irMZMqXQkDdey-J4U|*R3plV-c zuSx_ku489N%7^L0w5HFg%mqM^!2R|6l8lAxDxQu6fK5st|Ea~cd%2A%8QP`zKrIK}Zr?~YvX#z_ zj+YX3q=`_X%5`e(9W9rM6WXlqtM$d&h*T@_1cmcHYRKYB(7fwyE&2)J;f`Z^vTP2M zg!zXV$gM{)H3@sLBlNhr+G1rTQ@bWOYqJ9?Hx7^a;h3XlyM8U#T?A3g@judwhaTO% zP+g4IuA<~trCMwlB^)!b^YxqQ46}*jKRA{dhHS`R&Zo1P(mS~;AhLT(j*2Q??$n-M zo@eIE{)f3yz05;y)T*%>sLL-cH5A z$J2pAc4{h>aK6ME4fa9D(Fc9!?1+yY`k~fc$Pq!_h8c~4I~mG=`0bSIM}I=EHXe2Y zvy7LTziVHhlAv}RNFgp}TK~?-C)R5F%5o<(@FOHWMc;f`Vlx1O{w;y2_yc*NN!=@& zc;hxPvTzG?v)l`#18ba1_rlnOW^cI{#`k`dzv^DNF!aOrLjB6})oTZ8k+@HU7aqqa zFse=-OcTj$Cb0Srn&v%MgL~&)4Gp~WoU0=xy-Mw;wcK4(?FT#AlC79?7&}VhtWT#u zOOC(BLSTi_Ld=OdnI-MRXjk=>VXfeb@naN5YJLnon@j0`_MPXju|=hn19dyjfx!OG z=Tb4FE(SNhW&_Y%8m_Tn5z1E- z7jyJk%d6r9aO`g0X+uud>y}TXHhc^Us{MpqEYHa;+)4s4EKLFMk}Y|)QX~7C`g#8C zXyEOZ&}JSdNW497rnht->!&tzCVFm6OsJ0h{~fJaO4ymS`nOEuIEA+Mw1Q|6f~C zkvyL9Slr1aXR=P|3gH;iykMkMJhtvbl#~pm#ys5;5dHUXGO-kj`6x81WIi^Xn*#0Q zp>k|-rqw%(c;Mzm#se`6t|&pO+t6F7eaN|0G6!iS?T!2K@6y*SVy?D$qOmLddfUyx zEzj2$7Z=_~tsmhN*C?K@Ew*ay*-9Pfni&@}4xBACX(1O>-{(HT6%0vP@040g#vs48 zwZT>~&{AQUYTxk+`N-T)L^9YclIHuKYALC6Mue8Kxxk*HlrUJDp;Sudb0s0Q3%r=A zNxm0?D{tWoXuaArQ|hLk?%iX{Lrfx2Bh*A8bB-Ll=f4<&J9{g*p|=E|5}YMD$&mW5 zG1@&LJE>~&K%x%ugxRFE6e%C%GS2@d zO;#&zB>XR*e)v{;oV{%If|sox8XGT<1+{$hx-#_232m&CtdqrJ{w$EBH*p}*-+pGXP*tNzK&p3|HTpK_`Dw*-Fh(_kgZp53@JHJ?^EHS z-{4c2Tx0j0_FWq5boT?+rN&Al<~+HYuC!WaSMF-XMk_4FSi0=+GC?_bt0z+iinzy(N_6X<{Gwq`M9SYd`$TNl^8`!Ly-pAHH?3-~7x@_`Odf&~W03z};N(&? zXrVV;NvEE~LNDS=T$N1n+g!~|>E6r^4u6joNGug7dBHLeSRV`o1A)M+cWDJlju6by zIT$Ys^%t<|QcD;P-p=;d7zgo8OKkLrTfDd_+7cv2&b=?Nrh+j+3=USz4H1KJ9hG?% z+3Sr6KB&IUI+WWWH#r&>D-xNgsn!Ju9$6)QNUZT%i_sbN^vx(Dn~0}3KNg~si&iyE z#VAQFRDZ9Fn1eZSEx;j$W;yu|8-THfNDP@!bS|rODGn1L~$zuvhfs-Il{j1(1xj!_tD>~P zXx6Ial1W8mD{0gB-#W&eH~@5NIA`C&heMyjFh@X)-IG17c#8LBP_mx4M|op{I;g$2 z5!QyzdbQhMz!t>591-{(Pc+1o*Osr{BHTKm!DZg9U3LSp8`0Tl8piCs+t`pdC{I{s zUE~QU+0}&wyVo;XH8KsR>}n@U1H9~DCk)bGXawbKp3?Q7P-g*LK!y(UkbWfAV(63EZRT z2ew!B(yd&#aRtGvKoOug;_?r4L18CZiz+||n1tbL)dK4SY~(A+bS6^?j9lRwl;_?N z9O*FgJNJ%I{r5OX8xnZSzRB*E1lvvqd~`pSXwK~+ywQ0n&B(cTOzgah-*N68lSeP& z1QNW;3Q@*v;OpAcUQTB!s8nm3uuG1;n*F!ub~iQuA6!cH+&eB<^4aI!5jp&6lY8B~ zph;uldYzifO~&8PXBu0Mtynyllvca`O%3DVFaQQ_Y_|Kr5bz7;H=Y(iToh1ftiV${ zCYDZYO@f2p5ZnTiLb}bdNlj-YbzzR;zxO0-!9&8NR-A`~Ik8P~0=Qol^a!w=u4K%f zJevD=$dw0&R;ib}K32IrTwh}r1U|~3;$-n*Q6`p7tbLo!j~+4~aLN4Wk2R{(>HZ>- zecfL=m&jY8N;V$behRC;paPed)q(CuuU5OZ3plY1ov7NJm$GU!ZT%}LF;5ILH$={s zTseHo8R$Yr(hLMGuu1{n^gZskFuk%TM<$r?d0qY~-_l0Yc5fk`C{<2vPxs}Uc02kTAk-C|PhtlTpE7=zeUYAI6 zQb*D5s#A(EZ#KGa-O3z-!&Bl3Djie*3ugIvx$H|PIcM|1Y%vvkst3}YaO7^$cmW8* zjz?m_095rr>I1rZ=0HnuU0N^&Wx~+k5KFoKz|8zJ_ygTb@u)AymprjQbR5sd{?sM* z2g{*031K9tfv-qea{NGo3{}kWAMl!;8D(_(o!YlB&=Omd7Tt~2k!~Q}xsC{gyoSRh zU+%Gd3ED#C-Isgzs8n3WcCS+Wzfu9LY99r;E^|c4iTK3uHa6ks3aDzN-Z}C@rdG5m zueGLQOhuXAi9L-{72l)%?dowe8%wiO=;*=g)B()+iT2gjm2S0Wr>%4i6l1Yr*XF9- zmO6611MxIy$sj=Gbw~e_R~`#z0U5dcMI9-A3bBV4CGs8y>|t$_O-Iqy&$1!Sdoi{r z7r~31ELS$m6x%t1E?JjNj5~(F2b#-Ed+*bA_h|nZ=9&aO;=6~zUUC{&d7OdZ@Y8Fe zbYXC*x{^woJxfk4kzn>4DymlgA=ibV@XCypEzMZzof~weZP)Xogi$zse1|Nj(#OH2 ztcKrGiZMI7V4qtTpE9PGLt0>MwhB4p(q4jNS+MNO3zgB2uriHpSF(~623V*!7W-D$ zUTmxca=M^Oj&co+(8+InmX?iEs&qnVJeSF&Vg25gd{ECr;lN$~jJ^jS>KO4jhxQ=sBTHd+rE+ldyIe#HFLX_mNvo8z z4qxzOY8jSEF;NM-yi2NuC3O(f+1G@8-{aR{%MN(G+RbZAo#o!Hz)g5Pq0XL$jq~IS zdMy{4cD1$KDYcYPvrvby0u=Bgk#>dQxlvRE4}V{Fzhb7#{*_j{>woI>jm(;v>QL0A zk~A=E>rNamjgzw2TVl0w^AY@g*pjiCd_0}j-1A@@v zmB^GW#QahT0Uyl48oQ!+PEf_{rorC+X;`5y7d=~5i>k^%R zwkdcZP3TObw&%c_@1jGhdGB&T`+V7%lT6^qn{`aR)YxrfFND`tg4)pzd9_RBkXbYjH%sohUnKmDGmjh}wcg+sXqgQCjd zX;bapz`j%lAG{nqlFQl`srJlRhJ95-H&n5FBpYh5tB~(L+!eNHHm$_ssCf|)S($Xa zxc*^{y+8qXWM(xo)34{C2yD818AYz5z5|U4%9mm(xda;K)J&Nv3W7`d6?|OK$V@2f zhR^h-Kg9e8q=CF8XOJ8Bi)^{UoOyF66(?GGj>enuLZ6R4N9PRLD}9ORtRiD@{sX)p zF>@TgsK`%ViO+VXdd==`y;j==E=#>0*DSmL-dl<2U~#ED1|noLN&_mj1o_ggtx9Ez z;dJ+O23x>`lHruDCjVajTYj2Qh!hlB;4vKJT9oC3C`_p0yI`(`5{VP=dgGSMRDqqUd_S=3ZociVE*~fqh-L4=y-0z|IrbH$zETFVFr`XTGl_f6kGofR71{;@S+vzv(oQKkSdhZQKUcmQyFHWouxj4Bu z;Y3+Ab(oQYz5A`F2jEb7rc@b$3Wh z*!^Q2lV}CD@95kXyFvV14{Qlr;~ z6)o^bI%v?r&~Xt<71i^}8sqDrY!)4Zf5>|Cyt~De+EpRJ=lI=xzVuF}*tJYx{J|Uw zb-E$gk%lubm<-&^FF5^3Xy=^1!oG)a0}kXBXrDd_&pdtSL`v?2Y*=sD-E6hL0OXib zPa@BzU(O40W>}Gv|Mg(k2kPx}a$Wj7-7s|^4d{z^6C_7+Wq)6X_IMw;;QtkV1P8wq z%OBsPd;2rR4_8Uufr3RB*T_Qj9yapLp zasEGe!qL}q>&BK+2Ln;xW@z8U}mb z_dGSeip985Mt3J~=2!~~;-fgc!t!N%1vTb?ylXUJuO%zI?>TG1IXe2TUIzH2leOK8_Ih@g6E%@hGcEOZdH0i}V~*tT>HD#x z1FHcSjsp**_C)6uoF0YwWv?*BF9WBcoQQ9KUl(M64K3bU9D4}A1mAzTftol=%PWVn z!Lib2I)5pcu@ZT!b?}k zlUTe0d;$_vG*;QRs4n>6cs?s7Q1a#6;k|UV5hq5gBRASMB z5xA8LO<-G4bbBlvEpXh#e{(o8X5taOO4q)!c|j-pYXLHwNSnSlX{(Q7r(zf>*!^iK z7Ka9tOp&EDnd8_0Nmu__?%CU7XUFhe{afk1R?Jvg;O*f^l1`2>b#o#=`ID;EL|SmOHZyYi+$)W9O&|wCdM-O3rCA(8;#P;m+HeP1^{$??{lnH%gdYY?n$= zvltB=ewn>H=iLxo5kK5{H-z@r*xhvA4dIO%JAW{cVxee7i>a;qu)FfSXD0Rp1P#+5 zwvS|Q$r3X>QMqEK5;YTnt!1{DpV!so@k4Zp26-sB|HeChXlg{p?0G0OBEePXJ#=A9 z-b7+*P?06L-d4ZHCVEd#;oZ01aZi!?vtyo!`~^RRUFUEyDKb0#mt4k$>OMAFwIVQ8 zl;)!prslOYfzKxvS6{|k;q3Dh8{7Q+*`}$Tx1HA%Ik=gd$h83*QU){y2=qDfACGQv z95_}Qz#guRd*?=0G||wcKpw)TXnhNpX;2>hoG*AdVxB-t=%R{2JzRzt=+<^KyFFUYFU z_oPOcYj=}LC5G9(ofsSHhrN6%@*RFTI?4pBxqK!_#BTHfoSOsnKTbtEn9P;(tU(xw z-TSaSfVX#TU57w8J_2LxZlikaiI+P4_Q>>i`R$MMomI-G&L(>6>K4Js_VwDe=}BAm${ey3yBY_bLY&KW4FR$_W#>Wj1@thTSK7$V7Y{7Oi2QD~zXKk7}btEQM-l|I* zDRx%rb(>&o^WxNXzPL!f6od#=LX$@i<-%tq_r!CYB)a=`j-!WuIH)aAf$jQVX_ZMG z<6IG3)rwRC^Ya`oaJnIwdf=PrQ%*O8j^FfKLmR^5mvz`3(lo3_3uziBOU#*uk8Eo5 z?ZZblaWJNf*)i*xU_Cn!(q(~8O-?v$J)9>8lkEkmfeUKq*Eyf)|9Pu~rw(PSfYPI& zNH7^oq-V?j&XZ0G0Lrf*@XoBim|c}n07_QUt&CGx?sS^hxIWIl)Op9_qWL*lY1VDp z1uoHnl%xY3+}{32v^$K;dlxen`%UL_T+9;W6{02kbqLnBlIKcJX>&{pIf7&-E)|lw zl9}-TguOR71{~RsGDrxJJk7i zZWU-hUT=tpt3QWY-T^Rohdw(GQWOrH%?IPTX^ijPc=^ z9>iZ3|5)JsY@-)~KOL>|=Ug=;} zt{}&C{_nI)`?RmIP>L0dOUK`1ZzFi08VdBxw0RWcG;>_ETeXFDYq4=<_X(Qo@=ge1 zE{X6QKA9m8@Icnca`;N;2TTTO;tN(KTfzO~cU^V4&>~_eN`NzjPW};HRM4H3%*EaN zuz8D3Dli$V)vNyrTjrhJn>Nc^Y^K0vwaUnwhaopV@^I#D_;DpegzM-)Ce!X)Pv?V+ zr-h_a&cUdmaT|N?16z;PVvdm}9C4$p7Tziq)A>t*=d&{z-$C9RR?Dn^-~)%Bc-8`B z=|+^{ZZM-=TPb)mR9q4~zPI~}95aY>v)~GF%oxaw0he-CQO|Xs4lZ=5n^p99OgF#F zL=@~3`m>S8xdORoXDkTR-gUfyL@L_dK9>t_fLZpoBy9yZI7Q3m=8S1^@Zb>_Wwlr) zM47`1XIx>Lx(iF~-quIiih|R<&~7%Xou2I)>Nh{FBlOu`!e`h#7Gnu%R+VHTcCj*X zVdoZ3Fz|vpaA=ELlzU5Ja-6M1F~#Y`(X(|;?85bkvp7z&-4@&%Ru+^#9T5Y|c@tVJ z`@W}3%yYJhB#uX3r^Ee_uL~<@+5lrUmV(gwkbCLLvF&O zVjCrV`ok}9Z(zgEY&Tk)Pvp(1qgXgU2X$SPeq^k4#--8%9Tg4~Bc{JEabhsnTy1yD zd%R@0=J>ujaUw8(a@H-*O~EZslh}hNMGo8jJC59gJmG=@QHfDN!S?3=hW8VAWW3() zbnI@TO}52$SBh=tQF*)CJU(E%5>EvYW9XOp_1|UjhiH1QQ&hAtVOMKP%ZxSpz2j9K z0Yeq$nM!5URyzqBBC@=GcP1QIj6xwF&Fp=j z0jxYRQ4E(?OlLv8S2lE7EFLJ0{y>9#4J&n}Etm6xBZno@RL4E2;!~q1nDKke8=2Fh& zg5uLq>e&?A6<_Xm9(pT1ijT`+%K>Z572;tRH%AgI_F!%lYpL|EwHA~n+_U@p0z810 zBy9&JLw5SsLVw-Q-Qqz4=tUKE#?%;-I_e%d@H&mvvU@wrfy5#ZD;BNQ3d@&wo~IRi z2fkixDElAb5DI*}g!m$ef;F%5=a^Cej*3d)l*~fO0>*@kn3pT22<;-5U;lYcuN}}J zppk+2LTENj|80yKgb#*UEte;F_fRlUzQq%FOVr9y8rLPk89%@R%gvcl`rh@XhTazH zi3wj5;zfmyj#kD>`dK zI&amgift_Sp`pNAShEBFg9iew*rAG!NJ-&fh)3KO033{nv7+Y^J0D}9XgX~$6s|mp zGwt!(xRvF4?uvjO{1J=C141i>Rz|Z_DkE_8M6M-%?j6C@6Zj5D4s<{YxuhAnzuqZB z9}BIFv2cn>P`fgU4VD8{is$m!ZBCT(=3Uv&c5YAM$n5XXyD$wpsg!}4e1{D4KBO%; zFKw6Y*(b-%&v2hPiNqVIu6rvywWU>g1 zJnD-ycCh)CcK1*U?hLs#SeILgFS@8I<+n3s9k?3Xl&cR{e;l0uc)ihdB5!hw@j4K6 zY68A8CdGINqMPC?Z>lH>yK$5M*C1i~ANq*iL+NK!;G9SZRMfpNn@F4E?_|=A)pCn_ z5aBzxS%=C1rh*i!`4hIr<4dms5O3wftQg_*;82m%RbF zi@9lGq1)*6x1@}u-{+iERG9~Rfl7eTW@a@A72Ww9i`HQ1E&Hl#iIxHyV?yvXRS!;j zwEJIVl7NL)@^!t{Znm#no0mur?4N6D9UsTs1hd9a4r)l1|&!G=)p`#(RI^a zj8{9QR-?Z=&vk$?NM}>T^0{at4t(-^>4HEaX*uAEeko-JwzFv4>YR6%BcIp)``Y4S zFcFD_r;?jpsW82$TVieemiM{VC}G={xhiZMKaTA{ zX^urTtG-WYxC)eKm2gAk(MgRe^d#Hg2$p}2Qx3crLu>avdoeuz0KSNyR3Q)OC<34y z6JHHRsbnyLP-Cn8ro^a_y-Vo|N+o();D-G-{v~}9aT+4A9C#Mk%IGL?Z$t1{8X#en ztfVBQr4>gdC5`NN6jnGa{ArPWKaD zm3~e)OzfT0FgfZ8Yxg!xm8AhcjO7APEJ^yi@772zjzyd7s@o6pBrtnAyRa#pIbI3( zs39#VJH2Gzm4V+V5gV|@Qt z9xoRKTfAKuM5ocQGmVy==vJ5NFJ@sH0nna0SF~dICxRpqWMY#qd%VTV=l8MS<}`%3 z7i)CA#3o$)kjn;KNM+|1bIo9!MYFxeVQK@cf5BJmz3BhfQg%Cfcetuk{+e zb*TvmIz)0oX1T9s==d`%kbwBsdL5LYCJaZe#))DbsPb2X7&HCLIvUV>?U zFnB}fYFClmObG)gbh{%dWkhypsFX@3G{=9A-R9aF7^0$xk7s;ThaMNplNwp&J?tI8 zBZM0aW>qNe{XT;ROH3ZZL7gen%B%k!oWthvHz<1K7yLF7U=*dw<%yk)+{OV|xH+57 z=GlBYerM*29zipxK?}a59aE+e%{#dgh8eK#|6HOqXc89V*bfgM#RACLr-B=vrv{rs zhn^-eZ&`UmNezZs|CJB8+Q;Biig@x<_*U}E5S2Gquh(Q?@QI0zJb^=EO{%w?B-k)} z_TI@Ix%X_-ly6LTvwXGDwf8(BXM^`%NXfnUQ5&&Zt5MoRt=3z7yI5V}iudpJA&*=7>vq}htKCg7?`fCQ^ z6pG~ut-h^4me&bOtdlMc^LW8ieTjD~c1q;Qx|Z0WeDD9HmJ`X(5`_gQ-|I(vC{{k& zXIg@dS41VUY5N~Hn%@{FTn@py`>s^~T#U~r4qP#OB&()69d>Lm!u}ezy zPXq!lWfb}$ZNYVElR#@u^oDi>cz<)`9c=z5O5=%l5oO#7dfC4>pBCIZQ)H{IR9xTx zOI8yx3EsLG!AS}(s{eD0o)kP2@$d^Uo01|fDMj+M*pW6Qj zcMs8UQ2bRQr8X+4gZmKv0(6!R#e;=Jl)OoSSL>Sf#d0QT6-r?gu^4+xhD<3W_CSa; z%5lSj5^(aJAdLuL?B&_P%xpN&>;G{_we8_wjJOsDmdcD2H70Ok#s zgwjF+tAEd^+hkgsYI6qBx(gX1zb2_8vQ0Z-Kj>S)ObmW|t*tn-f04_uqsb|>}ixs+BZS;Lo zItm|QMG0YOOh2nOvqT#7>+Ra^fev?*YKGvw474}?evXaDf~rHxRjZAXI+rou|HlbV zXlJE;@k-HN>6h=TG2XxLqWssG$gD(Vi3F+%6)HIPIF3ais*du^lCGF~ ztxi`=T%u5`O_LM2^d;*^E)84tP-W`W)*UtVNxG^um1*%JV!*tjVJ>d8eGm5Qg9;>^ zVka0FiBqeuVH@!9mtHuv)pp~0>0N6eRV&*TXl8uB<19{7shpX>Jaa&_&%jC&Qs>ZA zv-QY7rjvmK!&QbTT=ZatJwFgF9VnOH=wtZBFzYZ(jgr_Cwvr=|v|ubQ+6(=Zpw*lm zf@OW4H>T`80t7U;fZq6@vlK~2LtW42*aJ>OK~`#Hu!sisuGf|@Z$mI54d^Ich?~U> z#RiQ&k2yW4w1|q6z`daCa1ajbJ8Y6*Q6OK5#?`q{>T>7ptfJ#VkB^K6WQ=^Tz;FVP z@R22AcW<&$l`rQ|8BoPIQN>h=l~_noJk?&<#Rxl}PC@)ln|Cqg;%1ON@vl`yzIiEO3FXGRwZhY=HL0KF`jnZaHPJFq=snXSiG5t%Bc}uG!TlAti!B?Tg8ZgR6)$ z8m9k)x}H|FgxCcoVOE&Qjz}CFZ50zWeoIE$tQh5DV1u)*APZ9xcCxnwGrQT&!;3_FmZgZq4i zdV`)`lNx|r9g0Gyln$o0nD6Ixda%QCv#x3~_FBeF#hd1g=$w(+f1b{(R<}aFO3&_n zi}iO31#=QNQIakXp3!+HJ2&tMLS#dch%q@TI~AR!a|3W;zE^Yn5D6Aa+)vOJ3Z%{X zr!fJ;fYlR_ll}YbP9-l~G#i{M2bIn-`t4WH8$oB5sw-~g5tKkyOeeOyxG903cn6}v zvhhOR${L2b`#@GgAiTKs5DQTX){J(q^o}JA3;$6s}m1G6KEz-h6`z-QuS99 zJ0-+8fg);Oo7>y0*pX62S_%+^=ws7n^?ncInM>C1pTpkvyv7fykwP1d#%l&o*IdPSa;x%d{ zCxVizC8`OwI2?{@iE04Im12ieevZ|5eJW}X6LLD3&ylzqNhQ;Tam1Y!`8a3FI4)I6 zaN7PdyHp1%2S%0V)$5ThPy5yOBvH-b6ezjCVbS$BXrCz+nv0gw6BQsyZNH0;A5Jjp zo~g;SkoB&F2wjq}?g6WI4j-c?Y`&45!1x}IULFpU+Q0EZMsCBJB$6;5I;y{U{8COe zz|#QbAW1qIjqN_{iLR`0ro*Yt4{?X2FiFi51RRXlHM*^Y=@46p8=cfH^@rwRAzArq zt+CuYd7W0YPro%ZvHkb_)?tWyK+iaZxVLX;Mw^WzJ%=StbvBRNJWebqVS6*(phVR9 zS2N+p4bW*6<#e@g%HXnFYJJ9}m>=j4#}uX7{a&Z7(HM4b9g1Dz2^C+RWqySn8coNn zY$=*dC$kv~;EVhJn@OoiBH85?cNCki;t^u1*nT(t6`ffvhc2Wo3}sKji(=VIMGJ;H zdxjayuiS zFBZ(BJ8Qp*_lXO8@&v?%UccQDaa<9UXrE9ur~jS9k8pz`*$|S|(MX$VbA}DuTmOSk z>ws6*iTFAAk}i2grtujH3CgC6K=eEL2lh&1RiNoxdtYYEMlhQJI5LRriS*34!3<9V z+#s)>j_VJ^`3RvOmJWlG2IIdw&w;kt1w3WN-od7Qa`*9>N)-Gb=c9zaKvSu}IDK2$ zV0#a?Eu3-fC8f9^eWlu!%%e^5#GzN`Sy*iMuIS21iR+QCpyUOmb?-g-(7i6f$z&F* zzku)8Zi*nBPO0bcWy~%lh3Iv}EJ-NayZa#x4&O3UOqC~qusVJ3Ls}+G-5FJbCn)tL zZGl7Fg2RzGa5l(t&?wx2!`?4@_D<&qL}dCC2EZ$HHgt5xK{bptB$_Lo^jMlb5q z^2Ur~lJ>+a`oGU{+faucg@dHf=)!{C>7%%tla@L1Bi1``KSMKO!NCsAqd(U{07B7p zrqVAkJ$tP0EFTD+^+~!}c)J;92xJ+MqAgH1EMCz;W@eckVP?*@fCN1KIrb)!5Q@Sz zrhy2J7c&85&{dEai;>#c)(jBdx>TToUO%KtQzbqJc=dcS@ITp&kDaQHpX&rKEH5rL zRxH7A5Rp7Ln^d%A`UbYx3mx-fN)0gA@2z$LFB1tULWH3|- z20~$uMp}Ihj|!U}34TS8EIK@SBcmSJ>ax`WBJ5yYZC%`MRO)JD8j6H06qtTJ&zrs! z+&ptBRDJ{(mC~2On`bUf9LXh|jk7u>;f+~Ju~>Vj1|32k%TtOB0-ngP{U%%B(5K{E z?fikqUPwT+f$|7@(e$O@rd&ekRl;5@LKr5ApjKiS7{r9PTWUW>doc*u)hl*Kbaj$2 zGZ`aPi6&<2pJWXO>(ptqDz!$J74+3C-%Sfh2Xl($$<3c*%LCr;YGaa;a{LTVNx@bn zgct@p7o;EOT#*?}&ehg`YRDiFwAoa%>M0x{hfzE5HbklyDwQ?^uV&Z?ryIDR$=No3 znJGJ3^8~7;G-}#K{uk)tFU7PGwMybQ8t=2!PWKhyybJ&J%%;%b7%eGaqW&7KQsRk1 z{t~qIbYlH>jn9L8BqhA;#5lGIGZM{Y!EYhykBu=Tpu@0$bcoE8y=U_H@#CFsBWF$D z!qOVFedi>JVhv%TbAeNMKuVrp_7mQQugO`>=uK8)LSnHyA7bf&6dR8m9DvQy6Y6$q{w{Kp2;mPu6;$vDd@+8`||kEcTSAn!tcbBaWhFO zZ|A`}u3C2#8XMz|1}}%_h&T^%! za2}OuwtkVNBI3lb8hT2w1vckt>|j#tX_XBZiWYO(bld=SE0FZO{Ne1?mod6m<6J{}HXw^-j|(elhb%jefT z`>X-|yV=Yv_tULdwTCM9yAn}Jgu<0tfN3pK3l6EG8xxVv1GI9q)Mz0m(Wz`T@_GIy z91WL&dkW8H0BC0S%HPl&jdB(sKs)i`SvCJgo@%tNl(pCjj|a-~1|#^h|A@EJsUSV3 zRkNGDl~1r9Bg34Gta*kxqlhiVkW?t{@7T}bn3xaD(p*9*1d12zy^t;A=(>gY|8xrw z`RX5svphTKktW->s|%=k^RUxn57QRc%Z>g`lr4Mk5FQKG%z^!J6MB#KSlc_@Rc`x7 z9QBMJc73JWYAiv&xSmf>OL%Ja(L62a3P}Ey-a@riQR?ZIl$St*luF_1#Qq;mxaWg+ zx(6U3bnw@_*N3)FjK1>@TBlMw-1;aV%xi+PtTsZ=MwXfSZik@(z^J*5nHUogBr-$0 zsoBTRVM2}%h$+%o+Lx@xq3?~XzKh>W(HdBCA|hk|#?luV!hx2cj)r-BvrdG~!)TT- z=8^^DQu&GO1jJjM5*hS@Q2C);B*S45Gu9NBW{KRN4N4^4CD;K}iTZw4n}7#8noK>6 zI~uq@Hg?|qk;udOe#p-Y?WN0&maR^2kIeC8LqbjPjwFZTBNb1J$H{Q?{W}p$V%s;9 z1jX^VCM6M?ZB1x|QIZr;|B0VIiOkS$poSB6*rsDGA)fjbgx zm0r3pf-Pl*xdsM04hRBMlG))5^8jx^kiP(IBzV2x#xN_HEA!gZUEXLiH{I2sSAuO~lt`4U^%NaA&o z3u6S5zyB%C0K8xZfz{Wr8bTXIsR=0e4!=;wFo3YUA*Du7KNmdoJcm^%metNr7jp3L z#-jOAQyUN5s0SmPD;kw#w3I2%e^n#aGM9+61b_(yj+zk`m}B z8Ab|zz^u9bTs?t8=_DpfC>?&t0Gh3?%pp%`Re;?{BvxBKWM$$?#;SjZ!wOD+aCGnP zhtv_)Qdc*3)xU(R{zas=`RN{F<0ISyO*L%dg+hX(cPR>FT?+T$9RzscqtuR3jq*<2!FrP2ww8de|8Y=O|~tDWisqenEAj$T=AHTwIX<2iNC zD3U8P*DLkxDI1lGZkGVY2G)Q9P|8io-b5?OC^`5CD+ne@ZQaal4nL03PJkSLon;Iy z|4$f~xbnuy&v3O6ECSX*5~%jS!J-6?xSLPTZoXN&ds#8%^;>mm7bN@}NgQVyNaPC) z&`at81M?>uJ@G6cV+r6wiv6q$B&l<>rUDcDa$5wF`(I zbsWs)rOS3V@+&&2gTt8jUchcq>{q+mZC+FNHkPVQ#Bn!TSJpE+#A6ZFf2EEA;tHw8 zPA@XU+B^n>V~nz@9F7Qhi{n4?q%2_mLl?H6%o-b5TB8?l-pJ83b`74PBhkXh1du?y zWimZe%103#xtr&z12nnfh$1$%>#6(A5a<#PydnVT?%Ttmli%Rghu_Pwf{T$T7zj;N zf|E*YB`eYB51&hOuOFTqm92xVrzYOCuV&jfDcwO3G%4;9Jc07O6W5UHvp_^lQ8T+c zYV%x>xhny)Y^iX4{cCJVcZ?D0qo&-X#IZkOlRX>A5O$^b)AaM$x_}?}6>D^4R;4V} zBYfibbjnsh=3Y?x6by=j6Hr-FE3^IaQzv6$vLm0TFHNmKi5Ck$QopD$@&$GsViN(^ ztkD{ibWD7N6By^_$@@Zs4o@~I3acXMJx;9^b+urkhzm6hrhv?dCHu~W#FSTs|gNSrI093C2uPm%~@0z=T+Zv zX`50Zi`{EUwX)4?$;QgQR9iv!iP#LzHC1U#P%wirt4R3fWj4HGa%PGtBkU~++4;eX zsS)pn20UZ8NmK1GA@9wm~muiEKxK?2OjF~$ZK@QQaoxEOL>$9IK2P6s7pMN zEM|eXrP!Dm$CQss?9ClXUrN6o+tFr4H;SE(+UR?^#oZ!Pkv%C>iR)tdp)!HK1Lh;{ ztrr5vUuT0a25bdTfCmOD-rJgiE@3{{PmaJb5X1PfG}Y&`Ja zori}XNv|wFp7nXxp+>nTj?*^q8J-)AK0RYX7E8nX5IDG<5%f+s1pPna1}w>-vt;!J zOja;Vbyyaz9gS;2wTP0`*l21VV$L*o~4XpF*54O5Cy{X2eIGjNn;6k4=nwOY2?Qd)Nx1z-xssL09qQL=hM$w8YR zW1kX7C!sv&Kpy4Ze`%H?L^SLY(Y@d2O#+I4P8D>>3yo*nV*+7=SItqtu)UaXU+q~n zEkzb;3rYjCaX?oj0u`SLt6O?E`OCDCF-qrot9}i<(o%=JbD8f42_r30$w5j!(Aks! zu5kqvcR}?ktT82_`+iY_2@-j;;_0cWN_hJ=hLpo>a?M~udFW;vt=w`SA9eU6joE@x z=GJ#OZW3SP0qfZ=qJ=__7ePVz3^~u1KhF({U zap?66$8sGr;>Z^iKJ^rUn4x!JR68&}#WKicZAKZ%bHcB~R+(pXo; z4WhLgaD~)g>6SXX3)Px^bUz&p=E~!6C~SS5PnnP(E1O7TfKpb`_subP@ZuVwz1aB( zBP8GyBog^KBNq573+c3b02Q(NJ`KCu=*P7%Puu0*5DR z%N)tZMJf)Y((<5OKA}EGlP7 z+wfU?|I9{2aJXkSP|gn!b_mBcn)VWfZ*+wE;s@OFLNL4)(TvsQ!k2P|-8j3#hDFIC ziFGiz{!sEb@G+TyM21K@wBORk>>^zCZfaCyiJkS{c_B!>z~OD$>NC(1oc@N#9^}Mk zAc|lz^6~eQwuUkE>g}ruyI)mAv<9l^yP0|CnWrLa|H4n9Q`BBUIGe;6jDJYS7zn|q z0))GtV7>}&Ud=cKJfLo%$u)jI9+Q9|Cs^GlNn4??A-`ze_=cVo z9>}9oLbc}i#F#q99Ym8VJ~8?jwkKeJB;t9?iYEvrPA$q@G+mru&;g1r^`cX{DQ6KB zhm9-IRxQ`fF0ah68rbf31hDhW!$IFSb!|L2b0#WcPpA|HTgujv#XMqQI#UOo(i-Uo{pxr{+K($W4sf?I_dmf9GQmH zsf&hTS(1bZ8o>y}$8X`MhZAlc@yUdHRR}j8L6nL$lbB7YO)%Z!(|}n>Hrr|;o7Gmd zsTdFYuzH=3Xy=_!4UQZ0Jx*(XB%eAJDcqnbVwp$sJk|9Bvy>qBq!RfM>8`XRbLXkd zH!gelr0;Y3%#1tABe=my;=*3gFU48PwYuWre z5)w~K z2~U$l41O{Qfi!BcIR>L_wYy8=%eQ-q;j)pYh@>n=tz!J5@17h=iLLFd?+_fS z!)HFnWlf~STV1uZ(6Yt_pbsy&ptSsk-Lu}uBL%&Kh}ycM=pE370O)u80hYXnhH3J$ zt*q*TGXuj-q*F57vrW0hOwoI9W>Yi{U5u*dpt5}A_xXd)lcX%GKFeU9cn3KnD}}T< zqd54#vMcg2zEjpojv;_SMg8xxUAfxm*DG))R}^LIYzw{$y7S_=_(cgJah}NV1uliK ze}Unm$zCqL@N^>epX}}-PDi&-i1;ufxo&J=Ra#swV+7_S96(EfqoN=?OG~2Tr^-^R8Du#SGcYuk*QCY#eIHbvq|iM-nCvH36YJOJSIJd=_QZ`6WX zwvm5kISb3C$i3nMN-a(v6?L#)!AggK2x*w+=-XL{bj9GH#*p56js~ygwUW5W&V6|o zlbfEkAy35o$zQREOc5B0)(|ws{4VPXcn|UbJayLs2dkXCBg>x1mXG*zadQ`uF*n(9 zbwr`R_QvO!3=Qchxcvt1Xh>V=_+gA5I;1V^fB3m=6We!s8LgEwxJxIpCx_fTdHAd6 zb~H629g!EKg?yLkXL&*xaqcyU+v>eIsSP_=5#>(4z0^C{<#p8}Hf1^5$!fD_(ZZBCs>ejyg;`SYbWiH+izaB6K*1jwd+!G;=zPUW7sl-aYz(w(sd=L8s72 z{Wm!N1`~41=~bRJ6mK#W*_$c9jR^yW-|4n5+j~;9kgO+xJb5a{zQ@)RyzO#z;l||- zm8NLbtWPpoNoOH-rqH)Lez6+jHmdR$y}S^wHk-Y=IDUzGW@nZDH(MkV$@u4( z6k=naUhbeb56_Bvyc|le04a%Vvkn6yaG5oJkVW|{2K;-ehJNOAo9oyu#VGRqCR_=< z?e8-WhQ4vNQ3DERv7JD9SFWN+d3^PsVNwD@FY%#jn$shXW|a=h4KKfaWkwyy&Zn5N z_+3ynCf!n8lGSb5_h*CKHN96NyYl@t1t+VLJD< zYl}asXD1sgsRQl~r@srpQ^fMt$QV-V*S+(y^PAco(rUL59t7M3x4!DhziLH+h!HEX z+Fz3Ts#a$lLX%Cx+P?Kab#X)SM<*LyD$Q#5sbt;D^t+wS3qUL-Q;>jJ|9duspGwZB zfJ{oxk35B`6M7L#*4W(`bJFh<(aq7WGmXpLY8Nm}g=?*T9rXOuFHWtIJ zRBUH^S9*~t&vuQoc>@_bvHgGHv4L@+~Y1;)k3>5^7lg4bC0LoVczy zn#}RL>U2Z3__2Yq4oS6DYxLS<5;u(*&Yu!aQjgTA<6<=;53L>cUU49D)KTbxu0pWh4YVN~aCN zkGfvWS#>FyPB9?l+pUDg{)T4&dlbcb)XI;%Oq*n2|1$F9Z+k3lRXm93C2-f(U*p&% z=%>!1&E(Y1=8(bTpXHE2JXg-;Llc#WNaebzT>*z8WjCKCBXpr?uG~`>>TtLtvG9~w zy6_g_0*=p?x%1d3rs;5j;x18bS9^gRSn7<5yWsxKbiL8z)c+ z3kf-K$>BA3e^yI5aR(Q)WLYbM3yR6lSW4C{?xq<@2Ij~)b?f@=e5mj_UAs@AVs?$f z#@6nuqrxmYO)GC=HN@QwBnVO{^x+G&Rb#LzIQ=4S!dY{nMIB#{|Fg`K$cd0HFn;FY z`a9`8q?=F~FH5uvlR{_#}Wns0Lo0K0Ag$6K+N zI{j-1u0lN0o!O|5^-LsZNnkG8-6a&nv2!i6-8X=ipSo4ciOIZaH?Gt#x4ZRryGCL3 zifI#MMQ?APXAgS>abN(G6sDskX&pRtbyF9*jzHtcd}`?;J%PnXk%HJew|F&G$s7BA)C-Qg3t+cS*( zNZ2zBw})V7Xb%(9&(rUtz`zO;A%lk^I^$?YL($;o%l|h?h-6n&JGPit1Uo&WxGn{d zf$d3B*kJ#YYeV}Fok;(KcTerh-J0~UzGM9olb*p|!lPmn9_;19*z>s;{J5f%7#Mw$ zq={|e;E*u1UVWeS7-CmmD^>bnhcyLk1*4c!TW5Cr_c&1%RH0_uoz7Ktyhj8#1vj%* zo&^8Ukr?97^qgB4^A}O+>rD}&_8-9Eaf6=~UHu@70)v-hdvckKH0zeQul z&G~Z1%Fe`*OHiNXTmoE9Yxm~nhnP0IUufh{;Q()$;|C%H&`gL~A&0;8?fm>M@jQu4wJrKbr0w#ETh2UE6sDm&_ul!A92}7pOrz)>1kbV%U9t z9Xl#@oYQfDJ033a(9mD6-)*<91nL47jC+e^1lJ3h+W(h}r|u1|o^x+7@Cm;6bVF$U zoQCl6ISmumC%J)GQ5jX@6>kg7-^{KNEx%3@+{9*`iK)KMX`efPz{ZHv-A`^0>pr+E z-Q(*>O|OTCf9cfdr}%l#)+v?`{*?LtK&K)9Uva0W`?%1NJ_c?NugdKMS0@gKU7ehM z`;eiSQyDRXH&N zRLC<-wS6*yRGu??1}_J<!m_0;8q##Y;klExk2J-13bS-C1>FzS@|AAYm<@_1a$C5g+B#?t`ePX zV+DvVh@e>PwwJP1K*W;0cJt-h4GGoLiWeXYCe{S1$Jx|uiV0W92J2gV*4dq5?*AO4 zYYbeq#_p+MI7G5Pel-^yAQC8w%xr!|6d4RhsmGOU*;i(dE9RJqq6dSu2>8_X43kF9 zSvZ$A^&hOR;>{!uqVAqqArRQW16d>-SWk0ahDj==5zVaqkn1fD++}UaT^`cHL2GM2 z$HpeyE_H~Mw6Gd|u1jzvq^96veeJxFV`@mFq=RHC-AoNI13BX+4I}vjabnE3Kr>(HO(ca3`@$>ULexQXHaGU+?HK>{&(^2xdquHc{Tg z>e^Q}_n6X17o{!f0%w!TIB$JP`+<{6M4d6R!2%3C83r8MeTz=FC=L`X*}423Iv=RY zb}C0sVl*7wLN35@EI4j-^tp^O>@}8DQO3-R(1Ciop4#9}N)3@bs$jhF+iVm7!GXIv zm8rybe~U#nvViBZN@P%!^9`K12sLj2>Pzk8q9QQnNQYs^F+Hf4y88squf>PexXf#z zaixVCuPYK!$rIsb$f~tIcqP*!;&EJ$I}tC<twBzsGS;&#iZh1Kq6 zs>p|3Ug^9@M-RZAF{|#dd%hJNv5hz`$;~{ujh(7^^-{HyS?(*Yy7rI%KR+@&wJ9Ho z*NBH2dwk~-b3&3%+_=2p)XD~j20HivAoH_oHGszqiLbbI7hW!m2UW6+T(UmJE(x-` zX0Z9y#_!y~F97|>Gpj8s>XNl!@{FuA_AGjGZ7S;e`pvomj5>~rjz;4b1FNH7pst9! zWF&x^?8)lyeBkHV1y83Spp@85CK;z%_KMiV^F|^t&!=FZ)8L+TI&d{KdSAYZQ4_*& z#fZn9Ajsq2Od^W}}@Nh6Ra^$8B-!Sjt)&0fiI^1;TpbWzuY zm5&B|VuW=r1jkNY2nFPVqb3lHAjPDvq#dax4i%;{R$F5ExdW&YPO@x`bx9E8qv z`yCi9*Dh#_jjQn}RoB;GRTuts1b*q7bI6KF=N1^s+peKlNpE^j=c&%Hj4}Qy-p>e7 z75AQIgKGA{N=E*RQw?#WSPm4!C;lE7@6S53{YqMFtx3+=9kk6iIOiw6rt%bZH zs_)?!7!$lA9g2qviD=F+x3}38fhNxNoA#u_YGV+>6yg`lEnZTzd1B~ElH3-I1e(Qe zyWY4g&=PTDfz%cwJA*`(}`4+zmzNNN%kli2@yn4B0W%LZFS!XN$;M}`eN5ZXKD2WZQk@u8?O z?)8`k4m=h zV)KnG$!HlOK!w*jf2l!4S2{}-dtsq+1t4gfKW0{nr#P{I^@Ard4WaJSYWedOQ@v#6 zflRzPjwBjqlpZ*J(*;XC82LS>9FQ8ESbPFdf-P@N!tv238&oUNL_ARb21A=*7#>(H z4lYF~Q(j3~jU{jz|7W$jr+WzQNDqUTLu20@b~(HvmqCu=ZXHNtaB!#gt6NA~xEyr;99*!AdUtqJkV%Nl%YgM}l_DL=1lEKo!gqy(~ zd5u1*ICyH*YX6SBm{A$yHdPnSd`y|Gs!sn zDXlnipJC6mtcy*y}*ZAJecWTzl zW-BX~F67ifFn4d(C&3Z-22iP|zsO)VIF6|BO3<_h(qr;ct5gu$0@HuaU4a+QAWlRP z&v`#*A^g;*gPZa+rVkt0o9~~r%2#!|zmtM4fHqtAj@j@RNO+`g)BkMYE!g0lLlH1-r zSagAH*Ha6c>-^EC+mZlq4U@-v%DCiU|8M-{>oj)VyHg(g=pyGhVnUQ`+MKH@Re^I& z4(_7`_cO@sT0SjH5mJ(yA>7OuromWEN`_13)+Pp38!ooUnL55r-hLRD_?ClwK36`< zu4T%3wHMPYKqwhE-$vDt9YN7x`v)6P^X2lh85D`+ZM(Thb5!a#l;(47{UN+z(JLyk zIB~U|_iGOk)LGJNl{%rc+OZW@Cm>_TIb~k5JY!KaL8e&*5Se2?&+avx=0q~F+(F5t z*+#d&Tx}XP#Y)RX4MJbDm)d>XY`2`^^4aB;WZ*7(^(oU7R;Xgs3`e(rq@g`(1&hCE z2~oVUYn)zibZ;&Ao<71EYj>HCFq@<>w*__8E$d(;Mjpg@x%ffIgOX^~z5uSy?0Q!t zSj(Pdo8-LMNy!@O+KiXlUEqezDdic)o4`GJ`_K}pVI>eV5!-qKqccEjm+GVp?gNs+ z+qt)X7k-jXqC?Y_#Ec>oRHova99lrThKWjWE^p=2+4<*as)CrtXac6ZsQ)M0MW!#u zB61lUoIScq^O8#K@)so49ls;F`2|Ux^WqwI>mpAi>i!S8jl>ho>##aGNU~A== z?{n_hU>TDD=PgMrf?@m-y+_o1x9jqf9v}8(G%zArVbB9-ied$c{Hw;qfqMu6YU&UY z=}=0}^n2NEjR%KS3deFX>kIg#Q20;3HJI|$CFdClan|V{X_#VyXICt@WT_S-A`tC( zg6a{3xwuZbrD3u1TpTx)v5ayWb;?G+%>c0!5hclujG9Gg2f1W&ijGD zX>W>yWp|gBosv|&`ghoVfT5CB#U*olk)P2hR8;p~b+*9%qsRKr^2qR+y0zM5zb-L= z4t171cg2gh9>|7nykz=ukLaoY#-vm{$k5qbmvzZ-!8|o6PY8rDUhRwtCX2_=sD@Pj z!}n^t9UFahhP~8&!4Ju3)uqb?!?0F%bv!8Z5~_unNhS;a2XUk>nogD0U41gwL>Z<4 zOq@yc=?KIXQy!(usm-4-pogs8!g<@gHG;uPyrkZe-R|+!;{hck#^&aXI4kpOpVpQC zn4!B`7v~Pnf5wA3os9+(dCXflTO%mS3|Ge@LwrgM;x|%Jb{MCPKN8foB>$W6E$JGj!Fso%-hm!I7 zO(1^9_tFH3*N)?!S=C&gBFC*av*R9|L3)ZO=*-8|t%jjE(#+}$*nfi6Bmm#?^$En> zjYYV!7X+*drClTz`?C$HGeRDT)FJOcY*O$sfWl0Fg#!uD090=^ioHj_klsM_RB22_ zw}dZ|zU`N=y20d@Jdo*liI@SJcVEhOaC|o+nGNQpn{Ve>W_+6CJxn69fN-4bZnV2l z5A0|J9FlBFwnW~mCAf0~TeLggTipA}zf6Z;tN9lObeGP(nK%$(gKI_g z8GzpoNqrmFI2_X@PgY|84+cSR2Ww!aqyQ!+P|7co%00bySK68+oKPIA1X8U_r3-vf zDPyJCezWmr{vy2B3da>^iuy{Nj)9*@t@JY9a zcy!w29&kwsVTw>)vwp=(o-#qKmg1wT-}0+CR&_X;JMFc#3fvFHbW4soqr+3_y`5X; zT}n%#W?XMut(LK0)W8F0pPV{=w|+9OPM1=_lynn`hT?(Ex3hGE^VzQUuJx2$d@{x3 z;KTqJE@q2y>$i0fz<>ilZLuvu3y%<0Z`e zu=5Aw;gV{aNB^Az;)b?Pjs1rXmy;uU!A+ap`2i(c8 z1S%;B8RROu`UM6d7}6Fylr~Rhu96`$xq=d25mRP;USm+O(ICvVeCD5~VQrPz=dli1 zFJng+e$qJ@=XXPguC72=f+JylC0Z&M61lxBhpFL3>U6XgP=E`FJzF2t6)m#Q6)vHD*%-jq9GM7NLb$4Vs@kVXv17b-=Hs+wyi(_B19 zoIg4fheBzXC;O}cc`bl&hE%Mm`m6Iqwg8ft+`h@igj}t5Yx`p1r~XL4iSl2i?dLKq z8rUrf){c?Kc$T8-N@rL4{O>TKU~HsW!UmaDav*^a3I^})-B@(O&T%oxa@~fau_TlP z1eFW}bMTqKsHShwsK+=;>I?Ti&)!!|<^YgRKu~m?$)t*hWHj0z;mO2-1XMqH>T`(% z8UGBkzRq$A=8VF%l&FH*0Y(BcrcdgelaP}mIAK&8briwWXBdtgBZL%~NY9S`knN`W z7z8U z?vAS12bJu!@2O(%?8mI4Vv2jg1pLf@b15Zoefq$?y@Gs0l2UyK)6^`}V@3C5dPt2_ zBgRm9+!8rCYO@~eJP{jxn?|tjDFw`lceW(n*(=#1EI7djCGa$k?HHQ3NO4-~Wp&A0 zByyNxG*Kf#hB1my-YPS(U{Oxef;1e!6FDmwONij8>)Bs8zNdYYj=s&C?L_o#3|Y+N zQ;trj)@$eW>=8n9mSb0072@#K6%hnIo02Kbwr5jzJ4@|eeV?ZW0-Z(0l1#PJ4-3re zF}OyLi6@exc0Q!*WAT;)y1uc}PY{UmwFB|jYtB67sa*QEti56yol*?xWD=2R75aY; zDI58T$4f_JSKKk37DN59mC{gE)*fbW>)$l- za!kDBOkKT=T^yM7rxa%(#}(rjkLoPJosPnApd^KfrckK#7S9~oVDp2*ma4GOVI~vt`PmM*hnE;K9;;%yt#q-KwJ+? zcgL^fPse()T*R!tKrtn1P+Tt4`WjnDuyF9HrHiBA)xu?wE7Eq>bO!F65t}nIt6%1P z>_KTKm^R0r!O{-CMe)~;;+D&;BD2^{0Wo9ScmYSrj2r&HV)BJuSyJbKV@kgA<;^IsI*3UU1olgLG1DaxjvF zEsH5D@KGju1Cw8Z=!nU;{(&c-nH)p3Bxna62@Jg>ny3+kBr|RQ{p>!#@Zr4f+pP=) zLKY|x8a6N4-M&+#qm`;Q+dKcrCm7SwS#CC$JNqBg7G#)?l~{_6KKd;7`%;X< zQm08DnVBiUu$x)#PprL{vBWWU9h3~`+xi0!X?oCMHXF|xp-L=TF#PY~WO*`qo&{I4 z;@18I>!!@QNkeq%2BL`15({u5l37-^pcHRKu zir!SB#z{cyV2Ex)zD@8T#DNgri=mz1*^6OomP4St7bkX#d=X0|yqsVfRwaOdqIW^6 z6P0Le^La0&ZXF>9H!~eC{~>c)Y%#`EVf+jwFxKESMs%>|GB(nM~HpV(Si<<*NNg#VQP6C0r z=z8I^`HOj$r7Iu@tLyBLr##4Z_r~q(c-rxx(oXw*)Do;-+x}PPJ?^#%(>^@j;0$`Q z6~=(N2J%~fmv#+v3XD>d?#yD8W=Ed;p|P_ zP3L|&TqRpr5w3!X8-POh3kD(eAyv3ilwzt9I`|B0Mrf1dg95hs zy1@IQGo_lU^Rw&I;<3Qagm%BgVD9LG(4~!V^Vsll*}I5{2`0F z^g_ujFmg$={y~gecV0EV44)DwElILRJ<31$8wPlI1NzAQ@NN? zelJIidT$O{x1H@Fye$`TJAnSw_0$t|MSx^9mQMpkZ#U0-3mWVvl|*a%v1EEoFSrV`>6 zW!MtnLyT^rXU9lB3Wy8cv7d`+6WN{O>N!rbd6VQFmdcglt%M!`Jm=Hq*4;QZ25U`e z)cEF)>av*QPg1cdu1@Hh$DiP!;iYrt{>wQL1@nDY z)8ljdJwCDcIZeiNQSy~MlQA4V(LacUZclOk7bHs7TVPm;yO74QMhmBAeV2uwA+@n0 z!Rtbm>q5av#?hU>ot+_$;VchCc5$yx{~_yUL|ii0bB$ZIcMoo52!Qhh9Lrks2sqN~ zby|Pg`&*6z!VpCRRMC%n zK3NX>GMD-OcRzg&ew4foPXOWz+pU#PF!jJ3sF!C@$IuzU;V)^mNKCX5C-UW3B40q{ z*tiyYZ#IgcZQY&$CjpIKsdse}MpV3UjuAfU9`(I=hM)qD$ z%r>;xVm^KB*8o!k52gcO;s+g9IZ>Je!5M-~5(fma5}z!il*m=Av>A}#T8y^{Z1K2R z%q5c}zuKi|cxA~*mcUS3z|EIQV0dDLn&o|zs zxDc3y0^?~1a2Y}jN!F6=^=ca+mL=IzR+hDryw(Ci)>_(?5?N9tdsoY=h7xdiG!BFy z0wHb#)PzUf(lUmW#2E@TFfC>Z)Kf^t$pBp_)0$F<1A+E@|NozRjvt$DHd}_(ZvK1E zx##)6AH%ukP`$)@^@oi&EuRsuNAkHo$^sPD*iEgd#8tt<&OA>OD@lX_Zk@9a^7)0f zXj{rh)^D{I`TF2TcAv_2EC*TZ2r|?tL#6LkbOJhNihOExK8ugCw&{`VoXm_3#zs?- z)iR1GE z-^tk6@mo{)hEbPCT=x9KyjM6KlHiXEtZclRGyIX#m#_gHfML-ojX(bpmpicA`B$#t zc32g4YAB0bJd}byINl+Z;(@PYvBU6dkB?ADBYcC@b&Jo0nA~9YRLZM#;>=jFP>B}` z`9gl~7be=s`l#=~!a6&)VUn57YQ6I*#fe|bzW5~RXSgCS>q-2<49&@3}7hz z8tsHO(E0*%nmfkqjW2GnaEL1^igKK$ECTC6`dLqohJ2{Vp96Zlb#a`+^IE-Bt(T_G z3{N+^#G2|#!o8k!@NmZo3#M&Xp;8NZK*Yy-Uu0yMf5%Z0$# z^`&u|z1_sOu}eQa{`;SxpWgBNxF779NhN?-*2UWmzSffqMaQkl$;~rNY5R*!>h&F; z>TBK4pF)R5_tYekOb$2hw2A?(o% z=lF~G(K+H-O|qj6iD$)(6i=Q=9_9L+Kjjj&bZK)pP&Eosu8FJj{Bw+hQPPc=?|LRX zM`HKI;4zdIwbi~aExJqXw(!=Z#TKYW0Cp611j}9@--*q4B0d@TtDu@XY5pOcI;4d*FsR>ux^GDD?k& z(VKaMU&I1c3YJ^SxYYF3`~|61xnfDo5Ho1mVAN!^xO9Z|wxp~W98$**p+U^%0NE|x z!^W-4oh>?lHD!dSmMT+22(Am2P9T_|i1?7khO88hN3A|x5kK-!p*B@ae2A%0r$buJ zL~JM=?=iP7j3kuo`X3H;yo`lg+$j);Ax~Ik0EleP&qkOTo-Sj z-ARFG8!6a`GLA(@L938PC>rXx+sMFa7E$(9xKbaQkoGTgmeC7NacFdS_?Qt(vMdUW zB>f_v5DM}nLnBAkhQ>z5ia%ht$eqmhSa|auR@`E-;O7*qqX=<+;EX56BpXMXv$b_f z2G=XppFBqmB?}G3sD-71aUSx84ub$?QM_BJGXFEE&?g~6IP7=5&?@65emLr2_&?9fi)U5^+B|xGFW+KZ3yeaI!0Y^$ zlOtJIPE7N1KE-X#H55*9e1gnsbY(Mq6_heutZDaL8E7r#yI zVbo~J)boXUhq%z&tj}<2L0BKGTsV^s1(QTzBDa`?OE4TlCpK7W`kD_}s^0Kmh|M&M ztDLYNi%sQiXG97zhA0cQ&wr5#2}y!d7e`(7jMT-UU??PwDik;kRyDOoUqh*mMs9rl6?fSg9_gYFzT%SUOnC0enVk36V~~ z1JPKBut>cl$(4N^D}1H1lhpa1AmU^^#)krn?fRg)+Xr`DP8z*#d82M|dL*2&`Ym$^ zB<2l~S(@kV`h7-W7Ax;_qs%k6vECXZAP-6sfZ3|pfNn;p{XR(q343iU6bz65mocHR z+jca0q_)E9CUiy%1;0eSSP4q*Ld&)GTwX5R{hB21p1;u;8H9i<3bHOF8*e6hxHyad zmrSm4j1X`|Va96j$C9&;8hxf%0286aU}){vY)3#Z@OsaxGrI34u9hJaN;G9cI8Bqp zldWg{1bKy{E8!){=$)jp_=4(7Jfe z6YY+UX2+7Gx!Aac87SO`dI9?J)bw%E2dO1le<$M;^aVH8A*3=SUztX$#;awf;1gaZ zBH>|#gcK1`v_xUFaJ>EROhG|~8pi^M!)n6)Z#KMZxml^!GvDT;hXG*)+=$j_d@!+e zCu@Jni@#juFd2lLOLDSCQ#6kF2u$9+XqC`UDw|rbuL4CX`c^nx6NIZhL$JU(3kF&GYe}s_{%pu|YUNsliyv zKnnjMt}Qts+l%31-JtLZFuQX^;2G+i0d|$shB!jt?$dvQpIqG29?A_eq|Y%G%z*3n z)T`DzwT068seIDg6P`E}9iX^AdN|EtF9pIYv*MT*VJKKhk`B_HNvKid*j9qF0rc5N zI8&f+T$YuEx*=AFMx58GN`mC_M8jt3R7;KaqCZG|MN?jwBsIXMZ7}O z<(<3nS$TL$GQN%HM4FQ-Z`s9SDidUR6GHNlMH!Ml&gaOd#xo)m5*2LJaFwL>DsDG3 z4@WgBZg)%^@;eSr**}EL)o8HSECl&kNkxTTfEX}3QnQF>8NnfSFWn) z^!_7*QB)>-WDxLD1F}{SG&e%Sl2VhLMP|!~S?%d?rQfMxbJ}5Tmfwac1kl~xz?mC1 zC#reE`y&d*g$(-#*)Cogka>S|3pt$0$I%D5#z<2+04-A2GDf8dmGL-MGf*T|93=u= zCzjV{Dw!=w*#$)C!7rGWkh`j$~n1B*R<^6Tkk$d~g zjx%Mi89yXpSpa`N%pokEf;}@JtVWD}y(T)5aT$=(#3ECYjweT2lwbS$^Tmpli$_E1 zV&BiB?uy9CrH7c*r#$j_ZKHPt_Oza?BY&DqH(Bbkm6R_w!kX1v&o#AfEk$63g z2}}PAgH#W9-wFWW8R?xyBOGFHsNgcm(llcZ>3fMo6gH-+r%%mimz)#2sCtz~le4Vx z2mcY?3-~ZV_wDwTXt&4lTAEbE!ce*l)~`Os?V~!(o}<74|G9;>6xVkyrXn^pK7o_K z0NXNmnQ^|{V`AZK=FuB5qH^YMNtfZXVzq&Q_Kd>xcRr8IYaOH-iCVx33kep!zyFU< z)+801d((F%;i@hr7{XW5YV@1P{u!zpskjK^cro3QVcg)fQ}E%n)-MRqvy3KivF2L{ z=*}2;N6(Ht!>vx|;ez$)Xdne$P5-H&qNgPlRM*al$+Sn+>F#GF)3NDD7cxyJk6#tkD!Sbe` zyoV@3{xD3>`?YCj`iktvJx(}sfCxgHzpfnOLaaw#o;Y67I;>I`0VG^^fl}33Z4{DDn zX!uQN;++s81ST}Znd88X3PZUrolbqTWP_@N)v1QV-Kk^?p`SrZGs&8=RBI@NJgXnO zPM3tKszt81eV{~0cbkZM{7q6QEV>SLhwl!%_BIsK9KC%u5Hc`vs#mWfhg#Ei zF0zoL-5D0L<}Gg+8k4DB4``g%db84)2cu|M;;54tMaYOg`eFZ>2mb_c8VM+*7a3CL z{8~7GS#F`A)oaK#SwMwD8FM7X7kW*>y9H4n`{#n1D5Qgb}&b>M^mXM5v)8RcN@B9?+y^YPL#FT1X$u)qyU z8K|=_PSZ$xr@-9@1%ZK2OBX$2JPTnSj5^a?d$8;Wc;}7$f6GmID~=q7)Ru$G1IK~v zZl{HD#bnn4CiYvRKj2cd+?xX*Hs|G{H>sh=8xcg@{Kg@mBBs2AJ%g9`&oyHucwr(M z*$aMoo=zUz*sPIddZ9K%_ZpOoj>o4`dpdO{D-+eC{?7C1ae51DDWJlGCdl!h{b@-M zRHe9G%RKSm$;a{JHpwJ-PWzb9re3-lWH#vwR-0KYlD9fVLpY%kw6vL$H*Z zkx(ON32|T#{#ssX_jvk5#5@E=%$UAIrcWLBLOd2L85@P)!N1R*43Jap3=s$9HfI5V z5hAESdFYzTk$)&6sC>9yd^WX*Z-Qj1>22b!=QjiM}Kpvh=1-N@fw&j)(XWySZ&J`D734NQtC{sIp7w6*9g?d zPEp2>b$AZ~XJsavcI1wvy(#!<#Eo(fiBhml$ofK5qwpg+9+`mC`u=EyTOQ(LUKwii z&T~)I#m<$qQ{=#iLJHD9NE@|Fv_*g>qeifszJ30NE!&n-Hs$%=%!X8x(Q`R^fX+QQ z=LxCy&morQk0x48ra@U`=kY_fT=3x{IfBSJ5e;-t&Yc-46THo=_L#lQBo%CAsM~%k z$$H5^SIgj)*^b33N@pG4@|yv`)>(?@SjLZ4gFj*Upu0~!tf(TQGr=DUbw~4 zzQJf7^|?@aja8EdUz-qF88sj@<^;9x|LioG=3_fVO(#)1jU#En>bohC5I| znqT4fjK9M1SJ9!T5i?4)4TW_wjhYRVxyWu+hU0z}^?W+mogM8~35x^pYHwY+^Iadj zBmq$yJl~wH{G5rhOtP-HnTfQbK?CxK*zl$|KuPaif-{U?z9KE~^vvx^@WOc>UF+ma z$1p>g&;BN(sHn6R!01KXyBRk5oMsvUzv`qenw;1cf>@2)rA3@^0VJUA7zgM9mdg3~ zGnbdvfmsIs37>2JOfTnv+#zGIHxsuy(*i#xuP2UUjy!g;(qCeMeS9bw$z*PrTYjWA zbNFncEcsQS8Gm)1A~i-dli)AX4ax6cG_7m~zvK{Giv#=L*f3)4eW$d#XyAmLyTHR4 zL)WY$kSk?ATb|(=y(Hh=CXbI;`v~AX3{1Lm$HIAI=NG}ph{p*%8wZLqmNYY7B_?x; zW^>(hm@Jg|-j(mu;}*)^j^%OPU^c{x@18XkCL?;L4s2O`$aYK{+|zK;^pFRd!)UV` zU(nEk7zF7Dt;xZxqua%ra6|4x8Z}K8Izqg6kHt+{Q}|SfMU3_sjxh60)G2z2L%HUn zV1_kC)ylp^Qg)yG;L{p+_%^C?cFr2TYyB%5iSx~B@mtB&ku5e;Nvq*VDKaE)P zQw0gH($EFPT|>i^i=T`6fQPlXzg#^iN3mjBLty@P;mjDnfZGb0sCHoR?|yMXnUAnf;lmF1pZmH+8Se2nKMy2YmAsA7 zk(4J*hxUP~*VU>pnAWpQTwN#<7R<{O+@>&^jC=vT345^b2`IvGoL{`Aaxtec3K8F8 z`LZz0+?+q}+f4g$Vqx0aWRI;4(D;fB1rRX2PwebrhNPa1#-AEfj_6<_Vu>gkQb=Vx z-grCJMtq1;mM{aJ|HP3mphy*(w)#(a^xcgC=EfjdSCm9)jtiLP-oo;IR=+I${!rX+ zg{<`CjMZX=M}KZ%Ow7gI=_wY1%NI$kIJ$_Ucn#61vX5`p@`MDXF&xp7zWa}647Vzk z07hJhlpx>O^b24;|50VvXnZqTEY%NiAy)^My}7!17fOq|bTNl2Kd(DHZ^Xwrzxeuz z%{Le;l+sl!iKDpM9WoZBv6Ocx2Z_KWRr_1`hF+|y7K+>mGSJKfVBJ!co-Aa?7d@a{ z-ojcQzdmMf92xTcJ&V>yKj212XcpR*k(20fU%@_k=jg= zE;)NB-{h|e`xWw~@?8Q;bqf1dcqs1OeUJ!FfxpTujgAT=w`+3^7ZnpyFA6;})#L&d z7qBYT>;r7&51CGlY#+wT)nd+XzTy;Zv6|J~@7hPnQWU?DI;`piQ8oSWC||1SV#}cvN|g+y8TW+ z!mIv|o?q!mR+Q|IK-qGYOgmS)p1i{I4rBhiS2hEG*ae&}YW)Jh=iQ0S)}-@8@nv*2 zWO-86-^;Ot4*+9ZoG^3Q8?>Pjk=Ye^D}b-#vD_6M7hzDEtk{PyFLb-Q^*Vw6TncoE zL1-vD;`8JA$T8-l3|>&?^9g>Xv?%l(*u?ue-h&K7>?{e}J_meGB)&P33=|Kq&P=(}h0 zogn9;%MfdIZu(4pGH=FM&{FF0g7#?U`1$E=cUZ_FJDS9^M7^c%&eo?NOMnW9WCS|qxnD<&lwNy&Zn7kGt+P=B! zf4ZAwBcNc$4;T9QsrF6j3!EF=BbmG(i_zg)KD$q=aQn)kue!KU6OuDD#&OC^=Xwed zwBCKM<$iM5P&l=GuY^CJclcLmZKUwDW(&GIZppVxH-Jb*C_~CDTlKo)g7ZuPi~BfT@L1>8Z4>Up?P=gO``6GGoVK`Vf7KiykwFP`=cp4?Lc=mdqA3h)8+n~7$-CLH&ua-_nBzTv z(C$O{c+jOcx(k5+tj2VFV9v^Z3J`M*FV5Pr1GOZ_`mH+;*22zg4%$p=b~Qo3M)pL% zRq%Uyyr;7diRXy9tuOU8!p`A0;5B0dk$zXG1zhMnJ;v5%x9p)5Zc^8Q@f1fS^82%6 zD12A(ly{@P(jDzcW~o7khwjwMZ~DF&oj!R9MDV6L+_q=t4V(=FQ&6tr7X#=@-1J$) zC!M}&q_KKXrK0cyEX`z2Vw%?W-)wf>+KVpXO+Z9o30O$j=#~ir{sw}U>m)7kcEg_M zdo-UJ&!!G5h(WDDIc5{ewgo<7agIAamB(28UWw8qKKp+4=@Kj)cRkl%LQby$rv2_d zFdfB^U@$?S0>*ai)3m?#GE%wt2<}J-61%YGn+bjYVX!{0Xiw?yMlbp5z1jt*s`lc{ z`HB8>|51wtK`Z;TnrtTh?AE#u>vh-maMX5*HqPgf-Jx^>_mI`WXul!FV5FvDjl}-; zD#pem=;kA6-Cdrv-MVGo?WF@1H1ALnI zNDObn8QWkl{XuWV@2^?S&V63uMF6O1?fl>Hl9$S=BZ7HL-L!{NL#9!ISfI-#_&oow z?)K8Ru635+l{NmSzuJC3d65qcw)UKq4OAFh(fL&7!)Tchx*`CQ`>-?9%} zB(B~;Z6ArGZRf#xH#WLTcTrtS-n23OeL2Vj-41yYR6`{}iveKI4y zejm=DihN;>^BDY3M%DLO>kxHV(e->qX)Xl2NwolT*kHD08jsn{IOk-a<;If_F+p{o6W&EG`>$tRl7hrt&68mAFf1)VJPc;Z9cA{?>Lnnd6{t zvOjR6y((a%ZmB)#AUgMu?`cs>fBtx6Biqw`y;}Fb9>p(!tTu&T@jpA36WZ_n!cvw4 zhd>7b0f7do$>Ecu<=44w!vFzUsR99k{q8k0cd@qo#pvv6c9EkWtv{wR;=|ARxXwaP zB!gcVk`;BcijIl z*AHUry}oZ<<8yqZBe=+UN^yR_zJINE@cppWE5wi>?y(#e<~72X0{ z_kASd&CD^pH0#cu|0hR~x_w;vp7Z2kk0e`wWL?wEoaLt}N4Zg|OUnvRE zZ)V{t|8+l|ld`clj5kn>taJ+>6ZP%e-@4nq?T-+@zfZM$`ok728P3xfVv+pa>(*Zw zE)OQt@J$>z%fBgP3vUrz)qPC4eolUm(mt3sQO4cBSoi^E!!F&$7yg?B!Y2m$D>oHQz_ZA zZ+$I1#84jSo3RT#f4o4gu$EFtsV#d{Mw>;8vs*wyZQNdtve)h~Jg2O|o?kzo{V0St zOv|?j-$0r>{kom0T|#W^(KRRE7hE$=wl?V1ZR_;r+kDQg(;XF5%FQI$ez_em4QKj# z_EBY8|YZ)(i7e48pQIC1jxMK*HUlt`&I2l(*!o|onn_W+YpBgbQv(C8t(%=Vg7K)Ql^ zFXzQ1M_oe~p~ny@S+v3g^7aX(y$=EpdiK?BS=n^%RVvv~VAMujRokvS{F z88s7m2SP zB`H`X5q#{u>n=C=od9AZ(PTsUB$CmqozRY>bTIiPLs6LlqI0;`zyy5)&H4l8-JNDOsVkFa z45Jx6Be5$J=Gk69U(GcYEC%)N(4#`3cta~83T z2tHS_Gym?S3GEa}n67X5z`qhROXD*sqjC<8kqSe>An>?cp6dDAg*?X`--k6@2=!M9 z18ls8hl@y zsw34A#zG!b);lfP_F(q{>|XHpU`TUuYrLQ~z*UDNF#RA7CI|Im3h|b%@RxH@BYo7F zWeV*nA}ucV-|Y-Rr<>YY({P5rwnDCNRneOUa3nOZQk4Ez(J;tRT=c-;*?y@^Wl2KzGn5rdc`j5c%--%6DWi zLR422t`|m+_%b9f^%=BdawWaba|5^Ndb?@eXZ~K^9LQl=q!Cz|tbB-`&KtU(7XsyL zb7l#;{Q=+ej1sa;>#igRZtV!&AP3>Xcd9RSh-!_T~+d7Urya zh8gDMwztt?p=%c~Y=dN&9Vwz9Zjc(6x|a(*&UuP|2facq`EtY|$wh9H+1B{e3tEXe zsB3fW^h`Lquj)q+YCD?V$-22qTMKhmBn@qV!wY*|M^T97na_>?GAAWLMqPSemsT~r z*q!|p1obQ1Q&K}wYeq$FClc|;7Q2A?OXo$QpS?P%qfim5k7mLATJZ=yZ0C#BIo#Gm z9QG;8zhB7obsy%>ZLKfF7Z_}30v`*S`LqDddGJ0>{qHq4U~9Wnj44D3(8DO0n-ng*PBZVs?p$@j?VZ5o zGeQmSohRf%D@PzDglb5d88WD)#uVH`i;8t;>L!$7bE zW~SVriJMxHb=^7o)0&m|JZCHD=N6|1wQQ0|clSIgh?PNHfGX-`@yxe2e!%-!PvJMN1HA)|@qB zN@`j9fo4e(0?KENG`A|_^G8z0TH*Y}f-BS@;MKv(r1vf6^76vyT>OJLrS<-L_2X@E z#8_h`cqfxce6=B9yr)Z_iO&p9!=ibtl?V2Tm_|mZtamekB%=Feft6QCqcnD(S6FneUx>Jv9?ls{?{by>d)6? zO?A<9@;r!eCWYFx-{o=}jaI!vja0%8()r(X$npVH;$RK~V%HD`yY{P429^tJRcPOG z8KVEpZhhElcMon`^7ntG*?%PMkUOZyZRqiQyBq=5hKeRUo}y9unSV`u7yx{^1Z!$cJ_`!d6!tXAHH)$4KZ@H zpKE#>*9%mRTnc%f-W$csl+`*Lx3j9^QIfnibJBhcxYjKa!MW4^eioX=Fj-+1(VCyt z3jnT|dbZ)5K%9Yv6^pzi)iiYtdCnC`Os za5WNQ+LWkPU9{)4OCLxPOHnNEHf~2plM?0e`uyW`FCak%G)(I;4~*~XU@}uTHVJif zy~U5E+OsvAZOuByWQq0bZOPdlJX(E9i;5lMX+8@F%+q<#*3|aER?2rQ{_STA*;U4P zSo9UlhB|=f9&RSHs4E$Nj#se}`S&)HPrnx${uy#APj_POaS9Kaz8L$J9Q+-w+Jw^I zgXv!|E|+RD*b2QqL`f1dwjn{JdaA*Y9C8%B<~f+ZI^&h!({MPhU^kr1dMBFAbM=~4 zT==>ZeYtI3i=YH%=o%f0By+Y?ryL0vz*nxuQHS%<7do-wek7#15?r_Lo*(WX4CgFM&;ml=YjNQ zC4(K6t=ZREjf*K8WW|tJ&}bG_H-VU=f7;z31l%Xdmevvg{yCU?cp|#|>*Q7eMt+YC z^}hpTv;u*xn9Wk=>X!1hlYilN63$t`-Xh$MyvklWb%`#Y6*xO#*|&9iIx4q;W><5en!`z2QS;aRa!9`yHuJ4*4X zxe3QWTRgw-u7dOE0fiAn!b63Tjg`(Cl`M{Z=qdp#ja%9$12lu2=%g}+2{D$8E@H1a zILUDogKK7Zriv7VroY!|^CY?QSTLyIaTo%SN|-#wH?<4`=!X7zmRIh}9Z1 z^NHhG8PFljBtP&@WUfNbfitk$t_V268JpGjkh(if201O--Mrt4YLvBQDu1W$kgkI_ zrP4C>=B}>8dd=<8Ik3r`BTjKcgM+t^smzLaCB}8-*VHhYacS$S<$J$^O|3?jp?>ge%R55Dzl^s`c*Bsn=*dp>&1!u8U?UwYsGHxc>hh*Xb z-q}B*uo!Y%JpK)P)cZSdpw{3wo4{b@D&-hkAi-RBM!@8{JhM%fS!IjDKfH!A;~iE* zkDq+!o+&Kwal^hKZc<233;qeXj0?OO>%OcXHB@-v_WQdL5f>ajCJmO{IJ_tieE46T z1ews=xln;CSB?$OFh~TRw#2&y;Qq7z;bd}a6IYI18_}sLn@47ia&bIb2@%a!W_}DS zHb@#_R#smWIpvhX)8?B;=}pbG|1uDSOmt5bB}Lt(60g2&`VU><6W@RMUwR6n zSh%X#zt3&D`M}Smu!vg@Ba^THAj-&pd$K8u^Niz#~XwwL@Qyo5ddQbKI z^R@cEk(jCZ!|6r!T2i3cCeANWpZsfwN8<((2+wV{US#Mo5dwM*fH&}i$)!f+=bryd zS8<^2X@#zysFc}xN>Y7-$~(8=E0Hl9v3*2F^fDV^@PeCo;6i1{jesRQ0M!+z)86-1 zmU6r6hmH{Nq{9B*Zif^pd`vbI7Ws<|`E7$t=W;-}Mf`bThj_d#9&lS!Efyo&_zIkiKX%8b{7 zfl^=+V4n2G?0>^SH*Z5tnyg&^T($W;8EkKKV62-e&_QegjdFGTS#sy3YGXD|aUoS& zA@nFs^kqCD{pd00-Ry zwqAb2K2gQH6i7^}0Xr^D63R&StomujjGWINIlKyH&?0H>>MVIYSUo~aad1m0ar{SJ zTfTAgQ0UTopsw;sR&A#G=u`KxPa1nuuwknd8PS8GaLCdhZLW5qf)&VOSnq>KVN=IEA!WD>F6h@ zWu7*KQbHIt*M!@1N`N=Z`H#j0K37wS$ckmn%Qd9{o`}pT$(ozE;P#B_`_&`yKSz1X zO7A8K>=#uLwj>DUQ*l3+l{|FMsMLpNApi3~!s$}%n`wRIuwBZ)N?M9Zk@CvXusSu< z*gzSOyi3Y8Yxy5o?YrH5m@QOAAJ)qo%QW{)Yn!TD)2z>$@BA6dy_H_&m*k*ky654+ zQz%ubS=BS)A!Cx~GFc(m-1Y11n~mR>+WlK$jo6PP;=SAGOO0%X9|PQbV6O zK!2z6`3&+Erzjwc3?h*28N|)jwo{$E0Y8HJE)OvxO(q9uzPP!$!G>uzD1wIKFWIgYUwu z_5TO$#r&=?-_^p}&fMic@UEN;!?gU&0v)Z)9xWq{EIl<88>q4zC>T2U{{e?dk_&%) zt$=~>3Pb%D9R9ZdZ)pnrPvhe1Z0z`7U;k_Ozo7E}Z3P0-6iBrS_WyyH|9^J>6YPKN gK)-8K;EzkN{}Z~h93<3#RN&tS;JX$5R)T>1UqIoax&QzG literal 0 HcmV?d00001 diff --git a/.Xil/Vivado-8659-Kreyshawn/realtime/dupFiles.rpt b/.Xil/Vivado-8659-Kreyshawn/realtime/dupFiles.rpt new file mode 100644 index 0000000..6ff8956 --- /dev/null +++ b/.Xil/Vivado-8659-Kreyshawn/realtime/dupFiles.rpt @@ -0,0 +1 @@ +CRC performance measure: elapsed=00:00:00s;;memory_peak=6186.805MB;;memory_gain=0.000MB diff --git a/.Xil/Vivado-8659-Kreyshawn/realtime/lab0_wrapper.tcl b/.Xil/Vivado-8659-Kreyshawn/realtime/lab0_wrapper.tcl new file mode 100644 index 0000000..674a43e --- /dev/null +++ b/.Xil/Vivado-8659-Kreyshawn/realtime/lab0_wrapper.tcl @@ -0,0 +1,94 @@ +# +# Synthesis run script generated by Vivado +# + +namespace eval rt { + variable rc +} +set rt::rc [catch { + uplevel #0 { + set ::env(BUILTIN_SYNTH) true + source $::env(HRT_TCL_PATH)/rtSynthPrep.tcl + rt::HARTNDb_resetJobStats + rt::HARTNDb_resetSystemStats + rt::HARTNDb_startSystemStats + rt::HARTNDb_startJobStats + set rt::cmdEcho 0 + rt::set_parameter writeXmsg true + rt::set_parameter enableParallelHelperSpawn true + set ::env(RT_TMP) "./.Xil/Vivado-8659-Kreyshawn/realtime/tmp" + if { [ info exists ::env(RT_TMP) ] } { + file delete -force $::env(RT_TMP) + file mkdir $::env(RT_TMP) + } + + rt::delete_design + + set rt::partid xc7z010clg400-1 + + set rt::multiChipSynthesisFlow false + source $::env(SYNTH_COMMON)/common.tcl + set rt::defaultWorkLibName xil_defaultlib + + set rt::useElabCache false + if {$rt::useElabCache == false} { + rt::read_verilog { + /home/jspear/Development/School/Comp_Arc/Lab0/adder.v + /home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v + } + rt::filesetChecksum + } + rt::set_parameter usePostFindUniquification false + set rt::top lab0_wrapper + set rt::reportTiming false + rt::set_parameter elaborateOnly true + rt::set_parameter elaborateRtl true + rt::set_parameter eliminateRedundantBitOperator false + rt::set_parameter writeBlackboxInterface true + rt::set_parameter merge_flipflops true + rt::set_parameter srlDepthThreshold 3 + rt::set_parameter rstSrlDepthThreshold 4 +# MODE: + rt::set_parameter webTalkPath {} + rt::set_parameter enableSplitFlowPath "./.Xil/Vivado-8659-Kreyshawn/" + set ok_to_delete_rt_tmp true + if { [rt::get_parameter parallelDebug] } { + set ok_to_delete_rt_tmp false + } + if {$rt::useElabCache == false} { + set oldMIITMVal [rt::get_parameter maxInputIncreaseToMerge]; rt::set_parameter maxInputIncreaseToMerge 1000 + set oldCDPCRL [rt::get_parameter createDfgPartConstrRecurLimit]; rt::set_parameter createDfgPartConstrRecurLimit 1 + rt::run_rtlelab -module $rt::top + rt::set_parameter maxInputIncreaseToMerge $oldMIITMVal + rt::set_parameter createDfgPartConstrRecurLimit $oldCDPCRL + } + + set rt::flowresult [ source $::env(SYNTH_COMMON)/flow.tcl ] + rt::HARTNDb_stopJobStats + if { $rt::flowresult == 1 } { return -code error } + + if { [ info exists ::env(RT_TMP) ] } { + if { [info exists ok_to_delete_rt_tmp] && $ok_to_delete_rt_tmp } { + file delete -force $::env(RT_TMP) + } + } + + + set hsKey [rt::get_parameter helper_shm_key] + if { $hsKey != "" && [info exists ::env(BUILTIN_SYNTH)] && [rt::get_parameter enableParallelHelperSpawn] && [info exists rt::doParallel] && $rt::doParallel} { + $rt::db killSynthHelper $hsKey + } + rt::set_parameter helper_shm_key "" + source $::env(HRT_TCL_PATH)/rtSynthCleanup.tcl + } ; #end uplevel +} rt::result] + +if { $rt::rc } { + $rt::db resetHdlParse + set hsKey [rt::get_parameter helper_shm_key] + if { $hsKey != "" && [info exists ::env(BUILTIN_SYNTH)] && [rt::get_parameter enableParallelHelperSpawn] && [info exists rt::doParallel] && $rt::doParallel} { + $rt::db killSynthHelper $hsKey + } + source $::env(HRT_TCL_PATH)/rtSynthCleanup.tcl + return -code "error" $rt::result +} diff --git a/ZYBO_Master.xdc b/ZYBO_Master.xdc index 9153017..f3dbb71 100644 --- a/ZYBO_Master.xdc +++ b/ZYBO_Master.xdc @@ -17,10 +17,10 @@ set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { sw[3] ##Buttons -#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L20N_T3_34 Sch=BTN0 -#set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=BTN1 -#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L18P_T2_34 Sch=BTN2 -#set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=BTN3 +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L20N_T3_34 Sch=BTN0 +set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=BTN1 +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L18P_T2_34 Sch=BTN2 +set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=BTN3 ##LEDs diff --git a/adder.v b/adder.v index b0c2ebb..093883f 100644 --- a/adder.v +++ b/adder.v @@ -6,7 +6,7 @@ `define OR or #50 `define NOT not #50 -module FullAdder1bit +module FullAdder1Bit ( output sum, output carryout, @@ -34,7 +34,7 @@ module HalfAdder input a, input b ); - FullAdder1bit adder (sum, carryout, a, b, 0); + FullAdder1Bit adder (sum, carryout, a, b, 0); endmodule module FullAdder4bit @@ -47,9 +47,9 @@ module FullAdder4bit ); wire Cout0, Cout1, Cout2; HalfAdder ha0 (sum[0], Cout0, a[0], b[0]); - FullAdder1bit fa1 (sum[1], Cout1, a[1], b[1], Cout0); - FullAdder1bit fa2 (sum[2], Cout2, a[2], b[2], Cout1); - FullAdder1bit fa3 (sum[3], carryout, a[3], b[3], Cout2); + FullAdder1Bit fa1 (sum[1], Cout1, a[1], b[1], Cout0); + FullAdder1Bit fa2 (sum[2], Cout2, a[2], b[2], Cout1); + FullAdder1Bit fa3 (sum[3], carryout, a[3], b[3], Cout2); `XOR computeOverflow (overflow, carryout, Cout2); endmodule diff --git a/project_1/project_1.cache/wt/gui_resources.wdf b/project_1/project_1.cache/wt/gui_resources.wdf index 26a4ae9..5ff5a79 100644 --- a/project_1/project_1.cache/wt/gui_resources.wdf +++ b/project_1/project_1.cache/wt/gui_resources.wdf @@ -1,20 +1,48 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6170706c79:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f63616e63656c:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6e6f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f796573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636d646d73676469616c6f675f6f6b:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c65735f62656c6f775f746f5f746869735f636f6e73747261696e745f736574:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6578707265706f72747472656570616e656c5f6578705f7265706f72745f747265655f7461626c65:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f6d65737361676573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3234:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6c6f676d6f6e69746f725f6d6f6e69746f72:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f66696c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f666c6f77:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f746f6f6c73:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f77696e646f77:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e77696e746f6f6c6261726d67725f73656c6563745f6f725f736176655f77696e646f775f6c61796f7574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6e65746c697374736368656d61746963766965775f73686f775f63656c6c735f696e5f746869735f736368656d61746963:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6e65746c697374736368656d61746963766965775f73686f775f696f5f706f7274735f696e5f746869735f736368656d61746963:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6164645f736f7572636573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f72756e5f62697467656e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6f70656e5f68617264776172655f6d616e61676572:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f70726f6a6563745f73756d6d617279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f72756e5f62697467656e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f72756e5f696d706c656d656e746174696f6e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f626f61726473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061727463686f6f7365725f7061727473:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:31:00:00 -eof:1503365937 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d64656275677461625f6f70656e5f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d667067616469616c6f675f70726f6772616d:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:72756e6761646765745f73686f775f6572726f725f616e645f637269746963616c5f7761726e696e675f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73657474696e67736469616c6f675f70726f6a6563745f74726565:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c6f626a656374747265657461626c655f747265657461626c65:35:00:00 +eof:3467736733 diff --git a/project_1/project_1.cache/wt/java_command_handlers.wdf b/project_1/project_1.cache/wt/java_command_handlers.wdf index d735e3a..32c89e9 100644 --- a/project_1/project_1.cache/wt/java_command_handlers.wdf +++ b/project_1/project_1.cache/wt/java_command_handlers.wdf @@ -1,8 +1,19 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:31:00:00 -eof:1121522160 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6a65637473756d6d617279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965776c61796f7574636d64:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b70726f6a6563746d616e61676572:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:31:00:00 +eof:2632198778 diff --git a/project_1/project_1.cache/wt/project.wpc b/project_1/project_1.cache/wt/project.wpc index 3c63dc5..d0b5644 100644 --- a/project_1/project_1.cache/wt/project.wpc +++ b/project_1/project_1.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 +57656254616c6b5472616e736d697373696f6e417474656d70746564:2 +6d6f64655f636f756e7465727c4755494d6f6465:2 eof: diff --git a/project_1/project_1.cache/wt/synthesis.wdf b/project_1/project_1.cache/wt/synthesis.wdf index 38c6349..15f6da8 100644 --- a/project_1/project_1.cache/wt/synthesis.wdf +++ b/project_1/project_1.cache/wt/synthesis.wdf @@ -1,7 +1,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303130636c673430302d31:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:68775f77726170706572:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6c6162305f77726170706572:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 @@ -34,6 +34,6 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a313873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313435392e3339384d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3337302e3335394d42:00:00 -eof:2745774629 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313439302e3037344d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3430312e3033394d42:00:00 +eof:4162540178 diff --git a/project_1/project_1.cache/wt/webtalk_pa.xml b/project_1/project_1.cache/wt/webtalk_pa.xml index 14349fb..930c145 100644 --- a/project_1/project_1.cache/wt/webtalk_pa.xml +++ b/project_1/project_1.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +

- +
@@ -17,32 +17,71 @@ This means code written to parse this file will need to be revisited each subseq - + + + + - - - - + + + + + + + + + + + + - + + + + + + + - - + + + + + + + + + + + + + + - + + + + + + - - - + + + + + + + +
diff --git a/project_1/project_1.hw/hw_1/hw.xml b/project_1/project_1.hw/hw_1/hw.xml index 1bc1a9b..3b1fb99 100644 --- a/project_1/project_1.hw/hw_1/hw.xml +++ b/project_1/project_1.hw/hw_1/hw.xml @@ -9,7 +9,7 @@ - + diff --git a/project_1/project_1.runs/.jobs/vrs_config_10.xml b/project_1/project_1.runs/.jobs/vrs_config_10.xml new file mode 100644 index 0000000..abc4cab --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_10.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_11.xml b/project_1/project_1.runs/.jobs/vrs_config_11.xml new file mode 100644 index 0000000..21be1b4 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_11.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_12.xml b/project_1/project_1.runs/.jobs/vrs_config_12.xml new file mode 100644 index 0000000..c9f690d --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_12.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_13.xml b/project_1/project_1.runs/.jobs/vrs_config_13.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_13.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_14.xml b/project_1/project_1.runs/.jobs/vrs_config_14.xml new file mode 100644 index 0000000..abc4cab --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_14.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_4.xml b/project_1/project_1.runs/.jobs/vrs_config_4.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_4.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_5.xml b/project_1/project_1.runs/.jobs/vrs_config_5.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_5.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_6.xml b/project_1/project_1.runs/.jobs/vrs_config_6.xml new file mode 100644 index 0000000..abc4cab --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_6.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_7.xml b/project_1/project_1.runs/.jobs/vrs_config_7.xml new file mode 100644 index 0000000..a686dfe --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_7.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_8.xml b/project_1/project_1.runs/.jobs/vrs_config_8.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_8.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/.jobs/vrs_config_9.xml b/project_1/project_1.runs/.jobs/vrs_config_9.xml new file mode 100644 index 0000000..70148d8 --- /dev/null +++ b/project_1/project_1.runs/.jobs/vrs_config_9.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/project_1/project_1.runs/impl_1/.init_design.begin.rst b/project_1/project_1.runs/impl_1/.init_design.begin.rst index 5dcf605..3be34fc 100644 --- a/project_1/project_1.runs/impl_1/.init_design.begin.rst +++ b/project_1/project_1.runs/impl_1/.init_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/project_1/project_1.runs/impl_1/.opt_design.begin.rst b/project_1/project_1.runs/impl_1/.opt_design.begin.rst index 5dcf605..3be34fc 100644 --- a/project_1/project_1.runs/impl_1/.opt_design.begin.rst +++ b/project_1/project_1.runs/impl_1/.opt_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/project_1/project_1.runs/impl_1/.place_design.begin.rst b/project_1/project_1.runs/impl_1/.place_design.begin.rst index 5dcf605..3be34fc 100644 --- a/project_1/project_1.runs/impl_1/.place_design.begin.rst +++ b/project_1/project_1.runs/impl_1/.place_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/project_1/project_1.runs/impl_1/.route_design.begin.rst b/project_1/project_1.runs/impl_1/.route_design.begin.rst index 5dcf605..3be34fc 100644 --- a/project_1/project_1.runs/impl_1/.route_design.begin.rst +++ b/project_1/project_1.runs/impl_1/.route_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/project_1/project_1.runs/impl_1/.vivado.begin.rst b/project_1/project_1.runs/impl_1/.vivado.begin.rst index 49ffd42..7e7865e 100644 --- a/project_1/project_1.runs/impl_1/.vivado.begin.rst +++ b/project_1/project_1.runs/impl_1/.vivado.begin.rst @@ -1,10 +1,5 @@ - - - - - - + diff --git a/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst b/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst deleted file mode 100644 index e72fe49..0000000 --- a/project_1/project_1.runs/impl_1/.write_bitstream.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/project_1/project_1.runs/impl_1/.write_bitstream.end.rst b/project_1/project_1.runs/impl_1/.write_bitstream.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/project_1/project_1.runs/impl_1/gen_run.xml b/project_1/project_1.runs/impl_1/gen_run.xml index ffee860..8106c6f 100644 --- a/project_1/project_1.runs/impl_1/gen_run.xml +++ b/project_1/project_1.runs/impl_1/gen_run.xml @@ -1,68 +1,75 @@ - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + @@ -71,7 +78,7 @@ @@ -88,9 +95,7 @@ - - Default settings for Implementation. - + diff --git a/project_1/project_1.runs/impl_1/htr.txt b/project_1/project_1.runs/impl_1/htr.txt index cd10741..7801d7e 100644 --- a/project_1/project_1.runs/impl_1/htr.txt +++ b/project_1/project_1.runs/impl_1/htr.txt @@ -6,4 +6,4 @@ # Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. # -vivado -log hw_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace +vivado -log lab0_wrapper.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/hw_wrapper.bit b/project_1/project_1.runs/impl_1/hw_wrapper.bit deleted file mode 100644 index ee780e8b38bf5498dcd94dcd8875a43687a9b88f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2083846 zcmeI*PlzN}836FtJ=58piR(@_+1=S)%@%u5kYIX8VM#);0;{qjB0_>UH*VG_h=vUk z@F0~CF^FCi1;xX7P*F$@;vXa+OAh7`kdWZTg9jx!=a8$$?&+THp6RZcs;;W;uIXPd zv;FFO-}k-uel)S-}w5IA8)tAjc{jo;{Nsn_itSZPlUD0 z4?K8z>%q><&2aIxU%Yq7BZTnsU6;bu-L&8R?9s18onX5eH9Ak7=g@l&$So$cU3fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK%iCvjauyX z)M2|!Hhwxx*%%IMIePs>wcFlkRoj2i{qKWOSbbqQE@iY@N|$A8vU=|K%XVbvB|v}x z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&Um?UuRrAbjS0t7|` zHqQNFU>VydSyQAT9v>-0*!+vN9W?vi|WQc?&{bk z)%i}-xi$JZ<1`J_5FkKcR3IL`m|~o<{{7Juy_FCkK!CvV2qf8#y7^?bJXsxqq@Y5^ zdhg>)u+Dm`GTxI9UeDT49svRb2oNAZpk4yWsciernwQosYyE|fq;(J{K!5-N0t5&U zAV7cs0RjXF5FkLHP6BiKw%$XD?s@Id6bS^%7l_MmS!KtSx2x=4uilID?fb3I*2~Rq zkBC5AuXA|YHN0Qmb`34&HS)3WyyUG*fB*pk1PBlyK!5-N0t5&Us6yaJJ5A61yo%m> zPAQOd>Y{LI%4%f<2oNAZ;HV13DF;i7r=+Kblhm2X6Y=D5YP6r<_+@Iw_(Z>Ed?F=M zb$-gS!UW0|xOb6$Zfa8Y=?>|a_H(w=;_)H(f-JsZ!wLdsTMN*?aH`nPe**y58?)hiQ4YeyQu;+I$ z7w%TDLEcy0y!jcoH}9{nZ~Y+SMwd9PqFbLTdiMLNQ*)e1a;}{>KWBUCRS85@H7>hv z>mOxzEZi^3+2OGKdB1zed7Kbd-pyU2fB*pk1PBnQfxtq29^dndaNGCvG3V~VwC&+LnDtUT zYqQf3PXBf>H=EZmLw>xNCmr9_>SN=vBNk~4&NK7(41<+(4bE)kN}omY&@kI-8SJm0t5&UAP~aU(26?_otH-3xyb)`r1SAwD}UM46(^oZ zD~;pMO!sBhkG^5%We>~06q-p0-A`be+f)6BhMm&xUo(2FKcBkLcIU@TJeN9K-Neu^ z+ZuWEvvrk4fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oP98 zfsIBPhrV4#6FvF@7yerYMN~!;JqQpWK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7dXl>*7i%ft2?!=ce6fySg@1+iC#Jy%~Cj>{OutungkL4W`O0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKwvoquD!IJ=XPoW1nMl% z3~PU>^ZxCfz)S>^bAO)6Iu;>7fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5(T6F9j)tr_o+xSp-R`WN=E_iVI3;(E3McmAz}_2LqG zXfY5tyD}IK2k~%N$tbF%tEL1h6IlP`gx1l7n9$4wPF$VYQp1HdhC`!qfeWvW$8txK z+!YE45Fk)UVC~(Va&O@aDJmvFVAcZOcFkJcdISiRA#ncF6S^c5VnPH65FkK+009C7 zj+lUtg%|IJx+m_*;tALh0RjXF5FkKcHUjIP8DA$kH69ZoK!5-N0t5&UAV7csf#nmp zIFIksD$4A;0E!B#Cs2EV)8E>2gw;J)i+YhP>c;Gg009C72oNAZfB=Ca0_Q)rr~3Gw zD;ER^5FkK+009C72oNAZfB*pk1nMOat^KiH8@5{l1S%4!$5-PF4uAK*2g9Q~i0XB; zmAC4(-(}eC2g>+8L0O^Vtcm@+wEVPq&i0F%e($8;e=_gQM)Tf4$`tlkA2!D0RjXF5FkKcAdn13wHmDardHdvSpozI5FkK+009C72oNAZfB*pk1PBl) zC~)G9f(?q7SNav!QStrFyu;@8VoOHF%2g8}K!5-N0t5&UAV6RV1md`J$nHz+9v3#J z-Ew(nex&o6d~0kQh5K)Z5Ir~6wg!RLcc" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - - -start_step write_bitstream -set ACTIVE_STEP write_bitstream -set rc [catch { - create_msg_db write_bitstream.pb - open_checkpoint hw_wrapper_routed.dcp - set_property webtalk.parent_dir /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/wt [current_project] - catch { write_mem_info -force hw_wrapper.mmi } - write_bitstream -force hw_wrapper.bit - catch {write_debug_probes -no_partial_ltxfile -quiet -force debug_nets} - catch {file copy -force debug_nets.ltx hw_wrapper.ltx} - close_msg_db -file write_bitstream.pb -} RESULT] -if {$rc} { - step_failed write_bitstream - return -code error $RESULT -} else { - end_step write_bitstream - unset ACTIVE_STEP -} - diff --git a/project_1/project_1.runs/impl_1/hw_wrapper.vdi b/project_1/project_1.runs/impl_1/hw_wrapper.vdi deleted file mode 100644 index 620b34f..0000000 --- a/project_1/project_1.runs/impl_1/hw_wrapper.vdi +++ /dev/null @@ -1,430 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 19:10:58 2017 -# Process ID: 23797 -# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 -# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace -# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi -# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source hw_wrapper.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] -Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command opt_design - -Starting DRC Task -Command: report_drc (run_mandatory_drcs) for: opt_checks -INFO: [DRC 23-27] Running DRC with 4 threads -report_drc (run_mandatory_drcs) completed successfully -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.45 . Memory (MB): peak = 1354.199 ; gain = 45.016 ; free physical = 8028 ; free virtual = 19485 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 -Ending Logic Optimization Task | Checksum: 20895cedf - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7579 ; free virtual = 19074 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 20895cedf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1758.691 ; gain = 0.000 ; free physical = 7580 ; free virtual = 19074 -21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1758.691 ; gain = 449.508 ; free physical = 7579 ; free virtual = 19074 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1782.703 ; gain = 0.000 ; free physical = 7578 ; free virtual = 19074 -INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp' has been generated. -Command: report_drc -file hw_wrapper_drc_opted.rpt -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt. -report_drc completed successfully -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Command: report_drc (run_mandatory_drcs) for: incr_eco_checks -INFO: [DRC 23-27] Running DRC with 4 threads -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -Command: report_drc (run_mandatory_drcs) for: placer_checks -INFO: [DRC 23-27] Running DRC with 4 threads -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 13d05ad9a - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19057 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: e8dbbce7 - -Time (s): cpu = 00:00:00.40 ; elapsed = 00:00:00.26 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: ea3f9807 - -Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: ea3f9807 - -Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 -Phase 1 Placer Initialization | Checksum: ea3f9807 - -Time (s): cpu = 00:00:00.41 ; elapsed = 00:00:00.27 . Memory (MB): peak = 1790.707 ; gain = 0.000 ; free physical = 7574 ; free virtual = 19073 - -Phase 2 Global Placement -WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: 1e1587abc - -Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1e1587abc - -Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 178d50758 - -Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.38 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1e1587abc - -Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1e1587abc - -Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.39 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7570 ; free virtual = 19071 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 -Phase 3 Detail Placement | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ee09d3c8 - -Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7569 ; free virtual = 19069 -Ending Placer Task | Checksum: 189ed1a2a - -Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.44 . Memory (MB): peak = 1838.730 ; gain = 48.023 ; free physical = 7571 ; free virtual = 19071 -34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7571 ; free virtual = 19073 -INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.13 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7562 ; free virtual = 19063 -report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 -report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1838.730 ; gain = 0.000 ; free physical = 7568 ; free virtual = 19069 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command route_design -Command: report_drc (run_mandatory_drcs) for: router_checks -INFO: [DRC 23-27] Running DRC with 4 threads -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs -Checksum: PlaceDB: cfdf8402 ConstDB: 0 ShapeSum: ba0d9628 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 8e697245 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1884.723 ; gain = 45.992 ; free physical = 7515 ; free virtual = 19003 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 8e697245 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 8e697245 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1898.723 ; gain = 59.992 ; free physical = 7500 ; free virtual = 18989 - Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: c2ecbd17 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7495 ; free virtual = 18983 - -Phase 3 Initial Routing - Number of Nodes with overlaps = 0 -Phase 3 Initial Routing | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 -Phase 4.1 Global Iteration 0 | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 -Phase 4 Rip-up And Reroute | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 -Phase 6 Post Hold Fix | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0277309 % - Global Horizontal Routing Utilization = 0.00505515 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 2.94118%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 4.41176%, No Congested Regions. -Phase 7 Route finalize | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1906.723 ; gain = 67.992 ; free physical = 7497 ; free virtual = 18986 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: a817c05e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7496 ; free virtual = 18985 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1908.723 ; gain = 69.992 ; free physical = 7512 ; free virtual = 19000 - -Routing Is Done. -42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1934.754 ; gain = 96.023 ; free physical = 7512 ; free virtual = 19000 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1934.754 ; gain = 0.000 ; free physical = 7512 ; free virtual = 19002 -INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp' has been generated. -Command: report_drc -file hw_wrapper_drc_routed.rpt -pb hw_wrapper_drc_routed.pb -rpx hw_wrapper_drc_routed.rpx -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt. -report_drc completed successfully -Command: report_methodology -file hw_wrapper_methodology_drc_routed.rpt -rpx hw_wrapper_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 4 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpt. -report_methodology completed successfully -Command: report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx -WARNING: [Power 33-232] No user defined clocks were found in the design! -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:11:32 2017... -#----------------------------------------------------------- -# Vivado v2017.2 (64-bit) -# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 -# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 19:11:40 2017 -# Process ID: 25068 -# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 -# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace -# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi -# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source hw_wrapper.tcl -notrace -Command: open_checkpoint hw_wrapper_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1087.020 ; gain = 0.000 ; free physical = 8239 ; free virtual = 19727 -INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2017.2 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] -Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/.Xil/Vivado-25068-Kreyshawn/dcp3/hw_wrapper.xdc] -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 -Restored from archive | CPU: 0.020000 secs | Memory: 0.024139 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1307.180 ; gain = 0.000 ; free physical = 7972 ; free virtual = 19474 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2017.2 (64-bit) build 1909853 -Command: write_bitstream -force hw_wrapper.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' -Running DRC as a precondition to command write_bitstream -Command: report_drc (run_mandatory_drcs) for: bitstream_checks -INFO: [DRC 23-27] Running DRC with 4 threads -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -report_drc (run_mandatory_drcs) completed successfully -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. -INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Writing bitstream ./hw_wrapper.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-186] '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml' has been successfully sent to Xilinx on Wed Sep 27 19:12:01 2017. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2017.2/doc/webtalk_introduction.html. -16 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1739.180 ; gain = 432.000 ; free physical = 7917 ; free virtual = 19424 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:12:01 2017... diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpx deleted file mode 100644 index b3d278c0dc192030c14a0d6a5ccc3b6381a06945..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 353 zcmcJKK}!QM5QP&KYEi^eC}_P5^(MHuE2!tzlc!Qep_j0mOm~B8vYjNfy?OK~{#lQj zMgM|xdCdDB^O#e{SbsDajeGfJcAZ{L4(C(xr0oJ-XuO+6yq{!gK0K*6VnapSA_+xO zkPRMHO6~UeJI+zfTef9yoV|1Y!P#eWaJQJj-twZfN&i&q00Ne>@2%wQ}I|MbQy_F1Fyk(C=r4~1=@hGwS(o(tU;mEmFYZ73JJUg fG)37UNK1s$$Hc0LFU8%gbQP%Z+M4wKzYBf - Max violations: - Violations found: 4 -+-----------+----------+-----------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-----------------------------+------------+ -| TIMING-17 | Warning | Non-clocked sequential cell | 4 | -+-----------+----------+-----------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-17#1 Warning -Non-clocked sequential cell -The clock pin device/q_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#2 Warning -Non-clocked sequential cell -The clock pin device/q_reg[1]/C is not reached by a timing clock -Related violations: - -TIMING-17#3 Warning -Non-clocked sequential cell -The clock pin device/q_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#4 Warning -Non-clocked sequential cell -The clock pin device/q_reg[3]/C is not reached by a timing clock -Related violations: - - diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_methodology_drc_routed.rpx deleted file mode 100644 index 9e5d1c46d9a2a6dbd951849482a24756e7f5cf86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1041 zcmbu)&rZTX5C-rqC5RX!(MU|WOfX(h{v%eDyIJbE-f zq0it8Xa%d`1j+7oX1;HKMM6lnkS}N%qpqJ-&C>Qw!@6Vq7Q?X@g^%$#r|MN>_sE@C z6JZAd3Tudw%N_3V{>*X&wFDnW=u|~8J@|^>j++k1l)Nb9RY|`oryRCMsb5+sL%f$}&E7aq3fDVK>8lxXE+XIT8XKelL9qJdP zaoKed=DQFu9~^vU6l)_(VE>`st2Kdz;PVIs+SHBXo@QV}#D;O@;_O;F;WM+J+skJ1 zDNSiYrJPO5=f;$z(O6;BrHyMPEE!J{qu!evRY{|{!e~ev*GgD29w$a)VN6RJPgfXC OY2#W6OU80yG<)9wt48hs diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_opt.dcp deleted file mode 100644 index 7d3d729aa79cda0ee30652bff56552b3d8f27ee5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209589 zcmagF19)XY(mo#BHYS|d#w3}EZQHi(OzccDv2EM7ZQD+MH@o|NyZh&Ps_U())7_^} zcc16hdGEcl5};scKtMoHKxTiqB~qpa8-1aGfII*N9uPHk(Q&cYv$Zv{r*pLi_J9g} zRJC+fW@i5-NCY?-IhmNhkcfkg*>$B{^<5rU1Z7ct)SOo}>xbMgyip9o9o)KBeOe-E zdm?BgC}=NV;8nE%)d)-C?;xN~O2s#XkCcxz&6L z7w5;=uawFB>Z2<5ki>)^xHt`P%-F2TxynrD6~a=e(UWz(E9b{<4w|6*aYnqAn%-d- zo@PI#xXmld$dnf)Nm`OCehPFJtY>-^&rc#^pk-dZG!orpe~jLyDpaO(UPz&Pfzn4B z=gwDX&0Cu{Ua;Z-#aX1IJnk7kESEo@~WldUyn{7-ERzed5!}3yo4Be z^6jbp616LorIB5R#-Y?|;5_RB3G6)mc?>~8|M^zqS7yOvmkF)cx_SFm(NRpcTF$?v z=I_iiCl{Qg(LCc5vnM=usjn56Kj0DL`Dk9cT7V9SKJW2ydXn%|6G1Q1)j@p*kdk9n z&ez(@m9+8-y7364nA8meC)G%AzQtmOwL#s)7~%v~OF-5{EYDR@Ft(B^CzDRc!f9_J zm#)EKrXYeZ2m#k-*XCz?>nZhwfA3J;fkl9GqrR&8t}D@%Qdv7pxfJ{D{%uDe`?!X9Vnm?)kkoP^=3WuW&0<}JJ5k3f_1Zx~l-{e(aw-|2v~bDprS z;QA?i3$Gd8Y*dA%7!r-CBItqWWj&!?qjnN>N66vkHs4Q~z-rzcZWLL7nq2RM6qS6*x0PwvnO9J)qts-e2KAm%do3cfP1 ztpcXm272>>bCh}<`#En@VIH>&`Zpply@DsuQb7~Q6MBCqkhhdw8hF$--xllda9@@0 zbk$RgbfD7Wz~Di?{(?UQh_m>Jv$Bw44)&duCTJ6?c}a{uo5y^|b!8+X7MVat7Bb?n zW|=_Q{CSBPjg24@it!V%9GF1KmugWA#ErYaJf!ve3?a~hc+8n=$_8B9 z-bhp3AY3;7u+b8TO+2RK!9HcRDOOh7BS%&;{zRj+*yGJpVt5yiyg+zbrkwRXumCAl z&~)oDbc(KCd256JjCBJlP?wUrL$C5!xS%oMe%u$SC@v2<@(*LDt%QGxH3;^Qm~71O+bq5N?$CF6Ws;f$#S74tlv!u{;ambadX z2vyvs?jXG(jXlvbyz`r&OcSLqXB_N9ChyaTu3#olqTR;HA+W=1jUu95b~S+kn*slb&A;HCZ_YGB zPg3eJ*SJi{@a~HL%40B#I)d(EqHhnUvD)$|d-{8!iW3!zoTS9x z4{U>_{6>h~#F*#n3gyk*nIu{Fi&;|hr3!vCD)}6tKH|$R~}bpnOR})Z-ijaNYD5?f$@S-amJiiIE-n4Cs?>4a*$F% zIDs)?Z3^Ke;8U9+cwskroSOaPxMe@1Vb|<&p`2Yq#d?=qyF!~S?cTM-ZQg!ib>8*j zZY;EMWn9Mket>cJ;{8~u^nz~vLt#3WwY*eF$fMccA?15wZBSc0|I+GELdLWHXSWYo z`iDqjeBJL9EUuCL=)dpsdV^vnoD|PK6@96F?O3JaM#W*sH_iFZk$FEG}Igdhm@KDUxD)llYTk+5%4cNuIwUSB; z#O(S@uiM!A3BKNB5D|^j&_jue&>?j%@*%}sIM6VNPI4lH<;}LCgYAK~p@JDMc3^@T zR({Yx4T<9m{CL<8iP}Ql&}lnYzqCz~ZIG=fJDfeuzpEwuXoTV6+@R#}C|qQ>_Bj4% z!wK+lNWNRsGq04DChbDEX^K8SX{A$X^W$$QJ=Cgr^1E89_y$1W+ocL^$L1Z#9)ngG zCjgEawBj7u_al}KI07L-L90$x zVUvU5hYXtOjlK5^7Q*4TAC}hXpO@86hw#R&9HD%kkC>$58@s(Bw|6oh`}sa%Vs~D+ zR>r4WH#!yE_U^f;olo(enr%OkaTjg_)^E&ikE7GEp6S$GN5>Ci+WPq$y7xDw(?~%T zYSgWtqPJezB6dbMrqZQ{tRHaBK5@XAKkettxG01v6NmQPnk6>Esxp~x&@BB;8@`F1 zW%h>lO#*Lc$H`rZs~Zwbd?Wllwh%=_O3#g$Q!TJG02U zi-GuqDYGcNnNAzTi-CP6k3xzM{$#`Kc=QZ>grW0yImTjnN!PSkHc#6!L5Yc z579cjY@kEfu)5eUc^}<1L(A+B6Ow!jd*k2GzaOv@us%N@^UH3{&HTp06_WW`&FHvq z`(}krp8+aqT9omDo@kt`3@dSK^?06pe@vmAp(ukLzTZNkQ&{Xe-dy6LAUQLS;Bn^*jLbg7U&3;C*P*)SMxf+!7xyXw z>U%>}6|w#HYJa2R7u|@{ka30ySvF;U<-4ujyKozm?nH4gcavF^gzCebibyTuLU}7&GOIq zWtJq9_|=o>6|Cfp5OjxiDu-X)f}&XU@e%um`g3Nv*ri8*v>2CvxY;u@5gXl;8nmH> zFkz?Gj&L{W@8fG$=bZlbC&_@^+$CBWQ_h>U6LA5ZIjtXl1Gh+|F8FI-q)FAB>NyUl|cK`vlMS}Z$LYdCts6LbJfOZE}#``_f za`@jVL86cYBMWany|9C&1n@a+&@Jz? zAE!^--(p3<169+ByR%=wG@bVEyi3XusQZsV5XO?TvoPK2rUQNWz#TtoQa%V;&l93@ z%$SW*tRk$bXUWVA9RIZ99aXK(ORAZm=Ek%0KjnUtR+-$NfN)Tmy`9i+!Qk2_ZSlG| zX6JtEz1qq1jN#D@d9~vsguYy+F+9rhSxffEinr2Caomwm7pKS%uHi6 z^pbz}t-Sm1G?x)xby_k1&V)n6alQd}`U@ zSglc}NViI{&`z-*=OZF-c2I1)mo`rOF<^o#YCOVYlhrp|Yb7IG3WAeqMU@~T@SRu$GcDGYE9SLS|JQynyS__o4WU^UC1 zKWbU4qn$yX6lglY=njL76<$`Vs^%|BaUSscCVFJm>Qv*!OoaeDDJVY!5$$JmovYA@ zkTOUVoL$RVfj3OXIZ`EO>Z8Yum?lX+@8qtKq+28?S&l25et=M zsm(A8qLY$JFv;s*gP^43yIidYXI$zVn7)*qoiBk72Y*LxQ?Z`Kbh9};Ej?p-m%{Zr z+hj2EMFf(cSad_BCe}mW0Jx~)iLugFGloBl^4TSyHv>!5xnyQ4)67ATQ%DpZ9<$n< zcQ{eb>3NA#k+Xw081}uRfnqI>jyZ+=pvGdq-=@iG{GmKNyx|c%Z_7UP>i?A&`o7@kDE7FFPrMZc)3! zXacTuwi#If8AK+e5VP?J+U30S*&}wmUA8P-ZNxovqbylzx-2O|w(5X_WH zmu8q>w{&QLaor~3cY-3Aj}TlYdUS2gHII7nI#!*+6dkZq^m8DNyju9RG3=E_?JD#k zIBNjUw?X8m915!$gUT5`KXN_dn&F@2fRwlii8GF{`hFWhw91naLgYb@8B74(U?!H` zFNWfIL-Kmr|6R61_k&bngI(#NW6MD4hdF5!9q~LPse#IvBv4+esrxZ>Ohh5@&C#+J z<0MI!1M$_BR@|;aB0~L-j7MALaS5F+0haVS7W~GE3vw-#4v6Uwr5#ASF8Mkw>np0j3;A4ZmP>)p)QWGI zTC9VqA%9Q<8mA=LoliYtK}7skOdhQRY4lE>YK#sLgwU3B378hkiL>6S6%!$oJI?Vh zUS>zD(K7h;JxttP^tYQ|MDR&|@xvaB5b9z^kDGW!^0!SLEm``=_2%7gp0^6_e-`60 ziYQW(FVJ$~8Cc|~W?8?V(&Xn@`l;u*&C4(@)8>#lG(U<@vfI(dI@W-jhh3lx1zEC( z`b`?8OTtHCH@$}YHU1D_bas%#98J$lJRYxaYff+o`5jh#(5GauYdF~&A>P)yOG&b5 zfHb10!6YBz&iljY74h#L0ok;Lz{eB+e<#Hsy(P|RfMgg9;{TQuyBHex$B!d`5W@vt z^1)jkE52~G#<<#{N_l#VL}JQ_;{YSPW8VZBH`R~c*Jbh&0x7Uq_n%BwrDo~RTK8iZ zvK;&{X(QIqtIRz%4@1vCIpvND(g)G1DeSw|;kq&{mG~pTE0GTWBNMb_0vLdPWv*A6y3T~?*%=TTPr!}f1;h8~o}lW<=Ecf^ z7zz2bA2AI4kks+G;Du+0uYEm<@g#g0#UV`wrSl$cE9Cn#a zK~y^g=^>xl#dKloX)oQLf7I5SJQVGNuRA2ux3BvoOkao00q^GPcC`1?uFr$}Q@4!IlL)^z??)~F z)9&TxQ?&Qh%Y}^hjgI%H%;5D-A^+1bV&`Wr;m7Ue=P}~v-eBjW$L8lS;oCLh=Nlwu zuV-~j3SIEAKDUn= z83Xf8S|NC+;iZMAqaM~hEO56B70#Hw{VoG`otsjt_gDlK z+8gbiSs)$EXU?-ESmxAv<+cvbdVrWj?Iyc5=+u+SW zK928WanQwABACfC)vA(R*b#v@Rfd~WT2TuT#j)8=iMw9y2*J6KCE+)N)KzYsnM3dv zlcOi;DJB@TJx%y0cAXp3+2#xu1@h8D7DrD{cV|(_Qs@TEQXhJqH^77NDr}(s3JsWH zCP*?toH=@O@u3P>5eCn@*RDv-J@mfspYHaCHA@{OyCH}#UqHqk+q!#}bD7}QShMdk zTngfv^Epo=B_I#YyEkfF79)og4!XJEg{~-+r`c&vW-q^n@3tlv?w*dK)_cK?tcMIp zDOAO*_qV3GAPSAKB4Dn%@msR(W{{E@ulyvZG*Y)I^qLwaPk6j=baV0K)vnE#RKkSV zZ|m%MKf8Z^x_iS(kn)rrw@y8L$C|^q>FD%oYH9OoA@0QzsvXc{y$k@pxpMt{JGS#| z|G2yP_z*o{v=+pDd_6bjK6{vBm+%j1)wS!h3BVBZO1rm?0Ox4{kLf=X40ue-I#LBG z93l(U4>@;VxmXmi(Cm3kMG>sfRTt>EH;;J!BlAqbls{gzA+zf&k$(5_oC)@^{qh{= z06BiHtie>fE_o+4HL`tt|IQqpoE3W+xQ+Hg|0V?Cj!F}2izC`?sN9QJMx%N?zU}Jq zyw7A>psPqc+XUO*3QJSjnHws+c^_Db@Z9v1}MunsXlX<5zQh2^e| zI_UCm$s+T7+5{kN1q%@R8wjVg6gsvK)XaZ8u#mf#Y%-z>g>1p|mRPZm++kXDPil9Q zD;FCFC2-=qmnU1Ls>v#M2{`v?C@bWrt+9| zXvCLebk@f;%(+;vvdv$Op)eX(gP!ErqzS58sVzH{$|4-tL&@9^8dUn4U&1&j#Gum#;$8VVWsfL`}$C z-xB6(pw0jIcLyKqvC8?-K>IKC&G@dtms;6!g1UY56u^iI2>({?O;AhhUNG$2hog z#E5&@9Q!gPV~5SfzqxecEEr(PfQnr^;+DnN)xy#R@*H}vfD_guk+MH;&bN`fROeD| zA3xvRy$NnOW8NWY3SJ4bKw8pe*hC>-7LoWnz$fuZb*uUpLa(D0Orb!Z4JDo(x@B>? zqt3mwbJ$gOykF0DzLX;#`E-8Fe)50pC-c2*9pDmrUSay&pMTzY;0bB-d>p;{Tm@um z;&pr$Zhpxz;zxY=DgSfjM_T6FRrJS`%=eZwdODxGTv+e7<9-=$q@5A|&u+qx-OcxW z*|~m5LZ3HA%$IJ+&u#XCWBQMi#n0Bt!NJXEj=R3oM`fLh!p|e2iu+#KYZd+%{?Go; zmrt){M5Fh`Cbxjyq;DGwLN2=bq*{Ey(RlDJzX7; zXMK>uz`cm>lI^xT{kJQ1pGvVll;9LlJ71(f|KYyKu*uNq(OjB=me z>kgR$;cW2j37S9HP-l0r@-|cM&88rsb0B(ihu7yN!YHWj+M_S4yK09WYkD)9koI^5nQrMfHBsfNDo3HGbLkexJvyGY|)W-n{2) z{B(lYx{lJ|4Zp|3d(NzMEn4C}?E$JO0eV}sfx%4?UWE`h3E@hc_z+(Y)pqtni{yH?jzQ zxQ-m*`zGx*{^=Qits@bAs5Z>b_dFu=X@Sk~*7=#i5a-4*D9ZPt7O`ibNBZ_=Ov)b~ z>&c8gTv;!CGp-X6n-{v{k{j!JyQvegm)kEoVNaU*M#FX!R)y^CT^pO(FWix6xwoi+ z`@BFuApEnB@g1@!))G)l=M~VUMUUvy^SG!t2FbHCQ{1L$*E}7{z!+G$31s*@vG=6UP`rdq1 zVv1I8U4r2eMY0{tfWCW5qD$mg8(6EhKW{qOH42>PN}m*?qe8?wngVMx~JHFrz&@E)Xa#Q3$ z1;lT_vW23+bKkwh*?;LEWO>Gdq!ft)ODg68kyFV7p`w3($w(dWq8MJv>>l`lV|y^E zs^$-v_B|#$ZVU~!rWf;pAQ739n2AOlLcfo@tCxtXPtL2k`nsGCH^@uHrrRKRve??-8qch2$OpSzr4!44?tWKS=Df2KL0_&Kd?UZqx0qn4k% zggGCQu4Kd?;qgwNRAe6Ed8AHMQ>gFWqoy0k%yQxsCTjCf17Kd8Kem(gS1E7w#ohyZ zgK7HV^v3|ouSSA%8yY#s^XU)o&BRVMacb_&M|Ns6rNi5g48rmw&yCI7-1)zguW93T zaktM=A6x(<_}0w={P6IlQL9r7wirWYsKdM^9(T!EO2%>e=aju+<%B5n-&kWFl5x(P zF_S#C%vfUw;nZ_%GiQ@L&Oyvs^NO_41&8;ahhu7W1*a5TCKEYMT7W^8=hlCL14jAf zOV~shifQDcjAPV*S^7T!vL&_e=)AwG;1sL%$<>YHZ$$^kw2$>MQ>gHA_V)z*B*9~d z3}HJfJT0n(T#29=FT}`;VP2{PYyEF226FJxSQ!j(xKBOZm4ew^I$8e^TPxXg`u`Nw zbo&1lG#VOiB&pEb6}Gn<$E?q_26JiC(u2T%!On_0D@C1>gP_L$z@c)T`Gjrh0bJuh zL5TaC(@EbP1yb;@(T@oRv@|O*i$FNUELo49EL0eeUvLS5JsTLH4B1n^`?{o$epj$E zuMC7&I)))2?Uu#{T#(`fs?8Z9Gm{_L=L%pY$|&y#BpOtmsH(}AmpPY}aVaZ8+7g2* z0bdVyiGb3l<w+mlkff{Dm}lUy}~< z7an(~Nt=KR;H3t{<3fb`vHpg(Yy~|tC)^!W+wr2|1PlAw83D|#u z7zKt)0>z?%WKc!$E%oJKi%HZY(q!z56jr#>M-pCrPzDG-cWG35{&jhxfrVIoeK=F! zy84cF*$BJxK5l7Lq!J{zwj`EQ)oFjA94EKV&#K3Mum-jU@K6H_0|_7wT#!DyXG=oB zs4gPhm;Vw)of;YVb5tZtL2p@#0ixD`Xa|wDzrH$~%xukXjH{+DGG*&66l!+cuteOZ zz7cqu5r%YN zsu;M&Aj2ifyhQHa^0i$Am49{d&-%@S|IsTn-6(UgL?jR`Y&8yTcmobCY#R=GLk!IZ z7E})g3e6aOw^ii)6%eKLsyMsBG1HoO^0smdTqA%cDnjuHh6|^vUL5{1>#$!tzdW09 zfamfW(e4@iD{*PsNLA77E`R$d&I7rEy1Pe{y(tC^)C3e4`G5B+m;`(q&=FMSu&t@bY^k+_BWqR*5SQ?Td4e6xiJ=?1;KH9lx&8mDOA0RW z8>%XXK`I6Zt+~!jR!tEhP(t8&8>&i%Ecxxv38LT;MlKbb0IE<#tn~|Sx-JacS_~%s z0jf)XD-i?B0{t0OQc4`GJ8jML$4IpZ2k`+8R--G*u*;gFH`uoaqpEPUDhAAB8ra1k z_*bDyt@1o<;ve9;^YxJ-pp^$}WPME$mJgcM)BF9|`~7XK1xpp;a53!>I@Vxl0!3_$ zcE7>vP!X@M*~$GHXZyUVt6*=s{$ZM)9NEL;@-!56kAQS=(Dn`xRc{ZPoh{pz?AII_ z;B>_c16sM(j8>?N>PM*e0)9I%WZJgH6OhQ*zHG)g4i;e)$U#x^X{_PBqu6+n34$;lQ6{*(yc@?bp$s~^ zp;@wtcvQERKF)U0-r2ps+Izs}@zV4+NcCccm~JstOx&^w%<io?_~VN{nZp1eO>h56tv5?4jGxFcCl@C4Pq;M{z)x(le+ehgHYbLdat>0X z?FCw?&@BC>8l-H&;M-8IMEwtaS_xCmb_lexpltODTvuMcA`AFlb`&Vf%4*&i?8oX# z$$B(Qd4u>rD)a!=X@&2VKRBnyZ#xUb>*dBco3ZXH`FySJd?sKUXx~r%kMXrnRoU*;;NLq<|+s8R~< z2a{!|fN^xl`SDM{WBd`10^Y(Ymz9S4PjHAAU^hW;X;#4?deO{6qe7Uz~LK2a411XEcBij;o1X+pGUeh5Wp#DF8J*lBD`= zH_v1R>BqJ4qPc7@QZ0cXR| zHc)j#glz347_5OPzY?HV=7f+>6jeV#jN4bez{wKGvEc6HQR`Q|aI8(~56}ZF(7wvC zwv2FTPK~a%h7=xRMbc201*?r2RX1uTghs$fw@~d?^h+4lZCM%C`AQkq=`MFMR)EQY z7WI$%T3j>z&}f4@!k|aU>JRT#z51osk7)e%n?xQe`A@mu=-5J6KMA|-^$TiFi=`lxG(3%sNBe& z5t??TXga4EhgQ8}dWM8m-MvzHH6|MatNXkTAz`{pmve!t#ZLg%fDoka&Lt9KwP;#j zgcYW4ZOJ4OgqGb|C-=vwitOkdOqP*9ExmG29LDBhaY_I8i|#=CE{U#rj7*g?EEWBd zeYtRIlhPy|+KRvB>KpY<_6_FGOFQCL#aiN4VY22L7z3l8&5ruaI?8_H1^O)H<9jGL z+>vmhAO@X=29-2`uRY$?`i5d~^OIKus$>&S*{| zv7E1>MrRZVm6H~%1`^}kDQx4x(x8iEzF3fg+qc>;-2Fdg-Z=?Jo3_F^2t)JyTYfP1 zlX!^~{f2m^S-nyR%tMWQntgB}riG$KKDdfSDWFt?4+;fq2NGi;g4B)vU?9*ng$&RY zLaCCbz$!a|hVTFaD@DJW!K>HTwyodMv@LUL8t>y+;IcCK&RChntcPWquAAlL@3#Ow zpf0jX1ZHrbLyO^G?f&dU)EDB3W8Q5>@8!lQSV>@Ie;SJ$t?99M2KW?+A~Y9OzznODs8+dYrqM^T7- z_((Z<{hqi6{k-F<)^G>I24o4AqAuoR*Y>hgw2&83lE{*4K0i)Lr&4}^twil3JWmIl zyPsG{5E{H*pa*l#7OfW@=$s~M+8Fi)D(YVb0dK4=ov0yasG#8#RraXu5<9kr^b?0b z!wRZlDX)OL_K0okAAF-WEXePXa#DesJg)scM+Y~yxyj0bC;8~v?qy(ACa*ZT3O*v*KvaHt>Js!8-w+xdZ>%`|1C3243CB+ zwlt(OX@5=~VkNA==zE8DAwqERt?iqZw-*pptU*jG*1)DTo1wEfkI3X#vy(+h?@+=5 zwZFxdM@#M9j$hBFJ!bB3VWKR!?e9yHo(=YM>3&iqAJ|rXVj?_z_H=*fa4dboLcaQJ z>wePzUBTd9;|Y$1-;lZj4DJB#RLddwzJjyYeetheyTwG+Z&|E`OLC}rKvAkD%%wWf zRs2O6ekf4%+cQw4R1RH^5vH!KNeS?*Hqy2F`6aC$X94uCB&clGzC_V~$V|}Cu@G{OmP4cI;G8rVHJwSZT*xU3EXtK9Fb4&iw)8vhrZ z{{0dL5wLsKlAgb4bK#H2?9qC7St_@%)l5)V=HA4z2=-=G2;^mWiXk^qiXlPC`04%% z0!9U@w)XAj5DS{uYpK)(Iu1q!Q<{JDSX7M3#}l!o9JF75OJnR4T!K2Z`AoZ}#78}z za}N1;W&zn0=dR#rf~itXGbHb$@0TN9dnw9$$yITlxtz?L0AfFKT zZB_acR9ZjQvtBaRjE`GcXGzZ}e|$)-Npw(33p^leM-}w|N8Hn$Ry6(zKXB z&<-a-5JYwprt3|gsL-$cu}u?z^rRfdStGFO3Wl>2`GB`J^8#fe}Gc+ic zA3)(6mC{92om@ec8g%l^XDMtWFVgdp@L@lhZjA_IXqu7l=u#rV1%q_|3&fd`mE9w% zX50gn2x-r|t5SX3wyyZ>Jq}1@uIb4gN=vf@ph}wZsB8yw8kdm=@xwQgMDSc_S`526 zE>y2dGWFJ~d)5)iWR)32IGd0xAy%$Mu+sc86q6PVRD+7gTeN5ylY&~+^=Y19sc0*Y zY_u>I&@tYsDttSSAYy?l^AaI^q@szF$BuVym8l}Csn>&Kd% zIE+{$w7(-_=vhtPOQ$p(rp`a$v5(a8ityxNew!n?PC8RDgv0md;+Y!UAg)+D^DljN z?p88w+Bykc^3PTMZHpzr=%@dkT-fdJ)gS*d-EqXr4ZoG|AM){|{?vz^Tjn*Ywye!G z95YngqNRZ(6>S0n-!c!I3NF;U`<@-9&@gR>=vt&HB<0Tnd$O zjQ|Mic8%aj!7d3ye+?_9wl@DB*VVjG9qeOh5n{cyHMx)K6&?oZSR;%_R*{hNjMee? zOTx;`+h!A?1daYagE98U6Ep|o6_V*+VDpWz6%Q1-rpFIt6L}AB*pQH@6(@_oSXU-m znpfu~V1nl@K!tl1HQzS|fAaULfxdCJKx9=?%y&sY1H$LL6gi!5j{<1^4TvUK7-(^YM?!l} zOoy=)bqca_<*)(hBIiWyAQ@zuee%TQg+b+AveRsZukm>qB-La%{31zm4TWT$z?>30gi^tnB;O_r|xMLM?! zWT$g{7)ncJGuBb$7M#aEVW8Tku&UTe?)`>hzb1tNE4i?AV4|4A+nN zS*&xX;pBcNGG;PV0A_ZI`Hxpfvi8rLnQ&2W68sh@g#-elMM?9HWP!K55m|+-vyIq* zC-apUXmZZzD+-@9v^O)8#`75=@s4C2chTX}FsUPD>0y$=3Z>Hg9pMjimO8cBEJF}8 z*|=l~GB*G;7LZ9C$0ds=w<)&ln9~%`)Xgjy;{WmD7u2@vnfedRX$CMA%`2YO@wrEc&W1c2RXXqAek)fe2ZCZQR zS}UHdEOiz)LPNEvXP=WXj}feCC1c;r9Kf{VT(vY`d-uEc?yUB&zj3o+N}~O~xOI}n zl-O_L!=gWrTqk(uz+}lx%(R%ZQQ9wkv%T8~4ja6xXO#m%qIe>-tC^gC{yrXQoWOT^ z@Rh5=#LP-+T#IfdWBL~Tq%w%nVwjtz1pb@lW^EOjZ<(Qy4bdFQUd+qcti~vzbVcD0 zHnlJUZy-CixO-lE(nARY{NYY+K@foC^IqytorJvH}k~~Hb zNM0`Sf8zn`_vYa$A!&=dPs6AKg#2_IOcVBf+=8n}j!7<^1 zX=owJzS49LO-W}Kt*L2lw!dm4DI9hFP6jdqh)dAX{|HV_Q^RdjK6jr^CNe3Rk5xTN zch1yFH`fmm?w?$&C?q^E%)FQY?vHG)<1R>mHWP!DEt2NS1CcG7czKfm6>^eKK-2@+ zO2)6{!wuUKj%x2WMv?z!Vks-i|C56KB4n+9{hNXXFtH4ExhF&xi77g*->@)fU%7i_ zEgQ(nk(qdW1<%8>lVF1>>wp>OP+&Y{;YtDpJ+kKss`k0Ctgr1;vie8${BasPM#Yg~ z2JG5d-u3YoCFGa@CK}e4N!{m&P}tq9mqJP50wxzF2HjH>fExmxYi~_J9x*ZwF;g>3 z6p`a90(uk!LLOm|KKl)E`z;uHr^yqBn<46SKiVJUF9t8x;Qh=unB69A^+4jkJ3bM+ z?$1h4eRl12eF7Y`EsRm8`BvV5pxG_(b{(Xjo#nYFQ!i0f*o_2&sO@?R1C_~S7~ql* z>7lxZU&d(i6)d`_YDZx52!!68UNanDFEI4R{b1nq4|PQ>FgAh;kewydXNdu%LE+JR z5Wgo5e}S!rYyV*)+tsJ=A*4a8U2k6w>$K-F^&WHGM;Qv~o{2-~@4KZ-IL3Q>fO^F_5d_Teg zr0zYm`d72)sRi46^naL_Q-B`SI*wB?RN#_vfYp<{SpTO`SrWs$=)po`G{orYc|%)U zlm$cnuv<}X!ItT``LCW{ z#goM#Hpap4F9$I2mFvKNH3pEkVfgF+AD)(xKadqQUKO};Q#nJg6)vQuA2x>4PB9yx zf1Y^+*OD(XSf>6fM$EKD90%y0;M@O`mq7gOVtI-&az7p{TR*-HMZt`976`4-ih_*? zdPmK;3soaHXyB?ZNiUl*SDdH%YhV-&0J{0Qk8LZA_Umf@it*e5?z%ieH4d&Z&af$w zw6~m;B#9JI;cV-RVn(p;L-+^b{Qu{%;NfMsG1JgsOtxWT814RH|GLJlG2pr;8zZPd zb@xt*gg~aJr&X9()s>@FNAzc>Of)aBuPw;0EnhS^AXYSEYIN;%j0wKuaNiT*-7&DY zfWXcTU{-Sh6l>#1qn*qe2l=VW5<+-m+0(b=WGlzzZX*deprxDM5nmeq7096*2Oofe z1~p_)j~!DhB2B>QZW!hSbnHZ_lMksi02wNYOFX|V86^-WE;WITmnW-;jW1Zw%$XZ} zXKAnfCqwu*HdVz(FbGk&7*I_L7|}I33*oH2J-P;P-eK1WJ)U{RTTk}Eh|Dyb{>>_c zWS5-${n^a~F@CZa*9JjlDWY+`tDDR8&c2pNxJP2VL!B503@Y@X&A06#nLKKwJGQVu z?~)UYw7`yPCa`l^b5wiPqcLV!3jZ-_y3^ufoDAQ)7x>rppMqgE7WZmP3uA)3Mi^`q z)f;&s+-$K^q$tpl-(#oXP@z4@W2f#=p%XLfw_-EUapWY8^*W6(9yI<1`g)yYC=VLn z08sO`C3|k<_E5*1IP3dU>6FK}!6Mi^5pv3TT(9Wa2hEvE%up$oWi_HIoAyoM)@RLP09CZ%2% z2!1iL3dDf5Z!2cll?wXWasLYH4YU?~?PRUlujM{gdKCh)ip)L{^=&9nX+i$w@a922 zJlz+#r+cy=B9(L0n89y_0^WRoR_s4-e#63vwx_I6IGo)t#cG#|r{1eLTQHo>D#>b= zqrFq>ZA@-r*XvL$l|xWwBA@n?S9LO1e90W=7wsNyNLOv5tnU;9rn1rXh*YgwvZ+>M zj4J(~pYFq?GZN&n+Du`0aiW7Y<@Y?5q~nrN!wOS=Ff1~`*K$m?EC!HW>H;^F0?YYs zf0@fn$$Y7HduNTwE7eR@=Nsx(3ECTBoW#_CG=e;R%S*s5(Ix0Q;iFV*%%d}iv^3bV zEJA>eR_^e-y@C=`2&w~gsxzB&88w~HM}91aZ3wW<++sw3{mw69jQGG3tY5y z$((y=os)O{-zSMvuy6)(LXT8u1?_;F?{XOAg5T6hUr$@EfvG#Zis_PxeUMs6tyxvC zly|{xJc_ogqA}LYWz{Ga$LZ6=Shf;-NGA5xDx3i_f^+>^jLKrKCJb|)&)>wOoOaTVMA4N9{W2k~9d?_9g)9Hh?DZNYSzpj>W$^vD2$ z{>p+5SowmdXCz%jwfPo`8quC>4wNpyUK3hSjLs*mL#i^k-#=NkwLcM_wyCE1>~|op zn!1wOPwZE!E|uWb9e@VS?VpD$8gLIU#kTF9hilqe>I7-c-3FK25*`v>J^I$$g^3Ke z2G!klj0C5N)}2M0y!Q(khUbFpZX&s!g8!G0nmAJCX;WLfhpT&Vc(!PNJu0O+eRz)2 zr@f=5dyf8`a?%4RGMNN4=xIyo^kUbqL3mB~JikP4F`W+I;&5aZ+v{ZPs0Z*V{cu+G zv;RZaJ3z_RbPc1Ev2A5+8$HNmkg<`mGPa$uZQHhO+qP}zcAocr{{Mb=eRr+R+EsO` zd!4R2UA6ZWOnNkmG;9hQAymyIFBHrq{T0?w^%)Fq(0Nf@ zeFItezAynpzmXvZysA+%W0!lwsI+BC+Ryu%_9!#eZtL0+Tbpzjd?3J6VEHyw%oUMI+Q{Xt2B4L0@a4GG zBezakuVU7(b}bpi*u`V{@IWPMML7sHs1hl;BMU9afP2nfh23?9Z|);wTpQbsX{%=1v9Pmj*dY!bjCW3q&hzaJy5%cTJ6CT zxvkCU*XfghzK**-Xs2!Xt&HOoWq1Iuns5;QOfXK*1X|L#Z>GVfS{4)W@vS!kk&|m3 z7PsJO=Gk6=v#LyUUYnq69>=yM%u*J(f|6N?lj!Nt@ADf=+0)TsM2lpmDoucM>#-{8 z^cqp1a-ehAGU7#GdE$1;b;ET+q zuYF8gVOl1k38m6vm?6q=!$B#>4K>?*zs+01>D-ky^fTgin&rC0UErm^@R!T$c5^Ya z6UNz}&3`Sec6hou>#+l2%YsTARqF(WKLEXZQzj5zDBg@9uJ=TUdng8I-c9Hgh3y0@ zDEb|a#w*x{Az+~`rgItrepX+Bq_+A0g)n;>|D1GV_!O3THYiUa!c{r=jupz>5XeQm z5O}Z+TNg48kk_wK7-32&o!d$2+H|5_T-qdm_q2Hqk#-Wc8yk^OQgA!?Lk8J6*YJRDW!Un_Ldd{oWO-c)YZxf;T9NLWFK_>+4CoC zI4B$H9#ywXo8$MlEmPvsu9EcRq{4~%3&BySG-mj-3GBcJBltcy)sT}{6sxlqXenJpCVJ<#B0>y1 z{T7LyrldrD-4_jhX&(mN3!AcAEgd8TXvG}ek3J;pSv#3Lm(h=iCsBy38OS= z38CbU?My4h7>3|mCTgV*z)`KS8$Z#soX!GP1(O3pF%4TkMn2EXo{XLaBy=o*qeUvp z1)mHx(oeY8Hu^`C3>P9Q%+NQI9j+f__)sDKdqlKfBK^jJwx3*xEN250fEDiYqM}n3 zT%w$QSBqYCRycDlHBEDp9C~upRfT_G|dLNt6@<{%Z2)(%aECSX@tY85mB)4*+Z^Titqlr zcgYaiKjFzcL|&h zcZR2sBmdv*`iGcTAk4mTGWG%SDKhe`!Vf<%P`yVOZ$ngE;a{=bCdhbx+R7X2e?D(s zxxY$@8S>oHIJBvC14G7|?6HmKKu&PF5^!}M)q4U~0^~B9khgo!g|hLJR0yQhxOEAn zsIb~COsVj6Y}hF{tL*H!!z>Vm87=uuQ6sd`5h zfP2yFtg=5#qoK=$NG#BE-_870?VOpq@39kO6k5hc6>#o+w?@^fein8%m*aAcjbbfg z*r=&$iw0?0D&jpRrm1w?g$`;Jeli^(Q8NvWVB93=0VVe@oRp{58~P%)ca6_#t_5Su~WBYH+2>zr`j(Fmp{E2 z(z-quK<;fBzXa3OVt#VvfC$6B_8j73pL`DjmHP=Ur~_>Q@&e)X*{K6oEW0deKyZR* z42n#BENKp;&q>*4f)b-!nizs*il0noV8eqqPcnFd9Fe~<+P*9U)UzADH3lC3yzS-M zKR)^@Z3RNOK~JPGeRKm3q@3sA)1w2cW|A>CPShYVzMU;VDij$n4usWfGHIt++|2wDnc5@@E6oDLN8<_mH3*9t$z+#@1Jb#J z7+xD!ctzYbtq-pHDEqhO(yuS>iDT=MRo|?8NnUC;g?6LNmQISaU#)yaGu^z}y!bb2 zE7+&LSG5x@SLqTuY5Y+wLaU6}Nv&OKh`C3(*LXkEsmN z+>S1IYY>#h<00GW7_{Z^Y#FrW_}rm~@>8G|N(pp~!rr$gsk?SGy<0*5fu#GOLPn;2 zVI-u9hx>-_rTqUYDwLF7j4s@xhHvDIYmBZdUL#|VlG=?rx3wR!Nf$}&Y<45Gq^dCa zF=gPh!^)th`!qfU!ehhaj1ii*DwGp~Y!@D31&z7NQY29j3QrUpxr=`xpsvkc??(&QVJ^VlU9UOjJX zSIsHZJdw65VI2vSR^X0{*N>%53mKqMpwc$8kJW%x2$rwZ5KZ|$aLclqRj^zaUi;E^ zhmbukD0*6_G)ctkm^jEe#(-|98A26YdM_2ir=~-#l6avLF3Aip=B_mz}NuKI&)3=YuGZN$@fQF>H#9S z=T6CtFMi1vld@$=5teB(WVd(r3VL};(?m}+FOSK_~M{E8kV?wF^7 zAjqGDTClb&Gl{pvJ7~oI?N&;yv^qs4wo27hF51#VLY`$t$?d)W2w~Z5!UN#z+zdTZ6o3DGanEP?3^;mzcKc)F_IdB%F_gx_7!@@XCxYbd<>o@& zV*RzV`h<99UIUJv1=OfSp4rLt$7o@MIuKK>R*T`RSFuk-*5>{6^t$JMdU$xY5=1@) zF?VWeSHI2KIC^x!uJ>VC?xK-r3hok9EcTlu_?D&%Qa7nk(>>p+w2QY7=avbF$kVp$ z!|0BUy{I^<9~OWJ3NzDzVqmZ%1}}SrflR2c!c~9KH3%EH{DLh(IU2Tz-m&Y;$Illd zOZ0>mJ=zwXW|Dby%KZxu6;q$e4nREf(DqcdH1y`(85lr;&m|J5SN~JxPW6C z$T}NtoS)LK*4f6bX~Dspx=Eu1FS>coYJw}tm&pl6@Lxp897MH#B!s5b|uyxE)4uDbgIm-I-- zAWWd$2YN9mtT;qf7%4ABkeAFO%3LGwvfD=7H*af@u?wZ+>f=jz z5DVH+{GCGAv0t;oSJI!b%4)FNp(ohR86Nx;NPLq?e`~Ip^jIE(WYJo0JmT>=SLnL8 zR?yMv`gNnA!|9G#F6l9zr&`*jo>L0=8ByJTvruf-aqaSjk^ajR5wqxQOslq@Cjunh zIaqIdB%&V4jtn{(F2pCVLMLw0iaUKDpqP-O&kGzjWvGcy5%P_nqNlK%LE5 zM!N0F3Q>QF6L6Ebe|a9SQ6!4mv=LEY$J16r6tWs2V!CfdCqe3LAfI{?&UQp=XYVxn zutGgm<;lZaa5V8eo+#OdR{jZ}I`8vSSH&q)`j ztcW^|z0-5M$;NhD0Plf~(`cR8uuCW6l#|Zh=X(6y$}2o!m1j$#q6*`Z`dNN{(A(J3 zP|zIfSEiHJsk=7A1;+6#{z0!V#?S6A6v$*T)}Y@|{|YPI5j#Iu^`=iCL0o^fPacJ`~vn^mY5XFz=!#lQl>M|F5k)Y;s_ zje{Fk3QTVob5H#v8en6+tBY@zk*mk>ib0k(3Ljv1KRiNe{MSWmkhF=gKP94 z9>%c55iK6Zi7L#A`|h`6*pjy_gRve063K1Ug;t?8X}OF+@Q|tKJtY#-;@V~sw|vqg za@yCoN^#i-D&^S+B%;$SY8drwBr9wAQneUxP~rsXr#WpRkLf(RabROEzb^EADBLMG9+reDd&OV}QJrHBSJ@{ia{^#pI$#onv3!Bkc$NdH5=I2 zh>`7Kv*)nR1XL%BFL5NU)<^G=kd?#HI@ibZQfLv4&cB(Qc+$Bo?QgT2so@qJV8;d* z_iJWg+64>cf=&b?$je3(O`K|{XH;fYd}Gr1U<1M$%an@o_$E>E#W$ujKix;jo_!Pj z&{UICH@8jzUl*X0@N1^9QdTm^hON@{4}}^o!|V_^Zspz>v_c$9#z&6hDl?6Y&BlAh z3{@3q(Mj=V3zCOB$XG9jINy2(3psG~ei|dDz9-u|;B|fv; zBN(Xu&=NekDFn&0RB1(37}dn1A}aGI#BrYR)QN5 z2$8>~nMG$K3-$V zjpEe(!xaq`$aK2|2Am`@Q{kDclA+QqpjC~&cj01IO$g!_Ms=xvu`L);i!`(JPoN+z zzn8hb9Sg%9WVuDc?$*zydrt#tMeig4jh(XiS89d*{|9_R3*@m4|t z;{L4g2fIJ%f>dDoyLlyaV8GDWJ91FM>=dpbw#w+zisQ~rGDW{$fkP!~?{L3Kbk6LF z#<$@K%usgF8y0AIHK?&qU#;`#O+|jdmmMnE2G;*YfX>%Xi<^loa4M)CBEQ zQz?v+5{->P2T71E+l9FW^*9@%Dvwe&}Gp|y|{sX+g&b0j5L0Vej89FL#*@sAK@EgiktZU zRJDTp`hjs7tiR!Q*wd z*OiKK)@wz^D^Fe@Z3merEzVn`sIiKhIIv)jri1L`GtVB#JTC%~)!Q?RtEBMecMdmC zALedui6Z%bF%Uw6!7(>P;i?jwd@$|tL1^#PKZTpps%RHCDURapI7z%Hf&mFD zwA1LF2HBO`8uh`t81Ej(UqkIVq>j_D{-MzWX)r+gc%L{(+ z>E&STiExjW)%$MJulMSuBgpqFkObPEx_ey|?lcpBxn}R6ka#XaDoXpU;6Eg+s35|| zuKEm#WvD`LSOJ|En9a4qU4PK-fOu7Q8mQsfhsO*uGyvGuzuXhW>ZV*bs>DK*7RS&t zo1zjQRzfi^;KzlM_hg;;EzO(BPkuFu!*@G#EDVPf0HNdM?&$vJhF7@IjEDR6u9W05 z5SGcR5Qs6b$cZgQ)=A>gk>SVrte}+OkXs4z|t;ar3 z@0z1L9!*9_^myKfC$-c(6ofR{31MY4b_A*Q^MND-j-(Kr#a@XR^0yM@jRUHd4NKCK zWrgZ)Gz&I^t?L|T4JPN){E?P-g^%*pmYZWOo|;WjX^%A+d!_WJr4`4eKmkbq0g2=G zisRP*39o7oLN4%UiHF?%ZH`&&hms1)vIci-?6UTE^;Vf26LU4FjG>BrjkD46N`56V zfl|3{X8Acf_r;mGric-2L4|=uig`RV^$k#z8hq^R(JMd`f?@rF;sS-bz6yPzSdZ1(kk(r>qmft?*zfUDH^Tlq zs!YloK-f`(%VzLVh0A7$G4a<4*@?R7sKfmH;xb0+^2$sa^1vdAo}Cf}FBdb(5*~WM zO;Y>qTq7VrUct8BQ%=eA!=trg*g2`5zc^EvvSM|pl*r2PO$`CctvnEHfd-uoE?_G6 zyU~nZ-SPBKhi5u?{lte5#5Ca`ncO}~;w`fMyz5+7%-aZ|Px(WvgQ(n3`BBOPtcx_^ zw|}f@i`*>y9U_A?1TT2rk;tB5;d_0~TO@nx7XQ}YLjHIY519*MMgHk z7ihhG$HZxRkbDt&^Ew=Uj(iMvZbQcm5JSP-=*ceqCKil{JmI4ikw{ei%^7*3=a=81 zVEs4y>D6pjVZAlTWez(raUJK#&f$n(?8XjJg2{G*+riy1KCGa3G=-4P(Bom*xZ|I` z>M}lt^)z0sv^$p@`eG0E1fREe&Pj4Hgq3E8txP$~Gz&SeZ;lyx{=!KLA4qJFsq=^QXd+6pFbSaV-Qf@!0TO4EaTy|QBK(@DP;sG*ZLPVj+e&s5FRn> zET}@Q)O2tUYtPT|M;_S1r)$)VJxbzgT#xu}vOuV(#mz`2}2*Fa*cgY4v53Uw{mD6*?NPR?|Y})qjM)9d0$MKQm+VaH%XV~$8yF_j-AN0Q-8q`ouXh z7Z%p30tePQYV}czMSQ%7mXrb(?y7O0-{xqxEzAzM$k$5cA{9IZ>ZlPiv>|o*1Yz|I z)**n}Va#9Ryxg;>#^St=`O@v=7lx9&E3=c4_5D9*Rg5lfRXS*kw0FzhR5RSrtW8aS znVSx%_aYjiEKxw2L#u+m!O%$~$Q#D7{?bnOlTKw(?OT`C9mN-G8%bed{JudUol@3w zNy+ppvTtKwDkbTQbzI^2Li7__GRx36YrDenvFfIgFOaZh_kPFT*w2ymWW(*M6bAb^ z@YUwm4ar92fW^*9BiR3fK%i!U6z{VzA%btMAH5uhMsRLWrM&klW@?T;+LqvtKxBC;miLY zM5^s8L;efRKLSjvdLd}W@v7pChvJg#??qpaBOG26G}7)x-Ei^Ae^o>7ma^mDG%EI-1BFQ> z;E@^V2Al)P=BC=`!7OefQd*AiH8nEiA0+y)MZuLqLCdH`!Nv5H-&B8o7x;#4T^avo z#rwFy^4cK$tpk0HRpH(&0-Y%H>> zlGPf+X?x^$y0AZAU0iOcs4?d@Sh5=|RuB-W3a^wIDxO2Gn^A-&(nfk}Ke%^mZ8-vq z#nO|Yi0s7(D#&VOV-nvg^8;k(bG>`kEe=z;-Y_&X&BOC?KzEa1yZhqnnb6-OO;ahZu z{hL`6OaHA=Nxh}7q1NeoSEN(dGzVg=$w-?!L59~#JEDw6Q&rwZ<_B#owOyNPn~d9z z?vl7h{qXHl%tE5~{AV3WgQ-gX$t>TC_|2{w6!>LoGs*?Penl>JgU&+k|%3-4pt z9MbkR_DiDH_t`)}PGqg`=$jX~dbG1u!O-Z9mj0HKT_hxO%Z*ugUJ0x`xIR_1yQ_bGFtqVs@vjKPx7A;dZo&3}1&YDr z{rWSpsmoRcFXX{-J<=VK`02q(c7nvyY`np{2WJ7&beZ(?X}CPi-lGd1|CV5!*JZJQ zuah{4#byp!ufgYPJ_kOLg)Zi~mVhR>L8+se3W_SIiI0 zdqK%Tef7xCm(9!g5%5IJpwA4J;Sb(sdgul0s0@vGH>_%tBf&2FHRl#SUAsiK zg$RhGCUg`=Nws+L3p>`neLB;!H6q|dj>nk$Ptn=He!0hf4AdBNy1hLTZ-5!{D~wdj zE9Yp9+V3~#JL$>sqx+2%&V8-T+IsB!zfDkYlhesB)(~EV5SX|)s*qEY5GTw-4+li= zX!2cMXWOkzwWryt98!}6C(QE>Mdoy#D}|r!@&+7i@Wm$CGp3mw=g{NZ`IKzdP4ptdq*vniYm=)hz}tmGf-6a`>tEY|B>^Gh;2_qqyH(7E6Rac=DZaE`v)8(5Ph+AzXHLv|I&{>*iYe!zy&e4o#8XcuV1keZ@ z3gh8u;RdtV0d5Zr?2?>r=qOn;^|{Q)ej7cd@i=!{UA}m(F$Wkdfec-`5Vr9Y1XtgZ z`?R(E?l`dg!cSY-thlp_m(V|>Cwn;jrJul9~PxKk*YQ z#+DP;yJ%&xq5Uw~eyMNnzB{q=`HldAZ$`B(_x(!{E-cqPas!-MF!Wru72jxmgT~yV zm9$11yXD0qP{BAg9871nn!wpx{13xim1E+83u?r$G12()UxtJmV*W`+-4-8|baXz; z=XQNE13Zt-^lT-487ykz@3=eZkc{eFqzb(=(J{}fVh`o!TV28(Xd%2XkI*-ddTbEA zX%{OSxuU45BHT@IOW>4FbB`+6v{U2U&*`*NW1rjFKhPI5JQWRc+!Htz22z<*p`d|K zDXsmw=KX&C64Wq_c(w9~etl`9I=i3i9U%jSF=|WY!>I(AND#TjE`3!-xbDGH21qfc z=fo@#e8Pn_LBnj1$SH$_Br}0J6Ks!VDUS_C&kJ;^I$YB6*#c-0Vxsyt!$0TNHT6py5^b(f5=n|GH zK(qy7I1r0~*bBrB^wC8U#%C!+*_wX&r7yRQBpEY$!UQRge6z=G=`+sUqH?jOTL%t+ zn$1i)4%0OPdL+8hOJ((IwRp>ldXE~aHFeV*LwPet$ERC9qx()lqj^L_qhUgNGn2%u zc7LAP*#M<57}g;ZlsJ)b%$mmFh;Px1J^thjFLXbm5p?y9Q7&d%7Z*kiA?rvNa(P)B ze-?{48_feHvzZK>hGT|DeU`!qpO8Gc3NJGWOI5=`d{DPG64t`QdnXYisfm+|(DCHd zxiyRw`8tRxXvt(V*;~%1K^0s5jBDa*nPU_+N(-2Bv|I2+m?Y3Me{~yR*<9PFFI1J#6ry=cF)3tk&!Xo-rIf3xj2o>q(Xiv zwM*5@_N8#xJ~N1N!0ZI@fqu2Lcw~iiiLm8nxI*j_pa9W~AC|e7c-vD%b42}>th~nq zw-oa3TQ9C=L6%)<0gMcM4yiCyFv-upb+B#%&?ewMwoODgj?WLprhkF5xCCt;Jp#d> zL^>n7Q09jsWqt|T>^zLAL=dwcQ`T`xp{$?0qLtA+TLun$17#p6z8~`$cgjOFWyL1O zH4ZlJceXD=9#OWb_e4O~f0OM%09(s956f*lur1u?8ST_gWEnK9{)k6J(GK){!F3bW z7iAhBJh!}UxZT9`i?_${E9Ujiu_>ERh)T@KuBC*Jdl3sNg2lV1q{BeUUPh}aKW=Tyaq=(cY zF8qT`9dF^=IqaFa34m0*OLfSr3UX^sdiJVfJI0W2Na^=ojKbR43E7l#9!YIIORB!_X(Ef;`Lrh)cm>hzNx2At%?yd!ejlrD zMM~6(Ni>24Y~RZT)J}9gfO-9@5kH6p?jKfpv!uqLR|ZdGWdj~2k><<_l5(9RUk*E+ zBU2L9A1om{nfjVAPWQH7aHS_HBd`7bo)R~OmL%z3lB+$T0;m1Pj=#AJ7xe`}^&F{0 z+-nI7Oopf=W+Lt5a~pmDks{jna6u;Y?^BsVDjhHNYiy$d6tK=$iJ46iLF(2H0Y~@W zr)TvVkRqxX=o^jjTAtvPMb6@-crc-5RxI`IyXb_hOWYBb@}~q!IrF3Jie_Pl>I_o^G8kG2L4%SJN8xbqw1zRappY9c7Gm&jF?DM-0WDHB~8K0rUSU zD6j(KEb{W4rDi<@N7Bh*mmrwnK%&uEmTP?qj^IBWrHUhma-aWo$* z&G=NfX_Xr%E9+tNbX!V_Jh8E9NoY3gFEgKB2EUrS-k$jb~NKkiFQuXn$T9`9R7d z3$Mr)=c1vW`1=dw7-dEa$^3A9RuKA3b|D3He)Dl#Y5kUSxLpSPx7t(eO3iA;!!hZh$?HB&;QR_ z<|z^b!rldkPEUnhIP2r!i|1Z_*8)|Zq6smi?e~#9;t9rMjxXhLLe{}}q0W^*yUijr zFhx&M2$WRh+&49{Q1$|(I7e^_>WzK%VjrcLq-HZ=0>xEoW46aRGMpZ#2IVbCr$AH% zXms>m!|NT-g!C6Tz(RgfK9Cz_hGHeVf@|v@{)&h^bSuK6#y%}L&fqDiE>6nb8tPCi zy6xwXnBn~;?qf4$ax!efDDHj%N}khK20TpsIr!KMBQ*=jV2JfF#9?*~KQ%3ykUrJ! zK0|8(_%7+G73C?*mPstxb+L!j+0a1(9(V62d3>fY(b zIr}+VTkY2V_Wtm=^D)70sSTJB!zKE*wd@VaO(QWZ2A_&On7dY=gJMpSIF>Q;$54RD#EqP@ETTilcZ z__pL&*)dko-zHM`!h_J1xPJ?fTfr&Lh#!HLU~t5)+LO<`mWnA@{dJ3$$a(+ZH?;R$ z3+G5rWAEhm{CqD=Q|J6yofbqpi>?IHrX+m*B!MFDc}kO*p_Er$bNY3#bVq7xz>yy0 zBp)JP<#|tpz8`cXCV?OH-UF~PBj)YPa+#^KwbAbG($TS8uF`&$7^6;n!nudQa`}K` zxPHbu+`MEAjLWU$W3ej6HCzv6A8rmYkG>I!|28YJsYgI?Q{+J(8!Fae}L! zs6X0dK~0~;i7xnw$(Q?l)HLqS4W%c?jGr7{UDywef;4#7CwF$!NXBE#EM^-s8;Tk) zZW~O-Eu|wnwT$hZJn!jw)9Mza@z^+w%iNFZt&bX~E(vKC+doRsvH56vj{2`}=9>9_@?l+!`{kpM?`EAHo_% z9L7&`=lB1>!`%4;com2gaA4+vDi$2Z=0+DLMi=+*t23*AVQzI>gxU|QV48C}1BV$A zzD)P?wnDmqSEj|7P0%jqa_xKE1J_jo z^guI}3`d6VJA4QmSrPrJ%E)m7Jr+h^C*gj6dpo(0-{A(w^~uoqo#$fvdWNJUVXXTu zaZamJ*eMGhUw1X1bY?q3Fw)d=mieik(^(A4mgLWd>mu?G44p|1x%Y{3$WV7ob~a1) z`k86<@9LG}W9&Y-4Vq}t+DlyKEk^Vo>PyXw?3IoY=0LH@w&FnDyk$|nG9uAD?_kxW zg+o19dVR7o`#(wU{w5Ceuu@_kY8yIYbTz+-L@E)xJbAtS^r;HfC9&H20!$f*(eUZVNDU4%M=n_DD9DOlZx1}8Ey&>}h+E4bwnbKzmM=U(s)}QR;d!ii%q4Uwfw{fj941UO z@FsqN(Y=DMY{BS|qoi}H*i=#BI(pfF)B>QM=PL16G{%4&1*?11M%w8hJm$SXbaJz~ zyhq+V@#&nq)Gaz#HEnTHudGNkFFRN@YiazyqDeV)aA3TDy=EG|)Dc6evFw5;S!Ay_ zcXTc~o_obp$7M#xWnahQF<~2>|eX*J+f02^}EaP zy`Q*27#%@2&jl}m^`Q2dFdCI()=q4si%Nv|op`3jAyeX`%;+Zt^7cQXo>Y;KBt?;@ z;)1*H@=34TDJHl!s9N2*@T+Dc#ro1ClY;st=9E`gO@|p}IH$ynexY?`(_%~D>7@U_ zQ%E8574U}s1R;3_3*JWh9}0Rq75p%iZz!0E6!4~ye=lGn`Uq< zrE(g`iv&nqnNmUNaI!HPj48pfCk|J}tY~7dLy!-)+Ex2)J2f#qB<{A`T{xxcmy?TM zh^*xKW%GEe_-GP8k_wy+xS!*Ysl24n-~_gAzwq zeq-DGHOHQtTZ9l2u}vSi_rVR3#{(v1txAOWD+i1oS+sQ*D+O6JcIv(psTVEK7`}=* zoGj&}0o^|a(b$Wx!hs!_@*7!flmx%8I{x_XkTrJS+-ba5);B-7`=+E5`** zCs(+dm=HGztOZkxG^-hkiUpU4$6t;LKSR@x$<9U*Pz7mA2IKDOO>!~eF-h1{JY~!i z4zD*qn!=b%jJY|&+fBhzv9F2U2CB0gF=X%TiY0h_}laP z8gM<}DgE6x_TZFcF7L~Szj0G7)`0uz$!|f+wdWTHq1_Y={ds z)Ub7F*ML1e2s8l;5bm9l;PBhL+Qt^@QP;ixHfuTt_WRHD)4p*)0$*N-5T(D-_wEC zXfhzV{<#e70aSzrSZ4t}JaEI`n&ujKTXk(5#9jltgdi;deuPmfJ0)OcqHxGhU>TU$ z#~pBp-PI8;vA|IV*e*-q$9(Qy+KDv1xjelLgz{ei_t@PebU71WMop`@a>YEHiF6S_L;s3`32rW>iOIvYWv*s>-a3z+y8cU_Qdkn zJ$k-$c)vVbz-T~5^N2=4@wf*fre82*$M4-0*lm2~FX=jYUjRD0CL4ePd;o(E{1;9B zDMT&<77{CKMc%a4RVD3`dJq$7=6qn8)*DiAr3VhtYzBs(S-XDCh;|!1G#r~EX zE{+x_t`n*^;(%SDF)WJqjIhZ|(+p>8!CqPFONm+35H7yKL@{d@@yE4B$r;oT9=7dY zI4~6Ev6p%8ZtCNyqxxO4X^`=gO&iTt&6ooJwC%y$3Czz&-!$qWegIERq?`?&Xc=4= z#sah&oa2icXc`Eek2FYPHwB2KE}A!aHB2{ZGUqAo4jyIF%;3ncdRsdj%XCfAzp4=x zngk8Tk39}-({)JFh&A1OTfFe&R>1dtyeUN9)^bjl=gqo4H@~1Oe%^B99gjaNdL2Gk z&bs5{3sXcOi(#G5W^u|^?+qw%2ejIVXAVTxV=m=$BJE%HYhYlj^_bPl;?pf?GPkGEr?u5e6htDy3ywISEGz!9gZcGA1s3;6%eqPGj* zSGX)O+R_S8iQs%vS)?{tE>~A9sLp9mG|P5bj(Aoop>oq21C9I@*w$KcUPki-M$sww#`| zf{+Y&tk`e?5oQ9wTy;#3sK6JB08e0AlXT}Kt1rlzFj6#ql?8XJ7z$msu_>Sv0Q`_+ z^>gd%MQmYMkfyucOb2AIqf=IqZ2^~toD;4&yeraGHPadAb+T2SZgCw2*+@tOrnIG8 zmLeEM6~(*t7|Q95xr-bJ&^k`d=*o`+=nc;4i=#Vkm%6JDsP~$)>-OUIvVko}v4@$G zsqPG>mo54KDyix2shUr0EtjUTz)%S02al&)>t*+*he6wPt!I^2)bfZrZU;lvhNb*i z5Ao8nH-VD3t}q=Ca@7Bay?<_w>v29PRiH(VEbCQm2TNB&HWMXz~JDJ#g z`un@@y3cdYsZ(`c!1KqoS6_Q~?dtBTuD$SCYh~jm^qrn&8aBD@9JAf1V!;QI$t3n) z)ir49=g};Kd56{1cCJ_MZCX(9tTqpw>}zTfxADKVQ!$S)0R$YJT~_wQ`-Z}QMWcS; zkiIer-|P9Ev^~!{-V|;pmH(12dS&|Ip_fC{ipOQgX0u|lP(M^|T$MS9Llf>5)HSTO z$dy-Fd!z0iTUKc+s9}&?ahA1mxBNG+kNWXl!*BUk+m2oz^9Qxkkj2;`RrSb1Trcm1 zn{eOq2V}%j4{Ssno$iw$*;?8SoV^|x+*Higsza}!v=RqNZzhmAYnQrl-I|o_B-qyO zz+nFH?tR+rMQC4dCOqtZedNKM|7U6Wn4`$njL0AEo&Z0o4O$lA1E>BaL2;Du zr2v5Vd0)RLpI`nUD&ISv`^cX(iod2=7+M>{>cP9pFm>H|Iq9e)A#@Ulp4`NJ?H9^+Y_&f^MGnbpM{a$ zA54!sn%H0Gj|&^0&;PO{AboD5Opqt0$~f`s5k^;*krqFDPUz1SDZTsU{rdU)?d2A| z*gpz|&WCKF88AJ|m$ZL1dR#<2b>n$3zXZBfv1vda=!R!}SuFAIpFUXuurId{oL?xy zjkZ>z9#Ukc6bVDc{;dog!Tk6-TgPX%)QkQzFp7FNC(XHw5zQ2~9oglubNR&514HEOEGLC{RQYa|Ew z1rIg(eH(2bAxU2!w};0s4Qjk$6=e@&~z%KC+-uowsYCjV=WEPl2& zyibIZN)((m+3V|oycpSYyvWd zo4~qdste5BR>5}X;Ccsi^7@&6W=Krgou)`OuY7>4n)cnW(I4`qF1AqxBiq!!fk^E3 zw?js+luaxUi)&$KU>nFcPtxzim;tCm+Zrl^0J_iVXaW=kl|f@{174yXe|awswJ9+M zwoEo27EWp(r@L?FpIc_7mwa)GLDc+i`+Fx#z>8{0A`VK>&m1X3MS^Y2i(2_?mY1KX^3ldVM`IMw#zg=?FNt8hnQu zL(G@(F(04Da`^W9wSmyyvsV{QA;;w^+eSLm&Qj4eW>!;q`RP#>qrJrdEBYNzcn@JU2RP!H9 znUy-$>@^T*!L3U0f+9EJqsv3Xck#6*8A4gO`YnZYUNve+Ff|p~y$CsrV!mbms({nv z)>edOL3*P*x8mj@r0G-x2s%6zrj_I6%a7ZKo-A0jnj>(DsvB6K4ZWBfC*o&V@27|N z*X{eOsSr|~fRT?-Pk_En-UYU%6Q>Qdbn#+R@QGsadqG>5*4Vp?zxCpiVvg$yH9ud@ z-CqoSF@|skI4W&`46#d(!d)u|`Qko1x%&w_d0Ll(j3g);I{#N#f=Wx((&~5zV5UsB z1-|-T%X9lOU(~-{k9C{_KX2g=u{H($o+Tu+to9y3h~uy030P7L*N41ui=QeQ1p<<;2qo!nz=X3$z;yoSv9qBTd4&0}O@DyTdQXJoNU;s%JiN02`l zmp7VS=m;j`j4cr`#Vb_SQ9XGMP8~-%HWEM^FwX#I0IF^m2YfeXpXSVac2cUKJ*y1+ zy7LWt4d2ZqB`3zl%`+~voA1U{zq6{rFUUno)udQqX(P+=n$Aw6AwZ=?B`JVu$`3tI z(g_N**d2k-9p8eTx_SrRcL<8U>gRRRYI&Q$Gwg(gSc5}|w=lv0R+c484CpW)f$Bv} zuJrQ8b$S+onCIBKdY3U1F7~N#hE-5oI%|oeY^-X~2$Sjn2o8+`cU+7j>1|iV8^ON3 z=W?Met@86)mgIDz4==NUdd3WVcML~ySwCj#t`_15&t?Zu zeuppL?P<2-X5>8~7pCP_>0(Gm+==jCE+G`f@JdK)d0Iy3xmZ^P%m><26W}MbQyD zt+p={qP7?ePJ#N^IA&&2DI+x{w6nT-2-T!XXxbuTfhm9X}njs zHH*w5wd)@?2jbOqcF}xg>ffNc+x??DQZ2zrB7UARuCWyqb zpd}G2LzX5Zm;vT!CknvVZeoN|i}$}%@Fm91yw~A%Zp8M>;=ms&zFbxc5zsY@@Q7zf zTQDz*5n-T3WCji_AQL1F6;`2PfK9}Zq5JS0TWnTj+G{xvQmA_cD~ym+sjW0S>IM`= z((8?|vARtT5It>-oyx^~sl7vN0_!GhFhIFq2)Km|oo9}mkx>uaJ~&D|2ojHfR&8X> zSlSgv30yK0xDMD?-NP$cb@OC}FoUhUsk!u!zS+($^4DY-{H8;5K;>FEql?v5(=Fz4 zXKB3GFYS)=U@K7RYUt}0y+SFXU$I^y%_9QX7U9yOgNoCr;9I`rz7;L{Nmf7A0PcsLktXER#v@>Fudj4l z1y`+`YrWBX2@ys^42RoB*^r782kIV6DBm()>4Ci{f;lk5 z?DU89@VDEIas{)*4dSou`El>)n}SVq!92F_-EImHgs(T(xyL_ZwNA}%*!sqeoL27T zYJ-tdL++83PxKc8h^LyOf+4z|_@y~%2}kffIcLR$2?cVU93MD$8h*F0)Ym!1kn&>H z_%3iD2-rDmkO1^!`b|eh8zPCP`u1mdop-?!!-jDah^LmJc?AgorX`8N00IJmcxO=k zG!FT~s+X7uO3#z(#Nd)kp5JFKm!^X{1+9ah@IG+Q5dVd-k@9IA9qth>XYYMtlenRg zlauvY%AvaWrSlzMOE!X~Uuzmp>ZIl}c_lLFH+Y3~O-9-c#b`>dGml29R|5yz!I>SFdVhc$zP?NEKH3mn z0O=U24sw*z@2oijoOi*6>kW_2oZIF^kL$B1+@)n5M@^`hN_SU>WSenETk8}+$j#B+ z9i%EzB_~V0B(<@6#$`+yvVPIa0jx#W99L=AnlnJ-2|)GKo1TNSe-{ICbC&n9tN4W< zQuZ`a0z7o=(?f8oC)odd#S<)a%Q)*8o3>6|4wX(?xsQYny9BhH=sI|IyTlAPX91A_ zYo>?M+`s;V4RXc)r^ZG0>?J*|4*!FXDq`ZpX_b`xpvVv5HRr*sze#qo)h|egR;^iH zvVNcW@q8n4{4YuNHGreTiuI(|e{Dh?MH&TLs?*fP0Y^W+lzBhSL)ZwCT% zEotFhRD8sv&I7^eD+Yw$4P$v%=k!Iu&NFbT2&p{h?El`)Tsb;!aK*>xZe!V4VT}q z@@E8E`H1isF@j9BI&QL`#KAg|=5t(+ddieMsjFMBaL)?X!$OL$ z(`^ zR#14OiGLvuDJkBlslBJXXBibfSE#)&z_;qJ+U%9PB@}N@lA|=h@)`YOc|?o~tzfo2HH7hjMfr(X)C1_SSFVYx%bZ;8{|JJeTAOW+}YKy-)GoT5rn)e`7EeD zxh{pkRi@Y&K+*DeBg5RwxlRG|Z%$(XiKZ$jkNcIK6Ihb%z@Mxkmft!5&S2n2z0YlL z|CDfZGa~?|I2$tYE=Dho$$TeWiKN)R(ZKK_LwU;Jls*{w*Fz9q`Xu3ptvGj0gXa{U z0yT|C5n=Gy!9C4KmX!X98uL9q?rRJpp`j8c^DIvxltTM;+52zmB-QQ;YAbf?7d3-0 zYSW~?FU$WDBJr>#wvLr+wOr8z%jqEQnVd8IJ#|gz(OheQM1{+#!h=t7g2c?Wu<$m^ z_LlJ1Y@Izm&TMxYjfe7er`bO>O_tN5X||HR_h-1y#I_nb>d&jX@ES=U{|d+B6CDOk-)d_ZBG@^IB>O~> z!_Tgfq7Bs$LOm0ypkByJTgB1NUXiBgxCHYnMxy$>oy3UF*Tg9}_?g-QXy@P8F@!koK zYpa+;LveeF zB4T_b-FH&bqoUddBxKdhsAx;40mmgPtDv>jWR(RRZ5F1p30kIpDxVK+dAyo^P^_b5 zxJ??u_Wz){DE8>A!?T4mU}L~bzHvi5(|{7!5ovKMW^dy-)Y{CR+n7$-u69gn&xPj; z0M&N(p=cH&pqVjE023kFJM82*?VyU+|D2&Qmq~Y-81qSAfJDpTXfxzTd zf#naznphlVR4bn6(mvm7fITsC3|TrXo)~ky!H0_Ev`OT_n&><*fPWTnb=Q>J0Z3bx z7buvtEmmo9L~z?1NmtXfLhDqpLQ^eu5>;odG~$J0pjGe~#Jn|1lu_M*I;2mJ@1l_c zB+(2jdaT9SLBGpy_}XicS$%BJLa%t}{bkn@_I>qihj%@??TC9^WQsq$E2<7kBv)pw zghCjO6&+|tr^VxefZmrXIQ_%Fgi{nU{q&%|v00FGIDQ{XgrYlElJv#@GwoNR?Ci!< zI^EVI{q^v?6W!Lt) zA;<9B`+OI^-qCdB3F9WxkFNEMLOKek)(YuGdk3l9PMbL-ECWbDku6zT=$Q=tFJ-%} zaoBB08dXH4)GE2_?i}vw=GnU&&enp_ruz~i%T*hEMK^J+6=KAcV;pmY(z-ETaLD&M z4t0p2q?s=XUd)NnrrQwf?ODT_efKxyifL__c+8}x$2RLK8I$@+DbcU_!7m=4{puP7 zzXhISgVrJU{w=DNp1Q;{1?A#L)&3)0R&KYDX;>xAs<~blDj`;%n-B`*)az&)+O3jyy0-Wk6h^kWD8*<`uBkAHK z@btsXqC+dtv?;`IaVr<|(Xw#?+8NF)d8mI^@XJUn#LbMB&uxf0nHrNIA zw)6;HrA4433&onBGo|1(;c||A^S5}Xy!T>R_nDgE)IQ9HfT18)7abd?D)h;d0<_G& z3X=#_D->UFJb&LZs}@#&OaocqDkb-n$!t~{LbFr_L=9h+Xje<2sM(o1S|&fXMClLc z#0oVrvolU=GPSb8(FN;rcb%33pM0b>Mi0`*FohS(n^u*ET)HntLmniDQ7mmUezi{> zzp;?s(GCSvy1reK!6ZpW)x?4wJP8Ibd8Zf@KRNpoLX@2f_7%y~B(Y1|qRM4rwCDO2 zJu$mT?m3+HlG!aJbKWv%ae^rA`Po1&dPtKgoe^&LY8|IZ0tk+!oc)M##A@yRkX!xU z$N}A<T81zDCIeFRR7+Tx5= z!|Lq2jx(7~kxoGz!l1#(?=2tCwNt_5edJopndT=(!vkAgS0}|pKLobm{Pls?3;KCHmByvMma`lEaQ*iLrKm{A*;&lu}!t>G9W1Es*O0g41Cj?yd$G4gi zc5y#&-lHrVTy!ri;q@&~pmbqDNo-@dD4tl;=KH!vPVC*j;7|nI@*V&jWnt-s3ep1$ zIEbS#1}|JV)9WB1g7wp2Yo~f>1GN!H{rO{bp(J3249~o@@nO<-k0WcTSea4cB=>F9 zOx91(Vet(9&H?o`g0A`EfMUEP%Z3PobL2&J$dz1Z@nl8`mPjY1=xkZitrcVfQjikc zSdVQs(NF;NpcSEBelk{wn43ZIC7@u}s9IbNr@%6}L|NAuTMGq1-+{zPN)H1~o#(FJ z)lVLR`QCqD1&!*K%aQ~doJ>xEI(y0!7q+Bf)em(~Mmf$F@Z~8D!Q}Mrb^q=q;g7^b zczb7Qy)kjLThu8COowYGN5-1*!m5Om=z{xB`T~Gh2tacgbBFb=a-mF^h~$?hX`ozg zA<7bK3JJPsXZ}{S&mQ_1EHUy5Ae!>c+Gp>wzk5NOawd^Nlwf;U+3Z~F%f{v7FaPV! zFbE~*?((;`7HM#7AeEv6FxVPR-9T=ftv7a&!+)uQ-;@qjkL7lKmFy)T&pMC~}koTwzBH zigF|aLq)bGns+>^kcimaEoDn3Mg1-+I>Q*pn7ITIwz#*<7ZG#70C%B?Ck8K(h)|^1@dV@iSKnJqpM4}92?0WJR8V^%S~W4K#zk8j;>H!rK;2Elud*|Xn0I8u0fv6Dg5}Pc zk6KO4D;!ql?Et=rDPiR1j_=jW`LaUo!ueCAH7dqkRY6h7O$qg&PsL0>n85#&ouu*# z4<@Bp+(ca{4RY7#9<0cHkhsX5f%Jbx6G_p-Ag;u@GzVx<&ns|?O@O_fq%6>B81?Zu zx4?5OI^LV-qa%<~r^NwI3qvDo1D0lzlGpgCRN%10Fra1dpk5Z%!8tn%xhrCxE#u+K zbX-oACe!QP(?=ag6ZcK4#}&(bk4-YuO97u~D7|`8wv@=|B9=r|KxWa#ztASznEZ1a zvy9@hu8-LTs2S62r;hwmPG8K&C=f5zhCRkih##Qi)~YYEy3@w^>Qga5*%M=#qzlP* z3RE##XyInB4EV(rMXwQCB&Shq^}AA~8RIK05f1DIEz}BMqOE3_nE1Ny{@vj1b#A6_ z=AWIx*it8i`P=Q^juNs({Rvz*rVyOP?^Y^#Pv#NGuit)tvwQb@*+~*lkw*b`A3$zq zgNK8M1#ZFKGZ$1X3b^Oti-eX8E0I^+3{rCa%D8=s#>23|;I^QkQny<@0sgaMRq628 zz1*JH2;h85fP9sR|7v^PM_pBU2x8CihYrz0d%F4dqREY)FSgNa+`6UiHOu;*DC;V7*2(Us%>xM7rHd-5?fXER&WuJ*iWb4n(|1NHD;^Nz!{JKN;K_^HZcb~lwM@IB`g&)sD zDN|10`RlM;{8swg#_QTDAi7DGM!ba1;*B4A{(_nV)!aFP)!dUp`th%(?S@$W_3VX0 z`Yd!tyc)@vBUpS6miTQ=Nb5RhJqx_@ZMnaF+K?OT|M>;?d$z^AT&A&YZ?YeC=?(jN zPGnp;;NNXBnSws^gh!jzD)YZos`-6nZxV-5*8uUnF2Y;&crV$!n=rve4ZWnYP8<1K zbx#Y~JRd%oL!F)h8lU@I6GF;ohDakps0tjn9%l~uN))*?b>bAp3VvFhIcorO4b{8u z#t9|gblKgDkk*yRS*#qK8n`FjM487j?%qE`l8`z&*y&}zp!U+9{^*zn6w&foF5|HotAhPTz9Biv0dYo#^J%)Kq z1aHec4!bOQ@#C05hLDlEw8$fbfy&&}^Y-~PdM)jHMi%)g4E7Q@Moj&r;E=uTHyMYj z&GRwRoY;4J8gp0+C@nm1erKD+iilSXvTZyZE-V%TBk*~wHJm=Ox8Jg$)=KK0@3!#{ z$)&p(Zmine(dyiv=~{nK<%W3i^>DWea#6l1Z9No@-W4ESYQhJPe-Qxfq`}h9$34Y4 z^22K^u1i`A&2XLYc_J@BH~+RU=6!0y((Tss4O@1U)lYJc17Z}rTX!mWE%Xz)Y{^$lH(@P&)+JviLVv;-Ff2h?xWxG%I+g$NjT!pc2W9W6sHEIZDJL(?O3KrhWUubzzD72x zl=@;u#v|koUK}p(S;Ud3lomC;X!(d6Z{T@8o~!znwm%0lQFg<3hGB1E{U{OhXoF$r z{xsPJM%_Io@Qa?oRa}E*C#9sqq1GS53M?c63rm8M!td0`U!w2kX~)LGrqozp;Iky= zPQFRK({fP!;^gbCcb=og=W-jO1y62GxWNoMC?^9?rg^ECM50#OvYcc}Hh8x(uU*nS zACq;WWf}b$r`-Aez#Tm8I3xePnWPC|W$2Pk5=CY39r075OvYwm0Gr8P*~*FgHxwPR z+@hr#j`{V!_4}z+pY3hI{SXuJPD%NZu=^ z*StGzBR%8U?$N{_h?2uEO-}H{O_88AA;msybbTT`Sj8EAYqP4r7YWz{b(Lp3RdKw9Lb;sgLQq%GRVFN)BF|s> zy$RqDbOO@~SJBowWz&%hYY6>TSywvwGLZX56!7ggQWUn2fT&G2`dd;W0=6AY=b44y3ykf~XHxBM$)SEK`du(#GuYHPApTmI{)Rl&n+>%RVTMPJRKuX^GCMXU^Ev`Xmz)e<#rkN?Ae+ofF5<_ zIgkboWUp0yRAB&Nh)y`sr=B!!5eBv9t;_ls$1dd7=THoDm*CU+RofeZ9Ltk7b~il` zDJ#pP9fUD7AJ}swJzh>HXd5j$zbXBL9-UUKXs)uu%1=Td<_>*W*hzUe`+<`@T!S=H zgOqL1qZ1xpgA`9F)I!C8g-SD1Po;o`{a*okQ`wsj|A>V)tf_i`)H2=^>)?A*Tbn%c z2Fk7e&n3Z$9I)FIXY{4y9Rd@){oQ)Ko!F6c{9>>zFTYVzQf`tu3+}M<8zv+g(`Phs z_bYJGaHxsADqmu-Dql)4LdxPTiAX(7tzR+mK|NMv;0l0 zUX_paWg+e%f9!i>G%O(+|=%UjGfdA)K;!y&Pc&=hyb3(=IEsy7czjk+!G+ z&;yIWt8Ed{Q}4s8jSAjVuaVQP$7IE42<-=xZCEUXg&W0S8ri*G&ShJO^GfAGJr`M6 z4LF7MbbCV$ zC9{wMQ5sgH3ij{Nu|y=LAA{2snXEDg)4Cwwb(bH}YTh8wYJ3sUY6!{EYO-n3kW=N^ z{7 zc0386KVb5Hl=*e?oYV$6a^|Nc)AbG*>ME2z!}wa$W$qDP{H9|Z;#paDtWUStjp-3b zaTNSW06nFN)}4{U5!)d~UOz{uj^S5@51WF9w&kq)?{}RtpB}~Jh(z8Vo&7VX)9F<8 zCwdwSB#C61_J@Ya3RdZTyKjpZe&^l&+C$Z~T}2a|C%>Mfj+~($%mK8LY7IgZ-?t0R zMegkdS~0!9^v_+MoEI0r-15qW7H_Tw_a}b&XkmV7qMQ|xhKluyGH+d&{Zce($`DVz z*$hQHWI!Sx=fVHpte(FzVpH7{~8ge31@A2*GZ6+he91y2LBsUbZ#EaGT<;Mqbj)a|*5fvqE&FkAcM?ANtYX@%_h z+6TQ$mM2T6A)U10puu?YX6A8nUEDsfP5!#H#i-I2q$wLoHOsIg_gXz@v?(8Qk=l0m z13D1DQmLwz^y4KLYXbSJwowqunxwEr?~+uQt(H7>exKrC)A1csn3=8aX~r4_9o=By za+pHO(008gy&BQ;03l^myB%`czdLU+laH#mr_ z;(#1h^F;Um&0#TugB+GjJ3o_@%*MJK4V(CX4l5Jnu<%XrK@Lmg*7+3gUV7>S-8mp; zgOuxO1o)BY{>2<{-{YDBiDBWT^Bp-M6|Szj0=y9e^?A+kk8o5nRuG{lY88HLzcA!E z2@(XRtPG2sy?%pS)}JgM7)+FgtynP&i1h(V7_$WHWEPltnoNK6a!pZ6NS?gxCM+(Z zj6D|girs#!0DM_w*wXUd`Y2{1l&P^jAd*ff|b^cr;s6<2#(2G@ozYEtE9D=COvSqen)Rc(v?vY>+12#3->X* z@d_v7ekF>5BE~tW>j5$xDs3!3&X{6U>o7$tbf=o0rgnTlOY*{EwX(X)sFGgkj=^hK z$E}J@7n?{(cRPZV$x#EF3FlGC4ZJPuA7Uq-Ftoj|c7py?Nvb}vJlU;r&Gq~_jU$); z+oynH_j0;Y*2W~FW&lov{)3tQpbb*d`Utc^;kT{In}16#+I?r6-rm=#k6}k)J--58 zN@6SLU)ttf-7~VxD^b*%6c&wb(kl3!r`R<->vc-ce7GjmduA;DKPXn)@c&S(C4+?n zVE0ZXvf_Ykt_iD^;^w`^PBqG!z`tY!h5Y6gjej*x=Ce2IX+As5T%u`^H>xd29mEd7s1_|j=`bwy zUe8Q8bIwfQcgsYZFrY3e0)_Vf4h~SsL8rDP-FBitY1o8Be{+`WrFcG_zHYCVaCi7} z4{N~Y{GiK`V7>Qv3zzei&qC_QI<)9ZpY1QHQCL(}>$0<9Ry~SSpL%Y?RotjqezHb6 zl7Ov`zvtCq2G)|bK2IGCB`LI_Toug8@5SL+i$;^i;Go-qg={fW&k-6~xKREtyefK* zQpsH<>!5;JE?IC<(mLt*g%TJbHjYUO=W{2zw z9*k=*W_08k6;L+6C5koWI1#F0sB+k>Ea9LbhJnxCXW8dhu3N*&tdb9Ryn9T z4Tvkoe=-Mn85I!F7L}raO#0bQM0nsCtDc7E>Fe<_Ch45?9fJGjgZXVzF)522o}zy9 z&yQa(e_~W74X+*39U1JXEPoAcsLY?fJmju9ixcy&6y9I^NG&2fLCoLf0XSAF+de!B z{-o_4Gkeuu79?Xl>qi``$ZqP!qWjV2Ai^ucX6a^pp?i6IL;PgIO<*Pl17aNeFy*F< zJ^VH_Sf129$1u{zHm~N6v4IGCt4{l5iw3UO1-KFN=1Joa%}^j(nfC=Yt`}U)*c|xu zddWAnoUWh0{3(khdcF7mb@}hsrMK5F^gB7nWbELkFJAyv4=N)k#LuG!PpT_l_%-81 zgV{feg2DfA3cWR>@p|$tPF+3)!~j#|VP>Dz#M1;dKN-kuS{kJ0nV^$60}*th&2(U&qknVyFe#tb7dtl5VQq!JRv|suqX^sR(ZTU-?(0`40%arU&%y zR->(Ak;6Hfe@D3BI_UOpCt-k{w1{r!q%3M(v*ryhnUJw3-%Qf}>3*`$X8lDVBGU?m z#?M4QbY`GKXih7GI1dL6Nh>MCLE)q)Y~BOU8eoKd!Vjf01jYti8!)Kk{|41uq5R4j zM+jdL589+zF$miIZS(IO4YE^e{AYwayvKFt&W031$T(P2kAyPUDm!P(lB^&G)+882 zOH;VizsX{if|dP&TfYa1Y@#a%HG z!mSTtTJGoUg^ETXrbW}Gz@=@TQ8Dzr>Hh&*{}0gm{{mV7FmEleJQkRpnh<{x5YPgE zfL5^i{{SsVQ?O5N7>~NW+YZ*C!D7#BunmoSER<=G(6Y>`BXMyD-^fySQWAA_$ET&t zDD&0?`h&}x_K~!92or9KU;hu$>MH#oqV@MT@7{kzOWK&Cun*;8a7Y7x*bDlXkW{C` zmGviaz;GQRLeu#F;#tq!1OUfk^pJVRPcx#azF-F~pDah%AqZ9jc%O9Nsie3(w`18_ zcu0dq&eei^tf%qO*hH!htvJzo=^g&#pO@h9P`^k9`UyK4;*QR5ExT#Rd%@!QDewy0 zeAG>75Yk{u;taldWd-2GMD=rI>}xo~7Fhe;%+BlkuBt?oy>x;hV?1MvUx~UqAKp+Y zzA@ryUeNxX-)IubSny?ic`~xNTupQ0eA>$6>LW?(ci2HUm34>+u0TUNMwxmyI`OFX zCz|qHEp`;%9=_*X_Iotmv;OQkr~}=?*O$_#fRIl&+Zg)<{?bm~g&sfc&<6q@3U5>> zqsZshe$TpALr57%NSR(p$vg~(cOy6tw1LM@OEPewgcK54Eai*~$C3FBft9v6e^})c z;!|KC2Vr~d!=ECcrczkL>|A76IN<>Wu) zX;D(FAK_@HMEh^FSIJj0%eo|&X$Nj(BZR1iCZ6_i-duZT@~$6X)uy`D4k;V5ZRl4b zeSJO7%YwolK8|s%isK@$qX|YwqRxX}gv^j-E$Uk`I`sOUY$nx9SVrBEcaQH#PgaKM z5&ZDe*@E!B8oxGKDIU+VpR#Lb&kO}5&MgQ<@5stK2wOIWfNAG#|KY6e10O-Sq6aH< zi6)#_!i~|hZtP+1^J0<1>xy6G-wR0IC_QQYDpU;=Zh@+fu2|S@CJaYEmuv%m-+|V| zy`7Er^gbEtQqQ=}i2suP^1#n(2&TXtK|Ljo@_?BBxSMG ziTO1$bNT@4PJ8H>yj4RQtMLcXtlYh_AA2Q>#f|A@J@hS`ZN)-)GoIf zHfR6(e00tG(buDto`xCMM6yX&fAuU0K?kxJ31UL*O*mzha-wx}QAuM>UQxn&W&v?Ym4fFAS!bJv6s-1eg%~Eo)*&PI;8eV6U@;L2 zAIv!b1!~Dv8m<~P7)=}1lDwW?6N*|&v>~HNdetauprkNB%QFo<9Jq0)YV4i z-feSyAd0fSYl6b&-+rRLpjE3h(%NrZ0RE392qF6`bE_g*o?KUITY#{8(Tf)Uqr$NL z+S(=g{(ipaTV?@#`U{787`6V9k{W)NQ->++Yog3t+ctAJX5>FFjJR3y+@&x(UDNz5 zwhVSKIr=dvLnml#qR<;2K&d*g8&=4p_E5`~~q`WMsE1p>VeS>N4M~yIdlj^08 z2jnfHoX6z2MbP%l5{eb-u>}k6n=J*GpJC3j=aNq=)0O~*BVB^ zfWuw>$&zk0SM^#HWXtBJRH)BP z^W<1*YiO-hx3O({BTIta0&Au5j#iTlBXJ%A3FOxi!`k@5Eq@@c=WL5K35x24DnAU9 zg5^kW&NblW%-T12S~&YQCCrt2&iNag&FW1LAUeT`hO{TkyM+k*MILqm#Zo|G7?JX0 z=OV>@>67kbizg2OZ7N&f z85^${z-SRv0RIGo zejAev%(0@r+ud=e!kfN~ccwxv9mX=9&EK^x$Iq~A=jSIjrwc|e_OMU=N3)6p`uPAX z8J~2^6+aTF51U~TYbGE=mJY$cpWlKN=6>eD?$fy|zsvo!`aO_T;IX$*p3BQ+^U_qX z1l#+s-}(XHR=^3|%dtO;CdB{m(O8MW#;Z>Q^=dUPw{fYZTzzVFnsoTZG4sxfA01y6{B91TA>E=NqMjczfu-LRPyDGhtNRJ!^8S=Y z_hygN?mQAzanK7{1F<2eS0qwKdWCE2+qCf-b`!iPnwaXL-c}zY`{>Uo?sw!Fi1*(R zG>{$gt; zbARRt(|Ue&;x&Pzlli=(NZ@mH@98w&vI(hu88V0!&8-;o9*e-a=~&`yasHzz=0NxM z9vvZH-{hq<8_986#cYgX1=H(&9!b!P{CCu+Wsaz7i#| z`Z9AIjj;(543```$t+L54CQ)G*_#CqHS0O|yP&!JR<>v9^QxC`K53Uu+d#%MI4+1^d;t%8mciSOI4!HtFZDSV=JQ4+60LWFvQ)D#cXG zPQ88^ApC~s#o_!pV*|`zA(O~WQ_XF!K4*Y5aheqE8msH?LTdg~*>4nG6qQQAi(m(9 zN^X;yCROuizFeb)pv6YFSlV=w(UPlNY12BUjr8i6l;s@WAKXlL7wwxt#63BLQb5fd z8ktG(2*Pf>D~mRw@_=q2!V&~$K%KS$m^x!KIQq4-Uz*M$N3*45l=b|@V0Ya{X2y?zwt}YI)I<2}Wce|W;ly(XxCOmx@rD)-Xx;icM zh%`SmTCj=gT|owl5XfZF%A+EfpNbVS3el$gh7E1JH=_Tossn-bs32)Pqs~bT1SO!O z0e?}Kn}p|S#HFg?16|z_IP@hV%Y+6`D$`N*PhG^>x?^Y=$RAARP_2I%K`M)938b>( zK`M)6m9ljQq_UDgDytkKF;Z2`tOz8sXt5=z<)HsxX)OGxgf%U@3W1G~GE@2Ls4FSJ z4QFeti(Ku~zWdT}BZ2OVdy5;cm7_4;oEh^~`-uI&7xUudSMC$wu|rlhUH!Zzvj|%l z=S7hD`h>4x`_7d}9+91933@r@XYM@E!i=rR=z2x8{fPRiz&pzpQ4eBKBeRtsBk zbUi|XdJS_?JE3#dvhp)FJ^0BLpSWNKmU@#a%#*v@LX<`NymA~gC3QqNno8(sYWkoy z8v1c;MfDhH%tid_S)mB(N`xZwJxZP)Oe`i$LYp=bT+Zljm0fpK%u~*{TsWNKKJZQ= z%eU0op3#GT7XJCi#_~Exr>OyCsXv`u>!*wZcE{|6tJCJ+n{p>YECkZwr#wI^>mNvE zt%KNBt83=0-7L*v{&@IP zPyZulRs45ZhfTsVcJjYO(#2JhQ{=P*A4L8tkCxESDuao_CVORnt-4X?PO1){hUEZx zmxsL*#I%D26gqj9r2d&-XbMBo%*xHQ{o_-{iGdKmU%k;;R88}!pUU&XZeNxF*EFoN z7!hzPL4=tITi`895^Q%7azUZStivE(0Ag`A|MhDU1om)%b-_V{_y=?V_?_V5 zj3;Zv?tHMeh)_nPV;m7=vo~tafJ}1z8oGdrd>Xq2;GQLZ9quP^xeKxS#`eP3zSGn+wBmo?(-iIBKhyz1{LwPL|9jAyZSUSlKTplOwLVPU>R^X~nGmx*bK=n?Wf zY$Md;;CHPJIr|w|!6-`qigV2bxud_;-E(RklUZfgalk=@@3l16Ddo=yt>2i8%A7(b z%wd==F^*^`P?ydI(__kK72e00L|f?aq`=-OUcO~V;+wNTbs|}=&T-`ck6HE$FMTl! za*2-|M7#QIBa&ZVkc!-!h>QLyU<9@WWtbsbv~BE0Up)`u>(97>f%bPG7_<3Em;APR zADPqrRQKpZF2R;5N2P+LM zg-|(Rlb>6HOiua1LMaUl8&vNuIvcYf{~QswPAUGDB;yCRfTC(F-*VH2cAkm7bzh8Ay5_EaxcBC1O4McvNxoMqUwy}aGU5?VM`F5E-ZG^oLFdyn=(0H z6hWpR%J^A9>T_S@5UYH6OrRL)ggjUbsHd7Sm3*9nPGYqe9tdgh3w--D{C{aH(qH%q zyie*3Lnl`#=9rC3sSCTC7Sv#&EpT?f%NnnM@9#9 za~^x6X3_tNGR-3HMtTi=om2#k4$#@X-EF*)Xykv_z%K=&ICym)y4IA55 zW23R{#%_|vwrv}|JN?do&wtK3_ujScTC<kxL0U^Mj%LP{BO9`{b zSl6cM`WQM`S7%#wJWPKTY8(n4yA?PCQHQ&nk%2tmi^f>6@0LQR;)vOnufs;ze zT)QpeQs=gYQ)>(zF0QiGYirY1%|mBb$$EV2(^i8zi%#vO3e8c4I}FB0ZotQ?zaJ&- zFmyPy7i!pAtO5m5&9{Htm~7}Wj$WT*`|!S$d*dQ6crsyEh3>L^jafAQrT<;k&`s~> z!Q(G~WW2}umlNk&km?0AF#b^Fw1`aZuS52HBfqjt7sr*Es()*>p3xsIrX`={;gNTC zi4WX1NA@mfI%hP9*C0_{re?u@_mUF+J~T-upZ$o2bcl{#UoAlp z5)Zt_%-iY4+-N%QyaadjxXe!J#vZlqPMk#$4w9!iniH#93Z2Fn3Qr`)9A%GM2byhQ zzs#W?NI^z97O0CTLB{|m&(!9*Wjj4~>0MW}lut@cN`Er#(Dxy>CaQ1hRF_3_@6b2A zuaDNZtY+QJE(%HiY&+v;Z?oNR$<<}w_`YB2qnp6rJo^;Vlpx;#9K@3D^cPt9y`Fgh z1xt40$o9pV;>YzROlNNWkjeU}W5L@c*PE988YE=fFBtj1b&4IAX4CTBU`tIWcS z`^<7@)VOH_-SQ#qJG+v1QV#yB!Yz$63z-qUAW=7Glqn`RKfzZpM*`q23y7~gJ*ZD7 z346*s7-!+a120vsxXpZI?;?kCn0?=wgt`2UI)su(F`P)WNM80RQ# z`3*+&b-c>)dq>HrIkOGMpEIx<=Axq+<6CBq;rOp3PdW`}8N25d)j7P0Y+LZZ--QJ^ z4x^dd$`FDXTF1rX3C22tI!k!fF+Qo>iAr8y$+It5^_;!8J)cz^Ct<>`+!OqYNByd6 z-?1=-A$?hf3r7{e3o%!s@hK=LjQ8E)-RXRwoCC^+yBt^-GIzvvCw1ql{{u9PYyLtY;yT{;mb!5)O8#||%rPt*2KP8iTL z0AzszpjRx|v^~!*2FOSH8zhi+`U^Sr)X!O9EO<#{BNcja7Wn)i{N^e69sKCBqhJq= z*XR4>x&tS{^p$7+eLSzYlb{;h2kLlqpu~OK-N~tQJ75T$@*#EAkky)2zr5FJQMFSq z_^76o4In9|Q4}DF$Tak}rG;ST%M6|GmHIGrR{O#*Ul#xIZb?F*!ac7=nZ4q$!a~_h z+r8a zoamW)Vow7|h8Ole;UGzOvd0YBNipi#h4E*tZ~RSFAnH$P9Q>JTs>Uu;7a<|7K1DrD zi%X#;o=;x>EztzyM|?$I4^Wa>me*7Ie~6Uys+FBD+piocwdnNX8?`93@O0yoC@8dW z)Z>$~D74aasH8vOO+wUt-Al;c~~Z5U~#b;~(pk_v!#ID*S6 zFO-dCQTCIB}Oxx!b7nSH2MAsE4R0`QayyDS&*!>15r+^kf$`d6c<#^&7fOI_>I7SQ>^P z?bE0`iTF9J`)P+Sp=$Z*^5$j49X76_o zyTOBHYpOuJgU9>(bO1)CVEXO1=|E}t`DD1X+pUyo61I$ep4zuA{lV@`Zf_sGb^V{? zDH9{A<^wYN!x3+JOTllHHlANqGiLI%GON5DHLo?stAqYLB`NZGk;K~020_dIY7U|$ zqwARubZVwl#nFOoDq`pQ7EoznZYARwL%EpFX`u&{$){@oWmE+%C_(JdJpEzJ-?d+Mo00;*Zd$eRz$Prie)KH1lbzxmPZCafuep#%~HylkT5sU3CzDJ*3OupZr zeP4JdJVaA|`un_M`E!*Cy)o}Aky6H|$}Xhx-1=R&y|meQ-Y>@j(zkoW&z`Y$q&H#j zghBksB0odbej+=n6bF%gRze4i*)cbsuz^^KSk}3v_SDSPnL8iZ5otZ~{^Oh~kKDiMvKcfPu zoKL5G)ATP3q79#!p7WyJ0Vr~>d#3Wtw60_7_a6D6o|gM}yCd*JNIK@A&rGHg?akxn zxBBhPSQnMNvG0*j%hZ8M#QR?Pis}KWKYl1{!x~92L9iTP1=PSDLlnT~DYAuELMXAY zeX4?(`sEzY5oBq^6x3R$IqgiSKkfYU>+~Fw=JcEba76=UNZQkLLHcwi(`xn{Q`OpK zab=8Fz&0urp|sIrlCKu2>7W>E z{($n`|I$PM(o-Te4ir>1wK!Mk6b*0Rf1`5)*%};!eaS>(PyO<0iGcZPS|*&Fj=&<9RCM zM*rXD`@c^_&XsnyBQuUV!kH_r+pFq$2Tf2s%}K++=bI*MjvQ>um+MieYs(5jel@0j zwa=*9ahHx%qbe>J)SNal_n-N9nT}gDO7ayC=uwZ%<~m=#3?7u8u`3@xwcO9qX3D+m zd5G~p7AUKr(aI8Ip`q(AsE9%>>BcB~SI|P1{PXT5Rzh z7YJWCUkg(qzU--U6w9yh&NPiT{D{Ix;r|Qb3&ynPDpiAF#1nQlP9bH&k?!00#&U8 z?_78g;1LmOFVYpuUySB_P|NQVG#j(~%idI}L;#Oj3IC`ptz7{WqxoO~N=qjXo+N9^KMEDMA6zJ!h4Ijrqx}OOpbr z=*kjrV^*cgN91Ga0{^CemK<24gEVHFdv5EiGc{++!``+xd73O*|55m#45mkLENQM} zI;g_mLK9B!Sp>W1joSrsmk!EW;H8wh)zYUP@xoCFO}90 zlioj+-XE6HFO}I3lV!33`GvBlT>8=uwLPn#Rf&>?qI|4>(I|<-O`CyIX4Ius&iPu& zxSi#wLiu=0%k5X4Ro3V6LLDlPFmqF2DSysRL8F`Z>RjnFd zc;&J*@U3a1au-ycMio9XNgWFrNyWOPOY+tiX{U#T!*i;swJ&*X*;50(Et39A$EqOu5=iP;2J99-FLVMu4E{Xen;n|lqZj?@g+kTP&O{_zrz z{kP)MhIER`i*SkPHy9KvxE<0YZ{PXIl8G^;0fj0h&iCWm>|l1;pwrRO7(3N)!o zC6WrVloy}ABGw{pHE4#~X;+AHGt84@VxFKVL^{J15AX)f*u;Hq91En?(?x5I z7ONE;VP!h*wTO&twbPyrp2=g(#Izz+h~$`J{e5g3PLPtMJZM`ud!%+czCG`vr8Tg;|${M0ZKr&L)jpCLEr#uFc$2{oLmCPvU34Qb!{myP2|KY)bTw~N{i<-I;hjn=a!9Cz(bqzn+oLLmXVg@fpNrLUtXqVSX0?H z!JY(qB)oT* zkgn;b&4#V&26=9qM>-okg^c%<7wW|17y3-jyM!0^e~|wl6#EC|09YQ`p|chRB>;KiY008pjnsv8lM zH!x;jFq8rCJ1qcXs_7%xH`G)C{2K}%P`?P(f=$r#|2fF=9~MP(x5?3p1D`?GjFm1t zbR!=s@VNShEr2T#6Ed~CItZ{8GL>(5`(H)>OOoKkIZd^~xRNm;!kPYtVVIODp^O^S zElpLt_E$5xYk*u?-Y<9)WsCuW$@o!UpqS;s6v(xImp1DF>gacV{Kg*J9fi}NR5Prw zHC3J)QNT2FAROK0UmRYduI~Atfyv>EIUpOhBB+012tGslgvEgJVoJ^@KkaqMI9>YW zdUTg7efT?j!p1ksuBqF>5BRTEg6-*t*)`tSf3N<(EBK$1g8Nct;PXifYZVI1!e&~3 zmNO)%^~=^pxJl2)|9p4bi5HVGZiLD#YuuToR$jG@i!Z!95tm8s#i*_{vOuF5Moeap zi(fUMDW^$h0V->}!V~F(P0Nck>%@Ro$`s1iJX3-S!5$^4Cx*ulEQH#>6uBv&(7a`OflnZFP-u?Q{1`qE zleCxV)OBJmHlYz==BAMS1jbPuRAVobOd6D^Qio2m`lTOB3oZkR)bw}xG|j1_d@*#w zxxDrf^?f7djKpC5caE(2Jsg6G&N-9i!^iPMxBJQ>z}WJ4mO|4NPOhV(%{MH0D@WLP ze|nc3H84&by1A&5&-nN|#!?1Nj!|eyI!MoVG7j^`%PM#MNhLZhmo0Xl`|;Ex*kZB_ zWCMY$`|no+t}s@cQDhg-5&*ZcPmQN&8YiVd8`~^k=PXA{Hn|4HlL-_Sle})`-qr}l zxqhO6QNwi>mRcOfS_^=%liZ zQy%AHWyz$n8@l4-*FUAaUC9gO=*f{%3V|doe18)Ef9yac^40u*?m!f%u(Ot0iJN~j zQC^5pm>epo!pO>w8-BT?9yzB@7**+xr81LUP>$Ql1#& z^vSmd(*cdZ%3@8y0>A=D9^UYbon%ZHiG_4jM?C;rt*D5urztp!1z31nMO&%|*nD+# zsYkWuVh`n^>v8Ejr*_mWs(4dN{v?usDZ+OL^uJ1A${57Ut`0100epZ4pEu60-cz{$ zcuKr1#Vt#+;hdIv`O;1Rzyr6LMdG*6P*q=ERVn@8ZW{B- zoQ$uceAOmNsEQ~L_nA}vq3Wzf79PSfi2PwfHDL6nmB4y!q*0V)G5ycK)tRqrq6JJ- zkxg(N>iJ4gJKvwLsigl8E2l=jKdQj;XH9AUoVvbnpe$iVjfmc&w!Zs67wbX8a(vF5sY`lnORRb0T(l@~TZk2B+ zo$=f_)$lRnfBQNuu}tV(hjbTT5s^b)o=!bnCMM%BJ3QY8+`Ohl`388x{9hnFM{9Kn z*vUJ*Sw!XXnv$8jE8oiuX;{F zYBlxJ{2_oP`M*D`eUNtn@CPjYFtRTJ9zJpj?*Os#&z)(B4hi`BI{5xr{|!cuz_D;m9R8mZ^9mrqYZuA1)^uxjd*e$kg?ER2iT$nIQoZ6W?1=MAeyyp zE2TQSKK=Rb_S(VS%!hDL|M_Q0iXP7_wIDfo0eqaGSO`kEl^qc&GRgI$>_p|Q6c$I= znk$slEV0WcTwfTJ- z4&o33v!F%-IyYF4g76k3^LLt%V*ez->d6bCw8qRV(ai#OPP!=wMo3D$?YlZ*!XhC> z-hR5wE)?)HEiT7)fXl%Tq7b8NE)23Z0(nj$O-9j>|IL8-5cKCRGs-RNmqKh9a+;qt zqHkKSzR*%Rwh^{M7zT5Gev1eux>1BUq#?CiVg8%2gj?7!9w#ieQp9vO0W_A|B+3He z-s6E_YJLl#*f6;6SXU>Es5Nfhy^OI}WS{iiP(&$kPktIx8(J?K5O2a)Yom0#V1T)C z1cEe8F{9iGWC%aTvuxT~rzg6BfTGgKxLn~7$N9jHr7^Ob^KzPlkssEJ zLR_}TfDk5O!#s|vV1q4&2!nWnNP?_zV#8#^SYo8}*Z$~*w?=?avA;5nCfsu7f+A&s z8-rdi2pA%Ovt^kG`;ATR6NA0BFHmo=D!kh~b93h(#C=$e0cMGj@cD&DAMnVq>E-3*sEUi(kL!QonM%;6<=xLg1jV&^-PS8!MD@=NQH8`TG8m56plwCY=LF5=|t##4W^~P)Ur<&<0p;xbY5)^}1^dh?&;QKH5 z;KWMxhTcK#gG>aS@QwKkI)Sp&D4qAC>Cbig*ZY&fo4ZPNFFMKQG~kVlEY|zjZ&5cb z96Rk9ID>R*pwG6xp1#ki2fX9~7sV5@?xXN8D-+E#OB(w(57j9%FEb~v<1-KeG7zOx4*7DHAg@H>Tj{4%pX) zJ$CCpG#w_PuBz$1AsSXQQj+)N`IVUt^r7^Z1G>5d zF{JU^6C>!Ygb&4)q4O!(-Z{OAd&qV9%`Yb^TeGt38-erNV>5r_+Oc^zy$SIZaK66( ziY1=nXN?(JaNV%i|Gd}PDY=IQsWf6`A_mAjRJs3JHhXY?B52g7s1moQU;u z>gEeGsGUZR0VNuB>M#VH8LNLZjmK^8)BOpN={A&2R&nsJJ~|lOo2QqV2c|hysmZ|V zNppVfeOFF#oIoisI+#>XJ<16U%pM5HQH(ZZH9%${{*ZsS7X98?U5Q=P&yA{;199hUCp2!dDT&3aqf z#bUvM`D`1o>g&#lz`H?NO>)gRdYIJvU)>W+q@C^j!4p69jM2$`Ffy+WG~S+{y`p8n zLr6Z~Jg)%XYd{siP(Np(uA-06FYZ?O2HMZr3e|0E1=^iF*Go_Xp&QFbj;um$h{5Of zrp1iAbfaw*wjOqWhPCUHKlo+&;fuxjaAmefg9@27)XrV%dOn@Zg7pz zV{V#kgZPQ(`A$sCQ|>f4EZm9o2;BWP1g+>IMj4lg!o&oG$MD}rd+#T>4e=Fk{~w0!F%$DF=XG>oW@ zQf=&1w-;+lSOth!lv1l&j=VRdjDIhFsNRL74cZJ+$^pmK+fZ0YVQoKmcueh6WMRjq z4S^CJm^3KC#ziQ;J{hTAph_nfQ0*!fLoqQD2m}c-d72D@dRV#Jac;s}E#f5k{(WOV zcnJ7H$=?jgIrV5mHt5G`GY7wFXG&;WqJv-jWKGNxA#oQZA@c)rm*m2m!Ch4`pdr^( zxR0Is7B&yU;P8k%At^p!jU?!JZX+pKIT(;ZQ3BQQE8AVjGz83POe6OvBP>1L>uLkt z5uHZeH6@zrSj1THzu_%L8Xz+~!A4;aA=pH~d!i z(-^p#gV*=Hx9iWcE$>-hHoDM9k2)VVz8uV~SO*HusOvx%F(!b^=~6aQH) z8w`q@yDk2)>q^?PrR%e&>-&{txcF!CyXj#QD@fq++e2va`6YyDn28_6=$F>WPM_kl zd6dH8tKkuiERSvyg=Zf}ir`Rx7O)T)5UXHdkg@C5ZvZ4L@CfFD*qN#u$Yi~+8M*3= za40Ht0q%h{Y47PSQ^&b1_c?3g!r;VFxZC9@z%OeU5|l3io;3t!(N`O#hNgyCHw?es zR<~=F6|Z8P@^a*E#HxlpH9NNyw*9*>63Ejv$K-G4Jkj415&q#7Mh2u-Z0-S|x>v8y z&-YI0L#>g-HNDy-io^t)un4ZaPiV}KbYn^At5hO>oAfX!nUJ6X^~5=3Si76fQo`K} z7hrzhnIJ(n^x!}eO+fwP=|L3ZAwgv7K!l_j8KOW8_C`URv8pL6hima~pS`+#-aOnN z(0&I%hl~eoH_r2i_!_Wk`zN#gbaOm=0ft=v}Td z3JAEmU2kIbHqCpRzyQ_lpl@gHjue8Z3FroiO$UQuGJgvOB_4s~ex`&==wo%lEKf5& zX<>=1l0^8NjIE66EowH0m%U%|0^NBd9aqQCx8!voAT1TL)^RQd(j(`>4D;1R&?|5+ z8Mee@tq@dm4HaB-t-cv92fyv7UPQFt#QX(536xoR1n3m4F@cl?s9z!`2>P9LE$*Q) zGnAh?6G#n?xu0tR3BlqwIcQFT8GpnfCj&a>tk%2XhjDW)@I>UQP{j6??iWO_%e>Z2 za=Z5_cNSVfpCayx^zI%o8Q-+e)*p6r>yzm7wd)$kzQlN>iV|fS%)sAZ4gN^UhhC_{ zjf8-nHx&t!Mh-d>wwi9LH#S^o5Z)bla+@9WVcDhudYIu4sRjn=jG5OMDwV++vX{ZccrRlb1yv!~u_jGupGz%fZipS@4q z`ZUK(ac=aXFVna*^Pt4NZw$jkG8Zl}C~+hQxnok#%RUHX0Nw z!q+jq$FQbO7C8N`iDm3Atd~K*w=TFVgG1jlA1siJD^pBF>YCkzWlc7Woa#RGNzP_i zHkM?OvmVblYXA<>Q-l*;KgyKgsh|dZWo7rMw|!Mcu+3&(3xR8?IyUcim*}^$oQ;PA zS|OZSb$`6jd*vhxA`LS{^H)Xx67#MNV*rexis6P09GF>13$eFkF1_4HC(Hrqn^lji_# zb)5_hs<`3$&>*!_EKN9R=G_kRS_sezMFX+8n|? zZZTV&tE_Bo5~X>1vJu@`h)MWQBkCrR^=_OjZw}rO8>ji%p{9h~McO(YS8@AZq`wl_>q`XqkjYBtr~$Y+JO+b)MJE!5+fQ8!IjfXfl;SeWZ2N{NJQ z-z=J;XQ-MQ_01_-2QP|oqOYhT>5$M+XeQ)7@=L(C@T!bbl-I9)<^7fBU?-sndv8K5 z@tyU6qi3`k9eG-PP#!8U0|DwM0>Z$e&bS%XL*+fr3h)P$_#Zx#d&GR}$)_O;n;{yo z&KA^7qHmWD33lPzCe-RKJR(7~OB|6D8fX=`TZ{`@qy2~KzVu7!1}42)_xN$*Xs6W1 z8FCzhY0uz1Y7OWkcF_h#)bqz%)RZAc!3CpdvhANCYafFtxf`9k+C45?SGELI+#aqYh>68r zZX$V+KE&v`w%=UI`5`dN{5naoR|j^TajUwYjlv|pk_bL<+=#A1J&+PT2=6qu_NAHTLd~C7+OvwMD{H6ywRG$QI2HJ4 zeahBEynW3##}SgTMtNM&JWyfd5Q)JVQ?l#~34=|2OHmY*DZ|Dz{P=}to0a79C}ia* zavF(ac+y*wXu50O`rCVH_(gFYXjDiZhBT5YRTe0rYm+>uXs(pNL7*aMeCC2u%;*bO z#hAa!7c2|{F1X@lD2dL-ElpZ?K2 zJA}1mB@ARZ+!KJpg8~|ZqOd!}^n~~e zKbByUp?u%sta%p>i3$d+*G9s|8v#L+F<;%h>5Q@Is z<8Yg7ruq*ew2ySZAb1UROHO?5fnZL6MZeVhuk{{p(cu*Q0b!tg$UWF72DL$zPz;Sn zO5Ov_b8KFW-tZqqGlS{a|Kl3&*Pwxw5EiI61PFW%NIus&z=0=16TssDh!q{MSgH-w zhodKn>sA5iyZMkuVhvDPK8^|BdN}93s00LoMLNw`yP5}k#O-s?nMd>8$_W3V!MWaj z7(Z~Am>#Iu8Q?ZC|0H5XgA;gk2U|d1y{Y;DK4d@(q*N_H3L20NK13Qo44|)`gFpLF zMyLODus`=g7{4Zxk%h3EO{ZAIM%>bimMeB5Gse#@^J*Qhbu0b=oaqR z00Nb*`7*UXsEybw&)5ZY_c0TQd{}oP!5R1|5Q|}!YXN-aB?>^Th}4Pz7_2_>wqF1l z0_Itdo;}z(inD%tw4zKu0U+Rvu?elm0a{RmdT#@&0@?s7`IHZoaQ!wo0U`uISJ>g{ ztshQh)cen=1pb)}o~U6?g0CRd3U5y(n)Y2eZf&%vLU+VqL_xn+eYJK>H+ePOujWAm zGNDZESwHT^5>Wd>G4O4_dZ>O-b40P%7*k?|Fn~ayzb!a`bY|3&pZ$W!qVPb6q{N#e zgn@|a^z8EAyg!jDQ30kvj3U7Zw1t!+P6TA~nhr=&l&`^P$+#v9U?l3}F0pU4fiMC# zu{E~;D9rFa*v^AQdIV$v_zFL^$KO@snmy)S0JXf?lP?Vv-ed|Xl+MLTt6KuC5pJL^ zRMEiyX`^M-1PqcbO-OhG1|3KesN5a~171ui>Iu3Jht8~#Gl1Bf5qaI_l02Mx$5E@2f&1p+Cg06BmdKxCVa zrjFn&fZGC!zc~h6%4OZShT@_RDH>3{4iA!#P5q#OC{Al7LU#^ecsQGWUEhG)Qac9? z=r#cji5dh0K4}H=c(44=0fnSLJPr(mLJK5^93CWbce)n_M16b#a4Zp^Uql!^;LdWB z#WjHU%NMBuzYlEqK)+_tfPo`U_v8g08N~HE)sz_WYC^CO(5I&&ArwzDj^@@W1^e4! z2M!m37}U{#FgL=1a26eUy~_*F@^;lM8rS&q0yfe=bLXei9R~EqWezxbPs8Fb7NDo( zgYn0o4~!xJPe}$R0Y+S@-@pZ5gKm-mtJbN4V2QtpVB8E)LK6Occ-y}=z#JqI1&DtQJJ1GT z=>L5lYci^-oLS_xQM)MpgxCA{$rmtWKfkuzldaSe4}fB@{!($oFqKGL6b%`6VkB-W zA$+@eq5i*`P`!TC{|pbWsvD3vng6l$=?!zkBrs@$fpr2*8w`ld1kGcSpgLaz^r8uV2I-p0`&dJ+#142a`5V4n;kK}D=#lQU4TC;u5dmRuPbwKx4X{@GW5 zVD7^Na6gjh2Wpe#zbQsFpg*XMY7jfCBDqRQi&z#-fi0dRzCi?jvrS#7b@NS8;GIA3 zu#|pja{o2m!9C087)KCx*a73D$v39%ul`{BaJQ*>t~4ImdB`-+he;I(rcl6kWuUZZ zFEH}~8&f=e*Hs_^WRu{t5dgDL|7~OP{~qMj5Net}9FOm@up1Q>mj7dJnE;3sfd$ml zcx+vp6REcrN-zh6z>WY&SZGh81>$o;<{!Qi>t)zsUSAokqd~Y00Gk5g0gPA#T@w}z zvZ+&u!R@h`C|0h^uy`ho;Z#t^2W255lzZ#$v01O0#TxR2e1 zuiDt4-G80{>lPgX3=yi8}DN+aR{*9hB(M1!ceSn1tkfwM5U~+3E zw1dY6CcvG2Kz_8Tn0Q>k=rw=6inDREE`A75fNHI)cnXDP(;b>^x2_BjV};o#Pc-=r z@X<&Xt*@{DrYpd<7=i$F^uLp=UH~-*qD3oLd=+on-BIt zzqeppZ&y@^yx$1Vyw80a$$OX#pcKi&eRWiF-?jLZjC^bp|Jj{X9Q0Z&0&F)N9Wv-5 zb}$%&7`d8e^RM~EY8~D}61zZ>0K}&Plb5L`lj8X%1{>+|5tVUY%rO|hUt+JH4^^DY z+FEIe+T{kIV}&*`L4?IR+$%xv_8Va^GcZR^p1wGe6+Ql(;3#tQ$@89I0Pn)1^Ekhg zC!k`)sSKZoMOf_kWen$>du!14Lo2NgeAmP4&0CDNuviJx4=iRIYt#B`E(qusWWi5quMVr9w;c<40mRU_=lVA^T<85~k2 zo)L#8h;v$Z&i=x4KN@q6e`^aZ2fNI}eAE8{>i+9~c7(bE-BIwWemxjF&Fg8FP)%oq zij*jvAEo7jCf`fN=DlhcS<@?Kz*V!oZ<_)01yn-NDEa_&ze5JHoqw!y|IDc)my;v2 z;Yp;y>n)SZ&NNXl%8YAlL;fZ8sEB<(9zVMWab&aT4{@dZokxtRXlUsVDb4D81%jqC zW=*u)`cFjSompWq+6Y$^e>}bS=|b;n*^q2KYhFe@n9>68I=P@j2`gf{VwmR(aSDY_ zNcN?>$D=1Cm-4=uej(nJ_JE>WA?kw0aslBYn3RXMn=x!Dt%h+hp+`@c1jl^96@6>z zGQCR+Ngj@%4ed0bJ1iH2^foQasSd#i8l!K-S#&}Rlowhm%1{i|I}nMm@ql4Y&wT?+bxx2FJgL(y&ZZqa`u_YBA9>(ow&jmKO<}S!Frriloq+T%2%3zO2`R!pXY_u6m9CWRm7!79M=6l z^I0^vhbVckkRxFKZ^xYSPDu{lJticK{gT8NzM;F`>(D&?ex}^X?V2Q%$P4a9SH#dq zh;9@)C|G`cnX%%npF72pH?3#o%|Zs{bIIXWZp>EBuiA|vlf3%8H-80th!HN@A|E(Q z1tq#|A3?Z~Cu;0{;~Ju6Gt#*6n3U)4Kcfu?`Jz^is3NikxQUA3qP&_Sm+kEW>4nKV z?oEX6V0&(a)q^8KPxFn{9fV9E1)q`TnjMv%J^1+bzFUBvJlx$s%_6e)6E{V4tn1z2 zVvBZq?J9<#hsT1F?++SsbWjY%>*#-o~+~h*_G%rSUCj&O7#t$1?I_eifd>j>JHtLt{z zT6##&PBPntF5ipP(5f*Xn((_p6!=r?#mZWT=fInW^X3zxePjzQSszS%5oj&SEvz^~Cp8k1*Rj!#+RRi>yMge+X4J z6iK)BNJ$IsSn-ZqqO|HOTkBCzF0^xPoUpF7i6Ryr&g`56lyg>AkFDT^XHE5*&#;rM zn)M|TL0f~)f=TjZZW|%uTu-45wuLupfnaiC&|)Guyj|F_rZRpGZ!$V`Ni@X(XqmCJ zz2BL(p9MqrFHGVJNbGqqRVE!fzg%94Cm-7;6IP+E=J891?Hk932ya6W)v(z2=DlM(G z=bjt%98L^kLY% zNk;GC4LftpEhwxE3qI>3-UOQuFV^Ai!@H;0+j5Lz{Si6Mm}AbUyBzH0uYhjRM|Nl? zGyy^zp@}v!YZWx?iEx>z8s;uM!xxX|IcEJ54%Xv}kSCGKxKDP#?bj6fSC*+vw{}K2 zAEhVgbf!S*Ej{K8PGA+6$4Dt5jCcC*y1;RXR?K^W(-_rp+Sx z$tU!9G*&rFOHoExnOfF6>G#dCVJ$j-|X291RieU`G>p>xTC$mJcXgz9ZI z{Ie7oP|hqNJ+?OKtsF+j^I@erWJR_=QvX}VXVCTpr>)Zb^w{$M6lk5CFiQzttRqwZQQopF6;Vq^2fWElK zc#~#Y-)o%-ya^TTyx3_IT)(?&iYXQ(eBr*mnjFO2!2Icx<*Mj$Q0UkO>KeO%|6cp0 zj>i9>^f&Pr4k-6B7KJI|Hj*e+JrqNGkI+Z9Y(5eqw2Si{y_oFKdo&!L{lNGJS?Ji0 zi-CeqW_sRG$gPZMdxTdgxl#sc7>6o~nPT^gEvVt~aP}%#?<^Tr8#4eUg;{!M(Y_S; z$L%hPN~C&RKXm&s-|b%b0}bPhy|E2tVjZ<>P;V8n@TI%#0>#Fm49L%bAF1H5K2AXeZ-XjU=Eyw z=GZivn;rR5NFU6I~l0_^T88%4-yaNg(nV5YZcoE@JhCgg&)HTV~Z>}rL7x>OxYk0$; zy>J)*R=$`|$rQ^r$5jFnnnzQ%D#*x2L3^rg@+G$G9LdPdpoUs|YhMNN!BHHV9k`*0 z#q2#0@W{jjJX-NoW1=d=1Oo9%hhRzt_PmgU!cf5oAh)qD!V=j8K(_3M9B<_aB~oSf zN{A|y1w>^($J6QX3<>BK20Znxxf$7|yU zG2=xmSl*s0OQZ@EnL(2jj5-;Gg3`!k0pFd33FfSQ2Xo9yu&(dsDk0+L>zDjx=Kdu z*r;zw09CSd5MaD50|rq#73WqN*f|EscOCBJ`Rsv3WVr_IlYOiG&$_)}xi z6F3pYOP+n>_|k66U&+qyM5C%wWSmko!AXySJksJcFH#W0%1bgGN|1$ev=gfkHmt!? z>ZgWrvorW~?UW@csZY-K?Cm3`XQMNTvzC0N{5g~Z_DZ`-#`V&BNS@!MYjo`3d8|)% zV!uW8cf7omjX9z>{Y9YnX6B|AQ)*b|- zrqt#l!}9(-%2TDXJz<(k%<1ariE`pf!zRy@f!)fTubI`1gXO;*F zrvLp;Q2fgYgF+K51J!C_z;(ipzUn{(Y1X+cDg;NE0v`e9wdjXh>>dPGFar`X5VO)x z5KvH1e!TnZ`)3yiz=y*{ZxIfUbc@d&7z;RHx1&B!Hu?!+<%4PZO__ImzW)hv9Q(Uf zP>%yw?mcF(3%MgBz`{?HeXjJKoK(?~91qG*I30xg?G_!%FFg5Nypd7A*MM~6aC2}n z$Ug!fR1+>7M9z{l2VU{o0wr2RqdqzCH)w85T=fET>Bbn&G+VmeSu_GYlNnSp7Tb;m zLe!9}>!FX4$B_%|6G7TKgLC%ax};gz3WN0Kuj2!3UQ1-ywD z;IO%k%zmhyG{RQR;eKu60hYF;LTKS2XW{|#NocvQq9+UCe%BepTbDlX`y(PlXP5lQ zE1eJ7OILdoK4-K3-2v1ni-eHdiQ|gV=1^1XJ_Gs9hU_#ZUVW0UK9rvbL(70SUP}jI zQh0n$P?nzw33(LjHb{V}JiI0hLm>?P9J-FkG2z6OPIR;lle-t#nlJY4Ny;?{_Ba(S z*FyBS5c%PrLWC$sgx(8zZ+72|go@w#pCaxCxv7_B^w8v{RDqz$YuH#dl%K!O)r}2 zPkp{sp1(Q`RMK`wJVXSwNzrof$U1-zfb)Fp?HoUH>cb%V zd6*x*=gKX`OsP2GF2rEJy<8qK!O+;vPpI!!c52-4dJ3~$IJ~{;%$jx_twL$C_IvXB z>E>r-fD2|wkD9m{x!lDoRyV$aaDJ@aX#9SCamjqH#}|5P7Nmh0JZc>@Q>IJFErXAA z&Bk^G^QHBJq=_>E0#6y*!P(c5?aTA)!<;rpe-nS>M4tdKG)F<-D!!%6eiqE(Bah(_P{ z;Ou)+%vhN3q+ZsoB8RhLz^8R z;ApxZI=#QHWWJ+nW>Aj0%+QFQ{Lz#N#Y8K%5IXf#j5LI-3pO*AII_VoLSqVL4jJBi znizUL#kb?ea1zTEmaXRPaO_IHCQ@~Q9Td-2y*D-6i&oR?s5rBNkJNq)LtneN_lLq0 z$5>!-B2gVXCy4+W8qa=?EQsjkzIo(_WGZ##a6Yl2X_5#&G2|UMTE54zYj^(aT=HB3 z3Ld1Oc(7O*cj}G|Z6~{Cf7>dUka0UKf3=0Zjyau3cX<4yW}vrYXX3lU0qDH!9hYQS zCKcm4nwrBn)?y1kREe#`Z!Gec<{rr zjL}|MQ|PRpuIS(ICd}Ppsc6@7^$7zYc_|_6AJP*Ng z%xyTd+z(XELWLDdVAPrEr&81FP}J+=Yg(IiozTOd7U6$j>C1yy(WN?JCKFSy`VUxP zq!+)4JCf)>4C^O9op2;$)lH>*mPFQ_l&4YURD~Jt`vi-cs6ejHsGi zHbj<*{Rh@G+gHcWy~aFga?bfLbW7j87CCXUpY+3EgGHJNc-cpAm+4Pd|Y{VOxBPzI4HeN5OLnClecb2fjIYSl&5$*%w(yr!KyJ zc*%3Zub-f9)r_4eN)=k|88OBWavR&@Zf)YNz3LLub4Ri2>ft6C(<2zZ*o#uQc5E)B zhq%n>l#|{#Fe3WpkBM!SyUZ+Aklsu(B0j-uVIaV#gkUotpvIbD2V!u-%!o-t@qb1V zMI?egqNIi*Gl$CyiG>0uVhf7K9ib3^*nKZdy(YT0^p|r;;czKz3=!kufn->>-InRt4 zaw4)0bBfIlMLdQpfF=q%s==R`Jmu?HBhYA;nm~3!=G5Meu1*GBckFZxcXau3tI9vX z7k05_dkwE!f7>L8@#?!Xv_;;k6@uklMo$`IQ7jvnl z5vC{_A1yI42$Qd7zjFXAY@U%c6vSect``dO2`?{RANtSI2RqXMu4)jx2(`^%)t2l) zNoPQhsLtClv0T4!4ja(cbY6~T#L!n8GG!T$c`Xc?KU8Susu|C>Wh9@O3O`)vEgo6@ zO%1Aq%?wc4tKpYx;Fqi6KS_A&!Ay{mEw~Ql=P+SZnhXVDT&Y`ml!GzYhZlMA0}OVa zpw8Q?vWz_*p_~ryThn^BmKDtW20b>+%&mA{vFgL-Y`1nIpzZoAI6HV0)Ro4U*T&l@ zWv>x##m`3v{93&~@80+N2s?pmRDc<_@o8(C+3_(?v-`aaa^;qM$nYux)UEI6_xJpH z=~EbR#rBB7{T62{~|KOr%qOf)MrFBRhAG9H5W9oYRc@e1!Q z0y&YJaVptn2{(}FM`Ky0M)$Bb`;5Si!ILgzx`?WXQ&&zZRpz zm7G!{NwuxO5ejQUr=d^PlY57gYy$H5A}IKf&la5DHA+KI^fB{0eWu_jM+?tqldB@c zrIw(*K|LmpQKFyo`wMy`!@@?&JIy%yoG#r?$*7=#FG%)kqo#dykpYP+InxWn)-uz& z4zQzMRoZ4(pi$&hI-xtBkCl2bDE&)v)eO>R*Trt7{-3n>v`yaAA3hQVy@CUc)T$}X z6e?uWBZ3YVuV*E{e8 zsqQw}d?*B052mJKG&Gxo9UQ>0lSc?2XVJL}E13#Otm&L$+c?P#vE%j390XeYs)@dkZr$~B1Hv6kJt8+XsR?8KpUr<`4o4aph zs-+y5J&cpdKrEY^gDq%Qyzx_xq}dyM?fG)*Cp0yoiVi8myQ|UVXb|-Y`H6wWsEm~K zRbs!q=Ad;+&FsoIQOAZ0psW_Lcx&|Fhc z7Hy@>f_{`zVx!z`kv~(thx=VP`PWVPCOMKQ%(wG}ixaYnKeZ5ncT?+tzw@ZL0iA}G zzG{$eR!M&muQX+uro9>3t224|=7=*YY59f^);92DtJ!HfdxyDIsl^lRwyuOeJKPUgKj4gp{A3J}QgL`X# z#Osa*%>9jar97Uh1CLBmE&1yXrM!xwhYdQ_*9$)!amy^duSrf?ta+E*%Bm+Fz4QGk zfo+NNx)H7~P(kX+Ej5#>dzTR$uhtf78Q5EbGLI`VVJidO1N0+;m%e#9t-bFcW)@fj zaxu(kjHk!?E7Ce@>c@;Iiuw!gvp{ce*`ub~I`n;=hsq&eD(YB1xDh>A{}-y`0~_BH z)t{}s+8!44Bu3_|7j?Y0u#uaxY+sgPL&HNdg^@(VA2k`?s<_}$1kCb{Oa9c+111`^ z79vWXC%(}Ft&FXJCoxkKp0^xjssL%qAIWhY(|;Y)F&bx`n~rad^6ysWFhlcs`YJe+ z6oJgmOZ!#KkvqG1o~r+$?Iw6#$s2 zs&mkuH-x0{+QYJR$~k}MQ}VSK*#>sMraW08<^soKFIk~0+vdV`E2{u!|BKR`z(QVdI_+~1N)T>;Dj;b{-294pSq?vnB$tH|7mKxc z42O*ixmJ(xk(T|bmKlbf15>~^3$20amRiyHR%hn{?Z&pWFly23#XRFF%pz8w*7$eQB$$c{Lbq)V`KstBh6Hl z>WgfgX9S7+j3B}Mp#Vu#4#%1i#s(Oh!xcaqD~8EO0dWI_; zPl=c1Lt;pc+ebx~d9I0K)fnRGLei%g*~N6tf}b7D&>r4_zDSz z9*xvS23)Zwamu`8eYD{zL|=NhGfM*2oy+~%jMFQ#LbIz;vb*5SpG_fuVn$XW9|G zB3||GYHnDhfIvM3kYTj)c43K%v$@2I>(`+rP+pI+MUWQ&RdkW4VEvLS{}Ol*7{t*LWD^zUN7Wa`na5Z$KWfMZ4GH6S0#Na3~dyT?`t)fU~OVZhdd z9>Po9P;Moio6edoJwK#wNrErs$S2;ss%}<6V))392nwB$Pz!bKBB1H#1YLs+19L$o~+69X6JM^9&TxDyjNyBb{Fl?p5l#j|U=t ziXks6G4i!fGQgLS6;`$a>klhjqZeSN|bB7#^r3NJa4m(0JepTxy{_cpF( z`Hcicgs>6Ej;PIo=i123+ymc6JVonBDK99tD8h6YC7g(f(6Y)Ty*?PYV>C*5`kH6c zztZ4So%cKcP0T9Dp<@`8^*amRofc_Uw&dw)o!p4=X>R;gYV%ZGwgiBQaM|)w`1dAp zT^GnBK@XU}q}(1CP*eJ(v<0K_xa`oUn}bBC#u~$f2Vg`OlWB_<+kl5(1y{QmPfy-e zb#00f10ye-kvJPj2T$kqF_055k{=v`R#D;njDzz0b9#R2VMsOc%hpiumGqL9A1xG{ zNLDcrDlwtRmlTwlbNU^LnYY>L`TLOM^%G=p+p%z7nlKfLnoy@kLPJA?vM`Jmam}k5cS$`Z&u*l!`VmwE57$nJ*9#LX ztl&pDtDA>mQ}u0EtFUixyuAG{Xvn6rl1GId^pP6++Y4-{5N>GI$KzLMP`E4`F}7rF z?#e3pawW*Hcp#X%7dX)A3zDJ)d3)R*q+vCdRIPJSGb5DuJFhU(4QT!=HH>rxgGD%m z%=7x{iw64O+GJEh_jGe5xr<}di^n|q`L^5h98?Ty=DDF@^B^4M7_im3L0|fzY z(9cqlKI!x0lNPaH=S4{d-pzrwZXB&y__6(YRsFd@q?^-QzHgA)$Z`%%*Y1CDF&!GX zA~8q81f8l;Dj%cO+bu(y^stmrh_)`UdjL*2lFul8ZiNHvwroxpY0fw0Qwd|UFVl!K zwRa-E3?12?28iS&*GAldOgo>r^+Vnn@P{irM`jw#e;{eSn#h5L?A?~UqZ(JRBph{d zc+oWbBCoaK6$XpOO*hX_eld$BCRLL!j30(KTNcuv{p73XF$;wk+et?yaMYu8w~&0= z@t<&EBoTrbQ`{TVQ#@h%ka{Ix(hS?5z}Lceh%Ap!j=S3S+_M`&LgzmnGJMT+ZW6?+BrY z80neLwdy&;_PAbWElpUu{&fS}d1tWR>7;7m6Cmh6IM(tFIM(nFB=}4Qom6vx*K#0y z6OOg9+mshXy-YK1#ANJeIeyKB7t6Ms^9OwK#2>-p2kR14cYXOtWMu)BoiN}1Eow~Z z_bBhQNeS|F+uPt$vb_}|Ws z-`Fo|__%((2YDm!W8pc5KhwZw775KYPPo-KsYipg+!lF7oUpVhD|^*H!@9`22UbJ} zBJjxhax?pt)^As5?YEa&N6z16?p@u%O&+j{-21qpLJChYRdQ<&r(2mikALl7e19ZG z^vd0lO-ov_b3K?o4`J7D8vAt57PgL<`1RU{_>mI7)%x<_ZnU^oY?4F#^#`PZjp2S# ziwQK%;R&GFU%@96UJ`yhl42I#;;LAcY=y!r4d!{>?ne1PBY;OTFC|^ z*7CF zFRk>*B7I@^^_E-K@9NP5n`b2hISWudRYkD_VWOd4sKVjGe$DoTd%khEeZ3Au>J4@t zqdc0zfzFnDnFlKm$Nf=KR3`27m)5C_K_N)DY0u`WL`4T_`uB$E2xi)%1N5tGq?yI_ z1i^1D0=&)yE{~p|Zhs?krx9G;P)~oaxK~6D(>xY*V#{?;MdVy=g6_@jD^A2IQ3c=O21PUJ`7HVr5E858YcAr zi2sp{?BuQT0RL1ym`IsbrN~8C^UyS(CVCb5x!9AZ>>=!RgCB@=1IJIM2#Wn12)5*w zKUN(*deSDD*D^B5`h_LP^PM@FKrM_TiFPTj!4Zm*wZbeoGyPROq4ZMYwNeptR9WVS zqOEnHaf`R>@H9y$Vo8K+-u(yjW2)e*vhb=OmhpS^Eq5Pw18FJ^!LF`cWD$G1Aa7x^ zSHbK04SvEm(L=2>M6J_DeHU@l1eY$rUv?NRA*4g9-4_i@wpToLrhZIn5?krS{Oi-; zJ@lsA8&J>L4fN*Jxf5GJPkq|pW8``J(B~<8b%PcJ!2u^Pbi(1EsXMD@lBOzT8pdSv zANgB3y2(oM{`k>+zU4Q5&5f8&-ziCf(&5~b`)2({tlXD20Y|$h1tG2(9SZXB{*u;B zlp~uANqz+bE^PdfJak;bk44r8tCL?-=T(k=d*_jYr)p9f0dVeU3kj%BvO3qj!V}u* zBQ=}zpe6Gz8)(5whnlbDJd5ITGjksF`F%$3pNb(ai)SVPr<5BXXHPJP(U(z{($`5B>DW6q;f5HK)RwT~%K5_b9)2rRV4ll0HAS0q5jMA0FGd z#JeQPD-DZ@edj+m=#86#&njy3`;+vQ{+iMQNZ+lyb|szXxk{f`0Ls*p#=Fhj;Ok#6 zvhGX2c8b6s{(QxEuJT@zo`aUO6PO}(Mf`K>Uw)bf*#$?RBdfG+*6Sn5;=I9HY5E*= z%G!enadvI-?895)?CFwOFK^;(-m+P*SmJD*ay37-*DP}aRm9o3m9r21#M!utTX-P0 z^zM*%{v3Yy`@ZsVQ^opVgXI=heeN1)e$2pRS5ZEj<}zxVYit7s<>_%p;`Gsng z?i!5-ha4cM%w%GUxc<&CPEcA_^7W6ia1~yJ4o6#;!q{)EbXJR>;_Y3+SN0G!wwyqi z1D^g#JHln;;oPI-s8<*Kzwq7KhiauA9P=ld<`Ndad@Jc zXR=dpf*&r?IPa|PEm9H));7^=YpHB$it8n6u}yCdu2!pN`>AH9Ml!y!WIp26N(OK} z{tjob`|i=n@GQF0doy}xT65bOSGwmlo5fbMH%qu3Y<%76}g%dEe{|=Ehax! z4{olkka!3BFggGFxVT-AvV3FxnhQrGU{S0nMK`4lgU!1f09tI*5KaL6;%wL(Y`6og zr$AqgaQ(%buGD9?$JM*&S^=#1X$b0ec9ron2^J(_THfC9opR2ivB?=h!To*3d3SA(LeAYIx zhvj{6fats+T}?vdyd!~k(~q5Yz@5X_`;cBk12DHw`#oP%{|IiR7zA}Cr%#Erm;5j0`+tS` z4g?Z9AjuzB6_Te|3Cp{&Im`=+9s{QmA%y}G6|f0t+vWZYPmK2dJT&jy1!w_xk1PkE zdWEbi$fgjr($Y}&Ti^;R;_2Q61J4)_pn401!UWIDYGa<4Z2`-07W!Ulir>^BQJ2$8 z$(B7`2l-H!)J(W}MPZk~LBQP0Wy=RLq zx}yd(KYn&j@fW4@5{hLb3S=ilRM8qSe>1*>c6meTU$(e=vYkS}`4^r|PG?05i9L1cxM@REz@?schaB1_fA! zM9)a?`ZvDTDVG$&|oVlgc z%)K(93XI-x(*v}xS`vV53(|wYnx~?`umCTz;7AvMd$r7#*rIcl)GrWdx}1H*)xe(p zfgkcQlnE0#7sL<%I7nvp%o?Gehr*plAK?)8sM=o!k>e21sJ<{v_-zyZrhU!7X&)^> z`*02O0oq4XqmswKA0jTg!~#6P_LrC01)mkZqDK|sBT`L7Yh;FCrSdiNBbnY2HVc4l ze~X3Bu^bqM_-e;p&7T)S>cZv%WT{0!6%myKcld1em z8m!=`flry84IWdp5wlos`r2nDtztfE)Wz}-Yf$-@iDbS@i6m=wGuD7@WF4`Y0XoM6 zW9kOySLm|>#ENnP3VoWiA?)`F^Uto}9GU1liBe|GVuA0%k}Bce8JW|!nGwfeCHw0^ z*md1PCigEhBl!tBv3#n;uK}&62`sGKx;t`ud8~v%eQf$C+xrLT)8Ul%iHmF9VkBU# zBG1abhpl{U(>FyTHdu-KRGl>5@!|S<@o@W!D=zE~DTDUQ`0o7v_t@3R2#R-m6P;x^ z;=Sw{NV4Crx;1ef=UaO}2)paEr_#ene;D799}+%kAzgOOs9rVh?kW56Y2a5kIg>r> z{PqQh6lriQGotg;O{zqH;effne%>97*p?1uS?-}zPpSlVHOBjINNum!Wf=M0UJ+t5 zp-9h12fr_8V9ZdY@W#>|b14uK_`%L)^2!Q<`DB8pyOW?K0)3bkXD7LKsAH)F5c-D(G3gUhTE(0 zr|{1ijxsN03?0rSdo`PT4KW>ku+U!tA+%*B7Ggq9xYvMpB(jfAmh(lR&>mM$nMehG zpPuAc(=7usg&PinQ|}>vYd`X&8*73<1YL*q5~^_l2?iDxR`gBU&NM07o3wrAH6ea0 zk*V*nhNwtj4#q%uLci2U_JVp*vN_$QkxJ>1(KHP=A71!*sCsLooCYz8moTqoV7|P1 z358$-lXo+(K?p^s*G{m=?{b=zBc7~?i@@jtXYz^$hP^y0kX3Zl=8O-;a8lf{7&kvb z>}*Jbl)X*1$clS=m5fN&XyVxq9G)j6#6CRwCpQq;B_Zd(aRWaYl-fWch%UZWCqvK^ zfvpaM{_u|9pJbf{`&}!TnNL>#PdTvIwflHuMi2b*hhyFkOBcS*+@6O9EEfU6>4BE> zpH|vaZ3kGoSnx1wg`!z=L}p8Mi#E-aA75~GZ&Uk=BqJqAXvJ<$(}>650B&G;iH(GW z?@N<9xlnDe7p5dq!a%OXdH6@Gc9O{iuruKIiEXF>LSK7G4$%?{`V-^;8-#l#y)oj${c(IFyX0J*#vC)?>u)B3Q-DrFt+2Q4wHb3&sE8r2@$cNQ&lees)ON3m+%wp|1 zOJU0OijXHc_^-mtFg=psU9^%iy0R?IT=2PVCt-a|#eBYj zmpfO2*5ZeLr}6_nF^O2P_yZ_Z<9W*i{S7eDLcE$U+=nyzrx?0gZa@jA6x!b-MMu)K zT@I~H5Ohp<>5lAC;WofQ$x9UrDq*6#8PEz;TqdUGJnn=*u2d+=g;R2D6zdheL{S!+ zbFlgC(;F4eT`YPAv8+5e8DpE5d`lN~yI%!B^0OorZ{(gemeGng2Xui{o zWnNKx0`C1IQw=^E8XST&0^NIthPVTy&B|dC2f0wPq{a(oG3q3)Y7EKlAQu*UeaEL| zgx*!_m!d?o@PZ6^NdJ#*zf-D3LkvaVl|zYC9QA922W7Y;+cTLQx3%odPpBZjPEunL zwuIJQWM*-}p3dP9u`O1aKnjSW)+u6HW^#v`F!@k5_weT~I`e4}x=g#tSLsK%s9@YK z!}!`-GxgQd?oFe#-3o_=C4C=p_q2hA(Ngm@ZkZ;*WtoX-bEIY6U`xCSi5GS}c?oTX zPPP+bprcAnj7Of7kZ#reymL*^k)0OCqh(6S&tOKxkl?XCB>Zc5>AL^M?)xY8k33Hr z439qMESH<)kmq7BXGMf(&lE+0FBCAidR*zSH-X9+z?4G7K>YI&X&QRIUj!lVJ9Xgn zr*1N}0I<#GE|}qnlXZVT>C^~*n^zeXI!duo5Dgq25qO702!*~MMTU?UwD&4|QqD@4 zcPaY~$M^`wT|BSh7D9PE)bdT-TW3`Vl5WH84m=F}vX==I1gZ!XewHS5*+l7bRy=Nm zx@Kc&%)J)whcW6RD>3IZ_Db4dzs~ov4LW!)in{w{Xi4vR*#Wl?F3+gM0S4_KZr`)w zCkVx&Fv2(vt5TMFY$nxI85lM9ZW)CU!*Up%LWj>@3NNC%Y^M%Si}XuFr|xc{uwS>P zz-~KfMad5IslBH%x?o%AIU*1W{9nEAzt#IlWVj)^rFeuMym8ZA4D8BM(znrz2pr^+ z(r#qUni?sdBX0^_vtILCX%}99so0EJjUcfSn2K8}!Z2R_?e&3rq+l^auF_;KAdCW zXSLT)V zM!oz!{=LnYg*Yo0SMv{@Z?pU;l((3O!NR9cV>l=c>$_8`l@gucZT!0Aio9X7~_ z#`U3hMqj&Yp2FQeYG-s}RNVB}v^rFJ0~Pc6K_qvf!B#+CV1_T^sL(Z*5x>SSm0#N7 z+}<_c(vobjO*uQuXL0m_G%l{i@BMK~b8#!o+xc>jO>L985;8F+_G*JQE&WyLuW0(O zC-nNhlzmD2Rn}YI=^#pW0^UCnxAa zyAg^B-G=9b67{nU@mk6XzPLLayKe$<>uc(npl554l4@TL4Fe2g~F zgb)37&l+4s#^w)%4wltPH!@qh#!RC!3M8ib<{2e21YWmD@2UtQEx;TFB)%U!w@fIp z4qq+XAqTyTc^g|_^1t4CZ?3iM)gX*d@sPX{K@E}jdDL#1)%u{>9t|^(PqKBaX0mF= z^%NJ}&N6)1f^37zBCn`}ljVT5er5b_JfLp{b0=$4PVtZ;TLbv5{LEt&t{%K`fLuQN ztqT*}IGN~H5wbYhgO&sObP%CAU^dI5fSkD+m{?(iNCBvnC+ijmXbS{tB%hTkf&rt? zN)66R1djI%QREDfR|?sY7#G;y-)(`%8fVYSt4|3dB(n?QZ)@-G!M%|vafVV87e?@( zjTkX*@bt(n`>KN7i?sX#+vBP&=lbRZp_8D1AcI(bt`w_nI-Vnud-y9pWjLs zkSA3SMznEht<=^d7)HLHwSVn4-U<<0k+)xSIfq|()r7uF`|G%c3Un=>tpk{&`COkC z;2To}2~)IKI7ukywA~l!UpJ@GWgD`q`%#;HmXy1N&Zb(F@d(#MBq!?E_^EoQwfw_g zmd4EkQ22uR1)mi@!{4w^`8VwIcm{fs5i#uVy$zlfKEL0v&*(So>j9S0mW4=_g&vt= zORK9yC#oVI!QM+NW@mi6!l70~UdU);wdUwopUhq3YIAN`RtU(al5$D1p~h=zIVSt zALlbh#0mj)K@4_ac(EuYLjL!pn>r@%N7BzZ=`G^A4qV> znnIc|VAa#fvS%Oax*bL&m1t#;6uo9LIH?pf!8}zYk%Xo^%!{SU&Fpy`vKhyuSg>=S z-AbaMZMc1BV|m^f5)K4%zscr)E9zLh2oL)nyBdcO%fcp1RJ62}Fg6?WyE0^ba(X0M zV|RZ@dT1|M-~^uc$p(?P>&J_CpA5Y1kLqSj-$no*W^{!ar-_?8quZkcta08E!X%Rv zU(MM1E-ySTtClNLDD%CRQ-<7D3Daq0d613?nfjU-F;(Grz?#7W{v)_@13UcQW_Gj-vFA z1v=+1NxW5(Wmic{)z3Xay`(j~q;fDo`cw^|S5Cp>R6i$({2u*<%}Cz7BgU=RX4%qv z3JokMcTJp+X-DjveP(-$giFD;v36n>;HErI4LwyN9v07wj9J__4LVK=Oz7x_D2pGC zmy44{2-V86UL`45u?*G`zpM%;)R9o^j<#q;^9<}b3bKU^jo^=3IEU`-f~8w6I>Cro z>oc!VwT>~mF=vzWjSdc6e;%0f8{)1BUPAGHIf~AHedBzzi${&|K{~G04~-=|VgB^H zvyZQL@_?-OKNP+{G2hpe*jb~uyQi&VVW^e=k_UFDcC_2O8s7Wid+YV-hvM6OA#uy7 z+pF(jkZ_^<^)@0Yh>NWv6o>@V!Qgav5`PI@yJ2B3PT0VY-H!^y-f#G%UXR(qf_P^D zTv#;ioQ+ZBtgfea1SNAE%;&3!9YIMwF19Y*wV!x##GbK*U)Ci$bB~7vIeb{F-B*>Y z4B6uscSM;;idHs6ksgc=o(23lbH-?Sy7>rlxYUL$>uC&;iGL0PADc@2n;h`)b)J0y z>E$JHf@v_K%V>)CHP+g~mv1rOc_LXRM|>aM1i(4WpS&BYgS57=et=qBh@X&kbDucL zVZSvNz_uLLO%R0rn^QALO7aO+=t|C6|c4M(px(Awa`?JVGXf|QE7fH!;<#vP*aSc zh1wCqwM$`q>U1E@#T<$xjqtyO@Bi0?k0Cu%>mR9Kf?UuCXbIXz^e@0E3@uvVFdol7 zPtjTlt57g%t=LGDnLu{y6Z%L?h9xN8`pt&aIxSEnB<>B45U8B~ES2a}G%pc}r>JE} zd3>*vHtTzuGn5;VVCA*cPi0Sln&pk9D2*B_Jp_{vY7kBTV=oH%IP<$m^#4`Jmw@-2 zL~~`6B7vg5;q(#Eed{t_pk>PS{Z&Xl9PW;^wqbTrN#66ZSbs(08Ese^)5%@A`k@HF zi`sG+Aol9ICod6nuNvw1jzJs|vc*^n)oq+%w~P#m?7|y?XGnEq6|*Sa#3`U=E=pa^ z2%a{$>;IafPAc5%>Fh<$oqyf!UcB8UB^wDHV;Yudp?YF!`UZL^hlCMDU{}%9>VuK~ zW7fzWkmi@n+f`s{bLD0aVX7m+1-HNlJGS?Z+G#;b#q%x!mG}Q7&fD<#vx+{$ITH5+ zB_Q(^)(Se6&OaPq5! z`>8;64^8v^M^3nK=@XWG^4wdIA#W|A665r!(dj(`{iwvf!$16x+*NSo#6?fLP#RRG~Hz%$QYji#xR*~o&DHBl{Jbx=%|Ib`?BRYs|@zShbJb0 z^hbwt8wuw{+N6cL0Rw#hK@B=9Kj|HB%fT5r7Xf zYFJ#k7c0`PaOYaPaV<3c@t@y#rsgGm&d^4Dxh2|$-@?-BXAf)Qz>S?3djvxcD13Q= zFQMRH^bJCJ(vw3!-;`Lt3sS<=nPhPywKqzNTD?a)3dDhqqr;w=rER#acBOMpXm?r$ z1iM5CD9xj5 z=h~5krB;cPLd#3+*iqNA>FZyY2&wLK;narg@2LHPCHtZ_Sx1(1I9{D<7(3ODJ1_D; zzEulRb&Q*?>}fPOe5BSRKcPOb;)Jtj7HdQ~po)G?GBdJX!~6OmkMu?hi1B8Qi5Zu< z%y(vvcV_9D*TFZ3|bW}5<5^#^vZmBChgnMgbLxikL@Fj3mo z)o1tG;0uz{ut3R@H{=NX0}`S>aA<+ySA!U^Gon`?rVfg@ax=e8Ri*F0Mf4fTtZ@p_ zl!3B;9IodpYe5GNoNb^WqG~3MB%m_DE($+ed}tFzT!3)G%wd6m|CO`jTBW@6NHvt(I?7*#JTSHRZOVQSz2-Q$ z{^hDxucQs1Jv<&F*KT+M6KP99^nZkS?cNwwU8>~@8&_mI8)9f_Tn4)H2$mw`81&!6 zqS--fQvkbL>0e;%mtao5z^qdVu|OZhV?f$=RHyMGwCZ+55xvSAJ2d$b33nkRF(Ry& zet{l_+EcXxeu1smPix~_PA9bW!aE&~91UEVFVd=^r!Vx4V8fkzia)gos4Z)ZEa;3h zl}tIrI*r&2i3FTJd2C$?PC_3bv&}~IJFcHw;q&^bG8&H-hAui4i@kM#4fO6d_mv}4 zRkT73R&Rk7+ivJa+`dvZMx7&vtHoHbKb_sZIoWbI31^dng*Cu@slG-x#0qnDfCICh6( zN%qHlcG}3S$R@~!I6E1T<8rk})T?{(Q(R3?*EQm%YLoZY&jlNKz^oo|Bb@;C+kb5nUzyS zMmz_szLE9+jQ^g=pj>d)pf=i}jUzbcK>C(@>F!ktSaje0SAz7RoelU`y7Xb=pJpMt zEttGe`cTVO(^yj}<_4ou`tZ;e++8Pq2siH`HHBJoPMKw_xa9}RRWEcs#wR0asUF9V zvkRPp{klhrrL4ySk=3Ku53+gCYt#?&i)T6?^-6FBg0lO~FdEMR-Dign)yE}F2*~Yb z$sCM&v1eu-){j15WoBVM7hK+3*4VUVgCpyOij4*4B`?LPwS%HznL>7_e8nl+!#%;C zj_vulzM9OB>kPY()uV4-*HC9>nesgJE!^u*g&Y-0>l7kywMDsP4SwM}*942l&6muA z@UpWsW=BDIWQO`A8=_o)K|aVB5ZoOld0V466xEgdDcs>n?=b2e*f50`kIqS?qVc+f zIZ$fvN3M$Pn`W|NGV3gfn^}-F9_o^?0$E{;M@yS3S0l!bL8goS+(ZlxH>=0e?UOYvkC5JE?BIg282(v-w@LcehzUq*KU|L)5RS z1?37M)O)WHN1q@o+3J=c{VD9|XV-6k@1&qts{HYcq0HOTOQO1bGRE$uCwtHNpshTCy36<=QJhP2~)3t--^F#ZJM^(BhRfT@bXD)Es39Vxe_!{%4Ut*EV#Gi023vd z;#9*-)dnW)@oo!#Pk19wc_Tw&$^ZpZs}F#DjSPSw7)){>LUA9GSpdfy_q@Hon=J`9 z@>OH!SGtJ4Nu!XzLEhg3A2!Ok3A$Ax#J=B6EG|TP$NNVMK@86PE^n9ai*)jy3h+ozwlO=FzBahI^ViwQ%oEtrqZEduL!hqvB*qH-vTYqjk&2_I>@^TzlFU zhqikd2+nHwCC(q zy^!BI&Z%liS@l%Ffb@U+j#<616fEz@Qns)Jqwd6J`o3K6RdKxg&2&-s(+wGN&?B>tdE!;f*aLl0 zU54-@^fl^yG-6P{-)z>+w};EQ@BZ@O+=7znGD77;v*n61oc;!k`13mLR z(2nVGWJED_Th#QSFc2d49_|uBDS-CpObX0Zr1?sZi~N055~jOHxmSe_=OX(SI0dhK z1NNo|TiC-{G5c26i-V4|eoL*)zMJD)W{T|$;@g`?@)3?xkkWpDcifhP;3$tT7bxkM zS3|$x+oY+LkF@+T&e9_s(Q8kbN55_r$2N@>aln62ZEU6L5_|gz$@eZ5!ukG7`O#~Q zId^2b6`?i4iWQu*+%5Xe^k#710A=RuJ|8i|aQKyT#L#kqh}o0z6f8_hCpjYAz9(lv z1hg0^2d-HLdhx2-F89e3BRTCCUz1(1zuPL?T1c~0)32i?hM)W;eyPT}KRv34ADeaj z3@vEx-W)Zj`Qdx}SrUxTmSA;o$Md8u)4JckWIPMt!1;rjVt8|eZJ@B&JJYgh&uRX| znC!?0g}Q!P{9NFqaos2Rt2A2!`V ze0@8;-k;y!Zt23r-S)_d0V9of3|wBtc1F-8KAGstJn^%lh`B8rwI5!FNQ0}n^!PcP z_Gv^GD>E{&&9;qA&3$~QfJ!t83dYvQKNh4xnfU6=aHD3pBwXv%3$2`FKCzFGdx!Y; z?wy?rtug7D+TRkIV3y(S7ST}V)$78MDgNs=*)y@mNUKWL!rrd$`<;~twan}dC!Km| zu2&xGfj%dkWr6v}_7fqB({hVp5uoJ7WRjaV(S@gRS zGU7RrAhoFA*+&9(m!>tDu44J_{~rDucdqX2K|}GhrJC_{@m#@8L216T_UK7Xaiyi& z(X{6vIPt{_1s}$;JI1H?OH&)w_k2E^)S@ZJd;%!+noDCSmT7{U^S2&2#SB^$X8 zW?g0pdI-n>0ZW5pU%X-Twi#5$!!UZ=ZN0um7`-hma;3d`_lS$JR->sQ@m%0aIYOfj zS`{qlp?wA;;LQgH-H>*B#<0`~92{tLduPuL6js9scxxpjI$*^I5+nOc4BIBU!%Zt- zR^Q5 zCf2Sj<|0;2aID7ySZ*_{PPCa*gmB8$qFy3Q^ceMI?!80gYWC{WuRxemN9^!@HCssixBEXu* z!HQi6(WVy`WPxT;uI>Sr9{M3C<%ScZY{O^k zP6%{Mk6-FFXql6(dW!W}=5l^)BG9&mDubQni?L>;T_hvpt=!J?C;;%ZL|M)h&BOQy z5XeW_BrJnYQI4?5svW)HJenXqMMmn`>JSe~%ggQ{-U`oWe$WeRF?+*JfD z(^rfS&(4p!D~%Matgv<1 zsXTPN3m4vRL`yhKc%0_DzA}_}E4ghJXp=s?>G3lu{AmT97nvl?o6>Q$3Sqm626G^H zo$8&Yj0-z?ObG)mX*-4EGi&2OX0$T1#OI-TeivOA$hPV00eI5o0R$HCw{}H4@jLyz zOyByPbR64OsrmyBk11Qxs65-RvKm}&*|t%-Kd%=rj$TK;k+l6{Hz9G`DU!E7$@iJ2 z9L$H6g*Wx(;{Cq9*}ElA9sh9uShMYnIDQ#dfDK(T?S>^X-~%8Fn|`fOaXqF*RhakC zOKeTOePNz>M+LM^3wvmIebrqDC$Q8@RJPKqz}N}hwXNjkQu1t$$5EFmu>$ig1dP}eaCKfnkd_o53^-a zE0ykaZ@3}PP+-)D9oUZ31g7dB9ns<;4V@$`QfmTeJhoIzZ#y5uPi&6L*lYgpQk#+^ zxV0fNfqZkEg!A!4(XTl^Heqx4z}JQr!O7X$*`YuyvnmO1zCo2r60`=OSk(0_P!n3? zuOHIxSTwr;H>F2@qr}wVAxzX-%SAtK=dWyp?Nioq9@0w-61xrkAg&K-Sc)<=@6CsI zPksg_(bdkJ9U92WS{H(LY(;|hA?XFF0hwv#tpVSuhM^iRgqD_>T8@GlUXg;CtC##X&d8K8?z0m1;00!`mQpgm z`Ny#Rv$9MhEh?KB^x~2aV&r0PE4yvd?aOCQEC zlK9LZS55iK&33r7Fik@u1LDCv4i-7iys`ItQTk(MXp@6O#+@9g<3M(+BL+;d!|X(tYfXw!=N z^b!pA{M1EQTPr|48#}QjEB&CV(v3iPOx3a81`cs;m;O_1#~OIVm4u9U2^3!zz9n0LU5 zZv75+`mMRHV1wOg?##bzCgx^gSb3JGcHHcYmB^Qsqs88CD*XPvtZYdTtLFjcuk#aS zD{m&I*OO*Jfye;7Ug%Drdpf?f3rCT(75yio zg%+qsJYL?80sD5P%Y1^aExC~gKhpf1)qL7fM;X}yCs}Lo(^+M|tzvDn?SzHFa+a0k za+bsC*WwM>Lw_;+OVlpNKI1ZeP>DS;voLvh+%Izw{#esuMT8v}e+24c##%X^m89v^ zbITCXNdLm}`SJ(e(>iOk3bF@8R&Y?-e@1(%M*6T=Llho!6<0CC{Hv1x>mAcLSX72H zW7;x*|8pGu)fL&RUC~$HfIn>)p=?L0@_jGQb#Fgo+P$gzIB@#Y=B>%wm|$({=Z$Lo zSMniUoOiNSQ|GBeZ-eBJoLaA|Alh675BG+SX(mzX@uibiah%$6Rn+~`bK5+FDTFjy zgZ-&9-Rqh4WDllRv7g*yT&c7{9Or>-6}6AFnNFf^&fd}- zRbo~~ormmaMYrV^D!ly=opfqE3rSl%Dy_-cUgyyYzH_U9ozkYtL&TCsu4eiJXfpj& zkz=7%4t-=XU$LXqtzRyFjvc-liz-kNDC(eu^)jy3&0)|$#C%p&O)f{XW4>e)`^MX^ z=}FIa(dM?|^XlY=yzZ0r!gag9FS21UY>H@lPZUaUQg2A*^AGfJ zT-$*qPV2SIeFVYhwR`3J8tRA5-djS)V2{7{7w(m+?6OeE`i}^v!&pM^ z=nD_9-aFe-ZqC-_cOso1BN=e1c;y(E(J__FMHF*{@#>$k-cg?zL6Vf_0qFEOD{Wh2 zZ2PBU+`2{g8{Wb4G|o3Wl z^uvV5sgFY@suBO8+P(jBR!JZ-?gcYl5jT^myWlH0o`h)57F~DT@&s}9bZh^F)V^}F ze(h@gy3YFly`l~5RQ(%%9#`+p4t9!$r9QaWjn1--;Imjj=~!`BXxeS!D1 zL`@vduyqtFiFVC3B@DdSyk({}HO#*(1pUZV@0ANTWV5*p5UHLwa70%9=w=c*$e5tW z*>wg;oIWtkndM6dj);%+-BkSVl5FBOjQtk||I;=Mi#y=gQKuqYxdJXG12_6zAx))RCuN5UYN+8h-pC~95 z04}ECQh4Fq3V}6WX(_*|Tv95hX$M8PP_wOoy(ncPy0F zNoKgQDXMy{@Oe_wHr>8=OH`XApZFQ(-zN67HySY%KJ}8>;t{Ssylk+wdRyM8KJwY; zQyk0L@Qz;N3UHWyNtL4~rQ@UZy}U#+zX9VAsoXpm2-tvBkdzufS- zbqqsAxSYOg{b9oCHkdZBU*E1BeNxD?Uw5HhJ`8@JN0Zqf>s+bF>^j`L>PTjgz8_2} z%>uueRf<425RtMjgnC(N!^A647w3huD;T_JHdx^>d8%8(k2wmz^g29(i&QC++hV+l z@L>6ga49qUnYT`;;C8R>xzXBhZBSv-PJCE_?gL^8RRPZBWWK&s(S9%>USBHvFc`D= zFNia%j%qZvKNKx83UQ|H6qw~b&DbPles*s4J@$k0=%Zfk-0khTd(aS#Zy0Ct*xs9im{RtQbQu1#UYUk zQ#6A*AtO<>5#1SJ*e+{Iu%Apgf+TG?-USd({puIj5UAt(g4M0~vJQeaU;Aw|$y_oYsb2U=L3=TQ zP@@Fea&^?IZ^s{7(Y?f2dWa{HD z3_7yeCh^)7B~Xp_L*blgv05BeGT(Y)Q^98ryz=~gwFN6a1G&qRB52%Vg$X4i9^%7d z#ILTL95!orrO5`sFoua{zz13Mbj|(VoL;x_E46j-CHSczgJ1cXI;WXO zj&vlJaC~KUqpNmf&z;9L-A$XvIZhF6F=&)+bn=Dq10SV`>XAb)k)*V0>8wAwwsw`- zs9Fnxn0I)FJ!7@RVeM~NtrW#T$AGQ`)s>2T&fnX-W=T~&w%D@{U|~Nh7UJWP%RLAk zeLB-x`*Ck4jgbLsls;%h*mZaex=Y5?^YMUg6hd==>~@hUA2N(-?6>5M?thBKDxi zp$eD!t)d8t3-iJvAWE%5v8VGLvRXxDSfY5`C4X72b0V6mh6F**_(P(F+emJqw$YoO@JT9qdV*jo7W1D;fzbR`VBdt3P_XBQwl1zB+s;tc6U= z0B3rWHF7&FBbR3fzQ3}Us{Z@96?tysz z-R3uLg{x8WuW8uTSbq68 z!mqvpmagO>?3Kj5IT<+uz8N)YthVvu9YhVY!s*F$GI>d(nC^XkcJv#Ija@?7F}a)0 z)EktRzk~?6Yg`UlQo`(PWUzx&;2L!Pl10HNqhNgTxbtsWk8O|{n{vw|GYE}z%-~2cEji)EK!|yUE;@hcIUTx zx%PbHDlmA!X1o$00KI?qedJk_Ph2OFcT50zd`u&`qj4eDfUZcnKQs!pwWQ^3x`&^B z#2g%j#u3R}Zrxu$C>!i4o)9I_*cfBn#?`NfM&B2Muu!zF4@6C4PZ8sL_LinJhi0Uly(9QIU_bbvluO_*q4n0BmdSm;$hpturoyS==A zoaTZm7crTWJG4Piu$3sGroTDih$^>$>Sz+&6h)kR#rOpI!9&6fM2gk47B5wUEe(#= zXf)OtsZ*|jvp-VRSyCT$dA+mwRy^WRtRN`IwaF9SDrhO!YDq@@x6^dj8YIwFfN7(OTv#L=fSwWe(Ic3Pt-a2IHwe-7m$S3{` zimmgCE*2Lz%lP*Abau6b<*;N%2p0qKr@8iF9iVM66JrS^KtM13h0^WBg#nv1RpFLGLckMm@xKXJd z3}Ct^F9_|n+3ohN*_}z`pBqFt!RQ|2U9XMIDDgDt=2d}HN6|%To-zI{oF;lg@|gNr z^qSi=WdG+F`m)fUmti(G*fw zv6K^wlPjzr3Gcy%zujwLDeno^4!AQIzeYJU=?FhUP;1Xr7=K2C&_w)Ez`my`iXGPC zInLmJ{?y%^Jj^D%gGSf!ri|ZfH88^$z9^CR4)=FDa{pMc@m^P@)5b#Mk7HdPS7yRy zHo}4r2HCF;n&KzFH)T9sqNV0lNjJ@Ljl2xjyETkzqP@Hxl%O2^`5<)il<9h)uz?t- zga=SZi?Nr|aTa<>i{!}eUyWnKHY*r4ab0?q zOQ(DI7EUdKDv>dXU;Yu0)1mqP!hPLIYiuTQhH&U*8<47styn0?%E9M4;-BZEjI~7uO zGtW$sxjq2O_!QIjayo38O$PCg#qmx97wJv4~SHQhsH$_AP-em*@9t(WY?V6po@T1%`0gYsNQbzZb-!BW&QLCt?}ezNEcWV zdyT^KJmxUDl9=Nvo#QG$%yfHeL`(MjnD!f|IgF(0gpRa`jx=QRvEZcXy21%1HQP$j z%eO3IM0NLP1$$fGO^f=>H+?tj`OM3=mMS&Pf}<{BMe{+-7>}2~uXm;vW_@xasdU$q zvLMsI6DAxr`*3NWKMic}xQdWZe*OIEfYaMB2RMWmvy5tM(mTMi_3EJqaG0tD2M|P9 zmNp*lRv9hXEk4nBR*QxW#!aJlMSh`IVoHBe+$&!%yPJ05s`VY$NRYt(Jz~fjzc|Bw zaW_e#zYzK$r1KzF&48r+Z8()Luu>zi@o*IAn(Il`O#Yd!j0H#KtplXei}0*HbN-?{ z|7M1;L_Xz|r5zhk$fBc%p1sh?uA$7KD3{erfr9D1BvCEsGx;;xa=y`;|))kjfu1Ue?8vR+kP|rG5KlS-TTPItW zC~}yv&`3a^kS!#UEfkV1^!rEnDqGktTSz8Ld-m!9uYzbMSl`KZ+B)903|ywxp{ql5 z%>UB|q2lb-?MZgamxbKW%bUiG0z~Q4rOyia=~1Ti4*%qK}UfF(w0knYi0TPNzIo}dyb&g z?1okJlQgy=x3T*+ob`<_kBKGcb1!!1=z>}R zi?!T2j^{odXdpU`8;;bJ4_*z=ZY{h6V6eh&#IRM4Gx2QXp$%7__+*M;MbD$xeim_c zNwN?Qm<>4auxl7Wxh`MyPL?Zu=t|cK^QwHsQ_iodqSZ#(hckXJqr>w>KEKF(x-rtuSM7 z8%`ItYv66jS&KKYF%mpd^>Obi2k+b?0`dOXXXmC0z1xXVJ53&+f5nMVVCnY9P?DZeQ|m1c(Q`{&kev6sk^)!`9A0{>E4 zRb;}rCS5sXtb;b~j9fr5izp4-VhoYoNIJN)MQXRP1gdkgQpbiUe?4O;zQY*rm8Y}rn4#{fgJSM80+HP4^OWlBZgn~< z_`{V&&D^1q^=whCHr%l3twphrdGc9&rx2gycd9VrgX@05=gA2VdZAD4__uz~svO&j zLIKJJja>8Oqg@(&8s?iIeSa?>Pt|$O^9Ac8O&hhFT~3aw)7!J!Ux}!zQ%-?q!PF$I z@AB^=aJ2In4fuSLQm^S`A>J>&c~=O81*Y`%DZ#eWEvIl1U!PWV$AU_4^Imd?66C86 zv3`4_4`dj~Ad=ZpMT6N=CZ-;{!e5s*ey<@_)xp$sr{F>%9(R8VV z><){-vJvK>aT+yVH)PmG(uMu)gdQMyl7q2z$$zC!Jb#m7A+-pxUZXlCc{OgqH1lWJ}I@k?yClT+C%gUyPt^R z*{PNIo^>#3Ar^F7Nq?H4Zfw@qMTKoOi}`vbxQ2^s*^8Vjpt9H*DqJvXYs}8i@qFUf z*Eu_u%lqn3?qjHT#_lwo0dK3e-Y9UKX=OOz!y=NDXh9Xa%vk#_M1e-Nq(r-#M#uDl zbxDLL?``I+NZH8RBK22!6wAE=8INI=o1qmAh4v+DI}*Pi3B)0$w@x~A4S8UXLXD1% zsXTdW-(64Ullk$8Ch-@)(*={pM;dvid=NyL6?i18Qznx!o;{a%6`voKN&cua>o57c zPhG>MLKSV2A^vUM*+n@~NUhtE#UTsvZ}4dlgvEUh@6Py779oGR#w?Bi9wI*@)NTAK z*}9~ihwVr{(x~xbhSKhNas#qd#7p55no4`R}^hIu6joje!COYk%L%;er zuj2rU#!$EU@*Kt!=;`CL*XbjWsI7_u%T8M^xYVsdAe92mbwc2{+lM#0B0JEfnr< zZ@=LI5fvu$ws@ttLzn_|Enj30+#R<3B zT8~ew!NXZKNYV`%DtmIZ__d?Av*il#_^$cquVuLK7lp+^2y6|(-1zrYukt&I7q_<) zAM1~9XHmY=9=113hPKko#h-AgNlC)Vl#on-t&&gB;)tQ|>Dr2aLcX7mc*}zr!YTY9<`ozSf zR(}$bcz5IYZF@{{-o(NX`sMENyX~Xj-OJRCQ1hKRGpCCWuaIVO5EdEgiu#|Y0_aoU zcb9pnl9%7k<7^rFX-cCW=ZZ_<$yXn5A4}EucnhdOqaq73Cd)BYBzBVTPfxfZ=Syp- zeh6V^_cYwlA6FN%W~6q4o4Z-dkxw|>$kS%)^ITW=65oN`HVy4HiyF;C)cvh|E5qHb zf-A`Hrq6xGD=V%Zp(Mz7t#SrM9_@mD>%XhO6}n}h6#0PPqRu|rZH~7(C9KmmGJPq` zCGaWC{jj(Ni%|F_`l(0Z8hL|IT_!3&2kyD(t=NY-! zyQVXnpQSkZv~3bywlbo;UBQ);;xy%}{jQm`G}e)~b@ZFmwsd@cLzkC7IUk2s{?KE~_lIO(zE;oYgC_A=$HY z($Uz~hWZjrjG_gjc7?&Nc#^|Rwsi4#(h!dpAwTGf&x_BI^GV!!Fe8^cvAK?%lpS!L zLxIOnO#9I|+TvaBf>a-`4)=$q-)BYBt(rPLiyvTb=&8Kocm2#9Rm)0~iygXHX9%>t zI@{di9Gb9B3f8tbsQkdh)UsEIQZ>Y33EgXiFiRr;Zc}pr&A?`(D8|HKv~5Hv6wk2VYpMD`DABEzwBhEPv7oj zCp9v@#ActTscFu^*=4o+=GDAxgMPBLN2QhNy@N#?KjA5I7hjiW7kt+@WSYKpqFduM z=f_5ct{bP!pz=4ws|NE2i1VxDU2;yE4%39#Wtos`O`*Gki;ykO{>MJ5=tM#^!aG7Y zLKYJ|m#*loyY&NZq7Yq^Ij-2FX$|^Md;zF1`j_#9pyY|ckswVoYD{*W@9L;$_QbYd zrbZ1SoY!Y^fRbgayaP0@vTB0*H{y;vmmAQIS{Y+$fzPe=S;rF3P0SmfuBMw1lIGzZ zcdpy9kp+JCt@e?~R(AH$u~SBxn~_?}x<2c8!P*mv^^P0-GP!C_{ZupCmRq?t!nW#t z4<0hlJfZ^p6SsQU8=f`q^aF(KLswPq!f1*`$yF?;{tU*heO!gGirq{f023ejiRs0vG<4%kBZNXim#4}=X*O& zV{c6*Coq#4#|1@7V>nVz`k07~$>5lSBC4l)wGOIWeL8%gG;@nv;u3NDt=_0P64B4{ zTuyqkLn~_Fk$*vA)nc$W;j7`)#KsA65fa2EgTZ%?=a35r?>V2Q>xWt3so=N@kHF2#|;lA{i8Iv+?n53yyI4qtoiK(rISZ)kOE~>!JHrh znR-B#unAvm=MRngFv!u)-hu(h$s2n}7!YnkqS5y#JMR6^Tz#=A&A{KC2hxoLXqVHv z>e+WO;%FBoJzxV|0k?ol2s0fg(*>CV@Mn^`dbnjcFlkOZ+;S3lZ=TFHDg3O@tA^?| zgJopMl3jdrI~A#mHigKC6RD131e9~XzW>-eaztUKPjWVzFG*n*Uvxn&oL4nClazu} zfcC4~b_#(Pk=PPmb~YvBfQ^1_epPqzJ!3tyrJN-a7l>}br1`t?X#h+?b*$?7R*#%RZaIkS8W2~2%nMCq~@?cZ4xiPWqr{^tCp=ZC8!AfbUkB|@a zB68a~p3AS*t%-Q*+7~^LC%!=c+bl)??u}&hV%n`t5H%HuILvg@xfX z?li-l53I@t38p)t8SRg z(=b`kvMfq4miAyLUFGQ>Nzad-%@r5qF<~M|F0IXFzxfehOk{X$@6$2B9BMAe(qx88 z9S;^7UwKZbvW*-cuz!uPJ4^<_%&3Gk?x8wTcd;jy%~x|Wr~8!=b2yAFk`jG=9i1AR zh(Us7whijp;Uw~P7}=BkE$+U1Le@_z;AE;Dmqer)D1qNbb(AS3R7d%&$G7)xoRp2L z0yqA|uBNa)gBYQzG;x$?Tz3$DF|VI6-i|gM-f1K;!G$-^RMTpZ@M`?KsWIoT*;ik( zcX1&)ndV0A{tGPWj)6~=kjjLR1;O-9*x{nfCjB9vZy*kQ+s68?hgxXw=unjr(h1w8 zXAWB6a`WnUaf|JXM=$0<9(%G^V~Gc2HzEf&3E(E+I%5)sV4qNW^NS{-I_Rom%9wfUoHx=%GIGz&_DWS8#k!2-~>Q9x|t=(Fgc=A6~igzX#Z~ztmGJ-yG<-U$mLor<*y=+lt!=oM>Y0M!7of+ zwc3uNVmNsiEkm)Dzls`iTCw&Y)!8=%#n?Q5vz8Z%$b;okLaE8&)C}^n4kIunqN-UNraEOiHPSETUZdPvATa zQbHD0&LgE1M-utp0HbC=c%=xtC*4Z88>Ed(1>SGs&e>iIi}Rw8IgO%i3{@hJg8k5? zwO(a(Dsng_a^>%F*&heG&uC)`{0%8DyuWo%NFfa|E?P)Eh0tHv6!x%}D=S)xA<;)k z34=b;6>^Zn0VN^`RqrdVmtOSyP4B|FWaU4mV8wj|%L`HNiKK z#2EKxsr?}hSr8aQ1K1YYh?o-5mneo`aRnifuoq&91g93T-K3gD#`ak6a0o?Fo-WI` z%*5QIsBJDPhMVhyDjcNzF|7=@e%NuKUNp$oRyc(9>lFt_i3*%5Gg%NOM1_cQ5>v0z ze_#f>N2$?S@Q5Mdqs9h=h~|+7h#`?n{TINl)H_(4iLv_s1E3IFLYm``(%-s5Cs)R$ z+8`CnW0mO=4wEtj1O=kUzOj~K!4Z(n`=dn;H;zFUCUw#p7^cb;CN&%IzrnRIZtT(u z?9#`Q!+oUtH{enkiv9ZAFc{~^LzNuu#RgkHQr$@aRtS{h;E}RnUjsiO=3|UZc2{@S zM*)=)aSXRn%nh+ALwdBvqA@Xy=#ruk35;k%ApWA}JL*XIsho5F8rs;B9GLVbWkRYK zZGV51DC5sBwkfX~-mFih->!;us4?aKEQ4QeAiZ_NVA zDO-RsZL+bo8G>C4gXd(h=w{rl0UT@iR8e8maVzpe#voiVuH_8bOe2e9=O;EQXV7v;y0}!=#}KF)DF9HrKqHZCN-Q zsQXxy3g1xzSXc?;Q9hEuK#Q@&;*Dz%D&Rbl`lX#y;jdA&voF!Rj10D^6x)o`2#S3i^5U> z5ZAXHnRfw8wv0;Qle|zx)ze6Cok&mJpR-sBRDtr(m$F=FRo#2SyK+@Ai5GLumq?My zg>%leX^_f`8ifoEDX4a44G-nW!@1>D3^1fy@KosvQOPf4@|4j(c{)>svCjtZsDNF@ zNyk)?ZJuuVVcQ6hY#5cl8^^vOh`?OX%{28b}vbj{Ji=@kZp zm5`bhk#odqNo7?34Y`zuNvcS>lqt|cSTp3nQ-ihnLY?tce*do2l9nh;+7>O$U~W*( z`K|`$n#mEoY~c@s{ULJhhB0uXliH@5IF){<>gKF6m0j+sTZ00*Urz)`8QqBd0;2>o zC`n2s>Ij0z|BMKV8FQN+1v(U^vk;*!E z#|fVQsN~*?5j-!hw7C#@=*=-Y&>ZZKu^zRodc?w_xVHBJaBttRami(qb>3iod@oPX z3v(EKHB54jWMvjlO2_RI)b2qgJx9SZEnG!CM_DogJ>r}2fIH%MaO&YWvY_vzxwCY* z1BKWhOjMEKKNrKj#hVTAkF@IiDp3o|(`JoPV)~nn+XN-5EunYFEbgHYrXm)izbI4* zuYXMs*Bbd>U6e&^;NRZpU!Bsw`zA{z=9xG4vI>~)I{ZbNNG(jte{5sl*hj$hypCmZ zxHW9L^ExU2`q}~idNt%0u=XF@**Eqj*zP*D9m~bRwq1>r)M?$Y6T>QFT;;DDa-{g{ zhPEYOZpfLn6KSp=#R96l6|E9ZAVy_}4r~xs-4u?y>2CQ>7zDox+y z($ir40r%3#+UTjF0V=-0{)&&V z_Qs<6BYg`TdT{-#oOA52m}_ssx^!MCxg(Pz*}oRss9fIZ^G}io6T+XjA$1E~B*?6rSITVy^jybuFVQxkJd1?1jWOPC{v0p3#Ff z;F;*kKhR$cWnugWh}*SG`ZUR`;HQfr*N4E6-od&M_Fu&KZ;CzLG@7papCN_-_gyco z?aguJ@I6H;eOPB&65}{l!@WE_O=T!A@R#?pDdJ5!1|v!_SgK@N2Iw!y zOM3pTSk@5AwxlXJjD{jeTXPb5|486i)1!%UyOKu653np}oeA(R#@<$`CCw~`dr$=f z6$GE8@kzL-m@K?hkww%w^!?Q~jo(4UL2(-5uDI?Z2^UuXr=3cL~DP+~x`H=goju}_6L{+hgA-fd2K04;sa zVg#*{>PmN^1*)~X{epY@%C&Zr)aGmU)^{v%_=|slI#l`_s+)hIQSH|o)<H7O|fvN(Lh+YrP-DL-P4jj)|oDCS%*?TRcu27 zE0};&uq|V}1p$e>n0BQw=Lc*COEj3E_9EW@AQ2wsQvX~xhe&O5{&>U^hgDr6M!7#d z43sfHDnaOF{w*iJYh&6Wq-R`q75B*HVYFv+(XXgHYOJWxU$jpVTox*Z_s7Ys?0*i} z`aM-iMD*73CFdc_%KI2#HVF2*ywIGw=V7+&L|3i-URJ2hs-eo1#85m(|JMGU>Q>ZG znH2|mI0+?Vc(hMZLN=Z(u9O!QscT(C(gKfan=TSm`qQeLqrYSXw8b|+G9%fmi*1bl zf^}FQgSi=U&VNf_u1$tv^l9yYt4UjX8GbGZo~P=Cl#!OVHaf`u|%Z{r`Me?mH$oHzuf$ktT;hSoiTBtG$( z0A**{oc%7b$<=Tp3(8+NZtoAz(C5~3zME>o-?(k!qB9JBgbxx)!CmS~DG)Js-@`FNdFWgTvF2#98N?38U zmzrF%`=4+2E?#8YP&6vHEQ9(XtwSn)f_g7cErW@3=2Z`Kq{`BNsMm%F3KWkGk{&mX`l9J_jlq3q4xWw>#dM({B@=uJ~zf!{^yR{0CoW z`DUgr)%6>ls|HeKw_=!HgvfCarjZ*6-AgfeVV=H6f@dc~*d}P+kfMb-muu)kzU0S% zD(114dS?us3ptO84CWUg?QM3r(TM`4wO`J@HE7>D_0EeQ?q`{5uVkc`siU^-|(Hg zSW!&Ohv$@k5;vgv)h1t)+I$&39eO<;+TH3LNYM;^p1=64!}8ii&%pvNLX<(1P`h(; z0tq`*y@IDEpf?+fkxctfagYU^Y?yis(4tqS#)wM05(BImu3jp}pq_)pFc}B57?i0o zq0+9xVA2XtC>4`c&!PI4nWF*&MTZ~-X+8-2UI&8^R zTgkvn@lPI3b{XO@ocQR^a^_^egS#@)?`{^uOR|+LZ0Hkd4&QghmVsB}M%hR6{w3Xb zg*&knOrohHR8i;q-S)X->Cs=1XiJeSq*DF$+}vI1-Jw>vr+Q8Xu+W_9Z!%KSA9ua0 zg7|&+Bl(9TAgj8Kvq!)PU}op@#8nKIPDnKIXBF3xeIh4?ewmqSj2n=c<^JNqI(yjL z17^ZFx6T;vP4@zE9!eG@)Il7W#Hin# z?mpybKqg9j{>+Bw+Sd4YMAG&!GW2xOiLuj)1=5L4(~Hs2dcPgdpR*OFlMv3qWeYb- zjis$)3Ey;8!Li|X*>F`+`mPC(Qn{Y<{#6dLhPELbec$yBT8o<=mcXEk5!YLkU zt%x;SF^E+diw*hOag3}f5r0|@;rR%`y3vh{Z6ZM^@AE2dp;58w-~Jc-}ux6 z-%KP?KABKe<%Yn@4JwXySFzDndMDezU$&jFjl1j=B{D*A+ zhy3{uS^QTr#Ok38Pn4~Fi}|uz_FgUqI8gRkE=FsxOjj;uHy);?%JsrN#oFQTXB~O{ zmDVAjbjO!<#vwbtg?0T?E(r5qbkTma1|4DP!j_TzXbnE%_F}@C)fIio^GvZG$gBz8 z#lNHh7dM7HNkeSP$o!_JQeoz6yvC5|`Ssg+lD7d=0ayW?0dgo~mfZsN+m|zUtIz!h zjijX%r8G9ArPuVqKwfJ@(=qJ@v$b5c62@awa~3Zz-i2XMtM1}(ZEMh;-3isbGCrvj zN?T%nXkC&*JIc2G+y6{5%@Mh` zMUISc3K;ac@8V~$7B>IVAcNwUqy2pvd{z)s|e20Kx_V7IQZfXGW;JnP>K z{J$A|@0?m+j0Z*sUY75=FA50v9=yE?n!~Ryn9VJJL-sDt`(vPm@-^*iJKOTo@#7(R z9I(-9kM;~9s=;UX=C#a%;X4PL>AjbMyr$;`y^Q&2sWx4b#G@Oop|^=FDTY@`-a`X0 zH~;J-bc%YnCG@C)*rb7oZ5Xh8I{(nb-xEE6xGA~)4q1O?kT<`fv5^xo+D|C6S+n(c zt$$e;ikp;@Zk-WZ@RNG=^vXWHJKX*oscEZUhJ8KcV)@|g?#cza=iRYH%_p=2KXdnd z@PlY{HSVt7>Hc2HD?{-2{P^DZs>i)dP8)L}p?E4I`9r&Oq&j!e_1)^u`TW88lS7lh z|KaQ{pz2t*b>RpQG`PD3cXxMp7Vg0b&O(C)clY4#7Ayn;EL?+I2=2ih{w8~$^Y(f7 z-0_d`#+d7yQq{AoyQ*t-SIz3SE6@yc%Ne74H@u8W;k_hyD%3VB(`Q64v(a>Qw|xi} zx^EqZ^xCYgJKo+cO4%us7xtIr7bWZNNuk{pEpSZNRcMww{fanqs+v5l<2DBKG+Tkw zMnMLn!8!(5vGj(+a+Ku6YdE?C$h~vq%Y?^5eQp~bLWZ3}(MpI%Kfd{D+Lf2)%Vei_ zm`=key&=wFm2O{hq0h0=lN7>=zGm+r4TuR{BX%h{Ni+7_=!7FtoO`}*IG!_!~wi|gE4 zElNE%C`K+0&!uH#^@n9vgougT)SFzM;(tB(@_Gwx@iQP0(``O@Ch=)$lpnn;=M<1G z-%dm3C+#q;g_Yx8uDUKNAQN!GWT(*o3NjC6nQ-)5jq#%$rRhq%d4xDVZI=iWCbzye z+waeC2*=`R6*}_bDKO04zT0kIR!X4F9nD|K@5(Qfq6_S++v_^DZFa=#5SpbFj9E|V zoHEcODucUe64LMEITL%FTvK$se3~aH9<$o)3OT!R^N>c?A4|-EO9KJ(5i{9oX^rnK zEDnD?et+;RujlC-0$=Te2`OWaiL|KB5xy>0kL^Fwis)ePSM?5-8$b=&ep-uO&tCUm zr-aZ&&k{IruQ(0M(b&8~JX0NnfBD^$bnv?037tg8XPRf)?AYVM!uoRqyC31+ zqm?a%pgi)sZ=>AA9}-U1LLk13-K)iC+vW!tjHhxLCZ>TAQAmuOoL}a?^wquV|4>`o z)!PS?BF*|mJid!E9&xKMQ9}F^n8sWt&IklDOHEOo!NmoPNtn;ZtYBjUS&*yom&DUd z-^~P=I!Y>-6mNVzS*s7VVOp~smS`q_vJK0DkZh2)3M)P} z!knpUW{KWjR9#O8OrDx4FBBol?k9;@txEo$%Q^NVyB2~~g!Lu4j{b=sKpwyvGhh_+ zld#J^drPS^(2!3xnfKlE?^M&*UhtvYcTMF=%5Z$QYN+wl`RN$){lY2JAzjs`4Y9z? zoQ$#!9mG@TV)miHy#V;&mN*$s=urI+I1~W?DZj`xl zpABxJqbf}K&HcB-^=)q7ENqTq=q88t@yq+Oin9nW*F~87&lCzR4%ior}6NCAUQur}VL>)<(;XLL4n(9t|zD-1L zBCTi_ICM*%?wC5Fca~fJs>|L`m7CNozdX9Vyy+qI$iG6|b_Tg1X*0~#Gj~)r06nh}s3NxR~b*Zo3&%gFLFnn#vauD*}897h3wlekNRwm0T#X9=uS}G zvF>q52(dY)gW}!?LqKDEqn;~#P*punRp_wE+FTz$e!2VNCViFtk=vooO}e=Q;4z2F zjrETw*MhE1A?rsc+=E4@&RRJt!M`3@JVQl8m_A$2q%}4&U)B%nRtTax>4d zY2RMKC;Qv@n}5`uORixZr>{ViMxYDK?;d>7T*HdOSb>;YE{|@Z813Wzj#St!++G-(oSe1+psBpR)a zc^T72#4#LLrA^n#n$Z|zy6`srO>UCOm|*`?@gX@+KNj`oReK5jR+w+yDMnRJii>aG z7b7%c2oTooV~smn9HW+|x!}?q!}7iHeWaVWf%|17<>18xU;ZIc%5u&HOS-H;vKV7m ze$36dtnzt&wkUXcfxv)jzEkm3P)UGt0B7>Hm0R2#k1wBfK1(GHZLCB-^Sye}EZZKx z&W~cB!MB^6*AKj-$PlaaQNB-1g?pWeWp}D&5{H-ZvX2+-$9?nrn_htOi&;_SYHZ@x zLzrXWPKn3p!h0zi63F*`FM*#4kIJ;b@Ggl$&w4fgxUleQo zkRLT4d5F2|!6P)j_mn&ndOP!cx?7n$*H?4iM18zZ`*q0K6z}J%umv1#7Y;B!HW4Zf zUrN!?+W94>9>$>6Kd6{A3CRMcu=z)7mPKMpi@W?DX&y6!P;^Qaq+6y|Q+#x^V#kE3Io} zqL}0tz*)Z~Zdzn1A^B$PNsH-pE}c1W**EV$h$$*;m1SN(IDk_Qo6jz)Z;|cK_9`lk^@IWrJKr(azGk1@Z^5F8g<>FHf6H9IF1U_uo#khY8R15Q!KNnzD@hhr}-`%55|dkCXUrLGxVvPH7i3p?Nq@`AKBgS zDCaKcE@nAu3bRm7_KocG;~Dk^__$W;E58{9i<@t!J;d`~M`rgzt5#Ex|5xMb8ftYC za*RhM{j}G}u&}W1549QDa;1zR4?jHA%$U2ACt}D$%oO;Ee^qcS#xYdoKy##%sB`0k zj_Z!zs85P-Z(&73(tWGhP2!hKpFYj9Nc;Edec#w<$v1J6PR=6-OS~k_Z3Xiyr8GD) zb;ru^Mk^xQsNNymhf32L%HE71kO4UT2bU4lN8|61!B*4DRah98nomJOY@X5U~@X6-!@X63g@Kv}=%wNo7lcaCCkwIZ)236lC)3G&m9=c_Zm9=*z;OROP zE{wWm%MG<1Z96deIbcr{J~{@)rf{QdQ^tYSjXzuvwG2bRZNn%|Vk*9NA8_BiQ)|z< z;7YxE81r=UZ4eUCt=9QfNBNea)*)iN3-1UkSP9x&Nf*8M{@TW*?iCCiCm& zLV5bSiZ761o!Aj`$N@h0ryWWUf^WZem@Wo;?m^bO@9^Cn{tB)sn`0T>b9>q@lyaRRON>Y3kAJxa48J zCE_`$f>6>H)X$OkjEyz_#Ks*RZSp4ijXwyK^Dp5q6z9B9%v#msiyLV~pZ~)W04+(Q z2lvML1(o01pBrN@Gw*pms(}bIRX}3&;0sF?;w;qj$9*ur0i*fZz^UL*^Q3+!=X-Ns zweW-R6k_(-aGpW64iKfs`lKbxXdoA3RMYxWV)L(}c1*6#$}hWF7TM8(iSD~c&h?Lu z35Ky=+R1oyp@CNq7xo5o9AsCYGS}BsbL!$Kim+GMr(MntjZ;(G$V>Cu@rO} z(wlUEgm2M}nyWRY946(au410#oKJPrlx?IPsJi-f_>=gx|4?Sh-tO8Ug`78j61&y; zPU=&+7W;MPgS4TInArDCJhkgjO(kvpDsB42N_G=+v-#)eUknmcsxGM)>#pw8Or1+y z)depLool*XdU{+&^O>o*6n{J()=gc#?`rFx-0nTwczt@o>vN9{5C3c*PbmlXfn2&$5YbI<>?>g^o#vCbe# z1T-=DxdLpitl7&-y>Ee=nAC_k7_JFpK0^1progB01l_>uK1d5sXf3Hv$>V>hY6e!e z)ueuxNh?6&6}rLhLy(pykoW&xy+~Twym+;%;8utJb;G0gBz+wT(nY>XO|(cCK4j6> zB>;I1X-^bjG9Qz(TJ2S3W-9c-9*R6{(}uq+%v@%DNu`u?~9fK<>8=@PPEO5$G~RtY2=aznTgdu{DI|NguQm!Kb5WofJ5E+L@ISyehrh&>W^s5&A?x(>XbJ{JFQt!LKV>hzYJ_0>cPzS? zlk)G=o0F=*Ic@guUKrRH%E!OC3H-7zAruUzR-uK0$*tO~a{3{VyKQpE`Uds?{r5-? zJr%P^!Wt0>m)6@AH2lj*)WVTDSE6I$^Ug?IB{{y-Omqc7mw*}%pPj#)e_PpfvmGn- zhz3ldh@9{(8^Q-I4Lov$4^Pe3m9F!q(7*1S2Ql#qTtp~7CtdUbBY6i}Hrco{w3dPf-0FmMD2oQ>{7V@Vjcf`GFhCXY@j2eDj^q8(JAf-G1isA?1nJHhAeJi2ZefY(xQtNmUG2ogum>pzx<(bz^m^gQSZj!~YOQK$&RcX-3vn&^%(A*4Mo6(Hu*F>-{ zkDSoN&9B57);uLVud&b|mMJFKmwV*o^Jk~Di1jYu5vu@gkdNS+jF1sqjiT6K-AJD$ zf+Mjm*~8fs$Ll`!&yeF|<2dw_qi$rZP)3BH>V^^tV{}UZF3Bh2I?M5mC%Vi zlza(NNx4CONx3}pne38=AHzE6Quv6&I`CGq4auVag)|5Gb?8(obi+)RWij?O^R%1M zdRsZ5Tx~-4X1?uf_Gve>x{VsS+RT^flGRzJarb>}qQGG&1skKVl>mD)4olv152w_j z)y^vn_?tOEEg-uo)g+b#6pCZgQ^>YmFvZeD zW~+cRw3^IpdQ3Ccw}Q@onfr&r4}sd>je{HNYDgGQ3zvwoZE1 zR59WqoiqANE7_Xg?1V0YlL#1EKaC2h5U-0}C#8#{-}UI1H4}0d644~PYq@(Kupe7i z0>;dJk9-3v#4nw%p2x>?+H#(Yw)6lL?YHyQMmD{QsLLf%WbEIglZdV=Qu6dOvcp_(k{sM-TEwfVG57%XL>Pn z*d#&qR%Or+2(8T~16osDO<0gCMFm+dy;~r&I1u@*PmwskLSJBKEjol)Rb<|zHO0zS z0SuazVq!8(Xm+8cVA7-Nb)oHJ(l_P>6h5kdpkCdTW->~9pa0&6bPBSYCPd3@hrT?T z(+oNDUGnLFvmXNtvjcO5EVI#zkg@N(+cIA#ekyC_pLeaDk`5u;x-gKu808SUGLtT|=Z1t#%(Trrr;}CwF%;nSq74Bw(=ndDTC!)bI<*ul{U= zeED-hUS02&5IENiYT&K{6uw~Fc6S&}eO(rOTo{1#7l*~?0c#MP9xe}QnRKebp7?Vo zG(u)f>p_c3f2&17k7(hT%_t5SncS8W-5 zmnW=YH|#H!COZ{PR(MAe5~XF-nm7zyM{$Z%Y?}7ktw#JL%+6NB)+^k|P0v}|%SaT$ zaMeT441>9!H2#xa!?eNBoSFa7nPP3Nu)+7UX7TvISu`v${gQ!Vsgit1MFDP?#PlS*W= zIExNiucZt|zB!@z5N~+$(lz52Pyd?lR0_k7l&lW+u>IA9$P}=!EiluL2h6ymb$$FS zHBMS`nntC`lZkxH+8SSKaFcL#e8cwrXxn=9vo&P@WmU=tfh`8Eob|?v zyTtO&LH9sN9o6cnzvJ!oz1OwR69clidiQ%tb9k;?E~%#IM5`6?L3Rf+^K`B_))8RH zVM`%s!}E8^k7V2=iA>z2!ff0m=v>?+^L$(oI=x?xpDr8RE&&aPBUzJ&=wP?M;K7KH z-3KLflbm-wf(o#BYB?}aSx#=ze0k6tY-Bdk9O*?l;Okqv(nX>YnlyJ^e2Pj80yJ%EhL(T!)?HL9liVf=GmE2niHJ6`@?xuJMQp2sSJ3OIIzX zu?-L`AmY_@Q)wIpyFel`4g(iJ1`AL(8Fk>l$XP;Jh}20!SqXp-1}H%ak9*B92xR@i zBmh~qK#MR!0VKoWgos!QkgXgJj?3XWt>UZhHW<=IPob=jiTOWW_|{n4Tr^D3cay2ArsLTy>7rpW3jb0VX5M$T_l zN%-I=$aqbpNasa_52z{H-h>hcoB6$*=QUXua}LGo1ZsKu*L0=RHhhl-$yJlEEsV5b zdB25loNEi&zLUhvrW(0_f2+4O844&xDe4mowIT;QBY{ZDy1E!_D?!Mu==di059|O& zNbc85n5G)FV*h?DO*~^=ZM$T`+TamFBoy7brI8na`0(~w+)p+6@>|vHyIdR+lLTiw z#{9n(OGj*jaOuMyixG2CR8AX4^za&xbDDCHJp^ZV?gP|vGz;TDLD7_8`G^-yV#jSZ zj#{+2Em}OT@tU1k$}Ec+X&Mzo)!#qMD+1|`)~153RqTK5OELoo{({`YOB(a!uT3SN z?be<5q@eS{bnyYNMGin_nBtb!!Gy!Y!gSQ4Zf$?W>)jf>vqjzN(q|6!-JW z#J)L z2}%@!r3pk))$|<5)CIqaoy3<9S|$vT$AwB`W$rv!^$&KD8za9PH()b~NW_tovVT*B z4rPxDMM;96zu?;YL=--M6chboo`xzlGyUTJWWzYuEV6xG3Ce8~ zSvxdB8qT!P#xU*01x=|>(b|jfCs(yim?m~~Jqwz!ny(rvQ7W)To5E{!xEE==t=DYJ zVap}Txb}JVGANMi6MPfa_v2_*s|ydy8HQib!*^4LTXl1AIWXBFec-z~^Fgpi*J5 z(>1oCS0`wk4WyTYOh*w)^FIuONnJ6c=S}3_ikl4ywIIh;DmZx^;MZ`%b$xwllYsJ{ zqV6n#$!aYBC)m4B8%*MJToewZq7hVv0IbURIDQv)jg+eT`o;J&iI(9~zjACjlf79g z_5kWU)mzrNW+io~2?e)J_B5XYG8<+IWr^ZSSI9RruqzR&dWqHHGE2#CvkUzk+1Lj; zhF9z_!$9PeFu2K!wZrq8?;c9+GSFX7DP+8kX2};erIDLJDQ@MBdhABoHA|V%v5J*p z>?u9kyjACP!SoN?KqfV8W!Q*|u&5C7^nw-c?Eef)^~H$|+t%KiKMUkG484de#xH=m zH{GzlH94P6!IF%PjZnJ!!EgT;b+4{{N^vdooH(Zk!{q0n-?7@xeLb>26!2fVj%vdd z2|z*S9`v~LuP{a0sA2gTVDUr-GVFFRMLukzbb0v)Ly17g3k8*t!tNzvO_Ec)t&E96 zB~8p?DQwCg;uF^8RQSP5VnC7d9%{`h=JJuKpZ!Ro)WVx${) zu)cs%QbIyriJrE%gq$no>Z`-pl|3?;y76adt?jodur1#*6^{}>qS5n@_>y8?%N_UP zT*nT_pLNIH$??@SBgmrre;eki&gUP?V!`|o%oOrjL}T<( z7)k-Z(K;MK9_FwBhgheHU@_E)n+(=DF7GX>1=+MX&~V{J$y>|j69z&Zb}+ioW%6Y{ z1q9rB9*6X#G|>~~zR#+kJ~fwTEewD$(>VxGAGcOmx02qpp>Fti>u!H9o*laHBkCzt z!>GC{>`=ZHIHLh-0X6ZF+V`jJ#h6yj9XU*S5aH1|S*mBexYXX6Dk3jAsQf{y z41A2eFdY2ZGWdB#y4si_6Yq_#++-1+xE(z7Rmz<9{v0aoCvwIMcz>2~oYyd10ZWlTeZp)Vg7&tqTdf0HUS9*Vh!D*HfkF*Zo2CFsvOzr`kG&88F;N- z97+6$WDwW&LrpSeu-xBoT1jb6oflU%?Sp44+8d-B?52pgna);;Z%(0UVp6j;DAMkf zuk}nbxnb@7%_{N4Dsjas0hZHGG`U#-51qodG7s21F&b{0dw6A;-SG}=xyE1YQk{7ZST*}nvo&ZZ-5oyX06Q6|F-`ovn(%?#P_Qy7Q87ZF6qL)nmCr;J*Ta!J{eNohO?NrpYcv;5zw1v&xUmxAlE`jCxKTB~iDnA%~6^{o1$y zAO0j>(0FgDYiFr@C=tlkP|5iZnrW{5Cvmz}nI2WBR+l{Z8g|OofRl{wX#J~-8qo?c zTP)!9{jH0}H7WoB&ZcO5x&aX4070Vz5O8e)ORq(E3TG1TS_B4@9qri^HVw@2{hvs= zOp_B@ktapg0d6KE{n>AtL@rS^nQssA{WMgHq>1;lA*F^MER!^G}-a)wOsP+{;)eFpnxVgrj zufUX`<2;%cgX1z<#^zE}Pm}9nww0$w5jv6v#6Nc-k|RxyU7fH^(%O1iI>=_*b9%W5 z8_q?lDo)t_9Iy2#pYwbKLErrcAog>(EKk_%w35$_yGqsO?nj%6&3>xM9kOi662|Y= z4A2rxWN~Kmk4#^La|!(+`#G>e^A#a*rYa05$G6&(ekM_xBQDFw!emrJRkP9rF1rP2 zeTwgLTX`ze_m4~^E)!YJ{0Z5g12*{S4Sk($7QJQ}qSNuH~ z&zj%>`IK5 z;aj_J6ejpZa%s|wnb{@IC_;)Xj{@ICa9oL~#m|n~9J3ZvRKG6m7|M)i=i*U|-_o>_ zom~2$Ad}uUOfz7!-{kHF|zH&6!i7m1>xQgj= z086+3e)ZyvQ)1-2NiC)(t7b&6CiM_ME0%5-=@~@ZHc4$Os&=jniq$Z(H#srx^5~9tyPWe zF8bwGJFPQQ#1k@RN=@oo|BI<7|o4^kQ~urKA>?lh}8SlQ_n}k9&Te9QiNXG7;Esn{AKlR$ zIKpZ_zf8d+zts!V-rSn>PCM)Ti{^U^>cqcaMA&=Z;$^zMdg?VTjVoG+oW!V}M^Hle zigM6jhg2C5kwU@e*se>Mw2oREDc~S9cL`r9DG~|PT-DSlYBF{BdQ=@Tg9bF{`tx?Z zIq_~zw3SyPk{EhBWVtaxq-c4s2Cu^rR0hoHA;BdZto8)~k(@0kNqUk^dZDt6`Ie00 zlqslu*m?0x`Oj3H_b(cBkqSERJvYA@_qmV)C3M0=-`&gBj8#L~+{o4>|BD5&@>T_% zws@Rs3At}i`D=NAZN$gF6u7s9#9vNs%3SZ-AFi9;^cva_6AvvwAWq}4McDSrG##z) z50yh4o=z2RGN(Q-nUz!scxIUVZW57bI?8->m5*MlRldj^!+N zTuj;kT2W*3E0!-eLr|O^(gAB40goC1M;ZaW5m2nDK##BqjVs)QUa|dp zjk0T#x9Mg!2Xa?E#J|H-Hk=a^g3xku-CTJ@e-4~x_x5OceIAgbIp_vkK%-fHZ#T`pS#p?*L)7fdsv zea)SG}) zFCVqC$6F_ADA~loei$Cr*UyFgQ{ZwB^5AWfY9$!>YVln@*Dhj-tpU1n|8BYz!4gsl zTnCyZ;i%;<0+4+8cNPb676X;c^P%q#Xrbr(3Dqb7)JIPd zwb>Y@i3g5tCUkMQ?^1pVZX+r~c+D@N+3po(zx&eS#zUs?TG~(>k2>5khPw^ek3(5B zpR@4WehZhGD$$yh>TfMDBdUx)-)RM9e1Pr74Qfiy(S_&QnJ;+I7Bfy?R2@n#G;E%- zr)O}e8KM4;KWyG7yJxVi3y>wll2WX(H4Rz}aA>5I21#vHhK<0+5pu?ekT+weTFs)s z(W#G|MbavJxDMEO`*-gdJE0@H7La{X&3v9BZkR%4#@ zFN2wffKNRRC1_Vp#4+URzZ^@RJN~yC@O7x}DztHKEmVhgN(+nG4b1{p4qlThmjxY! z(q4SA_ece+IMSUH2`&OMT(PHb3)(+0lCr3-_$O*L9mX=qfHyZt;oM8%oQQ;C&Gsqc zd7Y!JpAPRJS25dbyhYpX$Q~{^b;jwwObOjllu56Y?S+nF>BtnUI{D(>Axh^(GQR!_ zfBk2X-)o{oorU(nJMt929HkVY;_seFjptzrx3zvt4#bf4-UofnB2ytZ1k%#k&giRM zC}Xu|VoaHw1`!H{43Sc3r~bnDtw~bpq#6EC))>4Ns>5}i2H98ppy-E9KQ}-6@)1Vq z%bWJE$BZGJiXq#`Jfw~{rZu{<8Yc3V42G0TLoZV&eq1t>6}0$z>oKL*sYI@?D$O_Y z@%!puMrA%+%faV?`7(*3iU)-t7*4)u>-n;-tDRg&jzWURZBr7kF*~&^^{y(n6cm^B z^0zEp72T6B$#x04Iw#=}Q0@xz?@yY;3-l2vE~_W)VbL;j*by6`&JtjJq<@d5^V()77B@N06!Nu#-QtNVi2a$xGIm2QfhjZOEPaqQ)lCd!xi8ctxdd6kA%^*A z>Oe~bxc8|RT@fQa>Qh2-{cGlS}mC;!i3AlK5mYHn*$Dh?Q zG~5dAStdUC*-Z=Fvzu=s0q!#^uiqjQ_-$cTt*(xaO+g$9h@O_B9w6B0mxc=326{iy zv)|6LRA$;&XFxDkMmm8%P|~cge7MY%JVM8hRaIi6ELL%HN$aoTKrDk}YDbj8aCNw5Q*X!)mLrPY4@V z<}xIm(sHkEkaW?Kx7}S$^(u1O6w-go zt7DcrSIsTR$SX1y;#K#5@Ku4nte>C|_lNkVrw8>X9Bfz1guYV3YAlmY?d*o@xx}!t z!yTA(aR6(qk}fiB5xx$AiCH?VT^vE!eA}|P?yZQrBuF^t1Z$u3){@6et2DgykW7Bf zZK4Ri_T!>N?jYo4GlE+1qC{~wsHC3>x!~c0AIYnslHb%m+jQyCSMuU(p_Ed{D3S66 zgq!33+OBdjS5~_!zR3hK_HS~f?N@%fvGykWTWT{tU9 zk&R_7Xx6c4wie-;PUt#!kMpl85C1@x$V!GZB{%?NT?wNR+cz z@MJhTMU;u2*KtzG!Ux~p#nAcB`77|^S-~+97Y4=xJp^}Z^N|+%l0%3M&3vTn&3kpp zezv;xon0vpH?h6#$Cjs-r@7@pV|?{b%3;SA+n$Ye-=@>IecZtZm#5!bwyQIAD@)CA zyIKu(1CM;|kZw48(usyFto3o0iPat#6F?WyK57#lvk4kkaeAZLQ7mQomp_+$Z zQvJY|e&yWLU;HPtRTo;N<G zw>)IjVg_MS8}`S8KgG;=x5kEqXK+a9S~R4fKqrJ=f@4Q0OM=8wB2djr5M6?R#q9^% z2)&~R;|TA!%cSmJDPDfEO|6$aP{4A7 zbX+Tmj@1o%BY`Tp2dW<}?OYMCM>+1``?tMeZi=Ce?*sgE1U14K9V zy~NE*<>;abAGThvzioNX{e3eKj$?3}4yB8D3mq%8KR$gcr6Ru=hUBN&rhLm#>Rd9_ z@*|7edJN=xg9{X3xEuVKcE2In{X&H{Mr5D_rjXPkY7*W_VRG$DdV$yn4w*L&)^$#1 zyZt|>KB@e?_hVm_Sav6T zlCto1p+F%&vK2ElJ5te$qArYYNP$+VP|QdPFBowNa1L+}aC&My&WumCzW*8t>CHfn z;3CFy*uzIp$Jb)vtTM6H>z(@&Uh9Zyx=!2Irx6)d|7}6n=#Zi25=+|)p`rtN=Br7C zPp|e}ch&W8J5kO0T&Y0o#3|CRgM|-YfeoujbJX z-R+f1=i`|c_x0trlcQyiEBkgYu9Xh_xl zlp~wcGR{1zf2;aC5t{Ue(~rPwgjYVaZvj!0@xW5I?Dgn%Xc{jjU$VrTvJ^Z~>N6uH zfjKo~Mk}IZc4WEq5XzD7@mt2Y8~zd#@sfYjw|vW=D(t_h@jNh)_`_j{Bwokl`Abdw zWmZOh`y(*WV|>}8>#*Uco6ZNm!Y=IZ!-`hdz0CD$cpq7Y4-!8aRUPockSET|NErv! zNzXds#)V_P&&Mgi6=%lm{MGrU{2@j4(#rh@9- zUTS?4uF zeVEgAU zV|X~_|GBqO>dmh*Kb<+3VGcqot0|UQmx5je{H@m3Fj#@69~TPZJGF&|YkJldq@pnl z91AG9kYqfECUKgd1kOkv@H`N`*VzH1EZe~tZqYnU1+K~vJ%dTv5>rMdD~2B|DJczj zd#Mqj4Mf%>6$rq6lK2kMYcg!q)}fF65;tU z4Zi6U*<}knU)FlpxaR5csI7%AV!P?U63KtB7Ww*(SK;i#5b&xFj=&xkUZe9^54kr{ zL}(6H2*;%0)}}L}_+lHIV^Sa9uq5_avcw))JVjJl6H25qSjyV?PntpT+A^={MP({> zn1{sB=y>N&0S6&^M2ru48aZWH-)*9`2;N)~aUbyI0KPbpU1fWvGXP5oD1=qn zM;|U+LduKz^i&aLu?zaGvip7~n{IWn8=I!~eA@cH89mdQh#;C4WmPE$B1xQ2ooQtU z1Cea0GrU=%p3>G?isS+mjf%zbMDd)e#R&k`y|<&#S4e?1Rbf;1D`eviLK`bc_=9JE z;R|hU0kof_Cy_*mzhgZlW8Qd3^XG>^@l#|^2tw;Dm|)HA(Y5N4r#{i- zO%kIX5aZ4GgSleVW3R(fx$syV*iBX}dv?}%kY##yP@@LPulKijJB?<+SeHlzXX^acU$obizrl z5o)X9C5toG6g;$m zHNTN2MEXuoTv~3NDxr!e&pJ#-gG7aE5tj&)h*&Q@NlKYZAMc_pLtWqSmJZH5uHdzt zD2QTrJYIRL3+c*eF9FBGO|B!}E6+_Xh_!7Zx^$|MLNsmJg(FPaf=geCEOA~zD^V|Q zDjw}S{pnQRAFTU>-Duy31FfvWj5P;;FtH3f#e2T{1X5I8?O~3fB#cEHG?57@2&&Vb z6rstbPj=CkrH&}%h@vD+Yb7hrrTI>uJoz)x7)#E|W03jG2=6o5(TzU`aOeU8H8WGM zZT>3*4nM5i(>GIt*)hrJ7cG!GAgmk;T?@{l>K6nA&4zrsUeQ7H-Zg9r9{)Q%xm8q@ zadKS4;1;W>Qtj0_hL_U+#i}pQ&&x0ZRk37uF)+W=Cr*NBKY^$V(KQg+kc*r(5EBOC zXSk3D^yF+ZLI?wUgjrE2VbJ6eDN=EynOS0uOh~$Vgag9-p%PHA>agFK3Dl!NL}ibEE>JWD$M2P$5PbuVwZIz$(S3$Y4Uugf^#2Rr$KXTyo*A!^IRB(REoC4V#@I#aDbs@hZ+h={6$ z(?xBf0NxuxRY7j+FL5TN?d2ELc=Z>fQoQ`PPFYO^^m7bUKrqJSyLw=f-pXqp=>8gN z4^q!W7gXJb!Cl1V#}Bo)D*$sv#s&VSgk~1+OglP+X6V2d{oq_GgPkyJ&tJjW9)Kuq zD)sECWCHNPZop3Us*(1^Ja3(&*{x4*VwBv#i2x}u; zypAod{hF7sg`^vAd^Z&ub>_uDxzr9cF3)G|pxpnJJhPffMVZcdHN}Dqc3cxb!FG)A zUn8P=ik$ADZV9>$gfd^1ib)2wC@r&)4g2gxq8cBmX+^?1ukMpQ8>)xC135Yv5y`uk zu39PnB$zOhX}z9gtud{FDHtJv=pjij3P-o##d3ZmaONPfd*I$`|EaWo`N(d6{9jnr z#x(gh^dvs4vjItmKlA?-e|Lx+dj+ms!E_uWd9LE0f92ZoaTvc_5kB3E18U)i6A(6G z_nv2~J(jbM0Enj0)qehS8leMD^*u6^*VFf*m8aizS3>Ov5C5sUWP&8b)irD#mw|&@2i@sCI+mJu8sP&FKkR%naC=l2EK*XaK1w)?_Nla zjD!V@Y(BDJx@C{1n_7Arg&mzpTXz@pcMv07fDZoILl;M>R zhs~!TB$o(MiGLeQ)=e!VC576;nGv}e{J$X&({-))Hsmymc*xzCe)Z-b3e)p7?_a_A z+?Ii*`kC1MdjUX;u4^S3{@eU}KP$`d_~732{q`&$U+2pF&Bf?wwY!^dhuUA2a*P2~ z$_!sHP$?IR&y~`l_FO5?=AT;Fyq&G%H`BqR)r>B_uDNIB2w8^uJNJ75nN-g^K6u*V zvg8G}zw|qcU;~;Z$zA7-Pa4oNV;@$})8)lS5qzJT&+a<2R-X>eG2OY~*?9H|2ceK7iWN8=fG;(##Ms^^EfkQzp&!=W?Ix^OXH0QT?bHc|* zF!aJ)Hr{gOI4pr?%-3ql`g1Xvcx;%TTTzD9KkkV#t99*Xjr=#7(3C2A^@A@k4PN;e z(SlaEgDti6#l@)6A7d%u>sxbXJGuX$sL-_sCy!2o6{e7@p?`>$<>Y4+>bwC~;!sne zuz;&Va==wmG~f!brokKR;{20V4ny4U)|P!enu-5!@#V5$Y8c|bf&b?*()H3B-Fh5b z3mMx?eZX4F_uq)79z?ACy13a>h-2Q8TT)v{s$HVEFkMD7{~u@X0T#ux>a*XB1xi>BuEs{B_m04&QU;#yC6u8A_$U^m5lzsUQf8^+z zMfI<0W}4}mp6ROYnprWI1P{c+4aeEF|3oPjzN!nC^e-u$cU?IQimGb7G*X(o)mYKW z2+HN6>ty!i36RZI^(G6&n5DZfnY8OBM z-f)`MerCFy%^tCoCiZYQ^1J+%GcP5CUGb zV(J71=^yVWlqV6nmO&i-i=f>&olulx`pyA+Rx*-C3$4p^6a!BFL;@> z(}_#8a14th$|kdPkN=Hk*S&wReF&-(kE6aXmpU_fKLzXc_;S;VVG*`5ez`^t7T)*G z3(c4{4T~N{wT&j!S`+Ff`0-i#yk1ec23wg-pWZ*%M%UnCT#mX3y7lUOk? zdD5W7ibe;9=KmnY4i0h*O%6B7A-oigxy_Nsrkcc>yry2*3?IQ-uKmFnxBMjjVZdwtEDv8``J@EKb*3PXG?gwV5nE*r7ukx zCwh0&|3JIIOQ^@&iTvd7dMrm1v`yNdQ$J~|Ihpk2DV48ak7&&k-2xb*Vkxzh4#j)M z;WE%UA{(2TGHu4{GEnv@NQwR)w8x+*%y61d6~GQOOy^VG`4JH5Lw<5Dqa+pEeBIdM zt+a6bd1VaGc~mz@nyW}4FGxD|(dgF77zaI{x2F?%LV8<^NbJDK(qe{+eetOkrE}%f z*h^rh{SIz}q}l2}uq~TG-tCUiKS)2Khcu<^MJOwq;m0=s72J<$Y_q#Rw4d4Qnn;Sobbng8%)wgTLzL7Ma7P8DaGM#^nfZIa zJqr>u;t^X7j4dhMFm+|fO^r;Mn`UmQC>+T43mf4S7M2|4!AR%&R^ z*I-%qEm4y#|0kMIVJDaI3bG34O1`Dbf|sj6E)&M_6r*e~gp5o>_P0-xd{rWK%UIKi z)ii!l)fv7Si+h*JB8KyB*Ap5Ii@#2v4ja5BO`xf$^8I&GrAR0pv_AOpCg}Pb^Ru== zYw`6dTR~PSjD-=lG2(69?|d&6q%bZ=*pd^Uch}G9^6^ZPeKMiGA}f0-+gwlkpD2ct zUO#D;{;%WvZ4Gx+vfx+dN{z87J_W7`&duD{r0#b6k9a2YOMmgJ(o;5N6>CHkoYqr1 z>rFj)%|;Y=L6x8SNDonSBS%G6t_S1KmG=oCwN~95G@>fI(;ZhTQm!;bZlOAL6Uyom zTgA3R`Gz!s3CLvCFX_7DaEt2JD`FJrrT+gvX??FNZ64j{uCkDLK%PRV6IGCC`xgskG41?NNA878J{Sr=DZn;5YiI4a!A~9 zf62W}T|QPbOB!De+tHzQM*>&-r(!ixMj^?QkHd^1db_5czpq`Wd%s6KRHhgh8iPvO z#_v7cLc@`p0P?Fr28YJTalDPh2)GdX)8=~tDDSg35c70~5yV9KQVA^4_}iw0cO!4h zREB+}9Ch8L>4%+bCEi}zih$hbkO7xmJ(l>oOebbs`^rroe9)NJUhu+lDC4nw9+rIH z+)sX1@_`a{ee%p#-|lY$F-~~!Il_R?n{bH#3xJ&h7{|@HLl@u3!i88G0(EFHg?HB? z^`|RqDb%1_G+(oST(^hwxob#IhWRK5s$VKxs*D&X8$rRF6b8_`T!?16ek{Cb1g@fO z;HbjJ$+tTp+~?qUpA0WGUBDr-JLRR|<{X!|<{;yh!(GaZLW0e+R|IM_8-cGO?JOok zk(%EFZNngV%TK6uY1J3_2_b_i;-f?euOcKHHn7Qkc3_iUujK+!%${6T#?40_uV26m z+sq!@C2qp#UP@*zQY$V|E6VgVYeKNSW{z;}Nu8j{Xh??#;I~(h^30EVo24$+r3>B< zJD5pS%?50&(mVU(&Bgm+XhSngYBaonhXSb~O+0X(3-;ZN{< z>3u5(-t$TstFT)N#=B}eLHGUm zdVp$3;mtdVY6Te+Cy7Xn%9&vz)~}ZGEk6WzBH)6XTf&3EUZJY(HVB%}Vv$1D+9nhO z!9vCC@fUV4Fl-StBF%97ZupN8Mw6TKIPi5|mMbc6qmwSZ6L+s@l|UWhLKBu{Zk!3e zWREoE?^GHRBR7BI7cqQ03h;1A$WY&v9_1Nc*C{tb`Gw*4kQ zQnorhJ{(qZf+i1OfvQT?n5QjIs9C=*BTU`&%-!avOm*RENcYcLpO4)ez2e!kYfsS2m8Oi73QN?}Bi8PaaVhr|0=w)j3!VtW!t zTojL)XxTz~rY^L22shtIS@T5oUK?!j6+@od(>_*e(0C?np`?vJlcosIwob*deG>YB zU19h_^6iZ~TU%2YY1w(Zj`TeJCWv7VrMknEQSnS6LCi=!k#Gb5a!ZicP?AaGuh%tjG(}-Mg!`mC6^2B z+uga5{@_?!(Y-t>pgRZ(9|&Bq<+;a^guHn)|J+#|zp! zX-5_0+6leu(|*jmaqC^5?qgm`tap6|k9k!<0e#HtgQad=vJvTAeh_S0ikc`G!%304 zZLt$PRE8Rk9oyoU${YC}{Gt#Qo5Z}O{5~omJ5ut+z}Hr-$Ak$Vz2IMH>D%5R8I|qp zoR}Gv**%%a20ne+|2-CsDRukK_u!-a?!@KCe$Wq7@Apb$%EIP#$P6(XQrSvsNAJeL zsqyC(!zPtjJGk`BxgEA{X%R&2Whywo{q*>4E$#3{r#+!@e4RBcuAlMBky8c%;N>5v zjD{{B7;^>&X{7V|_k&$pP;{$fT;V~R29Z2_(zodegKo^W(Gb98t})X3J84| zrlw@vxN+xf>nBOtQr^6r-jipWmkM4hLmoqnTL~W(r@!3ubTDy9M5+0yZ35`;@L+8Q zWe%~$Zy9q+4$3tZC)vuw)>;<*3!?YCgOV%b3bXj$Fyc1%N4z|O@y6RimkAxto7XXA zS+Id5c)RoFRg80fmD{S@xWn!5_jm4};%YTS8)V9sOz!oj!;; z%O%*68Z>rdXXcxDDdRiFt2G=(F~P>gO-dXCeEQUEJH|T#Dho?nCQq`>xp%P1eKBL( z!v>njGb*aVNYsvT#gG-=5gr}>F8mEf0)9>A;+VR?yQuGv96I=ses-BS-tKpK!&fJh zYpQfqU9xl3lCCP$rtV2kFYPtzHGG~xKG2hpc3j@$@XK25^m2JiEZj5^qL^OEYjCyS z`P4F>rr>n3unoDg?0hP&+kiqK$7Cd=X_hxHe&>U&o$MeEupcHh*5@RypAT~K(r{?SWEYO zm8akC|A2G?Q#_MbFqRy)E>G-N5WFIR7Os_cS2%emFNsGun> zA(E;3hbe*iuP@`KFrjjK987nTebN-@y;sCAx48mm35)W+TZ)hd7pS%QBA?=aj&X6b zY_OQ!n-S~qMS55^EMmR!piqi@yYS5a>d@n_<^2{yj%)`NP!w5UPYPgezYg;mRcLo) zSr$%y^mgF9-~M_{$f~T6?OY&XbcC78`C;I1S`A<4>igc7dvzOg2_gmUa||I(UYshv zb!RtR#}6hyEPaL)F%RXCD<8E?VM?4SRO?5U;_Q9WbEhWICz->XTxQNVI3@LM87%MU z!lD(JQd_hLfbvij+=d+>9UB9bDWDhZk7lE#^%r>}cs&?SsSU3?dUl+tb>{#@BFx(k_5!b?{m=MD2ATVvu( z4qTGfW;to~hb!!O9in&RF9qR@0E7GM1aStEtb}OfKtF8Vw&4dP@p1WJ2r+RH^O@v5 zaM;!ta711NCWxPiJ;ZU;)gcAUEt0MCj7x54St6*VW&ZJ;+r4;daM(RUaM&6oS$v85 zF7jW946*Roy7kuNi>tmn$uHsrA7VI{ZuH$@SorPp>}>XTPMZ5)XFtS3iEJ2ZrE76& zxgeKI{nod|Z!g|^+i%U~bG{o%{4D3wS`CHNwXYILK>YsQoXf|jiXyN=^-fD2b|t0= zmDUsc5~WxVgwfoSNC>u{Pin=7ioS-t;4EC@eP} zs6;{yAu#vq@^B$n6jSgsoYmFdGykJs{|gK`Z1~6odnt{e_J<1`KrEJQn_K(cgjO3R zS+fK-O|tWn6Ew_r+d?<6U%9i)|G#3$Lpw>>ta+U}?D zgb4ZE2p;vQnWvpGOt2 zTPVSIJfm)Hyit{u%g-%!O!bZRz_nSmm zx-HLqc~hu8Rj+4RMpHnM2C}k>UDXBV&d4L25`44c0zTZYmF*X4N(+VT?cP!9<783Lz5 zS7Z8iz*qg0a`|IKAsh7BMwz;5`ER)TD_xB)Y8_||mPpOC?Nj^mPzY2WUVa;4R7&w< zKs!o`q6RTVg6%i=feJJ%mXCIBP0}*=v(c>{$5-Fn%)a{#jgXKhtV5P~Agz+Bw@cHAq9@!43L` z)2{d9f=4KV>uU`8p8qpclD~@l5stTxMKM6Y8Q+;+HU#*aKb)*`NG-3E;t!_^cK=PW z5g7hZH+dKDiL7EZ*U-tWwp^Cke^YjP6c25T5SYYiS9d-^!_iSR?5-R#DkVSw8?^Vi z0dw5{0|(r+p>yLG^oEY7sof)PHUuTjScZ$?W$wLG%{DF;kR}1pB-uOvD}$e=Nd;DE z1ZgpK8yCrb^SQo^Y<%Y67U0fDIT0~&n31EWlEgq(LIb1>D?JqwSfC=AEHFH} zfNy_O{du7JGsaZC1B;E5xNk!XX3DIJ{n zoZS?3_1oS%xHC{Td8dV6+0KM5Fn9N1S`k-Q!vVON#iH;4VqpO;1e=+ei5E7h5_gIR zhDAwj0hHjw6~8m=Sx=3j!bwm~op- zahtseVs7y5G3oqA%-{n5pamLmVUxNZt^7x7cvL-P^xWPQ^r(9;&}%S%U}*+U;i^be zK8zVL6`mPz_J=-zC7V&#&Ets&Gs zHvFNzrq?5N=dWgvd?%@ob|h-1bnVKlp$C*^!RKEaNBrXW<$}EyLjF$Xi^SmSH{Yw0 z#Zg@e99IwaGQT4Fn+AyuG#1%24{YBArbp`Te@k6lFJ^8`RZ!^Zxy2^*MTHa$4J)dOq-wYB#G zolW#EHrOYOsVGaA=5PAXA2CZ_;%L)^Udy&;6?Q+{8s6s9HRr@uU@-VifVutRXX4MW zpRqrqes(>(cxmcozeMXl5b>Ww_fo2PyYs=5X0H|{UTS#yU2;w=K4%VXeB&JrFdMLQPj8afbwfe3qB0Hh zPF1}Xaa!X@c^jQcly_edG<_T|)flS6rELxkh=VvoReAfYjpeA+oxJC8{lX)@s2x2x zQasW;QcW%pbi~vupNncy0x2p6q(#I~JmrDlKydBol*w#sB+S15b4 zd5WvIQ{1MBkrwFekq*@644mKu7sOe?gxV&atwC+G9&T!QQ;HHeLjjHArf0;I!RA5V z6}CSgQ&Q{2y^(o|mG<>clK73{r^A@U3cby*2N|CmbWYjg^ic}>ZiGQT-e(GC(f(m@ zOjh!g=O$>kWF_VuEN>eeE&MS-;vNHoPb5^+)DbL;>6P8ztMNkNxHYJ}#K) z`857M`|JF4u;9e8}hsAS~uJ?U&^ z06UyXjXW{&!%RbnxNq5=h~`gCxVWZ68e6~Vu;qXH`uZ&PxzfwUYpF%P;%bo|&ND6N zqb%#5pkmSUX}XX;z%~EyfRMf-&71`;?Fj3fWiD;}&3&5uBAb=4o8Z)IlEA4y4i)&7 z4!X$h!MNyWoBZpJB0tBzNX>nzBu)5KwP6MtW}zWPCV)#zSXwSyoPv4=L7_Sq>8}=0 zyW^m1A(VL)G<&5gfrTrT`GE1II{!faNZZgMsaR#WXuVd!SScHxUUH)rhl1iHZ}JT+ z%?cR|N{*_pxSv`WvK^hGAs?M4=2^9Wm_6~sH4LWCW(qeq^YFuUf!5J+mqLqS`T53F znPK7PP#8A8%QONr?HNs4m9u(IYD1#3p7<7HbiUizJz~ZAY){7UddbCmw;U^$2r1L5 z>_qhRnzfJ$iu1gpm{?8a2tvxK5w-?pJ-+)0V#O+ci1e+d`p!zoF2lklujdUcqVm?8 zl%l1R*m|Gx@fj2pBY0hbvc7bURCH*vPWclH!630>1V4jxBy*~&eRRHYdOZ59AmFT^ zZM5K2KiYv)O^*u;cW;u!p-e+oNrF@JKuF$l^tKpd<<81_0?u;jl{fB;$y-`(ZVN}S zzZ+F-Sa>~@4I6*NI1E&h{>>KDOdX9j0zEK-qwO4PX_VtyVpRFxC^w2X|I19`ZVjMT zDE54i&Cm*_@+mWI{n`8EE}R#8vJi*Eu)KKNUsSYGYJ(>xokn4le*nP$jZ78sk1zQk zJf^#XzdpQT;Qs6Gc|jvKem8e2^|s zyRURUrQ2Le`R2{Ea3W~~Dw*d7wQFu!CyvpOMVRkAE27!V0^MxKdDhZ&Uq@gIXgb=; zhG;9R(sBD{zj_TG4rN*C?U8_WFLWm|;L1{AlGVge%xrdh7pzdQ?P$?&f z*)YxBXujEj8FV8EBj_fk^xmEi@F~U~fY9duHS~nu6#=8`X&*bR7h(1E13wrJlHgb( zL2G0U=;yw15}e0-`AeJ;sM$Ay&j5HEvqaSzz^mLtTy2^ZHS=5Wg4suQ+Oz{On_l_r z@jDjy18vkty>LA;}@KMM@eI4t@6y(KQ11+-jyg4?_q7aASy$8YPg3g zyPy~hvR0GhN;2`GMZz#%qp%SWe1GHt!8cV2aJQgo2AhS%4-c2F1GiphImc;NEom4! zxPW{vWR1T^XqPu?Hz&*bFuo)+y~zuPm@3y1u`e-phtr8Pxilc)Wl$_@$7<@Om-t{* zWW0G+0Gus3_4i$+pnW;2i!7R`Xa|XB8h-y(^dze*MB{!Zy~)IuZ>%_g@NW7o1k0^R zPZFx_XU}IY0TV1wZ%r*y4!kE$dLEeD2gXS=r7k^N;E|bCGM7PMentu7z;&Isn3lDN zc|uR)GrEq3%peUk%#sA?vGrsq161Yfns0%*yfc5_9&KnrYkJ^RUX>1_XJT?g-e0}R zl7HFttD2bU^LNNoJ#lyw5px<5Ozs7aV?;*Mn&Q08C%H2r8Eyu`y~np_BkBhb zgTL>cz8(~%%t%7TykN@`y7t;b-X2`}ui{a8nVz~Zu&OU(Kd`d85P&CN5``{h4J#td znWm1Tf3@%z^9VQ9$CM1AC5dNq&qn()*bGN+e@raNG>7twz+9jif9xs@E>Zdb`VvtZ zZlXRs53qYLBV?0H3(+Nf7F_2eL=ah`&|^@Agme80t4i^(OEhUZrz?|^QD&tNZUB7%oqm!@ zx-wXzcVPre^w2fEBIcTRN>pWnD1|K26Gvu8ih^#0GDzWh6A-Kub16(xji+xtlOm?N zGb&YCq7h;?I7L||xcfYlEn!6}vjj9EBCxCB-Jepn9$m7lch$bru*_4>j66Rq4fFkN zm_l#LB~Mir=DUf8?_|+%%n$@UAdpu8lOj5~fj5oNVnCaW;O#kUx!T#QkH8$_wX^Gg zFGoMdHJ+p|@J;Ggey~ajwJSaW0oJs2lL^PrRCNv;aF`7{nqw(JUHc2{2B7&(t`Qob z%BkvQ*1v6h0XDktl!d$<9ZFeLQu5gu)_LnXW=U5IF8b&iAD*}$&gqTQTc;Q>*A%TN z(EF^SOWo!`r{-JKiUDGGeN^_nQBozNI({YG2?y5uq)MV@Ll}{yISKXGEFZ37kZRDN z*8GJ@!qm}y3BeK-XcT+8e-h|78 zvdqhO1x)Z8zH_H66ZM@@1FbG9ix3BOaO&jL>x16p+?qIt4mm}G&z#Q>J|8W=TMHuI z5BRX_C);vj6YSI}WOcni)+*G!kF#HWo&fySSm3uN`Y1~!`xOyw@9SFIz7utgMOYL3 zet0w(zDg5paa8vuq<1lVRpV=bi^0)Lp<&6}c&!HH0djJWi#eW@5G!bIXsbSdH31dm z)K)X00_&fjr)Y$HKO3Rh6g6N0#}`^<=)ZmA=Is)4?;>TAJk- z4=>YS8JT^+)B<1FC|{a}q`L0L0uN--4DGtJv1{XdRDK!2H!jPD@Z8IDXa|m}oY|9N z;GUjdvqkXTY1*RUc*s+hqptq^)j|N}$0fs$PJKmwRE>D43s4R&Z5NZZ97x$Oo2J{9 zr@`j?TAIBVSga;!f1SC_zyNZl48kH3BgmO8Vxv+&sM~xzQTUy_ci?9u2$s?)8=)(lFL2z{v4y4ZiBrtCr7 z!a1STFvBOj_K9{|4g-uI$F}1o<8mnIy(y*NiEeVAURyEra{C$g;T6uA5pc!ZIf-RM z%G>M8^+|Dd8`#Dep~%d8AT_4qE9iHXFGbX$G)*m^UtWgm%T{-ZRd+Q#tRz!Ad9tQP zJ3Xq(Xj@;;JkF|Z43nf1GRydA^ufXLwElOyE4G9) zRr3RhvYc0K~yy(B{PRnBft|L$c2*@;&VP zTFwP|y17<7IzLf7(|pCTp>h*}#iA2i+$5A8yFn9{t1k~Ot$b$B3Oc%Jmc{rK5p@04dNA%Gy`DQhqnB_~~Y;74>>XVW3%}Q(`$xF;}wO z{7!&>|FxE0Z>fv%H@w1fvo~De{&rmPI&dMkx)vW$@Ngp^pdG5x*`&p6Ya3>?XK9hh zuqWKW=JJjYTl(sdD3qKY*hh2i7lsp{>61--1(e>1!>p_D5kO>U}2~IQn8J8lTp;BqL-Qygr$+}(W`Mb|? zR9^=yW-m`{KDxJ)n8|MVw%UkJgi1I(CqtO7ZA_akZVqubJ3}}FdQZgh`alzPHk{dG zH*;Opuqjv|_DrJcV%J4L1Y5O4+k?fqvQ5~RZwUB0{_((5@$bQ)2J^zMIOr+j5BZ4d zdMpv9>pFP38=vsIE0ib{Y3%S|+rlqWo z-*xSRHHeQ@i)RC04%=FNzpcV0i!{e*ALUeE6P!0l2@nLwxtWhl7qVr&elq>kJydqX7Ev_JmMoIW`BHvNJCpzNo``e( z*8`x`>JUjCScN&8S(-RZIzTN`G*hR2R5^8wC#cK@lGVAz=49+kO}x?m<6e}I;albj z2#mMWMm+H1&ZK!fpK#KZJ)-G`i39W5R-Wrb)sRf?OLx`HAAyKrctB_F3t<>@O*C-t z2XBA*f%EkGCh9NDl9)h)QQ&tNs&uV80xR+qcoZ-IJ2M8pzn7*;k|HpUvVK;T8xDbO z_I)PrMLc|3&IZpQ(6zoL;+@B`NZ}CiP7*lxcNt{x$bm;Z|AprjxF(~gx900Hwn2T{ z>=lW?EO~PEli$%|Vfo89dL0)bzq3{^doC7lwCQ_jsP`^0OB5B_c*HSG>O)%khyr%| zJyazEI$6i-a0BRoA4%KBFdt9IzN{f4yqGPav9oN=+JMB#aF-i$Ln*?tNQ4)INeSwo z^av4jlD~%!=-$VpbiQt+R7?So?hjM~5M=T<|K8LcTe%+2$dcFgMs2xz{2oFg^ZME@ zy!9oXA-`^Jk07lFS}(5XBm{YuuLTmbdgi=P{<0pwF9!wGS5Z-=OSN4Xc<0A;q=40; zSq++1C(mn=2up7(RwCETSZ6kmDo9}@&vdm$J1F9O3|=-%2~+VZ zq9ems4hW+m`=4Xl88UK*h_qpQ6o5BJHkde7OX7PZR`C#7wZ6k4a!7?`be^fjL$_L-6hd(@gc>=xACHM77o(e!4k3!3}KQLBu|Bi2V#X zOZj?oZu^-ft%CTBMZOeam`9Dn2)wO}oEO}_9bJ>?;te5hn=ojGs6NkY5YfGh)oKLm zCUQDP?dbm7TWTCU@cj(2qSXZ=!1PcMN%LZfz)p?nVW4|1^U{fcHMO^ej%)?EQq@F_ z89%u(Q1)&T*att`3Xa9>ZMvgX9b*RG?=vS7^_`}*9h4r&YJZa37$T7W^1u9{6GS`r z)n7{wr{L*6aTrZyq&wkIpOGC-$pGRZ5Px$F^4f6OzmDKc5r39qUvTgD19v`NDU6fI zjrGfGt#HjLRTLi{r#=m`h6Ao*DcbYOhTL}oy&*CF`&1vhr_|fR=)B~>GQSN4A&pm$=|X09rp*iL0MxKXO#$LyQx-CUo`9~(LooDoV6J@ZN4jx<`9qAu2$u2MFR1F#;z<%i42>73OSNNjnQ zdRA0vJ1XTT`sIe!6Gaz)gOmk}pq#j=l?6#?(dpPBW|qo6Qdvo9YULutCbhIH;IU?m z+T1l~uBK?;gLh>QG}tT0U_6t!6{%JrHWEBL-+p?A=ghuS+sfvy!X#@_lu#P67iV-D zJ!&<`dKdgWotSv+NbaC*Wa%ENV}MhCe#<{Wr=WiPkUmSrQQo}?T(3^?1FCHwr?>a` zDWjvl*6J}b$AyUo9O)4j4M#-VvFI?%P+~eCAJuc?0)>F)i~o&Omuh1esJ;5xJWM|j zy==85laTp}QP$4v6=r04djYeoU9J(wz&GR(Nzu;2CuUX~mFcFpA#HHH_~}yEpFlG*1R|8HeWYiZ{Ogk-l%8Cm;Aw) zCALa|+#-yl8KbPlJ>Yhi<@(~AvoO)_kbv77{|Y-^pKZM|^oVWoi0^jg)F-Rk15ie2$v}FYo72;wafM zjUzp2CCZ#oa&LqNxOy7Lgu?d=rv~=E^#|W}Tzw5KI=++}>E^1?_;wPK7NTsNGO~Nc zjXc$7!;UZQY)+bVyInjlr_~UWCO#g)^dU9zS4lbB`R(b4w^?_YuvuNI6Oz5!R$?+; z*&Q0l>gRFi-6Gw@mDC8t-Umk#7Tw%rcVHoc+-60mVnxPVl_VVP$t8>THC!ny;Eo?) zDzY2-jUQw=o{=%A8TpN(5wiXYHXma7kh-AW!tb_#(Y$O22}sb|llx@SA5;*)aeCbF z`^qvjbZA`n;^K~cPtwN%=3l>_m*n%&;){cXdlHa%FNk$m`0d#RoAqDI8(W<6{udXx zuNDvXCGR~rzIb-5+>q#fae<<{8o#_UX{rweLb>sb$6a~r>5+CM$lNuDHnfEUUh{`n zpt%Hex5Q=HsA^HO>QC6{AXJ2q7W$;jOJ^>5S(43nE1oOR4|U_?7AZ&9U|?*2 zTB~^OQStm9tvz^f-vi?S#R@}xr&3GT`GPyXwe&T8K~euRm#|6D#-$P}CN2$pT+0B4csCKWSljk*19 z{;qmwteuKH(oZsT|B2}0w@1yR6K-z`fxf{q@zL9z-44buBkR>~xJ8$jchy;@XZVNA%uUS)lW}u~-KG4ay2} zstl6<>+WJeI8HNNNt2rC2r^<=d`@J;WQysh;b#|J%jI+LD3Vwr?-T0)9@DFwPpo@* zOvibj$T+r|rP|9>i!1ABAgHHNsUS26{|u)ST0e+HxQo2d9a<8<>c{Kl%3Oqz$h>8r z;*x6b=TMK%+n}Z7l4q*NQ_yy%E&NRXep+V#Z9sJyT=6y1C`xX%{2nXx?RX3C0}Uux8v9rf z^2-^d9GNr>7)6D+qqC1p767yOqa{H=mae(~ICBFb?s|Xvf}Q=??Wh?&g20ME%07+t|St z9`#st;j;Xm)ic-bVjrcMn2XnwcxLSF5_a9iKNY9(?Wyt8@faHPN*~U_qm&%`2ly#@ zZ;O|V?Rhh7Me z_CAW7st|j?JvlSW{Z_-m+CGxaJtTn?%xR0sakmeXI~?pjI2JC@@5=inQld|*{cBnV zKTF*f#qGu%g)FQ+U8XAQalx@pxvaQotj(i^l-MgmQ06=y+JoUXQq;FT*Rg=ezMi3D z-?4ddn{=2;6idYD0S+`0p(n}YPj(D>B2iCAr`DbVQ$b|EdoK51Aqs>t#@};ixlJ%Q zM%3SvD{F90T9~5?g;8PKt;>!&gG# z_ig|D3oqkGcX-(-b{zk$5G)FJUk6rG%uXSKUiys$Gu#;kPcSpm`+Fq6zBVsF0_Gkz z(ii$^1>S_kOK`OvYEMn3XZFYn(7fyaAP}R~$9&IM!l9BX{j@dWsBS@ppkhMGzU?oi zkkXcCJx4_|=zf2EipS)P2y^p%Hj2b$RlgJ(PASlSQY4hhST@F?E+smgBCPYI2%5@h z>*KOU=Emg{6R5QFqui2j^2MTW_P*#`UUI074pZDuOjkC8BJ$bB5{*0E9UuJP%n>70 z7-{um_unI6I52T(NW*+s29g-z=4S(Iz!aHKI(h0YX!*ORQLJGi$H5=~OGgpBpv%Z} zfWxSHgv023jKdiF6NjD(A}y(r9z(gk1+CLe3C*=Ej)6c5@E4(R$Z7*OzjV8X z3d$_Y;<-KEk1!LSf~fMx)AE#Med!PR45Ij;CScv`gLR7d%H41eKQf<&m-$(ix0WA3 zqbLkgU2o_Yan6z!Xy{Zcs-$ER_780;!X&EM`GgA4r9_8U*L=b*(&M40-+EvJ!)%si z{oLNGkFYoV;vEik^LJh%@0z*!4cj%`r=Hk`9-2Gy7<9QJOEgZZsq)#TgIZVmPnfG) zEbC+P(RuV0Gg+2RE1j26&!@cY!}xB`h^OWV<|yVc=a}ZO-EQo@r>|wleXxg{P9eo1 z`Bu4=LTW>n)w?9mbT`zl>MFJg{gr{YGg-6NsKWf^q;NlZeEOPv>H4I|!u($Cr}yyN z<)d5>k#_NN38=>lO;t@R5Lol|3cJwae&)TEf&Y&{ z2jhoFVx0-g3evO<<037-jW1buc&-cMigMyp?qJOrhl*%rA`f5>?gDmGIp)zhgy?pO zEho7N3q4Hf3D#FjKK0pew5)axmW^a=YBNk204}SO+OfY6pD(>3L3dj73Jj&*W)6sf z&ErHNhOAYH_|^2=1C!`>Yo?u0-_ot=x2}V)aK}5p?=5KMMruTwuyLw|3d;_2=JXA_&4+$oiVPjQz4>o+&8)LY5M=g8ZSdwF$AW6k9~jby zdc@NxTR6$kdd45dPkideO$Kz`ZMylr_L|vzla}S;S2IFL;W)@U!~XeC)&EW#-GsJ; z$^2Xt12=~IgwarlVqjbRB@(>Y%r6br5EhjZn)M0=rXCT9Ehr@{z2S&=*&x1SYs7xW zi&seB=Bi0MJ@o5-U#Z%GgRr{T6W!E{f+VlwREun|2(h&1kXXLi%yryd`-W@28}4v* zjj?LaDztOdA!OM}*kdMg4MQGfiS>KV{F@LjlUfZ1<*Q*Z9>43_rkI>@BBcfF5#8j> zS(~q~(x8-Gfqj+GaNU#44O2^~m#Wo3LGd{kK|Wcx5~mwmpDH8t_Ut$qjN& zJ`3XYNUysiZDEpQs&u>vuLC5hL!!6m3vra7tM!N>M?R6Wx>T|U_(bY$jkYeqBFZox zBD=Wvj<*vJ9>CckP(^Jr(@awEn{nTsV5+ca#BwJ=`88UVM_rC65P}dbB`u6PXDGQKX&lNX^&o^L0g`db@+O1 znuRyQ%XGwD3M`0t%nMo1Ez+DGzxvV!s%c}NDpZQpPn*+;kk1WrLDZ$R^$ako4tI%f za%&xQ2&wBoAxXUGv!ec1NBW1jU&v86RSy=-oS06vGru&SwU<=!s@kn9^_Bf^dVR~` zF5b;^|0k<)l9vK!weZ5#C-MXV>OEc5UdQGihF!_HYE-j3|E~fam&~_rYQqCpA#~w! zU{0>)nn%!-`~QWGIS6gFlaGxEZL`%-k$-R_z%RWA^C0Dy=7^6qA0J+S{ibM{z}0!~ z?sGranls*GxC0|{LLk8PIi%guq7~2`@ZtVJn{iY$LTC>4klmZ`R7P+(*w)i}Zq#tR z86MdDajHQ8yTM%u?*6jZ&4LiNXa^ak_zO{&6L6X**(~CD6Ef(x8FFK@bky{_Fm-!; z)Lr|x{|0yZQW2)w!uCSL@gF%vsQ;tz0ZDRxBC|BYxa?;gIUGX9d8P_qqOpDQ|3i4? zRDic$l;z}v=39>dXK-?PySAuQ>drdVOzL8R+awUVK&|9X@U}Th?;mR38WB>px5sg} zY$)hoVO9x0p;H$ew*ZT(>-vXPR76UUlo+MEyT+nZK)SoTYZOF~R62yAk(N$DS{kLh zyBTVRiSKaV_w)YW=Xu{RuIsz5b=Ll^wdb5UXYaFnuXVPk*o51(8sWA5LltkGg38=r z_KE4nCG$u<%NvJApF{Pm3tp1F%K$bd4NRq=+8Xs-tR8N%b)~YVlu%h0EZ@3^axEGH zCC?)Nm*A!{xwp3-15_r%hd^brd-fMR0^ssp)rAsmi`KL2r1Yiwd1ULx<|U{3T@Q=a z!L)b$Fw4m&UsJiOKduZ0q}CEyZw}zo`GtgEXwwTr1l?eN1;mF#&S<;!%?cSOw4KFY zH%t0%ufo#tP%M9NPCS15Ot3A?E)H-dMU-(?<;D7%`A6x;hi^>n0L#aY@u)(%&?30X zmxmhF8FZutn_@RkYD(MzCp7_~jyHS=;0+_Lp1sOn5amt{tm;b>C?VZyZ5cxF2ZZkZ?EZ(MOlau$^lQPd4z~_iWJrb{|*PZ@1;51=_ zwt}r0m~CY2jW~mpj_Z6;5M0tuf1RI3*r}AGK7?(>^rmR@m0UruD zHTiP+#qx?@6y9q5+oTivLNL^}Na=A+{ZqN{@$4(p>J3ec(@F`r0nAf>(fJ&6DWt!)toQ&)zwP zUa`d-*Fbz`!4e0c%!?amQ4aC=kfQzGf{h~Bp zcV|ZXo^OGhM%>(Z{~Nv5r_uea?b{Am23ZaOwgCy8H?G|3Zd|#|@TC+#a{ef$Zhsl3 zA6-;?&Hue;eAdLyk*8KDMUP6U48LIVKEFN6Yh$yXUAWD#5q{7=c0`T?yzY@^ljGSIr~iHa3$vgxf3{;fw=A+`3LKeSeI{sL^Sg$5R5blW`

nyo7pwgeDm_bdCf&i^_stgS#4S(2Gs z7NZo({O$NIX=YZ(V{Cf+^eIj|84Zv4;^zg!FO6g}WoTs%f!%_ga>9S2Qoto_j)O>D zPg`@ciMOdjR&NYz|AH9+Jm9Xthxj^P4cK=Y8&T=z)=>f5C~hG>jtRXrd-@*+3n@T{ zfN^nbFeD;;MxmuJHEih7*wS#WRX8~$T_R;`@KHQAEP--WSY`y@_SNhcf;=kqm_6)2 z%%dDMck)#S%ox-VH5{*R<(^RicweQj2sy8)Q0yk16?-N9W}`vZI8k*Ceu5Ysw6Bbj*o2xM zHVQUF&xy!veu8wZw@MtvrpzZ7qKK*!DHwdmLA?5(Uc_x7iN>ztBSe`(Ow-0f~WVKLV zctnM`WuGziZceattxva5gr<~r9j4UhJgQEdrm45|&WjzyXWx@PoIc`gxJC)emx(=Ewf#Z_{zyM@^guBPYX)7=4GH@YXVL>(Xx~EsLZJ zmlA1kY@qDA92k4l$&BBrb6AREvvjMIg=Z0cb4t{BP_0V7yZTc*AJnn0L49Q;as0z) zc>o&+xC@cv4g0%5CQ)CVvfk;k*hmMT4uRmCu>mmI)?|=;OrU#m!2bhPG%?^e=!)M~ zz;e|M)6$NR3=U{F3sN%tc<0L!93IFmIA$fX7*pa z|CYjw_$^?^_~?2cQP%pfSk%jud0kn92O6W}?UbGHCy#*q4ZwZC8)(sb_e096z|!rP zO0H=Yw{Fsv>iNyhubkd~3Osy_3nA?atwhJh9s`i3Fh}C9voCNy95XkEABtwKU+1WR z0Y;YLr)BF4JyZQ;R$ zW_85==2A-`K;A80t^Y&Q@STxNZ1R*b$K_=YDiM)|`vfZW??e7eJRwl=ZVCBoxOGw) zx{T}pH|KRaWtV!Sp|nXJ@YD$D7||DyM9bT~zWN3+Ot|)Ojxs(m1JrYXxa}@_i z&B0CQfe0`3Y{am!{%@ELmMKyWO`J7sd}kbnyyuQLDlyegjU_M=$t8p)0ZICi>1bV_=?&KiSvji+OI z9|x?+Mx`#3@VB#C<-kc`KoG1Eb_WOqq*Lbq_jt0;Dqg#!Kj6Q{}+Q|K86(`kY*7RS6WZ zqEC$z!W|Gv?|2;Q?8-pcdhDp*rEc6sJp8(y{9;v!JiwHDIPWh=^R=fN`BJ633*eFu z5DlT=X@A})bI?uhYyAL0lc4ygW*4klPfzXfiGTt`_NUS9Pb1qTxqEkUOZ!selzRj-eWiz>`$!_>eop&vyl5=9^L8T%x= zm$m+?btAKG4QDz;mGDZ&({4t3xp9xQgu&_9e8>C7nVmPzz>@VU+2_vg;ZIl?l_2xn zj{w^))Sc?hHn--rSSpnmavBnH28_Ow2&&DiyK{AA>8x}Fg#84luqXl}vG6gqRB90F z#+QRyX069dzU#Z~@Qx5zD5iWY9MdE0Sd}AB;jcW&57g(=_EjmLfP!ljpQr@9OBZSL zcb)@hRrDd+);w+9PUhC*4cMPDLDv=T^1>OWL_hpy-s_;yZ#>3{VQ5s59n@Nti;MK= z5{O$TreTR@Xnc;{Pmi-9hS_L-XVueoE?5ITJf_N@z9#p+Dzj}A{p15&F?v4rwbG)_ zz9QO{@c4shCa?BYo4<4&^!VWN@(qRfn%6bTm82;F6w13H`%L)?#&XRDerVNC4!t%A zICMX6#BI)$eXn-4$HD^Wje->yEW*!ugx)vN(Uw{u<^q7%RM*2|vO}GnlIVi{9 z=sFvSeoY(a>=N05bL2(6v_yTrA@ztO(f>OI5)uAGDn48D2eE~BfVZJR1XT}F=tOs6 zlF~yV22G?2xZ{{|#d^$pZ2RG*hl$fS+F}~ibS>UR6dZ0%a|x%DrKtaojM4)c0k-oJrG^iMg6S8?wJ)k zuk0^>bD+%`BwGAR{~FzWli~3?UHgq`CK4~nzs6MEE6jCh-!ZXp5mP7o8k2Reklwz1 zCtTk}j2Ngmfbn;v>?Kg;c84_I)F5A)Z(0U9-#J7#MUviMh+46^Ha~Fa&7mEe}YCN2{tLL8jtz{PP~t)=RoBAsuXWW#%>M1c_%^m zlRC6$D8QKj)ZiSjEM*4ewZwg+Y7s>=AiGTk%8tFyn36DT-SNm>VogkV>A|bPlX(}Vk(gi9ylwi0e_4sBgf%73SYo$Lp?#Qbl z9MjiRkyN{%|KYbVvcPyCrk^@6-Y`)V!6eS^ex~fDy%`zq92stWJ`K!4R%a@)qyV*V zDYN@SU`ExKG{g2DEc8c9PxHx>BV$9QybDZ8Wb8N^;#nCti{a*SDxZy|cXRo}e$`<_ z{pBY2iA4W`80GTE+;*((zu65GcMKFi>6k?st>`IW);@(UN9y!eiii|9eR~8sxoLn` zZ`xvx7^im!Be{cvm8i(hLCh9NmrenGjmelYdte^BhLp^G#Cst!oXB?|FPHjPV!(@!G!a5iRU+|LQ#xJwofM$sF_7U{$%CWvXETlLWVN34k=u*Nlsnlc6bn94!;Y$5 zB!`VVK$UNBb$73=apk7+M>rpP5%&Px^JYMRPN&KM3UDb#s2o%N*!M6xl32lI{~u22 zHmH5jwV3jzm)hUFhX%+6iF3s~Be9jG;;w8P=fj@%-_DW2u0Yw|ua|BYxk(Ml1`}kcZAyfVxl*U*RMa_7_buouCEA$LLdaip}0ttFs;8vdB)`{nm4oGZj8?k3W*Pq0gB z6>IyIYF3=-6dCPQs{i;y&(Iw~&u}lEsc_5x?!R+b9z1opu)aJ2$qQXm#j&f~XRSwQK4EF3^Su9%@3K)kDBnb1>9<^aomBm=3KEAs z_ei9|KY|4Tdlu;K$Dl_k)B>?ZhODA2*5+BuSwha02CNNbL;4)r51s5`WCMx8bF(eo zZu!&VD&%T+$3{Jxo-$J~;NZpGy)Un4RP4uQ`Jcw-`${xX!;izXBXT%Ti=Grb7|YYd zA~jWS{m9ml7l5zzp^x7E)v`i1|D>;R*7(8Z$DfJn_32c1v=tVdoE{jkvii(E0(v8v zLU->1hBnVk@Y}PSS4J0Hww**ggsGdT2o~_e4wGu-yVj#hmFSoNN5;gwq3&9YL>eNn zdE%4~%B`gEz&w&+iTXkM(Hjeue{pLu5P6fj-BEzSwnEVlm}@qB{>5-_XnDe%B9XVB zfgF$Y{Eq9hb`saZ-q5=mT^Y3G#7h5)2;kVeF?rFMyh;4ZQXuhR@)q{Tw(jbH(QF@O z;gEd$dKBDlAu*2sl=^|1TTejkp+X-eY@&%h-zD?N&WJVC{V64qGP%TtL0ZNa1-2pblPkXDfR)$rJY0YtZl&a+bf&EvX}2)B2S*w^qW0@ zhAw8gPqJE}@E3eqaNk;T@R`M$F1|Zp*~>WySoS9JgqdhW*FJ}Px1*ofoOil?^?Y!# z#j^Kke}s4P*)){C^i}v|slVt4o3dhZweZPe-`k-!Wf6Zt@7sVe_v}5um|HLT)%Q}e z_UGBPwRi77EGxUmqh`a&1%b&Eg+0>u^;~+^rDZhl{h%^&>VoE_!$y8!ZS~6QV>v3!Dh0eUqz>&s-t7klr#&bMO zIq@3wS;He&5C0xR>D(JtZ{9PLAFNkBR1|!RKl5<5zQ31$JZy^fYUEd3 z(yMJs-BC9=Ka<;87ryV~XmxIVQ#Q)V!%n8fiVthFqezh$eB1exGOr|-cznI#|Jv+kDIeS_-IJY=~lYkCa$NL;Re4}0S&k+odE>-9W)YNdl0SC=4r z%93*6*`Ta$m)ir_o22j59RUgVIBKhRwSm+rHo8f1uX`l>{RAtIAde+J|7d^a^Yl8n zuBhpb+VOMGyIITcV`#T2Dg=G8LVe1Ls93t}Rptqv8M)7TJvd2=uLErE3mt$&Qkf=* z{j!H#)M>R*q%f8^M6SASk;jU+`FxFCrEBGvDqv{uO<=f6i5vDgj&}=zCQu>s=9if_ z?t@u{ujCs8R>*l%`2o;RLJf#OKopwrOkW*KuZBF%Ozjg*zAnS=7P0;9*_d>}?N9ry<_ znC+Hm0gQS~+a0e!39ifzOaOieb5Tk?)z^9idlbW}5!C(AEb|*#wLpQ=%m~n-PvH|( zNv%2pI@%|ZMyuOk8K)p=GWRH8ij-rMqH)J)eS3*qb&@-?)L25d&8I5pgm}681i9)H zmoxHu?xqF=P?tfocg~}NNP2)#$%xcaZ^@qj6VVCJ^S3|DIO_3n?pRL-rBjfkd znWuzR%bha~mLwWmecb|K>xj+1^P$em^spmg!X;?5yS3-xU&=Hp;GLP!C7C~zXk7Gl`%#_bJ$X8; zcLOUJdiu`WOKPzzCTR_b0$ikd_DDYb4x#dQF(-7|Z;zI2sKge&Sni>npAPZ9Sk932 zu_j!c3Gr45Jp787_jPJ;-*w+&T^rWu$ta8xFO<@C1;*&BN^V=J>+QEsmf!ifJeLr8 zN=yBSkRTizyDPpnpejjq^0ZG+N_T+S9&W)2E#3Hc<%qayrgx^qk%aG}?`aEc-FK^f zS3)NPy98$9Nn1J`GU5AOj8N-8l-5(&Bjtp&lOTy5DIX`FW2MvO9Sl$aLo_0L*`D$Q z&{8`P5)8@ScPsVJY{MXiFo+d!kW*X0<&g8zKH#l&e#%H)3>wKj{- zQsc`md?6r$eC#1@F~n?LSli1zwf%>(Hs$=MgfYPR&aKy&1a!yQwQ(Effcb$;E!GnfOBt(Q{oiTkAzr`FFa^sj86hK<^xP_rRhEsu z#Nqx~4AiSvwRx>@;biO(X}jGg?spoKIX@at<>&TlPN>H5zq7i52J{rv)PT@t4RM=$ z&F?wQR7hWg(KcT>c~jJ0DA$@__5dK#sb%pT%yLSRKrR7(MzYlXajbtHTtI9l4V^_#$dPw3p1^}D%g16$*a{^_vtg~dWUdsn#J6l)M zhFbe1V1;B-@$R;gfryM8D#5~8)}}Y^#s9$B0?`ST-$Fx0UJ-S+DsMH}WyB|Rd3W*) zY1G(c?&X_l0ztFkUGDh4+y5Me=XZx7qSxuFacPUaO%K2A1eO-R%UNvdm}a&6#vYZS z=O6!HI+JV}K6@qCj*Z2y<}>K~6$2aOf4G z<2hkHGHiGLgb)MY0tKNC>Yano2hD8|%$P6)eR6I7ARZ!tsq9)pqredKIS?x@48J}K zy1oFn!gmUfAjtE*T-rTIQw7Er_zNA(Sa;DBq)Fr)p;}*Exr90fdlv@v?EP#*BZs(` z3I%V0SvM$y)cg67c?s56@~k7r{McVtq|wF@XK`L62Qx zkX5*J`RLog@r>RQ>U#mXIyqWGmtooebRr&rz|q%7z_sfk*KXAp@5aEkgd(W1LX949 zU>Cw;85e~(7U?lMOZUFNKc$I?1<{|9VX8PWmK>OTZcIKWM!Nbo_x#;F#!eN_4_&CN zjNJ#Hu3v~x5D{O7c=by%GpToQ_o(&|_CUK1BEX;KNFl`a>}UojZKV8sdCIFpenNw7 zYubj<*kE$Ro8y<@heq47?YJml1dwCWo9bMcv!`OY$zIIA1O#(MUHdAGR^Dv9%^II( zG86`Hip;z-kn5X4H$~=h4#@S$48Z&I4Dfbvd3gajFW8ll!z>8I_^G?M0U4ZjeefSA z?dhn@6rt`tq4Ks{%&v4TgjSwpHCRb}FQp{psD6Ek|LNU#D-NG#uH{vRkWxadJ_OI_ ze*#L{;i-*bm7yW|zX?7z{z^XINk?t><2@iaj$hAMVeS*}RE_ig2xU0J1IsVwpWiLh zw`9=KmPe#AL=q5GVJUv5(a|7!SoPwCmRhib&*yt_PjMMukjVvesJS!HKKZ^!njpl0 z?I0KA8;^dDu`ni2XZ`t5;T>rg#_&#Q)?J+!INt*;71RLyQ0BG}&rh#nU8a~k@d=K{ zvVSt{l0MPzsCbgV;dDuXCa39G)j4 zX@~D!D6)IQZPF)QE>*G}e|Gn()Z#Z>O&8VOCI^X6;3Tm(W1~49m0wjqZ!pWqZ>;h+ zNe?l(b)Y%J>C>#`C9dg3uX5zc3amsBwjpDo*T!^TBr(*i`x{%yNMr&ObXtu>rBrf* z3E_?pXJOJ4X^AAEB%mJg^3uGN=H_k5qzNU!|S`rEtSoPxY8z>pl{bdYvpF>Xa8r zmZ5mh*Aofk-1tDTBb-x5#>1DS_fi|pSHX}=YQX$mC7 zppQ?W3(4B^fxg{9&=TdYytLQwyXYW&&u4sx&&i`HiZ_F6?M2>=HC(>2WiOd zEcLfy7B*XdOdfqC;Wxy6ouLOY!d1cd(6iY9cg(l|K@9WH8F5 zZ{-f%oW1fNaD^I1Vrau879N>Gz@^c9KUv-?WWb}Q-Ews{>+NY%(|nO<7IVh1*r*=u z?l{104*)Ly2f4Qv7K^z|ozd$aoMb!9weD?0o~$_z-q#u|u{Y{2VZYFpz4T03)eN}2 z+H{3JD{C0}thEnY2oE6eXQeNAV$hP%V?*lC+WYc%#E*o<-;;N|3n$-Cb@&#dz00s< zPcpw0hrOe`FyVbQhi#tmoGTDTLKA=d=T8PsE5!v*X`$xhW=b!?eI5tl(n1^eT>L%1 z?R}1ePYd=SDOLX#%sKpW%Gnue)Moh79^95$C==`*Yj{<^NToIJ-x=ZWtmEqJ(+h%N z1nlDYQIqSm#{=NGmx0#6Gh7@wd(zB8-ed;8U|njvLLZDYvMymDU@-Ecy3Sc( zu5o?r#)09_jgH5s9kIrPp`f(pE+Faiu zm~G8U7{{V2Zb5QaS7Gj%bO#8W8^YyWi4Bf>k? zN_(GqvJ-CdMd}@;D4pvqez({Cx2)E~Ep89~BC@@c@+Fr-lurCceGfEP!&rQ2Qo#A9 z5u4wU@@?2B$_yDstif%8VtNyqm~Rch6>^9l0w;gC1Dq^Mkn)B8l!=F4cHPWmD|O^( ze+k-#4!DM|>=hcLueU0`q;8#+pCU%;V-_*U5moRK|EaTMiFp=yF^Clt^YnBpwtmD| z3bLkGV{H&5xU+-)lC*0{YM(MRjctx6O{eq2(RX}4# zK`@b%DUtI_KUbUqOJBFVdbR>UZla8?l5^fyZ}4?pD;}3NOyOwF@7-lT^b97wwEGp2 zeCD^z_=C2=XFos6qSut$@3(rHD}NfGV+d}{lz?1#Kw?UJm;F-WRMjnoOZd{duG6sU&)A z@h=rE)oqHD9&#q0XWKZ{m@XKLiz~8`d2{Q!UdEA@2x1&Et$=xAxUmJc~-0Os55Elgp z6>4+F*>!C%Yi%#|Yi-r**!pWE6*y{SKZWus+&xI`cC7J@DB8c#A%UjK(vBu%Fuf21qekaN)xmS)=#Ya30G1E&@t4Rdtb6cOS?#tiy zp?sVrN(GjQeKnMBLSQ;g%{d-_o`qHz~;YSGDm-OWBXVEFihWjo1W?Pob6aJ09x-T8u(vukz z9t2gws^Y8OGQrv`GMRp(^N0^r+5QgtKND-pRK=@Dq`lC4$U6V`xd&}7Nh{ZnZA$(O zIdDF6u(GnpnbET67uFYNg{?%KB&kuMGb)9Y-%M*if1Fa+{rTTTG=KQllMD4-;OE?b!_)S}2;PI5bPB2-hjAw} z2a4)9&7Uew2W1YJdOq?})dCS@xX=b4)`<>$|NqIDCk4Po1#tP=oZUKMriAchHJR2` zAwH%So3b=2O7>TQ8UMlKUvp78QoMB%;!A4%^4sjmOuje%SYqJq;X@lPTPyra{7X}Y z6UJ(7=_hi0;4vbOq90n;6U-9^3`LuC@`B{@#f@@*G9zn{OHXe@H#7pqkbf@}5=@vG{znQ%+yJm$Fwg=Lkla zb&wjpx_OKv80hYP^?^8t%Zk8QJp4mwzmja6Xu#9dPVD~^WQEu;@0ylL>AyXI>^yTd zlVngCL^&o0ni*aU8#V1?dCp+(RuPU{g1!w%sU-(yaa{w|HlY(%<@pJjsI)_1OD|U- zON(Gl;C;#;F?8M6+az!DdB1n#)8n3^s{&0_IlC!>c|E@XSJN|J~-8R$toYVt9EtGG~=%HY-E^e?f>#Vzc}QT)lVxKHOb7G{#1sX(iEM+jiS zf+=6j^Rz<@qo~R0d%J(R^12yp*H)6hB@*}CfBn`XC{priT{fE;VYu?Qh-oad<_5Yt zDWOPqvpw??00wb5;B`&PKFJR+i@mErqz@{J2jUTl0Q0+Hh=eR3s&8~cbc;O2;nCss zNo;2HtH71Mb@((F(-re9P8S1b*8gNP+v{x|dq0hs?z>U^sEh#MNI3u(_HW?84a~TK zm9al^iPP@$n=*UgTXk&td^9m*3W%|O!1V9JI>pE%rNLjkna@&y2b6fl!%H7FhPwH+ zOw0*hdsh_o8fEY&O3@zvyN`ix1yYO5_i0L_vjyOywe<^baO$;;&;zS8a`@Af-^L6O zpkpD2`58t11J=8XFQyNeAlycF1?VX=eV+3d=zNYNR-3XMA8KD{?`$s#5yp;A>@tqslfYLzRiQyhI6N7? z)&>bM1_w!ksEa|603ZEw^u^8=SRqiYJP4UUwZL>-QGe7Nto;6N-XHtAJxlW2m5(j& zAqFa4DuV|&h6*9l5M3$bVMo8Bf$P(qx_~AwXw1e~Yrt%hswKQ;p1W!2Y^O3nZLYpeJgzBBeGiGs7Ikm5~&s-nJ`MVb_+<0m4go1e&x{1q8ms2Uj=gqT8{ zTn3^V%0L4MSNL3P2_^`+wR!-;z&%k{zFx7htH`$3S*c(yVKn2N$t#`s5AZd*SIWYh zu>-0TT^pJz0n`PbR67MuY*Uz|@W;9eP1CLflpaGr+OCfADE~m6$~UQr9Gt?RL61)1 zOwfu`_~()4fW`G{j}@0SxVy;dsqQTKa7#eaMd&MTXnvsSuZ`*&Zl%TmvZ)|lu+(Ji z<@uT;%Eu7QMSDRzI2mit4cE5U3Mzi%3ol&~uFZtfUvWV(^(3Ep$ z;byEd>sD_;0?b^aA7072ft*fo3vy5p1qzHP#Vgq1*(pCpHx$M&bjSd1)8J_6cvT-#ZSN!zogJhUX#6;xnaZ=WKI`^aTecO z!@!PeXiz7Zx?C_COlJv^$rh53nhGP)n;z*UnJrw}3wa1Dv}A}~9Y3?hGi;l}Cfih1 z)FP7pwlfy@_VtP_qQ-*sjl*9#duQw>+XpuaRR5$~yH7op473{>RxO)>7YT}kYpu2l zC-;%#X&F$x>XA8aXj0wlQ~p3bG?zMbXAJ2rctQ!KJ4%%bG?WU=)chi^imBR^7%PN- z6kOYZ+I6K!4GopMnjr)*3a`Ufa=0=W7*UDI{7Hjf4cyFnj;1XbZeP56fShb=RBT5?O0Ri@ajo zw0h~svYQuHqT(mFmlyU!#m{CxFKk4`FZ^Kry%+SFZ@ozh5#@EsYnLTOhBq!7^&Zi{ zrv?hVziU4JJdlCvTdX(M`5V`#bwO0vM#xO779@J-eC`M;RqV@-nY_^9JTp|)Cwm|k zyG&hF@Z3y?*MZ+bc%NtAj^e|&P!?%5eNIc(;8{Cf zr7wVSpw^ya#P;*tG^t1WU?G2?;fal=2U<<@$NkS8P}8G)H|pNM)IvAvvEdu_ErA>L zWBjg3V_rIgOnlMJ|LVRCI&=_Xs8^c41+Q z;pmd^I@V7;-ssP!*?XZzi@i3!T$mB6g6mYS^8+(IWL*zZ|itgo|fhY36PJe#rCA@E{bGbO%^tRWr zXwS1$dGoE^*T;rwI*s-9rI7~w^E4a;yUyi%PWW8x9{jJVeQEryW_xF zF?B9CDPeJ38-{SD{CJ>Ea9E*q+bET#MMjMG<<8u7-r@P)NW+$mtR%W@^4_^KZ)+Q0 zn6G64tUq8|u&MwL^9H|o#CGteLe>YuoZsl-l91G6`}Ki6&M-E< zy+11$8rwK+DJ98rD{c_kn$=7GwiKREeST+7q26=rPWo+gJX5}Y820Hx!U~O&y2;{= z;?c#@57C^GZ$=mp#*<4>Zv9NgPXnhL*dUDj#g6CjFO7ai;sGp4LDGgfx-hDd1^RsKRMQkQbn^_;WYY|A1IX92JPf3RDKPl0fDLC?7_0q~G zV@v;!DA#^OaxHs-^T|=#$kw!{-*3bTA2|kr`_u@!yn3>Ea!7yqc<|}qpJ{enn~FD9 z`tdZvYdTQk^kBgnt|pQTzI>#oRnChob|KDPR-v; z?Ri_n^eF-Gt%^2|T($hR*c31Hj-k}jIP&}+)me7e@n6(DU*eqrgFS7e`Vr~nt$0a ztEc$+OUp%1^;GW+%}qn*MBgd-f5h?r0{8wru|kQWL{5W6;O%YrmaQd}p^-V>PgZVk z1In>tbMODaSE$7DcQ8#}zSwzDC?@NV^4t9lA!5%!M;b{?aQmHTwrzK7pmHjzcgRQ5 zn$Me{LBH8U5#4JuLmF%y_&Hy-2AXr8Op-HUACFveNf(j`s_hZQVd7?i+{#pgEo{Fy$JhjC(K~ z@CQ~lWOCRf1xwLM2Fpw|J$hfk}RulHH`_F z{K8OmjzbfDyTF51$^4e$)_Z~b-#vn)`f}xNq=D*J8v36~w}rGk>^Wk__k++mUpIt} zXAh)0jeez>f?irrW6vFb_wiOw@bPu!k6XV|-Fi4OUsXr?JBCQh1xNuHd5)EqOf8IQm-mCQ zEU;IMcVeela$gNoUZt4#TzU9+9I0Nd;XslElC9<4eT58JrtRMd|3vXbq7QI65cS-d z%H;jksj?^H$RLS1#AWCHEn8!WM670|S@NT%e!h7H;!)0IrHYEerSX( zVuDVk9fozNJP*Hpi#}BdD88_+t~qr`x>%%ISWr!zz7L*RSUX#6eV0E={@wBV&f;k6 zPnpq+ZaEsMN#WgYIWR%@*OgzcOd@Vyaikm<4Qss)p9$)T8%FuNq-mh916VF9fNcO5 zE9Ya$(+MGuj#sQ195OGx?>;=LkRe-K+7~~Gb!~9Pz2+2BU3fX)Bgm-XF=D6@++1)M zoOvwuUQAnkmp39;png=!P~S#XpM18^9{1dP@746nYY)flz@|DNBHh;EE)P)n^l@+2 z2*e73c^VxRK|rBc_fc=gjA$Atqa5s1z(melC!l?)Ov#iCu&oG@gr{VqU{Zpqrrc$N zqq~*~T4Z+Tdu9#m*m*?=h`gUeY|*9KILow4Y~_ey~W6eHQGn2zyc>9x(gnXz}^FQxFZ-3S|= zH68aTo))+G=Bd~MZB%c8iWVKX`GDR3NWE~V>CgJ6`UqQ3fcO^>M{}UqKvCA--Ga{* zGx{{g=lScCcj2qIiMkgqmgkvF{JtKA?S9<5R!&kRSM+GQk}HhNI|3^n2>RGsCf5&Hx@I)b+g<5rjD>n-)OzP3)^4fqXx4HSb26~7%zUrG0j;){t(H_w{ z(~`$ZKVv2f{i;dgrp|jI-#yJtY7W(m3jAA8##2oGMOE`LtF~kC+XWa0f48+Ckp->&p;92*Jp=P!Z_;)()-!=`I z)usWU9aBP?w;H1!XdLHC>XzOwu=Ar_Zy{S6NKk-Y4+#Cfc2bP~VBD`=Z0rCq^*9gq ztXn9;Z`$K%)!19H_sqIkIP$z1FKhANWc=H>n^&Q<5KxPy?*i)KKR4>?w!hR>8ece# z{flTl)oo|w^DUVZlQJ~*ylw=?f$>}wHa&2W4JuDd==@u}-VC6yv($(cD@s(B-2LB` z#FBHkvdMDV3}dvq11Z!Ag14QISTbaqomLzZ+ zT!b=I{;6sw`TX&5ydL4`U1Z)Q){Qz*`9?AG7j#tq8K&5xLruGN9EVTXvObK(oqF+8 z(Makzt{V`MSpKd#*4&c$`6P#W+((K3#jCQ0M#+&BvKVwNeP#9<=*Ha;Qgj_sHrx(`t>mHc++tB%Tg&KhZ%V+s`;O zE}A(3Ko)D(y`E}SCm-UXp=I8mopj7^2Ns(xMNjRV|7@kI(71dbD6B<3gNS6uKcBMm zOVJ$b%uAzXnmFSrDhQJol$2O%vn?uUJ(ZeMam2|ysyr7IH7)(!Rn6XATP{ORlfn zvula3_@i_se+<9HoRqAmj6423QQ z1)T$%_)@rHruX!vXT6g{wKx&8i9V;JSB73W7RT+&4)V`yJ+kCj|>5A|9e);FcvtR@xMd02=enifYX-tTd3u(0?vcl*nZ(R59t{Th z`!BG#QI5;q&f-Sbr#}^;-%?!7OpF41vfM9?eWs7vT3S&^_{#MN=*_c%0diVwsRm=; z)3qP`++gC7+f>y4bQ+a381%uI-a`uT0(L@mtfjV{>krSqdD}*Zr01^776U0Re14nA z(@V-fmG&KIj+gV9LGFQw=%|urDu>7YCh2PT=RPP_Qzbmv;}V>lfZG#X>GX~*B0r^k7XK}y8Jv}L4b9Htwa>(!r zj70ZB7NsCNbR0F2-$%JRUM5?4&aN4bnuv0t`LOECBE9T;5v z5>pIb{T^_R>h$S<)wZqbyG@Q_B}A?6NX9_+JCD{PJ+cYGORW$za%Zo;qCE(8df+dC z5)m73r~->myF?8ij9@CuAbf%3Ic$-c~g@ zMhzr`pld|Qm(OQF{W`5asEgjOm727uzzDUarN!cVU{uQEOm74nd;;AF!f!>PQIQ5H zgkVMHlamWA=Zj1~6dhp9&fp}nk5VaYDGM$8s&$?^?`v9MW*@RwwrCD(&Rze$AfOXk zfF9OhR$Z%{0pXFg zHxhwY`z2c^ED>g%or{Z(7ou@WRhH`DsOHyMGr7>9%0U(c`B9rCy!Qz5i}0KyI2Gdf zTV0jHr$`D9`I-aF%^qZ=g=}#slfoP9Q|nf=G+)jq;QOBJHm;*$i9#qMnUn5QA9Cut zsvfH%Pv)kKjUfY0bM)t%C#~R*;a=T*{ttY#IyN6%Zmh+6v_9F| z{K?lEVKCKTArU9J!HYVDap6V%(BY{x;z&ust!Xw@Z&)0HaU^m|7V~NQI{AF!!s4#9 zF+mI2zs7>?s^w{AQe9}}o z(u8|M%nBb^1rn_KD5_YyF`^(k@;C1$InAh(bxE3`gANo835wtLy5?DwPrhT2Zva#M zCdhp`ZTPb6WV-iIrC%%C#K`solMz({vC9zn8RMv#d5ENvXSio|zrodxshwE^fB#qf z)nS9m5n4KP$eKtMzo zdPk)9DqVU99Z*2&FjOfby$T|rSl%z=d(OG{+;hM0|9_sv%37JdL$b1x{Y!R|ZGOmm zc70lKOg!P(BXir|T$MG;T!Yr}sm|d`_*0#P$yB=H(k&|*&WBmId}06WM6n%{`cgzn zsh`LS1Ns4l)eUpa#GIwuvE!cAN}RBliQ#!1%1CpK(o58PAqTU;Jl%1-|pV*z_aS;+ot5& z2&b94eD2n!y}9u+A+q;#;}JY0_^NhNA7@bmO06D|`}vDO__S`bd7BCNoR1!M9q-aD z>}$0b6>?bIofO#RZhcZjKA4BT3R9r-H9Ek*f`OM(?tr@z^5tTp{ugeQ&{!t?h5`I% zd-+BykJ{P600CnCr@2QS2!}B@@gzIYf|w1 z#)G4Sgl|!TZFN+f_g?&lg3}rxvu+0zlIfJ6G^^JX{;G5$3J~@y9dJcXVv$qrJr~Ji zw6uO*!fM)c%L{vko=<&NOt`ut)=*qGq1x4+HPljE*IMqvs0op?piTeFmtO4K)6tH( z`0+%!*xp??!L`$z@8mjD6P9ng$T#+|MNYZ1ZO-uW+Du08jlYhk{eAIkdgE}xo3aIu zLv6b{(S=(kCPUhhO@8~9g{OtE_g*onpnMDJ_ICo8q+8PW6~qq8%f>ScmaBG8%CyDy zO`a7>@zW1w=4A>FIK3D-nc2JHB5M=Xs+Y;$U`#J4)MnzlSL|kLFE#e;K)mDOAYSIr zMwF9@tM|KmjMmVlk21Q4=&s8g#egoH&w6i(xT*Q_OlrN28vE}71t7?q&oKz{4FHx_ zss>=Z;wFNwO83xh0_f5;Z1Yoz^AJ?h)ncEERC}e&t}0iI-!Cny+;jRwgom_;#130X zDG(;)^eAk=_Pt*b3xFe$TL76{ov00f2KP~{r^9QAL`v!Q-MdwrJKX6%f0jKfEHv3> z$t=iD#7lnEmmqv@Ph)yR&_M^O{m4B(wP&l4j@As=a9BZG-aao=diO=}uZ_cojO$~J z_a{{EO+C}Q4SiOxs*T8~q^L@pWvlyv(61iPHDMM3h;_w0*eLP(J#oBSvvesY4vHA1 z&b{m91$cheG2Wi-ngVK&zeT)?2$>lFhT?$!6V<`6C?_Tt3lNkyi}UB#+3hJ9TjV=k z#=g)tlBH&qs`~c&-dlV;NRg;fLojtF*rzE04UOGYVDz&glyHLLPW$-xVY9z>jFdR{qf1ECWPzvAEUej~r88 z4rU$Joqa52DCZZUzvljeMP58{x13mFZs9_UT;8j5bIJZu23BtU2_>l9fHrs3IvN7n zT}G!qXk3_!+@aeF0Hu#C19xx*R{-STTL`9|w7oG6|Hd-7LiqQXT5cWDCj zJMS_^zdCBOzSPm)B-Q#$ljj%bdP#Bw^y2v8lt%gRx$OCT6QT49TN#c_q^ozV^XHR$ z*+jEPdW$B{)57O)7+$5!sB6={s0QPnJI2`?_6$9vgxVe+9;jy|q&;PN*xHsO1*7PC z8=uy&%u&yex5*D5)v=IR%h~=N0Ta4{a?(F~CyWnp@oY|5?{l}?E-W^XYXuuGVfZT_o*Bh;-I8PSdvdlD^1zwN_bWFi`44U*r}&V=H4x6SfClQ3idXh z-$9rjIurqJ-RsnOCC_=rD9C8bAa#<)YUyby%qRtU`DFSvSQ=w31yPxL%~O?Y=Lx%0 zF2-N}JgN#62dMYCwNxeMQAQ!#kZDA$4wfOb!q9(jv@gCR77x*x7+nN7e~2J~hbEL&A!CV?@_0q(JUTE2wj*vGSwy z-3cqWt-|--Wx#@(xYeg04C^Gy&NeRmi`zWzFkWkVYmhIf?jaV`1?E9^cfbtDLpzKM z*+4KOKiW_FT~cZ&2QY#Qz9eRbea-^B?iQN15 zfKrCfiNCS9c{;w9)Ky8=1R3tHNL9#)E=w43Bvsg($nmm^%$LUA^3UKZQTvjyqSd-<4HEjH{0HgTezm!+P2;O8OWL#F z^?OeSR@cN=yy$4`u#D+j3JFJ?(62>iqgF? zz!htk_NL*U{hOjUXxLjowpun#QY(shGijfolH_;%S$vFw2zZyzp?WGeIIyx^HI>_D zbyW1;x8=BMHqNA^*-qksUyQJ5RmQ~1vRpNt>W8%Sg2y>;n=4dp&T^+S{VX&3>E1k7 zUPIE;vHUt7N!HVG_B#HIyyszhSb4)z!*e^vj1muw{}V_8cx3O35gjoiN8o@+YKNF4 zhc#2Z(mVH?{b|b_AMa)M8Q!@v1dmvWu=uBhcfz8g@$Q5rswP0wIr?$y_6LQ^&Mdm# zA9TMKZ>l z=sJU{lymAU<2LFf>pOXU##$foBASOkUp0~Ib`8!ky^H3uu>oax2(ukya{I__Zk(5K zG?unHl3k0W9>B~92w<_9Q!r!vO)~lO(~r!y-%51~m9zM* zS4C#5=zSzK#Gpn9y-(bFrCitdAEGJPZ~vpe?^i#;Nx#%-(E@qgum3Caw&#pdphK{d z43kG4;Uj+_RbC_Qc2SV8m3BO2Il;2;&R=4_j*=;N$M0#@0O1`r#$hs_r`AfI;Eg!` z@CfglqnGjFB`{;Q>aJgYJRQ6pcPNfnuDGLrzeC-nL^2^#u<@i}|0}Cu*sR9utX8T= zq+rCQ-fDl=pbyc%2US8hP-_&#@@Qr9)=6xdAjNs|-BZJJslQI*SFdh+%KtS+eEc<~Q&YG+ z`~7%dD!?zVTV~v*F2KBZ*;FWCcZ*D5nSGu4hUesV)YArb7x(jHU+i|5k3^~wx{=E! zq`ABZV^h!SLtO_{#gsiUa?C?$wnABz4>yPJq!5dhuR$@)Kj7zV(yOJF`?0$I3y#sc z{;luoB^GD7x|bZya}N<|HXcni|A>U8kxDH7VMQyXOTAJYSAB|Ww7WmBLhhTa(av6~ zorf6y4>6OnMd8VJ=L0OhT>+1x_Li{UrPS8d)foqD1qT*p6HrTHp7gO!M|=U=~OEiK34DKH4zGe+_%(Qt?v0D1#F%lEz%@ zrm?$3+2tQmU#b@qdBTuhGTsweN#*xjP&F~v@46adxfa4>v;nVEPGyj+VTnU`n0I}i z?R<$>EYnL}(p8h@$j(46G1nV^f0WSR&(6g66tp29YEUm1G8U zJ2Wj(yfCbdVg0$9n60Jod^&?!dgrS|J3A-Zv*1jIG?aI;cH+tVM{C;5!LN0!40<0= zjG-ewYwCVW0>SXlj3u`htVdr<^PjZLB>P`Y>D^u0(~$k;@^@tGuf(gR%9n}n7c27; zYX}*&#~Bmbgnun$J#p8$JH1X_B`s0G$~cppxHmNT)xqJaQTW&JcvVcD##Q6&SBKLt z&pJu6E}~#d#7I{ml8RnPmU`B+Sf;FtIat7ePVN*ESv=PH5H~7#Q<;wLICTU8o7AqC zeo8%1RAaphmW>;jcXnaHH@&;!ez!JRv*Qhf`1aE4gmgBT({jCw?6clIm8QG%iFsuT~I!0eY2GWFbcz3po8YWh%4CO>~BbWH6Gw8`3}<^;f@Ai8s$3CU2tX@_tl} zcMKmB0r5?W`cVzu5PqhAilsZtyV8vcb9n-$`UtjX|o@m|goi2U(^#@<@PDm zR`&>GSDaoLA3f~NC+nI?43POTF4*XcUXn%}CCJMzL#8 zVM)lt=iEUJ2SR*U#C=Ncm$0trgm%xCT&KI*AN$(AoJ<(?W7X{vOU3HALLgu!Lss@2 zwfn@IL`uqtiAg_6W}m;EKNG!w}|^AF##@1`IXN{FHzOe5a7mvKtfcpk%TIdA-C&bJ^} zln^4NG_L)+uDll~4&xwVxed0{?5Me{Rz#sPFE9uiRoW%p4Kwhbyh!z6Gj7BAo;WDfRH@y=D|Q%AVU&4hY{UV+x~gtkT5w`DXfNPByV! zU59|Bvd;u@%i`Xf>+HLfl-LnP&T4kVPS12coRbm$_92 zNuw^PqRi1GRM8I8LHd-Z^eR#*iRlbf)S;L37bLy(!kW*|``L>hZZ_WZ7;hST-}ICy zs?Cv*nxx5zl9~j(z2&8QSQu&I)B?WH3-0<_Tw77k9}w^qbU&}KNo0nZSzs>O$EVkj z?w*+%$79!#Or10MBp&1WTCi;|dfz4{o91^uO^ts&Ovyl!^}j*{p0NomdO3DWJ^Tk) z*Hz|1KRceP?Y1`g9e0^BtmdI7Zi6=Z`M^;0XgM4{m~FFAE0LI+vMM6cOBhsWweQu- znSRAw<++-QIAN|TSWAU&FjtMOrn&+!){66aFgx#l)7G`a*4 zZI)mft0lD(byImexCCy(Ll2Hy?_Qu@qqD3+ICN64yxm`LO|x{&at~0^=c+|t6IGyK#~J-qa2giF0h=5y zS2uB@e1tOL*6^dYhO6?ax9k?f0Q(GE@_ONH$yH`&7bFN*M!eah&m}Z`M52d}{{o4e zL7hDDrjU{{VPY~MOH##09vP}M?NikDK%V0mOA=LhQt7Ph=A-U{^}r>vIL3v96{ikz z_oQM5lTTGYu_3x1yJ)B8cm`c_S4MO(MvpV%FEB>0GvXgGMt^0*|IIk`91`{vR|19tYt^6NWUIR z&dzZCWIch6V$JcSW>@=dB>tBogQ)z36g#5JK>bahtD%STp<+x(z+hkZOyZQh{aSwG z=VQ)@@7h`sROjQhJ6Zu<)A{v>aPzM5^m<<>=bmZZ{Zl1n^v{j!ZY;FkI$M@ZCqTC1yU1mPurFlU0noJHMeZDD9EgkUm z?^8|TPf30`q*Zg55861s;`fjl>2gT}j>P1&r>w^_sAJt-p#I@4T683x_z`Oa+PI$OH@@NY{GP z$bEzbZ$W+K`9-6%p#(`}L?xJJvp9VNE8-#YOFBWA@3=-QKsfPdO0C^+2SS>m#R=eB zgxFxYec11&FW2yXIUQZs*^+9CAgu5JL{_mEydo*|W;Zs_^0@ihj6crI1)ivkooz<^ z60AB6RzEh_cF5S-L<--8t?^WNQO!saMNew3$4-9IS$YlF2f&zOF)aRd(RZdquy7y! zm`oF14jp?SkN#M28SB;2EpnAUU1v>klL;fy`7&xi@N05-%^()D zCRpL9>WUi%2w^?S+V{M+9R!rMP)TOKaMQ7*`!r0%0cYyQcDTmVBzSzAb1D!Ec1hN7 z6p=aJ0<0n2(ufDX$4+->a5=;iemr=tH+P{0K9=vZ^h5P(f8a&D6NWbGS3hV80# zQEWq=hmDNESqKYI>?6BsZ*Xl(^c`)`jwe~VTk(g;jct=x6WX%WqG9S1N%9qFR+3lF z8D!z~%-(s6V^U`yZ}=&c77+x5&?PD)Y_kq3lb7~JPpu-gCE)m;o@mpusY#C?0)r~? zr;g1`^dw($w}xRUcQZN510kw`>nA7u#XoTe^c5gw=61_LN)LY9teZ>^bdjzc*e#!+ z+w^aNnI+l!r#KhhLL z9)GjPa_alVI2tGx{)zZ#vk*Tj^33oPZtwky_aPdHaHBQv`d3c%M#Qf;&p+lg6M%WF zn2U@YDB*f_rERh@@9^*<>u5P~SoCK~G!UuZ&@^+BeE$wbgK?3+@!#bf))-Mm#B)s{R z0Ns-NMf(vv)C$tFc(_FhU(#90?d<^`kM3O@VH_P+`iH7_$s{;xyNc;4Y2iX%5E9zu zk#1k1&*Qy4vX@_ufimCQO77AAZ=v(l*_u=6J(;Ey7iL&TRA(uJ{#z9e03iYOLjnR5pR z)}b*@^cuF8NBa~tEiHNtSstjcH(vd7eCgLX(O=_!PxEVVW#_5<>*Wru3l|P}2YC$z z{hy+U;HS61B-}Wy{JT%!Z%F1 zN%rMh7;{Huswt^j12%D%<+K5gYy(;LISEi}(k({~-prLY<{3dZqd{R4UUv>* zrUMAvB?fT+{Q6rPl$q1p6ZPDp$cTxHkffrH_}>VsFe)dJg^>)fPwGT_py6)B}w3Z`Xls1{FDzEclndX)lu?9YO1EBR6s!LbM zn+yMgfWaKO8c?v?qG6=)D=p!W@~pmaN{*~OK05YQdi5AkXGs*>r{l1oH5lSoesaL^ z;~w9gjeJ?w&&%H*?ToiyKYSb>vUBq=I3(OA6(NvQ4JEFC664ineoS9rBb5bR z2kUWpKcuDUQ_dIUmsL068ZU-dxuZcPwFI*O)T2Q#fTU{iF^(qh?I9A7Hb`HgIw=^Cc9o`CY;(+Y?p&zc zy{yZi8|gphISrevU({tFSrYHQhg9l@OS(e7bu8+3Wv`TBIs*+U_R-m%~R|>46!>GDbu}jpqoM zyMSSgSni@T2J060ABuwE&qssQEeuADCNf?hB~^_RyS8<~uEy}x=PZR|mD0_(bG2S` zz`4E+?AC6S$kaZHTL`KhbBbdl=oP<-1DgN-Vm336{ej`cI9id?irmes)_LehWNgbh z`*{F{-Bp_eLAL4fi+h0JT8qk zBiz|!hp+z=>+HijWy&;H_~)ajs#XWiObStdQ;P>9?W%-e&)dVN1U65Jz5dobtRH>o zIB9#Z4qBHjvrex}R*)aWMRMaVCbVFWz6KcnbtfHcA3D%Wv%SYOfcH2^;SQqq2h^S$ zyvu{89f>vGlrZ*c%Sd88!!cfKNDRVkJsjl-rxv8sft#&MG!xN+rj?e>i~}l2EPGLj zVstnx3`|2}W2*pr;za?IlaQd;qN}p;0ZfLo0GON;2w*Z|`|n85&#~o#7*2d_5w~r| z|2s{Y07TwT1U%oy0^|9_eBc2FQd#zE$iO7tY9YF2Axa*4JN$16DE}hhj!?}1o)Zy2 zgZ+Iuwa%CvUF`{^aiR7Hrn9BV^?L<2r^me2uD<;1!i|Xo01eGookt>bB_?@??2F4AGVBm@brg6`#(%+#U zJ(}GZ$B@&gBB8UQhmhS7IUeTG71}Eia;>4eGk|qP*aAk z)`{gA8J^oI`(7gXz35jrP|(MkK^rR5jI7UAzomQ~j4qQ@xW%j+**dB+4XWTQ{e%O`Mcz_tJuc7a*=X(1wYATUYUDA_orNi#w#@|Y_ofw^%yF>yWGHNVp z3O3oW2w@;$kYH2?hN!dyzk|4jrvyCjGQY50qRU;aatvRxj1^gwju?N|a>gZn#4C$Im}gXGgsw~h*Y?U-6$r7ovR54^8CVA!{~C>*FN~sJ zvaHGV1^+x*uxz-BEglb_wIcDOspTvJq92nO+Oi=jDoSQ?zkop{ztz_{abSE2e1X%> z`Doc`qoLXVxb?w;rEI{m)S;MBvnII2P0+Qn*?)|O)Nignu)KI2^nf4{h_6m`KCbA@ z!v#yonJz{=z=*#31ePPaQ8J}y`>x_~p4KwYN>MF=TE_hk2MN!p{iE{@o5qhDM77L5 zF#D^&%jq5%=dm31`DXLJ`_ryz#M}RNg2897EA?SmNzRyob8B9Z)Xzd-w; zlrlO$(O2P9L-T`iEw*4ASz+|~bXT~2!>ubH>#kl?O^S6_3a7>j|pl)6V{~ zj$&B+(&4C*=pN{TiT8OKF#!0`AHIg+(~rqyg|x6`4*4yRy}-ia%Z&T{7TA5o=GjGC zO~?}EGSO~pUxSAf*|MuK$ExHdnW66I9xFfY-vtZ%fBxPY84~*IG40`v>F+P!pS_g0 zoSmNt?F)4ag}iFIn{|JS++MRb|M_IXPHX&)oYNkE17*>I`Qv*ogJ(<9go*R;c`@`O z4}Tq%#Ce6ijLz_bh69(*XEk?i_8qjNa=3=N@dd=uMz40Aa^x6hyT!yZ!wzl6zTiMJK#G4=B*0q+-7o?UD}r7ph`Z7Cy+UJxYaj$-)W zwD`urp_@O44&lC|&1Eht{qiFV_fyf|QyeHh+uj5jie(nTPZR&Eb zY&4t8AQhi`|GudhyiB;;YbzNNKToz~S=B~h?oVX>aty_L+hGF70!u^Bzw zw%8gz2BQ4yV9jp0xi)+Rck=R^IrbWwedlg5`^%qy3f_NviH`qVMZ0D!v>NVT$JW(a z&NV$huHo`2;3y~p-crX*-rip7;V-L_-@KUg{4MF9uw^mn4^6evJR=-)u^Ji1T&niR z3d$IR;9^96)<4zifT@6o?v)2puF&X0>!U79=)B$h*mU1g-&j4B`)q#{gU6e7-V6p0 zcmHx&Gatz4orOa!6x^IhnTVEWW{#{|F?-H`!SW=wH^2+EVFv+O}$M^9S3S1ex0 zE++q6qmcPAWPSKO_kSIEurSupZAU(kz_jp$8Q_tldGHAN|1Yv>m<_%LI6YUIKma^u z@QZPgJ=<(8u#|bG2pWKPy0?^E0IXRWlY;{os??o5R0|$eE|Ot@tL>V%#P?@QJo2gZ^oM68Wmsia|sIXDy zTf!{Lxt2`9!S95=#)UUej@Ss+piEQx8Wzc~3MMBlBe0OvP1nj3l(-8Up{B37Rg=5C zD+uPQ(AaF8 zS~3Egc>iGO{}H}PWSl2sQ4cjuC92r(H-Ob|1v`d5?`ZyXA;a zQa$1djs!#srf^$w&cJx$mWD|^1W2F4}_xL{7@gCH>UvQJ}^TqFLd4ZfSlAoQ{L zYr_#>+&U=47{~2&_8R_uQty-5YZ`SacfwnnB!EqwOi4)Q-Und}n+^ex+6@LWP6iIC z4VVO@TBuasWg5)2PYS5ZTbr6eSr|vt;Z*Jiv(QWb9xqUJOF6hAGZu z!*au*jA4Q(nq&6SoFvV2=R`q)y4?AQO2LCRarWwwE<4?r{U%S-n*T3pT-G%z;0mTaJLz>(gS&OiAQ?7C-GBuCR_x^jnPyv`>(Vt&D+oG*7ylv#5o`i4eq3OdF~&{v~AX-Exp~( zZK|3-E-3TcTL3WQ_7lLExqR#jeKfWEgvb5b1P7~9MmN308X5;qc>fPmN{(6n!O)g__J-s zey)JoA37S;HlPEexwiXsGW1MwW;rdC6D<&K!-u6fI{4v0vnCyhXyUj`20fL^RD+|l*MVF z9KOA1?D8f~ZC?J=AZnW7&E#M4zA0s3+{6;$vyi>NuPZ)23#}5Jl{$D_K5nu>a-J?@ zJbR9(l3!InXX2NS&9P(u9FytSTOiYdIUv)I9udP0mjU?YZ&A5;z%iqhk2@55o9}#H z#w|I3r50@&+@oz*4xp_h7*s9!1rVXra9si5Z5}=X!zP+>3=X#M0oYg4>nOMVLdw#k zd^~)^hJ(+KhUqhpWmPlGhEo`Ac>))(n1{3Z2v~{UAKOrq54bCuZ^OGFtz4x>7oE>Z zb$5vGNs{?brkCHOZHG4+b4lOC#+g~^Z$Cn-Ct?cf!7_RidbpW@jvLpPZ# zaGK=I6@s?G`gHH;x<)sFWpD?SG++UGeqxpw-jUj8_C~(ltWNyF1n?o#W#E}m+Dq_% z?;Ux_INxt*EqK{WeLtAbuW7rX)i%FF+P%)4`vIBkowGxx==|rcd5FfeCK_V_f1^30 z>bH9Dp_;7=TZ|hP7wKJ(9hhFW7-f1TIOLk`e@T46gx0d@Gt_mdY=)7DvwT>+D*Kr+ zq#NuR>?ZIk&@=cT%`a8X@`LXOtHRoNoc5*D1CF;ZkN+}Ua?Fcj=r2POUji~zq^ zfS~(KNDZ=G^97Ubt{%`6w2J6kn~O6)@o7B|>uT6*0K8?d6Y!2dyyp*p{fEE7aA;M) z!j)p(tgL%Tk=X$==upmsFg?uMRXgAv%@_lj9buftFL;7Hkf{{R7=^EpVvfeftls={ z&<1<(JZp9;=d&B&(B?8u%+ZUgn4=fNpV~NT`>%WcFe=S2k)2B1)p|&Aq{?W>9!~uQ zRg-Qy!G(0H)<{E%%%;7AW#=}Ij#+HO`F%w*)5I>Q?@#L$PC$C&YQbQA|v_YFC64}Kuk zyMiOZ)Gw;DOXmca+>sm!!2 zhY!|IS!r2r_*A9(Khdlf{8X>Vq~OP|%A_EUVds2+wemSWoK{!o_Q3HdAUk&hYmR$~ zlyYBSdod{Gs=QF1+>Y78uuTlx04!XBwnaKThN49pYgmWK-4GU!WwqIOqD%pqr3s|y zrNGf?RbvSe!tpS(qFUgP%p*|Bou%mNWsl>*zMAgv-0+%k zmFrjpQ7mW5F|gsxQDUy}&b0x9w(Opl3du@QfJgt~p-N!jm114k$p$=Jvwp&oXWFj# zWQ8lzOYzQQeXaE$M?`Bz2HymcE_{@=DTFtGbKL{XpnVRseLrn^dVb^fX&`nt>V(wa zqvRiO*dr!?DJ1u)?}Y7V|Hc>X5PuMBJdtd8ztm|o@GlgM{_OWtu&ybvQ46#7<_tYH zYAh+LFD?`V-aUPk^K;mL9$Y}JbR<3rP9k)>YFQPz$paCSOyhx6%HO;LSQ>`io6WP`bEHmk!6UHZqS^ra7o>yTQ~Scd5a_d&;ASL-x!5 z@2&Npe~(ScxKB3yWkd=3L|Su1NY?ec6TZN!!=kNB=9ZsL$c+2`ujkql0#hDb81Cxd+Wch}B~>f|)vHXbx`_x0Qm)h2uRPx)Y*mnFm*QM*qsAt*b8iO*kE9Eoh5xu&V=Da(vCnw*-)Ev99Zn?&cH8y#Pi#NhKGDavB- z$}0Xf@2NDQ>&Sc#@2hatLvyo843zc@pogq!4Zx9m8Rg;UR$N+t_k z9vU%3va3hp|HZ3CfqdkZlhS2=oMBXUoF4p=sw#L(Pf%^&mK^a@DREvvY(%Z|u61e~ zdq6JVw8Zj46ypcTDx*XUwAWLgvc!z_Gby8Lox-1(kRC~Qiq@-^WM^f>x%EBsGP4Q4 zK(*>q>n-!L4aT3oFGBIAe}?_0*F3|&a%LN2-7O3g3oXJ#+V$XjP>iuc?ko!bFBD5t zJ?LxH^pjccK{WUA$s6W^j+vX-RUvz^Vd%cEZxj*tgY4N_Kj&Zc&h*86TgPET+*kd{ zOc|>2W{vVR03+TVxlsNZus|-{IYD|3wq$kQ{a=Vt8iJu%nz(k{8(ze>R%tYQ1X3PZ zv7NRle)0%Ie~@}hUiN$J&J(tCFTGRjY}x8i-?;ncVrB+Z?nM4z=uiWC8nBZO>nL`% zLAiz7M=$=lTxJVRt7Eib+103Esiu|ms-JjFn@`UHg2H3#(flmi(!@}AJ>JxlB*Nh& zLd_NfPBCt_Ff>y7I$_!V7S62{a3E)jV)ZG14_LSh2gCnWVeNvrQRdmf))@jr#(7Up zRS#>~B#PDtM>5Lj!PYYn>3nHeCNWM{rYU~hozljX_Ko>JC_n9+BCinlX_$%axD-}Z z^n*c4P5A`TB*lHZtOu$HtP++e`k^HHA%%-I$$sfyN&hbjX)z!jm$76MmpX6^Lw~GI z!!<%S>(OX}$i*ZX%SX5|V)7Ennlsq<{wMhwM^Ly1dA_9J7=Okn9ud>LnL*C}i63{W zfsH|(_^l;YY%`8gq9_BNQKGY$HASY<_*+A@APqre9$)Dn8(8B>o2yY*B{q`WNV--c zF({&m`VVR`5brbGU=$yJnl;2pVECPqVk0|%BAxYmBO4nH&YSS378Devk-T@Y?b*@` zuM4LHF#+jZ3h#~L{sWR2-0O|#ryV~R$A294tYH}ImkV!fidM*%i}0RaHZP&!55!+C z9%os^K(hjWeE-(O(F!@cP(;{mABCB0jDEoGm3&gOyF3w;I-#cE^(8QKBKmRP`<>j! zeIw2u6WX~RZ5P&5RJgfd)LHzo`eVh%`j5p$?PBRxZX$p7AqMVk-J+~%=l%VAeqzGw z?yJ!6U(U}8KT9tB4*C`6W^O$DL!i)nX14neUz+$5C~i~tgC7%>^GBHrHfzWcbd%?^ z(9QHKpsuYL0rOU7GdAdlw1adeEkwLfT6(mZPS2Ig62Av& zvri9_3U;7yB|AP23b06X+m-Q z{n%r@AHxDk>M2?U0>YRBG{W!ZuPwIQ$wsA9>t-%7T2h&{1!LP zObeAw>~%WM&c{Kh*MVL2CeIt+C{}u%tn7xb4~6Eh>@=j}zC#w%8+AI0b737aiT_4l zaC4Qv9%nt?>6VY&T@n61J|9P980_$cS->RN_Y1S;(HQt@RjZ^nGz`7U?}}>_++1e5 zcDF`VJn7k7)U&z4XEYLzsrZ{||4BpF339l6vVY0RD5X}!TEp*7xEYkWa%3)IyXFi# zUC3QmjoGHOfKRBTMN|$pj@mY0hy_3M>27aBp5ea}JmrEYpa}1Kj z6&Hl!8#k|Yq zGCL|ye%N)tDH0H|s{W9^^1MVTXP#_YE;wcT7qW##MDsum*-{{(d5~y)QwesEZ~|-a zOQMA}oW~pfkTUX8hOA@wb~~*9LD^~qtY1cuFI>ha1|KOSVs{O&U)PWa&LY@R*B**q z--TmM4mWe*@Fh6B=Ek9C*)AaWoe;*;Be-PJh}R>?VEu1Abn?rFMPHJLA3k!+m*W~< z-Bt@0NyfxIB0Bz1NwW?{zJ%|_Dj%fm?3Js(c;rEZ*-ZoWaH*@_`x;egnm!rVN11Hx zxYOzYF&`u9FzJ}G{Gq-?4papCwEY3rNCYer+F{`(EfA$g(q4N|9p^prVDo1L?AyQi zvKa@R02HY`__LtG5(OW!pc;~G`>BHr$le?Qvcl-Z@u|N{(E^G8VUva^y^CYbHT!h= z)>09}jGq4UC_IIw8yu>*rVDZrB8PoJdtd^t-AAqG$>blQlr)>j#fdbW`+KkZX8FV4 zc0VIFP2S^Nm>~uz%>15S0~EN-p`byq3Q3^OoPcqR)n0}>_=3vU=wC-g16SeM)CbQ< zwt3oMPiIjdv0+73?eL_^L{+H==tnF|*8sx^cnzUMV2G#l+V0mPpusK&8g8vRPVk|* zUWW~P8@U75HJ-rv?8ix6Mmfy{97$ooi1{QkC_!)ind&$q#>Ep($j~z8p#eDMV!^F- zpS<-7AAvt7a(H7{f7Zr4Mk=!D+;Wx$QEv#_QGau_;EPCH-UdZYCU>y(fyJNUvyjOS zTFFLd?GufAVQq^?dgdY8G}cVtxYy`DTwu@Lmp6%>yg4Ok##~F>V0I)#P`h<*_{JJ5 zwQZB(cu!D|TGj;|MWctWc=`yF9Rv$|_fT{sC439 zWU-6nKHBb^B++$#NE?bQXQE!e7I=h?Lt_<(8~Gs$VJ&A>U0XMA2;Jv|Ul7mVU^xX% z88GxNne3f@qi8lTGL8 zQH99(sb=yLS<+SnV@O3p{fn*MUs))(c6bK`Vs-yCiX$C2Oqq0mO)$U74$QCEdd10x zC4zRHkTK;w`D_)>*kD;^B zv0A$c>bdz)@V@pJ30}|5Q(GUyx!=EQ!;z8W=ssYePxVO6n5AcVjf_^J?@o(Aoiyx+ z0$MgDyGFK)Btv|D1h!xlsuYl3AqnlC?bC#?1m&-yID3{3K}nGMM3l1QBSGMMGge3} znT7R;HIM)mRZZEbk`VS*BdttaJ7Z7&pN1oIzd5~ae$3>$HO))V?b_&F9a*Ujmr}$$ zrC@lC6tMIUCKQlrr-mU30S%-4waAi!2h+XepW=y&_10EzdTCv3Mnrg6{Mw%MgM0@Y zJfh-@yEUEc-2e_bWKVSKbBtCGe}Ga1fhL#7{aWRdu_BQg32ucl(Cr;AuvK13hjfHj zA|484UaTEQ9%{E_??MP>u!l8G`4=b56S_3z+m~F(Q&zJs*-K6-I&spIWE1qTE*bMr z&Bw*I7^pWjTNhUo&+llj)k9??u#(D18T5R)Ho-**Y|hR)K;*UaA9Yln!t;#ULFeBPicoMv*bR{5ZmSQoHp7jZ5&mx zGcZsrjfA=olzjJ0wA-T6d~}!#>C)Zw9pBC%U>ti%HSwcP&$`qvc|)vVQZ-(PH)ULa zH4mmCKJu6CWTlS7;n1JZU~oz0piUH-e%@V)hdducrTDGVhP<`Bo)>KbSbHwWR8Ah9 zOpb|PpqI=&HW_)~ot?^g?Onn89TGHlNTQG*(G+TVHK5oGeP?6NJSV(ji&-<1eASs=4ZyMA~gvxjcwMc`GCJk#e+D<__}Ci1S;IfXW!xMnLG`;Ly5~CdFG1lzld|*K)wSLoE)(&%p8;E{S~S@ zR%ZV5eI~Hgs*C^lN{jcheiD2AXxiYRL#{9ng(iiY@Tx=S!gXQ&tYZU>q5JRls;%#0 zzviH0WnR|{_QIL-YZh&Drp8J%(0?I1+K?z?el3(FvdpXX1=Pxc`iR{CY8-tVPn==w zbzHxtQ+1>?Z|XQFIh?Il-R@>|A~czTp+b~l{2i$jp`%6iT|B|1aY--c!Em=l3!+2Cg8&23K&#YC<$1o}_>?q!wZf!ZXt4 z6-FFOQecOALk+-fi_q`k$p-IuC}mPhjdV=OGC@BH-lMhgo4%s_AEkTz;UBi#OSVqI zij(m|#G`PmV;qkEF?R1Feb9}J$cs9fYLl;FWF|vRvZRnhUU(cJmO4#>qJuCf@&AUd#Gxui~_Bc{6aj!Xkq%ixUL2^-#pr$VYDEyZ@hG_Y-KhAcQSg;{jvt8-5ek8 zLPYb^c!vy`c$ z5} z2PQf>;#!!wBF)EFq`m z$xb;TF>5+JAV?vcN%9ysD&K+cTs^$Vjsiz=#&RbMU2Z~%%)h*EQt+Q&(YR2Y+s_m# z<)BM03GGZt%vg9DX-xdclo)xI5o$gRydSSHQ(#h!e5VZOTnF8s9i=PnKizu@NkTBb z>9js&U3A7Lo}U(){7wW8iOAa&{KUnZ1%Q6DDW43hEx)~MDWIoR{GE8(Y0PRiVjc}&%#ma*eU~bICPKVdF z2Wfdj351Wx7DvwN41(1^d&WmJpZ|H14@Y?b0*>LM6FdEt5PRjO-uc32{f?6G_)A@b z03u{Fun?UeM|phE6Z}4;lz%$Rgi;U?{DRX61N+b`ec>FK;nN0GotUQ#v7jOTOGhxH zSUW~G`OuW)=TYUvBYr!@XUo`PN0C&1x?BA@0<5cPVyES!v7#`IPIk}rCs~AU!%YnW z4BV|2ugM+y?tps(vFjaPligLniREX+^}it(oGyIR3{a9H8L4NE>x^$${!YQ+@yg-J zr*gpQR_rm*&Lm&lQ@=m-2S9rtJ!D<&6FbElkM(ia=nVHo<;I$}!XCBg`M)f20r=@Un}{4%P(7m!e5oJX=3wW|gv-22SuzU(I8F z*&Q(*L}#^=RuE84@4Z{+ScEJR^o7<1chnG2fmXP&QHcpR=os|Ws7V9FLGe%h0Xp=7$9ROXEV770{otb3~8+apD~O2SE4mR z%)yqigAwGAUsmdwkfO@HDd+UU%Dpwgdr2>HFRdN|GH~ZXSY=!&Hl&gxid(*-lB@|_ zRB8QDHi94t85^S7NmF$H6N91e2-18d6>RA*u7_2%vZZv7;>ZYL@@!+TSr}y<1`w1G zRl$sv7_IF39mR-g_-!wzjKh35`MpG;;gq?p#!xT_Be*IP0jK$LH9?B{ZL$(T%rq~Z z<=IXVHR1mW0%3+IT@{;$=|X?6;@A(w*#eo=)-Z$q8(rz?5C{4j1?$qt9r6H{T#Nzb z6oj8;Jk!v4IN~oztw4;UaB?!Hl)BT9F{)r~hw?XU?~ukOODat$1F;jt$Upty5fk=* zA^;ET?+|xFMaFo6IT6aQQ}9=MO8-3)Kbbc$CU8w|6PuR7;u`z|5&e-=N`CcE7)4_t zy(A~&PjMaXi%EWNL!ua8N_ke-{qaDQ?e72_lnlF3UqZ+hTTiqp7`Z{TWxzrCZ~EYt z?d6H~_g`;+FhQ-^kZk@QA+bKd@yF@8Zk9!OJe=!mxYgeQ9GdB3r#0$8k#KdLm`6ew z5Yy3^_X7D|{J2o~R^z$;H<+R7kN?=BupmY|rWWsPaxj@Yfx@EL!tHH89t|dB{7mvl zh;_9HIP}J1ee5+l<$yykoA7ut*Ef2r|B+BNJOrzt-)Pw-0(e>?!b2X%3-*6N|C(tW z!RkRG729~Ck{hYH&J{>tx0d=4yP)3z29q}i*$D!Djn-hdi-9mMjY9uIB7_d zhcur2rM$O%i#!WMq%uoN%q#i#XiwsqC^YP?nWo(32pK!@l!W*j_T3c#x@Geji}tg@ z^`{oZU<f&Y2>H=eRGogj?^^6F4L~TbY5wI3Vw>Chp~+|^yN%;A!5lM zZp8_YXIUo4sxpj9mAASWcJE??9|%#2V{w)&?#}O+;4{xRr&Ui~QLDn8*iA~@#M7X2 z(=(pT2DRs#rm=IJlEQ}8%%7A;nICyiG!|A0xAU54%~7m8;;C>(#VqXhcB zRAHHBbqvQeNmIBf@w`AfpmYAArzB1P4!Ld_DbTLzcd9CI^Igg^CWEjG7aao5z8eURiU4g?{rNRcR+)6KYh!gS7uf9*D^!2Kd;){XV< zGd$Sj~X#?Bj5sR|ilz~VGg!iqzv&aV~fLx;qdy8%bEppliCnuB&QTUvi*MlkBD z{=M{Iq(Aa!Qom|l@bw>g{zsl9^*aiz4~d645*nmJCI|`io+wJ3#Z)LUq3XMxkwq8o zxzGqh^n~S;-h(PBij};Tdm$(~&_y-*3?hR|f>Vyj^e%ZKbt@Qew6xOFc&{ zZT=sb$5Nm2j&_IfUT!l2W$9cHoRQSup3x9=BO^snb?Hzfwpx}W*(U4Rob#!5>4~%H zA&W?jH{o_4X3@Z$tM!KCRg*_^z*6gyq)VWdg`ZCNsZ7u}72E-gi*#0DGDCx{FOX#D z+d%i}p!w+iYz-lUC>Q2gD7iOa*#@<`{#zM)p%*_v(N+Birqd*9Wj%kGPb^HDb}yVs z3uUq#{9w*jBh(0I$w7bOeKJPB5q`7B^5}Z&6|hIIRO&#_k2nV13;6HnffbV8&j+5H zv)es}n0PKaUWnSNb5o2MRQ2$u0=(hZfcpHG*F!gyf<`ZFSn#{~H}<*4(w`@A?ot>| z3rqRd9>gSYa*EWzhgut0Hiom~@%K2Zhpr2|r?ca$tiB|uXu;HmR=lw|Kd^W%4~2bn zow}CpZ7>m%0@odoB;ccRbtr70Addj<`%7YrMQ#e(Swrua1Nu1^t5EB=#$B&2YM|?V zocf|`cXj>K+2_j=yKR2co@YY2!n?-9e`x9U*3kA=G4tCGWmnx{m4NID+j|FCKAmBX zp~D|wn+Y6nG!D{CDXU~CwjK{pPzyu7NKPpQ?6S3at8$9cFk!WIPhV0Hs`V}S#MDM6 zJ<|8Gp>SCCT-08|!&nPOpC9*xA>ob(ixd0QOi0Jwfo?Jx@s!um^rCnG<2b#m!b8}R z%&;WtG!%KrA?ChTh5B)vv@FDM`K2mqbEC+B|8(j4OF=4D|Mu$mR0Y1&^CCghdhXYq zNgxn7v=-}(BbRU^8U0(Xh9HtfDak5BtvM-4HK>-^qs5{D1ChvPX;^f&*B>;0Uhb)3 zsTZLX`+TBVr2@2`c9g%&gk!z9zd1ARFDlMACcq!pXLh}rHEmhbw%A=-Fm16~=-FzR zc!oV`dTHc}a^HLQqCK=N{88B5p5(1I2}I+HQU||1&;Gt3bnKgFARcGi(S8s~Ra;ul zgpF|Kv$HJtmX50fpO|X+kT%6bzHN|p6gitm+FJv?Ix?N(wf6Ctzpo(l|^)LD=)7KUD z2<;t~1{MigW0;DJ(94gkvJtjxJkqlEBu7xD2=qJEq+FCR-1m(fA%&>c*fcq&rglfGbLPRI){JW>?X1i~v^)DnY^&IN_g#s#tb~-Rn^7k$!=EI% zUsZ#W`_1b>cK9aI3~yw5uJwcRx)fdYzKSC$4B!OwHu$9V&G7VobXq_q*NUBW7>C+b z+v~z&*CJ^dg%#N#<$VkmbJqXnvF87%gSFgi^&hblX$9Bnen1bj;fU{{^=xSL z5Tpc8B0dpF8I9hHpN0JuTx*!jiZDzIHhvB@U%A+1g^0&Zq=E&*Qm1bZ2>(t2iR7n{ zM^=zWMUi=v2n~CyZR1|HB%cW!Ag=pxYuRj6SaxKlyGkFayi3tYAyH{8Rhh;${FLc{ zgO7DYyv)uPdm0ZzeQO!I}`M@N{=^ ze7Z5OD;bl6i(v$0m;tHbVl*{UY=u*#xXP#7)5%ROKcBYdeWYcr`QDxHOVO1|bloYBCG|c@VNGY=S>W+WPW}L^%OdcmSotcWGK%1pc%igtXYc=c` zH*2{06~rM#nDsCWYfN4$u~1ep89yZbEDb3Nxc`)_SId52289f3%r^x|u83Td*ph8Y z8?J&z>>s<>P$+A{Z$qMI&)M({l^7PYOeLd0&LF3xWrl9WPdaVQQ~JSF`Q_=R7%(s; z5t&$2N2Xb7!^Sl*vrC5cvQ3LbS2M-wtBm75U9*?F%bHD4-iX%6{)Kj&NcF(3KeY3n zt^ky2zB>#ntN+GO%c`#)okS1n4i85oCh1JS$3YDlP!|_5`{)BHP%UjZlmwe2?wguT zR57$$!j>)mW9CPkNXQR?tRg-J3l2ddMWPXyOeEw(ijkI;e7!S?9@&(JqZ4a$bL!Gk zTZ?%9VP=-W7Gzme68EWw6vJsB##Bl7OhB;-6)MbFs6eVsWa)?Uo{j zQ>fEW>|cVf z7RJ#w6v{q#EOf3nR2}@g=#8rDMF)Wvu1fkcqO7#8;io$XJDltBvMLtm2>xISLN$EN zSO>_O4lqaRt5`qPfu=JJutcVF|A^27dKMQW$ddXkdOgaMx`3gE0T@lGEt`V6mCw|l z5F4}*rRoC9emK{&D(ib)$S^K$HCCsUPD@z|{P_$_CnFX(EUPX9hRAktPt&UyuB3mf zm7d4Oq6dRk3V6>+?m)%S?W>Q~hl&zq~8F4V9a%O6*LjEA3tsH9u7(S;H-bvo;T zvp(d*NCGm94vcK;?f%DDtTM8#H5O*lFDEk6!{XG9GX=Vr>Li3Ow<+r9kA4oDnqWO5P~SLo|jE35UPF3m73Ld^^JU-|cH^7p+u z3MbIZYE^ff5O^y&QhLdiy43hj!+U>}Nna;(|JvR^EUsPQJ)zz#cXl4of=}(B5__pl z@2|h-eIFhcfaZhcN}om7ZYz(W7@+t_as8CA1i>b#8ZYwd4WCbUKVH}&+GorbVrK$4 zC<9F`%%#pT7m+O%DeQ^mv_&+}l{U%_&(ehozNs@dB2Ok^*pvs73^KMrsshAI$jB{k znFTa(vco{`D%YSutXj&`IOvj0fMNQMElGDvfXI|^Y53Am5WdiDVW4)Fd3Y1EJyZS0syd>}Prw_!Uz zFqWH;BStCeDdM{2yga(tn$_r04P*kbt%dj$jlm_MKyHK#H$Iu9-`+AFc=#rYO(_}; zu0RrcmQwg=iOkj%-I@I>KcSBj6wp6)QlS9U$r{&vojipAbpm<`)Jd2jP$!+I0%rpw zqm;aYZk?n5Wzg8l$Q#EXVFS5`2!j})Vk>0tH$rs3ky0=t_sRWg1%hsYR0n)Me5ugI>4IsbC?XW^gnaoh*0%DO!vcwud`1!>lZo5#Y9rwk;%yFZw@_ zOyZmV>hEBAAAI0dET90_s_)D8uk^Bjn?x%4FDQQvXyt|5ESiw#T}ivh0L{Fo zZhvSxjinRLDFb4j?15rLHNSj3$ixOj4rv(x3l=+4Vpq1*zXH_Tzfn$**bqsj-^i#3 zVmEknmJ?HMNXP++QYfHLp68^}ld245L=a;Yrc?%5&R8KGd3)w$={Qg|la3NZyMTM^ z`#{A6iKm~l zu>Nl_b008tKl28Zu@PS!fO!5ZJup-LJJbd0D3+o@e&=aUG66Mr`sK%0b?ZNliIIOe z9F{Jz*U zqyI_k-jw_&+#7k953ogV5o6tdr7!I-2b7137bzK;U)s9Grt30Oc0q^(#x+Te_%{I z8lx^H!Pj4b0^$Wmu@4r{$&M`2`-_JK|M0-;*&OK9f>k3w(|E!54XEg|+>jXgr!d7hm@w?ZBVF zlQ6gsJR2AgcoGJ>LWI`WcsBpQ9(9F-&A+i5i}B;XaCh46i;Soy!!9FHlSmnu#)goS zB(2o1%0&>zv$HV}WxNj2ka)}w<3mhqS_4nVK0|b$F$8W8OiCpb1yRV|3PoKy;2h47 zExqAy(zLNJ7|>FW4BGx%{3B%F3IK7M&v758|4lEzzx-^@@zx4N6_3HcejxrH_XlW- z*7f#nfydV>cVDn0={Hy*{At#*L4&$0rxE(H zYQ~TY^T=ikJ3S=Lb+i&}WoDx>C&f{YCSCZ7e;hefb|3~5^}`MfH7u-*U_c8W6>Xp8 z0U!p@0b%?3^8@lhtZ-<`DsGu!u@ z57Vkzn^KP-gtbsLGRoVJ@Qcbu1WAv^gRNc=oH59M68!)N0mDD{>u?3YZNOGCHl|7u zXbIZX5E7w*^BU-d)0^}{huPXZgRpY#9&cmJY{k1J2WEQ;sWcRs8GH2a=taIZpYF$z zWWW$ri6}jJI*;3R+_Vw!|H3OaQfL@`UBu8MF*-|3T{Cin2N`_&ZW_!FGxYvQUpov` zuD|FOoTV2o=o1J6rw8) zPzV-4FLEBG7n;qw9qEOAul}<97M0n&r%e}Ab@{!lwAT9C>|wCpyJv=Ba}_3SoPCb7 z)ywIY!g~fss&y1cd1zsC_EcTCvhOi}6Eg_qo|w}E^vfS=N-rC`Km-)zx^N^=On!u) z9l#l#v4=t+wbg!aiU{V~`aIRTP2m<@%q7)?L%pyT9UI_>RL_3WUChvgUDF!>(q+`X`FdFdjI@)zE?}*blG)0mlZVi8*6`EHCKmfL(kI zK{6n4HINKRkD%1Y#|n$5qwme4_ez4SB8Qzx@6j-3qoQ7DuGpZ~hJ2NH)uAN!5P$P% z3OtU|79bRHCVfPM8#+XZ$P)EQifkX0U9l-Ts-MNVz5hebk5m1e_!ugNJNd~VdazZJ zxLH=ACD=yI2JS zsZG!b$U*%tTgVa9joahkj9+1gAIu`9C(S>;%NY7E*eWoE5j?Kz$LBglQTy3T@OUzY zaL8P`4x=j#d5 zHZ3g@p$z+Bey!04y8yadp*Qjl-Fr(Az%13+i*7gN-JjC?s0hZ34A$R~1u;h5D73^K%^`3M6@@&`0jmuNsE=Jdl2AyJdw zzQk4_m*{^{W|}GxQ2G8Oy|SNvi`czoKp+}_kAX?@@7$v5P7YoOMntmyHlhC;=80YmZje;t9d$T zBN}$L(ufp1uFAF=c8-YVOesn`jD<7NckxWe%4A&8(c8$$p5FPQS~|Qs>N0>*l-|C- zpU;KgfzYYC2!F_3Q^2|7kRqgJ%#_EpqS~JNocC=_q>lc>d18Y~EW0j*s=jMF0jGZ3 z6IHn0Hxl;xN*I-KIWU*$88ZjmF-tw6*yVa%&2y0@NaanNb85HNtZ7_fa3)n9@Lp>> z;tYlp;s%83lm{XVkVA&2SR?uK)F|12T*1AUd1OGzv^&XrzMU&R6wh)}{JEU>FneUL z-AUQg$js-V15eeyE7uszYo<2_z`k7$k{Y8oZ9ZZ;W`(n&PRRO7kb^7XlVm0^8>d}R z57>;vq~m39+l9;zRWRmtf1p<^YM^zuwF2V!LBZ?V%w*>q>2?g^zxL3PI(>Axs3D-qZ)pS@z*ou;MA#q)A%tXkq2>+7bn^g6$QjJRgK=aNInhn^aM##Y7cnFPJ<>D&3#Czjg`| zm_cEyj>dl_?SQYhgpxi_$R2=Hc2S{%U)V$wxr_WMOv%e1sl{E|`-W`CWfs{qJxTK}qrEH2c9Ka2+-R4$vPEp8gaQ{s{v`A6J>8>LEUvsKD z!YPsD?C^mkKDNko^b$LLsAT_bU~D@1bqqtj019m_lYy;!(O6;dY}=b*oIRzyfXdPT zGQP@YhvrY>ls}d-Nr5`>Md3uIpV*veK{3BXLuArVf}|mO042GnU0f9ezcjEc?2h|y zjI5Y{LX|k-*_!Y-#0F!m^K%h4@mf$xCPnPXbn^bzqB>ybGN}5tUmHbafM4_Ti53i9 zDwR+#tIs?;6z|>Ofrb?foqs|Xnk6%OO%zomC2J^cX_;gRRby~OM`dAzM58=NO^!K0 z4kb{8gF$J2a;eF;sj4mt*7EqyKs1iByImbyWbBH+_rv57}wET-zvn!4h)8wOe z!%(~Y=L=m}osV{E0*HMLC(SsCpHerEiVrx@+AKiD;u<*BJioo>ub~nfNZ%N2eMUrX zn8>^_41t-7)x>iuWqPoy8MYxDD}Wmu+4g6P;e^Qz8YaWyL+tybvG6mlhVkK#;?a!z z@k!1<=w&1FHHzDW5@g!rJRB&(pObA&3rUn$-+M-vTZM4Hc$L-(;Zh$>h>Owf>xIDy z@z*^MBD&tH!m)O6^{w@#-O3579{4k+L$mTkwPWXG`zR|49gDt>>1s7=oeZ#77_<4# z5b1FfrI@ne_&>`#w$Do+XfV4WQu18n2||>#IcG}UO_{c+72r7Vd@j>W5XzLD*?noW zy=I%&oa2?~Hc#HSxUGZ5**GEYFuBL*zS;Gv&-eSw!r=o+TtzL|Wj>g(LLfjh0iu`H z#X1D46ngkcR!G_R?B~PSbacsE4eK(QiNjQ=L)}hdmHndx3G~Q0IfjBz)EzP0Fb=_! zWpp3_eG|hAhjAoifbF51IH3EJPFYT9GnKQ$N9lBCi41JPLylOSf-z0Pk^0O*59lfg zdhmaTah%>dTWB!{7wltm4C1;)Wsi8+ysB_CO{82U63*O+5>*`g*19&P?Fn;Te22yf zALZVs+B_g1VX}JBR9KmH6OJ}Fq3^x!`9dZ=?|Rfi3&twWyZq*kK&@@pcF!|7R$uUf zyr&;nFwnw!Zfd}}VDBh3NCy9_MX{U~0d-o+4qv)FMh%mA8uL^ickFm*i%<-1Nk5w) z2hcj30Ljt-MGQq!En4w%HgBE>GVHS@)136|@>FCHReI}@7%ePFtzv{u%p^HOnry^| zbQL8-tTMW+)D?6KIn)RC{dkax2-L}0-YnfaU{j2P+C-`AMyIu9`gmw64cz1e`h$w| zd}Rx-3p)CbpdE6;FzztwFv&2K2TfR)*}9vI88gy#qQz`##mef|Hi=&u7*08bet=vU z5X0Ic?S~f3Vd*9CE%`;+*oG29m=^1BgGO3x-d?6?Hi0!OVdxRwho{7l`GW5sY)~Y@ z@W<5IIXlaF@^)uT!*&{JXSr;=;&T&1BFz0agVSgb?*@p!Vgy<(ty67;IT<}n{u%+& zWki@u%6^HN>}TXfhgAAbaFjRk?BB!|#_fW-3LN&B_D*5Is=pzxUb6G7dX zQGmL*4++t|CbzzOXZo9a;l;?s8}b;;SkQcdEnU|Cf`M$)DfQ>;L>Gzj#F+ltNgkWa z7|>zLc2blUZ|p@1l=nHbL181N(0nJp83WA0%_cj+u_HRWkXI%0~1WhVmd<~ zbSol<5fqjdfk;qfFej0xv+%OUpM$;1-&YFeq1iL{%gz}2t+Ato@s@Z3w-GILaV`7B zO!mHqC4q34&5|Otx{fB9BKEl9tBAb|5D2Wt>zBoFzAP!eYyd>%8kSsy4(N&kh|1Oj za1oJ!8hsCG3AbElv6;jX1+V7U$ofcx0Fi6y4` zl4B{O9n5mq4ckE~m(xfi-OE=+ho1^+jm`PF9NWYI?9t>0`Yg3EruOrpYl>sl=eK4JdJ;*> z6E&$9xYp2dcfEH5+=v{vFv^pEcYd=rH?u!DK?Cvgy@k4>ERc{bJ~tekX6^BRzAfO| zlDT^sX<@c<_qFTti|cc555J4mfDz9S__5!x;Vu-V2l{(0?1J>g$-&;*jNKJ&HZ@~q zPy41twY^~G`7WgCxA_ZyzvDd+Fp=t~Zm7uCk(uMOZ%Ih6jNKD@_`cSUp`}G z?T0)L_K(V+DqvhM1Rj{xGb%5J{q#18%x8Qf;;XBzl$t<)~)N6Y(MFv5PE!bh-uRPyp)!O>?&uJdo2DG(xT}8hZ$#~(y72Equ z-xGx3=CLQ3eGdw&{Nhh%G-+f>-CQ6*q&ap5$&{aSyQ>HMH(a_}7bX^7m>iB5_*nkoQWzZ%DC-@C1E9vG=AknFhn>S0j#$!@L- z(>s;VdeC*{c93)LVFpWJv&eo`lhjv^-yh8{&u@}Rg`i&svG;6ZbPv7>KmH{(aToK_ z>g-@Qz$b~I&1V~VS!FD*EPe%~0-rCVbc?MvTb$9y6Zu{u*)hjQ)bl*YiY<7TBGpPYhVHr&IjJEZ5ZIZvPPsCGDR_pR6l)5SjhvAZP8i?@1%JzUboo>F{o7 zX5$InTuZ7+2kjWq_~7yLRH z563^U_)PUQkTcfYKagHMd%>$R^)f&!<7oA* zovcxN0GBGu=VlZW@zMROqb27?yW^RO{H2MnR!2;`FD|6+?7wi*sx5c1C^&q@jMh(D zDBNzX@xOHP#PwRBWV3Cs<`HSxdEU&=_G&J`6qz#IjAa3uZ|CQ?dy<>CGGP-o$tpjd`fWxMi^;dzY5{_Yp&t4X_qrg?9F zpN$PY_IdYIY0^3M^P`{kJ>4j%ffse%`L1m}^+64|Y)(D~iylb+wZC_#o*%7PpuZi@ zu9-)+|KQYNKEzR)4$n3ks*H}5@Qa7}2L85-si}tEeKBjFX<rF9Gnt$rb~e{*_AyXfANW?7EULu)44?&g%! z2IsV(6-%v(zJkBD0(-vf2tNMa#~T=hXdMqUbT*46S8$3LY#0y5ajG)JuTFL56{SJi7OMLH*10e(Gz5}-A1%h2kefd%I~JH=fzn~-6XGLyUN}T1Q_DKu-*4*Qgj+R z*<9_cMzK6w#TotV>$XZMdR%kvB~g^v*rh-4PFm-X`;}e*Hq$p3a^_}oWk;Koy@12! z)KCM*>SDo6Je!<+{+3-vbQ9BI{>One%!!i;NZ0eWySSOQ&#(4&Q!xyaND{a|Wg5mv zq|MXsW0t&ko?L1c8SR+=H6}wFiPEq>Vj+=xEYbGcGB5J$lO9ry{$KekAq4rR8w?Ki+DVzMc>_zSEJlCV5=aqzxt~#tu%Ox5g^c^;s$d5#9s>PaH?Pr&WYFXr=J|kTUSWvpF?lM={$Eql9 z?tt5yDND7!*zU61VmBZ2X0BSSdCGmKI!{sa)Fqg6kqeo_g89<$ZO8D++|nNH-Sv3t zZBl_`m(;VEXGUr7CG!uz+42+Ly#MyY=f&yAySA}8Y0;zh-Mi zTz)b$D33M&ZU@dEUEdq#X-Ryvy%(JPp2WE~lk9dq!e7Z-HmwfF>3TKX?a!i|IN#~n zBRDSDoqx>69r~e$BLQd#|Ghb!@XskC0(DL#^un*nm=3ltbFk?IjFh4J=!_~FYu@HrI!em z10MK2nbcqvjoPAU;tv)1d2tA!)`>n-MFDRD}P> z+i;~MDc_TRv(^<&Zj$n%~lqOEn;LuO1^4&S1P2i_-LxqRmxu*eCC=XxfR?Znsc z@wa_V-$#bb(l&I)m&*R035w`X9$H_mc)g%4C0u+kCM>k}QNq5)!}-~h2sZ~6X_ML| z3z4OZD*f=ms$W?N-bsdIIEY$tvo?XHksA1^B>bqo$|Ml=ZO0MKcCiz0N5_fH3xlq6 z!#TA~-GFx;LU~amJ`3bJPW4P_|2SZ&^?~ik8QqYf(cKLhO34MWqr?PqgXza z9U53DWJ9|5gq1l`5WztNkuk=GH{zS;v$MU~JlAbQXUV&wJ#H$(+Hev(Gz%HLrJu7> z)QY{$S`#V*9*`yta{K~DBWe%M`_EdhV5!Z=PnUaBP?h!0OkFX$jes}Llr+BON=Hfi z;6F31bV?hUUI_@z%|2S)bw)c;nSUBDrYdwMVpe#YD)OS_E>-Hi(FLxzs3`5ZJ8Rr#np2EGx&SN&(`dh_1#F}h5Sqk z*)l=D2nwPX<}H8Wo|XE0nYei}@JV!fG2{j^fPxsFca3Asn+OzVuoY)GdXE<0NIkMG zdQGkCSDUPBLu`8vJ-9H*)8;;D2j)xaxNv|_K=p%3@IT2Cdo)* zZ`^!Df;Xze3>B$$iRt5V?s*Lx3fvfeM+8_U)Q@JQy3uKHKv+c+2}MvFWk{n@@rp#1HrWEYo1x^$8K_C(guPLAq`vG z>OTd32|jKv{5@3}$1^R3y}(t~!?B`&R1-*cQB|-9_Gxm#(psv%W?pez9(`gNw!RX? zMYWN-^+K2T+%fby09t|nZh?4QB*MjW9l~7r9r<8uQlSLIa6iW`E2IXGdLRi5&n>P=OFmAh0Q#=t(WCI zXc!NcB*yuACQOX?H7l|M5l4(MuLavE`+D{Y%!gFmtjYbwX#-o(I;#a$iyC%r^!FbV zhr{7TWUgci<3w}Gg49>j5T2mf@c8hLnYin31OnMh3chEW8$<|vWwd!@9vJ7_6xzxZ z<|vAppCD&Da;t&Sw-iG9LFMV|4}+QY8-e+KJdOKTnl5Ul(Xt-Ldf=~mCtvj@Lu~{F z20Vw;-MU$>u_v(NEJkZh!ylZCU}ma2F+BiI&99Tc$3OlOIzImWBe#F@Wt zP18kx%k;e3JI2k}_8gp(3~8v=bonuv_~==`YTKIs4UtkgUZ|t_T-bg&2pXNRVT>fW|Vn=aS zv~3LE&1v2~M8|`C6Nk`{TyB9?->k4PE+k);=er9hy5_lS<-0rOyGLn2A2#bdcz?ZA zj5SH8cyTi7;yTxsAY_qe+q(cJJkh@$H+lC8QN?}PYM(1i)7g+?d&9(9y47PW@g&Be zs*;U=dOUyUJcs;UK%^}1x!mQ>PV{9s_rY6mo4zo+b8iJgvW?tw*{2^@pB55JRiU3=7|Ol08vw7w+z5ubL{V_Viopu-f7|w|w2V^w59ZYr>DjNP;-+Zso{UvB}`M%T3s0|iP;aVSu<#f!TX zDPA0kyK5;fp#f6dU5l2cKyiu%DFk;b?(Po3A>m8k=YQAz-2J}wpR6;J%$jTOJ=e@+ zuDxe+V)_KwAr33GV_pqt)++Q($X;hX+YR<+cz!UR7?Oe1Lm7um?DjKRd}w8_w*a>%>H=yLzb*;fj|=Y>tKOYB1V zJMoFLepBM3+1@9SYF-NpLfCng=Z<$Ort}r-`g>9R#I^c<3*h(ErR8VHz!p*CmDt?he2$#uOhY%TUvS2iD4v6}>TA@xty*62(&S_xLuSGk+>x#<)$u7WK!(C4- zVUih9DxzI>vP{L>(8AWuAdKpM^q_iav6gr2XOCpkfU$NE(SU>A4JV|kzso24>Ij^&h?AVKnDD& zg13LyG%H5c&P}G}z5TqFHfjNlzU9|~Uk?0OE{VRK#4?R}hZCfu#4wLVT0MD28`#Z- zx9%2A5!4w^qv722I{uw<4WW-wTC{n;U14;TKp4VTe9gDgk*d4D5twTrTESvTQ=(j<0K07|(_Rarzv zcfB00GyY|iv!16_jBZOtd`|T979H1?CHzrgA^98&`@1v7%hR%9Y)R!->1?0)d6RgzY+{yDZR$ zUG*7emn-22^g8n>P1HI~*Q(!T4p%Azk<;(5^GO{Y`*(W>y2wb&pk}^Y-cZNx-Oc)v zV2uuhyOZBYA^Mx|tlLz9qUav@GJs(w>1 zQGhr>yW!m~$K_*CkIcP3&A_||G7s7V>05EVwo#jO<_Pq8eLwkz&(t+bO_pb?sZ9Pj zfBjRn%3x65ot2ffsdXuy@3?6ZtM!`o!yPUh9RLsVLWs=mj>( zUCH!F)Kl|`=x+{Wp1?dc>d$>|h42GI@D;Vpdkt_SvD&JIXTql8>n=33k*gR%ATnQH z6E6IqI5NubW*bVF*mN`A)n+-k=mORqv3GK9Ejrrp5pJEZpQ6zh=4|*fbn7`VTZP;i z7VevwgKd9vayqb!m$iAzi*njyh%OG8D+nb!noD*CkA@W_f_1a=HDR7tgDQG#l}*1^ zGF@3mN{Za(9E~>FT+aCdYcp1wyc95LJ02ZmJYZ-=d&r>r-CnBSMH#15_l+t1WQm35 zp=hSHD82nfRBIfW#P-syva2(DR6S%$a$#Pyhi)X+Z})y11@$q3&}eHL7Ovhzb?YETPGfNZ@BKR zkot;kgaSkb6i7<>3M>^HvoglvjIx41@r+37c5(~YCT;1R6?kpIjT@3)c8*3&ZA@5o z@~kPSnt|J|7V-gdF6wfgPR*L#_jbqd(UyU(3Ctv_r6v=bJL*B(mzH|zjIi+R*?jjN z!G>XpX0me`HBC#c7jScpX4XGd|N?Qafq<8v^6s z+HmPeY*tc@ZqD=!(LIKxLZZ5zwxXwVe!K3MO)N;i3yjNI55k6exoC~qBowV%SD=2= zJ|kg}o3riaP&i!CGYZ@zU-dSG^X?FyJsCdp70G{*_O+R*1iB|?)?86~Rm_ioG{Fk# z_utq>#N<3bFa0e2NR(;iHR>|fJ$rdC#c_r)*cscOL!5igRJt$MThFW1TUR)*e5Hcr zm~2UPSu|AIcQo^JanD`4;z3Be~%X`cja<9ZkxZ9rmhT*&ExZgQeel=`>vm!Nr#54?cJ>0D4*e~`$GW~h zjeH0qb@v#wWxu<#LJjONO}L^uu<*qbh0Wo1i7`jj0X2rl6Fx6>X?X{qcwwCXs-ACp zi11EcZ0#8Ka_!eSZvNO^ia6bhAX5G0D=M;Xt`>T7kLNYW)(%{9O5Qw{&qTZJUN~sA z&zMHP}Nx}H#*b--U+_AqwNI@ zah3!6XfU2spgzIJ(SeAl=vK+eH4>J78G+G45DSlZrEyAeby`9^joix_{4dN2mX2O} zhj@TKt>Z9t804OG+;!%QTV*qs4h21twJ@Qluj32Fuq?G0PWx!dqGWKmK=|5yTkb9+ z#GjEu?ep7F=1T(_zxodNRSNWU04r*&jVs1gu5{Z`&>$AMG>0=#2VMwEqC)yX)LMD{2HI-v+Cf|56?NwZ>rv; z5il=hSVIduEOCbOF``M^K(meez?#@z~51r+&4D>(B7oa5fHNIm2} zSJ;vvCKlt8rW`I8Mdsh~`-{DHk_teWqX}AIci}FeBI=xk?i2}_Rl!gGdD?gwQxq55 z>V&Mn_0VJ2?&d*}t_d*@1oOT><05XB|E|-+KWpLzQW2&UoRb_gvW$J~^rVfQu09_f zlJ)uAt~x=K=V#N=5z}?^yUN{T_jVN!&7O2-`&(*);y;DF`mS=dXZDSxP(`mzcaa8n zJGgbO_@u{IakKAB3r$M3S0yG=v4g%GtM@DZT)S6KFDC;P*GZdMSf8du>T8YCbL~ea z)tqb_Gr*^-Ayw4sLLWI(UtD+EmKjUnRIy4VR5q7Vd}$dBvd_ET#NKMtV)y@YHl}_t zKSI07fc~TpEg4ota3Bjalktj5i`*d<+U}Z+JsFT8`&uDDu*IwBwws-iTo!QjushwvTQ|}iOzxv|8pzFMPhQvrAR4BYV^dxXT=Ce80V?h?mq@`f}_hZnQUWy|%<8 zlW+o4Lw@$)y#GY3`oc2E&MnJ8DU+Ap<1)GPx`m&&WE?;x)<-sHX!R@|Bi^q1oDh%4 ze(za^&)Cem_1Nqvzg|u9K8E}2Pr^$bRAadg85p9Pl)?7o&x2$b?F^H(2;_s$KFGd! z3}K{D`FC{C)DfKp%pbnZ`9GpOdm_R#_YJ6q61Ql8{Vf zuCA74?4e{6VBlDwB~6FU-tY5tS;Tx2g9z8ZB1sjcc@!0`nVe65LBty4Mdc9z<`*;m zTBTJ_llmXTo`P}cWk*xQqzTwC{q6c+j&e$}C029i1ho4*-mkbra;sw17r_SSF+gve%iy$aYl~!JX@%h|Xvx$|;LRB$SiJ zBl(0D-ywgX+>N;N@JLGT(Z-v%0_X(}D?VxI4gp1E>BRv>l<9i`MYPxcgS4NC8A(3? zXW{%g@d~p9>0$x1BE;ZQo5O^^fd5kP*Fn zTRLRg!+`Jv#n`_{?+&o1PR9&X>@K#@?CHjgN#jA`l19;-zb(;`g`Jk}YXk9;WVzH( zt=W=PG74805w~(hOz9|9CbiBEZd3QgAl!aJ5h+EDHfRqq=}uTPM)!bo{NS`n?Ujj& zA~k&=-wmr@%My%7hpR&-KmM21t>s;1TEA;)zNn}FZAOO=UmpL0KhTo5_7nU-UJc}L z6*iB11fKv^Hc-91yTL1%muR}XW2$L#QGemQ1>8;Bc3wuRoZ+SGA&EIC6u2KZ zJTmMLi$n0;gz;rjQxr)kU3i=NYCx`*L1iD(eb!^)x| zB$J79+0}pFRB+{bA8AqdBYUib&;C>$Lrjgw`o0;qXKw0MPt%(w|I<*dw?}}j7Z#GgH7R(`81Q8`71=n z^8I2M$n$Oq1iu5_UdTV}9{U50cBpWUhI?q1F9((v5Eo(4o0I8>lhtL!Jm{vj!grJP zILi-)=%GQR;ejsZVa~a^egp5NV^i->f|#y2N8%E1W1BsOVSr0;7?MS_Hynk-(2KHa zNI7+e(6NN*!yev93y^Ofd{GCwRQYxpcHH83*_{I2D_e(_!%dH~vYUP2ZR6|X!_dp2 z>I#WQcOOKIb8a@(1L!*<${Ff;86!_43UEPmdygz#*to%~@8W1^bW68QCBzy1J-qHS z8!Y0)ZzpeNDQry_vq0;5qxqrR%cA0ok(b@4QF<=g z`@{8IDkV3>MT3iN&x3@YuP35*y*k(Td>(lte|#APKxmiDTr9pHhJNxr3Z(JBo`=!Y z$X?G$Ye81l5=yy11^^%#b(hTK0VK8peQUrMWwR?{r|_%;YXAaC;f(M)XMfv$e6 z%WC5;6+CUZ;T%SMCKi&oEV-1@0!wVa>Dl(M7e|Kq{O0z)47p`qUAGl2eiMq!)0`u* zjksMoxilb1!D$v~q&(Fs8+J^SdwzcZSbnSVLIQrIL5wGU8+Rpse{Gp|Jsm5zDwYE8 zFj%?Kje7vx{_dg40c~E#K@mICr+DLCH@d?J33a7M=)@=}C|D@=U*0I~6O`5bz(hd- zBRei~shzi}x0|_(i?thvwUrGj7}MxR&k>-*D<~^Pi)_5yRziQ~v;smFfVss?WA|_G zh(nLzB*sRL8y5173Icr-Q#wQ8N#;{_>PZ=8Mfy)`wHdKE@KwA%JluH+(yov$?$oMBC?pZX~1`v#p>R0?Ns0?rYAUQ%y|b1xXxR>qUCS1>;7I#2FH)KMV@ zaf^G(a~|3c7+GRheOvK46{@awVnKMHs`F3+kLQob-ql73cGWt!Ju~!I0iXRMrvgt= zDT|I2O*Zci`flSnsFYMpU?BuWu~hUrMq~Ok1t&GI^JC=JLdP zI_1q4nVj(8A-B3?h+mF^h8thOytb0Q#d??=;O^gL75j^F%MbJJZ8 zTgA+dK1Fj1Z-n3s^jWQHrCQu=LB5~-PNwmwVL0{P#qm22-N2ooDSKae`k?i`DR0r) z&hHwIV3JIaPRk#Di3t@DYFw=5ja8I2!aBul;A-N+dHvM~FQFINqySnTmeaxF)MG>R z)}C_yF8WS}^{88&o7a6SMoYABJXMnU6xMJ}TP+z~*%@iKoW^ztbwm`LHwuqLAp8UlP1)FtiRJLkdgoZyBFqD4zf!?aj>1WFT zMUuB*MYT@i0`4@Hk6bUels|#1l4_ROx!vbroHCOdKC6wj620N^HDAbLW=6PRiKa5E zmRrJWk;icnDiqfbnBWn0cp3Mq-;!R#He4pV?b|SO zvQ}8e|k!L7if}CwHy8Q=lzfAT^YER545ir+YG>?X@i%hoBEEuS7 zO!qD=0sF;f=_=yTtrF#ZB|sZzt1JJLKgWdG(Y%hF_l8p+l_)yl4XiPh9I)6u{dzmG zMFH=a`5Ig-|7&I2k2TWvGHZjd`*aA6O*pRxm%nL*!)d#jo4F)gamPNWD;B-_NXT z7rFtsy1!TZzJj$qJa~5YUN_#(jjnr!8K+d_RQS3-asN<}Tk#1}I#Juy?9F~?EUz^1 zWlI`fx2UbHu%*Y$BHdgnBLIf@bWvMS6&lC7THhbwYc$i&J^KBg@5r;S;2lKCbggDN z-_uc}r|FwlZT!rlf=1`$(p9TQ$|Sm_O67OP-jXL&YDAIzd5IbL6^sB;6^Bv<_9BJN z$?ueEL?xbMySd)}w~KeT#<}r{ePUGUY6|34S4-5IQ$4;^x$Jg|3&^R42I64TWM|@J4RT6J0?r#nNoaBJ(@U?acMKX?jh{#;k;!3ps-Y}rQl{}ZVf8=y% zcLWFV$PHW|_wQ>OVIvR&9Tf$o8QK3_>HfKFEk_LHGk62E@qLFgjs_&pFpP;=NdZ@C5J<;}L(oom}~eEEGu4Ys?; z=GSRL@i7jfH_Ox3PUfigwp9mX6RMTM1-*JM@ivAmZ7k z_OrOH6~;doY&VmBED`lEYt4iOQ*>fuiSgJ)K_B8Q8s;6rpt$~vR7#ul4LYNY4iY$+ zLR)sx^W0SVJJWjko}(6VFeimd@%Ld?^ga58?MX+;joJg|+n~*{@UzzZH{B+?SsLwI zw!IZYh8m{=9K#l+({r$>YES{0_7d4wD zUy=L?w`6q{F$?+e=ojDjd|234Zbi0zW@k&OPu4|ZX&u>%#Oe^?!}6t5D!Y1yjOOTx zoxK}SvvnR-z`9-OvgAEJN$$QIn!VpE)eQ8F{(j=bgRTXww2`~h57ESEJ*?y(n42oh z^-VchH!`^BeHX-r#I5fQt<(to-$A#P*;u`9DGlH#|0ZXV^tW&{l9ZoFa{iJ@ZdT^* z3Tz6|-UFPGcy6v91AUyFO6)un)qFhfnN`{Ql?VGu71{a*N2;q7*%XI+*_5=o_%ygs zr08hT6KDm{$fd4BpE8;;7Nes}*U$~DzTLr>MtKuVJNETuCk=l1pa6OUIU~vj*(-Ni zNt839L>{ik1g)YfdIbyh6XKd2=p>!TSxy~M^rY$kMGcW(pLAOeVzO2NU!Ar48 zsBSJjk}pPO{6rTvjhVCaXz)a)fb*H3MS;RR`CKA7&o2~SQT0&roZI(^@%GWa6ZGw+ zCf36Y@d@gAV9RmN-J<)j*j4$tmUsf-O6Ai-|CS5vfOqp~MQT>8+RI73Zn|z-wc;br z5r?5#P7}Z%cFH@yxo2dZ=ZG6-jSK1Dery;7Q^OO$Jyc^Lj0n0;t7nRMc9Y<)l~>Z$%)jg8_~qxW~9-X~@IcOgz6ZHuf^Xw_hOq_!T+(Y0uP zPh}0lHAUjz)mubMJy~oYMT>mZ6(U_hxWh-I9@v1vxRY$%m})bi$oNmI>C=h9|y8!8K63KtYZBV+aVJV zYNv8+azGRnjMp`!p+4RXCi}?$A_0}^h|>Eh$KtO3#j6Dd5sp@38+j@MbrD~-AFGMp z#U`F?BaZtgt_GCSCYhqXf!cw{!lgXZij!iu>zsCBU85+@mAcrJLSSy7baHnV10$Qzn3p~A&+yfA5h!q+v#+YP=Xo0e$esiEznTXS7}KHhpJ6=E3J$#X)_3!_}p zb&J-ce!ikyox$M>0N3}e){kFdvAIbJ(dM;sXUKh9P7hVn$R^KO<~FWa!saVjF;T=g zt{Wn{_{`DfH=`j58~)kN)>hb~EX#E@E-LOPkbRf35+J1cdsVW|Qf1j8W6`Pka9w=Y zEOx{epE+c1hbuPmCxFMlY_s7oRh!97lO4U3h3TtmUpQ6)$=86G`MsPKNdSXhBvWA6 zFLE{XFVC@o{29HrYtIqfw?07v*K2mBtTSrtO$lTubI(juyUv_)hQFvQ=O)*$wjm z#i-3oN?H{%Ok6)r6{E58E$&wEJtxs4nbN>eeX_6joVq{(GCka8Yd__1rQd`P2#%`u zRxUeCH+fE-ui)EZx1#yB&dn-+&Ecehppst?TarXxHOvgP?Gx5>0!J4v(@nG>g0}%Z zQmyFJc&J1|M1iupAu1WGU%_qLkEm<;0f9ku*hT;$H0Jvdd@a{oeQ|iN6w_n1u~IHl zm`g0wjCE2rD<`b58|yd=MyZWg{T)`xFDFzt^m{e3cRQ*+k#5WWgzxdfPb^Y9RS&10 znoqHqBP(}p1aHHl05Sl<8!sAX5kBo}!lCfzuiMgk*cYs9T`^k%y>&*N#Y8bZmp`nz z7YXB-b_LOxKtJ|~44YV~ek5#>Q?dxbYNE->=iOOo=YIgjqP-zhxrk?On?5Cy$AO&( zVQQ>W5UNa{c+O0&W)iZeA303#O{R6q<72dhXr`6vG@~r10zxWpqmKH>0jl4KhO4$A zjrHHcSj{uF@8zU}wiz-Gz2m&IlZQ9k_g9m({~#@4{)%INm?%_16@LZ66dczMkZ83dUN{z_jbJ~Jc5MwBoa9a z_Y&0%3Nxs5(rfq!zG3Y5$dP?|@#w!L$txtW#qSgPsJwFD5G349cowzEz2-amEu#0^ zSeOxU^+>BBXLDO{CDt2|-<>wS|25BR)|2rxP)ndlBHFb7`8LTbayQHnYdV$@MIl!M z_)2$t1fL{;gDqI!N7X=Clv$8_EgLGk2?FvbFW;OpDD^UFh+n+ixS{^3Wt&8B1C`%| zdNU+LMHADmm^r&P9tc>6S;1@38=AmmweAh)&Tk-3t*jFB!*S&2u8rlGNksOQ^vyt? zuT7j`X6(m9pvKKr_wR?*s{miGxU(xK6B*}K|?h7~%+m){U`%ex0Lux6{-n-1Wa1F)jMoU!=gm_ke)k|`Zto4j;pZ}1C{l+5D zf+wa#0#QR^APjbp*uwj0vQdYS9*?UJD_fK}cue`nv5{kDhXl z2-y%{PQHOY7c6;pH)B2ErM-2bda7szh_3(oe&bGxx1+7QBSpUdeZp~ht2<>i6@GoB zP|tktyH$9kzz1Bqp`$ssx4~`~-D@)J!d9i$RnfTE!i*z@`SV6OJ5;cr8U0 zd~)*B!!i>F1zGE5@YZ%ja812Vyei4$f(xvMpA7d#hJ1pUh>`FGo7<}gynkz?r7FEw z?~xiK64~+otu^eOEZwmC28RZf;=KP?D_spLaVQR{46!NHoHxfIsj4$pSb4PJcuHtbq1s zf^4M!nf=eSCZGgq>>sG9y}F%`yPAs!hli!(-$w2m1EY7JqM#tG|05FrSU~DUl$wCG ze;MHbSXus!MRXKvA3+7G_goW@6b_D9{&L%+kgDF zAjz?^bm0Je{J(-NUY62g#e9U~aZmC;d+R^2Kf$^_|Cd96mG%GS@$ahPKj1#fNB>3F zpBm!d(f*yC{S$3A-G5{M$<_Y0@OP&2kA-pi|A&RY;eTuSf8Zw!{|)CrD*L~&f9vUg zHv9+Uzp($KuKz~=eTMww8JRNuH*J5;7j-2J^xZQ>?IxS+yO#@JeI>{O)%0ii(_E(mFz(mSX=0_JcFC diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_placed.dcp deleted file mode 100644 index b4d6b20996e68b9637bbf835ae232b1a8700148c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 212379 zcmagF1DGVwvNk^U&g^iwUTvWgww2z`(%Zz^v1FWoBZ*g?!+^z&t_q6Ub`mVc_9xJCwYPRrmI3+j~8J8dL zPG7#5yp0zb@q{*Yr0Z%m$&%$%lWSBW{Yd_5Ne^@)S>-Rd6`98 z6f9Cy7&70~5_x4L8$U}~ApY%+AEB6)I&tiRm?AonqcF(qEXii4EjcLAh7zFlY_Vs6 z#-DeJwAhaer$j~^ncuvaEqTv>+EY?fk95={Wzx<6e+%Hm0YM5L(^6WdF zG`WE7{!iYsM{dun;I3$>~|5ouNCioaRtSQ2eC(GP*q;y-3fFyghrdWp7$g^sdk zk~CyRB7J$|Y7N^IjaofM_VFAhkdhmcDQuF1<#NHnP!%ncj~2mG4jXHaP8A1Daq86e zrY_tXO+yxi933i>gkIWNB^zG5>`%HIfw+WNKFjw3ZX^@Jy%jnbgUPy@Nr;yluM~hN zR7SFz)iMv28ZSw?ARaz#)5cM_oCdLd3Q{IiFZg}bQFh!OM!gYMbCv$Cfz$Y9y?9fJ zIX^dRLG-_DfA#d7X`?f(y~mN94d((b5a$p>4S)824l^Qzp%Mb>i}^wfZTW+X z{`iDV*5jY|M1&v`_aubV4>@!NHcaCV9;2uW#wUL>?Jq$y1({v^%&-j~Aqd7v%?P2N z`~3MDV^G4M>W2B*LGzm)bCNl2)HK+%(hp?M(A`ACF?3ygux6S~43RfD&*WVXI9rG9j@Sv9We~Bkq#_E{Y?~jHbNgraGAQ zRfsE#QgUD}$FQa0gr)GGtRdoeq8iFcqRi63^LPrB^*H=zx80!OoegK2Yx|31uVi-c z^x?epP@T8Xx-MLjHPYE`rMgSXx%?9_t|0XbJyB{!oiMNIBK*ML(|0)$@%Qw4Dlk&O zY7}+N^GtNXJIJ9iupkf+!9zm)y@UPxM1<0F)-ZWm6o;k~6F-hvAvuHCXjt^ek*IYn zM2uy}ke1=5QU_9#aTJSxk+7JX!pJ%nQw#-9_`^>{4g3UkL$FXc?uf-vq@BtfNKL{~ z6q@Q7c$PO8;&sF#A7V=b4>=+yABvywM{|`8zp0w=|F*4(w)Z^^ylSM5;+u%M5C^YR zI14>n?ogI^I4bD;mtSNos%hYFy&yb~;;Df%cO?=D;-3zxwa-)JB!Xt^y8S&&Q%*Q5%{@`K$ zCt)r0buAspDE^!- zVu_F!umvf?yQH1hzMZ?}Y4SO+j2;%|qZlt^pLMg+1YLJ1qm6iPQa|=4bjnPG>(VQ_ z-B{$f_f7dWxP?QQeU5EX(?K+rzL6Utjh*Z(<3I; zS1+b&UALKTr=cOQqMJ1<+r;tbDmECrF(m(}Eddjg%wdPTz^E-#Xq;{wL{?uHtoPfs zI^sPpE8!uB5#7Zr0~wPu`m4S7wHgI)DXG}VJV<38Ugd+> z;KI~?8ct~1uziY2)r2nTqLWePh2WYw%Vf?}+9}n}IE8fw%@PmG`Y{?3jCyJ6J!W?Y z)}ei$Awr|l!VuJ<0iPiOBg;Z4I5OXyo6t%cs)N8E6LQV>ES%8J!d)j=D$%dBNxrM} zD$&oh(Y`x&#jL62T(??dgZMfWS}Tlt2iW8I`^M_ViPR?Z7Nvy?uTiXKQO`)xws<*8 zc}PJliMp7n`~8;YOrb;H8q)dhUHne}8HfU) zJG&PwU#Dmf4v!1c-Raq2_|mfBn(UGcm`+;WTQ&t=E*cfJ>gXLmUpcSWoHgq1eAWlN z<{4b&T|qu=_Mht&UBTY_C~F+wz`+*r7Yc@#uZT2{y_YWThqt{y7zl62k=@-+d+lS} z0eE8YtE$4hr0}=kY!)8j1Q7mxYrx6F6IXv1;aQ_m`)*wD}CN? z%~iQO)6Jd9&in#Z7>5Z3eljFZ`n(DWG3SL04RgXJ5$Nxl))MGX4$LAZ=6&oWCVsoO z5EfEDMS!TM5_E;ix!&AmSn>^H3$7oOcB_ijrz^?%xb9Zln%(i9og^~Q`+gD9{zn@h z(5biR@>cevE?zc}Zqt@%ZNWpY+VjrWR%5zd_ojclPH*rx2oLJ?I**+PQG$luF;764 zGVH#vbPz$L9&=INJ&q~(PPn$kE6Bn1ZMHANv&0)&vaVZv*;#0oDK6rx;i% zT6(!CIJz>rn%F{u{pV@#zXPLA5Wv6yW?*2*|8W|lr>RM2rk=y<7*^=jQw?^wOWm|M zZp;hZzNG;eWiZrF6r$0oGFrsij2Vb;LsB~8A6FJbFl>AEXI>KNl1a)*iCQQ&lW)~G zNDYX_4tODJ#1Ve6H>DSP53d9@Tphp393rR3)f~M5_|-voOzn2ekyBaQ9GW3(Znfdl z!;weKx>+s#4~sV6BJTjU_F2HII=7=w=Iz`+1%5nzA(!6V>kqrTR{$Io`AJFL`{3D` zpKae7RP#D}7oc}PC;ZUu5JSaVybId6wYocr$-;hN)b<>oJc{iY6m0H2*pkm6hg7N8 zwttS*i=Lc`7fW3>84n8w?@FfjCsSVU`0t>cxRgm!-8)uE z0SJISZ#~=!(`EC{kb!-+WG2*c!i94~P=5Kb#2_GKK?zNnmDA6v**Hz7b{SdeM&pU- z3xF}=Uz7cg zHOQ+JOH1g0^GicvypH959}iDd#Y3hlg&Vo|vTreAY_5zRon?~jQ7KL(oRTLXXBdz3 zsck4h`D*HHZE+?evC}!E_Sb98qN$~kkoRw0r2YEeYB2eviWIP7EW~o?)KI@A{B6O1 zF6ucLBMNcC6dth+3dO)FYJvSWB3Q=u_BNn>;6MiY44mR+P zqAB4>`sRGA5fIaY+njy=1-5d=`r3a-zkl&AHs8O!JcoJRHu2#{DYao(TdZ=AV~f(_ z9gZy$|H<&sjOw29G-1MFWH*u&?|l$7XKA)6mQGKV_7aPZr%M;U0Cqb6J`6K<)0%!; zdaF>wMbqksPL#AlVPqL)C538%Hc{F6Y40rdIGFh?dyoj029M}j3iq<9oT`>>KKHEa z9FDQ1ceP3syCEG4N8!bK&LAxLrnpkgiQ+hB`IH^cB>Yg3h5VqdkG~BjL;Z?a!U}t` zMZ(%?%qn(Db{MA12Ca*DuZSdeQ$o~%sqws30ZzqnnjXuFke4$HD~Z_yxk(2`7%NVC z!x(R?@d1HuUH(}_AXzr-)*kWdgnHp0CkYS8xwEFxcW9d=y5dadQeE2iLD~%P1Ji?) zDSz3B;R}Hw(=n>BG4$_8)4!&6$EH}_)3JYLs~MXddwt;yEsjY{@9K_9F;YIcVWWE? z7O>?o0#8MIr)z?R6n>xRi|l;KW4EqktqdY|r(Du^;J(rRtg?_e?=f$k#U{=h5U$aF zzyl<3X`9AHLFU5kcf87dT<}nQE3aNP;0+?gu}Sn^NUStC8aHNj9MbQi&Hi{`U5Olj zwHbRm3#u>S=-uIKw!g0BY`2!)sJUx+ezIIkC{7Y}VPWSlV*2J{D+7MP5OT-=62Rlv zky)lHa;RxJb$>1nPT%eP!N05ygMRP?24gP!=MO@!w&l&!y)&6Q){Rj(a6 z)T|t@ZOntLyBER0`0BZ%CYk))cfKutwH1&T5E|IUDN)J(FzO zft~N*y$)*`%62CgRe>6KRa8M5>x2%q)vgiB+G@c9R6T;c`50T6(3~?>$@A}B?zMV# zsw}%y8~s%0NdaQYHW$^72YK@hp&<)AN%Jv2huneD20Mjs6%e>NcEst~;pMqy)0{7s z3jlVa3_A!Mka2}q^C=|TP1IzbgJ0VorM9HeO=XB@w59-9M|;@T<=>8AgWaZl@uX+3 zjd2cpTCD4WU^ogfQF2wIsadon$8*T`41_CX$$m4n=H2ccf#PYTB{9+k$G?WItL&N^h7cYmSvx;-}4>>%a^DSmG ze-tp~sUllj0m1}x6@_=HC^0B$0V<}O1~Cyn~(=$239q9gCfXHZPVpW5QDx{;}U zW!N-7cc<<6S`Rf*-b{8Dp&DA=MwFlLoT<3Qy{EvMR6|*sz5-<(>vZ?l(elBuk!_rQb@kBiN zJS&PI3YZ*NQ8x22jH?Cr^Cz4H*_+n5G^ad$1&$gDr5$A-{9bfQ7c-r25MNSjJlYV% z?-(&aC=!QhL9wWR;`rflW-!|^U?^=6?P4B2N9L4j6&opn_Oy-UE3yVLe}KJ7)cgb$W1gRWWfs4Exk|RW1~vIZ;w~>Sf5T=Tt6rR1Us*{sj9lh?K;z*_$^By#?d(l&AWkkaofPAT4XTTQcIRPJIL zGosSV^-h7w4$0H|=VTV(W=j@9h>8Cu-1#&6l|2pRS;gg5iK+1)kH)HEm8oBZ6t0HA z60cbqmW@x}JdA6)Pw*8iC@l>IOTD^fS+u{1CTRCH>NtFT#|tOLTgFR%Kq^VSK*+E+ z{!Wem-S|hFlm_$*duMg*mG*-X#L@ToC#e^nZ`r($Djk5JJGb8ILff%#VUl(NqjXYT ztj$HkI`FvVDc%CwQHv7NcT&n2U7yGAm1!p!K}HyTS&yJusr-2R?FK0c3Z;|$z_JxC zjCws2ahh;xZ^;O+fT+;ZqO!+*1X1**>^?8)>J-u~13g8i=#92L(jRv!-f2q-Sfy0y zDVG=ppP1Q|X#a4~oY5EM+XiUodo3uitT5zLxU@Yi>C1RlVbaNDQ%75(OISpB+2Mei$)4$Sdz5rX`yMsflF8>W zRUKC4FmHY#vp1B?eL{*^8)3k+;D2t4Ma%rHrNKcPVi4i?e{G6AOwA|N#_b1)kvg7i zz)ae&xwHtCne@t%UOx!X?V8HHEy;chhhvz)O#2V*6m)iW>l!rpp(!PYh869jQc_4M zx7o}S>*EfQ5;$Sjpt|VcesLRNR_xYKpa4ValNI0%d#XDp<(y30#W_c?ASUiq z?z>7nB9{Anxw;O$fntN!75Irgk~EL1P);3DJYp!?AktM{y=7rEXP2QK(HJ}*jI0p3 zSln4RtqSjlv6#)N=qlt$WZ7@FIp;CH(81!$PW3rX1TnK8Yq>3GFpEvD>03h5{^jD4 zWow-h6%WDXJSadF#i}AC*7e-R@9s2a*5hgy-Vu;OuRry%wN}qW!|Y?l3-fky-+#le zHCeC+Bw$bnEBxoIOUILP1l#iIu%NLsK2)dTr2Y&6Ed`B+6UR;U_mpYAdsrHj$OB!&o?%G zm2yuxRQGgT=4e3AIz-|cCeTeIr9#va8GqP%2{y&{BpS584Rk#*ZCD?etyaeUZn~9) z;GovDc6HYeh+rx<8MXvhwbC?X2@aT$LIfWU*Yve&EjAN`L7ZNa=Mf$}P3k}ulZ$&0 zq>5%^nIC^oB;jf^#Ky5pVrRiRAILnjNA%xUqJeRoZKW_6$}?Pdth_m+At9E3Ra${g z&?48|XS1#_ew#kS*8g}%Es#G#{LiZ5<1ES005iMm)_vbpOn7XTJHSPeGRvmXW4LJ?4x6WmGQ6E|rw)Uo*hq_Fz=tj5qmcLPFGW?4h_2|dJ zp2Ls#Nxzp?;H!rKAjm<05OA;rxUSfG52_LDdR}Y+4r+9-Jy~qMytW7ePPqgC8U`Pi z!&|S1DP8vq4t^IXf`C6YIc|F^ySChCp$!j?kG;cwuM1ni#g&}LNc)eBkCmFrAtu1) z@Ycry*OdStFEAmd`{vDJ!@X{UKCHpP=k6SU0^C3M0PJU2Y}{6^{jgg0Ez`-=ySkdD zz5tn4%+Xl|bh4{}3#7~y4K+S{C_{t0QGjXHSHEt}D$YIkPTkC^Y4;_MnD!5G|MmtZ z_qBx?hu^+QsUN>m0k%B%k-nWsG|3i69$(t=?E7ZiOqQ59EjDQLW4c|szrT3;e0Mn( z4Zb;#^y%KP4}GXd3AhXU!Zb}C#N?haS-XN9spi*g^t=o3bo-{ZX}h>#%|_3a_k)zM zW@@^WaonkWJ*vMI*$D!$IT(P8>8$-gCQj65jKaj|0NGWQ@4~qx@rx*Ut}0p2zvY8d z{aBKj;|IF5UewtFwzBTI{)QkvkGS9Cpq=33*`&9oQjA_Ot=^`Qe3;y4)&&;B;r@-CY&h%hOAN^sgXX!-R}StmHTE$RRU+L{pzmz& zHKnY_VT~BIqe{`ahw`f-IZM&WVaC%yW{5UTd(LqN4r9M3>vjCE`OT`QtmXD9; z*SkBH*Uyd;c2_};EqPA;pV>`a9(QjC?Y^iyY^->%yH}`n7p0@IRg$6yv(hj1bB>r{ z-hOvl*CQfC`BF(Ex)37F&BRo_>DM8+z99%>gia*ZfHUN@23r@>$W&uL?V;h3Qi$zi zslLT9WTz-ZNXT@x#d{y~D&4$8+p&rFp~`E3s?`ER zi)gpL3RPzZaHD5SfBlNvEq2|!j*??7O3VPJ?EH$*VDrus9__^#TRsoiCs>%9B8(1S z5`dv;E^%1vIbQzb3=m%&y%`>v)F5TzpUPEcSlK zIo5oZDK(>m6?P24$+3Ig@}qE8O0c_W%8((!s}m->hcKuAj!j<>ALmA`b~P8tiP%gj z-Y|1tP}M4<=m&RB04@8_PLp;Ne>Au}Gg9UsTGvT)Or%+6Gj^m*)ades&%pxsM)T1F zj_-0Kwwg?w!n>#k%WE^@6*IJ~UaIF68v;Et)^@~0ZY{bl_wW|&tnt6YP*D>``L0(6B14ZWbo%)CI1zlcZ2|g^&yj;acb8h;jS@Bg@=67VJiJj@o3DA1aYPtxlfcyI!rn#(~qSadjbL6_dhF8dUYZ zTTGNvff=)$7n>j?dQk~ivq@H08K@bWTWjR4i8N2760B%vY$as>d+=*&<}Z3bBNcFR zVzO`aMskPz$2!ODxv@pTdjYbCU&&YLHAGXVERjzk@LUfb4*{Ms{eBy;DxJ%WLBfc* z__%&zU0&N$?ty&;TD4S2CpGVIwkX4rPN`*jZ>rVd^X( z$BmaRwlqbyEYK@&dlk+1V;#OJ0O*a}lFh;;l_>w{UkE5m~z&Vre zJCR?A&q#yD*7NC77vQ4dL!)CQ( zUxVf~mA>EO$r3Qe@1q+ac=coVq2V*!Oo;(d5NHSI_xb>Qo5n$Q5(wB)Fn%6pey2h5 z!|l)My*|VCh3t1Qer7=Gjy8IQzHY|`(1+%jJiA~6oREM}p?+xnf+0IOL)Tlq*VDCu z%b>?*_~1YM}nxCr%Re@*`2*T4TuPS^}$aVfI2h*<<#5MK7-=x zt-*(9zEB`xC{Q5O5364=Y{$=khYhKFDDc$}$Qms80)q30r7cguNzTi}ip~A-*+~r7 z9Faq@;HI=+2LaHNtFX4Qet@SxM1mQB=QdCrNx%J(94Wud zLBY_(ACjYCpoRlq`Idx&Ncr~WWx7PLc;7@?pg42*s5=&rdW)kXU@~Trw%R58La!n) zo;b~V(C}~Z^aV8j;vecXIcj4T5AP{+L@ELmiPN+P4f62@B|jEva@@u&vTn8hE#AE& zp2X1P$c>=}nDZWn1mrsIkZ)~RWGgW})T|syZ)(3hGkPire00KyX05qU)fFFo< zPoaJeDqp|mmKWUiMiBpxR*Z}-EZuX{oF!(k^eHIB_hH&<7;SAqvyz(7AB4iJ#? z{x;GLd`D+L1H=FkZS;%o)nsef-8Ni!t#&^b=4jd0w zou5aWe4On{1haM5sFpYZH~Xsq21h%=yZzPME0ndSj|r63CZ7+9(^bHGjm=WCfnZxR zt}n>tdTVn|v+kOL%u=%d>c3pII@|GpA_=rL{~=PSzDTga1A>ABv09rQ_}ZG^-}>F{ zww{ICn;ig>dTT(3GQG8f1RKO{swMUQXqz{j@urU`S3CGpJso6?YKAbnrR4s9yzqX2 z1_g3@6GOH1=n!D@wwPcu-Ysam^jJmIX!G_MGt~4kc)SY8SlD0f%BW`H_cgmwYI%jo znmjNaZ1Wkar|*(gtQ<;tfqHUs6o`kLqRPES9uk=aAIU>;2Bblsb$_84C>GJ`b zo`=VSJ7h<`v#4E$7@~9y6@3T*eY5<4bbt>?z=tT{Bh}A@3wr$Cz~wrI8@qCfi~E`B z`6=W5tqS8VhUaG!3KYKfbT=V)Y@GHS*%ElZ zeV+>uuYd$DIUo{Xd+WeTTXf&O52sH7R1drb-s+Zed;lDef`4+lNA(ENWh3VyIu5Y^N<^X(PM&BqYc+>`BY$+r1K z-U!O~{%PFL;u3tw0;+#Ss?md^z-d=W+~2qom)gXX?%ScFc+pSXXOoih%~y+`em6`c z_regwOWIFCPKfUipc$14-EY{6{Pjcq7%nWwb^rTp99R6Wchd?ohj&9)F;DyyrVtXX zEK|vBKPKs0U26ESoW%X_O7Si6@$ae?NtrD;_&N+!1@-yzS|j&FXPAJ(Rbg2U;Q3zKd$cXA1P9DWCT@whp#Z|U#b)w zet2K}q07;Ky+8ir5{PHzEP&9tV@WxdZUDCM?d5m@A)okiaN+F+QyJ~z7}ErzQ!snxQ@^{w zn7P21x4>A3Yp53v%AGdbgv}M%^+BGTR5#Zl4Pv`kA*ihXzfmy#x>)0=0{uIxzW zjDBavKJG6@sgX0&;>U-i*NFQaa2aiII^O-6U-I@mX4+VOuV8=JMj3GqapRfr;F)mX znegM8u;H1g7k^aBzG-PP|cM93k5YLDc zmKk9cPKYCzA8Z$c#h<%KE-jkH0EPGmDr9NtPjFS$0WexxV=zoimO}=P=(jZLk9VZS z>1o6N0(Qg0{E3#Ip_jUeFE~+1v$~01K!4f4a1w8n70Jq^r`^)SvBgsTqE70?!qd3x zqA7T>Xkt}$RGT&aNGMam7xwVy_rct&y;Z$V%)00PDwzgh+x^HanGZ=cW4r50((s)0 zRJH0=T$Tjmv0W>J)~7%+6iDsCNeNu}@cA6HGG2-~DNKWGG%Gi0@>=;flA06qGqH-_ zFOnH5q*V&xt`V7*Zd^ns7(Tf2vsBu9lM-LWb3#?f<>@+KBugYS)xY1xy;sp_UwGZi zTKkzqE_xAOIMBy=oXrA;(7cuiFRq@l-ZF|B>+ih$8sgR61g@@LW+SGKKKKQ-Cto_c zS7hmUa&qFfCd}EYc7AMX_r81=cSrnoCrd=)?pT=}x#7_$T3UmJjO{!WV#aR>gd zk^(dM0`|X(|KQ7i7on-XM#$M#?ijm%k753gqjF3a5git)#(x1u%3=ghUR5gk>VHE^ zKBh~k4vR(WzX1CbHC#oaSRjCTLi^Dp)Tl`-rBO`s1`MHy3gc^Dt;T?m6!p0s3m7^= zlO)o4Fypa_L{u|im&`{S^7BVQTws@~@n>`OAn~ZwT!n1xV5Mh6%uOwtuN6@tY84?1 zze3c80>{883kyhz1+YCGw#^b62c6nv3f7C1F6XE{tP9)K z;)V%ji_!81Spy3k|0!0mEHkjL<6w@cpNA3ZnK6P9LtAGpo%NCWEY}vT*A{6_Op@yA z$h(@AE334tE-Ir=tE*0{EBiFmcjzjjlGI@E^kuQlYEK4(mALT?#A+V~p%yt8p?{j# znaF{0(?JY40V;_;4~3fn*02_ z>E!=?5Y&^=>7V|s4D|;6vaIXo@kV3`&6_y(XB!UxmnR+FzyAKq`F1^@Noyk9O#SDI z*?LP*?Ws`8LzLQ!4j^_V=@t1vTTj;fgyO&3YIpHXw;idaY1~l<1iRC<)Mz@PNRgy< z3!{I+6P-1BBI`H)IsijIjK$FlP>PB61`W@% zZ$yuNKiVP-sl{8j3|?q$v?g5)Y*kqG=WkqNIIN4I1g+4J(i_9++8JnD$*;EVthTC( z#}Lh~I3OqLjr|*`Mzbdim1++nMdo03-&>Sf{hK>=XlI$oa0M6NJ?q-rmoHF^Cfy0+ zQ}^ZF%UEQubH{3rKk@zg&UeZNN*IgcZ|+sz{b;y{7}E5^qVEByE7|2#ur{t z2IW7q8j#zaaj?X3|A1w)|DC1;Js;>%p^qkHJ*zdXt-S##63@aRyroAD1j#)P&s0G0GGzI!^YHkjHcXIBq16d{8Kv zkuJKQL?(sX^aL%8UT?R8KG=GCl|i| zHLezj9`-8eRQlg=w1EELx2p)GLjDJinhOBabm#+X)QjA&dUVf9*l`z50NtnVRvf!( zet%cwLJnx@>OaK%Td>f?2-o-^+eDuN-Bwpze^oUU2X-}n_!)Jaa$hKF$0fQuJEflX z>gbkH@T=dP8M(i(B70>IQO!L zLer+m|AJ~+lk^5NWj#{ja$BPcs04&udwGoGL$QE=0nY-Mob>m*9=%1RlT9{oH>!WvhfFx|AWc0#t`8c2WL#@IhlpUUAgNX_>Oqb~te#&satfj| zbJwIlmM$W|@^Cb+b0$wV*tD!KOd^bil`X#UAH9!lNh^^sAR-hk%y`=^mV5r#@R8Kb z{0+kh!0z2Rbn^S&)m_E-$X<)uKFQlZUL1%xbG z#m2l5`t$D2skFJ{xOGIr-}bqWIsI+iu4)HwmAtav$4B?W+B5{Chn^-!}eJ&o=p436ebNe6CdRX;-)+ zj748kZC24-|K8hk(zto7TPVcK}eHZH@LK$}Ke_$AA> z&$M`ho}yOg4n`HOKG-jq0gkFaLWY%DxnZ1~)NE5=msmpx@Y(V3F={02>>mE^Rv zJII!Py~vi{GsKo&Te*#`fvE&pIymlcbHgg6(}8r1#RQW(7}=Y8EoC@}Z2q3fuMC%x zRuwQlvDh<6%H>!$@(HPtr{`eqdo%=rVAxk*WVd(x(`TOf8<*0(BgNq|oX^n8#W!Gu zvdpopy+UJ9kTmE>f0f(nWY)CQU@WV7%Q)R=ESe?DlQ>+4PK|=9aYYU6-it=$;MpES zo<)S;0m6tTL=cVl9?@7ErL*}G90-N$#}?5LG+f>W1wvy-ievK##AbmEbn5HzSX+l> z<%6SFy}_(K3H=M^IU48K8Vjo91y~wG>I~i5x;Q#b9X3v8whOm)gGtNE?TO21c>|mb zQ6(?Vrvv6)mH0`r;tsOqqsX+p(MUfb%(m+4HE;*@$B}uiP+?>!1EXaVD~OB^P9-$k zUf)I+6tY;<+9z_8Fv1S8o8k)3=PJl~Pe>rHij62?3pZ+J*9fB-v4dBeXii~n-0PIC z|EoGSWfg^LL{{kK!E3ZjmKnix#Tx&KY?-srwoR%E?5rFpjw0C+$6L_0>RVW~?px5d z?YoK1gEfL13Ie-e{Tv00z1mB>TnevQf{vvA02Ra!7Px@mY5hx^MWI#ONglLV*UgRb zWwM&pWkahzHt#;9B=tG5L5ii^LGx zIbX!l#prs2o&iA;kAx@9E^#?ls^p%4pyk^{n7Yn!cgFVXa^380@c8(z^z@t!wPR@^ zlaurqYUZ83C3dJ8_uett`74DbEcZy0lpCwwuX>${7u+wu!&1J4-DMVv)NG<`_#gpC z3))EB(%avzmly#OMMfCKJRSS&VJXy;I|ps+@GsZ3bUNP9XJCtvvQ>qGe3^cpiZ;sM z7Npaanoi9zjw;meqRNv53#?Q8XKzPTP{c$X{xFAlXAIX$h;+)4&##GrpioDrv?V+k zi$BhhQPPy>r-*4RZQdWpWlne<`DJe5<~ejzI(}hq^)cr5w2Pu{Y*?CTCAnZ#KF`6v zW9OFcc^Nv9hq(yF&PGzzE3(h!PpEJUZ*ak<;FrgnVfiN8!2NCEHT=5%IbeW=HgyIc9aRD z;{s>bu!pd$UWb~&Z$vA*n2{z&(+(FCp^Fs3S;uGcIv(iuU^@FJKbhx}19rvpnlHblxggWh<6B z+Wi!-p8ElPo%;crPTr?CLdAfYLkXdz>)Qepeda6f;0rC61os0+`FiI5eB{wdN=+ZP zP|P8fvC-%4*>|yA4AVJ799d18QY15iG9*MM-mlOlQDd?!JEq2z$Mf0;aDrpfm4uR|R=-sRpfQxVv&y2L{J2_bK)erw|3Rx_j(>(5L{ zNoo-oc+(2MUkvj^VTjv&{d~1XF{eYc+u0{3lEaM%eyMJTRlUfH=uyFnxD=2^aL|Ts zdO;OY0?WKH_XB2@b&9I75{skm&)ImMCX7r)P5nqoW~tVw0X+i5NWQi|y)^vDZh>|E zIfNy$HsJxA_20Gt)MkeVC0p#~9!N!dwC>(7Wok2mp%u>~eiGVERBCquQlUNikvf4c z@|z#&f{|i&=mR8D=z~iYTm=<9s=9(EX=Qe;m?pnbc&+y$y3xZnMc?T;Mf>bAHT_=s z1M1?m6}Gxacx}0Ku-YJ5Wjc-#MIsy>s{BRVWJh;9@Y++xz}jwdHaG#nP~?R#{P;j> zW?OEKy}TDWfcXVXXpZJnKA%($dnwcxOiee>;JRXK7K!B%9XF$3wJ-@yg13V*m@I^t zR^MZso8F%9kQ!fEccfWSVMPNBnK9sC{srps;kEH5!AbLEm9Li8D4`4Q@u}pF|V~ens>UL}r^y;Cs+}YZE%H*B!@0@3orr7Wjrb4I{X$VM=KAo*!(> z*5X?B6B$*)cq^xA#~2+HbDp_34WwdST8FdjpisATn`e~|+?sc_l;cR@WJ^C62mMRyI zT9t|)cy>v;N=5k5Y9#%{`(}2AKNx(@33kUOAzDTd?I_Oqq)bAIWXDq3s6KE!k%FW}Djmz$6v%zX>3PDJUzAl}xbApO7 zv_>iZcPGZ}48M2yt3GDoFu*B-zM-{dh1d3JozcPf$k8chnjcTCO<9(2=!aK0>HFV`?pUxdKtgpP;YPW-K&#QbaR9KrTar{pwYv!|12}6#?>44F-Imx zPfmdi*sHhlr>Oh13Q833VT*)dfoY2PMlYm$VGgB;kstxU{JwBbKe|wcX`#a+ywITK z-S*XUxa=C=vyFk=!ilIagyJMhPoX80W0{=hZNBVlgcd=7fagN!8d7Uyd95rHo!Ay% zMgP4~^;g*|cDsH%??rz~dav1y`U;p=Wurf)uOdb|g^HryqZMFcOH!D)MTf}BL@*Ry zud#-DpS)#U@vxd=e+$;1>j;&GVGL2X2kE=&glXMn)LO#$2vxy6wjd_b0q@V$=vvl88U~Owj-kc90{eytRQXUO&^v zjK6EC0D|+uf}!_(?ZETkm5m`g-CJqYA;^4omBC0=UQ5jq!sc`Iqcs+m=1fP^pXwhv z=SStoTKA@LD@{#X2v(-O%WFSV#EUCLCgBgLk*^{D{y>y`eWGM zbw3t<>Q0cSo}tU+|53qke|m@O*M+Lka0$+x`lEdOUZ7EVNikCMY_IL;Te0bNa671N zuufF7jKsNP{M|%z$bfyvdn|m1lOJV^vSVZtev|Qw0c;qjrdV$;CBqjz*o;P9M*<8; z?bQ|G-_q}L*D#7+wQNc1Rqc3Y?k6_=au6rnNbf$drJo*N^TTsrRZbHkW+uf`Rnjhy zn5us67h%S|OXIrk6jSqzRpZS6V9I(HKFBK;TIuBHy;*1^AwzN|+))51C(VG`OXBCRHsUI zMk5Q4#3{UFBL#M_8tn)&72ML}0%J6mi87%|@EXY1N zj(Dd{cQ#nrR*>!`%7b)(|7t_bj(W24qw*VXn-lr)cy6J&T&$Ynq4yJIuLpmj{OW}yotnvWFk+3}5b@PW_%7|XdZN%ZcqqHQUxgo({J z$+lT#P~Q%8D$=E8mMXkQItzry3R0ra4m9@_?m7G`t(YE)5~?l^^p&Pr%Dakb99heT z8|>Q{zfhH*`3C8MeaXUSh|L*` zj0=Ggk&wyhFXA*8fbzGE$I4aAbd`h#wGS^TFrmBTOhkPkxnSZ^C&8|t>Z;!R&Mdmw zSl*KEFc<{Xj8*@^%z_A7dvUr$q!!~T$A1Cye8DNP4Q3iqJ1Mp~!y9jZ?P{frHffDed?p`XT|vu3W?Tn76V@p&{)b0SIMUfHBdKqn-WFxD=B##8gq9K4klo2 z2$3?V$8L{_R207@`VAb!S{@E(yo|z7I<9%b+kgIsW4pj&WQo3JB8%N*92o^)@Y^;4 zwf7s&-^ji!Mv1*J*yw|k2X1!|zIRT?+gwC!x*c0Ej9t!<|FD(k|KeExn|6g{Wc`Pa z{mW#^)>7BMHd26?)n~dQ5MQ@jlZgD^(&IB(E{;9hXlY zMf{ekjh{9tJI(Gm_8?Gy2Zc5kO#!h;oFJMKBy#;PZP%WtE^i#fB;oxAk&V$^>_`NF zRj1I9-@7#g7Mi7We-+U9ZH6KL>*Rm=`Tx?A5Fi>EYWs0hcba>UTI|kiVa!1sRmm#I z|BdR}P8lbN;^bTSYYUSM3zPiIas4#?f6z2Sf3{kP4zm|4paloY-RjB~Er7Q9_$^t> z*W^Df(vTHwaj?+((RD&Y2BJuB29iMuW-tGxL(z4@sG9#q`!Cz=%olOvhPl0T)7C1f z(6xUc#wPynggE}45XdDH$bZuM|I=_`kf%Fvuy7+SHjyGbZYDDRhV=T&+p;;B!Go=? z{vJaHYix2`Mz~*IG}FBQ((HzV^$}vH7h|UfO7pJ`!>X}<`S<;|0PJeQ)Y&r|S^E>m zg8om)OT4;Aj*&m`o<^cg>V1PRYCXpzn4G;vy;Cm!4_$8o6<5==i(-QC@t!686!37Yp#-tYVGx#zBP)_O|1x@Xp&nLXWmS5?+6MsW_0 zkWxhhmq@G?gSR;1*ul*xFHa&GpRVpt1O#b}99UBY6zSbp4w7XzH7rB$66=8}0%T5Y zpi4#PJ>6DW)<+9ChD)l3Aitoy%(&$ZvUX(5MW`;LQJ!IokMG*zq0xZ_p(A?yki^hk zwhYfb#<>=(Ubci7zouu5qS&?$Z3q`lD?Bd)$0;BjL(~c;<7q?#Nm<12GvdmL+%&Gq z_DOeRZAOgO5}S*<5m*w*&y}P?g$|cSo1}t-0q6fUN#zLxED%O0k^ce5{`dArn z|K&GyEn9sMHu`$4zF!vFTI?>X#Hwcv|9Wi^6#nw*Y4}>L3@}Ie>a}z*#|%+l{(eJw z%MK82Oq3YP$N8v}fll9^%D?dhpa$+;nb_a+_rFG#L&N32=UtsG$f)yF^+^`gHl^Z8 zDWbnhzx$(yH!xq8jf0gOFVpYUG-cww_DnfjLdi+cpU%29OF$8sw{vyH*3HIMT`}it z#;EXH6zK;_(*^H(avB^ZJ z?(sP(+hmXj#T{iBG8D)=Ezl>aQe>C_5^l03#hYt>dD_WVE{+HSeMqf^25G?Xyt^BIpz&z0SeX}Z^tPSU=&b>JWhkk1iqAWMJpD7 z8S(NonX_?j#|%{H8G5^ossJH(&ich zwfTnM49^iSy-V2t zw#yIQi0{J}sNCoWL)y`qeYVBFIQzHkYlTknbvgxZDpW0sDk-RkZdD4%$|?RSB|sFj zy^;8dW1{Z($X^S`=$&h?(XW%eaH!G3M$6`WNB)5AEbTF6Nb@~nyDT$1xW5q?BgMcm z_j$$86J@!Sx^H5_v9q;SE&X77P7AHaY##-2XsMWLJe9a7Y;E@auxdP)p~i1t{G^8w ziUwj6+RmZ zU05+IJG>xt9WAw5F7o5!<>fU}x8#-rNsB0HolFe9IN$I)COU%U6F3^!sL!WySiDq2 zpqZZ8hE@B)^gJ4+Vt{KljkTP5zg*%D`M{8P>RU^tVysqeTxsnw;qpy5nQ1ZB4^LRd zW3>CqNK@-8;|#QUO+k*}FsX|DDcUfpx_vvoNU4_n+C|0=^zAVE#!@fZMk=u=M>p2; zMxWT+9}J@6fOIgL|N4MME%*Kf_iS#KWSafz;gqIYon{Qqq|ysz-_#V`WLerAX20&a z!bZte)eHwbK7Verx0_ns?=bpx|Dm+sqfIM&tZ@x)&Ez#XO|bUa(+#SWI#(Eo&(N^Y z_Ie?M@R=s9@4TGSjG12zcquTDzv47SKpSlB4Vbu*>0I)ClWXFE;jB{RDcst@sFFq~ zoc<_r6s!L97sRHO^hK>u-|xCiQmSZ!53@rMtJsPvP=5R7^OmugjadZtN5RRLj=xZ| z`0>rBDj873r>oU*9tCe3>R|d{TG^#{f>+4|B|{e&4S#&}g!ePj{lYTru<+Fuk zu-6Fs8)UVfi@giMv~Lnz?N)^}skQTfA1-?hkzzz4xk4_I^!mu45P)an2IJqw6`>*( zD1D^-xzoeshVJkAp+-H@snjLtV{wzzKou4CjWcOGhh?^rMO!5OZKcHSs|;K=;0>LN zJ*fc@wQ@PV*0NRse2m0+b~2tUIB}I^DUB z^kbLp&Ou!=pjB~qnF8?8WC@Ig<0X^y&fW5UCnw;TUQ8KtS+4-v+ERz%f7u#VPf<@( zVp6QChnIIJ=_h7@5qa=6p^ZJKA80T#2^6l+t-xRHW({jgFl{1C3%Bp%{pG<*sA^}o zU;t2~b6Pqm8p?VYi`B+);14?XQgP#_>EtOF!(Dp_BU6vaIxSF~5GT+QcYcO*E((5< zA|Dp<`b8Xt6!e-f9xYiFYkW&IjuW$pg2Es61g0)O{y}DNf|K+NnGtW&dtr*(VFpFN zo@^-C`Z`eI#)J1|j?l8E#scJ&YyOj^j>U>{e32-e&3>3xDZMe7)EBC znP3(q;Yx##ZPyZP{iB4S`l)y_BEaET<_Lo>v=M8n<2=Sv!)=bcmd%QIIg1w@vEXmNC+kCHMs+y*0rIS6%&soV(!j_3>OhQWbpqi z4o-sxhj?%qVnhEUs>i!9;MACVeL>V6BCe(eN2?jzKK==`9bDr~1O75_qTa{BOIQkk- zf})O{m1!kLj65jbQ7R#3DED9SH|!xF71ot1^B)lo=1JRZG>;qTWL3yyi5*RQo}Bf1 z6KcA>2do5qA6lIeKCnB@cj0_c?%?a;KDcPu3#%Ru#;8NaQ1bCtDz#f5BIk?wdD7V^ z5;l4_`*{_EQ}BqA(C+=Oi}J6(vg4i*GH`I55v|5x1eP9$b!bX5w!saJqQ-CpPFj(? z2j(!qwbkF(cX>uKsiVjCtRt+=-9T)cm#OfIFk|5vTtuRCPa`9uHEXrB1lo>jK)u3f zR8prFioE8HeaMaq)pT_hO>37BBoHsL6vAA4pWwd^bpZXoV3-;>_l88d=-<={Fsa?f zlQ5lM7bb=LLOht5LyiCcidz|JuLw8`>&%}gWEcCWOKLKqL=dL;;6Wwm_!7R!d^V`` zp+=g9z>m3uLm?6cX$!u4ItzZ=fzaUgc6VIsRj@m}{$%w0XT8Dj%_x;zZnXWOU!V{L ze>LLQ8q5a7uc>f(Z5*lb${qL^7a4z!on?b9n! zn5v=YTJFOwzYwOUWh|0uZm&f29+qh;2hfP=UR+jab0DHsUR~FUQolq7}xG&zjr(ZAr`0+r~&#vSg0dkRw)^hFKf6Sgm+-yM{ zw=bQ`dpmZVyqfRDWl}P4Db#1$fxWJts|IcalqhFK;gUzygntaYRDiuI-m3<32BtD< z?$Y-U3Qo;iKCJiS2MJwMfh9?#50Ow~2K^9acBl)^6Y`(|OOpV53?G0_G&VWAdFKpz z3+vTb%jfQ{l*iKw=tQmX;nrIV zb^kYuf(bE9G2`yPhqSi?;$+fOq#$_uB+~KAz@eyF!feVza@V25Y&U=1@dCGhJpP*Z z{W1C+{TMkX#8l3_w>s32fN*=i2>JduLwRQgai~#*co;W)|Z;k=a1h5EcR z&hK&hwbrjYvnT5u+U5DR2?{J+rr0_dPV@!|Z@9?lxm;9c!DsCkg0f8gs8~t?R0CY0 zD@AmW85vlK0m8#5fBm)T>>qZ2J-FF;f%Y~M=?TBUu?CkW2@CUMOl&RVP2d{+Q1|b( zsHuS$$0Pc=IWL`Wy16+%)hQUxo^FS=N=hGEw{EGE&+uQs+Gr)o)v#)lno#mT(v#(l=sJ&UmD*Ab?%ki7`8L(uCiQb2X#d*g z?i=}dr5pZBD)vQdt4XHk!FS#b+ptsG&g!vK+U3rv+(8~#{^xklJyUi9OlZN?s*;IH zM$DfVMqP)H%w$Ved)HE(S#G7=6Qy&c1Y9x)h)vj`2AhZmwQ2~X^0vrs@}gQr8JFos zWh#9=kI&M&SE_!SAG@#6Dt4rTPoS7#N-m$=34tY!hnlJsoCh1qADM3{5==y|+k1+L zox~?7W$WmvzFswfnozoTNhhlksFrdk_@y4uoq8n4USkDZ-Ya@CPQFNPn*z7-8rhLc zU(zHjrm~edN!!7oJLlQlh?mDq-b!W|R|DA^@V1Qu7wp)78(}c%-+0n>juDkwniDw> zT~#GiZ-i|}%k<)(AVYmSs7wkWtOU1-*^m=uTaZ9?OQmC}5Iey(XZ9>g;ai-%zO;n- z-6ZT^ncZ8X!@OFNVYgD9H5Km9Ot3B={G=w5yHkCvtV7!lN9^m^yo|UKa=8WqsV(`wx&J*jm*6=K;ePk{gt9 z)XP($Ad6=RFIP_^7+^ibI%X|}IF8tRw|0Uyc>qI_G4Ol%$f&bV{NQ-@_R**R_%H%6 z)z|0g!lmUW@`86e3f0rCDvXmmX;|hS=G4_$t z@nW!nW&fN3n&hUgYk0OV0VRX_*Xc$si4hZo_q!>zB0oWy-@lj%9xVMRj6jr^NAPkIOrb{EiQ|i2Uk3gID|fa=}PY_o5-_a~!QPt_`&uRBT= z%WgtbcK;@~gHqgS&Hh)4vuNze<@D#KU2EZat=Oo^@vKm2StG~zgH^%se`#=8=Wtdi z@@!TtGJkrnn&i&t{YQREg0}EshS6^Zl69fp`*XmIbUtxF7LjuHwLq4Z8dI-}jge1B z%X))|zZwF^4GIB=qH&#E-3JpjP;+uMR<6!U!)=uAoO7NXHWZp+?#ck25an4HCs+uc=H=dCUjyda0n} zA!Ihwl`UKTKP|Sv7cbj-2cWdKZT)Tl>`JL%p;rwC@fA{vNO#}JT7GQQZ;p5$<)a_} zBf^HM5e&92xdYt}mh$Ev0~(f!d$S%`jWeF@=H{av8y96BM&x?5d4(Jgmg>}MtgYT# zjrk7^OLgaIcKxJm*V&r|1(O=j6L+$GA+C@oT7`4g`_>j7%rc%i+KS5;xrkbZ==m3n zW+AUy`4{q5Ag<=tbDhh7{O-!}(YEAbr|Sl&ZqCL|Ih{`bzEJ)!c;C(2>sUf*S@#Zp z_7hsj1A2IPOq2>5$DGa;+WvkzG5Yluf$A*lq!i!jC0BCM*4>yoKjXykqsYr#m9Uc_ z=5S(H2h*B|Z0arU;0DYj;FfHE|=_6cq9D!mP zQ)O5w!}AOg>YhP)rd+sl{%w)b)zwXi+TLg{pRk#q_jHn?MA$HbI=ep5-)`o>!WTMb zUC3aWwK~$i)=O>WbN2df_3ADUC37Zge!1I%H6I}S?ePIG$K>WDMFdq+2o6g17^GMp zaYR_#MhfcEo&)Lt^D}ar#-$IsR2g1K#W%rTeK1?E#5DP{ZnpEoMJsiY*ck?1&wrAm zQNDGy(0c|-S+2!#ISbyT`K-UkDI*&Kr}KU414p`nt^xO#oZqD$>$F}Ie)4_(P{L>5 z^qQ34lr_43l@;0;keu!3xvoQb^nDrcPH=i2-?o1^$9Pq^1CJ(={S`7xl-C#>C84(y^F*LiS$T#mFSR||Po%+jnhfJAG1 z6E}MkSFJt^_blVl3{RXGXFO*3&c~mFBJ8_)nCZE6M|Y2}uV(=_&!7#n*Lb+J7sw}l zyq{Do%_IoA(Xt0^3@Lex-tv+|*o?M0XmSIrHuX-+{cf{7ajUZYT&XgS(y_~&uJ81kI4**F;`Pmo+?=}CB8+5iO5!T zdNjA-gj{lJw%oE_%R|K0ywLfPoL7t<(ipPGza*i;F6Yo0F(Ag(O_mG@o-=iYv=iMA zR%bBq`V@eFy8d9BX*^$U8Yq|_@z)?#XD?kxAC_b-cQx2RCP{-el!OV#?Uz>bbtD6< zTn}GQWR2iZW*WBrp0%Zt`&i_GYy^pSfe_YJ9Qk;iCg(V4OOhuU@<2<7V%N9w_Msc0 zt0?gMx5ys{cY)t+QE_gT^}lnv{sx}J_~)F)1JyTt0x(5)Xi#@qg(lC*X~Pnj$|7nQp}#HM=zA3!*fc% zJR4wd%2hco6QB0^d>LEDbp zl0T_3yR=EiYo$Ld^o)N-qsOmdAoMipF7U~mi6OUH4kryO zbg<$)N(t@CKEIFXQ0u;4Q_?G!dnx_!OinAuprjt*o19v9`h;s#YPz@D!;eAf;1ua- z>?mXm8iR}S;n*E;CTqXZvf$LE$0u6IZ;Ti%JxDdcSDK{S$sjeT6Ht$f{XjA`pgT}} z9Z~iPdXX<4Wxk2WcK=TO9Dx4d;=Qv6B>dsr77O*=o|^joh>990_vg<+aZL4aJRgxV zH@{m@8Y*_eqpVph#Q{eAXZj1LJCeD&jB&Knu|L}GZH0a2X77-$Db7!Heua#U-mZ~| z4|GSwBt(`TR0^gc9Pf-XmDHWJvi5uSU*{A^ba2%SBa4WVJV1j*ajJs|t^qRXjzTIy zHgHN_3kcYZucQZ3oi6H;F7iTBe-j?mf?UM~_btRb)Cm0S zb$YlUU2%o`>LCv0l37Vsw_xdIrF159_s?fz@W(ulac~D5OblOg!T50ch#-oVT_s?L z`Np=>P$N5+Xka=W!7K?b^k2ZAj^XffaI`2#jh4T%(O5d z*U*|c!B&hATkedGELI~H8gDAM4=Zd2@nEV)n$Yv*$2eVo1dfGMtmcrZ<;yAOM4nTj zIIb2nilZM{b$GEHdOV#hPt~!AcMw@mNj;<%OMiq*+yD}UHf)%0)oXl-=}(@63g58e z)40bjOjwG-rSS%n$~#215!1>?I|A6@ym9jo4??BVjd)~_7?hz3g+TTOrWD|={lV01 zrVAy@kpCTW!~1qa1tm$>V$dlEr{W9~)%lCfHzVMM^YqbRAUB}O=J4ye9^l?8Z z#)KzWzw?F98F|MK0wVYN^V_}l<%j8Lg|6)vwRbe2{MDmRFaJ?QQ2?h!6YHrVH1|_s@odaKzLjF*8*|#nVc66BgjvJT;+@;Aj8R}aP%nZZK_4|irKlwNSN5m-}RB!{f-4!=SStYl@55(%Sf~v+FSVY zUTXz)yf05jdcK9gcv|PMZPt@&=wF%loUmRq%leczIv~T2H0!qheYvFs9;Q=ILy0pSn#ZYs85pKEV@kRdD^c=43 zJBY&#I&v^NaBw=5!Wn?sv0}o(P*lP)m7AZCU8y8bmkZ!PE_#v5`X|e4r&oF{4a4u4 zz8Hg1zyjOhb@g?1^++>Q>&VFl@l;cC2MNn$M*&3SSfn%V@_S4vq(pd&s?t!%u)okY z$XrDCu(AfK$78?*fdlxSs8?iNVL#!E?0-0s!dqhbVH7s>3Dz(Z$*s`nPO=u}Swu8D z#F>|leF?a<&}?R$-?ag`?4 zMfSo%*x1(=2eIm}9u-wM)#_iiw-VJfLMtngDkZS&?8KcPE5sBXpZ@~RdtAyR)t1O% zRB3Zxfhn5o>-TDcvgDiX*w#c6PBGEX=|;RB3wy}W`!LF zBWpFbx=O<(SBGurc99q6uNQMI%?^wMqc9jWVo4v`A$l~Um|LkZ$xoX=yP={$4r(gV z-0u=jo>*03xMFER;uYwDu&tdMx!7VKRP}^<87jC`Skl`tk}DvmQ+0GeWAT$H7J6=r zO{k{S&IFeZ5)8c7aIhQ}1b+B{^dedD&jwYGpEP^{nDDGq_Y#pvMdC1v2cZ>vq!-fH zk{H-emy)0U0Hfo*n0tR+VcqYGeWESjQJ)ATJ|irjV-u9~8l$D3p$h~h@&%4?U+z<^ z4YV&O*_j603aIBh!tnpOm}C#Uj|FvNRzZCn<7M2YSns4WUovm~7W5xmZ?W##q->{w z3fK2Q%B_OFBHI$>;P>Lx%Nc;)72)8|K*}Oogq~Hh4~<6+cf2&&o*<<1HRQi1XHOr^ zS!9GTok0#3gn)sHhn=a;#NQ!hVGgll*+#JATK}i7LLE~(OT4J$(B7@Ww4NS@=gA7AY@?LxJ?qE~UVHUtmc53UCsLg~ zH{grvi7QY>rKQ-%fN%-}F7jSts8Arug+`fj=Z_YbX2Ke~KxCFcjP*bv*L<1P==Q#f zy4al>&q}IF4=A033bX#lsK$xgZVOo$Q?|N*gYYibEN8vQb z-Kfg539pWT4ljZy13$QG|Y5inbW%z_Go^o${io=qv>jNby>J}n)X~_ zm*Ou|4%h6y`fUyW6GA(`ZYTAtH1~>1(5tq*%d}eb)a(~Liw)P~P7S&Be0OaeU^&@p zJm0$(-!H8c47iU@y7c}r9LMWGg6Dc)Vg>zWHWgzA6$xhQ#1XwVJu`=LVXfCq;r|y| zf%N~7vxLq{{mYVi2fFPQiF?I=xMIex0kY;U!Ol*4H6Oo=W*(VF8jhHzp;*Oi^Hk~c zxbKYi$*Pi1aIcmzorq30Eir0;(RRT%%}Hve*({+A8)Zx)}D@Ba?|{0|?(Cx2BRr_Rq--DpE@ zi>tUHKz@1C>wT z`!4X^=Pucqm~ehMfd&}<>&R-w+l19$+PqR)52n0ueD_EX*&eNTAgx|+;&24&ICL{5is+K z0_{ax#|4`3^odCg8U|QqjU9C>a1a~c7u~(YW5kVnm)h)H%LdN=nB43Y-~qur#~9Vb z&CB;=QZ=P{`pBCnGslql`}fuT7e;94aS|)4-zJAYBXc9DdL?=;awHgFrQxJv_AyDz z=i~;UWES+{6wFxMnr>@@oe^`k5pP?A^~DU)l&p!VKXkGh5a}yH_nRL@E;2@aJ)MGT zcEV!SyozD`>~}hD+!)!K@=>$N=EbbsZnX+?)6GZTj^s?-5lWB{c}=YqqY8Ceaen1# zBf70u@{WeUDz|yfHk#_TP<8#onk{7ZR>dC8?ZLV$lXWi-JNX>VZMj-QAf5L?DoDRJ zE87{-SJT^2(`!g=00&grr{r>mRl~odG01v0PJOjr^JPQkvR&)MKMjOxo^x#hMdi+H zE4E8?&+HoB(PsF@51ibra?8P;Q>=2U$!?@sE(u|JCjp*$5xt6D3#vLLI7N^}NBm34 zq)$efu}Nj@%M1SDtjpQ9e(bX*Wq30j#Q-agWrkS}c_m0Fe90iV9CCOt3?4}bp|38{ z8$JsAn2qO|1GHLN(P?RBj-@*Kv|L)zacO4ur5kSWJUEV1KRsW=Swpy83A1*FGAGvB zaN62>8=e##F`QSuu2#}{s@v$h|DqbO^%YokHC4&zs^wVR?xd>aSdA4nt>2ov#{AWK zm8Q`_&vb&j|>UnFJjyGk*}|-MA*E4 z1MW`YB_DQfmo5a6`uqj~*#xIsy`|{{M0LC3ww+$aQXIg8Eylf=WN(6>;HKFzD~46-21Vnozg7L+<&ur{A)2A_!!OW|F|U7 zH@iupA;fLNTMGp#%(76R6%yP8O*(-Afb%;Lh7irO=#X524{lr=nS_w7T!3gY9N}`Jy)FvMo<0c;~ z(ABH_;LO-$2+nQRVSJa7l+>)(U6W$mBMHQBHtiw!DdZ1QMJ- zrt4=vO8J>sJoxZwW@1AsFTgLGozNPa@(Fv9gTC9NS4~qs#stW4#w-lqaq*XV-SzSH z3vL@s^!#Fmy>Hn^%wjnn7G_V@5MSCzQ3 zmh5rhdB&>wS&QfA#`e{wP!XS`(}PWhEDGT-Hrp6%+dphgdJpFW>`72WMoC?a;ZWgP zw5?F|YSq?~c7~NQf;n_*zkMVxL=}!vWh*T;`50fsAdqTg9Bb&*E{!GaD0Gsx0<}uC zW}{cUI)8}ZJ&MuhGN!6+idCu_(pb{Lmog|6rfyd0l`&d^2J5qFYPHCfBl*jgpT;C3 zD~L;MAm6OJDid~Ha~oy8Hz%Scvm1}4M~yYCNXa-GQ^C)p_p2ZVcp&cY%BY8 zP<-FadTuOxniAwOW%oY+Gq%H7J(o|3bxGPKVuNzy^+fPP$$1MEbgLl$W2oCu&_U8K z=7)&sw%UF>U#dRQEc0`1iD_})z>E9~Ji z-{n1qvjOI`%iMgOq|1Hw5{SohybbWat&#T+5J#rkFC!bWgWRhWhhs3+(L9{?qM=Av zd)hA|Eclgooj3q%mHW>dkjxc%L2t$E&q&?0esY!)LUvBt^|=xt)5&racdRJW}WcRb^vw3q864m@F0 zj8RIE)NRc*AWmtvd-o9UQz7z*pQR5wEfs&rD)Mby?18s6%Hycl&%)uDrO!R3QhC^_ z@Lha_39Lp(J$=%CpL4;iAH=o&)!p;_Y5YFd@NIshFWX_yy6r|}o2}tSZ{Fa1EKG=R zBEu7`LK#jEC+KlfJfIRb*J~U{Y++FHG8v8r*3ibM%d`wM>2)ob?6KGrg~kN9|2&U4 zH7+N}N?*V>VrJ?wGVtl`xb;gSruQ$|jrsn~>0+~&CO_uK7=I3Nt8k*eCqzJ(V=3q@ zvQ+s!&ML*d^M2OAfM)u=y{oYDX-#~Ciica_)M-ty70(ZPm)#~Vo`9U7*tym|&K0*S zsu+DASps9c)28eC?BXt{Mqul2Qlskg5G!kP1h%m8y;oEk`sQ~CY&MqMORDQ2&+ z;8KjqbmuL=mFL$y_IUx&e|#I|e4m(TMgRFc$XHaCl{Y13cX!k=^W@ZQ=4^3xOs7Q9 zpMK1XX~>Fc$d75rf#@`wb#6=t1W@1n*aSEAEbewE?lGt!g3hd@&YUFf89Aamnw6(> z=2eTx{K(IT-_2}P2@OqD!JwEy&!Ho@5Pe;T`N1JZ z+Q_1*^63QF2$8j#B)9!?5fBEi>U@L`TlW%!UT&r%axh&VH9Rf+zP0HZe*e z+o;tv;-Fw-Zbt*)T>O}k50E&W1(!H={33CR1SdfUsq&}|h!d+kr&hOnn~cp0WGgPq zpQ$*fW`C{mv_Rf*G`%;(1C@k$&~*xSUVhLGR$pdaEX*0&@-*Go`T!0**t!OvEuTO{ zqQmo~stgo{K_F9My3ml>;iRIXm5CYprdHZ(m(>{py1Xnew$eb2sny9?UULHg`&in4 z1lCGnfd@9SC;h%kJH&qlF(vx?M`f9VniI)&v+VDmbLIY^(5&C%IUuY4Zy7a$d|;Qy zjeJ}WPr`HQ+PfM>{&MlR%B1|u#lDq^_GcSoyW@HPmaGq^hqntZqe^Yt<(AGToeRcD zPebAh`bbUoPfH`FISoM9qN(9O349>lpVGBp+DNL7^U5&C+t{HMHOeT*kS=A`NZG8{ z$#4xEFnFK>uh;OqZTFh@wT8LqR! z-(FRCS7ZNWSC^H~tC(6Kj1ea-Wp+0q!$6D|HA+Fz=wm^Noe(>6n3Obn(xlUkQLRPy z3;#<9(V!Pbt;&f2`hMy_c@~r+L}6oCMFYv9V{jSGY|-dL zN%ceEs=F+jNk#dBqT(c5v(OL^2or;=16mB}1L{Z)eV4U^FVhS+sd@y34LaP4Ka4W-Yd6Q&UUG!D@ zZ=Hszzn77BGAH{@%%F!@O~K?>rH%(AHb6hvR^ZRTz}dSb8J%dXf5l}Ddq%w?jlRG? zB?fas?U34s50(eHR3wtS#QqCDF9BJH|2FrBEO1{oY`Lr@~33 zLwQK!zkkHI=cUJRm#hv_r{B`jt>l6LC4rKlGm*^4qNgVh)~l10x;? zI`nw@>#M^bwtGPef`Sb0uwcZtI|SYwj4WPRXo-j2w~BI4Px9E_#Z8*&SDNZqn%54G zI%7|V&LO3W1yGWO7nfZ9m$k?tPA=vV6Ev&h#|PCGj;hcNEH384KVdWvy<79Y?HUA| zRZsotbtpHdE+%}!XSrjL!{;R7AS=9PhkihO8s!q!H>gstE>?*jVwEK?%_`&7^2r;S z*3u7)XIIw@isG<&JAqQBI&o{+M=V{hIC+e>c4#&4PfB4`VB%1-NoqV;0-2^hOs-BN z1Gt&jJ0B)nViO%}m|itDc3w^OYG+0>`Nso%*}dZd>3UC&U;0>v+p#W=_FwT8m8j!y zLt%Ff!ZyhxI$@6t!cGjrRt>^Ho7iU!!nDjqpb9-{r2LzRM{UMwq8H=cF^gAaK=c(g z^mG+~+st`oZ7-K{q7eI<)n*O@8blJk2$U3IwetU-dG%>(RMza9=*@{?-p06FBM_3b z+)cR}2Q{k6jkhJ}>Xrb==8bbyy};8bT$$ojw@VRZzrfR-RAL-uFMZx)Fa9r?XB1N)f`h)m6_yt+j?O5v1$z&r?Ba36B*?Mdze!Fe(2CV{cKceYg&K~mfT$W>1ew! z7YErNqXg$B534LaW87uj}j=AE7JqcpX77tMSfRyBXM|j7&SsLixEZQ zNlE*{5Qv(r^Fd147`V3&Ll!lPB8DDA5=MzF3@bY{0BTgnj`0eLb+{?>(yM3SSrW4s z6Wdxz#pTWXEPo4UyZol%E^76QW2(YR3h!`+<|r3W-A!8E?Jdd2N?~$Qos5Clg}6xN z<$SILr7r5{J}tK2(DX0F-S@}+sHMU zQ_M*Y|DR3XD-sTm}F0#tV@m zJRu*mdRV8?{_RoY_E}YPa9dj8i!%u;R?oK)kO58oe+-(3TrTbx>(sey=1RA>Xcx!d zG7&Wr-+k7RFd7oBU-^heOi6aP*Pl%Oa`1n3BvTUAVMIc?A8#!w{%{Pc_9Ld_hu0Q+oZ%mZ`IQ~# z_C}q_7UqY*vhjdM+?bZX3mEnffR{&3{(*lDJH*RmFN^T{D`^*7b+}r*Qd!&A;%79r z;%8zI(SwMG?MMef2>qZjKKy8yfcR3UV;WGrJcg`iM#MitZ6~mH_070Gc6V%ryj^Q7 z#MLbBi@8}^`{=`ZOAeHQXDZ2Mn9yJ*-ie&GROcQ*mC4^KH9H?q-T2AL%4){7(3_pT z*kEo+?L-u7DixyB5Zb()l}cJj`q4VasVJr03m zmvlx;SD8pQ9ZJUDlvmJMdJ86bZI5idv!Q6LbIqOfCHuCOwfjGA1exm4U++HY=o{XD z7$hmot=DI$R)-2%&q3APEdB&|l27IhKin&GBXIbHk;(;1d?8Xzi?6tsUYVglpFoR3 zHkO+p!EmNaa8@ZWYI9Pl;C<=g`|ZqPXEYU?HO*Fh+CO5$Ov-M>yR|(rQ?yxk$j-tM zHsLJ6;~R_W+)cfc%8A6x1dF_DIria$_4AMGf7#vv60 zl=TBOOmhV=!7UZUKrCjkpcn)=@?HJPkE7U=$^)-6qBAyqi*50|6f;}fg^bdrexkC< zi^j?Hg)%ZZwT#1?oXvHjw6N_HI{A9Jh$&8=z6t4pY$g>QWu%2;aQaXGU%}kF?r7!W#OyUmng*bPR2$?3#X$* z-%7yIALEc@7RCigMUCq+2?x{nZ#qpPVj>a0(L%6)JSB9T4LkaOxP4~|5``#+N=Mg` zHDL@^f{1zG=$72~>EPeV_iS83nRl~Cxi$gvDewlK`g90NZ~WiKpU zvBF-g>S|S68=XT#oWk_((qAm~mr2W}=A3*;)j5ATWd=pvF~rI;5*dxId(NFp2>IDf z`G}+G6yKx)Y3qO=x<3=8oiP^W!P;OQ5?%29@At&H*-NXHgPoc`ch{ls)SD|!lUUt7 zg4D92;0>~pP~Yn@(N@dr%z&~S@R!wpOl$DxFqz8iA!NQX7L*K;Fx!#UB@*hMQ;7VI zJmUNYMFV^E_UCT&BxZZOx_Q-X@ZAZo@=G!h&pFYxexCHmC|`7}S16QF(Cs|QReY87 zNUKJ4Owk}T7NrO=S?eD8a^i>ZiG5N{EZ4b({9d1%jPzp*>R;YV{RVU{r+5Qme9IS_ ziP?Bm)t~W1wvG{eQ|7Y$4fox4ne0mF+-C41(JLQn={iMZ>l?aEw=x8%4{necd1Ncu zn!?`Ah_AbRdAypHn{Q|7fD0KXAJa5m!aSwznL)6zw!B`_+{w}H@rp0IdAitnb2Eq0 zX{(@-SAU0~{2M|0H!p6-9H%Xx-IhDQklN5m-*bnkCR-L>{UQfkg}HP@6sO`lSBGs2 zYvcg7Rj+s7YZl(_NEqtf{gR{By2;I_k{jo(oL%J>Pdj%n;W(v#VI&-9q7RQZJJ^wE6l(&SKKAP1!#qhOBzvSKl~&^mE+)_3^e!WL!}_$kb-8u>(#zJRG@a3_U+`OohI_!> zexHu6L-*onUW5Lwe}1&D)x9SA9bYqfakmF(LSeYRBctX@GQ800YX&f6ww==@UeXe@ zEscY}y8Z{bb=u{ZlGOQ>C6}w`ex6-M{~A^={hqpvdh4|7FaM)H zrfP}ZVI{4neQoef#*LE;_XN8HkGPM~?`Ld-+JHAHnB(BXg1(SGQa3FKas(Q&M4k33$lYqzih) zW9g}SmPC?SHBfs|p!BcW3{r!2{i`EywNM`8xL^nbdAR*xogYQ^Y}^|bpSFJbIePT2 zbs5#f_@Ube11>0SCQ>Y$+_(ZZek)i$x`c30#HYW`OB#Drc6hAL$#K+g6F zsIO836p%TM-XIzvSXEPXt-9@905>m$cI={@f@zCCu%IbrDMU?WlB@dsujGEU(l@Tx zjTm*K`wM>FJU#ZWFaKkt{Exq&Q3O<=)nE zs;D86&k0j)+zJvG7T$r@J3oT9*?^K+jr2nVI?;D5K4i${{3>%u&J;4AT!o z{6Xw<>%TvE^S?DFeO3Q{TDs?BR$9cBgb(KM;5Tq;OO%{c!Pf|Q$R`Trzh}yp^T~Ch z%Dgu^9Sv<|G+e;uG6|)i z9-jLnTdHbSq09&#bnFA%h|ZD7NK|Pyl5<+BFx3=jJ}@v-%8cN87E)YJnt=*o7@_fa zla+jMqY$)_j3cn#D? z2$Pa(irnEK7BY&R$j#eUTquIZBy_}pX}CcdIB350sH`YxG~;qD-Ny|a&_nHR8Ua5W!+$mY zKkU6_a2!pupeqNXX{5U?oLi%n@dAyAHJs<3; z6fq>hjue$uzg)Faxu&K63^E*oesiV(a+#C1S+|!Rb@{g9Taj zl)X9q>aVNAhdCE6lz-9QLDpw(XN#^Q;qXG3V-a(2BJhonueoB)S4D z8ZJ*__Ymr+$;YSo(T?cJTdo6BGK3UNh2l>(P$wM~CE}bx6ME=xLpBh;uF~I`+upL( zgyCSqBbEN51e6prn6)zH0@?_l?&QfoKDZ4^NXi2xfG44WazLlJk}_oOE;QmFU-;UE zzNXD2c|+8a4J@ZHtT|^$D+xYd1#OduTwnfICYaXz<511|j+U)z^u5QRqSNeVJyL&I zzrz0C! zzYi*xoV*xx3>_A2Ip_e2!r*Su`5%=AHaF-6A+ANn-hpzMGiI-oeyQOfSD(LFTiOa- znOz6~eokAq)m4bN?NHhuya=_N9H&|57%?GLOZ;6F-eS5m^k7vJKK7-dt#vrq`!@e> z<~l{KvILhdr0rQwR(s(rZnQxZ(L-kp``aL%7?^~KMl-cSTKkPZxW+8p*9$S~}(1#gqUtSp5_Uo6;}` zmoHoTqZT4jCTU*h)bakXclZ49cDpw`9tGH6`&IF|O;cESMr7`SIi0~T-RhYPBki4x z>CosCO?yIHEHJh+fmy`}FJt{fOl7qoA-S1`qKFZmR(W98i46kdmnzef!puPQjr=`c zrq-;B9EB)`EYC>8J(vPfXt3Wg;$-?Df>xEzDNB$9FsSOkl7Tj*61tjXy&^hF&9ZN! z>g6gfDdixuJYP=Dq%5DNsTZ?|E4^SIS~YpqC^}G+D$;M4S9BP25*j7fS7FBP>T2Z> zFiDX$Elh32bu|Vr_f!iT3N|zGx?3o(+)BCnHSz|n1|ZLukY>)tas0&qY;5ND4=D?& z5UOku#&7rnSFNi6`Am+hm4B(3XsK{2B7v*twbEX3-e3YDIeIC)%)3t!TUESF&L|5+ z)-eP;xJ-9+W^)RwMVXHDpLyCpj&1|OEwa^8#rh(=8Gq-kP8(RD^Xjyt7BnG{=~#B* zcXlD(Ua~_BLoflmWbel3*2}M7&;{F=Y5t_9NcPZf;tP#@y-|E(-j>hV~xofjyPEQvLAaxxoH&>qRq#uif`Z{OOgt|HrfTN9PH<}anShv6(b&l5+aOmU>wL$*0JLH3bLUS#U^IWhX28J|&= zQB|ar@8pvcOyRg!`#b+1_P>q2{rSE9{NYjY_@uiH)cCULnynA7*rweZfiUP1_Pe%| zYR$oR2l95i6V{iR({k~e1{6VO-aEj>%-(+2a75jI`lD7hRV)}Hrj8({eiW2C_l;S7 z#BI7{wb`;g=o%jNx7)6+D{C7nKEeN0ZfjTmk3m&@lKFJIG?3d(_3@_ew{)X*MyH3N zK&3e7Fbbrs94@o>cV52s3#d7TL9MbyLCscato9aZl;1;JO$9^EWff3$`v(dKqO9G0T;n+x zc6g=rlQAO@@?#)gy7Jt8AQ1X|LUoPryb=bC>f@lY2V(dwCnA+Ug}#?BE;@^ z^gDbvLuJpBlaKbyA>n@`=pTI!DXE}fC;s*OGHt3bq7B*Vss&}S@wmU!c-`8wgQjjf z+heXCwi(@##7s2$p85ODd0_L4YpS_sZ@IWr`27NUXV=8`&59$CU|`|!vZgWHy@mL; zf?~7r#0o~_7nuE}Rmbz+SwC+-o#9y}@0Z(8xjm%MRDera6@Yrxu*MUB`L{O#dXvKG zu;sYJFsI8OFQChB;3&P|6>|g4W>v)Zh;a1UbJu@1?%;|4+QC-(7C751tauA_z9*ba zlXeaioa_!YdG^T+gmGNqt3xdoVc?DivN-eayVCwMW3QeAJ_%J1%Bc+j-% zdK0u)Lm5gM)nD_{%0jg28T$&M?ODZ1`U)ZZOI1hZ&o-mUns)JKVnhjs1vPV6)D}Kl zW}Jo(*>Q6SCEHl}{T+y76LFC{a5j;#X#iBDXBVoWI4H0Av@h{;;JeeWGQXl%fmv?b zPyN)V)rM$S@hpP!DB7;*#Mr(*e7@Z~COG#)tmFGJzbu?~_|5%2qG#(V7MDoXO-t!& zQB|cd1d4HI^!{&hT&0{VeX;Juz1hSUi9qQ@bQ+6PYk z7y8u9Or|(9WX**cp4STbxDqg4+f4Yy(#jDwZ9!K1^xX_w~? zE^{H;bc@=`;E=D9H1l4pYp1TIfzDRhQCf4cU{j&KQnFK?Nc)G+FPVDm+2>xwNu6ZJ zpkw>0(N$R?(D&<{vRhcZ;o+~wm)c--hobdgb`Ml8qn1RqJ-hsE=&1 zopnUc$T4Lgh>-34X3*$`qJbG=VLjXoEDP!SQ2GrIBM^BoS6#V3nD%4h2R^c#a=$T_ zJ`drxx2zYN>PlWpy4J)+@Q?(G2a3wUr98v^$m24FRe?A^jI^(ALj(48HW}z5e_L1E zRdz;#fxu93e|)8`Vmw4FSh|@y!IjhLPs)JZ=aodHvXRiE{ND4McK78AfQn8>ulak= zceq`6JOEYGdDf`B4ZQ=Nm=PDl)4t0r;SZca+j~sQox_tH+E0gInfR(dE`7MvURvFq zUyWElY`;PwJeL#RmZN5=14qmK*~Ub~?NK5}nzZwTOfF z%c*S%G%Qhx)EST9L6Ik89i{d9U(N$oD%cFI?X4V^Pg~LybxQei0)>SXTg+Cs60JKZ z=XO%%JX_509Y);(Ws6TVGUY132J+5TA*L1I$Zp)PuipwQa4q5wKw%K9;0;bOLq%;* z3ThxM-DftfbJakz;H#=27~|oWziiOhvxd5wr~SZ0jKDs-UD|oSIrVi%A=TM{jMO+p zDOgYsIWnVqL-K!rzxe=yUYRyL1J9o0`=<}*GVgj#t=`#EEW%g~MdSkR*qdyF3j@mA z@%tk6EpF#2<6lSnPE#1NPEb{Z)uhQrP+#0f?%5_!Eyc=*&Bab;%*7ZyGZLabG7?PO z|3jwSG7_eK%W0Er6tMsFnq(^-u#IxAamC!~uWDu-dA{*`6D^U`>s&l7Nj$IZaqXQ zs*J)te?l6&D6fy8a|TYGYU-fViiu<~Tk#M+epNC0g zE1+mM(LEuVHTkuC`)&O+?Qw6s2FJ0o)XKV2FFc9p$6^ixB_Q=%p2jy0n<9zA9$Te8 zywF848dNX3Z*1UNf>=vQzppB-j}O5A9^QZ*yLt!RwF-#5=;iRyYI>W%(HlwkHG&1_ z>rjP?oSjnqol1s#wW6C%^`=ubuF8C1{D*lE?-E!Ja5CfAi4tAA zg=Z*8+J&5lN=q|g4AP*Rxqb`m^7mU*eTw^8F`rMi22F|Ys)IHyg<~^0&dx7!`kP^< zO4vZ*_biKsf_WaAzQjbm{;Cl>Ah~QyL zGj9JoR{uNX@pvcC#0Yy+%5OQ5P`X>^Z#*ej$aN8bcE#FuK)>q3>Jk~WTWoSSDgnCP zp?hy@EGBFUxP@<2-buZ=)k#&77X?i%7(~;ec;VuW6{eMUFvQvyr3y|8K3QP>p+C5F z>7U+99Q14rzdw{1I*D!>GrPs(-&1AusT#LW*z${X)8H5(+U2ZxSIk$7-iqaZ+hxb@ zGBjRIHE=aH>TJ7XL8=e5#ns{hgWABQR_*YNQ0e;r#vQ0?`|ZpUnus8%P3Y8o=^b}9 z5-kZnPTEd69Pwt-a$U+A6sX|D!RJ^^vf|A|^}PLE!7u;4-TUuCF;&lofQRuG5HxW( zY+61*j(E#aUPUCH8}-f$vMT;}j~rhxQZ;UEFBT-%ACn$vO~mExXmA2O)}BnsCDkpj zEer4^cgz`Dn=0s7IM)0oK4a1B{x#BW*jX0gUZ!+CJFxnZBwGGnQlDm%JSRJrJ*-gZ zkg`c_<~vg4=s~s_y<=Ypg$3R(K8^CeGo_rjR5QNgzY#d;a*lNg8ye)XO;IQJ6?Z7y zBsJe-V@lH<3oYwfl}T@KrZi-~1ooPXZ6<(Xc9NxyPVuXS&ECnKWZ+87v6rowpn8W^ zFIo?tF~|oyd6(vEC|b|A{l)Jr^Zi+J$Od$8OahNx4SNSd`M9I1_hxEe+MW1_Std0M zOj(3!E+lr4XNmB5CKe+k}WS1aCBz*g!saX9FEg7;@5e@CDXrz@F|$sxnla=B*}5E~~> z^wA-_$v(9lUo3S`;C#7#x_fyY3?P>B<^u}o=NNW-j_n9^HpdZlJa*{&=TDa1j$1pP z;EF>A=^RQZ-7t~pf(^=p88bbQ2Ej?YJFO^LK^qbpT3Y?MT@i7L6@;y>JB*}f+UhL4 zcK`LzbTRw0+WwZ~arNiro}2o$D1!)MlHe|J>3B{JvtUieRxItA&k1NXMHhR%Zmm2NApn(g4QO`zRn3Dgk!Ug8FKm5`t9-t%1q49Gw~FJS(=QBC1d`v_2N&#W+&N%R_?*`u z2Bh61z-Xa=Q!Mm+_K)x^V*zSPe^BqOnOhCTO%Y}L^;(8loITO^gU0;FLTwTuGxG5o zs7y584<7dpkMDuE@AoUKH*FeQF0~|1*z|AM(YbtsirKp*`%3_XK}DjylDJynm?nm9 zQ7EA)cf|4l@qSQK_cAjLcKMjsHAz?Jdluu<(&HTP@R-dk@19+TDYbTV==qDMWvig= zl=?1M#k7C&&Vc5ky55U6g_ZF1xt{A!l?ch7XbAAp0+f>240QE*QzoU_kg8PDEBw)! z4VV}QH2hwZPhe#%N899I`d;TQ(XNrvP$GHAc8SrXCDa0*zpYo1Y;3uAS0C;NGASpd zwx*3s66!TzW~gf`RFUGf%mMRFzLA;JEFyAnvRtQs>mDI2p^RW4Q%hAcFC|nmA5hKW zv`|5%VRLTxg1`xlVx-rkk&eH)A7|BS@gS|e5D_!l`0~A{O&%dweT$6687BG?Q*f+W z6_E<*y&bwarssQL_LH{^zc)N)Xa|``PSm1xX$~-aIo(L00hy(VLKJdjLKJ>w9@Ez zSQnx^hc&mHB^y2NoKt3?dE~U&dT|vIF`LlGGguy6rc;LSS97aA^N+77`EY44$VDVk z6#h`eE<8V%gJYe(U#K=TPfy@WFU9l@@HnGx;L63!<;K|JI~&WjvRLThvNO3AS+BUYKZT<<6@1m%rnLD~Q0UFaH6 z%^1vgDuzNY8Y^R8IE}5Q@cDSTehzqkd3YA@ZZ_8vxz*u1%iDGzD<~G^iUvi4J3Mp$ zI_HWoZ;9|5PeErp3V~3b5l<_98|4slWNtdTDI5;Fi=_`Y!^c2oqd02SsDlxy zAq!A;nlQ}clIWqY5`yC$RT@W%)p4+LbHudQm51uVKbwHRD3O;U4GREcWixahTB^{5r>#pHlpz#g>V*ugDatk62go5H>QY7 z*C(!KjFZx$Iy?Wkc0SgMqCWhx~qjCybzS_C^dWr6_Is zh2P|%TG;%IU{kofrXalXG|~*I@G@lp)1yFrR_M`IgKG<`&q|L=jd6@UUjZ9_6JWC= zW&Xepl-~ID_snukagkf*%u=j3SC0ylrx%XXBzXM0);1d%_8+-OC?<@5n;QcVm|=b9 z@W_Y5O8~W*DK=~;eRP?gqtUbMQ=imDDTGp(MgqT*?pGkBKM_(EX&MZVC{uj)yRzhz zN%a1z$ib1Y|7`H(Z9~(#$oOd)kK_GsTnW3 ze_>Fl23y&FH&bd+t{FPV<2w+O*%#~2Ek{;#U|@GZDjtX4cSZ*7U$zT9$AK80LUwQI zM!OZ^{R8ER{dNMCA@0x<+F`pb;?H-v@Vs3kX-eb94J7aFYw2W3Xn-|PK#69SLIr(J zYv}0eV3Ao))NwH+3M^q7Y)4?|r6_7ySP7jPrI$e_5y1LD@5O|%E>vm)%9h|-01mI(a4aa4A@`#2I}(8ZVox6#<;Cf++<|Y<`YTS(CnsxzDJr{BlR4`{g3%)! z^zUkuCwj-;pbBNy-pm67xK2zVSUdeaxsda1EyH)k%ah4Z?ZFo!itjz==3L{RFYCo^ z&KY-_i-X(x&^r05MzsOTgW|E~P|f}|s6;CB3fi1D)QtE|8s7?gzf`)Xy!R!KnjLU* zgex{)Bc45by?z9IeAHj;;eegCi(n0$n8N;Km3Say3yVzG@X;oeBmq;q0~fhX95lnp zGr>nvGS&y9+g~X3C944ogAu`*w=Y2B&B;BkK*4`DYg;&*?)JZkxm6LtqK^vD6PoR4 zsGL#CXa0(}a;kx^!q;VY^Hqt}1DtM%NhE(=O-;_4FzqTGNQmkqQ15_xT!emvCbv=gnE%kvWXS zF%K%+vTPAXvOgGck z0N*G}054Ik0QIv%RdoME4YidI3uKx?xVYm->t%8TV6N720*ublE}RNlIGbn1peGVJXeZp5Ap?T`uZg zwwXjlJ??%iNg+<*R;@M$Ly}J&oHN~|e@q2c9D~Sn`e*u&ks6LE3mK+3x)}8H-O@hN zd77?EFe|2TC)6#RL2#+-kXc{%?`1_yaq<|JZXB9MPW(X?Mow!%woXpI!KRB6tc-}U zd!)FVbIxAUE`kF)$Bd)yj2*4LQE*VSwOD(&7gB6QKa~8=NaLDK2_m@eIqr~`^a(rW z7je6n#*rg10TNs2@bX92G`YU6t!ab6TSlqt{p%E%E=tJKJK|1&9v^$2u1UIn+`$c! zh>_CB4QXR54wTap1bi;CBO}C@&BbhWtPa zY4`PW?-_&zIqfB`k2_eA{OMv>#QO)Cly5^mxQqyH-^C*c&t67EDI2)6{get2J9-@| zluf2t%<{(615>^*t6%Z+ybj~w`gJMlhmpLegZjDgQv`ufm{?GlSeZn8I(+v{8~?H1 z`%fv8;vFS~{a46Ac4B>XfI~ZMwa^VDRO#pT808WXX4TkF5hm&rzuQR4)@on{9*68v zBGHnhgqvP*#XIS2U4UZnf#9TbMt}3OU*rJ~iX(!A=ZW(l_oe`DMVGrG^h1Mi44Q>e zw1_q~#1b&gxP55kSEkH?xJF7|(V#ReZ|tkeUW;2os3o_c=OJR@6Mo2fia)cXNU>;c z`=8rXw9Fz#A0gEwgu&Z!J(NK>PeG@dQd|@kc3AC}Z1urz9EPM_Q6uc&xL4cI6vOE* zg^8Is*NpVxE>6j#%2LwGDwFI?CgB<1Tj}+m*uKeT5?Wrvx<&tVoNlDp$`y%q9pHFf zG}+UW+VbSyM2vP&f_IR*!2*0xb%?@&Jie@R0XGTO$q&X5E+}37Xf-3-{HDBA^Q4E5 zwiQ$!P28~P+T4)z3!|Bw#Wib@^Fn7Ooq^vp=l<;W`4(V6b@}wp>DfPs0AM^Mi6##r zU17RN`sV~%f==KZjNIH;8ag?im#JMi422(}V?0&#j9i5Bj9e`8`wtoPiCjc6M6Dv3B-L5k1@{>~8`*BlhUmi+=E?C4 z*`#C^+TXZ4WTF@?ckg$nR4$_RbLx`|!Lab?r=`Asseq)4kY9EF@ynX)?2E#%(c))3 zExk;(+3xigp0c)=KB3|LlydTqVNBpItNA5Oml;rj%^c=(6PL_ANUdrSr~CmAd-qfD z(QV-UerZE*zMY(rK>gKEEoGK4HG4~HseP1*055Rm+^#jCK5eW1=(G$v>5d~u#s+!d zx3qL{OLfyv<-OYqWwkOJO!abnNqY6zf|RG0jwmox&si)+-&y+#S80MbX7a$LuCAEd z%Z6NgniU<*JUhc2o;1wgnYPa%)nPLQIWqK5n9NCd)$LVxyAfr;WA%-+(6 z7Cjb0{-B!t3+l|mSvKEvOs<&9UP;3IlW`)xu-xski*l=} z&cznHTA-OP4VZty@TczHb;KEs|1T>{#u%(@pc`?qP647;%Ne=SV+XkVG{HZrDGR^$ zf?aakVWV8PC*uideen0IuK~Ht>(Pq$ z7zs%ncb>cuhKF@|gf{!Gud(Ce|0Bt?GX&h?MdzaOD9zPVw&~KL>|uj(p{rd|3AqJ! zYzJGEcl`@Zgf0N+^dpbZ0WGj5wWMi z6v@YLeAAdNpc=m~aA{5r+<^Pkw8PMYsUx7lTC0HZnz|h1C7B{MAGO z&MfW365=>f??tPtC}RJTb|mz-6bTmM5H$q8&E?6&^3X&|br?$7a^!ER^9(cjP2UY= zakD9gpS^^-4^Kb=C6wWe>9(27pUblE!@T&@V0LWBf^RvwQI{HVw6dEUIzjWTGS_NO zlj{y8z~fGS*0MO=Uh$!15>98A*baNQd1U=R>Ow%D zq#oG>PH_SK@GF0YYs?0rz9j!?b~SqTty}B@gR{OV=ixDa1+U_g)}(jakj<3po>qYX z$Squ1rfe(aG?HGiw;%U3(%37suItjY%ht4tqVTY`!_ie&T7RAPi?@Rj39r+J0Jj_U z%B@2V0U#l39i*TEts9*JybB1pZpw|e@J7N@)Dqhav$5zK=9Jdzt`*34a_sww( zurjzff3NY4bpkW8MLxu|9ypL+CI3Q&73bg7mW}aUUF&tj5H3O@<-$U8$`%rqPU~XVKC=o7bLJ9u%b=n3+-^L}h zMa;vg>_Br-($b?j7>#p#l+qT8%Ro`09JY(y;2gFqCuSpU=(9W{XG;7%B9(fhVJ8pZ zSm~Nsu}+S`=QBwTlF=P`h7*NXO9&cG>R9;#okV@fet>O?Bdn8A(X`O!if%WCaFfQE z%mhLg@^07O6H%+y>_{`y)+fZTe~&MO2+uMl`kZb@r*hG7EdNqrqDA_);H!#leszC( zTycBf)#*J%%Uzl9ki+4fxV2u|!B+e<85;mep%#|_%MH_SKQJTkq@Ue%>$Ze``7bQ& zM2HGyV{nEnycie013F}Aq3I5_$|zjxLC;+iJoSq8N(B}^;;9SKc~0A=T-l@Rm7VGv zAY*qr{mGT4i!d-p^869$VV-(5%Yp_jU8^IQBvwvU%GN|^b~Hbs4>?{wJc5VxRi2%;cx0WrbT^_5<)<~b&G`g`;EqoY@w`dURk1ljE=`VjlK>r$bnpB>`g;UMCahC*^|iNO1k0Tvdi z9#rt7j-|f`mcZ!6IU=r4`;y+&KS~914lMqBzKqThw}__9{WIgWy2AGoczj+dP(Ql; zvkVPSIwi67Tez{}v>NT~>5?EKW*=r?%h_pA7HBgfE_~%OeejSw5jUzY7I*T~cIxI- z1-0{Eoo)~XjSF<0K`NNoH;ef6g7B9yQH(M;DAvNC2)u)|j!ylP!E@5-d-KVLWk5nn z%#Yd}z!H5pD=~6A)P;b-BQBKF;kEzAQ`fDRdjqRpi=#0QUVl4oxh)FVDkbRg&_4y! z(kBOBPBwBU8#cWckBc}F1IbN%gpZE(3DR&GH(|*Iy-&2`32j$d$y0I8?&-qyjH+Km z&Tb*Ir*}AU35uWsNn6#C^UKWMUHJ8_?1%x1Gcf)!I`C+_6MFOBXQz58-cwNK z&*|vjuE%KqORU$K#!5Uss0k}-aYfW2;*#MUmJ8pM^+)J|E^g9?4Zb^_y31?ht)yiG z^5UF7a)Q=*4F)T&bm5S|5(T7^J{TJ?hl6)8p5+27h$C?NCtYBC1-r#DZP zB?g4lZSfQ8I0dE0+<;WTkJ*{sjc#6Iz7+&wpIL-W-$0%P?W=a=fURCt*Rgir&NlVm(rZM80 zHyZb{*N)t$&_x|Cz;q*AN2APc!#Im&9&mV}u7^g@p~bDh*SW`@@$I?i@5Rw+Vd3-5 zQ!2c4djmmm;nRIJ)kl?I*^dBBgBvK9YZL0*Qwr=K&*f}FAR^K4JDJ2Yg?MCYKYA4% z^{UG^Lx1=4Z79uZl906)Jz+qL_w$Vi=I!=hcthfH5?BRdJ*&MfaEPD%t^g6bF(mQ0 zgK@}ueTTl;N*K?vt3lDsf&6C9C?PK1-Ut3<-hq~>A+%yfP@^ggYDtGD0I+1n6OgR6 z6b^mSzRI3NIC(GJmTHH>gk9Kq5eHq6ahWk34qXs*c}(z!KqY8D9kJ%8s&BNyPB4IT z#*<^F4vyy63j~Ie=bI!|o*kv0;$LGoUbyd75*uYlwt8uSX_o88iGy-KzQo&i2S%#j zR@b=?2S)B3E}lQL4vpp1T4cy@&prIzO27RF#WGZ?6SSDTejfMN{Y%4{FUtmk3=LB$ z?roX-le(Pd%(mlB54;M}P{nCP7c>qcQw4qSykhCcdn)j?!^iwge!lAD=67zuxvL=0 z^3g_EWha9utN`%UwVKq0=n0*0i>5Dg8TsDXrz+qQ4F)4E^bgGf=8PxyO=CI(zcA1_ zKp*Ydl6)N! z&QrF}Kc)7Y`vG;#LFLv|S{mnI`jV}WA#n2<))^|*O;a-n&exsgc3069AKnx>R+OojP zQIHAJEbyA7aD;I>B2p2WbP|M403JeR5J$-7m<$n%71>_DC`|@^g?3oywxqS;VHqU( zqVf-W#gh2{VXtV(y@+N0&0ZOg{KH-u|HEFn!@=d`MIkd`)zkngCPia1*V6X8&~K1`XW*9BfppRes#TgibfJ->%F^mvV9Fa#<|cqnRd z16RXiNexp>4X{EQ$_ZQP_zkh@GR-UMt3%4l1tx2Zel#3u>^0Ky_%wE*3F~Z@)9DDD z3Is3t{F4mH^w#m@9LZ^!CZ|LllEGZh;yu6&Fuyrh@DY7{nK-mf)NL2gO zHygV{&mQlER4bu5EMGsLO=-~Yhd9M)oI*wmr|Pre;H5N)NiI?GhF5Nnp$?COI;0lXb1V?iG4GwktC0U5 zZML_%hVj%9I?s(2S+VekXVc3e7D?TcRFi#665y1uPAmzhk-wQoEt1IzZ3$L!tGpJd zWBp{qapoc~3%PZzG2D!2?l$PCD<~+cbHP*aV9@ccPe#?E3)Z2XEloj>g{TpQvqaQE z$QRizqZtJl-K*&-CiXQH7yW|ynmZ2pdm8xPxvZ1ldcY{k${-@RIS4?wO$H)FT}{$g zepRZo!ZHcngEG0WPKOL|h00+|`&M?Q@h0^zURCH`me$f=hI{0(X#UM)EvkQwTnt(% zZ$?5g@F(XhR9kZ#Z(RTK!ptS88NtPjO8_^(jx&$AoV_!Mz zCKF77lqaUqv#hni;p4)%)GS@-tHqRx^1cs{mcq!vZ4|8O`NBslB%<%+O}hwTRRlpa z{du@HY$+IWiQD>(2*2K5serWv>)N>U`#Pqxx5YpI1W;}F!{cQ{NA+5~6Twn$XsqKN zjDdJo`X*2pWSU_xc&%taXL?%r#+(v}(+CQ{qM{NkfH*B-<8Bte4qC`30x125f3&~3 z4xLhQAf)QjBOq%GK4MusWP^HHKV+xO=KK^DvQ28tFcJx_`L=C)T?+pD7+6E6gp&IT z8^`^ki~u^O7Fe*(X}+?pHwS!Uhe)tJTIm8ldUlb^%4t^+huZq~BSMq{{h}k<1DPlhg$J z$^1a712-H1BD26?Kx9^`^*>}*N339EOWolW9aC<*l+))?KUI(u7P&smq4h-*`=lmH zSR0tJ{E>^Ih^za`T#8Z7fwtr!6hrKu&+@^Gof~rRoCwUb9bsl)=fa!_Y8rZEe^(zX zu|5IZp8GZ~Q}x(YoF?j$X~Et@&Ocz*Zd;zM#xq!b;d5KivJ^(7JxA~h0zC%M|a5%j; zf#6_nXHyW+1e}&o6!QZ|bEjevbBrw=5XEFb`brcbamDe;fQuz+#xh)ijw~|ww4Gnl z`rwj>s(9-Tj!zLuAHErUvOBgXp8257QpuwfS<-4LZ8R@!^d@bTA^ku}2%@aaCTk)n zuL!&bv=Rw+doV+G1W3nG!Y_JOhiCoL1YZtrt>qM5Cl7I{KxZg>{Y~J%gISKY|2dfT zdl|H+4kv^;D?|}nJuN#&&kow%z!}O5A0!-Z@b8$f1wnoLUi>)+o4s}JXZ%58BrKD+ z@X6eyMG?v5rCX87@G3$*OGH760ubh05I`OQMb%9TW|TfDJe2F~l`r?=^N-r;Y2FNyY5!3XAZ_A;iQ_KMX< zFoyp>RMzXz|BT9d|KFmrq_P2rk~x6O6hoYe$j+C2e{M`2ecK2teLG0205}_BDMl3M zd4zWj%>%N`G`$uW(MAWx5Ua(4vi{i0sZLwF2B8;K@Z1xeFMyLeMVE+bX7%zPG9S(J zYY>uyRYx1oY4@v!cyCOnX>TWk?f-~c`VBwHXVUdK8;2>(mL8#SmSN{gdo%m#r!Wo| zyQv1TS`y(1Xc8P~*m^PLi9}ejhB^1LW_oZe*W@eHxh_q>39hg^CVwn|0;zksg>WCY zop&Jah7_jqeK26ER%s#T2kYm=OM13m~F70cMRFUL`Z}#M)D~#@@nYXSE56F0P>5%KT{{s&{EpF z&?`ciZn~bXC^|5~OUlI}`$VfPV3m|h3E$cB3Ru_)^N_UCvhxdQl-}lLoNZ#0F~3L1 zWijS74;q>DjuB1G7WxsnATA;i!B)P;p=xnMlhry*i5aO^!pda@?XpV7*Y{(F%7}kf z?=X=;tp82nYpc^V*f49z~wb-yV)%pv2V!ksRGlw*{b0b^s27rQ~@S(pf=4eU?P zn$)U%5&M^aWQHsoVZwlr=D*HYp0hU$v2vQSuqqJpaYJM5-BA~2Na^9KGR5HG48-O`1eXDZ`eCN5Ak|pTq#rpfm=W*H!)fl=${u1=V z*$nrm2j%NJO~=_cE?MzdECd3z&$NEFMuZQn@Btembz0cgR{ zduuR(^9llgC-^HKU@Eh+Ax`nw{&5c7&tZWEqIB2coDhU$p%$i8Q9_QUrVz*K9D}On z#IYfD6iO_q0QjLqkxP7%Umsd+LGw%bp^E>IR>R0bZdZJ){a!HDw_Jz_coP4@vV``p z^pUp?uf&mshb&Tr{KB+M;*RG`A}Ob5U%Vq%Ts0&;nxHx;74Zdj9C6Co@v0a7tna$i zYwBE>w0$Ap1wx99iF^ODpuE}LdeA|eK98-AgP-0E5#L`wpPmjMra4Yee_fT$89X(} zu-JJsKA9z%p}GQ}6UO3Ofcq;0b>1s>dIOg4?j1DKCLm&^7|0ZIO^c-T_RY|$s6R1p z(aB~WUm;fKgP}Aw*pdILaVVyV=nq&2m(d1BpODGp{%`U17oR$+<3)samJ*jMFD5EM zuXPX!Dff)`OjYU!p+Dh~_tz#OmNNEyub()&D58szWG#-NWi_(Vwx-s6Uk{ zxO*bntWiE>Lu@F?JBNM+pTF=!Z`Mm{PziaZh3D{o5QVth`+|*U!={_e7Dp*}CHagUK$Ij>D zVet&atbxPg(Zir)$$AX<2gN!*!B-i*nVV1Wp>#0DpXNPbrM|%nECKLSXgP58h@c$_ z62gBr*Y4!WbCM=52$rw`ez=2efB7wzIFVFw491L<@)&ZR7qvw@pxV6ODQOv<8=LmX z>x56Im5I34LXG7N@213uVxZpQUu{iXfAaNjw!_Qgkg=qIT`GJj6_9~K5fWilq&e^X zWMelr$f8XkNS`TQs~7tot>EaT8LRHDiU6S2(@joV?C(ef6W@jL#F}5!!=vR+=oAYD zuV*Uuiy*ygMFG}*rKcCo%D`6dh8MPpHkb0DE zjkQJ%qGpXIH05CRZjZlPH=qj%d-WqhZ^~zVHt5{(bHOUN7pYN${Em(V;byVTFx}8! zF+o<<(y?e2l$sPl$3d(OX+`Q36)9I-aoDI=NmXSo9`*9Dj~gJJsJ0O7oxi?5zmB(w z8-itMEQgJteld;g*)Xi&07PnS*NHv73&1ZzsMTWUg*1UpZqZxdfMH?S2SvBSh=k$# zxG@i)=3Q+shqO&He;K}I_dgLW%swm5Vhm}S)^|66FBPMnjUT7ZEJ+=O!h*+2=+rAB zlVli+ivnPYqvt6((9vP0?UA2DM2Z^E3(!pD!_0ZYn;(njGYHZo7r}(p-{mQ#63Z2- z(a8q&<&@E!WkeT~>wt`6avzZEr-Zm8GNM`Wu?kk;fJL9 z*NlZ5k+i09QO36+S7I#H9&sfJcEdIpZ6{OxuV6ma=08?Gif<72eclsJQhETbD0(j~0 zD-~~wMp}R{5pN2bQDW3GD+MuGbRZ^+ZU{o$9h%(@<%_twD2KejsN^L;a&91e6*vtw z>9ARP)fOJ*miev#_%XW$rXSbv{_V)X%w-!V+uB=ueu?|f!8SCx@wqmCHf5~`6Gr`i zDU5SA=N$xk11)D{ib_XqnwV|xSYfK+(PG101@Tq}y0c#Wfx!`k#I8K+vxeKW5!z%D z!MNHO<}6DB;hs|N0fnw%cbD1Bf^e*_$7&|`1&IoAjWPFslmDTy^eRr zsPZjMRfJH@Ry1&X`7ySo)>ad&qw?(P(KcXule#ofwX?f-qxKKq`1@BVVmxAS|F znPf6aCX-|(Su0OMXk!&=3kg;meKvqY<>rs$&=h3*jg%{F)>b0Q(H*%{_l9^{7M)5% z1l%wzQgVEH2dTfoeiHntLh*|VmBNq>`(Q6TRCE^q#lsdMI;Dm9ylUAlti}z?59dJfP)rlX>79R}WqQPHG+Cm^4tkSC5^1W{ahjpKn4L|$3MSJSo zSqJNd+Jb*>=lqCReXY#5*}a9Fg}Qdj0wLvbJA%}>Uw%>5sqz_ggav}$0rw}(zM z_Y;r<$-mK2buXXJc6|hOeYE#+mb=aXZ%p&gcTcNw{({Cmy@(ru(s9#0i?8}0s6 z2PZWKBMqQ2x7gd4oQ?T8=9+6qkP@7XNM9lA%#LlTd$F}8QHkqb9V9@}b&H2efH);$ z0g3(M9+rP_-F(Ksn3Fp?Yuo-I@jT#yl>xU4;g|1wr86P6Nk3QA!KxcpwS%o0c0DtD zc>I>CL?h29kF#Z8N+D56BAEojYZel-~|ZLH%7({KTeUxw~nI`O~i;_t2i-yt_X)TZ!rMG@jcIJI~mg`8@A6m-4j{FC&Q* zhF^*kZ^Xo!Pxo1+6nNh$9VbcWDn|#tTH~X1f)Qq}wD+2uYinQ#Q zWpC5tRnQ7rsUDeztiT^Z;wW3t0iBK6l_+6efcDbc0jrme;0gxxq^|q1>*4XLTM;Lh zJ5Dg+H63MrdK>HFyBE>apbsz9%{qfSnXKc)=nzg7!_Ld|FEc#J#sw^@)nv*Ea#79E z24#sz`(T=iUHLs)uKF|0*~8G_CLX}wWrj8{#*jhKV&Anqo(;dOetmezvBGuxwvRxP(v%x0do8LMExWsjJS z{AvCusmAGt2_1p$QDQHByTgwHHRPt75&Fn8iySCw^-QB%a07$qI=sy}!+qj63G% zYE82@3N4D? zozHBOfFs(@^Pe47&=HRLx`GO@(ZFsqDyt0gEFOoXRycUO2na9#7); z6qhkhLv0U!RUFiemg(?Pf<`&nlm_9sBa!zL+KKn+#X-J5WckZSbLf>)uXrZd3IYcp zh_ojv9qo9E$J`lhFh-6bt{DrD){Sl$*@ok^M<2E7Pt$jQl~-l2BsliKM!fpBSxwm( zd{tS-YCPn^lK9GckM@*%tga#~J^#*Tc#v{hy9&DK`TV%4u2gMBXQ}bLD>h;4Fzlq= zH%i=3ZNAL@gE_x^`z?*%h0@9RW{YLWJ$Ej0X9nMf7w;SMuk&+rK2Xuhz3hs>@Usp6 z_s(`fuJc~-8>Z}QUVQ$+^8%y1l&DQ9v0z4m&g3Wz60URoAg34IjUu?CA0Av`{(m6$ zAGje7e)I!B48iA*yB-2?bG`W;wi)5gFcG;Vv*-8TM+v+RX8$HVZ}N3|(4BDrC+PfS zZnvC{eDu(+;4bm~1J^lC;Gd)=5CDp`3n9Vx=pV#agz;k1gp4@siP6AiLDn=xRXt8i zbE#3AqpK?wVyR21i5IiGFC zcBqNeqWW)V>>zP`i|Q^d2BE{1LII)jv2MYc@^Q9eyyU5hrE-ZtLAAE911@N|wjXb% zrVUES0WOG)C|S&ygY0pN`$I2Dvv4=b)zQQ6-#bn(#2w{4cYSnaxe9SAtdg~1q7tgp zRKqm5My~n;$k*ZOyA2{s(@J9#TWEU2L|Du7fe9ib>HU2`ZMVJ#|c zb1aR5mbFO5u9pUBQmlZ{NzlKyxk$=S3T3~LQk1f+qa-h}nzvSvIM!tQtQyy%Iu4VR zU#U`;^_OfX!JB)wo5B+U5((VFC1zHx#>eT7e`MwO&@1?1Gr?aW`&TuO2jfaSX>j_z ztq@neWxXCAhTJu;cu-=F201aTi6aWXa8MQKM?dN~3QkAd4goQ<2l=4gg)uU-@6bge zev6^;m3-gBM1I-Vjn|hu2i;2X1r0)JH$ph~REmZgw6HuUGk9336gmSt_&jn@Qnl5s zVNae!xSQkCMm{txhbQCFaQ;07y}A~S@l30pWbl}EnvLPJcW0C@=a-k4wzf|0?+jVV zN)2I&9dQ`XB6Wz*GAnmKirI@q>Ns?sO`CSBGW6gRuW56>J_r)87sJ0xvbTd*5moi8 zfIYI6DQ2!kvz8fVH}|VJ(zlXq6nk$ilg?TNr8P@2PTRfUgfgQICz$4sZz!D7R1U>d zDlMbtXM@OTl%|g-HjzQGG!V;D4Bv=1lsX5sinJSH>30g_V9M6LkdR>@Q_V(h=7w?G zA6Nxxom6@EM*KTGR#LDm6HCbK`^Vz?DXJ4?p@KkB%_3?m??j7$sr*33kQMHbw=)S6 z-az8MB9wp!bU&0VL6o0LaE-rP^#~Vm*BUzSPtS#(pO-8@!^u!-v0`of4)4Gb-UQ{^ z!AyU0Twn3-P8DeThJH>!PTB7+QBur~;5=dx`;B3v4(mKMzYqX@b@Aq#WGjmUtClLl ziM)%7vg_c-2&c+jp>UD??r)jD!FXPDrg7aML z=6!GUmaOtXs(#gvqB-SI29c49n(#fjSb#r}xXsVfhgAUGGGa**1%oBXo;+lrLUaM8 zG`t<~-k`FH9w^KyWV1}qHy(Q!`|2J=zrkF-NI`gw7cWVSeSY)6l# z(s6PronDpZz7r|F)cRuHB9Utj`m{-lZbDhayDys6$+LoVlAZydHQ-czU}?J)5fHi& zsuS`Bt0CwLYEa6-Mwg-A@4n=rly5SOdw$UjOr?i<7EjNvfcmUro_QfztrWN~Qw6oH zj2-PkzLdsZ2~Ip25MDn#*_9Bgr@rgBmY7S zdMH}886TPP&>}6t)wg}_X{78^Z^z8TuakFrNwXPFEk$*|vEsp7{Oew^&MRQzeup>e zKEg0}cL}0^=%D^V0T!>u3mIxFh3X5bp+fc0ZM@e{rW;ytKidO||3O;_Jms6~)wIsRJH{R~yBdPmLjz*^~3P1sIv55iSR(t^w^E4_-I;a$4|u|9t}8fQyE z5$a=Y_^fo+wpj`rwH38QHx?CkIx?-?nV>*pOy!m82vE3WE6JCl!1L^QnD#|rKxF-u zEH$6OZi6Si3Ny>@psKu5AE=Fy$lgYLl08%CWsIl1G8awfFPZ)nTd8cJ#8-qDGv09s+0zz z{_E=HZ{O)v*2Z2cb9inMc$NL-=FCH(cMvk_Dxr^ zH%|51zbvMZoIZp0jCWi6%gS7p#C04XL7ss``cuPneZI`=in;cT=nA28E%I$#c~~}C zSsK-Fsj#HO%2?FU>eQ}U3|_%8-4 zACNSn*y1lWRQ+6H{{yDv;6%#k*4WERDi%Yl(r`5|4ma96ThnR{Hr6_$00aX?YQYzz-FzL7ozjG=hd)EuhGg!`qurXUul1c|ME=H2B5?9%;4KUF_70C zK8Q9Ju=ZLiHP!e>@So| zXmW-DnZ~Qoa>TEoHaV11W-AC_jZ;7M9qNf{9noA{m#TrAGYM`u9c1QcKkfr;sIkn6YoMaa($|v6x1vIf%(O}u zOWa+HMa(5E*QL)RhgAM~Ar33tG8#^t#+rC?6_G^?14>pqB@LHzD=wBc+zsrRf60{F zQuf{mdcLzgYFqUe7##E-(6WgC@?y7TV6q3#^r&U$UtmyK1jwZ@NCK>Je+boT3D%A` z6oEIL6)~(O1#gBOg3;lw+@FLf%Sz~mpN`|oF=!>2X1lwzl331TxlVo6CqsWH4!WFHOz0G01D|JDVIfOy$f1(?0D@H7$|9ISEp@1bD z-U9H40{p_G*kxtpU$s>w30e~sf$MVXMPiB;_Dk7=3Z;Vz4TB0@f(oO83iE>sTY?Iw zU=$r?U{?JkX2WjuMrzfsP_tCid->l?GAW+NFSFld?j#PH-fWz!mqP@WkbzN!mUsIT zp70wmu#D#d14{je8n2QhQG2FD#G2F*Nh+B6_hmy^e*J@s;0EYLv!E!g69!ty{Eq!nly3R-RQNcmH%R= zjQJgYA6CIPo|+G2Ww;~bC<*&jH5s*Kj4HrptG=2b1G6!3w&%`XbBRJ0akbyVV3nhS zS8zzA(?rtfcu{pOslG%{OF;{GoY+9y039~At?FZewlN)b)6kEY$SfKx98MK194SdU zW@Z77G27T!3BC07d`SdSDG3&TbeOcb9uK9NizbaSa+`G{)N|n;d|ggAy>)r=W!u>2U7zl_O`lY75??6lS{4t0|HS{ zTu-opdr5pxFmMVlIkgA2_(#I;7Kc2E@*sHIvPPaEU6CEm-D8zR%KBjIsz-tT~s@w0Q6~75{-H0OaParJC_j zRrr%X*I$?-nLqx3|Nm|1?gc(M_brN-P=J&>m82viL@ugH} zK1s&E|B#y*0N=i+6!VFRB8CZ$9qWK`1PiTps&ZgWOQM7dgB4xGmkqp~wx)q)s;YJc zUH81UJ5kru$gA87r$3??S=tTEZA4Iq^_b4Mfv|UYaCSc7?1-VxN&_m2*fjnh=E;b8 z!0x{_hC6B$$a+x>5n%}fFo~rfWUt*S8>LlI8HQ;3eDPdnzOYkLf6Bbj3NXkP&BxMKDIK5rzVi9aaqBAEzd<&WJ03BiT!! z6M?&be<_mGQ|T|BdRgj(FsMCy)GiTCQQ?F>s2OjOx5L?(CVO%f5p}R+$!z2FqVL2# zs5%QYz3EGQA(Omj|vMxD4}Y2qySx|b!e1P}M)%1N8atn`wN z35Ll_^i0;?Ma>t?wj2+bPy)+gQj5Fk2Bu8mT(Lq?9yiyJ z`_7ZO#7ZO!-b8WHa;gEh)MR%zPm-rt`PFo?!d_t(ZrG?$!Z%CGwJu%JDS;PiTZep ze(UAHvYTFiLb7_j8hS+gKUUIHUp;}!Y~oKv{AX=@ib>^&m>}`C%DM3t$DevW>Wz@_ zcm`baz#cZc2xNB)%!A=@fHdj@jtvc9R5nhgoC?!p<7 z{9y;d)+~pF3a{n7kbU_4@P; zF=6@7+(qsINb`?R;!kE2o}1c|%|kzcdYl2~5%*hv<`Fu7=MnLL=M8oLubG4$V#2V* zcCS5Kz`I@x00X1{Oz?L7C0;(@-}%H^qCPWV7h~0)kYv@Gu>oPy_$G)DD6#@!;!qn^ z99OG&um(K9zz=9`_}^(M)+Tt%Wse!WjaFsVr9GWKTsgR!dJz`t4a62F zD?ratF_A$U|8pu0+Ts?kFrnb?Wpb?6Q7nliO7J--rdR;~11orP|HxyD! zG+y7OEF_sF4eS|WOmPW5J|ll_hdbP}Z5FXY)tM26J<2p#Xi68j1SFXu1S}6yOmSyX zb!Sn`7p0+0YU4@tx?rOpFyeiLQLuQ#sO}v5);_|&I0OD|P~1_Nkrj|k$%?xppxA(O z@?pqrEq$QcKGtYJl5IJMzTpxpNDWpO7|mqzZx!<>C~*d<`Up#J`E-J#v6;&urC}Pg zIcIr~9dZZea;g8)(XUphiNn!gffny-*MNkXOdu`?M-vx<2g4%Ad7ryvGY?9au2lH7lcsJnq|CYq1A#k(=)t{=Q zn0++7%AflavU`?Z^ob9_*&VRq+)`zzVIU;JkiHi4Nxhtd!OzJ|BNaoG z&iF;V4~wu*K6Iev07Vk}GdniiLr)zhRJC3pSmrpfk0&cg*mKer#fz+1m4n!Y+fW~Y z1HYAGkJkq*6rDWy0Td(N8E`g+(!v7S$^u;Nw)_!d>5SAzj2s4nw+>zi?3od63;5}8 z!a#1n7pM?Sro2;d@r(5BLQhuTKSa&^W<-pZH|4n{LKE_*eVTqBXBykT?;JI6wapAO z;&aH0mq$nDH)^hIi;2gl%df89o50^p*HZKyC;8ldJq`{&@9kW<0+$xNFguEwJ-qCk zo+xZ}T#R1tIq~r6rHR@t*(L8h3fgFVR&^8@DuxMYv*IsCM}iYEbEAR?;GOlcA7le_ zzrK08eZC}0zTUe|zVw-j-huVcbYywB9m1x&dvbV0!Krbkua3W3IVtSE@Va9jtgGW!DMKH&zMj4w88teKLv2Q9 zC10gr-8E&|4c1kS9T0WuMxD!5U4M=~AUgEy?-PKhLqR`*sKMG2I~hC@j}`UpP)EsT zz=S#shX#K#6z~DO94@-Le84W`!J-2xSV9NvtAg$++s*{tS|PKqie5%mIB;VpgWBfc zr?f{3IR-DZQbgeN_xlAVuJ5DgMjw;g8Em>Y^|Hdq&e{{g=&S?|MHFH4C|G`fed70% zXmOd?%F=TF%x7lh?d6?5{^pyD&9&u8h%bwy?WHXqGZZyOdUd=0ZLKQHL1#1XD%_{y zM0@Z)dUH}l+Q@#WiwW``hr?ux1oE8uf)|pA`C|H7juG5mExRiY9$lT;7eb0+idy8I zF2|z2Oe^d*oN33$dZGKj=q*ZGoffUrp%X6vPT~zPWdLT{yY_vrE*T zcq5{744JGMXM^P9#jU>q^WB4Mn*L-*=OTGlz3PR@S8rag>lG`nyO-ZDZcng=8rQ+E zv{_yrx^Xn`=}JK(zwZRgWc3qs42}Gd;9;zn-IccW(Gk%Pf68%Yi4)2=b2A@f2%qso z$C4@tbakk#{Ejv7X~2LiuUMLpg9dI`^z%GcqI+GYxS%^<->JRWqgjw+OUwQ#giF6Z>5^LAI!N|5Jax^FR zF5~{<$u%8omcGR8b+jGPd65|!B|`vo&%1H$Tyo7#Mv7mLA!ZJYRhdKx;@6D*VN_q^iyJz^DRQcppZkQ63Z&{!_#e)Qtz@s^m& zABe(=4ZV`HeS7QTN!@$$G58%P2t&v}$IzTn8H5xRmeW0zpr_~6${QPdBmbI-CJ+D((2sw#XL+9sR|7))FT5W_2!r4ZHEizJ560<;Kl zIPSWXjpSZLid!H)#A=Cq4Ai}5k z^-*y{PK2cHQSA_dT$LEd()|oJ&_VNikFGAA9tINi$KM%Yx`bMHa|j@#$oE*_g6E|8 z^&9X)o{Ig7qiO!25C&Q}3NVbn`%xjS;4wbC47#k_-grC`aM{p$K{G2c5wl=GGAq^W zdghyzJM7%5E$BEpZsj5VdiJjoM`Tf7C@?c+(!aJ@dd_19ingzjw;Fh)-G#7z0 zC_KPhVf4l-yRjOrE=10_pl|+l0Mi%uWikySn?hiF$G7U6W@7zjCxNb!T|b}1Vdzvr zsB%7fICXUO7!u*Hx=odOwy8*}X73;Np7z*MaK|QNEFjLcVhH#kPYM zR6y9Zro-<+hhYbci%ms4p>3^j5G>^w5YRI)nO$FszVm_mdy{KPBtHwop=3aV`_&Qi z+hamG?fU&{L#Bp%c+vs8I4uC%T9pF#iK7FPkAnu2tOetjzyzZjE(k;gYs(%irLZy)aL5+uqzIYhAV6+2!VDCG zbuFQT{m_I4LsY8g^PbOC#h&k(+oHrg_vY^Od_5hNiS{>W{CWNI6yHXt=h4lV8Qm%L zDR^@tTy%OEN>f<9?4V-AO*d9vuj|pHKYEFoL@ZSZSyT@7lw7Bq=4ld?TU?;bh z8%zl^_kK1@7BHl3e_;PA&r)o;|7Khva}2GC9em?Q{F*s=m{*j* z=lXViJc%z|V?t5-mQo6Spzg-hUhES(RdWus+MEYNx)Umjz=EZXLUFA9J=H5t>$(ZU zAH!b)(M|Fn7?TVwSrk*KR2ap`lc2)v3bJyrX_E{`_llgF3*S=}7Lz;}!t#I)d2v}l z99{Jk*!zi622SzPYDwkdPNOX>SkwLU`l2BgTs@Ri{}7+?vPclnPgZ#Vyjwp z7Hahy{)MJgmW3j!dp~Yf?Fa^0SWjDQ^z^(yAz6z?Us9jsygW#baxrR{`eOL9THD=_ zs!(ino-)XAPOzfi`i!D=0d-1Y!4mN0Qo~k`30;fid`o(wGcDtQozu?J zaC2DR8iTXEHJ5`_tumGwebl|kisIj91VcIK=cdU?uWV$FdZWXI%=ge-+m)c@#X3Aw zs>YdeNNGYXiv!(+^Z-!*LCrW7Z^=ksR9VgvLQS|eRbz>VO<;b)Xc`d4q&YO7dZt~= zwYoghUYFp00F^kJ3F<$T*>9u3c9*&rSUKh}s{S^hKRM7PAJMtz@fBgyGOMQjjFtBB z@Usr`)sD@ut;^~91&x{xZrG_jUt0$1$0iP(utc2>62Zh@Y5j3!@T!sa$tQFJj4jJV zQjHYYsrH#Xn?t$qUlVHN3e$G9UwNQa>d{B-JG%Si%NKi_Qn14?;tW?+D9qmOC)%@? z&e>e8S(c8H*6bI8?6ep)q?`B9f)i^iMSw%3udmw}t6XZdrG2l{ zuv||xD`^zai>5|z$tj|bmK{EY=cIVi-PaYjJaR1Nn| zb8*g6)8~`EZ))aYj_QxHAgLP9L;TI|GFn1`u+R;H-Ov0^6xnncA`DFysoaeO6J~VZ z98$THdU_QsVRR*DpqOeAx}8Kc+G5c=+zgA$&PP36DS|C_5BowH+N%@%sTWy8s@A7C zf4%=k;b}Kh=?FYsdTSA>r$*n<1{SNNU zwzBozE|kBR&Hd=-kBB)nm(-2?J2)5D#&$c-e$E(&CKHO_fbirwOkhBiPLU@&jSzQSrv zjjj?{V=tZQBl={={>vF2Bd#!jC1vxiT7bB%*}B!Ao*yD-?M%P7YWYmx>d9V-r(t3s3=b_gyLklVhvt^AZr+=WlQ`d5YYKALIeVjo0|C*W!<4 zuJi?Vb?c->IG)B3*Z(Nq2JLhi&Q=N| zY_qrhRy|zS4(15`zpUe~XjuNWiu0Y00sfTd7__GY4kecTxflZw1eZ~`3md!(lB#R> zdn+K#KPC)(K^0WpX!w~AL%mWMLJlZzsu>`&Ap9y&%>TbNOP;w3NSLV-lU)o9zZxhq z7$+cEAk_sL6oQARuiylbQXsHY_zIg23#6Az+zjv_1NG#HV*K+f>?%-I9+h;q!~;Mq zfYtT3W4-(9#+km>KhrPMUrPbxO*)w9%e*HM z1oEQkUVvU-*SE#HSd{x=UIIk6!t9mt&Y1>UBK?5zm-`~?Z`Je(K%~Ix^=^vrFMBH; zfOK`}tH?!3Kr!(9ujX-@ftDv#llWEb4q&F{ThXfq+B-$9`gjX~B!On8MWreZFl#OV zj7=2(bOuvu-e}KM$2QKHrULa$KP za^rkg1x&?&N~HRrnrv6tU|9ZIovB^}aBZ6|hP_>@e(&UVlGTyEE-Y>(gZFF zUIrV=C0~;7h2WoJ0upNb0w4mal=5=2w=58l`vdXTD4@o2^~1EOmk+|ZvOv@D=XY^j z29)FjCD^>)9i#^oYGDd|TpeJK0tAl$3M3LTr3{1WwnA*M4p0H}>vJ*+y?qx@;Xr68 zZ0}(fz{v@EtOe9m_tNPEi#L`06S;AE(K(btrH>UR7$hCOZnl-+8w_e2?Ro}z40VZ3 z@^wS$4?`i)rSc0*!u@&sB1`Rd(F4dpQFZt9w*0y2bV0>Lr*p&w3UOH0;iylxWmVkp z-ReX2)U0=UZPWXmsc}s_ZpCh&@cF_55@SPxF~U3ePLi zMvw^%MI@TPL|&i%4Aqy-0h_>vbO@&mzshWtwn6=LfkA$KFc&aX&_;9Xp#DE*6jaR4 zlD#sf>O~zR_`z5E9^0B?k_QIw)Btcfeo0cEs?ma7k@sa+26KlFo$4lZfp@v~v3MDg zEmQ28_#tU&3cPG^2eI=v0{jUO3_00diwsnAYd{kH>Usc;aLuT?M#$luX$ik&P~Q=b z=919=l+Yh(KRg{zyar$Z%tqU$QT6E=(-Q7|FDyRbrY}%`Y#0a9kes3?2bO(2FzMj5 zFTy8;{xW+2Kk9~2wE>i>I^bjho7DaA*NQSj=#!Cx{SP!B1HQG_wr*5S;t<`<4(V|1 z4r-(e8uyIu7R`z_hG|&<5{(D)S{C>g-3_y+Kb_-HEv~?+K39xS=ckN}DMJGrrBsf! zAiq}JX6i~xefEy?~VFC~zpqdPOe{wK!I*`;*OK`ptbMzpdzptjNn7J45efDop@@ftwh zf7-%(5kMX5*!~rrgZfHNKv4ozfMjLhm3jbGp%rEVM@q5DnZBk^rSk=JvYlX8>@luxvT>3d8g<)k_b=^!pgCT_g;(d+o z4nnjC06Y^9(pyFlCeSpDd=B@3c7YkuLQ&h19l&-4s1}OVVHrRq`AR^IZ?6Jn9V1w^U^q!C4sG7&c@Ldr4urwn!X(qi>p;NE}pP&Ljk@&;lyFwKnus!84TRs^x@ zqlRN!w=-CA$np#hK zkD`*$BcBv$U3Q`43LXuoMJl9T!3^zP+<7i{GI>@VvZV&G@(XA3 z|Lh-N8&lYn(NE61j5aifR(hDg$Xu@yqWZ?Qab%D+_gnL|vdjjF#6EUC7Xv9XmBN)jBVOhpR{7FrUXM9G9<={QvXcG!) zJVVxTo~6_y|1M7~?1%d#<`K32(apdY_;8|{2k`Ju>TLsteBDE0W0%}rFO@SO-K;@{ zYp*u#>6GIrE)SBl4zCFYdy@p-2ve-_O_}G6qg>VlWqiGEjIyoLV&YSoJ+EjJ9siPO zarLr$WTZx0#-;Z+b*Nb)9p6Km((o^-N5x$CX@mD_Sdc5Vs$D0qbeLgB?>F#&X;yRp z=IvQZ7EM5-FT%zG$NS7jw2L7^>kb1SZHQBD{p|rqdj(m$UI^>7OQiKEw}W&jwnkoB z@tgV@E@}1417K4v!UVB>cNDP8`l7VVwQ}0QA_u&J}j6$*sCmB}npPY}W z-prC$K6q|T*gvg}saijEd2SUSP}xHzaO7YJY4WYj<|0a`>P;1pY%j*aKg^p!c(td2 z+4Fz6u=)x0Lc`_TV^$6>KR$Q6RZICnuU6-G3_he@EtH22TK4_LlVq0_2lyd!*c)Wu z)ifWv5vg~VQV+NsaWHsz4Xm4wZdgVpSpO1Mlo`tswS(pdtw%>FD@5I^r<QY6FfPfR&}mx|yf-XD&yO;oOpl>$ z%B)n^ct#e!obfTa02aKACZ4*d_d9E)N5(Mc_eJCaFWI?)t?WVZKS#Vs<3lUMzPh*c zq0(&@r~IZa<`S{NY&u~wQjf;zb#uBCT9qc7wQ&FVu6#_Ip6I(~Sv-m*#AtQ^7q+23 zeD}%KlX;S2)Z((+17gCCa~mN8TYkD1e>vHM;6$_G%Dc${MGY>z3~ zo{F0=Q_ML3qN21j9>0fIzt|;8&EGOE_xn@yRk8PH@Y)`cZE-))2IN#D`gE7uOF zpCV{)cWDhSiA5XLaqF#SRKiD8<^3)Dm?WJK(xz1qztR_{M}m$`N&Q=vhqBL-Sc;#2 zf!I$Tm;7u_8?_3TOMm)*GT+P}@1Q6PfLX6Mr$YW%F*|e^PqtT+Pu6eATMbr36-C|; zA7&)+#qX!OVn#Mc5;~?`p<}5mkXT21PUUOxGMIw>H zHHnhWYt?5AY8rcU2ZBT@-i^{Xtv!YF6a$=m$4(Bp{9)_AJ)aK>S>JuicWomXpLM{U zUN=lT3u4_4Vtqxj2*R5ww-a~t&ooJ(D?oMcNZAi^A6}~kP|GNH6Mz~~-b|UsEjJ#F zEHabgxj$06s>{}D$kyu0GSg8WCC3Nsk@YvyNICQly_f0)_;pEvSFLGyZjoa?;TE>V z$zuZmsbD(_6x54J6ZBXf{Kx>RpmYgmms$)Z1nb3@#m=HKD!>cl|fgiNgNegBi^Wc8Dn+$KJcr;^%#X>v-+ zrsh=4k7-z)G16La&G1|W^5Z&f_ad2Tzkh4k_52M@i0RVQrDpR{wRM;X>!BB7lkVac zLT@Td{et2TyrfwC#KYljxiiS_WxgYWSGDS5zS9vYg!j#+?aFBTanb^^;GHg46rMe_ z>)5v4GaUo@1y>^WTuEQHEcpQW@vaRVX(Ft!dPXM6?}-E1p~1fN!H3GhbI;e%2>fdS z2{$R&n42VIVk=4ZgnYGN@a+Pxuy-e5sk$l-;u9PO0n{Yk4v26{a=&*mQ3?q;mjh7& zh!BQanCbEXX^d=;khy0rz7rNEpoG%<9SBY-8vmphPRTE0)-N$TxMfTFDG-m$fgOzW zDAGx6HxNlQ1#K4^`42<~iK>_E)gyVL$`2XS{33OW2``nMa07B=^PYx-Q_}gJi;7an z#n};r3czAN)P=emD8dX0-ClzL6*g}~B)GEDjNjm)nRVb2lx87}Jqg5S@%rS40u+o& zF?EaBB*&?ptBzV? zFFquSL&Kvzh(F}#4K}-Ih}(_Rr((iXjZil(PT?5T8Tb8bPB0QlI48^yy*5(jtRrx^ zNujemvn$3PWg4+p{lsG&&8OG^p4b1XnE6=c6qd z%nc*le$1uMKZkdqksuOfPUcgrraMjnKu=*%i0qtDAHd$u4>)t*h1Bl)^KldJCHm{b z6YtGG!b2)meQkD@@7Nug~w4`yK>j=;1ca0}mRKaT0@A zH|G7Yh_)Wx2Yed-9^(jt zJo9rHOUa-B-1YWhuIS`PlYmE~9rcpSSZk><3R}wb_==&K^<)6>urC7{uV1 zy*wq`RP3j(?ZBVwJUC@F7WH$wpJ4ECt=IMO@|%dBPi?&yvTGvC-(5Di^%gQlD}0N$ z$#TA*JhET*&YW^C0!!dtEfQ0*fD{vn9>;3aj($wRODJMY7TIfDJZ)h#3PLZOjOo$F zRm9d4GKUZ9VZ>I%77;>FvK2QlM4}$6B~gaG>Vf|QbN@m=c#`N2t36aiQC(C0T@#r9 zK8jGBpI-`#wA5PBZd=+e$*Q!8g}zVoV0(d zHN~kbH~jH&$H}WBqAt%a4%IWOk-F3d3Ab*QRqyzqx{z3RROICAMvykS;N1y_$45SH z?k0~+$8EZ0zXUJU#etkitPGARTyoterW+^T=*8Xx=jWG?s8w3ns@4P5hAcrC=}hHI z5eEIHZSqW(Y^odHt(tG+_x`h^l}0*z=@>!Ty%-7?JPvLmTQ?4u&Kz&DjyV~={toT7 zS(Cw7thT(b0W4Xe;&3Sx2P~L2-9@ljn)X^9@P$`3qkhfYsEm0Lrvx(L?aR&xdftTX zD|{Qe8MN-x*|d72PV#zmsKMDE7>e%iU7#xIt72Tr<+!1e^)QP)gSzgb?vTtT z=RI=IJ$I_+tNEs8s-|l7vo?EEEmaiV{oDU%ZBtasr(>DwZW^lzL>@MpT=c|56Q#(= z>{{xxJed;BG{>n^y(4Bj19H*`>L_Ac6Ty+WU&mUn1~-Y~4*z;PpeFX|?HfQiA{NTA z*qj#<8Y()z6j~pUJCVp;-zF5Je&M~J{q3#z`jT)0U;f$6l;=uYtp2)>609RQy;N$T>kx5IL}N%ZYr4Sg~uLn z_SP_+ruG_f2@}nOmo*rhw0nM}#gl0|l5hTv|BOTZb#F`1kr4^p^RJLaZ+FF2&NGXg^|AYWue^ypf5V8rQ$qD~yrGgrd zDD6ydR%fm$k&mAT%^Oph5wyqG37O zMv2VzRoaQ0OTVYoNM696!Z;j7aKD8n<=7QCY}%Am64NXV(!D6#H%BKWE{G3_mJPn7 zle!y=o~|l*IFOaRi+|nwTj=4~k#@5qh^)-f`9Zc(&zvj&ynZ zW3-l0L4t!CL+9%o`ApE`XBH@V>7dC|%RtH%h2FDU)Qj@E@tZdy`AhFKp4h-{Z|_cb zPI^x;Hk=UGbjO$zw(&?ytPNFUm!sG30n7Xc?6sPc;r9W>RY?Bv9oCFeUD7#ZyFTjFy&0HJ?h<( zc(}&v)$;gRiWDb>Y`~nyQb^efEAnHUUioC?6m=1HH=XQ?YnJ*5KE=DUPTiinxV#-b zJJOYU`cyO?az13M_(WXvxD#D<1BL62>mHe02ibQcdeZA`L)pHaJeT!FLSJa+6Dx>l z(tC_UzWqFxv3r~RNuro|sDi74S|C?^-%dS~Bv!XWR9D0EZf%E5Me?djdt*h1X#lY1k{GPkGAa*ihx9 zcQ!!-MJycn&yj4f0s?)=tcy-fq19B4cqvRqxAi&-lW)X#1CNAR${2#=zv8=`I08Gn zd=q0HipNz+FeGg;4@cd<16bI z*(&#^JrC$+DLHw0kh$+SS5Dq|tBr@3Q)bR(byt{OyFWd91O1~@x;R~nVG}=^g-_EH zRWlq7-yGGQ^!HR^lpR8u?lj zMF$&rHYJS@YxJBhZ*nM1Fd+SKXXH^w@M1>35VHk)QHSp7g5bw(wIn{a<*rZW(4Y$3?JvVRL+8t7pd)}YyMB0`qAyv34TemZ?nBA6o zSu2cEK6UH$AeNWysO7RC@H>akp_E$lGi^RCr)WJ_v_ckDT91_D%y00%b$aUi-MR=Mgb7L5?!1Bzc80(&Zn#g>@LeNY z55YfX+Wit>kiOSBJCO=sx-k0Ha-JbzWa|2oZeFhum&^6R*)Vhd;DSSB;M{XiAwOtu zIEstOl<=z8>)QV%!0d_CSJs<=2{|UT+<)H4|KiIG__YHYMJG3n&W<6;ZAwB=xca#^rG`}ZoW&^ zGQ<`7>b#&n^J*u0ONBahOz*TDw54UsrGJ0~$ya-luY^hj^Ffqi@kf+eYwAT^^Uzws z{49!QN?BQzI^}4RcR?$*I|>U8RFAs}hw~9$-5FK*s+Bua^SKk%G-c}*=Bnw13$k~v zX|c?Jo9A&HoqcDitMDyL8((Efp~b6Dg)Dd zLq!(?Es(JHm+xXj1^LJ(fyq*;JbWZ4-U6QQEFB!*P0lLf*47YyjBeJoh=JX8j#o4b z#CLb+4B9icyx9y`sU&ubsKH+-eK!!ILJ&l6CT3QVHj|LoV950DOuuGu);)>vxr*

~W2EV+zQ}uN}9Bu9+Qkw)8FNC4FC76|DK@`$;y>Z+oD$;=Ka0rXd zDJdHv3%{FFihCA9ac;*bPpB!&#LZ4|uKi6UnZglx{Ao8(ZQ6qLICmFt9*^BR(lDC+ zyy!s8&8IMJVe&Z>8F{vaA*zRyFxGb^|5-;4fT~al5k1LB*+kqRToLq2f8&KyLLY;p=`7c+={ilZo2#io2 z9qG9Py{5N;ZR~l);%QY2`+`P|8ZTI5&I##ejwhQh55^hQ7|l+LQS_dX)2)YlJ+D@1 z_M4m~@*KSltgovSjZn4HWv7o7Wx51$&$?U*w}he(U0oe?qo-G!-lP_J)C{(~SN%{@ z>}^@&O{jA8ENfYXulsI@NgMu(qmlNhH z{zsOLi}2aTO6-zcn@uivHts_Xs@m@KoHD0c2m1mit4vuO>sCdFqnR1qrH`Lb-KKpR zr;|-F46P%BL_B+<7cV{cgC3%+VYE&ruMfA+hNtz-~xLwjUjROyWqgXlxd4zf24;f}CK#gfB>kp2ZZ z@VMr}PiE%ljba5~K5u{G#}~`&rT(;nyL}XY(~*yu_Zy zvp}tyb0$i)CYIfPxGYPd0`W*#>U;EGVov! zT@%rfDQ{7=c8|wWn6hB!GbL-OUh{Cx&co_^W+=O5$QkxO$1REu_@0%sZOGiEYJN2*^xsDw<|s{LxYwkV z%b%gX19>MDYi88I%uU?;!9oM{{Oy~e!an_g+*NyUCW1#yT>wLG6HGlz*CLSN3QKap z*DyL)a>-D2APLyeTr#Y6pMtaaJ}Z(e+WG+3P7;JW46G9HcBg_}s4{#n-`;N$W+TGBJpWSO7d`tyI-t z7$hr`|4hXzMZ)$`0yr^3j4`Z2AvBMmf3|3i7~On78lJ@bS$x||;MlM+18}T}c*d8=nf%76m6FUn2KKT~U7VYUYoeGo2;E#~ZlNcvzS7QP#Wo@1 zS#W@<04JhW=+mdl(CeH})mS|%?aweJCrLXY>YfQi!=|1O{EN8^Oo$k`39 zh(vicH`ic)5`f}&Qd;zJuI&kol^?tx1u9&74%~mCm6l#dT>Y0KU|x)?&#L?y#=14E zafL@}uCo=P8hT40U0XUS0kCC9H7KlHfrLq2oTriCV)sKNpo|{ELueWyPQWWqg+SZU z*L+6EFC-_*C!B*^=ai!i zuI?hBStZvHAVjIY?hJ9wFps)u|3~G0h%l$eSGu~U^7gOvx5l?-yZ$* zB_w+g8$DI->W?ul{V_&Pz!-g&q5)%+^=1Y%H2RK^Ikq+*Z#obYxP!L3mn6)mcs_DG zf0xG6BKsuOZXD^17xE7{CJW}0;n>13IFdd`>$^Q)-?Uxhw zwMYy}rzwg*c_2r@lWlfP4hCb9_t?ruRy)Q0pDE)oYJdXvPb)!=^^+~`jKgzK5$NCQ#9(x`|9^H@6)wsKjGxzWu2?P|lLFrLn zRy)orHlIJef1Z<N4VE)y=uSUxS8dxn&%5*kWj#xI68sXO7y&-|As zVw4r3glB7p_BT{OQ|jXKM&A|r85!D?+iRAWD&IY$yoW|fZz)GVN@B+lkvX?qZ-&LO z$@9oJeT3f)aJ6B~5-p08S~Lv#W!#-AXC?=rGHw$l|#(8KN8k1VgPy8DXJU~;v=XSq+{51w$BQJY$ z*c{klYsU?;6d^InsIGUXlaXN~!5{BGNFMScwKzvWg7V(pXX3~;iM%YJ-z@B-WZ9iA z2FX8X&QQU`Ff4_Xzu^hAlUijNkG}kIJTMVlmP2-2&uesZnU_H&a9-ahh#An`7m2*g zd0gMuiHuW5dc%)1=|h#;Jc3WnaYFjchbI00vklcc;D&+k7f@V_Z*r!BYVU?~kx9H; z#Ov#^q4}0QCi?jRhEr6!6}+3QQ7AL8g#ECs6qJX=c&bs3;nj-U?gKVFsXGAu!aVt%hCeII}dILi~?$>C3#W`3(6Gwu+hCL6jBd0ya zeC(Ke7haZCj%#`wbH%YF-CmGdimr@UA+e331xMn+>zBymk?xYhjfqU1On^U3!VFqO zJtp58pP+V-;O$yz!#(Bl8bzel&-YlV@h2C=|L+%m?W@zxB!afwRNZ@mQWsnx_5JRO4+gZCR(32V9HHrVCpiDvA6qqEe6xNcML9d)-t{V0p zTNp7J5-G}pJICzzBL%G&m-8t*ydP`!>9l~{l)V(2bC@L*x@j=oqbQRSQlcaU4dd{L z$&?{;(dIESR}nKaRZs)fO(py=blcoGEl^K0u}Vdc5cs)BT5YcMy_x$9iTL%c(#8pz zqEtY@S?Wv>IZ?H&{O!T9}bFQ?3=b)kgX-nxQs0Zx368@_SU@uF&^HLxIW2n4203+ zCX5lPH%4&`za6`H213jAdc%xO%`UC!p^qgR<`BA$h6%%APWY~_ZWG5FKFloMa?YQ) zLG5)N6}#$ z0j?u_{CO3d_j;I?Lp`KT68*C0tcyCaZ08U&23>>}^pYve(9-VhY(Jq4drqfHXaR8^ z>AoW&;$lc9*s*JZiX2OfZ8Gk~>jVvHVcEYB(0Ls1q6G`=Rmv_B&89+TQ!xQJpn#8a zkj2qmz6-zli4z!Dc%Z-~&86E*Ap3eN@Q$$OnXtIHR8{-g(UR&w$gM-?!`b}e-oXO` zXNUii^NQ&@!_g~>Jw9H4$AtT>B0{TdU9!YqB}pT21Oc}%wWtRPh}liQFZ;Ie+X%^* zClHt~I4EOz3{OZ}1F4bW36vGC=;Ww^=)9qgv%mSD4Gyc#tD-s_hWNXL;}4>V$JFbu zSVn3JqP!vapLO#VZ0JC`lH=zm#3V_WL#T_5Pv7ARYNi|`7T~ghI@Ezobqp>|+JH$Vw z6Y}dKTkFm){e(hPqSEJE_1`TUws0S99p7B`BnsFOQTOGDmEMXRw&>M2rh>qD4i5aq+!y;oh)>We<}~-v|L;B`BwG zp&njf+poQn7j>&ow}N6pAPv!|+zlkEgX2&$26ogH_Ayi60%@uXXFx5w`>=@NxsveV z5Xt`I1SOn}`U#N|cG@F6#nw(Rx@Bk#p=3N6C`_MP5DjdN&ykbbQY+y>R4Fo}UgHOS zyB>k#3qm@kO20z zf0ypj@+|)1`+~RpkTSLC0-LF4(9={AgjJXxcu1n}v@5)yhi|!${z@gDv z2Tju!RIz?)UtH4dhvZX3_rm&;go2s`bH&_)jFm}POwjMI&2>H7p5%man5Koe;h(x* zs6BVSg=qJ@Q$S|RR|EN^)cTGwmkLpLL#RMl(-#GjW1&Oh|{WzzzW%1*z=Vpd*SCx@$Z3pLc;djHgag)z7 zdeZuj@QH}p?042p66ICPb2h>gq34de6W{tND;Z{$e)Py%p34cbSW&o2%%5CSRGA_d z&h&{i_l0#qiW9cj_k zybA^UTGMQBtH%) zwc~x^5YstY7g79_J2;54A6Q(rEa$gxQd|Z_9+P9`e}am=c2|rEKi`SxqPcy${VmxZ z%#t@OT7_JS&-cxsB5Sqi1v1G4OWUp*R4xdE<5tUMCO;(h7m@LK*=penMf}{sCYNJi z7v==z-*)|%-%cSKRdg6}N#6D&v8|nd>E5iuU4tmn8XGj*ssE}wc4W3QL!~?Re74g@ zy*rk4uCrXTd$_Ptz8F`3u2V>_J2rf-lO|)CsGT`uS+cDyV>zHbL$e!mj~J2UOVPMM z{L^3#gw<69Je+UrW6Ix{?7?I=-x}?~-HG-`P`N~R_z#|&a|p17Q2Rfw_tf7dUc7CX z%2;+AD#!KIc(VaIg!j}K>v2lqy5NXUUohNt(5_lKe{L;?LgMJIzEh-`aixwz^3L5Q zd1dK*ZyRJ?iXu2J)X6VYH%#^cDr^;+uiU-lhA)fi*}Rar-@z0J@5snVWqkq8Oj^L$}-8%x&XnR_i(VOG8 z1`Cl;lqZb@?(W!@R*a>s!^}3Gbgg)E$9B3Fp&1=Rl@af%oc~AMOC){QsI)0&AYQnv z6j# zs`Wujut_x;|XJU zZDC*B5UZCTQAUG)&D$afMeIqBr_{cDEP9DrCU^7( zmwXkb6z2$Y3DUKwDsU=I1|aW+Y|Ji03;8nd%tA7-cTJ2i-prP5SPcmM$AI)m>Cp6!VLG{ze&6R8 z+M)Tk(YAxYGwM)~>yDT6XUqzhGfb|}>poYC8>*|;CnAkCGiYGuA~s{XHUyQz<*u_) zf8;#mOD#>)r~W98IPH?BYr&-6`X0trtZPxG-TMCEJ8v+lV6!TN#*ga1!5&2K5A5-h zJA6@cC|LTEIc!?TK#u!WDIg0Kmi9npKOL{1^2tl9HL&U=rDiaJ{mNKUMtYIYbp6N) z^__bipGR}r0)a^6D?~1%k0}}k+;okIJf`g{MESF+8d)Bm(3dCiQM8DRL#Q4RMAS=U zniM{-(Ks()_om@BQ!%eUyOf1-G1~NLNoV-+ZL-iRi<6%N=0}Cy3b>vcRvQ|x?V#M_ zv2D7?W1h*tvG`8lSO|)Km{JrzF+hODXz18M%O^I2e5vhDoPd2v%48ly5Yt!Bie==Y zjD*K*NKJ}jdnll3v0%q7&-Cq5;>JxD`M^e3Iu1wCv~uJTBKD&Tg3X^{-@F2{gu|DN zVLCcRcXa&&`3F6C^vdYuwmI%U@A*`uhyxV2-@w)XN|K04 z1hhY+UICjh>k1>D61K)Dq~z!L;QEZ*UuOwdK7(Zj^ggWKLaV6az*t`YK%=O_-cep( zWzI%A%?(yAiWXO2>Bd_|8;DM;r=^#VUnpdv%_Tis^okG&66!_!dCUx;?TL3}SQKB$ zFrs47w0qd25tw}A?mtVCC>wtzpP|VwEvU_kJ5zI#!OeUrY7cy2CL<+fmSC!sIuX|R zV}6g`hiKvE0gu!;s*4UU%k*JM8g3@KHtsPw==mo($O^z7Lv6G=u?fMW`(gfZKViWA zqB$b))T^T4+f)6-ABpem5Amt|kHq)l5Ah)b#7FW^;=>AmB))ur`0^f!FXjI(;)DF1 z_*!44{|oW0&+gEKJ^82beR~wXKZ(Le;fwsc@ac_Fny~yOe11Tj@KN~E{}MjzKf?F< z--R#hzvl?YfgIuAgl}8V&Rum29sM@|B_>9<|34BsK zKv|^e9!eR|3Ny*NfDaVNdeJwe5wfv%L@+~c>@AU&^CmPu90r?V(mr1ZkctO?KM@OW zf6mIZqeo;lk<@=qrkU|d24lk>jU7JbJo%+L5rBK`wBgbG#a!bN>XZ;PndpD5Vz;$v z3eQP>Gr`H}WdEET$$vnzi}gGCp1ICx@Kwu}pK8q3JXWFxSsPSYsStt!N_A=Yuk(AQ zGPed?x91N5g944Kd`v_zqn@U3VZk44U6MAcB2b}UA47se6ndc+2~|>S98GJH*M!vnAn~w7;~w%E5)HNNHxlHSdxvbS>#$X=$@DEl4xP|!~#pc_=Jca zfbGbKMg%_kVuWp;@maOn_IRM;8PBj_{>caKepIj9IBvf`=E|*&4$Sije)`m-F@LVv z)n)^k38zUudfpLBJa=m2;@EddqIcY!fgOuY!1BKspJ_R1GdbH)?-N>M{TD*rKSaJ< zG#Z$ri5LsVwH+qI8dG88L-T9*qMyPq(K1=)0VfRbk=odXsR_ARnQEicg0hs6hywgf zE0J5bvO%oWozFAI3E_Fid@)KaoV{{!eh4R;9#T7V#t8kKUQTxpuX!UvA@M#+Mm>3t z#>s5?Ch3@zEAzjm17QVGx~ME3Va7yl^vIN1L=#cS$c?sAQsYEK&B~=}>}X8BB+S3t-y68*Y$mOe+!VW5z+S!L&>H>KRgBl8L0-4a5vwX zk$;j2&|0jUB3PvP@4>)pcZV&$OZsC8@3R1`uBi~O&8$S(Uy_?o>C6a)Nr&Vr`h?h- zNjH!kfh#S)4{^#$oePxPRBjbdrq{}jN7JvIbb$e_jIvrv5rLQjuVSA?U`ZvWrmQ92 zgyX1<3l{yr%Tgs%!`>o!x~J`Fu%Cb#!HulhOJ(V>bGHx&LMDSh#-oF)nngoEq(qc7 z*b&YX&iMa<@BfRy2OX<{fGRZAxe73IEwcfSv-)eHqgw`Lk|+ zqM*YUW}60>kuJu{E;ds2q`9;ntk2MvlF|Eb%U&wKTw(a7F#+SQmv-dJ3N^bsTq&zd zS-FaGJ~N&gnQDdoyv7u}%<1o{Q@6fbppvk(UTiw)h+pH%4wWo+l%u&N!ieHH`-~AoyneQjAo(%!Ev7Kp{4Xh?4kE z#80TdzX>shUXX~0d_%c_TPcw}@YBY1B&7r$==!tj&kXG9RjY*w^teMTaAw}xYBTGD z7VWf;RiD-1vbjF?3=8=vVDJ3zBb7ZcMpY3nXXZosWgD{bsvcxjcn}s-i26% zsG^a6Mlbl1kwx8nJgAPj;{*ehhciX^Ee`gzfUH1IIU6HU5T{~zH*hm8Q`K=E1AgR! zGP8?3vfb=5kX+kX)paGU|2gBwXk3^3>DgP~m0w|XimGaA({?}a{aa!65Q#;n-}e_@ zw|>2Su|G|(7F*MWKHd>1h^#Ing9jFd0&c^0)HOfHtB)jJPJ zhbQ1y7RizdJS9ZT<>s9x;{~QhsGzb1gN03@^~rVD8|`M`dbU}i7~ekcRv{{G^w4+x zn54gtyw)6ZwP#+Zv8c zs!x!%pW}m+3E)wf@wsdW;3GJao2hf@qmRn(zz<$ExD`%rtLx+b60g~4v7ObAWP@wv zMNbRsmfFKRlQwn^)(#K4x~URCgzH-u?C*ve!=pUPGrF%a!j1*EUa4V0~evrM8boI#|GV^y!u z$rUOGhxHn%Pg4FrPRB_6g`zgQc%3F-`(Q9R9$hTx7JX<(E_CT z|5Cbd7Xuf*8I2SUdn=q01r)r=m|LT0DK2=KtHBK$!MTjlTUtRWNr1aP*8#asJF@kd zu4W_S2$a($Qj@5obOc%fyho<5Xf41;x?nMe<x9&r)^N+b1p>tT+l% zQ0Q3O`U65_QTeJ1(UU*YXZl{yKH$qv-TeBewr7!*EIyLX0$s)MdD8u@gdVTZiJfQu zGzC_t6F9sl5Tg-SL6?_7y)bqu-w7Gu(074^cFleL&Pqvm?s^r!byRjQ0gZHG+ZoA~ zfZpwGJX{=kUnc20pJ`-B8Q)Q{Ixg)aOde*eQ z66AGQRp*;KjI_En6I=Kw>4nlf{34iR?H)CiZ zabNfT8pcnN?w4yl4H89-=%vmj$Nosj$Unce`^*<56SD63tXXmeWS7ql~bE?en*8qjYoRdGPQnjFqIwu#g+b?B30XO=Q z2vvDQtNlo7bc#sk1O=g9#-w#ph&{7^(tK{NDa9zIsuFNoM;6>l0mk$v-rgEi{z3jE z#TDLCS$-sehKR4`5EivL!kRJF<~rL{HQkxG8^C_ldllZvd442&wk}||WF?g$DVb}D zJ?Xv+4y2sa_MUdHO+!#j*~z3G*cK_?OSkgb^?$a#zaZ~#A-({B`w-kw|APcFAiEJP zavK-7FUw^e@P9UT)KWeOo;+NqzTo(xd9==b!C|F!L??d1L9Ts7Cx5|Fsa@AlUWvbl zsC&UNsdHpue!+oODk#T+Q7VYX!BHw`#!;$$B;7I87Y>9;R5nl`v2a3daABcdu{4 zWF995Pv`6e#mC7Kp>m59vp3~L+v2Iv@J$NiO>e=#4&jB&3g{=ENhj>d zD%S`HmxQmYOj^#Z#z-?#xwT4!%WctaIfIu%)Y=}Bp|JNe$WJJZ)n}l{PY###50+$M zozbO_NxoYpAj}69wX9WPjOtEl13Nm_#L6y+YHgW>L+=vFe{|Ua3BLC0olrmB=7J`| zc+9Bf&c5>XwQv5mpxN887UF%Y_lm~5v(RuoO5=$cA)5nHvZ`wOZer4W)uOX62wDRC zNX>n5Zj^eS_D{j?4s+a9$o55sE4SU3g(B&lwG|1mPK%1p|AM~ktl~k{b;Hqf zT{1DJ>WoQ<)KK-{cjJK!gXp~)ZVbcRQFdAWuB@~Lno_5R%7V@PY~Pe}@L~1f@Aj5S6~G!Yodwvx}+=jvL-?s^f4w90-dFVVFa-=J`b z&#_}{5p&{|v~2o{69^f9>?XF}BWJp3`t$1_f7$L_dn zObA>Q@^0q-0rYD*qj!G$2ztMi-5Yw-d5pXDwSygkQ@wxG5nP%2r@s4B3m)r!sa{ZM zEBk)>0r~8uRcqI@5T34ue}R#RhtnS3g}y3p`s+hSf8)9;)kgcBUR;@5Ik63g$nf3kZzd@-euJB}EEVe`BY2wrLm!B{*-HaiqZe;b}StkI3v%})TDJYi!a zMjl?*(|wK+H#CplL!7}PS#~|9aQaFVyTq39i-Ow}9A8dZ+5-A)%@!XX@ETa=YPLkK zuxR8}YX}vIME{b#{kcD~7Z;HY1T}41Jxd$r*`noTTL|*Ep&oB~N60U zLwdv3U^y;MG7Xs)h)=)nTm)}^XTvsiWNZnWT>FeSp?msTTQ_d>NARfr*Z+rwFZhq} zW&9<4q5md)=Km1B_~n^tK=|ST;jV=aiv7uKhmD%9YKF(B!Cz6F)^fsal?a1=~@6^5hfSAVs-~an`?_UFa>$`=eMOFV} zfbU;;FSj-o-TYf|m3bgI3V(Y*GjLxM6EQ2aDYF)yn#t_*HKH-G-{tdm0ibN_$o{ROOVBRoc*Xo^3HbWew|zvMs4661`6vf7?uvKSw;&XJ;}sbzud~jMNfoJxp@QrW{u{s zf+h7^?BSZ1GRDR;Nm^l44lJrUU7!e!(^u!pCMIf9meLr1eLEY{r}duj@atM6klqmn z(mU2Ir-$O);tsp!K+XUN8{lGgnXQ_Gy)h|NxlipWa!$mU?Gx!GjMur9k0m*7N@m0c z1)CpkpEqEyzdRGp|80B~FN(?W)4?BP{xsyph_0&T3xp$g!KsnHyXQ6vwKp#}abU3e~6RYNUe7d{)vp#LH48%C2skZCFzVY1lP89{Ic*X=wwTK z>5CkcM^Y?ne&@$@Qk>+cH4ybq_nSV)koz39;xn>}bSAykV+CNCl!iQu@D%qS5!V2Vcba zilFG0W6QfA+xI@)oqL|%QJpyE9o$^sczvS`0*~ZrWn4Ykcc5Mzu>RqYEdZ<$@K4x# zjPTW|=K`-r{;8i_RL=%qK?1!H!;W`Nz!jPJRNX9BkGataN$Q7dObi1T+;Ab3NIDP5 zujVL>)x)ucfdppBAD%eI!ESrwjOB-XhSFGJ;j@}3TE}VQ8l+vC+rhY8X5aHrWpV3zH0xl}&czksbSpNAl9E*X*2u z7snM$Fo>*Ff0euQ;3FpPY^JU}jSep(LOV;YbyftV_UzWaJCb8PDxY&U=>jp(-@ftY zavHR1;A(YG>mEli_8%H}6^(7j{?^0W2=%`s^k4ZMRt1jn#uRgrzzMGkJ7{kFI>>pn zn19Uwac>XZ@KbKkkrz4fto7nye($oX*%67)%+kTzyGsHlU$ga>Wtm^?-8VU@bGa6q z#(d5>!^KdNR$&TRw>0nTZBEuNCmU;|2Dqg?Q_=o=`)-^pmdg2as{GctN`V=6Nq2QA zBl18`8r$#QVd+c0VZZUrl!TqI<+>2#lUhy(%_ibp?J3_;>OL<4z)Xea`^o_l^=Cs&vmwMA*GuLX$kNdrB* z6>cDVAi~!;2E7YmMNJ7AgJKaMAY0X=1%u<@NP*<`f12_j@*b$zW3I3Albj)s9lV)O zelSN<6L$v;FYk3aZa&9g4Ps3rvmt-DMXQ5{4ZlEv+|UPKwRKS^iHqn>xsnrQ#GTzA zk7nsfT}Q)YC23)-xQHfev=Cx=L`Xqb{Qw+RQde;!zisu-a1Sr5)$Ib*^rZ4`5i*Mp zm*0c&9H+x^XdW}5u@8!(vw5s4^sCR^hrleB%xqEBGxL{BHUlKRgQ1r~6OeTSd1qz^Zb<6)Q3=&3-ad zhrppUw8qRVq)(;?ynp{4_J9cA!uY9G{M7CJg<%)j{H8iTGVboDh;+U_t(Vtczye>; zb@tm5qyf#43(b&EnjxsgWh_veT?mlf1AK1*8574NsZ}J~sKhcv;%868{X^;s)Ah9D z)_og{$>&92SMRTfNh*Q^_P6_N@?LLJPCtmR;{O`;@8dd3Or6gn3}^Sma`eP{wQoFf z@0F)}e>YVdc)?!>%K#Z469h6lY(Mx~_`*<2PSeC#^>4JvvA&4F@9t;~m3@XE;#-zf z9|LYeheuLEOS4xY=QtsHk^8J$?ndd>foQlQr{^GQBFne5aHcrd!lxRS+SVRb=Rd*o zuWvphwCRZ(Lruy^SiTE>vGx#vp4Sk)O@u)DOhieJ{P^6Ywd$`f}^3}y9X(;|yGMP;O9QyB%7C2d9t z7u_QS>kEzyouk5LyYs@^?Pm)Km>c{yed)J!za~pnIh@|j_RW4o1?`(Wmyb@gXZZD# zW6bi%`6z_%g5#yuQK6bWsKOAGJr-W?wN~LrGOuX&li`_w`Vl<GF`nW0r7PE_B~y zuzXoAZJ(c5ho3I6uX1^)9e8a(gb{jPIw}V}N9i9LI^P~2O5Hbk*-F}0Pi4|#`yI{$ z9}bly*k#&D!|Jny0p`T$e4YvBk3eI8Tn@h+%%mFnI^vAKo8HRbb9{6>xEvv0SLqV5 zIU*KTEuw%aBdo&PwrlWXzcyZQZ+$TQC|Kw zgW<0=P+$JZBL5|u7M!oZ<+BJEKk@1yhq-A8=lk&20ck|0jRS~v_mq+L|5C0c$Dt7NEAx z6OhyyAaXp$@&0>v?x>vam!!-`)6?A`Z~2qsOOW1qKT6&VG-~_iAl4>p)eOELaYV6+ z`(h}y44#e((6zV=!91-#L1;9InpweIq(lyHh($;*sbA7i*SGSOt||GHZBOUJ6Z9gh zmwIC5>Dkq{d-053|1)o{Ad+7NVn(gVvtXSE6B6|_&Bvf<5lD&J7po&xUKvX1I#$*RpMTq-!TXqPutRJBZTWQ%2%B2)sKC| zdlv=fYLQ^sjsjXeBq-sR=M@emRm?0NPaajT0agU0`0Os8B5&!(UDaS}3L2)8Y7yi( z<9)w0d+gD@!6nF9R*XAIgt8pSP6kbvr|VicWXp>I>V@se8$YN!a6=2Idc)jtUbK87 z;Gx(%nKnqT*c#?sdSc{f{_N;R+UZ7$m(yl)1G;mGb)ddPz}-SW-9e}BpzInUM#D%u zPyabCuqzR6bWB}h2Ud0Kpu(vCKEz3qq^vLx|K7O^nUrF5CGKsp(6IQcHTQljMh@I? zEdrfK;6>ggGP`;C*0!vGuV(P}Bl(ZGYTxfEtXOH<4Ql&X)w#7CA;I$C_dkT z(aWKI2Z5a6Tj>cwkhf@3j(-WX3i$Jw7B(gi`Kpu`H?|%%OOnwGT!rB#Yi&$XQW}%}k|i3a;U>=WV)0x9tyBY5lw)#?r2c?+jr(=wUV!`qB$? ze9J5hDWb}a2Aj+x?^nVFgGIF1>wnVFdxVrGaLu9=xJhM1X|@tT?0 z|9x+E{+*rKt&+}nj?`V%U43+P-C^tslT^zkO6+#_MxOA&Fn-?A5(epWT$yEi-4tSZE`Tq#VGGnTxAXHr++~X@EqkCgQS9! z6079IFa+87#wlDK0_jx68MS=m4VL8Fesa0~bnD~_k6I?harDWD*vseun*7dJw-nn2 z4L?9KGw1*@sAzTVD^fc0B7XVc^?hmA^Cn9W;ul-Qh!W`pHty5hDdzr8VD}d7v*W?+ zk`T{vM&`3N&{Q@r04!xd8k zV#|1cr1xpMq^sIW?+aVp?}EDy7m2KMmU2Sh0Y(d>Ei9Z*-i{)x92!KEUwB|4dEro294ReF59jIw2RcgAKX*D z3KX^{Z)Cm7o%TPqt9ol0lileY)FiiKdU%;|j!a1ryIA72YZ){xt_2i7yhZ$a@O)&u z8mEZw}CZTg{DsyYE}es;$L z%3o z{=#VlFuvFmKe2jIH>uA(z(uCj0-#>Nd0-eX5bA(EXQD5k9@I7I?+tIEYht(NpN?TQ ze_aE)vTWTXU8mgl%SwK&sHlEv!HBUy$ax@r${ugG?)r$$;Du~Rt*ij8%zXl_2+VtX z(VVcUPc3AR0V699r0_=tbKZc*(cqG%1igmhYPA)8fMHMWd)8@60U=~cR zOX$qgQcl!o^WO35sF)UlJI%KqyJyZFbzs9R<0_nHC!F>c%+`ZwKF^uoA~eAwnKT{B zuPg1?({5y=F6}71W}K-B2F+04x)hTW+?jEDsq1>+u08iqk3-y;L)@)HoIbZiCy)$G znwu2A%5>E`%~;ryvoKg*_cv)S>n4~q_lUgi5)5=eH>e168?21&0x)T=(8M+~{om03 z50GFeoN8c|LJj9E`7k$!U(m{uucVq7*?%igFvc?C(J2(+n)88vod=@cZ3bepuyR{6 zWxBA^s3KK2+NC};b~lIN8G9BH0?N6}PiQS)uX?fcTAouBYnC1zDuSN{IlW!t(-enU zotXHyWhm=A7}(IY>@fmjzZ%?nbzRt4urWpYu^hL)gceqEEn7xg!tMyl`bg4WiPKC` z_1_tD)29aKt`;iV%uNceE0fyRe*aB`_)t35XTkZn^JyW^5?4!((B|Jrb9IMsp@4!7 zmxj24^_PWF3)Xyp%}(V+{pOfY_Of_+*{}DThGxVcKy3c*RcQdG&8>>L{1@8XY0TkB zrOY)w`}>6%UBTVUv1|9|%jAwK7po~?&JfdVM@jVU3j={&)TGP>-@pFWu zmvO!O1w$jo^9kRID?-AB&Q@97=+w720>pf?V^6#)c|O(BuVpUnYU$l!S=l!zk_|K5 zB`)%mbDRc1rLp$-J9(V!`he-gHf;0s&jn1rfhARtOUHG+kjt|dH*Xya`}M!l z%2UC6Bie!YUPoWQUs*V>il0#bb{oUI>gS0e?;X(kO^{*#e1>aOXW9=wp>#p0#DgxO zv`KMlior|D>bv{x`aZomZxy4mgz6QCPGJ$?>ecHdt-FQv?@10?rnSfCYlVHP4`YCh zwhzt&K5rhcSjx|ao=akg@`Z)OU`X_`TFdSrpu zSO@WW*ZAWg50T~&G-HEwr+lSIj81-*&q8h#W<|TW?o7#1eifo}oW}>5LMyf$=NRD6 z#gm8I52Ai~t%Ry(|H%8^NGwv~?_lKlL&vq#%o6#kL;)@#8nE_CqvYBNH|IQMzEAEA zvMtIxE{1@Q)96hPZddqlnbuGE{7~>o-#eh8QUYFKA_B75`;Tdclo68Hv}`nBaQgm0 zM)MfrM0;PPE5d^jrwwM2R~|->*DuJsdvAFtR$Xk*SEnXaI$`xjB7MEQ@o;1pIu9y~ zMPbjov(c5l)6_IcSa%U29jU%`z3~U<^WeH_` z%X_`hHve0n^2eL(u$cUfkHTLC4f=~+lxSuzD!1A)U)&I~BPMUM5BZA)rTNW{935>R z&V&rPYo*^yRY&-C-;t76ntUA+n2C_a2M{xvjOovxI`@#{zxSZTx1G*%{T?yD$yS}) zFn#28m#cbyJ$u@DVW-ivnNsKS;5he8;0tA1d%3;vm`%^G?bP;#m+@7O`MCEZ#IVNH z2fR*p)ZKP0_=fM@!ufdY!8gX=o6{1)#diNC!~|YFZ$GcifBXyWR;U`RSg#}(iApz0 z&DZE4Q*ykimtrte1C^+pN8gbr=N^OIh&<%nRcZHxDO}7vI-@SKx^WR^Sno zp(?nJ4^iNe>n?E0td=_DYe}A7LZK?~Nc$BZ9^%)V;T4q7XVK}j&NyAlv`j^nshuXt z$NMeO?T(tFCV5n+pDYz~n1mb8kb^dDVO;$)%Tw8Lk(vrDEm`1E8YKxzE^JZK1ga9f z>B5w1+dj_$ukn?ggO+_}$2y~DM#-?oIJGEQ*>t_+Cp*QMQU76g^atG%fkvCHBR%d^A*W~Wo^2@h8gt_>lEUw zoZyfKs%C6F6B%!45wq? z-y}d~XAKUR%`oi0^4P8$WH($~Y9)CJ#=!6- ztuU~iR_43^h#r;pn1o171QgRC4qP(LV}jq9aExIraBQL}sQXA=a9t`?`X#F5B`mV` z|L37f!E@8uD|f-Xq={$j2CwyrV$ijZD1FvFt91JwWZ*|<(&Jey%m#U_`vf`)%o1A< z81T=5m=Hix9w1hEp{t|lquPN%*0--3gz?0Cknb_KGnzWA!7{$T4@)Qs30rF3J4hq( ztxh5h2k?0x-;JShxw2J0D||Ga_Z(g?my_lA>S?**u$cp)#j7a+^J;oPBfPQl{A9zw zX{{p_ZROsEnY1%s)n2)pJxcv%>)JmuEnbo*B_pZWeDG)g^xEObdbozpD`bkbn0*QqU&8bi+Dc^nIB^S#X&m$`X1S@!e9V$YN9QK<8v~?*)MVGbG=7we+(_=P$e<`m`g zq`(hP{L`X((>xo$R_vqb;+5RWkXEyTQBl|WjBQ^N*Ye@%1CQc}yZ9V|vK-mH&c?)R zB^}oocaIWKoh3lm)P>&S@kC?R!R?i6L+J4&d=}()-+puknNauSpXm$5|AgT2h8-Ep z+Dd?hxIM^)4VJy5wX^S~SLEu-9b{$0$wtbLaih@;k6~w)V#zO`U+XJ10r4VzSV*568bvd420p8L+Loj(PVU-+SouzA( zSdJbUBh$`s@~nnM_&S%}aZa8S(_Ps3RV}w!`sTf^(EME%SAnDQu@J}( zr+3KLVOj6^s+W*?T@TXU& zexIPQ`pZnGzG)jUf#W}~0T+2%6{^je^9?nm8UTt6{7&s*oWqL>KF5GLDU<&Ch!y-P z>%WZHe@1bzq(OV1sgLBL!sNGVTjp2P(XFyym=iqgBJ=O`C^vH1k*YLid?+rH&HlZ3 z)}Kv$Y}GoZP5H(8lT0YqflP1f*lAyHWkfPGsFxr@6)e{ylA%CG0w`4o!(p!&j9@u^ zQ`3ZLnSjR#ew|0esH$A!#!GClebN^0gvO$suM&h7Qu&(?t%|BaUdR}2esr>-29n! z`O44Kknsaq+M2Q@ht5OKf_F%g0REYb1w#z?xA}Akg}0RgKT-6Q+ZVzD?f77d({%6- zV9xOz&g26m1MQJ%NiryF`?v~V)+A@n0*$pe$&)R_5&~OE=XeUE-HliaZ^hEBLM6^a z9lAe=B{tLTYSTWewvm0?#t8vA@$pJH!p`NqZwC<>( zQ$-kFR$U?B?Z~n_T81|NdJzl8IMJ{p-}vgm<#DY+FdS-f`%3CE05gPdtgFtVAbJ%w zLS+4Ac<`W_HXiruF9GWXSxz#GyF)iOx`MGs*~TWEdhUt-9z$yDByIu%Df5}CSo&@# z>+z{t{3Y-}e`vZwDBaz7C1J+x14qG_N^B5CQEk*p_811j7)C;nLjZ?n^~|+}g-5iU zn^oabV_qp5no>b_HVvzYGPPNqQtjJ58oTE&Og3#HAy-1q+L zCw$(p_p%%qyq{X0j)`BrhC2zat3qBZ<9#cxtBBu^uB&2wogb5d+oYa|EB9py{I3bM zMOg}WH;TiVK9Wl_a@3wr)W&jxpw<$yI@w@#%AWFg{rMrLW1|#jmQfz;z2iGa_#1G# zUVD19dG%`Y>ChD1sK`2>pKv|i{~h#bqu-&Ka*nb0Z>rE4#cyf}@53T8aY1s;Cu&S7 zK@i4a(JI$#!ghYvw4%U$`u=Nrz2^(LH}PBCoBdMA&GAAN@#}J#^poBTx%&>|5U%f- zy9kD_MC*gpl~0H3p=w)gencmk_6vKF;u5fDuv=H|aN)jam3t4x{3S8J)1iXlmXUs^ zs>J9rIZ5U4Me2}zLC)7)=M{aXuZ-{2nfo|{F-^?ZlHj$#{U&*DiiJi7l&SIUI!!mt zurll|98`4(Bn5L?{%XKF#;#(S+huLid0`(hKpx^5roVVhEqR;9d!oHdEWzKYT2w8( zi|#a7>BW=tJ%w-Y+wjN$v)f9Yb0+gNsl61u?m13>e?gbRx@BH3IKiZu`)%|(psTT5 zUNxbW20n~0S=6`hjW3b-ZQ3Vo1jl#ml{v|mW~<7p{Xxnx|5>0w7r@CZSH9uYd7m}G zV`{a{h;mqYZZ^N*+a3kw_T)=Z#$01{F)rBJ8Qi(F-8GVu9 zZl265aYe3Re#T7*Tj^V@=p5m6@e?U$>AYn}$D?8y_j}14@O?IX)YpQ2U(oo-<9XHl zod6ESX_4Rvp0-w5{)TJ3@2Kr}Ow`%_=^zsV5 z>Q7U9RpsvwwAey(0VV2oPcWrx2@@=g_NsW^cC_wJanO`YTtmweP?V|9xpzgY^MItn zh_It7Hf`xwvKd`03&k5CeT@TJ{S{YAeq;|1! zT;IbrmZ*gpj}*M+Ye)X=+uTYH2@GFz+f?U7XC5A1#Ycf-U5z9!kW`1x3%b~r{A+jx zIa}kem+82pszu!*r^^Mp8J8KSDV^E-P*QClk>4!Z+;3^eIj%Fhbyf^@3afB zK9Y%i;>yk~^fdACxFYUE$PfmQeefp|m&%&p;D``gT`iv+n>={MgOm?UdMm_oj7AFY z9J%toe5SIMvV zoN|f9wNtYPqJ|8?eAt92clrJITrr+gDM$I7+F5}@A zPq3aJ!g;tQQ`(Y|4z#dQ9HZy`-cX6O*jA`)c_q(K6|#?Rq0={ES>@2jyMVc%;ki>oEON2s7e@`_$0=94=tv5W4@@Yuvk&z&51P0O?YwTSsr)kmX)bR2q{ z(I#scwdQx$LYoKvsO#?SRa>_#?Zu5MkVui<{kBr&#!F0xd*Tr?!x82dq~S1jJu_RH z?ub+%`sM;fW@_zV^L?Mz$s}*^(MU7xT;h==Q6#*j;6}ANxC*4|FfU|E;*U7Wo@b>v zPJ-@|#HKNlI$1Br>%srpnfAszO@Q()b|!f8Dd^qNgv6NU2Zp~z_Dt=J?@YpcF!mvN z<+JBiQ2GTSWAHUz)qIvkYQ=n|1$S$v1+a3~LX+NZY&-ottm$s6li@|oA(Ve7I^1F| zT-RB+L5MyNKCIl3ghbaA7QN3yxtuYa9s5kFrjlyjq*=LdIae)tD}iiifjCA%hOoLk zflWMf23^AS$yR$ALa=L$B>UvUFx^i)VU6E~o!ix6bi=S-Y&>v=@zEZ`fCKVY zST~Mij?dGjPG5C&YD%Rr+&XqI;~3rBcBMF`y@pIu7?;Ga$!Y0z9n?}8@ADgSv8{l8 z?3()aV|yxE$Iq@u9<)^}TqV^;MiPya+pVe#R=OfGM?S+kp_Xe38qnzKe(tB0*AS14 z^OtQd6H5U=_`y_vApc<5E0B8d_zg%n$qmtAs8h4A^-bq=AK}|L_U_BKamZa~U$6jc ze6w|*+#9k~x4AKY&hLthe=tHjDtm1UpP=X4D`{;OD zEbD&CUlA2yIJ6R1JSz%6>YLNsVmuPr$6~xmoVS^cM|14rNgH} zW4oce zCX}}*%O^`Rx_M^9cSmsu-j?(cT&}!*?`ahNX{F#(dcmjTf={msel{iFek*0G(`-g@ zn6kZ>Wf{z)r|UA$!+)?p)K$ubh7dW@wM=7(XY(V2fpQ>yxHmWH$Ip3<0h)-Syj?uI z28O@B@^=4td&Acc<0XA@?Io};>U{4<@w8rh1=rrT4W4hHs2q6c?B1Wlyt|_`pB;e< z#+!FkeZ|(#eFd-9y?*PQ-Q1-x;0a|i2yC1;jkpmC*h0C>TV(zm*mByB8Awc~rIAZ6 z0GzVwI%sZ6c`~M!X8fsqTZffd%Wrm z6SLf_hKRFDVz`687`HoJCjixNayKz{mTue;R>x1iEo z*j+)^R9Y`$S4!`%XpI82h}i5-yU}s;Pu4YjfO7t#*Zmk!YP&Gv_QGJR&fQdgL*F&j zM*&3I@v}v7W8HC*j=iLG)+?yQbzh?qctMC`G(2wL=IF=6HH?m89_UXoG#ssA-dn_S zw4!j7M(ij<3Oo+Z?Xt_bxhkSl7$noGLym81>nOG;w7)2#;Sf@i*1wzYUtbQ?oI@?n zK}*0u&bAeoGUIv||1LS{7&~YLUpH-Hq_X@{uRh1h65%Uqf<7jm)pijXi>PbUnnB90 zO%uP24M+ajX|EUi196!-oixFVvOo@OH!PAfM|25Q*^hwTDXEj2V~(7OYiEK@{}h4& zpw;Ope`utExRKFxAcg)~{^TgvkXB(3TB<$uyi*<>dL2?B+EScClfqeGeQhbJ< z22E!X%sna{D@MtU0lK8MZ3NAhN+89@rIbnf#46vS=1X(C=g1xhK=y@d_2sd4CBG8q z#9;`!xlpGSZ90fK8Cz3G2}#G}6t?N8$^Qw7q~nPw`{BLR{H1BdaJp7RPkzb4IH#Ao z3_I5z?t|(Kqy3janDhqEuMs(KL&2B}aFNJqHID(5iS%U@9(znT__yl7sSS zQfo0g9;&cea_e@9II(1N8J!ljq)I^W_vnsdhKcZL!kmvHm2`+y%FJP+;bl(Ako`VV zje^<8*x$({3cq~sC4d}?L*l%8y1f<9I;$&w^z2B$QO7po2*6Gi;U{e*c-so{N@=&Y zh94^(oTMq>bcZCZ;<29#r_B_740jPR18C_~#s#=}3Gz+!?7L;1Nj}b+l?6-Q&Qw8~ zA8Ko{_o171hnE$O-a04v3L`g9LdfTZX5)z9U$acJ#nDqHBMvFs(;r!IhY?locf_`Z zAy#B$XbW+8%@3H1b|lj5wHf-z_DrBG#HpSlwTRo(yUS`O#>~{WWh9ajP->KAjcd>y zwy>R_m_&6F*sR8Fm+m%aE^%-*scR+TnXV3ti96UJ*%FV`uHLIlNn@xYW>GdnWU%{s zpOw&N%BF_UEtySnv5XADF(-Vd4o22Z)Y6akLU&bXm|AdndUf*j(#j$}MhVB*4OHOz z;!Jrtrtx$gJ1Y44Y2v|cbYgIf`tz8oanBsrv3gb?yQQXse+X{F@OX&KoeS5<4|>ZG=>Vb>id)N+XS(M7T&BhI#Hcct{L zQIeqINEdg&U2QWkV`y7)y)1LBw6US|v?5NX%(%$7%4vpr%|-G)qc$qqn$nZkN6Wp1 zh7n#DjRA5*o0<`_49GFUq)Ss&Mh_$eVif2fyEVy@GRcB2U0S!tQVyEzFq~eS>qwj%CvFM2_K1s0&%=AEoyJL-svDna zIon1BU{_7H9{c2g127yS3%+MZLte>c)}LlMkS)@YTx_AvE@u@U6D$>6FLH|HNdz3$ z(d5Z{n2W#LUCRbCJofRECSdEzX0I5z5Beul`!4%Q;)gswbI>xb6JaXEIVSB-*@wYB z*wpKY!dn8HS;LxxK5qhDsgi2B$b;iexn}klVgk&Dm7BkxNM;}p!{R=hlG)A)TMd6R zwos)=|GixgGbnVduob93_12lFpg`@E*qz+^JaM}I(sVM~d`KlYC-d6FjYLW8uRuxE zV^GHpWpQMV#^@YaSCXidC#L*0A+g?s92>_MJ+Xu>a5zy~dQ&p5Ewlb{{ID!k(TaRp z6e}y<1TL#71x>&*>ym{&aB~TR98VSpx4EzSS{%j1Ar>{izd)f-Lby)ZxVYwv?A_-g z-5;w!bT|)YNNZ7O)nV-XTB4AjLN~KtTM^6Gu7?HSJ{qBge}+yRSEUA^9D!^o3H@60 zSUyriDyR=16h!Eh9vByD^rsqU=};UQ7id@<8ds=89UIr_m>i2l==2{O3pPziP@_Gu zxqnRs0N|MbN7x2umGpzm)iD%;{F{D^~YyudBZq0zLV7BfVHK zb6OZd?i<@|)f~hr^ADT?wK#aocq0L;QGHxN1Wexk4dOR}1N3C-Z+q(V8vVHdz6z?@ zE#a2N(GZ;5G{^pt1Fo!|=JcIx|7S*?G@Shcw%NV93=8$cMu1(z34s1sX+)*ODU~nH zVnV&&gXF^0@Jk}BzZlPHjhrW&lg{u&=veS;OjfuG{ccQlg7Mj$R=&7bkfU?A&M?C2 z)gfTq^1!gF!LX)pGTqUP==^SCcsoeA>0&i|aIzO77;fk3mj zmuT7cAmO;Kxd|SrxY_I$=bfzI$`aIPjN(~|=nhx1{3Jz=s0J3{ltIcedkBdY>BEB* z_Jr>ZwKX`821iP8p-%-xi%V?-idbu`?Z5;r8!ffN~93Z>`diON{ij=`K+rAg3Z zea4`zMAV5kYBh1&OwAu43(f4IkEU&ho!69T;QosShnEIMA#dB30EAwrf2wt=%84!v zXJ>q4E(!TdIw5&+oqY7y)ur}<(H1H^xvKd-WT=N)HNZbd@t>+ z0P*X-Q>GcWK9M|BA>+sBJ0e+;O1yOMb^DLK4ZE1KqiLvlts76X`Rh+J6APNYPrYU9 zaI=&qxAWNo_GL|Qw_)1!gx=e#w&X{O1y4_c&cpSKh4HEiF3V!?d6e=EHi=fFja35L z15MHDKyhz9nWen=aeyZ@`dvJIwvD?FbTWe*G-pk`3Dezn-YTed1CcgvE^{X z(|7CX{OmPMia}dv9J!E~Si%_Egx0R(_S_Z$qv1{S^c8empiSIvY2q?>Q<=Vuv^$WT z?5|9b*9b9ZlCv!NV|6U|F(>qx$*y3t zIu^%sM`LOT=ckB9^MTibu?_|0+UE7L8BceQD!Fq=nyF3iiIO_UxA&Fs+@_nvwB+Z9 zN3NO1?;EyeDHQ%YU&iHTRL2F}VMwDx@+a&y=C-)-kL`f{&RnwZJgdt)%hAn94$nA! zWvJ4R_>>h-K*j`T5vt>G`FZr5a@z0ar9jR7-WKaru1;j5D1rSEW5trX>G8t(&dTYK zabE&k{%7Du=Q2@vQ6FCAJVxiab)q9^diF*vdToF8t)XFm&Pe5#v*HY(;+#FTCjQT= zQjskqJN}&0@3MMhRr8V3=_KU>dN%b(U{y$3RUY=({%WH%a3v&ot#YKrAvG6-voN#G zZ!b9B^YFNHak-GUwMza+HNmR7dBX~WxR|EHoM7_-h4?mv0KgnRB=JPQqV&1-@^}}N zIZ^Rq(mF#?wP+uO*jFI1-)OY$#k!)LUt6}mFIY7lk~B67(vpz#F1EMHKwSVNQQN;W z6RaPYb)~W@lRxa_d<7)_qLG3+J69M zqZXtMOZ7Q)v+25A)g~ufy0`pF)^u-)PxcItk1(;r)7EkX=cc1p#fA1(od`>h8VlkF zYBoWjY!93s;vbEl&L2<-q62-o@F{uC9~`qj>`!=q@;cqrc?A|hbDd*+)N1begn7oa z^U@**f4wh2-_~~l)$`I%4u6kz#_mN3VU%A<#%s zdzV{52_?qYI!+-#)QhwB&+DbcK*CN!o2F~!L0*IJ>nNyC5h<~*y@4y-T8H_W%@{V8 ztr(yHTg(>~ZQI!fJI$E}JIk2{=SQap+3!1txjCkb_B1&=Tg*NP`wn*`4?Ffek!@l? zd8Dx3Y}l@NA&r2G^^k9FzCVZtm!=2^YoWS-U6bH(^LGDoB*WuY>~6m!GL_+SI19W^ z$Np#&Olw;P0)7$RV62)yoYa(MGYX!%Hh@~WHmKM$2)@0xk_xIA`8=lIGP`ML`$fQ$ z6NS(iZ#tw{>0DGsS=4XKfS+ATckuRzIU`1YOb<5v>cSQOZEQp-W{Lz8?b zR)tn<>NO4%B&=xP17^<-09i=`=7dnf``d*L+EiJY$c2=;s0xs#ZVxzY)y;S27FJ?C-$D=F~M>_4;Lh zEz&>sm!Y)k1+c#k(jcugUiHcm2s>`zU~tjI4YeU|4WNP}=?O#l%vm7Dw{9y~_(%iX zi6_GUAt63%Ph6hmwKp;!z1;_o?D82xZYdy+uad~BaQ#D`%0>3~ZB3ziKQnlMmMt=1 zD@@M5B>&5nF*ZtO3d&XmHVQ!o$}=4bYKGc*kiucL7&np1l>%aeica|8?T`3uKo^W`K)1Fc8A{!}_bgo&wIC~r?LC$@{m^s#72hN_a1S^Gf~DH5YHjY^7p=S+RK z9)7_fJ%x%WN?m(yTWVh+hY9BEtAN%UA*X}GVO9_5^!Z>)Cf6|va&6AWXZHB7l-~;P zN-*xFHg&;K*CpMO_9e#FQA!#Ft)%wg#Y|7vO+rV8Z zf0`dJokgY*i_`j8$kWq?5evXFI>>Z~g!YFB%M&^c9mOhtwr*D*Z~|q9BgUjqlw$a3 z_KO{9*w`}C;Z!5li>nLVY$x02^~{oc3>xWytg0k=6@n3|Paex0^An-AA5~LMp-&an z5avuXSEf>7B2pdbvnrTDCytNVVO^03-5=2k>`~mA4Jy9>(1}cG4DMlssyod!M8T-L z$~OFh!RmeFH|8>!^KoDy?-6#?kU!x6((-lsADgDuBa=gcQ>hwu|xqf^KhU*gk({{t~I~c9# z31lw3EM7Y7{Vz>vcQXKycYXWQ&E#62lsC|_cQipW z%3au3m*q(4*zk-OZ#2#MJ3S((H|ejm8v*wZUZ2fU;woz~mx9KF)zDB5^S&AbR zqT@fyF_xaeCk;r3I+O?g6RgOoJMO4fACg4%k@pv|_IXFgjT;&2>{A;?9;}bGpau}= zAd|bcOXyyw!>95tilvVgW4c?d#ijn`Qd-7|vEoKr#fp7`C0xtIr9P^ zn~N7g_D^W|x&vQus^R_y8z_~uo#?L%4P@(orKR1>+)k`&Ma;FmWG8Vjv4@(tX#e9 zOUOgYt^S(YU1|y!!&nHsMCan`_!Kgrx_R*chex36cMTaHm}i8P_^*JBgH9+IIfUn;kCIi65vYdbF4v65#O zWL|gye!OgqLYkndJ*Lc+E&Efj)JNWCa(k6U(CI`CD2T-fAPDM9OUeXG4fO*kDF=k= z#~Tb!>8%jvp!7jB3?;2XUIZ0JX0`TSE{H_jvyC8SIfQxi1=SqjP$<;PWZ6i>UGhX9xl^T`z!w%&9purTX1zh(CK%tf`n)EmbEF=GxqvN3e8Q8$SO+f+n?aO&V=@yl3deKy{2d2;O z>_zwJxuQ4y!h`xPVo*&>4)t5tpn7Bv5hJ;)b#s&igR82d#v!#^IEb3>PfB-B95r3u z-pm?hPP$!Fii30{)v9439xCZDbIqblOgFy?+(;NTopxb08y9O}0ZN>xKu|#!S`w6^ z8jiI4G)!i1UR!nWYiN(2CnZ!2ior!Q2~>=Tf!E4Se_PXN=EGySU{Ed3R3Bb)L-`ac&QPM<=+7l96A28qmYo`PIj!9Vf~e7otf`7JjDTsZVYp%mr2O8&c(Av1(~H& ziLLzLUfyHiT{an?;&6;^Om(au1Lj%p;aRipKLuL$QEF*}d!L4{w&RAw4PRaDg%d2v z&$ff979_b+zTsPXLoYKpJlIR?`!NMUcB5gyC@zYB=dJ$rxzdG@WB8B0Arf$gd%HR+ zU&TX7B-YL8y6)nO2^_LlAq_gu7}2mfA7D`_)!}9czXy$3b|=iCRT@o)SzbJ{hgw!q zm^`HAGp^4j9&$HuM<}IdzANC%iV{|qKoI08nhLz4TB@`@svaCJlA@ZSw0;ybXq0u; z*fdjrd`<&v`r88F4^luf3!n`wr2)-I0SowmdZYl=5I{AOVmz%&2smJ{*)1|Cd^i9s zIw(Hu|0OT>0f`s}BQm5*dDWmodjuoGcm||^3c5lqJSa_d>1Hm3MiztWM3jJvNGvPk zUOnA@{yvN@v__c1Y7wR&dVgvw6lwhkJvhzJii;#E-Ie^(rtC~Hc5W2Nc$q^G`^5>|Cm#p7^^pJA z^cYt|6G3@?F!)bcu|ds%_=2yvKAX83Frr@vi^q}v=RW{Q%fk8L2HBD&D1=DSZ4(T< zii;9VLE7+&i^R-9YgmeZ2YLGpsZDUF@-Gs09MTC)-+`sE&NOW1;DShPDt7izwwCvUl^ zOX;RJg1e8PK0*HuU*q-ID2Ynzs^5e`pt4i#&J+?APHh#w8Y>wT9T?U%G2IxkItJSSwUNU_YJwm!D_mi*AAmn$zrk&fMX`BAdW(TI=${6$ z#vL`^81(P{Eul?|N(g9Ezn&TA%?ma{t^t(%_V3A#%R|5!**%~O%YJ=)iw3X#11c9D z2juuA|0p+w6du7J>8+HXK;CGh?G-Y7C@~hL^oh;o&@P)uEWDjBJNMA=ej839A_Hgy zOwwEW{Z*EKc9N+1Y*I^=LesmH3N9birS-jIkuII&BEbv18pMUut>NFRV~pXR)e6Bd zj9+{v8EXpD_K66t3MaG+LmZ(|!=O3__EF~~9US8|HeWEdQN$um(btcHuqJ4r7HCTK z5i=2K&y%I93a+WFm=4L^2$apC2v()HK7y|T3|(Uhra#xKPC_pcCZ*_60<`Jz$@;@7 zp=dLa34bG~c!AVBc@WP5YQGOp+Qsxw3o)5J(B^GCi1Wb)OHhLp8U|D+9b80)P50j? zVU@cXR40SI6xN`+=F3hK4lX=%W=(S?Q9B#DJP>Z+iUKZ%!k}6{tn2%2Ow|DEIZ{B7 zBmxEvvs&@0a&p)AiWeiI*Xcib7ckPDmKRifpJuA4_~2r`6<)v?{RMmx7caETnIdj) ziC7aPZF*@~bkI7P+v6-jBE4vpu^DjlXd6N7eP|sKw7n|e7D--MJxT4~6TZEO)c04-VC6#Cod=< zCKAch1_^;*iW*+9wMgw^{vdv8f>|XNIfiB~J~onH7$PcntongZX8{SQNcw4k4y9Da zo4w5TsdT`0KXPLnzcY0zAjh@ew!1;7yhLP~2rH1>S$IB3W*acH!D0Bq#wY8FBRuBC z5A+#p%8=V}8aZ|85TLY8eI~!0@8X3%$LBl#wv7wD!SfK^@rK#z&EMYk%0;*Ub%@xl ztB(fbD^zZYnt+cb3W==N?; z;4{^<1$W|=`sbPn&Dx?Wd4-S=`DywovOM@YtA(R6;NjMp86kKlX6wg+Mz$ina@T#& z1xBZ)jXXaO#ISCk5!>L2vEeVer9h)Y%KY?a^emWz@ybbej*#;s3l40G*I{$r8wiF-?=*-uR%y_q25KfaP%P6_%u*j z|LYX7;F!yB*NSAuDhE1Gc1_EYD2@zhZIHh_6fw?B|RpM&-hT0V^DC--+`B5p=f z%zWeu7b495K&?1s2!uq`TM=nKP6LnF{7iNEm>M#AfH7KGSKtFF#Vi_g&Uh$ngP3&0 z$FPx5c6J;+3lfD^ygl&OMx`v4J1B}#bsPlDbLxMo-G8Z|^Pf?a@`tXC_Qwprs?KBo zC2h_$;IkBurG$LAx}{HR*O<-2xGfgpw*bU|Rh1P|zvT|tnVp|Z{5mi*JK;>T))iMD zNC4jx6|+r+X071}=|g`k5#?}-^CKPsB0OgI2!bZ-ww_UnArAWyhj1y$?cF{h$Nwk&rtW0bzQ$J zh)OGnvi~1vZyA&8%3zS5$t{sVt+Y|z;Ho+_PK&qc>(rk{@uF;&gs-Z8Xt%~cHv??S z_pAWhayo+2r_)bJ__I5~A$P-apEUFaW=9yx_2Jl2C2RQhlbJ4L4WuR#@Z@-EjA`mO zn={pGakk~&TWT>ddajL5Xz2gf(!ud30nbWTSyZqt{ukv65?S2;hl*JvIL zooa29yFa})$Wu(W`p!=MsjbRaXX$gT^*4=HhwAlq_0x^2f3gLH+!n9tIj~laAFy{K zZEk&{aEDsGgr}H)Xpe=PV>{KZ%Unmi7PTe;6g8JaUe_vAbvH*v{(4E)=qpveT;vfa zQ|&CBi9077N6*1=*FJ&<yyZzK3K<{J}ESJk8k2cT~E(#;1uUXlF%K)lZtOmB7d&j)7)O(Waq@rcjQ&y%~n z6?x<&-F_!}h>RE+JXVhCoh34mWL9`~>*W=KNunD^Cq}Mc&>wM+XQ=hVCXP;tHWn>> z2vP=L6uI#vs&gQb^3PKCt|w`A(U&u*gAmJ(o9vzG*(C6!p~r{D5yccjr$@GVzsik| z4P#&K++SbDM=G0S49AJP!L8|hXkjSa<|8^v@PmwHC9Mx9Em8|0Kx)N3W?Iy-WG<+z z_h}Fux6_s;tv8FWSAD+vuo@j*NI+gcG6ATmiX16IzeSdLF&J`s)J!As>C`7Jr=6PJ zlk-GQ&;;HkHS3f#8mAao)ov<(tU5%-%@yyQg`Nq{vCOvn2Zj({kVV3tJVds}z!UZ; zo3$CYP;9m4=$nQeLyqCwB!f1{4s5`p9O__4dJ2{(+ZnYPJbKPfB1||&@(yJr67=@= z#5g^!_rX&&C~()xFK_(8${F+A7>-i3jyJz5>1ezB!0BwjApK;-E(_cKMn0R#1kwT@ ziFZP?PivT3flmzPpVV3sOb)YbAAn-KWo0E{cJx)`F#1xoB!N2j@G$^pCeAA}54Im@ z%11HBvpw$Taax$BPt;J;r+`6;(tu_Km~IB&%ZY6+W*JZ2FCd`+t|(A!+8?pTewV0m z)y5_~zCPADxFTjrfrvYFJqcInIc7@@r0xta9jZrjxpMJmYF@78^TpmvpL?vo>9;!6ueX0V z-Kf4hRe!px@_l75@5|Y^l(v4FU)Qy=t8+9}os@wZPJG8siU5+~8S+sZ81kVqBwEA1 zP7H8*)_9HVVcMsJ+|kE<<*-`4hXA^&MIyj(Q+}QGb?i<_$Uyf=cbI+X)10c2`h>zUY=vytEWtfLO7UhlOl2-U3&o(ksp=Y$_@0}JnS zXXz7rkaRvC zJnmxl$%-Uh(3Ok$BIxF7vPW(&U-0rx#tGr$Z3xu9o$qiJ?6*EkV%L*28ma~Wde2on z-O#4E5)j1%0+KLi7c5?P4R59Qj4Q z<*gDi*LEqEz3sOC_TCtqE`T2lQ{nf7nqoQE5gTh zw;#ITey%iL!SeBp2;7M;mwXq>gCN%j-QTHelRf!^ZnH!oxG{oHqJPRyDfvhD*&gn@ zv$Up=UdZ-L>qy%j{iG|%5@)V|b#KX>4c=b)jZMgDom~oZcPz$ypWb;Po6)rH6!fC) z7`%4-RV*m2+Hl}AI^=o7N22Z2>9?;+H?g(fqPyFnyKAQJrII*S^6=4#V0>Z~e?0mt z{*1c*~4=R=Fm3O*PzX`$z zTfBODQmtpG6&EmXc@^hZ-l#$QJ{Lf1>|8qu=TG8m=$MwyEQL{ATdna1EPYxJh%#xd zEcmJBYA~4jBnjpVn!p^vJJ5f05q6~6AdvU@Bg?$4aj_<_cA$Cqys|6&ej($}M*;r# zMVz+xg!#O->wff+kcR=XxezzF&cmc-4{AcUi?=m z{ue6zg&O!eYnQ$4N@r4v1AA$v$R!Py>c(sd14TG-{h|zU8uD-xLKB*4qQgxk9B_|f z%9Z`1B6I`Xpu;y(69mN>>xKoS*!hGtysks5Puq`Pq+3UerbOeAq`!;Y*FNi?i%bxb zxZI^FK9%0k68j4Z*SXGWNfc;>HqL0W({aF^F~eyM2UtgmWwRG#4Ir2zSClz2ytXSx zZCF&qa?XkkXnr37@=Y-A;OhnG|4w5EI3UHj z!dlAqS^SR2vlE~g&(;}ci8hA{ybK8=>c+3P7Giw7RjAnC`Sp?i)in*t-gsVM4S-#w->FX$ZE!>Gy?x7#_PW(?bJ!*0G7IY(hoGFxh_~Vy1$a@vuVa~R~ z5&}p#gN}E3Lu@&XMDDaPn9l@IrM9d`Z&?YNdP)p>EJyE>{O*h3EbLzddRnX#)`DVA zC-N_36h6mN2J$H@2k?~w{sHjsR08-4RRRcM>@hkd$c{0loNy(D7|cH*n>*w+{=DT( zvj~sb)1or*Y@;VZZg^$j=-9*3hKq_IWKe`;{FrrAh@4ao4T!!UmGaSUUqB@1k9xKV z-oVbF^6t8FU<==gcXski6A{~}u`xBE>>^lO9`&JsN}z}?8m0ePozO+_sWvg2Kr4B+ zlcwWqo(&?mxE< z`nE*XQY~xwA$hirrcm#lcvn`48#ltKHRk#nF>UyI90;E z9Ob`!!?I{SO0w`(=42#h`=#Etv2t04p@5VEby3THnVa}-R?Mvd-SBJ=Ati8k64{j6L?=c;S z1uY_}SmJso9pno2E}w($|wJ1V|mp1UepWwij3W|E**)e zdgbpyUmu@>{lx71bF7&}iMvV9gFTE^U^3tC=DcseN!-SbBiGnNpHQ7t)!i>bXETXHUU^=i9>Mds z!`EuwWp-=ie*2n4*0~w?CV50uiE$YbW1bZIKg1&id%vPS|3=mh-u)>d#-Sea&cf?y z#c^?{T+x4X+Fxi|CJItgChl5b*L8(<|HAnole_o9P>uDDcq!Uf=5{|qxrfhK%^?o7 zluar9|4QCwQ^JovRKf4YXuvp6GeYq(;s)ltjTW7*ks`Bbp-6Di$52X2spleDVvLo! zmD^G7nfFlpzsaN2;VbRd_n+sz)#`76v^_xDb0F>iGnr^`lxx6>zGW&Suq_m}0@^b4 zh&DP%^oD=`8*#w1S+9>dOaOUDzpW#k`K;6jPd?0vb+1d)T=R1@^j}Uc3lSF5_LpMxV>v9f<26m@zLqb1g>T1;ef< zMot9z5K_EoeA0YguprkndH%YjKzUICRSs(eOAEO$CdkvfF%Rkj9XU8gU|Qf%dHqQ; zk~0*TNDs7p$49^b{FZ4+P_K)%gdY+V5k~yDJ0pvFli*XV5OxY-4C+E?O0w)2X@D%L zYvx2<@$3k(X&mMgI{Jc6jNJ{Gk9R~rNv7yt*{wppRh(G>cD{L_s-3ImzHb#?`jrCy zn48B+Iuj7Rs1o?U`e(F{fIX{X@vf z(Y?aa&lVU3gq~_`pA8zQH9aXZffk5a9~#J>YPo4Jl-HkjM@x)ZX677YU=lH==xHs?ukt$mFRj-3&qWIrpAWgJSovd*qZKLr=VV{S zU4S*!D&MPW?&3%5sfy3qoK^M9F~|QSIciX={JDq|Y?CldR_*-Z))5r}aeTU00_%yWrXn*RqIXfLsRS+)^=omzeb*DMAEAdKKDd;dJrxsqGmi5v zz@uxS@0{GfD|RovQu-mVM%jQ`gOg37W{E< zaEX^q-xnn*>XJh9ov0L{BiG%D;(5ohCubi_?^l_))#c`?IJr^lLGDL0ci?*+ZnB59 z4x-gTPg_JWZICdG@8gDg3%^O>7~c0n#FZX9Q|KSbgFXeo{n=hgmqUXhzP@P7-zhZ> zY0J%NxH|G7t*w%)bkJJzw6#)gez0aZO3c*()>^P?6AT5~8jwp(GK+D2MZ zniC8I+8T^hjE|_D0!>lzB@(*v>A&pUAO?~;1HV&FVJuQ2`IPVld6@>rbIB;hGhHO^ zFM8N9A5u;sEG-8K&*v!pB_u8r&DXw@AOwmr!@#wogBHaNm5>6(C_@6Q$zjxx$P2XL zA(zDAD{#fnku$dlIfO_!FqS1~2G%LOxlET^$t9==I1pB#jo$SvNrZ`Ms=Q4Q6EX!Y z0%1F5WNRBEB^{*TbznVc8Iz#Cmb$=miA3pY5v+}{!f}|;0<5OBwtyDrjg{o1#h97l z{*9=e^9zL0L!?9gs3y4_q+@^ctO zPgfk5#s1neutf`$SZ3Zx>z7}&%%dP)|-6HP%vVrih>ENj$)ZU(ro0 zta3bEqKVidrQDGwAV~K+69y#lS1$Ljvhw_{_hiS3&zrtb%JI7gXIK$lR3h&AfQ0|y z(-R;?KbrX(%#Rf@oLIvd*r3Q2S3;{uMj$hfMT_nUcNF=WTF42=`N}>{y!ir@$S_Si zOC{~y>b$JCWUfa6{H!|)rC%j|nCUbkju90);i$0CgZmgWTo5-0T4W~(=9e~68nHyC z&{GxP3}EJfkc-tp#}9CwMv#Of%XNWDgajYth?>sN^T{aj9{??q1;|Cpqny{efq0;9 zm)E*qGYBP3^8r^j&qoVf<-a9%p7zAL8hLOlYVnQw6o2!WMcAYA!`T}v944QXb;e*u zDQ_79b)ie)fTMPTi=~+%UOjDci_(px@irn>rbdW@whL#@Q}P5OR%Ul;OCiM0I$$41 zH*$YTKXO0S==HfOE)s2S#W8G~fSSbB4Om-7VvCQ0n%pUe=z7kLyMRjb5{etn~8@gJXA`~&gaEUYa?|M+a5ky`e9s1f($sfJ&>Bsp$Bc7Ma0!PJ` zaHZ8Z@0?a;!nf}&49y8&AJdz7rlvM_6HI8HxeQ+&vzYXKIDM7mECV8)TINNaie@Dn zawmo`zua3FkRQ*;pvy1%X(O3qAKtGHD+WLA#*%F|9`2?X@+AS9+jur~AHEF2rA9TN+WEkrjW!9i2KqOt7X~DTD=wlrW?k+g{nK6SEN z2#2V5I_yx3qd`u6zfT|+O=p{JXV;~mxK1`YCBsWNPx80@ymxmgk)h>V8$*d;b8C1O{n+TizshKwkMrv?cMIBpLb8U zFY+5d*^f@!A0aAtNgtY!0O@@+AKw73V_d`kA;(Ew|NCa2CqAsf z^dZPK$FCJ9uUZFy0UFhfqNkiX`t#&^zx{K#_r7SIxhOkVzb`-B^ZCp}bEiyMgk52> zhQas4gthT;#VB3>kLz z1UsyT2U68DoOBJmEg@fkT}}y8z>C3!pJ(xZ85=GlUqTF0V}w`9%O?9rsL05ajT$_h zLWaKqses^YVi!oZ1RIn>hQP6JYa2*rhZKYF($?0O!ERxLWcs5FNg4^J^3BhH7?3L3 zs{hrO0`gmhphZpul`L9tWGopqPf*J~zN#^x;t<8Y#5k-_A0vci>SabHn{brcXc44P zUn9h6?qznG3B;0=4p**v<8vww9eY~bDkLPqi^);I&_|+|P>H`1+7vOB`t0U4E617a z3yj6DxnuGWIC?m|Xhv_wUzybf)kXYg0j}N1V{{?p_@Qi6U6~=(|T ztmeMQ2{Gaw(Qt{%V6_BsQ*6woVs!jaNnd(X3v?^eO>ySSemNh*NIdnSF?I4LNT)XS z2wR8@8~h{`LvU3Nd8Kn$$#`<|Y=WAnGX_Bt!+S*ucr{k;RTktT^}2L|5X;mPrF`^S zXX0ZlfFa?Vhhl26P*O;~rsX`))ci9Ig+hRkmLPO=de};uys6n~OF81FZO%u@w=tgV zR8!I9R{F@Y*TJc;>kP}2TTLRtqqYgpgmqWu(C5c%n{0wrkvZ?M+QZpW4oZq9mz zM=?U9tIbKgWxX{Pt7r+;PPh820u{1VYj$J^d952~A{!NT9+V4;EOm*Jo`ebl_JbU? zBa?B`lq6>eW(Xv&g!xNgT7czp$eEoM z;KNKQK}oTOV4fhLXn+)@ELk7JELmUUK`v6&THnK@SW=8o6ChVP4FR`e{ex&%s>&s= zbPH?w65DP9mKXHsDnd!2NO+0mLk(T+a)l|L5-bzME~3n+K4X>O4`Rn1PKYy%2`$}> z40DAsVTvIq?gt?i7f5S^!ujAD=kn7!+StVVE*AaXiR7tjz|8?z*c=kO=p~ECQM|=q z0ZuXE&Stm|f8K8j#4E90j}L7DMzWtpv;aS~iZSMp*E$L%H>iV3aH?C&B6E2(q1jv= z{%bjA71dD1{T*RvJ$a=-SjibEsnZ0^5Jg@|7gkaPTAyfhrcCj{SG_V*7)xiTHW+>- znoJeOlGv@lSi{ij64Lfw>B;1jZ%MNDC_jj*$ExFq9Vfz6%3zj|$R-e(Bh#N^l(fhs z%#(=8@x#!EI;&0vG$NW!B7>@xh9?6)1wopmPG;bLO#m1^{6|X zg1}y;5W#LH4oEIJ+S2k05(EjUV3z7)V%W`0W9Tmze&;5kI~-|&gBRaX33?qqPG(#_l@&vB`iUH_8jinXGv9}*78_2~Ja%V`f2sP|~AY$NlizBb(4>SFPpHyW6u8J$%bjGCa zk_>yDDPcC7NGud9u|;US1+QUJn8`<^Fq34bz}|}1=@h$*^GTbDbuy!a1N$l z$(-CYG$+PLF=FGcWiKn^9$svL8~DVV##K_*%A3ViQe+Ucs34P&bp)@%Nh~IfMAPxT zjDUGHGLF4ClY)>6<$Jb59cH!@(6D%DI{l5 zytpum4&a2c;OAU|^Oiq_dfCGhEF|O+27Tr#IS4CYMzM-~qh|${_kq|M3JI(MA!S|~ z4~Pa$dax&wiZ zomRB*wZgKpOxKXBES9QkQr((pEiF0XD3O$WTjh4ymJk}leR4Ut#0cFY3m0|+mCnF9 zY@RlJG}+W2)2P=P8D3uHl1SHyqKWY zh+i5ImH5f_DN}nY=8yAtg9%yZl`KmdXXVy5g0yCxA)S?9hBFB8Vk%ct*!RpdQ_PzP z8VJ<7v)mSt%c#)r7Zg+%yT=A~toZpz^oR+*j>litj!XT1l0o(OZ==kGB%aRw~gT7Z*wHQXccuOq`y4oXupfG=1^ntPU-^-0Xknoh>o68wpWZpjd@Gvg|m%4ct_$j$~FN(pnM0aLw@U@r-ZBv2tq zCu5f672blJQ>dzlhf{P4lPur z5EqU^U-{DbLA_sm5C$H=!$$az#pXJ=`B!x@d*el$kjCQEY<|e*opxs^m)*`9-)HH% zAZ|3d%S$OM_8Vy)dyZu(Q&g|XvwA_QGiD00gjw7rA;B&Q#ZLa;sZ+kC}QUCU>($i^T;+}gqoH2j)X|lH^6_U2T!&=es?Q+{Hguwt9tQr z>ipd8A2Ty!v%zvP1=U-1ot$yb7WVo@najXzIry%&*3gZjF-7niRdI(~NVhjP*111uoG{C+!CI^6dsJ zO*$-1dMr&^EKT|>Nd^}OxLRrU?Mz?Y9>h+aT{iX<+b4=_(s5?}#9|HD!pxGb)1+3I z7z=%`IJ}Z^|5zoBE8@K(eWd0P&(Z!mw<}Zei zZODZ87^OXS{)#FS&$U=ue#;e3eL%G9Rk6HJ6*oxajeXe@H?ZQ3{R1EjnjwLS(9cDN zQJ!f?zC(v}XId;bIIT)Qn{^KvF@bHtpJqQSiaU)s7uIv-7{>!SF!$3JuW(>hf3*QA zq-C~uoyqkNIzufhHH)vyhskqA)KHH?Ev^YR$~|LG7rKY1&P$j%fmLbWnKW8qEC#Bm0WNbt{wHgM>0@BXOu8O+aC_kp+OA>lvG>IR1;D!<*1PoqUq(o{fum5vN-Uaek=ou_aS`u>qUszQ`bWJ_?X?)fe5An%kZ-jRV(l zrlA%xn%nf+b$Es{nv4x45>c7euyodSc;C@0H3EWbv>{O!tYMesVOMik5Se6?j1R2? z(QTIznYfdJzIp{xizzqgu{KoHNPT3*N9Jn=ILgWL)p(joRD5j!AvYqAC3>g_9) zbdKRiskO^VBp!#^(5ZYiLzPM^)%muDB9)f?4Z3paJ0$wgjPm8(G0f5z+=|gYJ}6j_Vn3#>3|+kZ0ENk(VB^?4=CAAzOEmM^tLvt?gX2&Qy|g!M#TUQ|S0-gVJ^ z%yVJJ$#dZbyb$1}0RIl~>VVe+ycv$4m>*KFXTeJne=k{YLl^6VF=epxZ|=^@1-sne z2A^b#1k~iP!18omiqKZ6T(fGjtXy_4%=<|H*zw+;@tx>A-l5A^#Gl5s^S4iLH<~}n zbE9#(=FB&q)a6InUVP`u>2mFSYaeTF|1&LwerV zAS624pCZ)iAo`l9UmR%Z162{{hmLeJ6dBIh+gdQH_MEy_v(XxYCS{p;SUT~mo?o`e z>5BUP8lrF|+5VXDgHgdls9X~jOMiymJ_JT8JLAGjF_D?3J5Lom7NPzCyU}Fe#Pj^N z``+vG-M`%Q1Tn<`-on#!;nR<@(K$U9@_YmIs|Q$eY6EnYtAC-y;+>$;{OC9CFTA>} zw1VG{YBAzm5YC<5>GOc&cW%nNJmc<+>z^=HnNz@{@Y_uqojDI3TuixEJ#9CHF{f2BF*A#Wc#QWjz^evpM5 zTYX93h#$rA&3d`Y3ESH^^??$OM)VN2*?VB3NtiK)`X7+?lFu^3BNHY6)qd$=6x7H{ z`!!q4%E+bDi=S}Lv_zxlIrgYdjAG!kGYkWpf9aOt!w@3=L|44xUNmgUWdPJyl|Z*j z(PlNnR;7TTPr$>^tONl({F!JW2T<)#yVvJ{O`G}+q8p2}<(Y#86g3}Sw8CYp3f%C3 z9H{Dt1SFOOG)N<1Qm6qzT4@GBS`EOPGx+rOxi}l`&y-*8t?XR*sGt^fpNw!Z>nSc+ z_hz`iXLaEETHA8tX(r?&IGlZ9OYPFhq@~{Y05moGk78iyoYb~sPv86@11cRKECGGv zwi9n|7&FxMhB^h9gG0$Lc^rA~?bxJ}-?v%hJ-mX+*&?4b*Tuc`;>pzg4;28Pd=fcu zdcS>XcX~hliz!p@3yn!F+mFh^^6U7C%J2%VUm-sTcn{2!1lQW16{+)`&!S{O@dJs7 zs=O))-zYEW+wt``hk=n|wKo~J3u8p_(n?rBE&|3Xo%Yk~SS`15+>9d0j?ad{TFvK5Y4Gw5 z!#ahM*CG6PBul`F5R^Oo=J64yM>2PqH?lj(o_%u62g${6tIs>)jBH#05pM76o8UU5 zJMQlGX%m8UE4?z8OcIW5bu*G+9Z_U^F^@MjBOc5brs!K&C+zx2g81mcI_Ubkr|ccD zU%unM5?d_M`0wG^J-69jqraG<8XCim8eItXe_C0#kblWz!pl6NL6QTPV9 zS{-E!n?iyi6vUP(j;!hT;5H2C#Pz-UtFC@dn)_I&G=r+##^>?dm_Wal0@9Rdaj57> zW`$2YKS>3n4Zb=9UB1N@&&UwoKS;g*iwG`$j}Cs+LzptE|Fs+ISjHiuM~IRAgp=uI zSjF27@uddek9y;WD4 z+2+mhGr}L%O_VWWzV;If9l!zhbZ$O60sEFwGl7m>BUe@Ae5Q}0|DLY%-Qho+z;_3M z+0Uqxgv1$dwUz2%_xNYOq27GRm4^o%I=p$5-*6*15%WLVC1-OdKyW4bR%m(hrI^4e z?)cZ^-S0c$yfanLn0C~&rR28?gXd;Jp9+f>AtNT@1-=Br%a5NchQJ0(cZc1*?m3DL zb|CgS_^wz#c7U^<@A&xS_30%M^+bJDke24|P? zy1t(pmCwAi#O^u_wqQb_0=;uOoJy(qbTMPmY-J)<+Rh6P>OCXzQ?x(Nw@2e1#3IpOvkjj-*KP7QMrX({OWfjN${ryv{yhf+fZ<`Xmu7&HB zQ%$S2`}{==`;DWk8o|`xIdZcPvUa3WmD|5mDouFf2e+LmVRUYGCwDbV_+%-sniZbe z-wK6TK`nw!(0%b(HL)prU9m-eYmgbOh*MtGH4qkItmUD@$w{`;!uS%*abGtmMbz-s zpmS5$pq_}uj%MwQu)-E?5K+*_9%g;a-jRtyq$)Y!0%9XYUSrd>aVYX}R166cXArfN zUemCO|JI;v+W)1eANm^q@ijN*4p8(7PdL;2$dI3L(l;HmcBqvEe@m3ulA`Q1|GIy; z`&!WayVrsch=3^1JEib9(;`LTHu3Q%9-bnAiH-O{E`Og!!>6U7hauRu@4$YS@%f{> zc_Fy#x-IUUKP9r?a*)ANbvuSO+*r;nvIvTGnR{e^1?ga1eOEMa8k@JrNAMfk%u(CL2?{YF z+aDrd0+oPeOO5X}qdD%uz4_lRRim~YK`iz6+XqGk-(qe!V(6n|@9!rrpLuff-~ZOT zFkZ7F^2?Es>HWy@CFpkmbX)xc$|-WIJX&@lfz_#Udp^BXFv7)ClW2fJ2`toe7&Cg0 z4Dc1i(|#F|DlyBCg{A+7u%7gW&`-f*s&wHrBcb|-!sxBh@H<>1-Z^}}*g1?(#$1AR z<>~FD2gPbvCc<;>>HSZVC0H-Uva%x2pg&$B$PVe*y0&Qwihb7R)NzNQigR4BQo(+% zqcD#z6j+BA#eo;dXfIsc=y^1AGX~Jx_$1V=QDUIpfl72f)sW35X)pGkp_>_4W8JRiPl-jJtodlKmn`YB^ z4gvT~jwjSijxL-`4sW7N4gpGlrqASvTvd3`E6dOFPGLoPl**BLm?tAWRQ}90isq$e zW0Brtd;51=N|dtw{l)R>0F{g!zsSh;O*Izn>;l$6+&k56pGNyeL>7gq*Wc*t$mD=w!s=_PPvrDqhK&5)r*eG-pC@Bes z7G(Mqj^~KPQgKs5tR1<~(LNfY*pvuG($L_9*Js#JzbapfO;GWEvl)NwnFppPm+#)jH$z-e+G-$xJM zl7QYOc!pu<3T7yYU;2cGEyiAkgocUA#cm*79ri==@{Z=P+Y?AP4N19wE38cGGHg%~J*+(jXn+bfj7>IB2uc8^4c->LV#?Uzzq^@EjIvMM&?Yu;!^_AqwfG<% zPPR64L))poRRRRt8W} zNC)tbA4URC4Y{7H(}K1ZByF5%8~C?pF<$P{Jp#pBxyt9$749}mU9HDowQucfz1lT; zbgH*%)z6o!{<1LvEfNRWAM5JxE=e5Iu@E=+LZICF3j6dF>HbO9{gPtDwA1NZhM~JU0y}LbeiU8?!)AkuU-0 zb~eh(Qc9?$2vtWpytZOcW6_B5xB=(mY81b%6mi=>*}()q3*ZFi3o1QA_gV&=wT<{f zza#a770I8)*^*S@`_N-{vBlXI+~fKoqISP1gG3WcU5Mb6Opk~nA+fdiM~oq0eUT7h z=ni$1@}+6d1GJY1(pnqLn2CZ>+G^o8G=lA_``eZbH>?}%xL4Z zaA+XzYlGN;utfnjLJ=hY&0veVe$JJcHhNk}986yrA4p0S}v z$)vqvkdxSt%=M*U%_~7393L~3J~x^&Wh=gK(B{aP^VX!rpF9+*NkBHI8nK~7!SA`Q z0!v7rcazK~%t6VB8ZS+5$&i;7@~EQg#)m0L*p-p}B1Xv!TUQWs>4g1% zv_$6#B`4C$y+SP`gCm8hEF>#qJ=cc??M(98*xzq}Ug~Q7Ry2BTs=u`^ov$Ce+ue4x zJ?iPagk|bUX!i=%GfJMMTYL<)1b*l1(3zd`=5UO|{K2*alO1sh>s%R5#N?mor*fLJ z3FZk-_U6PU*H z^ilALA`upZqRbA2wHCO0t_tZiNErtArRph%QR9ocqkk0#wp4OUdPlgSznTJZH4~Ve z;6ge>?~wWq7bwb3#HNjI867N*1ZCG4-cIJh|Ky1jRNma)PUgekkctk5#9ozyFNZ+1 zrG`<5fNjGRXAFSSL4d>+4Xky{FcULmB(l{43Xotyy5q503M&l#{`xe!9sk2pEL%ea zu6dhI#+ton0wlJkB$9iFcE{k-0C64enWOSsvQ8*H)Dte4bG8^R5UwpeTwDJsTWxSL zOAAy1c-V04Sd-~mII#7^|FJEVmS^szhUFHC76yGZQLd4%LG5HteFgj zeMl`i0Rg*?h`}iu*fvl}6cEG{(#!_|YX?v33K6vd!DNoc{0|p~Hlu~EiA-A9Ox6Gr z23v?3visyr2lW}>jT-;b%{@CnwYGWF+G=8VWbK)2BSwa$f1-^(8nV)b3&XL^T@M?m z;PDk;CpX-|(j~}h{(-{Qbku}~euZxUctM~IIJRi1bjU!O-yKPE=HzkNW`om zc@v}{^UJH%puPx?NLw*O$!>)mYLZU^B$fRGugS3VRJRyaYn3?TZ+_Xd<(dCZdw5JfWpLQDzoP_$+q+XqvcV z6p)f!PzoppUWt422eb_gw0i4BpEeR&&HspiTNUV+A3HTbIt*krDK;gXeo^&TB1SDV zz8N!yDFWs;a?D zmtjw@qzwAr}8P*OJPX(bs1qRTe!$MJj;3Evl$btd`;Nkv@ zh{Qrx$T2khfnt#lfvC{E{fs3V82L6Q5Q>iNGR#E@LW%|zt+o9^$s6fEQ8H&RT@t_U zNO<8JrP+423kbwV+%RHMv2Ozf(7-DTx|v1rMH|r9W(E|ub*l$q`nhc^EedNxd2peY z?q4^;|MtJzV*Ze}*U@x}n4R!@baM24lyM~tMiN<5-LYTepPr75Nscs`NxmFW$Wk5H z3y4QPiEPHNwpqQCQ$j@CGH8{mzfeC9qZ&FGvKqcO#9D<|4&*jO`HHNV>LR} zA6OSN{Ri#|;a)!;RjZ(+H7OG(<{;$6Irdn~s8o70F{}{Eufk)_;GyMv-}HE9b}KZK zfRl-+zr4{?)9$V1(6W?RIV%>5%HXP07>r1)R?%9_u3D%O3*^&g-C}@hW`yU9>5lD= z5sX!!90b3ekEu8}Q7-t5R`$O+p@KaI>BPH$v=cn3b!hE6U2H2f%TMOYB|>ED$6)n{ z)Zi*~1$Fo`1{hVGpi0DkwNS$W|9TzHyl0+g9%jy*=b1AzXJ)}eXfO{cp~F1nJt!t7TiEu)y{6Om ztJ}2s|BYbE`GPDv8DxCt2{K}HVKQ=I{yW77knuR6dH(a-L+_H)*4*tsNB+ndkNJ<1 zPMH75hRKKzGUgR@j+m5|kO1i)#z(T7yvP&LNAQn!;ev(;sa1iZC{iJ%e`~n^wx=HM zJeTA@k;YvnI8j<9keVyA*B!A1aKO1P}D-R&Z>6f5{ z?jIqb#J6&4Yy*-UB7TgtpQ*j*U}7YiBeEgNBSJ>KC=hTZVpeV{!jU@qyZ*)p`aW;M z-n*9~7RWl+zqd^G?v3oLnaCiSo-FxKbLQJ7h}Ig$3hhwGCUfXf_k&vYt>k5hrhxSA zdWS_$5Y+xd@G#p|<7-nlIUCiWQ~&`qsLDq@{+(|!_<5*2j~0qT14FLj1W8>K%owVr zlLh4;<}JHys7cRdB8Tej_B8*9Unj;e{=LH!{kS1al%dXEhz0#SS%M}^X#Ssw#mnD` zUnPJIBgI&Z6lpP1w8n@QllbN5z{)?-Z=L?03)Hzc5Kn@Jb^aRK_)(aPs1erh#6e-n zez(o4{MIXgbRj}-fzu;ctYp)v1+u@nD~pWgfX^DC?E{euxX`4`g4 z7s;>W#A#UH>{cpDVG6cz_klb3f17IH|J4$MLJS5<{}XBb){`9)kf%)o)bQs3sNs@) zG^pVY2{M4>+p~^(p}&wM`{Uz}3+E4l+8O6W;{FSZDpSxIX=?;XN=iKrjLN}NFv60< z2x|=pD+uZM7iwgg+lmW3U6_3s^f!p_cWTEi{vY=JQM=5Sz_^{H8v{!TXmeW{5?gr^ zTYD1QI1<}R65A0H+n-5REN~fZTw-TBoM?-QQpIL5UucPS+&|Hc?Qt5n4G%#>L}fKp z8~UoPn@w~2gg%xUB$5~&q#dZIsHl-~cyoww+~FAM6&+5Nb>#fm|&?>IXoO}#amqH`P{A(`o}RDt;ZFWi$(`>b`+H5n zlwVuT88maa@^w5mihD1AT-~1D;WNbcAVg{B;u3#a+sL5kg1&m#nb7OI+U2{7{b032 zQYqRk3~%HI33Bh6-l7Do+eI{a(2hR8WAqld;~#0r^ady#3ZochL_qP7m=eQLm=c3T zrnG!=NITZgpLRzKY56R9|4gYs-N{WJ{`xg|_Qm7J-sG-&{lX2eF7l}mQS?6qgU2ct z8k8yy1vz22GZUXDe%2g#zs0^9^QO1ywe^zKjE$wM2AD74*L3%&EUSt@J;hZ+K&1u= zp<3ffd>XSbFY3aShO6VoA{tCNZ0vw_a06x^nu<%DqTX@0<7S6Zhp{NNAMSLpyPiHm z*p|iIO2fLX&Ad6jIV`-!kJA6=iNhNE`gbc9!XfjjF(`ZTvLyrGuvmeqR)U{GnLm`B zDaYLep{@8k&#TrWv4r{;Qq=;(kH;&jv>7aYPbw`oJW3K>T`Q_{g$rNQv;{QBKkh!6 zUSp@Hm(3y``t(|Fi59V4>2?zu(!#B(xxSZeNb{s;F;Ql?O67m)lkk%m6)Hjrd|5Us zLfC$&>+>!@c1Zo++zAL^m^F6-Ht(FCHpZH?>x@=yLl^k=d0 zdQu0(lyLsGn0P%JYc)ha&0RI-W129nanN5n@j&hU@oO`B3!0;U_nCgqu3e5yI|M`i z1*EC|*_;}OjSDqo)iK}XKE?H@G?N`Hh^19whX;`$HdI)cv2}X!hqD^VQ@bAb*mo_y zT=1zNI@KIq+?f1zv&}4;<_X_?Vn@Qe%$8LvLe7pW z+EF}dICoeNUwgA(F6)`!-fLVQ`a0h9YOc;Qvi>lSjTljR?0J{ftJP)uvfG9-vk07@ zx^T~-so~yV$+eL3@W%qP^2}mf=-K^L7U@Ofnr9SDSY9ra4eD~2PX^f2QXaL{5g<4U zb_CnTbQAn=4szc&YOF(2w*(*m6BRzu8eMGYX+JMg0A9Pd(7r-!)9(%mKWWxK2}W{h zNoGLs|kT z6Tq{C9%Tgm+PYhe(q`EC*{CudG}w+i+#UK$C{23qyLZ<=(U1lQJ@892LNHg~g0UY4 zd390u@02&DZhU+(l|iU{t(~s@8cULL`v&>jc0Z>%=@#!FleN zwh9&N;q9kk@&%Ab9KbOiUt{lkg?{&pt@9@+l29wdIil0qZ?3O=i=JT+zrnJUk|BFi za8xsQcIbAs10Fyn{mbFjXoLR*{G!}q*TB1F@1JwAw#!^>!&<11Eo5B102hT(; zv+(=SLns9#B*k=jLB_Y9KXnxDSkWe3b29yI{e(5kE@`ZShc&4tn}#Sx%(QMLQncIM z)PO?EL?XG1(WG)I?c%5256W(&3lUUQS}{HSQ>LD+cn{LWFjE%K326y;RDoQ6CX2V^ zcqJ|MmP%FX4TA1R=uIhml3sM&74Vd<+jJ(@Ebp4W9yUeEduhuLNBXgcvxl>Vb2tlV zqjW2-aF_90+<_tbN;UKho%Ptc%f#6!GqMg{ui4ku7RSeY=({SeHs9M%>${oJRP2}% zE-sUR9>U#tvlKOi+mz3hB;3m+Zco*0W6r9l);RP2N;g#c3O3pY(eH=t%jhQYq=V6< zf0V%2*#Ev&qjvvWBh@O zbqPLg$c~k^Ce8(5lo31DZvCYo%S%gG-Avk-oL2V8I4_B31mja(3ik8C|2m5f0LFUb zFprFb|3`_yDz*Bqso;tF{VKOr>yd#s$lSnN@;li9b2MBq`feJo1j!_U+ti9ow{CP9 z1dcM6;RVb|ZMAqz|IX5scTH9Yf*^70@_1IJ&5##5aY{a29Od?m2x-j^3n;VubA%Oq zsML$8C=w)%#VhT#)wwdb9*-`5 z!_=$tOo}m(72DeM{!Ao^pGCV5KDcn$%@n_i3IHPocawVrlsh4_-SfTVUqi$qp2=3x zPVfxlE{2F%tJ6&+uDN%kiQF_H#Z5dRJQM_Lfy=-hRtH~mg z;u^wzl$t%-SlD%2r6uPhT%KZQ#bJdE(GVO~pkWzm?m_P1b=7b#vR?Ymt!)*{oD$JM z)|#{uIl%9`3NE<~H*7ToQn1(P%j2_A5jS{)x>uScQtaKUw1@$tt@jSm!z;bW?vPGN zchgH0NXjO)$X#rz{A<)IIy*%z0%R#!(aXFktAbuL&sqm|1q4|KoK12>(zc8-R-E8l`O-`OfBonTaj z{nGBg8pB88KF6wOpC&<|PZVv{fK@Eod&q!+9{>z;q}%lgt=uLsq8pV<6^&J`{6X;1 z*!G5*nv+9y*GqviBMG)zx-#GE7@ncXHry#EK+W#;aMEGPJ`sAzmBGS#v-N+2f?o}% z7X8~UQg8BU@ENt3kEy$l{j7%;zHNP5{@mt!K{ z<@JertEUCt^Y?k{UvEA{j7*w--VRkxW4ir|T;%CE;T+QpSFeOBRv_W`OoO-9 zQ3{RJ_n#G%;oRctMIC>RnCI%Xg#HftGJXdOUykMj2_NvjK9|w?^mDX!|C&o!Qmz`r{vuz}8>VIMQMaxm%)yF`B69lCQUS@8ab@R z_J&7)n%8L+N0%XXGh4;2=+ph8m)AZt&57X&bWe)-KIvjSbrueSIkuyh!Di!UO>_<^ z=i<+_&_ixkZIex8jlN^|R_W&lXgU-p3}g5<>1leF>-^J$?Z-o&_s*X6KhD_HP^Gr2|t?qRBw{vPvnDqNNT}oz!?Hue4xE zXxvNs4JrN@dqm z9v7{BTLbF6_3i!vW#}fnu(^p>qxEH;uI;-P{nfV#qs0ENDzsbmSI02W4+&t8PvLr( zw#0C(`9SNdV6~;j=H+7#N`8%pd2 z4&@dPv=00PMl?q<$f%O2XHkt&>XBNpF8y0eMXyHG{3L6Qoil}CbEdM&)%~x$LK5j3_#Qi^ot2daNAdc>~23Z%- z79M>rYU-bxbvu&2Qx~!Vks9n@FZ_I>w^$tO9^qw8y~cX?#$ZDI!iM8k;w1Svw3hw6 zLk?+*C<lB+ z8d=YNsLJ#p5xE|%AVpiK^fKW9VzSZC|2fB`U8X$Edjp%zcDU6$U?XSt1k%_xRr$ZL zUcW?pCnwS`;h^oBb|+`rtC_r@jUy7P#*(kiiaZlrADZqB4*Q2TS5@znia0QrdNr{h zs(2mJl;QJ-7ii1rvaaz)O0Kkc;0@azR9(}K7{54N6VNtw5XbFAf{CKh}kZ8YBn3@LjQOaJJ`^H;MdcT zFAL1QiU|~lk7!88>}x=%$U3_PUAsqa5Exp~{Q2&qAvLf1)+{r)INOQik+3b-+C62{ zH3d!jFIlx_2&}xa8|wVt+>)eaEnUk@!rpn02n>RSJ{sQ`Y*e;(6VP0b)s5vd6fq9D z1r2#&7ywjsw)N92@e$$6`&pEv4?^$OxUB4-IQrCBKdYbHRcS^XKE@yBs+3a5a~3VU zY3UJE7srv~4}&lvE#ln9?X&%1t}kMASqZaLnxE{FUC~zDX5vl@nUU86BcMQV8@Kc* z70ECNX5w3N3D@VJ9)oO>^EwXiRI4yRZH51 zza32A=Kg9f7_p`GJK2aDsPb2JnP@IZwKRUf;PF;Y)aV7L41_=P8<@(4bHs?fEQ)4g z+Wf|?F_4;|mm}3+o933`i(nt&cG1y<81-j#qmkKs$#7{YGkOUx_g9jT>CrGZlFj>G zc&-wL432g;@a5$uhdNTo0zMIpbfjPfki2w+F=f3Mhj>*zj}r3$8wipta`L~N^8ENk z*cK7B^MAl^q4`32qIVVSUj=n~?!`=fC9HdY1(JA(?8lw*tWolLs4C6wT($Zu;|gaE z>lDrhZf$ARTEg^OX`WF||Cn@l-l2&#L(ph9L9bS?Q=nFdb7VKclj?&;3%k(*VMgy2 zZYYl)`yH4DbHM?2=EFzBhR_`K(ridp`ZxMA}d7d{N%G<4E+X}$^N%Vki| zMEc#^qlObTe2{?fk4g`M6$S=HFm>;B2&VEIrX-O32h=yAzsjLm90_{m6-)+BmX+0| z)@g1HN@l^TNE6dEUhzuQ<99GO6BEvEy>eY*28l|3F;8?oYV@Vp%dTg%)`A3NtDG@k%su~SQ*^%kkH52`{BYzq zQ6oPXANWZpCP)IAgk~JPuuE^q9$DJa14~xgIK58mWGbm&8R<@H>``EeJw{(TuF`;q zlKTHhnm!>I$DMi(Ez{7Hc5xCnfc9U5-!^vg)#9cGt6Eux6%~^o9*P$wN^;Ehii#&I zfQ74;WJWE$*etsiRwH{ZI+0#ubHZ+7aT?R8y(o~J*v9G|?&qdC7cwaB9AUatnL1a| zdzU5L0@|n)0)9@RH!xFk=2*L&|DNOce0j=e*x@m1Ng8tA>fV%W5mD`a&(SU}wVj17 zLRs|JL%Xx{w?9rJ_l~g_cksLC$&>znFFZJFtsyLbYd78YclxBX?<;!fEBdnQjFDth zR2d&z9zEFcmMmu#x#PI_c{9Z?DZ4E-_kSoEnN9REwe?}-FQ=?z6Pyd({)#RRO?LZHzYwPEdHU^*a!-@e4pKo9_62q zgdk0yycCmYNQg;>JDG5Mg4h3p4eJy!XK198NZKZDdTUrECCTQ?1}z}1ae5%6yI|2; z-m{Vty;&;%2xu$*kDr&6S-z}dpM^cjC*R%2dZ_ev@E;OC4Jz3)ivEk1D)ls_?U!1A z^JF_s>64}0-@Nim=>9zG1jABDw3T<-2I+%V)he0ZL%gQBKPN8x3utni*}OJ%da#`J z8`_uxc<8K&RI|1NOR}Rh5FiF?2Mm;gIHEcm2PRV zpQFmWK&>|T{%=-aV!YPCzQtz}R;eA@SA2F7RbS#)J+uz*YiXoO|JLzs9-f<~k;*`c zq~#onhTEiRkV8ULsTAoZ7cc>AZCU4FTjwC&{08zF&(NE{Qc)T5G9v+4G5Vq+3g*S} zqcAH-M5F#Bnk&}T+*>xcrdzY?L#5n~-G{fw5VPevZ_F~ZjQZc`-=asi5RV}`(Eo*k z-7m81)ur4(Q>_y4c3_&F5sS+l)QrU!g#&&TWC9*gEs?x1UQx+$%@N|o)JG@bv0>f~ zv$%^d(T$##%Qjd+jV&aBFO^C8{x$IWm7Cs>?0!p@&sQ)Y392KZxh$O=$)sdt95O;T zX-_zSVlhN?-7z8O8(t`JkE8AoaeqDG^M)P#-p)&FXye?#$~xkY&^@-G_pY~#Z-avV zQe~rGKqV=4@P<&XH8D2$JmkMt$Ypw_!{oLRimda-x>`-_W`|!CQ4-0dhb<4o3lJsU zw3}9qcEk6&&rGW)?+WTC_ZP+?`6jo&I;ZvT-zLd{_50e;ZVM*TSw;#UBpK;{DS6}J z?o~4=lLe1<3IDM0%=wqf(~i5x(T&^q-m~O$g=O^P9g-E%@Ia5tqDXrt@Mg)r1>Hg2 zBOsg!EGbGl@DjiX@d75DKAlrno$^^78@=8xxj%bnp*g?vJ%Pv5PChHqI_ldCQSjpX zM6_t?XrtG5NV?~p{?}uP@d92u=&MkG_v#57kmD6~P$TZgwrK9hc6M>MNI-+-(8+Q3 zM}yT7NpeVl%5aPNumWrfOK0B>f)CO`6EK4m&?1X9JpFI}i9)&i!B<=VF%4K26t4h_ zEt&SXU|G_IIq6q!8LsTU9rEfD`pqhaIBb~UmU$98f2CApwq=?GImBIcKmjNwCdcJv zG&x=3-zb$a(febwfAK>~>yt`>!^FwqYVp7XL8UNXOE-DVt1NA@TmPm?M|{xQpP!Gz zquIS%t8ai?R!I|D4qwSucH#YTMF(@m>-Gjr6{;zfnS@sq;W9+dRn!ik3eVf$QQym+1`{JJe<;LP$4?{i4UG46@g-6_ zZmrp^h`r`xN_seh|g`?iO^M=iPONN zTkYzZ`;47PqoaoGR_u7`NFij8{|fEmM92&5FfVBa4s{Y9wtQInBk^)jA#kEBUBH~^ zW{dq~aMw$0lOjxMTlJ;r(VMZTAv=hOadJQ@Jt^c!Z4C2H`A369ySNQJaN}FD2~w06 zkOm^>4lT$*vbnqV6?f!4F_Ks$B6WMEG@?ED!Ota~kFi*v9%o*3s>nf=plxYwP-boA z`PBA!8uIRB9~T9>JKcA>MY_Ga@fXP_^VYGsaAolx7-H)ZRf$y1^YOiITY6Z6_;R9l zmIX0Mk}V{1B*>^TVOEFvRkB~}M0jNNQE*$A+dUQqV_=m6goiM0WO~&`op+#abJgI7 zS-!PqC8NL+gq;v2VMbJgJ|~!;dH@BJT!~tpAdv|q!kO@OEuB*sdEK($4+;<_D<31% zP2o~9i~K&fVfCm3S^HsB`nMRJa@{+W+?D(~nm?XpdA}c;Vw8@`{la>kP>HaOppB3j zhwsEtx&UFh#Y^D*OUljaE^#Nq$4itTqr0J>RgZoG(&ahGL0K?*bKee!_i$K&8B4cS zl3eGJp-6ub6-eHA8v}~f!yTj3A*a9wib+XiZ}^hTkRT%}7^kd$U@$W3&ISn+@42O^ zJyvnh2TQ>MY7vX+OPOD}h=Et2m(WMXM}{rNHQ4qE&-jB`_4W*7$z%9zbd@@(MYve) z4MpSp3lMf>n<_dThYP#%T)3^Jr73hcQ&4>-QQm*e4fK9?K1}cj#+T>0?Zqj}uLF^Y z^RD)@!~Baw&vV?|%Jzb~Lt30GE=TflqSsuied8mpa4&8?AhQ=AAX}vX@E$$R*yl%T ztfscuM3Lr-q`dz1a+X{qLid6*2zcvXFNd=ieERxI$tAR8)PLON!A>S#`{B=7`Qnah z_XEO_FJ@UMF>br%A>o|}9h0pqNRWICS}6DwmzNI~X!j^RYGZ#d5U9rBFh(_$0wao> zNbveDo{m;!iP{^!Sr;SBm^R7MfsIy8>vbXmOkAnB8}{$h@|NYswW#Q99m2oMb2Ut? z4(?=9quFVIJZQ=(xN*x}V}a=33jopcJFt=LtFP!Vb|Rn_RQ_6AI*+0dS?pLu>84RQ zyxNv)lm)DATsIy)ocW?BFCW9FlrcbNpD>tNrw;onv9L)o+UHlNu3w(sucBJ1D}{~Q zz&}JnJ&KZ)(AO`AFc+M8t4?JHjLOPxT*qbru*Sq0X$-z~mf8gU9KK%i}bNzsLL!9PmE`Mm`crw7;mVKETueRFKY~q8hF1 zbqSZ0zXpq1va}u~vS!eesYdHD$>WfU`)pE3_SV;^e-ndC1`xQL^wIH_nh-h`6qpt# zMn+j?3E~mCo1o};Cr#sgK>-x9eL>MWo~!Fir!F%_)dusff>LtG#@U5)B}7KmW^v;Y z^_iyA@$%l~b0{eAI{!Qkp3e@rUlBu;$Hp9s5&jzYiDIlLWgO2vEe>QEz*6n!A zRQ7;bg>UjDhe;a(H{1*(X47jx%(Biv%+8sS zhCO_4gy7rYUuXDC)W2@n?DNFlr57cgDjf`al0=5PT0Ph?Dfk3T

tutbPhA1Ol!F zf|Z0LDi-cnxN&7d$W8CRjEbgVoK*MKT%-tbt#V;hBR`bsL)!Ag9+ugeijSIOk4KUM zkHssK#FGq@Sipjq#`Icax4{^uA^`Mb_6;N8yIr13h8JMIQN^Lqyude^iB{qMVt!5l?Yv+tiyczO;cffzO!EvjDL3r0*1f)fhuh5!%4AO^qXQ(U}`%w_^L+hyr~^97q&paEflfUt)KX8wGzN zpt)k(&L(yKc=Uk5aKu~t)_)>H#zrsFjlpz?X4bn*;EtAV*cUb$xsf|k z9XOz%XXNC*teVYk^szedcKe*{dC2O)?@PcA?vuMN0dXID0T(kWCqpN}E&a_Q=uuQm zwYVAawk9ZYSjwYN15kr}jxRQt3b_w)3l5*LO|6lCxE-M#aH3v2!jgU-t8iIPLnV&j z8wFMau{b2J{5(}`-)bu?DUyj9>rgZn7=0WCb4XFVfFPXFeQID5+j5N((_l>F_v=t1 zN63xkA4x;)5}^WbT+o}9=sdAHT4q^d2#~Q0MtqgbvJ$}PyGQEhQE*o=6f?^*yS{uA zSlHD{>wABMU(P?S4LS_Y1o7AY6qLz5ZYL-2!>fDM5nbDX?%6Hy6x|n7;AJ40UR8RG z?+n^>doe_R9QJdPr6O#1Z)4@qhN=(3SxG7biaNWdkIjNU2Q1SM?j755^2)w94T$*e;#jF55TUw#2b6~j(#>hZc6Q)F!X zw^rn0N+scI6yK#E&;cKkr|Q6e;{hXB%gbX z?sj7l{D#=&-;JR3=2H0yqi<11#t1yq+!A2WelSXX#Q%LfN8#{tDJJUsS|N^n6VTzq z8W1PlJPi6*_yFT~E3`$kCNkb>1O4S7v_aD$f@)u{&`v9}=FtSkGBSYa3MfU+w7(Vt zRNj383kn`lW?iEG$3;?15l4+y)f%B3sj)HNMl<5nj->)CA0-d9*GYv5MJ4|+yU6s` zQ^fG5Jy5|_Hg_p7@ZZh#5{d_-ySBPmDIX+TOsNArhN3VrR!@~+=)D>@Ys@##%r$(r zxf`C+sF^O(G3YDy{1Oc6u2JyQvz&w@!fHNxR>Drx}YU?Bc)c*da-ZV{&pZty=S z((cKT`(XeY!jT2IAITjUfWcr*+wCxnW>i!!=HwJXSb*(dCPtz+0UV9osbJDJUV8_n*(7NWLlXu}vc^bTU!e0=6SC%_)5 zxbjE|x;log5m}MF?z@@jHA4FtDN=RQB5J6#Wm^;0S-Yw!-bwvbu^KEyy{sU<7UL7s z|ArY2cn&9qhw=l*j22wrRuS%L49J=`2&V`YEEO+`%2v!2O~?($uvWmB&Z(cLGiAvp zv~Hl9cpXw4R%_#B6LN_;R(J!1l4nRaO!Mrh<;`xy7>rr5Py+9hSPeRpekm$@V<}=^ zSP{x%x9hoJWs9KwcZcN=(9cN+*NFGWd`Qe{<<_%N2zqE!%`?N-Ke#dWLB9BBa4RIZ zL{hohOnfJEWfgmMRe@J3V?#0r*kL?N7!!Dt9hksa^cWL(nV{P5R!iJ5xzuDT!%yDp z)GahE)Cx3uxaz8ApQzK+{3SJE)Tl+_PJ}+w3rgV3UeyedeLCv9RE!R)4~r7Gg;Z<65Fxe38}^m*TxH{R zD}lO(m8-)$nTS44X8rW_Cx**qkKtA~?qJF|fGH#8HG9MRwNV)~6~?jvfg^yya3!R6 z>Gse0^yv+Cyg*&|YI4pQzOvk64q$=`YKk2je}{{fSrd8z3zh2wuvoK#c=X)c&9`XF zTk}lc7#ZFVXI;9DG1H;IOy5_);pO|GhP%($tvA5fP;Is`Ww3JKBJ7<_gj8{b$FS)| zehNyR_&3oWi6UoJ6w2b~rZ@N23AI-0d&=>d;Umn|d8tmfLt8?gr^}-HLR-53iJtD$ z*;C6y#P93gc19a)K1aifCS`NQZq)dyIRbsi>}Gs#Gv7d&=DKA{3m)B?Sesc`l1;{?KY|GfJDZ?z3G9Xc>|$ih9N%(^+bB z?<)cDxd|e(uN5CDi~rE4hh*m#kjP4aRiHKa9W1>oCZ=~X#~C)li{*zF?3_a3Slz?Non=>6{ccr?OJ6|9@M1I zPn1-mbqf<7{$YjKYtmlyg}#gvLuJpxWKr2naKNlFEEmIOuLU8)VT<#F@5uLO=X**m z=6k7<3^JxzI_ad~7i<7tW5qyhb^x0mtN*Is~43HgC9$SS#mjpG^5p3?kn^xZ`C5KB95O zdU~z${b`b*@SmW7a5yD9fU;~DNW-4AzV%%Vp5T(Q?kt`si+Z*e1WL=j1WKEg9w<|B zCyEFZR^SdOY>NVToh9~D_Kh=kS8N?gmO8lYlOh$Sol?Zo;&RYpc;ACEWV=W-^p~Hrk@g#|*HHCBnSjhu?mfG^br{!8`C(wjVj651JnlP95Gqaqwk{_Df`baTy zXrAG%+)KR8h0SNx&zau7O~DIzH{3-xNts9n7M28Mk`xhV&B52g_i2Uirno2Aq~xU_ zixx`d-Ba{pn+<^-*h>%q>2q3=lW^vB@bzCnXMQk=l`!hw$&-x8ulOkd>7SSL_{DCy zA2^cTUN!#UeL-*L2W-w{DKq3fTwtKAr$EJw7S_z(0=HxTEzHc_GrG(vlUH`gXyvO$ za4*djzlc0!?NkDK&0;*<+q^Z$5&fKOXBS7Jr0b1R2YL=tltK7 zupY(}Pe8|7HH4GQ?V9Nl3^EOuMlz9t=@jse4KLV`ZfxueevwmBcD}2+) z$pb6Gw8S~;4)oT|rch(25yNfzo&M@Lg(SEn9OnUK*y(!@Tqi?^#fAG8WF{9Rx&=ap ze|#1cs@q7=%SNLF#NEVms(KM01e@9Kia8M$Uz3j!A1{}UUgc2=y)}V>ycP; z{!=R6;U8{%!0gR`D*hYB00_2*c%66UKM((rAweDiTUi*KP&k9;#3{$_@2(ay|H^*f z^-O>~;@aZEj*Egq@xgB6b>?3VJzevMK|eNFQW?XmX$!xBs`(|&mgXFT4FKo~V#{MTIAzS&w%yY>88?CHwRm&TS71bF`&9VExC zt_Aff!}zdS@GujpJVxOCXF96-gLc=Rf${B*^&62~RGS5~NfBR8bLGfNX*Qzvs(yDx zQ$xHs& zG`;tGP(42U5jJ)Aqlk0WU7?O7cxwa6?}1+f9SF<|i%`mwz)uy zut8ms)m$A7Q(gUZ|M%beUTWx>BQuLEf`BsT1{v>!vZT9$0jD%RRSx!({>XPLDh|G^ zHL_`wjM|=L2nF6DsK82I>aw{8B?Cmrg!?LFPyJRZDQs`BLE37JwNi^)r=jjT8zdOd^4A(7)=fV= zWj6_IZXOx4w)HmG80#N~u%Wg?IKzQYJb$B-PVQ}f!_bWiBk1&9o|1&oxkJU5nxk`q zR8)mWRXdSFg-4Kubc*Dchg^A@lAXe1#23Soh-fnyy#Ja| zPiYbYwssiAZYCHXJ^N_0Od(TJ<(({4SnDF2M3EI9?%_%K7k>U=^r0#kSiLqwjO50C zeW}lQHK9w*Cx{ns_t3JdZg@}pINAzl zP4=Fj!yo=LtlB!4TF#7P|LuRK-eJ6Jylc2?dY|`G9_-%LLdBt6t6W{ETvKxvyZ-}! zLCtli9ZSb>1`kTFlN0H2b~(mLGN->1r)8=AmV6rU^O=vtF-$!r<9r}^l9+YL1krBJ zr>_YhjtPR^jNTaPPV(f_rjDBN;^s{ZyMFjjsqqVLsC*Z)C|)>N(a z`pJi_TCAiZ^By?EyWjr|yI;0y37RIkj)WVrXy5&kbD}bR`SU3GUn%u)xlYsA_hvfj z&2&v-6W=@OxQ0E!>4ItQ+d8$N5}0^uXnKXkbYrC&OmnnT#lfDnMl{WwPFs+K^C}({ zG4_+#$akG8?k`BQOG9DL7rFZ z9k;(GrtI7@(cD!w_6?7z2&9WCZIV{&UJl`KuS!nq*Xo6EIMq?sP7z!a8ojhnDV~N0 zOHGTEd>a;naipRY4}nW}njJ^hQ?@meBa(N=!dYEo`nJnYA?2s>q#WS%;O(4$)5h0Y zimX}F;~}-IS&(IP5o9?iUr-$4f6{v1`0FD7w^wfC*)iM2xvWg0<;f{_c2t!eFAVH# zwE;U^$#C=QmM^wwe;!7V|J>oem|bokWDO2$ZN=-+eE3V|XA!)o>HK)mJM7}_#kqAQ zdVc2DX_e{9y?YnoW&-=tjTdd@CbBv5uggt-W@C60h9BxTFr&uR$3m<|b<=t}!A+sV zDKSOO1MvaAA5*3NN}6N20sG%yv2U#``)JC&d^$n1_SQ1b41w(td;v*i`q)F?6(2H_ zviw=@scrbmnSA0Ij&tOuR^aZHVxAvQhOeAytPwseJit*l2#Lz)RqVKvnfHZ9_YUhr zerRlbzODn?*Ago8cn!!00S)%LcQl35mak+le_^INyrrd1{WbDQwywz144y)ey z_*`w&^0WEG4b|AvhF3LJ%9*=NZ3hl~6YZX>fr+HkQ;TK(jSdo6;cCA$! ztyQSI!8Bj>je*;S3zgaom$2^TY@K+PPzNBQA>HP zM2PgzBmOkq?WuAV{w9}dun$TxbWAbSS#~O@up^!VJiw#~*Y!?S3g41+Fr3i$LL;go=V8I&ithte!6{-1J5hR7 zht%`9aI13WFMnWi6nqK>x7lwIOZ;vrMOVjLEfp`u;9(;=-eOl_@v#UvqK)5NpmmZS zedmCl_EC`^cM4D)PdU_G!?lpCFw+(3EwmR327F=H)m-H4yudP!q0Y6`q*ZL+X^S$+ zLUJ^v3s$y^M>AK@IZcvVI!p!j=(o+GUbC9KsBYvJ);9UJQJFw&NaOAjT=(tGg(Q+c zEU>QIICf`l5{BBHbz}JVAq;KlmuQ{%E9y>(#TnLnhhgU{au+SEr~L{#CQg0TJsTpu znYO{X#Nx{m>cEVxcFGVlYBMzJMtfutNI?3`Ec;=6$||u~{1r*_1Yxt%5LJzDLBX=k?}wY!if26kbfqNiT&)P&dot_5$! zs)BH@n!O_F165>a$lKK@+g9aTm5E&1;0^+egpUBwxxVFjc4T87ZD5zo|{lpmNRaRrQp zWBJugORf5>WBzoSMm)Tn=o|i@PA*VsIo02(@?9`O_=mjd{XU}eH2-$(nP2*HNrs?u zu10+&;q7?vd&xNzL$A1{*$TaGxvOb>rq_)zCrErH@azm*<8xN(FRa3?KDUcY407YE zL&Cj}Qx1dcS&ZMm>Gu3qYG~&u?Wad4hY}oykusS$xuGAEO-@=7$&2*j-JhS7{40So z8!R>^dM1Q>ANqucZAER;+Wt!1SoBaXCHt8K-!@6}MEg~L2Yzg!G&dO}X7dY9ulU*e z&(JzGSEpXFNn2}Ex*ep7R5LA`XTZA_vqMAWc+f7j$QDh3PC6!0+b8KPKDhwD3o_p^ z>Wr;+bC`>(w5@v=SVWGpWJEG zfHV5Zrf0;8eN39~&zjyQ4T~kKZovn_{#Rw6sV6?aiPRi$9%FZ*17i)m&$zjTHfdnN zW&(Kg2QazV;GGf=vs9F+Lx0)b(nv7=nhjQG-rafjV~y%=hu*tL%B)Eu2$BY^Cjf(+ zib_=4K`cAa^-*xw7a=Vv&+c8ksh2V&?N4}J2*aObr$*gws(7ARcQCDY-5qug$i8; zn~ptneCm1Tm0|q%c)1I4E5gViv1^|L2R7>)}(WO;c6e3^t((ozb{0Zn1x>Pb?S_j=KGZKu*LWme?@Ew#@&p9)r@FW&qP#>I9qr|Q->#DZzRMUfm>q0QXo%e3Di6hOlCH^9} zH*B7dw_dLd^|2iMS|57Xqun0uS>2i_R@Zu@dYpf#;C_su_2sbzV)4(4sg|jX0<{Ea z3q7c&-L3d;!OI>YQV>e>j0UifihKyVxaHU+i@W?EozT;UvI36dPiY(9vwy8UY!^)= z5V^KxBR(y`@}if$b5N!^-`h`lJ|4V#@uj)-AbY?vSVf&)uszO~t)B5qh=Q<%l3`!)m``6*lKhpc))ib|CXwmD77B$*I7o#9k7+K<^le9 zfor~jtnKMFq4-Avg)bCSZF6kqrDQqz`t##sQWgd!nX{&aYSIYrA2kaMp{Lz*Z8eSFn_K@213fpH7(#ox=W1#K z3tHif&M8~y9Wn8qfR#XIxT8D^gEjMC>AQS3TIQ%$I5k-~wNlv8ac6Q;Uiv5{xpnWB z5&c8vBpLO)|MFdPb)Co22J}$msjQq}yZT2)Y|eJ?-#iC!g!kpY5$B*Yf#V}5Ik+gE zHuqCP02AP%w;SP}++g;68mvN0OS{)6(|Z+ZeJ&l6c3*1Zv^VCs!%J91A8e{aH`Z%( zv$Iqy&z4F{>N{tKEDGuZN*bO-s~r691K4)lbsNm>Gl#P8FoXuDZ{_H`swV%D$MD49 z{Z6;vzW5oWe>X>}%X^%p-9q9oky>tR&>atCfCEuPTt;XSm4mFJfPE52RPJniTaOwD zf2X!;;|TR#t?zAmW4SaFDfD1_`07vqxyVn(ChkDw>5g6V1=*j1t5r>@J`lDU)|m6= zPrDmxUDd>-?ZT30e?&Zf#o5v(U=FH5X?1`;8@L1N#p0LlUZPEw@J;lA^`fU;n583Wx+Dzb06Vvr({92lJY|!vbT{#? z;;me#buJa{X_8S-lO1TiHjR$32<5#4eI$)wTKktT;)76f1a%}IsYAkBFP4<;+c0)f z6IDG*^K1$vLDTRQB z0tqtSSTZwHa0fOhxO3=jy9aM-(Zv-jL^-B(88Y{X_1N>JuD}*wX7>1j6G9bZIG!D=$KNtbd7O8xmB>wgX1@4 zkc4|Zu&%yUwbAgwzg4=aY9b*1tJQ=@#zx5B(z)NHyO^N&c9xoGd=KvC*^Aw1Uk<+d zJ>{Xiw9f<2uS=8fKGZzPaJ<6P!+ksD)^o<3>$3lF!Rz^MW~e7}#_|7g_8nkNY}>*r z3W5S6C{m*!AfWVKRho1uQk6(my7W#|P&%kcH$-aay_XZ_R3ZW-{4(&+M}HT3c|1If+wL^ATxUIWofN{|O99sdS)HPkj zwskqdyyy+~p3C*)JX?#%#^j@Kz>9huQ7?7W-7_pGs?#@p-O5EUzNhE4h-knBAQ+VPbg0KPXfDx zKj6~8sJFUavBs{JoW$ql(6n4l8vXwx~x6-fUAA-Fi#9l+GYr-oIB(W#bT- z$dIzCnDrmneF-8{8m_`;HxyI$C$A7#=NoM!S|*hDfJhEdYT*5!wKR>)kr6F@H@YLJ z^kPBgaoxwCYsQU-zTEN2j#q{NOIa>i+u?WAzI^kQ4Ni0EELs};{A!tPszhK zMEO$lBZ2fYuFw3^mgk6KQ#DOGU>S0DjiAoGw2p-Az00@Gt%g^ewZCYe=u7eTySINNe5}6aWJR{R+m^s7_V@|prOoM*_7Es85mz;Q*q)Io%Oi|+ z%HD`l1$vtaA750P1D2;rCZO?sfdqoL<@f_J<}Tclaw|0XiWx*2Ycx2DV-YKdXR-X3 zBm}@#8ov@S0xsme-Ej%c@2n8r)4_rVD}lkuHwJJv%ZK``@CJHu?8vh!QCaNB?~Ou5 z4T!J+At2iC>%XutONU*VjJzqyu=T@ogk1UWuHQq!8r})DG^x=hR&t-R_=MLuwM}i> zbkduKec{hOWlv}TyMMSqS&q;(Bh^48oBE~-0$v61^jcNTQsy&1ebH%}Y~-eY63fx6 z83&zoM6Rk@=&;9@$S})hZJiFAyk8~aN=5LggP)EaRm5l=8@qg3IZqO&cGTKe*gYUD z&V73AD9|pdvxHkaYHZQqD~{6EI`3JA5i<8ts&;;m>20m-Q6j;xEZwb?LMM?*}3p1R0l5n&v3Ci@HIPV`R2z2-!H0Ht@fYr+>7tfufA-~4wJnrdwvnKK>3>z z^4K3uv`@r)C4mh0-nZ;U61Ypqe0A0+i%#%lRJ$j>y7IPdTbAFdi6-y${{)1w;1_*w zpq0E}-32&Wq(35rWUC;=X`2)PqBlBzme96spsb|Vv2E!41>k_}^2XuG*EaTiAL{ANL8%`NE6x6!W zym)BpbXp|lXYfPjArK+X@P0E}7qYgx?cukDZ!0IoCO{TfSz!F`jmIkpye#?Q-{E}9 z*0mA$5Dxwqf#YS#;h0cRL#Ft-aL?E3`wCohS$5y}V|e2`Y1LM)W$s_m!FsUJ~z~foUfFB z6}PDc7EfIG3CgO-rXgBrs}f`m=UC!cqFoYQ3g9=Mm{6&MCwvMG{)4t!1#dXM$);QE znPaE4g3_7ozt&5*hkJb}_2sYrh4agXFNhCTn>Tzd#s{>R=9_+&HS}vyxd5e>HC|mZ~)7&V9qp zsQCPryB!jc79qrPD#?QgXm#) z<|bu|YL7Z>M}xE3ygKOlD=)WC)R{)an{gubS*^JFV+AmmzMF9li9hCy6?k$v;;|by z^l7|bjTS8lLIr!n3by#mV~+rFlsE5MaXZa-ja|J_8Y8y1qH`JOBU0j*gCP6OvX}+f zxgF#ix@ z^{*nps%Ez9HHaV?8tQKIjGj3uJ}Im~cymH5F?o76DiQoB71e3l-8$V<}Kkv#Q zCN@J%p;7rE;GZIjLc^mj;E$mds`%49gU_Fs-(jB`6wJwfKm-aFg(R5?z%rE7_^Z9X zBMzFY7Tnt`EZ2gZBxS>=Fs9SJluPdZcPfDdsI2h-ru3F{7yZ>G&3&c!5|GPTZ?IQf zuJ`9{y^g$?c*N3OEN8_;=Cb|8e(2s*rksP!C7OEy4N1Jk0_}5tdrP;ee3Ir`+aG;Q zgB_6DuCA~7wkBfQOnj$V&}}BWMdt%?Uq11>k3Z-_&4nxN{eIK9p~Qf^XzXx@RHxFW z`WBnos|qLfEX&DYK5Hg?q8U57%|AS0o94K$(XStz|nU~q{;KOtyMV0B2~PW-ZOgFFukt-E^)x9(-4>JHYyuxu7JR(b!+*Z3o3+(^Rtj6)9I zydq}p)-&_2a`^P*P3nM{`FF zVAvC@PLOSfFAw?V%A$R|3Vh-nQ@<%eU!E@K|G?FrY||J)L=}b~TQ?p~VJ|hNznA7D2d*G|QK1CyRUO4mqG=G`!1>O3D z@BEfaTS#kCXM!%wQ9PGKSVz;TH;vm0z(Ro2O`<#02ybsGdJ1j(K0V%kXd#)DGId{J6b5M(%K{{-E z!$_Ylv?n~*^=D^~frTiqF!)48)B{s7z@$oX@yYnOkKHzw0F(HMGT0Ja;rw}3eV+ph zdYkG&V|$a3ECX~-wNyfqG`?J-7MyUVc|Uw1w=}JkGC}c1?#gSJB~@mmFj0xnOOTF zT!l4cs;t3&vD$uT(bFO6{|viHp6h>tZBuQ*jK2@p1)%hkh8oV`Lfe|>j@5?fsSM+k zvM1QW8rL&OpALINeF~~81kY@aft)qNa4TbYw<~ol{wSNX*4!Q1!U}LwLr?BbPr)`p zL~@$c!97vQi#>XXY|6G3?$lwD10&k!n*j~=ugriQdy8YL6o2O$0Zq(H*5!JBpkfqI z$3*jH=ysKeP@8?(PZ5{oIh0&n^~ud96<*i7uBPKVJuE~jf6Y)*}T z47v?!XnoCjZ|@QVpAj|>Kxd{*_*5DQjEk^+ZXB5HSy`J$pG>vElAf;5ulK3nbA5NX zmU%-$?9@f)Did(&*5k&CF{$oaQ zAF(K4d2x_v(Bh*$x2sEU>ET>^?FZoj;-3wZeFWytnexofcFb0pit!1nF_{-JAg z-mlqZNnxtZdHYwmazufs<$A$?dHb2a+6;^=lhfD z5NPfCOFaVhsUtz6aHFmAHu$+3^s^njF)epC7P7RsT7VT}A>pY1=(ycVB@VRUj9-DC zMk^Htxh#QVj|0|mH&uV{t^OX&*0z4DH{)@V_SOA{h~iai)|F>4r_Yq&2$%djG&Aa! z=kN4gsqAW2|EL*o8AePhLw?hj{ytjh*L0HfXZsh@`Yfj)(WIF7j|Xdv*gz z7;=Go!`C#9H2R>M(BylZdOz-Q#tT(T&9VL+8v;AB)+Eo?=C76gANw#tKB_ zZ{%OXlhvY2r%zt5lYHoSlB>3((eXr_f#?cJxm(zZMx(v`j9InLJ7eQYgI${G(a}e- zlC85&)$dv2KWBDb5pTS!{QAp%zGs8`+9SrC&5A|TjF&kYIT~pjMH>U|P9#X&d37tu zBQ({1R7Y5iDpNU|n5!w>m;6PbGKN0yYP8bmhhNaAZ}PmsKZkb+ymqBA$t4e!83(4T z)0~{1;x}%nk(p3jz8wA0?e1&x#+2HH{+V~{4q~pNj5Q1tGvqmtQ+8-FB4)%j$qUv1G*f}Z7c7z`NYlZjXdDX;dw+CReB8yM`t+y)X?;9z3P$7 zc-I@P+d|Xp6&V`eB(AAN1vrU+4vnYjrq%^w`XSsi!?LF%Bm$3K?J6)eo$`33QkeS1 zojnigKb`z^=@WWM`fWz*`={qGw68yC0cW%j*r#gby}t2s1`%&Wx&aU7YCeJ|ZxiE3 zb>Y!VE0Al)V~=xXW0&&eie!}xgI+@-izym$jHXOa%dBR*?#bI)*1vyRrq_Gz0l?M~ zSj08J^>=~?aQ$7aVf<)WPD)IcpZ|#O`CEJY_aC0xI-MnHhKdD_cjlf^+^g7M1e_G} z>ne~p%(!`Xa-nW-Ko1@~7d`&))NaJj54gw7C<&R($SVSCHOcgmmaC6~rfyG>S67Ak zfoICi{l|^hVj@Y%OtLKM=zmmu2HhydtcJ-2PjttK2gdiPB@ozX@yq30s>C$I63_FA z^z~m*hu#@geL)p^XL8!9b;_xgLHOmfdrcAro|1ouW-^6@G7S&|>o=E_~X((uCLQYVD=T;!chP9zDAc_+~x+dXQkl%$5#{g7#ABZQ(8E_ zyUP3<@zXx1-~x@*bEbZ z0!p8{qSHcGO=a~jjS)XU4fmR1!E3-9Ap-Vvl1C!M5oy}>#9fQ{68x9cU)Qym56J(K=K^n1@zlFjekeQF1L7Y6Z8I$z|MOc z0IP?$b3UJjPPrUdod0>ap1By6(pQV1)wX~!xX+Ip7$xq$OfWxli6--<#(&jrKVDPoWSoMzZ~`g4ncV{g zJ-(A(ksDI&hck=;s+s45WQ#qZg?o%~YM7W?EmDC?-#BH9KgM(9^gN7E&D?%N=wAy6 zn+RCW5eEK2CaH%YR#Dlnt&9NvM!+*+j98yN3%~z@+w=3DGGfV;k!t}6B8D&eTN*0WjnpOlPwy^WiUb;V+y=1zNUi}Mk! zjSOJ{qPIyLA5zR3_zt3((dR~;a=2QrSXsw!;w_K~Qi_k!^U?ER^GWkh_Lh|R-AFIK zm74@SW2rZ&H?D0kY2AS5N_9EceqD|Hv*`53qN{(Rx< zNyny?A#_^wrlOkw(dYPKuM!DOy|q7--PORPF z|BhPbTxK8Y@3F8f3ieOrjmZWmu(pbmQ{T?HP zVV9^a#_G{r-pb#VeUgsJ9+sa$fc>y`fZE1i z^Isvf0-9u=vRRT2`gkY9@gwg?&5vdyOR}6#5xOkPC|Cxj(t{g!?9e(ln{Uvx-Ez~4 z<2Fnhx5QxOfd)a&4;oa*9-_A(xSB>;EUpiNncJ=JC!Zju_Z z6Vh-~&}_?jiYPxMtGB%hiq@vX zGuJ`IoXj5{94<|3Os8ykJvCI3_T{|wY|It$oh;o}0<3c7Tkp}Y4&;aEIU@E3h*9*M zw2@ro6bnAEQUOQG(fFi@x(spid2OO9b$`0}f_mcn*?ir^r<4Cckfs;AQM3+ALzMLq znzDx?GT7(a1t)&n%E+^EQ0Pp#sYgB19I~EYi+f(SgNVYWyq)p`oyiH`{pNpl_PCg1 z*zd_xs4il-EhW8%_d(9t$5erA-|Pqr<#J7q5zCRd&Ol7Y+a#V`1daU=Ag0>a;2xKq`svvd4)$mVmr#dS^0`Fa-#THDs~zrcZ4F??0CLFF9Mja5!u78_IuabS!4c{h8epCRm-&qhQ> zl7ga@x*5LKlk3K%ySvq=?dinAs6MannSnw#u3o-7+^LsmcThEy9b?bzAyHmpjdg{y zOc`aj#Xv*tl!XhnbuFf{e^=;ywFlH13Kf7>5;*JSM0Sk&Qm00~9lgH0jb)hWQG8$_ z!eU@=6}4Hsj3uXyVr$C((SAkVd1BtWpf5kZ{Yvx8yI;DmTIBw~UIO@;p%1QbJ&_((4;xsf*RsaWkWiFb z4#4EI3r9_7kEE}DB|IB*wGMaEAMD0Fz#5<5A2ix%?>cLrs&oYV;Ck+{}+Cqz2lX%^7?KHBUB+qEZf{92dMaWhRpSOJuMXUZ=`lry*8E52r7? z>U@UU1eR?*ksP^dJr>}eLp4}HvNL@0n4f<4)M`X9Gy-jiFN3m&wpvc3tmZmEq@2z^{_k=X(%{T(ODTzAH z`M&%~Zd|5Z*>ZgYf9{g;C*Z7eQdBY=;-7DRCIv_lhnLcLbRFxq@+{TyedJZ2Z=&V1 zPh4|1N!Phzj-Lo@Y(n!)ok~6n-*VEZkl;{#piwc{aVaGF%1w@I_cd;3-3a-tSGsv? z_|dZht0(&@>L*uJ`w;92&;T|X64kf(my8cn#0;J~75Q0h{sb7|c$cCPiE$0#uClML z@I=eWXEi!K0`~W3vK9Gb%Pv{}HdE&Q;;D1@u+rupLqb`4xekCo*D6s-O4*Qmz}F9A zCKt49t0c>{^a;4cn%o0PGf6Co8PBe0)R1w{u`h~_f^J^e;;tn>A#DmjyKLGfp>o#g z(0nIA?ZT^TUlkb2zd$<)5_{gU>rXQuG2q?h28Qf;_EgMGXeC(fw%1^7&rfL`Awy0*W$+4Ze@+xEWR@a)WKS+E< z-UlKxD6z?%*f`$U(nkl z>gDVPppJ_G)C%HUL|{+^qW;_<%4>LO^oRK>IO=TkY3n_~Fou)v@OPaHmsqB3)dyt@ zpW~%^R$fP8qT?wvblQpG%2okGu4RqZi<3WJ?AO&yXS@C6fc8rCzhvGV2C(%GfQhVe zM=L{d5CorbZv2E%tKZ=^`T*20+iv%|t*&_2Wa;P_$;ZcuE$FIDckb^-Z$=K2=r$cIDOLXr#ak|WVwCxvP3Ug@$)(?tGf z`o>wv?E?@Jk1-re!IG>@kbK`qHBR-(MyY$9;r)D1v1t{KLY6_YQKASQaOauauZ=dQ z{WjjY?g%hqBZA{3;-Xg?rtQ7#)NC-<($v-lb}b!$mog(1=OM9$zu15eXISGsr{Iop zGpikOKh^MV?QwoLba{Uvsn7ZMZ;zD3VKzrq>6ioK^3 zXR*8>=qz7y!D=~J=wkP8EkMl#s8c^u0vl|P`0x$IW=#Y8Ylr7Ff1raZvJrK!3+1rB zR*cN5BAj)T47e`vQul8vaf|0mYyMMqRyGbVe*<$ccGguH$LqIccDA>(Rv0w~0yp^jZcX*0 z2b2-}hY-J&4U9gs41Gz963pN4dla6T)j^>_W){x(azXAl=(L>!hw8whIPso=XHikS zD}9=HuaJAq{mGn~hrK7|KAj6sjZ6BQAWH_>qH?zrz>h;<7 zkNRFz#|nLjM9Q`UEkmt(RFN^{7wEUtHSLLKDG8l=IoWpn*>)ki?%$T>l{GZJk-Z?m z5KqX~1fn_0=6ARc^mSXk2H%niN4!%Zb$6A%oRw6@7?I}2(XUo>Ud#KE6h53`aV4Id zO2QUxCY{A?;^w?721`GjqKc_^QJ$&N?wVzBht6DJ87$^_>x~*|;BHbM`3^$#Z9XZr zJ4B{ww@>Le(jtY;G>V4g!Kc{H^nUFG8Y8agr>d6PZ?o0N9>pl9WR%FzFfrI0oQ(Az ze+zA$QMH<`w`jBJkxhcr<%nqH9A_Qw&i6dYPGb8`_NvLmQntw?O7`hd5!py{$q_}4 zyglpGWm!#5J^f8r5mPv_5Z`x4izBD+^l+^Kg0MZ~9zNF3+9`Z)pNQ47PyC)ADqtUV zlX^$XEH(V*6Q4}Jfn-j#vNvSZI|)tloC=S)jmf0T?9{W$H8P5NdEY?a7il~;;J9mS znXkiXY{~lW)sv-qkxR&2=bP82KdLlemmQHJe2OZ0vV07<@o3opp6AlOw+G9KdZ%TYu1 zDuhS=4GVuyhenq>7uWSrYs04ldIa$2fLoF(6yEAA%M(b5eZf9d(piLql3j|nHnbFY zl&h9$Iq|mnK8YB{+OSz*U*sXHWApiLY>N77H*PJPK3zK@j_tdb;nTkpw_>u9)<5c) zgURh6Au|*Al7o_HXxxr2Y-QDwv>fYT68oG5-O=0S-l><+jsNbID1Qc3DVxjwlW@ub zK^;5XRXA;ktvS=*{dsK}q}k*%((z}HifCzhU{OLsKAVMce$TX>J^cK$G~b)cz^+GQ zn>73qRdj}e*O3L|bNPZLpDhapi@IOX$5yK}3+P)Lta6bHn(1{18y3fDzp?1KSpU6M z)v)fn1gq`1YBq3s{hw?Htv**<*^q;o-JMdc+K^(?Zp9t;w$J}-vYP&L1 z-RgbiVQ zPYk<1^74N6DvPoY&!FNtW@mrHqz4E^77ra($#dC}<|w~O%k9)cCzszMF*ciR1y;_t zGbmKn?@#d4hE8ydBw%;dVo%{A>>iWC6FVaH__=gG7j$$|Gso~@PtO9iO0YzNxbM#0 zo42_HnUq)eK3GtIp8XdQNsY-0DnE62QI5|JY9ZRXhx#===RQH1*EKs)-zD=r&hIDB z+2dEoA8NLV+$KmiODKU)_q@mrV^9MNJUBmUu2>aB=16Xje4>a3b2eY&ydpS2*c6Rz2wY5Mx3=;04I7_z0gLHC-Fq@*2dg2pYc;R-G4Bt|%IqTL3 zx;Z8WL(04pOe2eHz){)qFK;8~*V2!~fj0579Rb<$v9>=eB$lKT*HDa%{<>tbEH+?k z&YQ#HzBwL}^V^r!=dqpKix%b^NzZQ;leD-yDcJeh-PUgzZPx=>tikvl;E{z?sEk3R z-&rbTv^jwELv8}%A|TEuDBGW*0SIs~s`)Vf=KwBL}!)eQ_wj_7wo^%r=4TBCt>bTO3uNb~BM!(3HcK+^T8KGid&o z8k!M#gE5{Iw#4+2NN~ZWPVODh8$eMsexMU?le|n~wS0$={MotXPL^Ct8Zr53jj)WcjNA;xL(Gj}|Fwz1unZi7L#&aPl9ySk-Yh0KTqD2E zs=oiVI+xOsh;EIEmzg$aq}upkmEi9t`)-Y?yY-Uj9@FWxhs$7ijP5z$dKS?Iu)Ihn|Be-g_`o6y5cG0io$ zHjXs*G?oU?l0?Kb2YIE5xfe2y)og!bFl)n~Fugd!pGD@J;{B9fVDX5w92{g$>jl06 zxtxQa^Kc%)BPFXh@tS9&Wh;e+UWtiQP|cLZ_L)vnd?bkAqfBANTJ#r zBP?3O^s8ZRPVY+CCDLaZV|Hs(8kfvCI`ydRXC{fOTvJok(?puBOIlJ2;nIsXLgNmm2ABYwXSbgKxp1t7neb{JX0 z8|j9`l7^0Q{?+QGCS#ZcR8v0z?-b9M!cuovlIjmHpYYs$^M%~vis56jXV)4{qZ0*> zauWrZ3MTK|)KB0$qO?T_)hJ}D>WJD(q8dhj%i!kRN89mJy{Bl%lpzT3D7m$SZ%0~7 zo-X0-l_2L3`A%HqQ+v;sV{tS_<9%Z^lOrdmsZA&w`i?X)w<>zMv6Is#K-+@qCJFBboyUU7z_N>^m$2MSPTxy=7|#$ekGy~bLsgh^xLh*#GI-m{8ilS=?C^+Z&4ArISi9zV3r^ zb{wK*K67#RPL2lNl+{LQ5gir3{wZU2eYid5!|A%a>-;&0@miV-dZgh2+Km{C4kW7_Q_V&qC zNuAA~E(gSc`ee`16BAu_m=+qJbP%Cje$TZP`*(X)fA zW*=@>TW-ub%b%5=f}zWWi|FoiGlh0uiQ)lx;UiILse%+-l{RS2?G|eietrV{?$8eO zy3QUHPTwk*UGEni5}NpSY*~vV1E0;a((+liDA!c9x#nbav`4~0^;My{y#P{sX?uOR zrL(@?(qvhpX{2%Sr~g{r?&y5kQ;)!4)wc4nu4DKz6gn|C(W9hrshnw3TOIKYV zo-zB$oJG93VE2>xFfnmYM*gQxS4d>%@X0-Q8{`OpC)W(A>y5mN5A8OrHf%P`fGO@d z-*M?4!W22bpx_@<xC*h??W@;%ql>l`l23Sw@aPA)yKkaT5!S(gusa}6Wyl6@zUq;593!goJ`h2 zo=bb4^@%!d%GfioC5g?V&bS*QA|f#3ja0`=qdhPOd+!?j&ptGN4yG8n>NwJ%Ioco& z_g(2eaI<@|6P&$ld;f<{ZKxIr^~e}1>BbJSP9mPPK@lM(>zu_>%EN>MJ&Uf}-{@bm zIo`Jxa9|f|2eGS}l`#7mEezfEnjD0QbeF?s@npwGLt7~?RBcCG_1_}10zW;P(dxT@ z3LZtu&WhBl-mF}S0{LQSC&tFi6_d3!z8aXUXJ_A$??ayAW)WLQV-3J`T$|+mkzS`S z*tkMq_B?#L5CL4NCORPVUcHR+HT4eBxO^>+KK~N4^RN`|)WTrw;KR|SUmuQmd|uaI zc=yNQVgj}6&5iEyax0O!4w{-K*=Bu6ah!*_>NDya=vU(rxVGf0D$ySD3MJ&xdiV(U z>Hx!7>&~0VtJ%H?#$OB`*wN&W1^U|d4_lZ@D_8zg-%9hA4rhePg zjwh&$p2SuUm`oAQEnwr}GVPmt4Pw_Yt%lDK67Xkl?o~JI4zM()ul2IV`<{(C!r)q2 zgGkoQ9f)_#R#;*K?iaD&1Aboupt$-rnJgP-myMA6#bGa1kyU+hm6)621DwvOOH6T? zeJQZR!O9P)d}TK3+*6gZcj@+<4D`KNnYTLw?_D~JV*U>3|Vd3G%C!x;ZI zijH~*Wtl2{TOF7QHJg^tw_|nJAh7!cc2pB!+rEStO|*>*4eWMH&OplPx@X4TFq4d~ zL}``tTy2p+=N>n&w@U}fHYM+XOkuQ)_fFj(lP?#%<0-6QG{qmJMc)6K@3{WR_%=*|z+wXZK9B)6l=&xOOJ0h_)oMh%!ZK zoMdXR2)&d30Co78c_H=er=kcGSjD`gRA)Awj9W;28$2J$ z(h}$jQj7WSGzIY*yKjum<{FD#oYXFP)b1$H#15gdEm&teL!!2hg-70(rkq@h++=$n zTsa0y_#Dk)wy)v`LHgaNsefA z0gmBA)0rmgHDtrK&pdeX-O}x2V>=nwYfcPf-_-`BJ=95e6L0$ZG@V_x0V`*>KZqQh zmOpc~PnEWZzJ(R256k9Lno{o!m4_T}Oaciz&&*lhr|4CT8@mU+`<#Do~thYxnj z=bI>6Tc+yqrj-e3*&dkL%cyWG)fi0N28K$L%7md(Su|itII!k`8cnPYX#hrqg)(aK zRJT-MNDQ6a>#J1o-~2hW!G{ew6;bQeM@lni0(O!X$tD>Q=7qy_GI;YLM`wjb(1wQu zwzEV2EM9tjL0=>C%S}egc9|xfB)t2BcI#a`K|5hPf<@A1C8&4Obl5d#T+$-TP4!&H zB?IlZnqJYhaFP&xOlp?)f>^=tqga3X*T<_T2~z`zz~}+`AKg>)%U8)+LP_LlD1#p` z-_V-2r|h-Al-Z8)&vFpMBRX8SEJ8FmKpU>#4pHU9CIN6gJ?p)(t+O)_FIc8NCe9?^ z2F6sWAmskwF~zVg8Id|q^xJ!hFWJ8mf7<=<*`|m;c_te>Tixy|aa5ooEXD!7Ybe~4 zyk(Bm4X@YXKh|g+|5KQ0DRK?1dt!Svu~wpYjV$=*=sXRM{>LTD0FnOn2h&YLGj_eC zlI1*f8dh054lWc^*#LH!&UP%^aWGH%^>vnMxGwkU_}#m9H*Sbz`Hegozx&hb>4Z1Q zi&TsP^nKLD{--#vfIIc2$_MC!tt}zk9%WwnDxEca^xA5I103g*PupF(qha3bMnEek*u7OhQ{5s7> zsKq3!EnReg0LT1}s7h2C_RuGVNcoZsRv!8I+j~vf0m(1r-`VFS%Z&yv`vOz=!WZYV zk1nYH^01M9OuYyEk$ym}CqhtI4iVT2@rxiHr%ExY-UUtuX3tJ2NwUDs2Y8+DJZBil zvlBydyeQw7?5(28IbCmZc=bMo&LL*3!V1`K4!ob}^tIrja@ZMlPF;+rB4r_3_>^Is zhSa^{&MLxDu>1J48Mf2_|F8mSErQU&3r(E}G0bJ}_#{RkX$C~IES5+|&bYqYNu>ZB z8^fGrLBO{Nj_-E9j`abq&GgsSb#9$GNNE<59M5PUV?0R))zhwcU#+vA(#oW@7(XI(#>-8Yd?Gh4WwTtBCkevQ)Lf^$p> z3Axl!d7&1jN_Z`wV^SR!N=TqSJi9Su6*5 zR`TGG4aHp4Sj-`CYYKG&1FvcSLT}3a-1d7|s~xtLuez$yWnFqXlt?nEEf6{&7$uAI z4|Ls5ERqeo5eJ9;fcdj5ZEg}z1qzI_e{U377~Uq%Xsc0)aC65c63wonT!q<2W7tL? zGYqJd-ME#S-As}rOro6W&ebuj0iW;B#+p1T-qpR?3ROw1(ov@I;0jsPVABHnjOwa+ zk_z*=v2tI!e;@`VwgF2%Fr06{bDUORLUnj>78eJqchuWI18k{ae?KhdjmNhZ7y^%) zJBf3A6&T`9#XhMJzdoD?Yz=XZ{$YD-U;D4)4U?sgGg&a?Ow7Nd7lK{D(l<6aDBlwQrajxm}+-@Uz$o>ca`dser&t zR!Lp5gm9Xl&L6FT+QT#U*O5V2kB)IBkI+YB{0m5e-`~m1gW$(InTf|?L)%`T+I}6~Z0+gV^a|+siO_!8 zGq-ozDowJ^tgO;$mo100!e=vte{nSW%DR^yOp*_-4a3l^2?JzX{z_U+u4tm5Fzag$JbqxhPne=^;-yW&+f5{o~#wV zc;fbpfG2{Qf#J}mTDdmIaHm&?Odl_Xt7L46C4Y>nbL6vq-J;<<_Fe?5!hXD^gS^6W zS$oWM*U`+%e*8!5v*VAE#Hr7&Rsm2*UuXAkemH9Tlr-I*RFlLA&|#VVld)+UJ;&zW zAg;k6E=OykTB@Z|vox*he+#4s#}W}k=^PcX zBlyo4JI3iibL}nYz?$-l(=To8qW4tW^;Z$c?lTsSf8V&{8`tF={psQQMbVsfUb`# z!|96&^1G)uC*i-}P=*&6j{Sh{j#(`usQtk`=(TqxhZ_y~P65AXdV679F@3VyfV{@PSl?|Om$j!u`Mx_Rs$uaAMgEPCV5C^BGO?+!jn0dw3gk6f#0bELjGV#vkl%o7nSk$F znc<-wgK?+t8UfV{q~=}0wbDUf09QBM}YG9&O~(_pke} zDaxSshkHFFvtpKetu~J&{3;_tg4*ue-%oGJdM?Usy0-UQQl{2HVJPWUSaGTIy^8Bv zca4jA#O@o!q2Icu&W9sq40pAvN#W>6ua0Y0s|KHx(qlh&CDy1GV!;T&+KoHG2Gsea z=dP>HKo4>kM-lhNV2$eMs4f4*uBZ}{V_p}9D=^e638nEahG4oIFZ%u!)Gm>1D`Yo#w zHL3mkvgl0F&`=8tX1i7oM*N$C48ZMb@6xT!95Qo#5*^57^ZUlK7po)Nwm~F z)&*`ivmN81V^X-A?W_Uk;pKbGmQ9W}kizz-40SZ2INqWA$gLo8hg_K);n_T`@Yliu zX%gI5>|kT+({%aku1lS>cbPNT7CxcZM>)6rqf)Pbq%24A_c(k~Yt8W0VZ=Hy2rk+}N8qMu(#lkhtTgbC|+293-?@`XDiDrD<7 z+e4w=C&B-S==$iFWdELLh!I$wu^Xm8&nS%G;iz!NKJ(Q64SD1%A-2d_9LM|ZLoQFK z=Gz;}I?syc`s)>KP56Zlb7F`L>I8*Q^d^ruheLsGP_)v)HNA|OI+g_UzG1y75ODiU`C3+;4k^b=jU zUBxsAR=y<_8%3KWR1;T4Q6mFm3{(=#WbBx2blqT~q8~*CD2%7w`+?7i z-UMqP>~^*e@abEHLieC)nAN81H-5d1mYx9bOzCcn;^t|R_ z%kL@U>6;%<46YNlsXVQKo%m*UWC@`>`{NRz!=qSOo$#|8C_@^{in zEZt0n(+5@CHT)B$zk647JERv$=Or#XfALpFxKB@lwUE8uufT*lVBNr`1p7bv`}V3; z+_Q?(=XiyxdcIJo-N%bIeH0Bu#c?j)hu2#c*RkAGIjfB9pS%8Bz~*l>Vq&Z8RK{h> zFcTSA3Bf&j!YwaRd6(|xTl$AH1!C*Hgr-na*89yA2o)k-*2XIz!KDE39KgbUvQ1RT z+2r9Xo4dsHnfE{Zqr;OJxFJ~oKG7=$K;(6y<&N`s292lx} zLz3jK27v{!*len8Icr8c6Q9(Pe98-pkc#KVpA39I=iNJL8aJz`6aKvAi>*T{{dsEw zTW8|VXS5+Cv)8pxFUG?pz6GNc7ECXmFpgnUS264s$*9T)^h%t!ZySW8Nef@cH{pp) z-lbaENAv#^cj8S2L`qPT1{H21%=q@@AOsYdffg?azJW z@ovMNxH9_a`0C*eC1iPaeyR%_+K|WK9ZyEvtQ1r6@H$H`4~{eWMy~2F?Ij~g-!kt@ zZJr1Q@~>;|9&KarAF!Gm$3U2L+dYlta`vKr$^rUcSqinx}ai)>5Pv`&Biy9 zonLV>VrE(Jd4~QwuTKuV-20QXx^xQ}2D){nB&t8x#dlv(b%t{J7b(yX{;yD`Kvs$+ zmrD3Wfp-eK>OX~__st)d71Wo)xDvP*vOED#vib;kScrxR8zXYTvvA{7hT(AP52p)v6?N;m$qg-kEmP)F zSy09WN?fID{kGpMh*K078-_%=L;qJWCGjguS?LA3^)BZ*d6QV;S!mQrs8=t4wNUs~-+^tUbGaPEKKCi2OS+l=Q z*kw@^&3EgyQZMeZ1vxo2PEwit)#4itdP!^w8(mf!!@lBpGlPr--mqztdgo@7Uf58} zOILl?;tZ^Ds&6_GDO4tf3Y~nvTnDTX$FKT1`P>_OIct{f4RdN;(+xcutF?@fZ>z;k z=l+i5uYZe`^EXT#V;$u)QiK;2e!B4`JhEG-`cwM;kJ3x|s{g^x;+t7_U)2Oe(0$1i zpE6%o_&r0YZ8+zX6^3_6THOEhI%{!%@jd?P{{{A&%fw5Qy_2R|@10*`{V>E8{F`9y zapXn8`zx2{>`PEj_W)-Kw)NL@*Y4r>nBH35*WgysA9Zs+uWS^EHBlAFkz|<;D9nU2 zPQjho&7LhMete>-KY%N~#b>VNQr^5fA+?@oI`=Q~>z3Jl7&P(ym|t$y^j3S5hOblF z)&$$zJk{qi3AZkEZ!O*Z7H1~p2fnd7z=sGfqk>C$1gNp9{N{J!jYsSFO_XR{i8|@T z8}#PJ^5_vr^rI2lH=~^|R;R)x{MSibmy`t58`=C3_?CQ13-MFPIf4fAwGurvyp=qo zcw#-e(x*wVP$Maa3Zm+|Qfml4;_5i3oJN14c_AlrF~?$1(W+z+6!4s1WfRpaz0m8r z*qgo7n|;`8`>yx-N^kFK@9A1E+xuR&gj@h0% z-<5M2GvZ50#-rw$6r;=Oza!m#5S=3*SQBM5J&+qD?C{&jH<=mUXmll41@x>?_w@+Pj+$yZ|4Zs~6-teco za&v4~B97VJ2^Gn@^tE{D2N|lWr2-55JVwuW{P{rAd_MG9-csFW1T4lXALT7(_kVg!TB; zuS+g^5fd@=^{Vx((^8AaL?_wU*nxd@f3q7(lkHP}f?slpn#v5mX`LtfoP#ATL-m5U%3+21%U&ipt z|7(V&?RF*77i~rtW;B?e(ct88Xi66*-tx%qK;l2Z523!bLMtVqHj$L(XScQDx~0;i za;GEfS74PYHuTF}!k$+<^9|z3uK8o!m=+5y^SW{YF`b3dY{ro$$a+!G@Qv) zdrlm-+zjJYf>McPg$=sWmU~t3(q@f3F3!{@4(Fmy(oT%wQtP`>vhi&CbH8)2t?1Zk z4%<0NeUpILH#d<~Tg{lOMBgbzl!4aYp}G@g@gtG3wy88K zl1|EG{jC+SKbR#?r>$ka$uj9v=Y~{1{NMY;jS;mhL>kwmc%C&F;4d@E-^TGsmiN9z zryMHl0~r{<_-JS{ji3VIJBLh1BUX8WGB?XABD9qM9n0;$4G{}e~d?ebIOpQO)L z&^rdPIcD*9JYCD>TEJOz!`kjfIfFM-<%Pw&2tvmM&O4bj${w-Ijbm3qm^IFSD!3-$ z>E+0!y1Z<8l;c#+UyK3d;8maEJ`aX|LHvmN7$IW8noS5pis=so^R$0|$y=lmVA{0M&}4 z3ZGi@$08VjPq1Y#vQLeSsWH)gVM2JNYO=5G{1hmeqGktCE}QS0g2-bU)#dx8haDuBp`dYX zn$_lYy%g|4%tIWqeah5cp+9EOcj=WFC)aG4?A$gSHRiN2CjETkQ0|Z|xp1cNIkwzf zRU@s(V0=Awka5jPmc_N>fm(leU-9y_25i=^mUKVrv?bIo;=+vwyK7R&cW(1g=%M+V z@nBtkrEw zods1VO0iPr?4Jd3qGY`kC<^e`1rM)9UzOHNP{mxyCM%@5R8tI-Gzbdj!0gz22m^vQ zubvn<(PVEanOiN!-ahQ3`PxtP<8$=)(deslrBCfshJtg3oa^?EkAnvut&|Fm8{Dy; zf0?uBEBR)F`w4cjEg=>5(Cfs2^J&OYUqBve!}0D z8$CorjnQ^Xy$$X*{HBtb0*s^7$qwg-%&hx8=UpkYxQ7|HjI{|)0xxx`BJYOsb zq-S`Kk@lw$S1jn2E)6C$P>GDH+i@44Gon+ZW5k^@NHvF&qPM@6)j_!<&F7JXs%jjx z%u#e1P_+FO!&p{k5of|N%vpHz5ff!u+?BvsVcZqTH4dG&>rXcxZg_WlOIp|XQtjO^ zrol_a;~&GO-no{r=i#WwFt&;}yS)_}&%T-45;daWpIC#}OE8UZn38d$IL%X$vx~)j zf&Ca=+03H$EP7Rx)Ld5;S3epuD}O_ip>f8ZkECHR;n29;%Zl7P1SyAHTcq4XJh-bvF#?!wb zR}M$lG`ANUpAwXN03t2l3tN@A-T(1fi0N_DU$cQYvtJ=1(l%C^3CpnRbXeW^V9Oyx zdm{cBW& zl=nqPWSIuG?Q0DM9KNl4*Jx`~4Ie{IP?X$J8$TMeadx`NjKmCUVfEEjI5<&;S{#LF z+gvu248zyn6l^9)PiZ5Zn(UiB{F2niJ4xlegyp4l#74u2SXjN1r#wy+)dX=p3WnQM z`e1BE8M;_>oT7`fnp6*?}Wr}F_Rg95#f-hj8rI#rPZez#2TlT?Pd1{w3z z(QH^Mltvr{>r7}^9q@l@(FBPq^PQt7&KwEAs1WbUq5~r0>pe9&hY@3AYXhU`q$Fjt zzQ|>zMfFA9UBAD|Q$GBZgP1I!DU0n!G)n}}IZjlJ)*d&lsQW!#RH2RjGNI$Lx{eSB z5~wvao@W~~tm|tM2!RapZFj_g#=pGC)fq3ZofTC5OsTy=$Q2qri|V~=_u57EDJnHR zPOpN#Nu~Vr4dUlHN_s-L6|nk39@xMIim?0}6|s@nKr`(4N1}VPtB?^Qz)iJ&U#Uj0 znfG8X+VG-x`JoMNPyK}TzUz}q9ufMcHge)jp#&-vEP5a@K7o!(t6kcHnf?`0L3Jt^ zvBIqs*2GxXiXyCKrZR#Py6Hw!)#x3V`SCUW#D(x>`Ikn~-9tyTn)uaIElfgiDeGoiyRLDXnsVz=D=nG8VEVYPJ#^SB zlRZlDmqqf69TfTY#Ky)%(a&V?5P^246Y9CDoBNnM_g;r-Yf;#0BEwqSZg1CyzexTg z5xEbJp%Y2wLdPq*J{Ri{+$>}HsEw+fj7iwe4_Z5m4AkouT4F-6R|1fzuVZcr;9d@| z?H~tit;~r*hd~DY#EmhUL*axkD3%=4QZmRAp|K2MYa>l}sacy}mv=$v#{KHDV+P}= zRu)qUB?y&4;loB#H!P7%o0sGJ)LA1iB|kN9)#?;RGRi7XKY!iQz!9ce4P>USGKIxQ zPm7;`KHndWeO0m*_mGZ-3jV2hYf;FzZ|Ci0$%4`Z3r#BDFv-(U_1hgjXIn`OCtfS~ zS$DD#aUFc+u-w;2h zq{85eyq`bb)O&Z|lPwzZs9ph6@fVjwyO)+bg2>O2 zY${s4ZJ9pcF%#n zR>R!dl@@#yF~yVue1$1%EP4ZhqM%FVsWtLK6KZcFm=;Lb#lO3560GSX#>pgfH$OD!Kp9}?-ZXN;OiU)glV{Sv;HYQ};|br$rN?V=so+mSHx5q=t+D4#K3MJVmiKUsFX zB)d!^FpYcwI;pKU#C%z4*k!FCvs?FTOa<{Bx9T?te6y}RP*aXgJp#1L?q6FGj*rcu z%}a;dB#z6Pt2te-f$^iemPQ#s3;u_weZeR=X0-;gA*FG=?FA*KSsCs0z5QvV>owoS zX&n&eyI%Y8joCUugP3oLCFG%4s!R_p#^qOG^;t_548+tTKm0*A>nzly173WKqTZBW z&G_Np=kyFFbUbU>t`oHVC&KuTAdyYT2fjIg(Ta`)7>z`*zyi%K4lG!0g76J&FPG*C zYDP-ruc)#R`Mr_qpCijxBZR+40-j{l;PNd{8r8|xS`?TZ@DB3p3I;ww5jvjSqOFBd z+eHHQtq5)HgRwZnvAB%;jp=QU3-3lkR{oHMNk0DI2U*YUf01A|p&dNL0!llI4va*Z zNWH>}YViF4f!J-MwdiJvnnq|rH~EEaln$d8BhzVc?tpi_@QS#qsF<&3;z`R_0}Q8`X}fRU+$>|$kb zEEZoWON$$|5>7tvE=35j8K0krk+;y6P}zw#jbn0A6ESziXjZhT?7u`~&#G`1+9Y$= zKI}NCz4!g25dkD$E4pq)uhaLwhbWbi$$qMk0GojXW#f}t7KUNEEi7N$_J}v67U<#X z7`DX-+%bL^?#P=av16eaac+d)k=yn_*JS)&3|kA+zz=>qjQDzzK8A(zlO--YjSwi{ z$CLJCf*7U(J~#}pnHaVPJ|gL!JsSbaM;qbi!VJ`fpUbxoZc^?2$|hJBfZ>SeYye{g zSATPWo1u_wh27(Sz$2cH1DT!2{qLkN;N{4~powGzG&X!q0QiXtqd;v_zR;shhTVq$ z2TdE8pvLT?P=rz`bDzt79RbX)@Sp$8YDRUcX9^` z6TYzstA7rE0}%-?%j(wCv_|1mjD4X>BqxiZU<2i5e;U#yk|i&ZW%hXcAdk=blg0PY z-H`4PyBwvx;s5%WKwU!|QS08~JH9tmlfI?sXgJ8I4y`iAmYk`&& z4{{b}fzqJ9U@(PNY)UcmO>UTkkPeObN!r4%HD>!sMk*Tlk*Y(7U9AkrAg1F9`zV;m zS@9)C1Z$V$V;}+y$M6tc;MHI+sez0elYrjsft$@ZEDltj>QU=hv+CNijH~>^x8^48 za*#-9H=W#iV!oH!q(sSX&U91A3Hu*2l^McV?e5B7q!@P~E$q#%pru3~Z*QD#D+W

n zZ)fdP4^|K(a;IIr4<6@r9N?9u4;0P+nG8R+Vd>3CW_x3u{Xa?-arQe#gzB^4_qB--QMK^&D$HHe(XW&z_fMUy@k0&LiWoCIR%x{J1tuU6FJZ+cx z`oRljG|#beGHp0k&7p_YD`8018)fMDhXbKhoCME4s_p3JlsJmYrC2l8^oBxQ6(>rd z<2wN=JR%y<>LpzpBDgsd@*e1X!(ikP5ykN4%JOk(n|L%Z5=bCwH%SvlSj?lAZ(ji-Q~ zZA414mkqq(@C)Q4TWN6NqKi4b%ylO|716fP#vDw&mDl@8FtHlvi`%{Ohv9-Ds*%o_ z($LKTAh4}f?TA-)ZMtpFDTJnsb=FpV zME*Gk19=K@E9ai!MO&?YRBXFR0)W* zdfg-)kaWn{-^-JH?BHWzc6ZjtEG0rQ}UHWMzC=n-K0{XMEY_pO*0ow_UAO)5* zw*--IoUWt#8@V<8iZ+0)<%U2ViF1x!-`Q-?s(H_eFnZ;89~mw@Q;HDac`25dm`BVw z5KcR^PEZE)z+qf)pe0A7UDsTl(|*kT9Y}^q}yLwlmd&1{uw@6I|qoa;lNU zX_N?N@)Kk?Sa^rub<$>|bZB=F8)8XB+tB?RmDPOUa2PW`Z#4|+N5aU3)J&fquTbzs z-)h5Yqe6{1aHtf?X&9qVltnph61=29!JQq;o_GLk*xDKWPUIXbY8W#AQe! z5%2bUp6u5xu!SFBFPjC@cuy_$4HfBRAq*=(@zqjatp1F&xIssMDz*XKJJ-AwXm_@w zM8F{RU&-TdSV+!`o)dmX`r>{?d-x(YLb(EKIN$@h7s@@@bCRbNxiq1uOp9yHF(=1I zV-=EKhsWPO@}xie%13aqb;-9h=6@gar|?n%swCls+;=K_i9%<(aUsI(RIJm1pvw(u z-|v|%p6ZKYh0avt4fxy7Fi*LHE)%4E`!ZXs)ED^*onuZ<3EAwq`x@_WSxFA}`DG?v zOYvL<7@wgvUtqC6O7uD+Gy#rUG5NPmvdz<7GCaunyfT+j;pc_|=>ihAKI0d;NBR~; z6PDuTOE>O%1M9v&OR7?ocMdB??77Q2T3?nl*1i*~{5S3rR z{i;=3S$p@Kii5Lmd%%X;I~P zEEY3ag2C}&Zo8&3UE#Q)eUEHHiZYkyp{8pQGogjOgsr!i{F;VJ4^RzW^6^-V&U6u< zJRcr!v~{Nf%GuD>D~^cvD{I_?)CZrO^AB5+|Dmi;cTDy3l9e~+aCwv9^kY8OZj#k@){Eu&9gq%LRip%(Z(T`LEZos$%jYjS&u z-*R-w7#^r!fC3}#Oh%#8X< zpX!=wb3Cl`>67SQwZD}X4!^nM zZ|IW6BN*PZ7bELwVG&5D>8MY0>mV-FNYe1^|XO1oAre?4Jq24z^26I56!AvC&NXKUq2+BY-z|cql}KwlcI0;UqfCAu`IOm z9^FL3&z+xsdehO{i;WiBhxZ6(CiT)&9E%rUftr!)Lme+5$oLt#XoJZ;Omu4WU&*p4 z(}yUq#KNL!Eh;d1V{^PSlVfP-YX&0Lw&+}~nY!YlR~5TsgsfCQJ#bx7NhWdbP4m#& zK&JAsF2su*oRn zz~Z+)j;u&axj<-1|9+&9k+>Hcxs-IV^OSGTkupco5n zB1d-z;jdj(KLxq2+)E=lSOQl05cN!56Zb2$>>rrQAG=H9^2b(O*(AmIure$vb|)_K zh#Xxpe+j*uc8`M9em+P{ySR=eX*C(`?FA;`#;_Z{*TiQXPv`NpaqilkK6`f`(vZR=N;IM@b8~b^a2WiEkVxs16LA?jv+?4#E)KxE zO3;}HSB-a*WrM53@43R%UsajhCF1a$OLJRVs)^(ChvGkiJ0Vm=O8ogfF!hwGL;2v*2rFPJZ_U|6lqIku zaj2x8a{46#ktwi)N5>(3RmKxmiq5>R>`2dc1hr+9fp_uI5Gjd~&j9BLF~a&gnC&6> z1+kqj>~?=n$h4;2)h`g7A*?6=h2QhWEed!HdA7}NvI`w0qayBR0B6WU!(BgR?9usRid z|C@I4#PIwi{?Y!2#Phf5w`!w6`#-~C+$`?ON95AfJTzyTPkMVQXWc4o_6Gz2k93Mc zKQWQrogq=9ly=e=Y>_eK!T+JtPxOPMV$apMoCBFd?>ziJkmRAaf&2*Eg9wqM@_zVh z;P&KjT`@`~Iq0pe=4&6tJJ6wI0CV~#(F20=RwS92Xzz=%{s{xp{V<1TZtwd_JmE2p z;rCMduKfQ+lwp4nl7rzikGC7h#{#SiS-`zG0Do<(`bieJ7gKa~r9u{w`d=M`vE6mr zUqJBPt^N<_T&Seo7X4FV2Xp}j*f#t?%Y8@%+P{7IX~gzt)iBC6Q40U47gB=|RmeNY zT?h;KuigsMfuRz?M6GIT&NSj8OTzf^?h^st>Kzw3fNo6HlleJ5TmDaSuE59+Ze#sP zi<==J@!Tgy_~4N$wN0dZ=dSfSaL;HMZ#D*W^qNY1nuxK>hN}hv`KWbNqGx`XJ%Fc%Y*7ralSMr+>$4u zJ91`L1An>;5_7c4 zn{&@pd8cFOl#Te#1Qt(jExeG{dG0oplv(_!sX|5FQK0&f*KsRSDJ}hy=XTc{<D9)si;segqT<4fr=#-|Q;?QE^?^JDJ zvvVn3MOvqIyG8R>F6c??1i8H_XRCCGUS`sTDr*aNA8K-xGPBdH<^Bh`2iXbG`NwDK zafb7o429cL^Wosa>boW)IK`zMQ|5u}JBJhRGnJgGOtqo0$KFeB zb(S)}R+x$T(TB;Ti}|gBIvPq7x!e6y9F2I#p!Z$B2_HRB<3I)hS_IE!48?VKxZj$b z*87jOP=Ey zU#%@?3?=sQS^7H0OKYp2r7yE;Li@DO(kbS>josotZLxTcs2pdfuE`1YggeDj%ro&c zDgI-_msFIA#VTu8np{590W#8haCZ!p!jBVW z6ie;DRiMN|#Yat0n1F_Ey?J8J41Mz>3aM0ivwa_qFundFNnFwcW8J|nR)MO>i>WE7 zKcYJ7XUwLH0cnd5SkML*7kG|C)F(jVcNq)qVRSPLs88?}%&d5b^fjR~%E%H@-7{j1 zhargOv?l}_uUc;|1>ic0KiBPuOJXld#+Y=E&nPYxO4s&)f2RXlxnAu}Vid9|q1qSt z!&WBUy)hZrPs&2xFGDu$g{ofErA~jCRdF!fV;Kw*3Z~Zk2#+k8AM2dhw_CM8uRW6X zT~DQsAl&9y95?k*H~Eo|x!T+N^D&s113|dpmT}tWuEI*q(NRxqUyA>DCvnI6`sIA7 zNqH);p~kPVT*rofR^VaYUtj_rq}T-#hfaM62G)VsI6++P+S5(48wHjHY^&{tEwL79 z`G99dr|KZ*yHxkb^@L{Hgx>a>uDf1aO{es2UhY&rx-YKRJF^HYYjmi)q~XsekF+Y8 zSWM~xxc(apmL2DQ%j?MG(pKI$ti_}w_k<0lrcQ)gB*!=E`(k>pkevuJ8ofzNL;biH zRw|uXr-(^Q;F|1IIwvX*I++Tq^VXamhak-3MD{JEEgNio=uKz1sPAJ#UxjyK-AS0w zJ__)+0N*lTzB1}rtB<;f=RAi|Y1DiumG z*eMbvp2a{@RWu{{yuTW_PHX{jFK5Hk;&dv`!k3aBQ)SwGy>_uT!qyj zwJ$6&oqiM{h>#0z>IWYLKwAoC)fA7)y);&XHhYc7KTto4DADM+`0T$XfV{|Tc}Z;^ z`lzrXEtC?da8=pcmi89<1FbKbbMzx1eRuJ$G1G;kNgjd#-ZF#C67|5yO`Iin9Ur_QAU;>J|Sm z&`k4BJ~G<^=CPkMPbrXidP-HgaV#P67GZdPDiJ)aRo7c9+ zMnFq>iI!@uOWoHZ^Tw1P_#-~+>wtk$$;#wYEWw(ASjZ#atr0_AlQ$TGS6exir7cmC zxP99@6`!rI$)?39gHH5JYi@8$b)Hbyv1;3MN6u;iE)DrTyVw-voHM4UZOs+H6VBo$ z^R01~K5^Br5VQR{BZ{WBne^tB$iA6cxVIv(-UvKU6N`A@R)z`wYUW{gVM8)UGZSg8EHO`~9$Fx-?O6~;nS|djJO@aqSTiko$ zG_hg(d=uXcl~djMmfVRkJl?-VHD8YVlpWjBgvIFU#K%#s zypnCt-J(&`Q`<9byW!V_*u}l-ubEG@5n21Mwu-oesxG zv=DP}9K$P+y3{-i4XhM4)Vn zMmeUm4$TQD7TH^$a!6`w^-N_8ud=#~r4$o7qdQuUsCD!dP*-R8rJWNYu2Zj*llWU^ zi|bH7)eK4>7*^r-J6>Q@-Q}ECtjsaRYGY{&Gu5wTqJ*a{;tRrsf{q?zvt|sQo>TCk z_~tmjrO&oG#*>ot%aOG6BQ{71CchZ)x!c6zq<5{7RZPY%+BVG zo4qIv!LU-3b`ynl8%}E-E;q+t%l;bHem$W!rrftWA#>}Nu4a_E_CuF&9p2%lO$A~l z*i$UL+oJ7_GpQo2*Pbg4l4?KCHsOmmVpVTlS6=t3{Z#z{Uwq&U%;+ZXVLpivJ$xu) zJ|6IPgou$Vc;;5zj|aT4Vp%}kVi}KF-U`Q=4RE&}zCAPFXsA~Ese1-r?3FlrHpA-2 zafNiY%D5=oC22*>1J7-oD{KI2T}Tatak*%X@F>@h_LI$YA3pf7NoK{_gD$S@6??+= zO?p&u6l|9upxCsDd&L*Itlt(F_yi-w+^4-;-L{W451AZV}1N_5zh@2(WaxY&y!YY`f4?9sucC@+hW$75H#Pd=C zN<6`k5XbS-`7?u=?QGIOcB(#I3OSH=5464sDu!EZ$@%O(4+B-0?q;7tX!gVp&Dg9h zMqiO0^^+L=)0ojzC-VH#d<9>*yYDh(_+#LVg~LzRR?c!ar<2|U21$~ZRo_%TPkTC5%Ww9D1tGRIt0P|#ZuGE zN|E0eb+UvYxPAm{t6M3b_MrmZigv5Quoj>wV*!d=eEk}~ zR`JWHDrCC#q;Xn6*)J#BW_fK%nczWDvw=!=M5~~JMpiK=$z=6YXdj;$S6}d>xh1wD zb%c(rW){15PLevpEQ`HEMhB=Vd_0{m%Kc2b#iQR!LlKznqR-FVben|59B@klgQHZ; zbfOQdHKZ-cy%Y3z^{uOHlE0)|{Y$B^PHwiJh}KTlwA6S|`t-reB2wLaQYsx>4gz^& zM7w#_+FoQ9^ttDAizHIgJ7H6Tik#@AJRz}AY&K&N<|kh$#)`bgDmAgw(`B;=7R?sIab4bsW}2c^kc&@#aNfJgKa z47jQ2Z=YO)6M-jJaOG3L4aQ&vxUE!6hSGF1M9L(*fgqnc>R)Ug`cu+y>r&{7j=)yFkH!c167aiVl_$KE zj6kM3keTu0*H9w>^HdQq1E~)Ia1A_|DTvn|l?W3o&qaSn3R#E&*?LQ97f|zp z-)7Z%uyY_SGF5~|$W_p3!{KZH%!gZN5C$(bB_kJX{I*S8$bm{luZ96_5-bO_NgKzlE72p_ zbJc1iGBH`m*!mv|VGTW)3*sLF#5iO)0Ot{r_O1WX1^4zK!15AukK5gbl7%E}7B12} zS6%xt?+#nu_g}r@JDBVF+fYzg}GCOkGFp7A$ZPj_O+if_AKaeDlF%T^fM_M|Xhzb2_;Po2)B^|vDm=*j4j0Gl% zkzpcHgh(|l44nWWQs1XQtaG9DH&wo+6(=aqEMOEw^_`%HV24NsbI3HcY&Wd(QD_p8 z&Ky*uE)tmDK0-oK}=TUE&9Muwf-| zGAWUPVr~prh69eS39)645eyx^!-M=3GLC}-&hDc{t8C@3`UA#p4V3=p`&%!Ct!7fBJy)MA|n zolE_j;G!+5vXj@9eZx9JG=gv{oExJm0?4(@&%O zkfWog`VR&8o05R|>0<~jB7!-O%_3q(ArcY1e0Od$B9zuTC>i%&N<3ZmNoxP`Fyy-# z?q&z+;M)gjgj&M)JAXH*nC@+ZT37)MDyFL?Kw^G@Z}AtlsS52Z{)2CDtRMft^-+rl zYI_+aULLu!SZwDg9uXCJ)O^)~Y%p2G12!;eLXy9#m?W>&!}d`%b9rRT_x6_WV4uy- zVTs5rUuxMafzUHM{Jk;C*#h2LH4EE}PW7iDzMFrm&8&8W9 z{N)TG`61BFkM=*~)<++!ezAGgCw!{cN1E4* zDj?IeD42H~O}ecKjsl1(R6xv})k}50_}fZ2zB>+LBdqi?F;j$`x`E&d6V5VpIpbES z0Z!N_zp7ed(#D}E|4go~U2LM+m;I3-v-==^M#yvq@)I`EnF=q5Ofd29efsEjC?U{c z$|)iH2$y%-6m*qmm^s&}!W?b>>w2!H0M6RBD_@0kCR z3p1#w%9T7vnMfLnmqF?)%QE}>#;qVtoP<$XKB>z1)6~Zta1VSirxnBklw}0r#3Y)P zLz3Ro;5z`l-cV(>r2tAHGJST0Ub6#XE$4mhK;rXC5U>DC*!^Spw(h=CCAB-pYi4L< z6XGLrsr-De5sq$0bB$Cbb!1M1w5{-Rt4wex$pQxipdW8(ItPU9C`HBq2jpAA zn?ELKj}@wVk7?GEI;|R1x%Q}D3n$@I=PP#UXGK--m4#1Se3CZIop2?ox=RN`@HRsrCjI~<}Dy`{+TyL-VsY=pfs$P=eF3J0bj+b z+db)DM}@l3b<=mJqjF+8M`WqV-^p_GAVKv!6gb7sn2ImNx} z@Xfx>$Xa774=o7FLcPwuQninoOdEgI!A9Q;@p+#7Pv}CxY)AacKl_O#Ymh^nNBoh( zF}oP(-ckno(0$OE|EKDQ!Q%84ocLyh-5kK5+T#%2=0P=cn=XfYv;Ty4V6bPVd;W7D z9OZ!82KF+4?srhdj3()M_Vgg~8IKxUn zfRrQ?2#`J>U03oTtI90`x2GJkgI{U~n5x*45#ba|RkGBE!8p0;Z65*uk7)SBv;&%QL^MvGIm(gk+%}$E{?4f<6&E zvk^&*e;Z6S1A?hl__6|A&du`;&Ua4}N)M%1b2?cghp0`Qj*XY}qf!b`htP~MeW(vR z{O@D^6j<6sZDr#fPaHtI4QPdTYL-a20jm-0gQ^PE@aKFQ2@i4mE-&Jx&Ga)aUnqaB zmXIjZfEpC3#giuJZoBdf&9wpAEV(N+#)EY=uphE2@3Knqt znl9N@T_2#C(AaZZEpez0-$oXVU5aZwe;LJB+u%q*wD;wre#nMYEbWemoy<^ zZxDMR&+22itZR|Z>oi;LI_hw7?v^KS$P(&~{i`>sb?Nda4JUhp7E}5RJQa*w?Mk`= z%IL7_4I~vXptHrBBy60H6a1v43H93|gt*GjPC0RbvqSDTY6I8w zJ}X7JY$HIo=De?ruvafo;WO(-i89j?$#*giVn6Z=q)&5%`r$bq4yFIczG#5r-Nm8&9Du(hg}H

CG52d=|KwH z!Xu4O&$B%e^Gvg;-XiE_`0qZJb~6>=Wsl&?L1Md09hJlXUHrSIKV^#2p1`O8Lbx$m<$4BuM|GPm~VPMhYdmEP%6j9Nk z#Vu4fxAf)_v}nUMClu6Yk^Kbp^hSeoA6D+if0uhxzVWgJDj9PZI!Iw8fZO*YJKVrb z#Kdjl0q1786Yg!sTmn#J>#VrZ5W|CJ*s%Q0CnG!VIxi~QQ<+z+IJMLV^4=%|-H%7; zr1+1yYq5qSKB4WQ3NRi>%w@|T;Gm~yoV=IHq>>xLK^HCB5(FB3;MKo#fy|->Sx9`Nfoo!OfV|WM2$vdP4O_uJzAPvoukkOMe zHH|WbDueAY(ODRY-lE-!i-GN;1`x}ag0SN~)#75rRphr5p9-RAHD5Bv5q#FJ@awTe zdpJ>I!Z(A`n|Nl!Jez^AeUpG84BPdl=p;6J;%4n;?q>NPV|HL4xhaKQ)e!FuDb-4H z+$smUijgUkLwydDRe=Mdx|RA}xPm*-HtTyF)1?*|C)17$m4UY(ebq(`3So(kBb;|p zE>SbcJs^^7Dz>cBCurMB&`o(pl1wM`^|tbHd5gla?W4 z(hjg`e%%FR=;c1%*I7ztoqthr=iqMBsxig_nOm0HcF%zv5Wf(&O2_Ma z4>(n1-(JI{2QP$)0yik#aPzT&O20 zKu7xOn-=3h-mu%H`K0FueVaY&_p7Py@-AnM@$J34HLBFLm)NwPJeCjBe}W77yw!q; zw3C}zk=Vuk3tAR{H61AO0Z!e!^&kS`1u;og^E~OHmN=;0umH1AfJp&)aJGW~^ zYC1I~U#6LuPdxSQY~Tc5YOw~$-?TX#;grbxdG%F(lhTo#`wDc}-e$p>q~ruo3} zGRP95EK;yrD0UubS$KFmT>%^$5IrQG;3>7FpZxQR7YF0cP?#MTsPZU@4Gnd#bDRb{ zUSvw`r2sSf9`&8yU~gs})ie6nk3oW${Fh)$!AlV+Wr58bq(5Nm0-)VUw%%Aa;<)J~ z#t$$B!w{DHSt<~yNUwaubqS>MW&A`M+cP$eS8mz(+YtRpIA4|4^|8E`%r5X6zWJ=A zQgHjFR*Q#2CRX5SLE;9B*MVyG>+ejxHmdR8)7W@KCx!$BnUR-WqZmZ5s)@YhgE1=Z zKd%U;qhzpxTztmXVr(}Smsx}jBN=%xiHa|f?D@6aJD2dxuy15OaO1JW?M-oAVoyq# zIwldZJkx#%AIx6>950RDC|$sY7L|e~O{FgHm0fxYN#283FOpbw7hDEJhgdqLCeK>u z*C!V_THF6GYR8OZh@H!4panNNQs3d{4Q4LT`())sV3@D>#mehFkPKRRaT(?7O|4(v zJ5^G+Q^g7VmIRh30gjjYKnRkS0-B{2QjxuYIHiOh!oLa-z*(~qT4S|(X2jC> zw8r|!1^6EgJXPTbXl)zwn7^qt>{Qa_n$YkU+Ej)$Jqr^U`X(j7@_zw}GtwF5u==F_ zC`lAdte0ifxl2Se%RF?SAj_C|hq=ml%c_Swk}=RL>-CA;5h;1h8#Au3jmiEYocd`trUf&)7B70fIW`5+S5y2fWMdofeB>I$ z8%(@;TWmTo1zRc2ItLEmvIBB$mVNV3<4sbbWG$&`yl_-osQQW+CXEdIJEa3Q^Poi~ z!)+$K)ATtuM14g7la)G%BofeyV~ES}cWlb#$3wTxz;)b6;{#;=&^ z2Bwya_XhIR{7%lA=Y(hI@>9d_i#Pq+f3~;ii~ zWzeGUkFB2YM8b@udyK_;^T#?gvpmecyg_FNd!ut$QPzhm%Qen8wL-5C4C0>n;h_{^ zs2$;=(ajkKEV@6KQ!%E)`nmp{;$WdQ`O$M$mGdsL}I;C&QrkdekK$M~?2r3D@Hp zx_xI=Ka#P|%c+G}?A?kKS&-8U>9|Zfd62fzPJpE}MS%M_w-wudN}`@2vCh<`BL*Ni zwbb3qDw@#A9(|wV`?`O*b+)|fz36+mGP9aEl-^o7Pp0Zfce2|?_l^V@;LoTk?hn;l zi~sm~Z@9zAA74&9F1~IrlUTcVHvfB|l73fddSQGWS3mk`U2^8OK~xELak=|JX=Z9m zhW_(Uz9)N$gmjX9xa3!*O+sx>#PSaNlg)2@AosdvgYP>ITx!fZ9kRWdr#oBuHfKC+ z>U*E3Fp5F7DsjrEMS|xV7H;*2eI@h@D_)i?WQD6XA6Fsg zEi~gX_gxlFE=W&kyL5*99LlryJqW)%)$O0?)P3(Hh>20{6~(c%s>$kK_Q>tr*hQ^tEdyrc8N0ebAEISKA$N%=qlS&-GCb z1!0Rcg{yo1&E{2!;m1=o7WBdwR^C~uO6@5 zO?l^#GL1d4ny~#s`SgPkEdhe_PIJ@>p5L4ItS27tZ3M<2%b3nZQ5Z>jU%1okPZ4vT z904zESn#ubo|;!mv*^?q{X~rp%G*JoKz@EwHrKUyN`<>B`hC9Ao!OeSjA+ox=qKf* z?tSqp)oSstuis9})xVYa7A9Na>E_-2$=TTE67S>ta9hMa!%sIVasQ*ev+Y;jALqfz zb^N}Tu|3p!BCkFGlcEyZkA3Ht8+e_mJe1BYi%t@|59}!v3Pvx+1zEqUir?gm=QVU< zMWy@9O&-`rPR1?|HhVt9`n=J6KdIqp;nTU(g3-4f51D&5-o+7W0lBkU^hIZ+3cYiD zO7@b`;|oj^=d;gP(aOdRIb<$6DEz5n~$s0MxP^b^-* z$nMY#4qL1Wnr?H0!_Ktc)~ewzDH{Aab5^d0&*kVJ%F1- zdk=eV@gYEs;nw;9bgh%DnA5G8Q~rcht1_+N0$}_U z4;D$9`QqwBzw{ULq9YszqAv$>QUA$61Y=r1W|pQ+hg zJ2o53&EXbimwha?eWoDc=W$S$xba}2{}p5EjFTnDwR}CbljUD7jHV7Hwl43?Z|Bdv|i2j)>~|@nYgUA8X zH0Y_GS?WtV7x{n#7#WaFR1v}?NBsS#Tfvhr#lpvQHEQ_{l92=1;lB6BS9__^RRlHs z!t#08&O~09%$#XJW=J|*-YsznEJSfx%&gM7JiEy=*{&S2vt=Xm+%!kVn6QrnGrBhZ z%DGOQm_}&GH5%#xg1P*VginEU!H@06dG7D_oFnhRh3BC|ccXzyG%xa-MUfmjU9O35o9yEx9PIHdrucm*6)F-ycF5e(nS z7BMFHh1Q0p>=QklJyj#z98}*x5|=oiAKlKB_TH3t+Z97^%Clv!HOn~l6#^I1g}b8v z(gS_sl9+skphqU&M;1Uzo~yvWav&XPZ}BEOu-vRe>)n{DIOF_ntykN&J-CA2uult^ z^=@)NQFvBqyEcf=|1F+5ps7gN!vXx4_~is1<0Xj_$CtkQUFN4GO|=)r$+Ozpm`?4h z>K_V{K6KvK8cbVFt6j~fO4stV!H!_nv%+td}}Z+hr#e4k}) zuy+>=E2?N4;&aV9>D7%hSXgZveOu8o=Vx4fY4T^B}W+bOp)v0 z=^L>lD20S~nfYa}xfhI>?tbjkoE4WAIhg=!t)f82yI2c4tUrXK30Y$RKT@14Q{#d@ zcJVMTe(TYw(WBjje(yxm>y%=B{a4yYFQRiYaxyr=c$kTUyipkpV9yVN=xT@xWiF0k z3RVb2$F0EUaY0ypFaBoSkI#gnzAFiqBg{9>PZns$K@(CHX3#l^$rA!%b<-zo=1<<5 zKQVP{2s=uPFK3A@FUg^AsO*|bG<G%}!drUUyE{~-*Y)>ktnG$mFK6@zFq zO`_i91(NQ-e)$XkS-2R8co#O%{;K{Pw?#3%?2^z>`U3lWl0#L~Y?cD1Wqc0bJFSle zL!OVr-hOzDeb9W(bu!zZPC(t7Mi{;QY@r1H`mP(XwvYNw>{GnXx?B@yXq@G!;Zlpc zd_9yC(MVp3?=!UJQcg2$i=+Q`E~qeuaS(9cLU}>uQn~x`D9(N2=WX$C`Yrfyv?ky- zgUCY>Qi@iRAIrI?vtjFsK}LQIw_2kv6ne-F_!M2OTO`(aIO9xl()6}{>yMMoaEJ8Z zXPd_)fj?xCy(STw^sT3bWS~}Hh;O8-504tZpAReQ^|H%f86tHAV5mI$X_HST5U5Xb z_-yPTy334>ZbjxBpp8N?Vm#MAMmXa38Q^_g{6vy>`@5@wMOMx?SuC3`I;OH#{<>Sw zleF-U%LAnW47c|kgGzfeE(SiFlh3i}U#CSBu@cCm-YKjf@NZds1YDEE4Op2eV+DgZ z-~r=#pT}dJLMP!%_tXs{d{vkY3L3ubf#Zg~d6eV+y;4t`z4Sv8@bX5PCf!=NA<$;nKbLkV!m z&QkL)7k2WUT5R-MY~osMdNGk#R#NjOH``8O=6-q_CO@P+@t^jjlksFXD`A=4&d2 zZ%gZmB;vU<0|JaLwi%fP1icj#Ord&!qYY}YcWDRj{0tUy<=9Pu#qlPJOSu69f5jwx z24wvQYWxRiBrMkc=#>nFLY}j@>N?)_;z@5&Ow8zNO@JgbJ?lm6 zn4byG#!s5e#a8=X8z~+n@`$xlrD)0zj$-aq#HQ5Jh%s0Z8rlt%Gk-2IwtCf}15fgB z=xpt@%!@1W^vcM{gudWr0?S?3WJ+#7V>vv#X}}fT5?bT_vd(}~(5Y5iWuNuI zJFiBqQa^{FXWMxZJYF0w%0dRy%6gnQrRMjpx2An0UE%fp4gt5^K5Iba*ABlFZ_aZZN#cnw zAMwVIMSU9$OwBlv?t8!IUrHOCnYSN^^?LYdr-cJweVIF{r~LAJA;XY=%WB%U%rq`|!&MZ# zBV6&$zE1Lq-3#&zxXb*7{Fy{ZW5*9Avss@d{NepbxkFo6C!Wj+0*7a+DqmD1sI38mY<tnKQmo5XTv{0<|&T1(^&W%R_C2LT-^VvHkS*i*P=jY1Nl=Fc3>Ma!v0?KwLMU(93V&Rf0g z#J18_X4Go-Us-g1ny_*&$NV^zPcC|+EXiAwQf|pDpP%}V{^V)O28>SNCHXV$vVA;? zo4PU7Ji*`Xa_M=y<{YFu`P91yvMKLLS@P8K7^V(8bQ7_p1=#XWb)7$RtdR^x7%uHeS zioIseQIRnk13i|rXdr~{(y6@tYNLDwm*%pHq>DC%7N=(JeKQG? zg!@8H39AyFPe+Mazq1ubGFFJ0yW#E9nY>izXT2cOA)vxSImB$DcHt{mG#ssn{e|Hn z^fxcbeFC9qOgfjrIJfQ>5qgcL%INJN6Z9U@8!n^c<1#)4vSn~V%CqM7_v$yOy68TI zP;g#M|OY;&tx~VrRFGb^tx8b9X5=J)SGvZmX9eb30p7MKN+p7iGyYthwj&}RjokKB6BE%D{(XHOd*!!SB=%HSy5sF5g@7}7WH>S zUp|u0Q9)BUzP)pXjkw2}i4L##EgnlHpEWnGe8eh@?y!I3-uE%NJa7`#3m}l`;-LQA zt4Khk#dj8rga2v)5zs3|kLNrZMUQa8MjGi}W?EGfS@}~R^cygJRv47docbcu((-Gw zZIKt%C!wIQb~xM@By1uJbE~6Ou)sl=ps|SUVT9?KN#Nb=eocW0YSPF5UgI)slFj;{ z_x3G~?F9x?nuUVfCEiw|98LB0*A-PkBHtSIl+Z@RsX`9xcY?Z#&`ZaiD#ilv_C`15 z^Hxgj1xu1^k`4Vl2>kV=iw((6v&3gFq`u$g;t$^Z2Rf!zCJbC!G3s9QxLckx->u6F zUAINi!ZG1Ou;~M>45C*rccTB3JSh*-!`Z@+(v`|hvwDl|Yt0&4EmevO;>E+=Fj%&nznIEi*zSqEIa+ewHJTWndr5PKd4^xRy|T8w&1nXq^sAoJazo3@ zQGLZI0qzl9RI%~fk3}5D8V9H_t>j1sgjdzMR2W(@0!UmHj;5YV9@8q|p?*d!+ZqWi zSbXmzSpLFk?&cKtY0|LVeP&@I?{rR3!nHF!ClF8hWF|PseQk)yEWOd(X5SC$^vOOo zNIoXzD*K0umZX?o=GS(`YpBQrL3>0{SOcsOrj?*F4NLvYc*#%?)1P}>JLLg_+Iq~- z(KP;2P{$9@>nTDnAahUur2P=ntT(YUP~Q!dvwH zvwOKiC!~xQtY7y}rAkQy*NTCj4KR$V31L0!t*+Eb8KC@YCn~tqnV`jQaUDvilzljp zlQ(B%F1u^Xjp2dCEYGm<5>hw)xCKGwlKGZocT3AY&##lJ?N?_DP)K#?hy-5j0sQOR znC^19;2kZz(l{Yy!xrURH=H+OO9AWq)eS2C<+^7QbB&>SWcB3ssQf8M!wW1>+@oom9X1zo%J&wh^-#)2kp}b=Zug=GK7;ib3{zgX#dw znB)2npq-(Dj6@>%579f!v#xN{_^D7kGf0sbuFZ@u@2I~t=`=WNKk*r?_EQ1SHxQBO zr?^Ncb?8jxwz%KfGLiLn1t#Uur<~NHXeV_z@~q&YH!)k+uWl*f=Y2@y>#uI(6GVAe zA*v={k)67T^E^zFnF6f!%f5@-yF>PC{xw<+QzLHHo z%`i7P%>g_mg<+5~(N??q=Ima1I&_eBp%*YRxq+m9Xe+PbOUP zbE1AYOQB=P7B4uVZ^rwSO;0!l^JyQ^%5r;HhADo4fp}a;m=JfzC3lE{>D7Y4 z!RPl0P14x;&Vf(4+NH5i%dh@W5*n&&nlE=fts?zP<%WFP^KB%Eo-jN~LhFfDnus}Z z=*yzPm~AlIc`$%~sta!2RXn_*)$Q{}_DNk(`iX#?(PbOV9h325GHmTNUY~Nq#y_b% zmxqCul`g}*hrX9)BHWdZ@uq&W3PdEZJpS)Q)f;`ZlAY}h-=rJSH;l68a=RCKP9{oy zV)%o=tL;k$qsY|9A$+PP*?soK{Q)x^h6Jkjn{G6Q*0UCmp?X6%7}!3Vaqz3QUk20F z?ZnpH3jV$k&gN3m<|1_@tiZVb{*^^7TN+=k7uR@GZz!Msva!MkmfO*C&p_1lb@L~e zmghJrt&U_4R2qq%oewTTk1HnsBvj;;j@T>rR&0}e|Cp9j)li!3a2FQa3Yof^hAy5( zt1j$M`1W%t>J0`=j@r9Ao!0AzOtiJh1p>U}6ERX+`h&5?7Dbw0zTAHDnBU6!L}US8 z$Zr_={zP9cZ-%F|A3jIYyy*JZdDbBO3$&>MqybctRJ=L{sw#98`31`_Sow zSOo-rOcVI3gt!G-Fr}g zbUlds#j4TE-U30U9^{qU%bz!|Mfyf4^O8MUwc=xfIt2W&L4s0Vw%@(4SxxQcQz%^?qq(jUXzS&~f7eMR;~%pry>w};&NCuNon zb8u6JLj_Ad%DZnV2y1=0sUyQl(yDIjqTZ+5_9dCEjmg-Oy-vGr4A)GkOXJc{fLOi_ zeRxhv0#EUG+VnuO*OGPPMKRUXDc@$Ba%AdCtJG4*1zahn-^}3QR4~L1`35IO6K81E zv>2v0g>o7)%;dY}z53N;(8UXUR$|7`x=sr-jhz2gGatuJ7n^d1=ZsOb&`EP&-lP8| z{MvBnbU@!%PYVab7Ong%&tt!Mkg2JV{O6B~oZE=hzXtO}w*LAKL)V?(oxb4j^p(fc zd<+72wnJgAqDp(3Vh-_y-$pzfxm`w*rx&$JH_n^KtJOAqE|{(C)l>LCQoi{%@!Lsi z_rT+7E9qgv7NiruYiG@ufw+=0?v{q*IBv3hD|0a?hm@3DUJ>;S#* z9@B384#k1^hmL&gJB%-qlFzXNeWv3iE^}=cmp5~5L*1ju?KE{qs^vSr0I|BEQqLjj zQj?7nyYDvw^FJ`tsjt}8gDPxTIF2zX+OD+Hl*0T;5*FImRs2sXlJsK7B{+hyW_8J3 z8QRxnJbeFhXJb45$krlEc-F|i^EzlhR+s97*tKH5H^y#)8PjyGZ0bObw%%9t0|txa zCwkgoa@clc)q73gn9}sVtBMCn8y^5pmx;)o(VAh+774~07P&Q5{;-C0er23{Mw)bd zX8DXo!MF|lA#cFw!wa{xP15$_h=?Y+uVmW=RUWTWP+D?kCd7WWxE{eJg4cm!k3{bpViEAmQ%^5&BuDdG-+asduXI)}LIi}mEk4h&n0ujp9g zJ{Dk%8nEUH0s9+Jr%ojWA2Eh~|HxE~7@im3%do5L;=Pu~1|_}bnI;azkB{zF5HJt? zQ-J)ab;d;UeZ+k4{L(D_M;Hw&N91O3DWF+2&|EyRDQzBB*tO+8$=VnRak~=Ht{UR) zzF(IVlw1+>JK^sB2qJrd+`^rmXgLNTOU-RS9YNRh#Y4Gn-Is^37KTA$2AQ_tn}leD zE2B(9F^%Et`~A*`v(E0I<0;sU=nbzc(aoUW9dFG;#%0pRp0~vI9$y{)dx=TG9tTiC zS@LUDhgw(=A2hENwdVd_lqG-4!(9aN(2;CF{M#dB^fXBAMnIi(*4rq=tL^nUs7$3R zFKNf$vA~tbU&bS`TiqX&ZS#eAc0!0gan{-|hf$DT?OtR9b!tF_OSYW0o$D812Lv^!H|6Hkf`8fD-j=J&g$~!bWpII|VM2>kTC+!p$X4|GrKFzYF*ttB-M0w0@todc( zTRt_#Kk>XjJ`j!8g)Wv@4~P{W-Z#9PM9v1?3vpj&>*|%}@eBpSJ_9)D&tI5tAFP+t zT_Il^I&2qj@SAF#ew)zWnLTyZ{~(^ZCj?RF*|ExY+VxaNT1gb_#3hv((l^ArCC=V- z0Mt(7f_RR2ZT&C0*)z3K1I{TWW`|dcrg^*#bMtXi(HQS@DFS3n)S?GEY-c$deD7F& z#UKzagYK*KDE9(g5Al-Hp!1m@GQUH7a}vH*{J!6ge)vmaAtF&Dl2c6+m7>;gUDki& z?OrT4X*AgodA#wl%`35Zwumn2phC*@%Endkytnag_+I27A0#9?)GtJ}bnM&>n52iU z1&JHydJ12wCnDw9ZoXVaPh=n({;KT>7!u~vrnTXi1t6!60&083(+vmWq|LVjT_@&= zCmTMU`HPDk?HtU9OBISOg*v!Y^bB0kbPlX-n`}o{=a25yU%fi-oiW-8SXG_-BXKk~ z!SzCLvn?(g%r45%eO|Id7Kb*bcMJM9qs)*E3u7WZSdZ%>8lJAEARO zZoV`_N-t+lnr(ItablcQV~eu!#oxqL;src5y75i9KbyD@sJDy%(rvU4(CviRgq^R% z<=DDRvKZ?)JslsaR2BWB8#=qyk;r^5Tkt#<-`=$i)-IRpq-J|>i9xun{i$8 zoUhP<9fztUhX}iy)wPF9phy%XtA+lWx+@;yz_Tpip1V3L@HWR|JUj&`Gom(*TPARX zu+f|nY67eT-qwyz5B4B zw`-uLZqORs4Gv$fpg)J710Pz^w|IR4Km>6{;iS#&8FVF~a8f_y2<|qpBKWWmUHRO- z!+3WG&D|zC7nA`al4$wNQQ2V7!kly0<|I^3Wg8rH>uSg#$r!W;KMuNWc$k0KvAq2~ z4-fKhI{|dw^#+1mFN>IFa$aNX~o5F$fN1ez#BuNgQZ(ecKbNrs(T@l@F^4^l1wpYDUS}8HWKXT!jQ1aR zBN$;wNcT$cMguSe4qK~f@h!|RLh(-_fZY#ml7;O+zdLvwbn;$e$=tjdpD_s7ty0)_ zJ`#9N42QLy?*)3G211Y*P^v4$;-k^$(JkPWY>>x_D=Pb@5oJ*Za+3*OaYL~n$J|iz z;Az*+LbqVheJ}4sOBdsO;bF^IO_FbZQv}EsaA|jU70<9BC?jod2U_p}i4}&dPF;tg zwx+J{g2r$W1=2`(BXTtJI7sF`$bX9Gfo-7q@H zjd{&bi|>7@c=LI;txfa({UX(I5WH8eD%$i)5i--lD76smNjVVSX4Y-LmFP%+s2(>J z5{O{agUEBtQo)1f?tT65e&{Yd+;W4VKt^f*i}eF_S0DgPzc>MM<>{?aKGHr9J|qTD zF^&T|eJY?ox=kDl8}Mt&K@wCuzW2TJ+XBmjmo~s1{0wjDI+DU`GRB38jJG!6$k~-V z(COR=HVXf~Z0w@y{HA5{YJLiIIhi>zoY`@Zska0oJiO^20=H~C$^=+WAl6c$fQP00 zy794Lf8oXZLk3Oc+Wd~9^x3!N!iRu;kaV7kK&E#W1a3Yq9EgM+!OesBCi8Drbdy)I zu&=HfqF*#X-NrrrkkFwd-~%+i5YWJR7+ScHSk2mvOqVpjz=qGd0fMd$XO8-z-Fb}E zE#Um)E}^!TZbS_HhOC^hD7|=ec#;cNUs&64gNKYb@12U8!&ab8WGjoPSkyTbS~oG!<%8Lo&xklU-_R3W zK+OaV907g1r5?`b91yuzQ~n6Zc{*ziAPa=BM??z-HLjrG%j~oi$M*{-sZgnqkRRG> zaCO@mK)~4<3{JJ4gap@3ApF~roj17Z#9-bfz!21K+fJ&<%yFjl$#p)oY&&(T@F>JJ zinl;|33Y#0r;D`ZIXgc_p#pjDAuF9N$jzmSpuWS+J(QMjVP4I~&4wbe?Z(YwTh>8| zIt+<~f(niU-GD;a%-yJJfq9d~;KBRsx1o9PGGQj z=E}Zw)kPw|lPB-asI{cu$CRO)=p@^8dC`Mt;+p3ox&?>P$A_>Vpsohwok=Ycd>-Tu zXbWDR;>khUAXt!gOTmlx;)lLoZ5dq)#|Xj$$6}MI?V*5q=dD|9@Lel1_D7RRprpar z<;v7ntjrRzn`*pXZ5-+(AL@m)Yo2K{A@+T6mhnsWHE#l)!Kn@(&u`A-`3;$Qlo~Q3 zF;Z&sup|LypT`&6Z88B_W-_2b^!X&|f7SQ(I zM9?|)(OnrXV0qjnM|Z2ZfKmGN{sa)TgzN|5qt?tH&hqPe>sysh>q7P>^)1{lAgH6| zm}<=L;ScGzzq@b#N_M~N-}Z!bH{!0Z?jdf#H!@J$GAN=0_a5lw5+rt6b-!Wqw@l{y z?OJ!?U$Xu^IABqu-PLvp<=zDmslP1|DRs@w@&!FO95-|eLPkZVdF1bY-OakRUKYya z>{4J$`BrGzWTG zxVzhU^4M70qW9ncZVa6L^aMra-!eTGK>unc`ie5GQbBiHJzPm;h$hY%)VwAg znqqM$pT$$n^|jau9_pi&|CaMQ#7*46z#{)TJTmk6u!VBR!)J5K0zlSM!`2nE47Yxz ztbMe)EVd3+7g^LoiGIW+Iq+?u1g26b)tfeqU5p-1v=hsPnb1S z#h3#|o->b(*B+~uEf9uY+>c~Oe98kHBo_9Ru~GH3pqX`P*TH15mmN)gs{|cpbvvot zua+O5Dhue67$`y!$WRlSqGPQ9mr0Txt=wXrR>EkSQ8@}*{(=$;HM5L?uddXwB4Rx+LN!p!R7rF*B?U` zF~iW{xDSn**&EdRHR&ODNPHxNGxFk0ObUR-twAp)lOlf%X+MTRfj0gFgTqVI6M4!V zz4tcdgkiw}e?(P}B;T$ahQZ!mXw-oezkx~LF*Y{e)2Dn$WHVklwI(C$Tu|T?aflnz zIADIYVlPAYEMTM`{TGXKx&)$+rESmSc>eICm=y2H8*8ogY+aX?mM5Q*Oao(;KdWq0 zUFM(Ze(7!NkoDcqVR!iQi@xjA(1&%S_|7MAX>5>0I7mh`r?4h!<_~uc^qwVLuQM_( zm}y4vONUKs8!1WBXO?%nXP!u(GgoQa8h^Q)U7Mr>GG7`J@Dp!8QY91;Q2(hPy3Yn}LsxQ*wK^Vr{JTK$EbbgkjGT9FU zr13QVi40*k-Pg(t$Z5yh)%vYY>92|PBH!4&>z>x-K|we8oQ{f^gQ@V%BJ#!Mhr9OS z8SbMcf3P(vKD8W)6|-gacESxkwqRlyc4FnhONIq!!pQ2BBEW8(WKixFE&l@kziY=*8&acpRgos>sP#j+=5zsrA3>cbi*(ZIJqE}&@_tC&CX zZIUZqqT$1z>~&4MpXGx1#gklQKBaztvbpxh`^p8!-sO*w_;}hR!EJmJm`oLT?9&>e zkS$}q7)rhCy24p*ynoKUig3JEng!Zl0aavrF_}^Tbxzr#^DaR(o;-WT7bl5ffXaYh z_#v>JA8nF`FDa+xtG0$ppRcyb8JA;mnqsIR1U`6Yt7dJIn!UK^Nqko=Kd-J_8*9SK zqNp@#rReMHQ}Zy8;B?|n$WTBeWfZPhhFMqJTUEy(WALu-v(4}jZtF{!AH}Xa1$_a5 zgps@=TX=2lx2ifqX*1x0?hWnDsAwi%%hl~oC2;J$Y1M3}tK+;2H@6)v@g+o0QI{p# zs^~kxa zuOL+Usi(h2DR#ip)`xQ|=^5!S?)@v8h&Zm+sO@J5 zUHfQsEghD$0ka^B!RK)jt)!Vn60UbU1MfNOjaiB$wI*L0@cqUd+Y8MLn4Y=#szl6D zhU>8(syJJ$5b(z{Ku1k_bmoFOTZrQL>ExSN%32PRoe3=q$UTAJ}XsRCDJ z-ifh+l*a+FI}XVF?K!Q&0|9OaeS`w=U-g!#t(|&p(Afge)&~l(uutieK>!7 zo>kr&z-nY{SGyVG_MH1b)(sf1H|q#n>6(XBEbq2p&P6u*Qq20#y9WOhD(*zg-_H-J zukXS)81v^$MPFcP1+A(EeNxcjKiaoHnhVx%5$d#B7OJ`RDK2u_8-A|#-g7&=qiM!Y z1eL{JER*>D(|KB$}()K`XEOa!q&d2)CZSX%gJaaE^ zA4?uzdu!*&L|K*oH{=n=NX~8h-YA6|J0BN#oG~ z#A-WGP%z(eZ>jg7{l)hw_47R_SMV>h=PpSt28#l{ebmnCZ+i5wx4L!G`HD-ZLV7CM zWAl7u6ZFrz0@n^E4je~jz3-E`<__}sZIov}mF{#>xl~Dcf9%OUk9htIA5U7qKCb&A z$+8XNTntJYz09Dq&DwlrlG9ItDt@jj5B0e)|L~n{qjuj}hdhG!xoYM2NlvVN=H;Eg z&a#_LhwQiETfaY_^^`~sn(gIj_HEk@*G(8}o{I2HT2{|D%arkiTW&1mtyv}zF|AbO zDeF1~<`guz{Woi=BxcXxk8GJevPSa%VompvGEDwwk&l$YG%cVm6n~ad{q}3fNo3!Z zjyQ`7slH`B@O|i1HYVrAblVO-R)wq&M8D24E#xzof~_o1ZWH^7&b3-4q-zrv)C`nT zV?C!#T&d2jbTsa`Qs{|JW~J+3;Ia~`P(0z6ZTLz4n&x;qJ4j0i58v9e!fxc_87$+; zhD0KhGk1k_^Zn;Z#p*fLJp*G_3#>1{hBv!EZV0IPZP-_@$lg7pC_M1Qa0q^>mV>o9 zI!gZKa9~*{a|(It6i<#Z#7X1C4z9B>H0R~q%;94WT9%%WvAHw0RwoHj>fZUl#p!!X zXM{rgZ*pq*VLx>qi7~_ezkDc9Yl{gTQ?-6Rf{-2Zwl`%9$~oR{O0z^g*p*>Vb#mA_ zY?Sf}7L+rpHJF>JHkl{vK+WeH-t!ZtV8*g5S0ES=&o~qkrW(!;yLH$l>bDam-Wc}sO}1xsLP%OPLM?Gs_%8~%p)J`p2}otf7p{r;O-j#UIzLL| zJt;)g^PW)6p^WekA#Kf;!f2M1;tTB0IT;%h-@g*$4QShi_omZ&+7z74)&u%q9n(J4 z=j8DKJ7gl-x!%cG1{;3zB;3AzW;of?9&u&*Crq2QsO!&6K97&`+X1W}W!bz2nwtDN zI&2ga8H}`NmoH{6-Ybdbbr52yGclgnOCY<2TZ)4e#++jv)sO@^Wz40lu&Qr znw4{7)^9c=c71~dkmQqLz>7NNmglkoT?Bj>><$E^39{cTl&zBO2}o7bg>Yg9(cHTJ z#XnJr-U}H#G&BGy8rrk}x;S~f>@D1F()CTizy}`cMtQN^5o?Z0!A# z|Es9v$Wj02JLZ}yQx@O%V6o<Ih$GzGU>_p^P|BPUopFfiv)j?5nhNMP4-0TSvpDUGPT(2+^;L#PHkjBdN19|~^4 zSKls5H~6}0G|xBBtJS#>!g-9<3&4IM=cj^@t$h=lB4?s#8={uc9bDt^b9G`7q9b({ z(wOk;%vQFT2M#x??0h9UmGlS;nKzL$X#(!&gO3yHAy>QClHSEN?`3yEo$||pD5K!l z#7`|*2qwh)244%)|7;ZNTd%;Jw)~hRZgWT_<)bm9&h`9Ob9g4qAmD?8QlzVk zU4>0LllplPm7OEO zT&JwW7D2H0))>Sx1?V`7`!6U`G~3Xne*`4rzHpD~QPdDr2ugF*Ou|U3ka*v%7lB!g z8^)DqgznnEhSwpzODz-LNAC=Fyss#oZEnj%$K8vdb`hpcL8ph)0iW_f_Y5yzF0)AR z^pM*s(vxUN1aXzGeF0XQ`EX4+ADnm?(aD+RN(F`KhKcil71>r@-w%2$>6SIOOA#V8 zr00~w3d7{m2J@a1ez#jq_4aI$WXz5duS^m=mkS}~Xe8fh3!>Pv!jQ>`>XX`D8W8da z8kp5dW8B@$QN4NIienDcwex60X76L-l-)D-$faGr#4kVm1VU=I+Kw`G**V^zOq`>Hnb8LyP$ zYiI&wzhG6?kHsLCEiAf&Mjb1g5%VzmM3@GL_vDKa&7*H~lD!0r} z_S!kjIU)?f&-^0d8h{^aj-!yw#m#jOUw2{;c8{o@QD5^z&MDGp2 zqa<;5=QH2J2q$?HI`p;&i-8!OOpGi{UO!SbXYFfo?+zwIldxY{_$z!9KQRpUyU1rc z9=C=vsNuJ4xaz;F`KZp_6T3fh{*})wh%Ot%JI*_&(o)%g>jg}-aLq}*9>$NBxgnZFdDVRuDpXV&O-qOD~3 zMb_WO!AEHP)V`5T{@iJ4Z~Yd{X_2dYryv*pI4}7TFbSBSYPy(gts!vI7gx73KEkBG zwgdzrf@A2IM43GqZ{ps~ji@tQrUs5s#5gCLD{Trqpo-s_We)SQyh;gG;q4e02CqisruKj1d|pX8x9{sGQ1`d_d~2`qFDt zlyonJAa09)J!tw{%<#A0(I(^#Q$5DKoxKtDc#@!Cq%L#FwEzd_$!sR5D@@`G#(c=d z4#i6vPn<}bSFfkui+LEKRtJ+~gk=4AxFQVw)r>w!v5WGr=Xc9*fdYloR&GvNREF6! zWiDTA-Z1>ou}dYn=~mq82C}4eOMS^iu=5UVK9F!uau%=0Z)yjosSj?t{rU#-(aEc_ zIGTNYKd`xy@b^9+A$K!Y6l9xZoSW!!6sUQF7@T=nLxcwTCY@zx-2tqc9<-@ZO`r$1 zd=g<1MLt zmm__`AC-MJ)bGQ?e9Ai|W=nqcn5v=_ts+1!*o^t=ZeOaMzPI*^Z~dyZiF_;A-#gf! zt~jcda$MWvMb|+8tTk3_2r{g+hKdz&B6>9eU!q&_eD%t?IYmKl!8wrNnFwcL(dRZU zX*nC;(D~%j7M_!5La+>>HkqJrBX|-71gRPwJ^)V%!mT5|MFlpn%Qyo1HFw9-Q7g4v!FHGwTkCKQGys}$nu;||s z+W1r&27XKg@f18y)_Je`jE3g)sK$&%S>9%)czY)%qOnykS&icFvio_XFg4N5JDNY_ zWUQpLT%IoCIG7;9Z{kiwaemTdNigS5AoQ*uba6vzB4^`c zZyI#bKaaa8_sg#UUymHSGUCNMr-vr-aIMbY)^9gTzXk~|epU3@u)K-o>`rp6>-Zq= zk*Mkmdwv6E)KEdkAjkYqDv@ybePY(58=gH~-T%hW0-S`w!IILBrnPOWoa@$J@&J-$L%%!{YazqM_Z}{I47SkA%mwgw_~( z`(HwM{H(41jXkk6tu%guh8FVm-&o?u1N@HxJV0yPe`5`zW||Tn6)9n%q2d0c^s)S} z-VAy)G;1q&9zU1=%h-jc#kK%4OtgJ*%Ky2J{ulO-u}X~prO?mX=Ks?8@1*U2z{diZ z|EIN&DcpZs`|tSoKh~~_|DV|ZM8p4<@b6ggKN2V<{~r?m4gYtv^dGpO)c*m?M*q9-{zo&T!hfOv>B$-@*pDf3G&F+87wqxc6jQ`R<3W?> y4^Z{AR8$WT)$_I1khkO)kTX3R4;`COP6I2s3@)lE;{{H~D@}U+0 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.pb b/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.pb deleted file mode 100644 index 5b79ffa85e4e799165f95b8d068bcf3b32ea249d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z(7@^bl($FE%I2*Q0}$*LW@zYiYZU>pdnG~ST}g(9-L6ZeKn7(5&% nS#dapy16@t1o@n`11Xtn4h1YkGM diff --git a/project_1/project_1.runs/impl_1/init_design.pb b/project_1/project_1.runs/impl_1/init_design.pb index 333a86655c7518f3a48269b34d7bdabbbee19f4c..8248afa167bbe5f125cd442646733578d8a2ab65 100644 GIT binary patch delta 18 ZcmbQuHJfXLEF+`k" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 + +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param xicom.use_bs_reader 1 + create_project -in_memory -part xc7z010clg400-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 + set_property webtalk.parent_dir /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/wt [current_project] + set_property parent.project_path /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.xpr [current_project] + set_property ip_output_repo /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] + add_files -quiet /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/lab0_wrapper.dcp + read_xdc /home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc + link_design -top lab0_wrapper -part xc7z010clg400-1 + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb + opt_design + write_checkpoint -force lab0_wrapper_opt.dcp + catch { report_drc -file lab0_wrapper_drc_opted.rpt } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb + implement_debug_core + place_design + write_checkpoint -force lab0_wrapper_placed.dcp + catch { report_io -file lab0_wrapper_io_placed.rpt } + catch { report_utilization -file lab0_wrapper_utilization_placed.rpt -pb lab0_wrapper_utilization_placed.pb } + catch { report_control_sets -verbose -file lab0_wrapper_control_sets_placed.rpt } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb + route_design + write_checkpoint -force lab0_wrapper_routed.dcp + catch { report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx } + catch { report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx } + catch { report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx } + catch { report_route_status -file lab0_wrapper_route_status.rpt -pb lab0_wrapper_route_status.pb } + catch { report_clock_utilization -file lab0_wrapper_clock_utilization_routed.rpt } + catch { report_timing_summary -warn_on_violation -max_paths 10 -file lab0_wrapper_timing_summary_routed.rpt -rpx lab0_wrapper_timing_summary_routed.rpx } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { + write_checkpoint -force lab0_wrapper_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper.vdi b/project_1/project_1.runs/impl_1/lab0_wrapper.vdi new file mode 100644 index 0000000..837d9d3 --- /dev/null +++ b/project_1/project_1.runs/impl_1/lab0_wrapper.vdi @@ -0,0 +1,371 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:50:15 2017 +# Process ID: 5568 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.58 . Memory (MB): peak = 1380.207 ; gain = 46.016 ; free physical = 7638 ; free virtual = 19226 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +Ending Logic Optimization Task | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.699 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18865 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1806.699 ; gain = 472.508 ; free physical = 7279 ; free virtual = 18865 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1830.711 ; gain = 0.000 ; free physical = 7279 ; free virtual = 18866 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7264 ; free virtual = 18850 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: d5a1df2f + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7264 ; free virtual = 18850 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7264 ; free virtual = 18850 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1250244d5 + +Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.24 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7265 ; free virtual = 18851 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.25 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7265 ; free virtual = 18851 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.25 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7265 ; free virtual = 18851 +Phase 1 Placer Initialization | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.25 . Memory (MB): peak = 1838.715 ; gain = 0.000 ; free physical = 7265 ; free virtual = 18851 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1d9ea070c + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1d9ea070c + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1ec31c88d + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1cd18c7b4 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.37 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1cd18c7b4 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.37 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7261 ; free virtual = 18847 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7261 ; free virtual = 18847 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7261 ; free virtual = 18847 +Phase 3 Detail Placement | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7261 ; free virtual = 18847 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.77 ; elapsed = 00:00:00.42 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7261 ; free virtual = 18847 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.42 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.42 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 5d120dd5 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.42 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 5d120dd5 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.42 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7262 ; free virtual = 18848 +Ending Placer Task | Checksum: 3b3cc3fe + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.43 . Memory (MB): peak = 1870.730 ; gain = 32.016 ; free physical = 7263 ; free virtual = 18849 +34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1870.730 ; gain = 0.000 ; free physical = 7264 ; free virtual = 18851 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.17 . Memory (MB): peak = 1870.730 ; gain = 0.000 ; free physical = 7260 ; free virtual = 18846 +report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1870.730 ; gain = 0.000 ; free physical = 7266 ; free virtual = 18852 +report_control_sets: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1870.730 ; gain = 0.000 ; free physical = 7267 ; free virtual = 18853 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs +Checksum: PlaceDB: 4f3a104 ConstDB: 0 ShapeSum: 364922fa RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1891.719 ; gain = 20.988 ; free physical = 7190 ; free virtual = 18796 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1905.719 ; gain = 34.988 ; free physical = 7176 ; free virtual = 18782 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1905.719 ; gain = 34.988 ; free physical = 7176 ; free virtual = 18782 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: c75d0047 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7170 ; free virtual = 18775 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 152d5e090 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 +Phase 4 Rip-up And Reroute | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 +Phase 6 Post Hold Fix | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0523649 % + Global Horizontal Routing Utilization = 0.00758272 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 11.7647%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 5.88235%, No Congested Regions. +Phase 7 Route finalize | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1910.719 ; gain = 39.988 ; free physical = 7172 ; free virtual = 18778 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: d648e34d + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1912.719 ; gain = 41.988 ; free physical = 7172 ; free virtual = 18777 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 42296b1e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1912.719 ; gain = 41.988 ; free physical = 7172 ; free virtual = 18777 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1912.719 ; gain = 41.988 ; free physical = 7186 ; free virtual = 18792 + +Routing Is Done. +42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:09 . Memory (MB): peak = 1938.750 ; gain = 68.020 ; free physical = 7186 ; free virtual = 18792 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1938.750 ; gain = 0.000 ; free physical = 7185 ; free virtual = 18792 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:50:50 2017... diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_12929.backup.vdi b/project_1/project_1.runs/impl_1/lab0_wrapper_12929.backup.vdi new file mode 100644 index 0000000..2e8b944 --- /dev/null +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_12929.backup.vdi @@ -0,0 +1,371 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:28:33 2017 +# Process ID: 12929 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.2 +INFO: [Device 21-403] Loading part xc7z010clg400-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.49 . Memory (MB): peak = 1379.211 ; gain = 46.016 ; free physical = 8060 ; free virtual = 19569 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +Ending Logic Optimization Task | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 147d9b361 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1806.703 ; gain = 0.000 ; free physical = 7695 ; free virtual = 19205 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1806.703 ; gain = 473.508 ; free physical = 7695 ; free virtual = 19205 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1830.715 ; gain = 0.000 ; free physical = 7694 ; free virtual = 19205 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_opt.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7680 ; free virtual = 19189 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: d5a1df2f + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7680 ; free virtual = 19189 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7680 ; free virtual = 19189 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1250244d5 + +Time (s): cpu = 00:00:00.30 ; elapsed = 00:00:00.22 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7679 ; free virtual = 19189 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.23 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7679 ; free virtual = 19189 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.23 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7679 ; free virtual = 19189 +Phase 1 Placer Initialization | Checksum: 16c3bf1d9 + +Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.23 . Memory (MB): peak = 1838.719 ; gain = 0.000 ; free physical = 7679 ; free virtual = 19189 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1d9ea070c + +Time (s): cpu = 00:00:00.63 ; elapsed = 00:00:00.35 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19186 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1d9ea070c + +Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.35 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19186 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1ec31c88d + +Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19186 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1cd18c7b4 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19186 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1cd18c7b4 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.36 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19186 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.73 ; elapsed = 00:00:00.40 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7675 ; free virtual = 19185 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.73 ; elapsed = 00:00:00.40 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7675 ; free virtual = 19185 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.73 ; elapsed = 00:00:00.40 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7675 ; free virtual = 19185 +Phase 3 Detail Placement | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.73 ; elapsed = 00:00:00.40 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7675 ; free virtual = 19185 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.74 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7675 ; free virtual = 19185 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19185 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 6d8001ec + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19185 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 5d120dd5 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19185 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 5d120dd5 + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7676 ; free virtual = 19185 +Ending Placer Task | Checksum: 3b3cc3fe + +Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.41 . Memory (MB): peak = 1870.734 ; gain = 32.016 ; free physical = 7678 ; free virtual = 19188 +34 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1870.734 ; gain = 0.000 ; free physical = 7679 ; free virtual = 19190 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1870.734 ; gain = 0.000 ; free physical = 7670 ; free virtual = 19180 +report_utilization: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1870.734 ; gain = 0.000 ; free physical = 7678 ; free virtual = 19188 +report_control_sets: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1870.734 ; gain = 0.000 ; free physical = 7677 ; free virtual = 19187 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7z010-clg400' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010-clg400' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 4 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs +Checksum: PlaceDB: 4f3a104 ConstDB: 0 ShapeSum: 364922fa RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1890.723 ; gain = 19.988 ; free physical = 7595 ; free virtual = 19104 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1904.723 ; gain = 33.988 ; free physical = 7580 ; free virtual = 19090 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 134c084e5 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1904.723 ; gain = 33.988 ; free physical = 7580 ; free virtual = 19090 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: c75d0047 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7575 ; free virtual = 19085 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 152d5e090 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 +Phase 4 Rip-up And Reroute | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 +Phase 6 Post Hold Fix | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0523649 % + Global Horizontal Routing Utilization = 0.00758272 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 11.7647%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 5.88235%, No Congested Regions. +Phase 7 Route finalize | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1909.723 ; gain = 38.988 ; free physical = 7576 ; free virtual = 19086 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: d648e34d + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1911.723 ; gain = 40.988 ; free physical = 7575 ; free virtual = 19085 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 42296b1e + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1911.723 ; gain = 40.988 ; free physical = 7576 ; free virtual = 19086 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 1911.723 ; gain = 40.988 ; free physical = 7591 ; free virtual = 19101 + +Routing Is Done. +42 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:08 . Memory (MB): peak = 1937.754 ; gain = 67.020 ; free physical = 7592 ; free virtual = 19102 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1937.754 ; gain = 0.000 ; free physical = 7591 ; free virtual = 19102 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_routed.dcp' has been generated. +Command: report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file lab0_wrapper_methodology_drc_routed.rpt -rpx lab0_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +49 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:29:05 2017... diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt similarity index 82% rename from project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt index 61cd6cc..9ada4ff 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_clock_utilization_routed.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_clock_utilization_routed.rpt @@ -1,13 +1,13 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:32 2017 +| Date : Wed Sep 27 19:50:49 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_clock_utilization -file hw_wrapper_clock_utilization_routed.rpt -| Design : hw_wrapper +| Command : report_clock_utilization -file lab0_wrapper_clock_utilization_routed.rpt +| Design : lab0_wrapper | Device : 7z010-clg400 | Speed File : -1 PRODUCTION 1.11 2014-09-11 ----------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------ Clock Utilization Report @@ -20,7 +20,6 @@ Table of Contents 5. Clock Regions : Global Clock Summary 6. Device Cell Placement Summary for Global Clock g0 7. Clock Region Cell Placement per Global Clock: Region X1Y0 -8. Clock Region Cell Placement per Global Clock: Region X1Y1 1. Clock Primitive Utilization ------------------------------ @@ -44,7 +43,7 @@ Table of Contents +-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ | Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | +-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 4 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 1 | 9 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | +-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -71,9 +70,9 @@ Table of Contents | Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ | X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 1 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 9 | 1100 | 4 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | | X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 3 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ * Global Clock column represents track count; while other columns represents cell counts @@ -84,7 +83,7 @@ Table of Contents +----+----+----+ | | X0 | X1 | +----+----+----+ -| Y1 | 0 | 1 | +| Y1 | 0 | 0 | | Y0 | 0 | 1 | +----+----+----+ @@ -95,7 +94,7 @@ Table of Contents +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ | Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | | | | 4 | 0 | 0 | 0 | clk_IBUF_BUFG | +| g0 | BUFG/O | n/a | | | | 9 | 0 | 0 | 0 | clk_IBUF_BUFG | +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ * Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources ** IO Loads column represents load cell count of IO types @@ -106,8 +105,8 @@ Table of Contents +----+----+----+ | | X0 | X1 | +----+----+----+ -| Y1 | 0 | 3 | -| Y0 | 0 | 1 | +| Y1 | 0 | 0 | +| Y0 | 0 | 9 | +----+----+----+ @@ -117,20 +116,7 @@ Table of Contents +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ | Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - -8. Clock Region Cell Placement per Global Clock: Region X1Y1 ------------------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 3 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | +| g0 | n/a | BUFG/O | None | 9 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -150,5 +136,5 @@ set_property LOC IOB_X0Y78 [get_ports clk] #startgroup create_pblock {CLKAG_clk_IBUF_BUFG} add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} #endgroup diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_control_sets_placed.rpt similarity index 81% rename from project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_control_sets_placed.rpt index 308c4cc..bab72e0 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_control_sets_placed.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_control_sets_placed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------- +----------------------------------------------------------------------------------------- | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:21 2017 +| Date : Wed Sep 27 19:50:38 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_control_sets -verbose -file hw_wrapper_control_sets_placed.rpt -| Design : hw_wrapper +| Command : report_control_sets -verbose -file lab0_wrapper_control_sets_placed.rpt +| Design : lab0_wrapper | Device : xc7z010 ---------------------------------------------------------------------------------------- +----------------------------------------------------------------------------------------- Control Set Information @@ -22,8 +22,8 @@ Table of Contents +----------------------------------------------------------+-------+ | Status | Count | +----------------------------------------------------------+-------+ -| Number of unique control sets | 1 | -| Unused register locations in slices containing registers | 4 | +| Number of unique control sets | 3 | +| Unused register locations in slices containing registers | 15 | +----------------------------------------------------------+-------+ @@ -33,10 +33,10 @@ Table of Contents +--------------+-----------------------+------------------------+-----------------+--------------+ | Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | +--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 4 | 4 | +| No | No | No | 1 | 1 | | No | No | Yes | 0 | 0 | | No | Yes | No | 0 | 0 | -| Yes | No | No | 0 | 0 | +| Yes | No | No | 8 | 4 | | Yes | No | Yes | 0 | 0 | | Yes | Yes | No | 0 | 0 | +--------------+-----------------------+------------------------+-----------------+--------------+ @@ -48,14 +48,17 @@ Table of Contents +----------------+---------------+------------------+------------------+----------------+ | Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | +----------------+---------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | | | 4 | 4 | +| clk_IBUF_BUFG | | | 1 | 1 | +| clk_IBUF_BUFG | btn_IBUF[1] | | 2 | 4 | +| clk_IBUF_BUFG | btn_IBUF[0] | | 2 | 4 | +----------------+---------------+------------------+------------------+----------------+ +--------+-----------------------+ | Fanout | Number of ControlSets | +--------+-----------------------+ -| 4 | 1 | +| 1 | 1 | +| 4 | 2 | +--------+-----------------------+ diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_opted.rpt similarity index 90% rename from project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_drc_opted.rpt index 5204430..ad17e53 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_drc_opted.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_opted.rpt @@ -1,10 +1,10 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------ | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:20 2017 +| Date : Wed Sep 27 19:50:36 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_drc -file hw_wrapper_drc_opted.rpt -| Design : hw_wrapper +| Command : report_drc -file lab0_wrapper_drc_opted.rpt +| Design : lab0_wrapper | Device : xc7z010clg400-1 | Speed File : -1 | Design State : Synthesized diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.pb b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.pb similarity index 100% rename from project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.pb rename to project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.pb diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpt similarity index 78% rename from project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpt index d732569..f9ca882 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_drc_routed.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpt @@ -1,14 +1,14 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:31 2017 +| Date : Wed Sep 27 19:50:48 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_drc -file hw_wrapper_drc_routed.rpt -pb hw_wrapper_drc_routed.pb -rpx hw_wrapper_drc_routed.rpx -| Design : hw_wrapper +| Command : report_drc -file lab0_wrapper_drc_routed.rpt -pb lab0_wrapper_drc_routed.pb -rpx lab0_wrapper_drc_routed.rpx +| Design : lab0_wrapper | Device : xc7z010clg400-1 | Speed File : -1 | Design State : Routed ------------------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------------------ Report DRC diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpx b/project_1/project_1.runs/impl_1/lab0_wrapper_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..77afdd72930179318d2e9e0b7c0b3e7e18880e7b GIT binary patch literal 355 zcmcJKK}!QM5QP&KYEi^eC`fx5>P>LzuArW4y?82B6na^*o9S*aCfiAZ_2$u|_-8$8 z7X1s(50~@eLD?0$puM|^ct6R~d^pjfoE0x5+7_vh zR25`{N10N)JN}My)bN(=*c)f>oPTiknH(%u*YJ1ftLx!|WW4t%$S6}!d+X)hHu<|jn5%H + Max violations: + Violations found: 9 ++-----------+----------+-----------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-----------------------------+------------+ +| TIMING-17 | Warning | Non-clocked sequential cell | 9 | ++-----------+----------+-----------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Warning +Non-clocked sequential cell +The clock pin opA_mem/q_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#2 Warning +Non-clocked sequential cell +The clock pin opA_mem/q_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#3 Warning +Non-clocked sequential cell +The clock pin opA_mem/q_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#4 Warning +Non-clocked sequential cell +The clock pin opA_mem/q_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#5 Warning +Non-clocked sequential cell +The clock pin opB_mem/q_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#6 Warning +Non-clocked sequential cell +The clock pin opB_mem/q_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#7 Warning +Non-clocked sequential cell +The clock pin opB_mem/q_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#8 Warning +Non-clocked sequential cell +The clock pin opB_mem/q_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#9 Warning +Non-clocked sequential cell +The clock pin src_sel/q_reg/C is not reached by a timing clock +Related violations: + + diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx b/project_1/project_1.runs/impl_1/lab0_wrapper_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..1a007fc2b50a411442819cef2839e595f5c7dc60 GIT binary patch literal 2192 zcmbu=O-sWt90u^#x$Z^8F>%Ot33?C)&b8g+89$OJ`X=s`xUZCJ~?rluY0&7(*0 z+j;dP>sgXkd7=gd{A_(DHu&Ws8_Iazy9ujD@MlQ?zu;vd*UPC=3A8;^ zwSWdKOIrF|w<$KcPP=bF5Ud4`X#?c6{dpT# z%&~uFxbK)fVk)dFZrNpkJ ziijv{L@9;JMMh;UPARi1sUjjunJDE@nPgPfe^YkY=knhX5oL=gtD#b0R5s$2R73Ui z_4%%(iiju=iE=)dDMsaPoRVs&{#H^&M3e_aIiJfkqp}&Nq#CNfl~fTC7%bT8wDQDfcN^O1xJcK6+e(IEWLB!Ezo~tv9po~azxcdmABTq09^D;AWkBz- zZjjzFsGx#AGV$TSJ9(+*o$7*K2um@6Mt2I9BqFbg=Emd@iygscNwnd-@Tx};5H$Q_ zzF|4vk#FgjzA2>^J35@HOcb_)5xYBtqB2V+REz6j^dbOGy(1yBotH8tN2;oz>o#$w zw)eX@sbj%bOxKpTYV?4@kNHb=vy+YHRucr|S(G2nY#)mU{Yh zIxhBlwzfw0G%kk5{qg(=AcSzjkC_k@@86my;7F{~g-|DLoOT8hSR&x5DB|1eqg@CV zSKYeqJV65K9qAT6)L96bEV&9K_y?x2l3O>T3r?2*ZYjlHR2zGF73G=Mf^hC<#!h_B z_#M)_m?Jw*&#iR?X00YmZl$UKUj z$U3Ubsy$^^)?K|@n&f!AO!Pi7WoBINa&c}Bx;uA9JyLnf-eNyxUEsdXW$UW3&EEn2 z?*Y%CrS?7m2I>M>tEm6SfOQ-ko%Cs(wIXFD`}mOhFQ3sai;?1#dwjS{L?xhX@cI4X!ds_O&cpf`kQ|gbg)K_*syy@#CTbvyHQXijZ-bb|7{a;!#-wh)F%E$Sy z87_yCuoI~CKRe_YKd=kI`0WZ)wxMvuo5oTSTGC*G+iM)5-dw1(Z*ooWI6j#en%jG3 zd6%cxP%NeV(zu5I-_rz`86c1^1=z3w<$taV9WyyoHwRf;M;b>1OVIyge#hxgq6h&i z2@g;Z|BoGMT&;oekajOsEnSrvS%n3@12#rxJ-siar=w$XU1?B%Rlyxj5D^f|t@iE7 z3mx2LxdGjUgm4NwfJYGPU4Z*e3_YOhvBDLI4DlDpdrS)dfs4WIoUCowdSjR*Wu4@+ z|1CW(&fx)Z$>AnsFMYkWskPKbTysBa2 z(2mj?VPL0^-h$2;VerhAN-qnJCK11)JZTalr+Tqg2AzdqK-;Gom+?HAohcRzpMJMy zS&V<8?Rh+cWYizX za&)OZ7mBPjak6qI*mDfTCP~PUvx0Sd%e;E6@NZ}6ixRj6I~=#;bpy|V!ys`@ua~{d zek!n4WZJtfDZDAh5yL60$+Z2Raa3^3X`qqAm&{fNS>d-)!@L-uB&u~3GPm}opeO-Q zGv&}-YP!Zbblsk62a{JGjBguoU953iS>7KtYPk5HMf8IGFx#kEp2IKjLMi8&y*Y8 z+b;T3#I>uYYuAFo7oBP^Lr-~>}VSH z?a0z-sl-R!-?T6<_7U@%>gFg;hnSM+0wg8}Bd?C;%_J&bE~e$0^Mv{4TUQ?=o2uqX ze=c)5VDGexrhV^Q4cx^>g6i*&kt9zn>o%kB2l<5ogK}^>g-^AAF%`Qh^&`@U-XNpY zII%^~AZwD9Fv><^ZK=PttC`yI@%CcKv)G|xr%8mV8P$|h%_tSOvmT$Jxv19t2Dwud zm<_;C3J~|XLeU;XTR`Sm(h+QE{KmDgn+<1N&{N7Zl-Ll>7el~xq=yZIf|hPdo$o<4 zcmKzo(j62%f34rS}U*XWOnj;b1QmXpxjHF1HpcjP?L z!rz!Ru%U8x`3Z*yEnl+#kY!lA;CW|wk+Q4FJ?tPZZWUwRM6h>Y_qy~ zLGdu3ihQO09>pJhK-g%nR`b(7p_{*7;U6FP0BIvNOSRq_bcccX2&KLdZV~!zf^kt@ zK|n8hq2ykI%0RV?3%t|%Qu`GFcm23R1V)5<^9`&Q3Z?~L!g$gdM*76vO7C7Zgqrbv z1>YdLc>>--_WS&H)!xl%IOGlXRM_2S|MdIhO~}bRw^byUgI7Kyt9U|I@sPaq7Ipp` z@t1xMJpmDN0?us(lGpMrt_&@%1V2>G3l9V~(&A4x_>T8lo*opTkQ)fBP%~)}F3ABZ zWSli?d}HH#jmsRTCuW7WNZu}PAw*qfIHx@H+eg57kUKX~cK8g7^yfQu3V#=I-NB=R z-*ne&KGdwxRi4n50Z0HsE-TmvZ8sx`50It2TP*Uvlb}>4R_1VAx?JLjNvK*GAXu& z4ej|GEHkD%L~JX7%!F|a}D?xLHeWZ%fgpR_u7{gR~hF5s8^q0uf<;6X=eY}OWa3?qT z)0;Tc%N*0QKc{EOrhmnl?l*SZYTWTmkHbulGfa=8OpjCNrg4G<{5V0T{k5J0%mo%!XZ@UFHm6wFMUD0r3prmc>SNf4#OEc;|o(G1*ZPCLTtIhq4?R=WC*=WD#;rF@>y zIY2$Bu@u#6)acC9uOV=Qforp!t>o_v4S}p#+99E9WuUrhc`jAOdS8Dx^MhZ?pAibf zqKZnVngwBk$kv?J?9;RXFJ17et8?YG92b5xBZl*6E07kWc}W+%*Uy=^>*X3IlGE-~ zD`1LrU~&+ep9HK$&i6nt713;}&}_PSH)b_<)7O*ExrO+>C83_sD()OSGceM_gA+8E z_X5|P?cy9d0(PYE!Aqp6w&Xp_PJVfgCwgg4J9e(3wi+-<_w&m@C4(jNj+JLhQ&%_`J+v2fz^j{K! zcdTNWfXE)Wtqo?}t7Ob)>892{3LwYP_cPF|Ib_yt+TkSAKnyg{Lai*BW``{*cR%M2ELrs%rz&-s#k~`roO}}{*Tm*vsHn%k4H=yFD2ki z8a|GXTt~#PWRcFmwVV$o9C}rZ77d>liIWIg>)1*^@j0{0;0xVJs)r59l*Tk?cKvAE zqzrt?J?lYJ*ae~A)n8|?T?Jxf7y+QtwuV)$-kyp1&3D?Qlp z3x2rwWKNKwgyR}1jpJF-c;=dz;dlu-#qsX9RO=7MV-<0-jPKYE>09*vqLd8B!HMGJ zVgq>OuHjqqv~i_z=p%Z8zs;M83^wAhQNcNG+Q(Gq74U~ zQ|#{CQtX6B!%;~0Tu8wXQcCAvd>B;&?$WWQms4SLklgHZZQuz}NS?-9|#BosV z+uS6LMXJ(BT8gbVrejBcCy`y4vqLFbuEo~t(Q>|CCtFaN37f1H3Va)=Rc61VPbg_yKMMj@pe6qvXIy@${Hr0m@rRMbQg&P!!)JIwH; za;PV48bL>Qc-b?w==I9(((1RJGJKvps(|H*6--SDEA8LHjWMjl1nG_zLauw|ezqdZ zlXE~r6BVGL5h>06RG%+k2VVD=jZQee(Jvd%@UoG@jfd!m5>^pF1PyYUyI_H()kYbV zf#eBM;Rcs0EsLDD$}nYUGTKkK06#UH7j!Gsd z%7g`Zc--23Oe0YKGm1a2wZ*HHFi=*OBe}5J@^WJNBw?+FF`UCwHWX9`^aF;`?H4T+ zZQ!dl-owozSnK%2zM}MonsVE=9;pH%NQi+$N2P}OHPRvKRB}0phO-_=_~=2c)M1rV z+&@p8L@~4;{`d(f(dEDPSzQw*Z()01GU{KO_`t-*>99}Tn{FC+dP zqHU;ITE0tcBN<^qTK}UcVv6?)&9ey^5fYhR)A%~?Tkb)=z8YX>wJwp z{NQtTDpp7Kw3z2QsJdzFR?{HQL!DfrLNDrYQ)>lvC z>d+yaASo?U+k3psfm+#-Yonm=>uJY1u3X$q+|)eRY>&@3I$mo(c;*8RJr)$WGw>x< z*VD*cjO|U@dHo_2J)K*(){@mI#>N-=1oyJr?T##Yxz;p(oE!LDTwiQ~in}tIjh9@! z8N8gkW48y6`(2E0`}z6hn8>DmzybFSrd?&vsu%z2#@naBC%`XusZP)Hzi+Ctysg~& zrRK_GLH$|R<1YNe${h!dg$XQ+?GxAiLG2f-OK_COcD}W6-*_dX3k$^9h0;dxq`GfN zl&=a;R!CHk3NJwaSv0?Wam6@_iHfHJwU=i}YPr~(nVneMvsB*z`g|iLca=4YJt~nx z;Z6|G6`h2x+@=|Z{<1^JLSNfCpQMf|Xl1>#;giLl(uYe%h1`YNlMiB}-IHDUxx>4% z@dvc!5c6oWAyv^UM+85nW{Z%qsF*ZWf_>@gm2e8R`ZykgLY&wdHCi~}F@%U0ndUm! zP3?s1`9rSmvP$N2WLF^ELMDbBYUcaFH+Yh0#td)3$=!%EJc+yL$`S|oDe0Rxva0!@ zr)=6UfjoraLK1>QMv#z#sg15eK_`gyyA8$-^t=1UQ1!d_ufOScA9YH?xo&twVcFJt zMqpiaUpWCndRc!1BSLPHKyXd*2=|#(`2ow;ZKA+2ZOK0v^ss?LtYvwp&H1&>Tq1#9 z2zN=sVP#*o1XFiFBnPSxL#PDS1HvjIfT1+Mz6FlKX4oMy04sv&6u>LrWO2gMm$lRs$f@;E{Wqd6|7d<$d}Yf` z2<``gy=zO<|E3{OWvS04AVGl?AuxcD|8H!9p^@=fhh(^uxIO-Yw0$r)_iP`b@Gu(b ziV+rwVK?#GZ8NR8lG5Xc4`!RTLs_YZy1zVD45I);1R`9NRpxUn63BVO_ ztFSikpb+)wF6 z_or)u&%H;V2lqG8u2)Fjm*vaPr~7q+k7=KmJYMX;_?57Fmg zwd*~P_hp^;X*lcSNk5rZ8FRLuPRp_=Y|`hZi1(#~xBY3>j@j~a!u7g|_4e_zNA_cn z_wDI8EUe7uDK6_{qrY0`+~xFm2hqp*`VI2)YRBbcdy*#jzQ6kQ-0X9;?_}rV%9G!X zw-bxTxlQh>|3&{bO2uzk^X)>`$49$@=IB$Z;4`Xh+?e&U>2$vTVdv)J`2NQB+t&8Z zYo9k`M4R3k$$_o-m}^buz^XR7`>IvQxV8GFz`IcU zhPGBT)u~(8#`tVIycx*H@qG*qy2MI2BWadeb&?A!BJifla7%In`1;0IoTY^E6UC^3c3{lg4EUa!Apjn+x9Wm0uNUcAAqp%dcU( zZAnGDr=zG1UT`DpAp_FCs-xHY+tOSR1;>~XFjw7pEm?LmNl1-Xgvcn2)NP8qrbfx) zA1@r;Ts*n8>k6clFd_EayE@;`?w_CT-f-fjJ>|x&QxD&<<}hwLyS$oP+r3%|`>+J- z2K1OO1AuR?TtDBA?L0d^?ruIl#181K1#ll<&y6|H9_Cmj{X^Px?f%*XV2FFA-CKu) zb2WlT_n!#_Jf>wIse%*@kp}9AoV%}FEb?1u_9CXC2vq8-^LO5xhd-ytK9e&Pj8|{S z?mA0m+f`4qkJjXgfj-M-QFx0I}-APZ4Y#-mhGe#w4$6SVNqrK3+2|~D|QpMQf zi1ipM_u-XOsa}t7yLvqDGnf|YDiY2%!*;a65}WE7gyQ1Nwox;?84`={owlXD5`SjI z1_3v&L(ETF*044qUfNU|02?ZoP0jZ)$-MST|2-c@U^%6)cO{^@IQU2?C!y10g5a(S zL3bi&|C0uZGjPkt(yCMOU_f`soCEq?!0sAiw*fY)6@#ez6nT{$wN7WXu&OoGio|qs zz#e|JRrYZDogj%ZhR*>{J8hn825Sp$_AjZGz~UK853;l*|LkAz>EabB{^MCJk##A! zqRpV*!gF&>CVY9B4n(bb=nA4F(Rm(ie316S1ql5Ogi~sA9oq*=#uN`M(R!rC#^84eMTC z(kz!p&-=*Hyv9ov{$ICPYhxEXIjTLW<+^n+5pbx(ndRSOBGAhN`XenEa?K>2@8rgO zAsDm8jxA#CzQJrvNQ|D zmc1udoL|2jJaKf!E-pt)E=Beb$m3#|yB9oL3UXi{z8lwV#s+x2-7(BPxC;_9JdIpl z4!CtJU;WO2X`x^eGa+?-i=V57Hc#>I2|m_imiM88_Fwuth{rF2qVTX z@<+8Vam}N;Y09PNyCi%Oam$$1P`!ptAzj8a9upYL(n#O??Xh3*r!!oodxH*S2nH1! z)5ik~uHwyIwGc~ts(Z#~k+f~~H==;wu2lWj7+TEQ^l)J(1(EzuqGE;{R(xgV6 zh%}zHnwqIj9fCz}7-Qqa5hv_par~Pp6*FuuLFCefvtWQF3o3r?h+7_4Uk6JQ$aUzw z0#49?M8f*KIp0p^QjGKdk?iuevb`P2dfy>>-wpD9MA1}!Uh%%tejJf^eM0&OOs{*|JV+JGl9#d=$D! zP}#XA>vJktdEX~@&C2_-`8oXg_UR4i%DcV$N7u*T)!TT~N0!fkt=I#spW0Rw&r89j z*Ap&*wrBLS&YmTKci8XEx2pr9!q4w0urGW^v^%{%(0!ZTSFH4JqgaJx-nV(5my=J= z8U$W<(~sWAtlrl<0e~#ZFQbFUw3`QAo=?-Fo6j4pL^m0iUW_06aeB!H9*RLtbT?HKVP*G_}u<8BfRf}fR9kJVeoIPn+Yt{pX`=fxQXzdpesOm zHZ0KvQY=^F3lQGRjea)Xq_|ibxKEdzMU2%rl8@S%Y2Cp%iW?C@SdZM zeo>vV@NgCIZ;xGMR=OX6Y)RC(Pe%V8HV6n?1%!3Q!k4S#KJj{MEp^w|SYe6-4p8jUzT=A6LyK+Q=97BjrM0F{S~PKswIqV2wUaHNvq#)q=9b3^4GVsf)aY z1S5aWHbe=RS;9~A@RXbp@60W}O}b!zCfvC;CcFjkKBt6ZeF(!zhb6#87EvhQR8;Ac zZlWmnPTDBnD3$NE4NG_zG{jzdODo6f|JnSbd{gG*RTCQ(n~-imLg0f={H%%v&D(`N ztU@1qNlN@YzaZB6$c!xx!+}A({06T_GO?0}HQdzoC&p9bKY==uA~!1P#Lo>?!(u{; zH;+CR^s#se;fJcjE}ef8JVjLj8P$eWYGQR{m2XruD4*}M7A1I|pKyKNvPQG_y51fG zW5!IzS+hI=#~1of+OEX#y-l--y(%wm5hDHA&+Sc}a1v=&6LvuN(Q%(EtC79E>td?( ziMwJf_o|v$Zg6#?B7S>Cf8O#UMH)IJ563I3g$HJBhVPYO4cm|rKjT)!yd5kW zkPwkPWBN#94LiF;#&})=8UcN{x=oAu>O$JEn0Fa-Y}fNG&Ttd&NB`#gu@8#$UK+vs z=I83C1mL3kxOUk&y==~Kzuw;bu)Ximd45{GHUz5*C0-y|lZ9F{sovYjK0A%=$X~nQ z+b0%dOCo}0vjSqHIyd`8W5jY#mHXK{$j|Ka;8SOQwB45VR_F5|`}wT%E`r6$Z zb`BvrE>97YQE{{zN3#L4x5KrG2iMm(Z}zP|9W5Tu+gy)vQ-0$zu{BwSN962+Yfik- z8OtXm%QrENEW=4rxWE1D7n`#B1?^D29Zlu)WyzX2_SIjKFW<*mzKXxBq`Yusz9=)^ zR~VmYjL$WtmQ=0R{Fm4Xit?|L+`D>qtURDNN~%7hjCFwhqU~9dq`O18iczKQsZ7$9 zH8Ji01I;RR`USU+X29A%Cr$72tGw+8%R1Vs^&c%(VU;3-e}qs`zVdo~-%CZ((HVDd z*Wrj-f(Bi6YyQ0N-lT<1*U!q|8d?{v9@^Io$_HrfhXRn3MAnS-bP_0qPCgLXPX!=U z^dG*Vf6%&xg#UCF720izGd!47*YE~JyklFQP3kh~x{JS1=jNYT|?Sk41 zcaV6U#~PfI9$O?WP}`2X{ITea7}C~6sCdF#m~4AgRX=4v+p5hQocTGa_ug*ZQQxVN zcD6z6g5PpK6d_v4ZT}#qBVIRJspY&|Qy*Iwgu`urHH5x?X*O(*lta=@%$QT7WO7G?Krq^Hd%^Eg}HBd7r^LVZ__&~%09E3PD(mPdWprpvxf;>^gz z;rqCR`uuZy*P$pSN7m!xn{|xd!#DD(zKO)f6TMIfJ$W~?5M=J~YGHF$I5ZGug zWBMxt1*J{Pr;a?;!o9DIa9YS<$K7ex#^(TdsR41g5WoGH|3F)|fu5ov zmn%wPC1~V1R}Vbvx&`;xGX(4>LP)9v?7u*a0>dSOVo^cTsUrB6`LeM@C+L0Gr2iWs z^6N?;No4gw86e*{%OW!ht}7A@EW{fc!WjOptM6EskFYB5+OJO-xpY{jJ zvvcYQRX_HFHL^5<|2D8NkOX4G1^H|DY)Js<)kV1ba{BkkQzHX^w#p=F=q*ceK&>^P zw)4BTzrH$)>}>5HjH~8uQf2Ec6iQax&;;D(za#KerFgap&=^W&s){MP@<#r`36z>f zGochDJ-H)bu)wHPBbhZM|Et|X^D7G4x(u(WIvTDi$Z&}wKY_EaVr>^e<=-j(72h)W zKe9s8j4}pGMgUR6*5FWwHR4diw&S2TMpJEILG@ywP>s>{SVhcV0a3`TO0XInGptD@ zZ7a9JHJwaW7o&It!-f5#<+EzC4?8famfW-r*T6oUk-)q^f9kSFn8)>w#QJ z+0(1Z+8hlA>mXfCw+I&Y6P2p;ZzN4$uEg09!>*-~l; zN7SwsA}-;Ha0NS<6GAt3!-YMAa{8yMO9?FT8mcOWLMjFat+~!jR!@CLpn$;hHdK`g zS@PST6F|Wuh*&By0fdl;uk{OTx-JacS_~$n0M%!_m5PI9g9-(emJtT)PFr)O7^xQH zAU?psYIH{$c3YG81^f15R2Pj_M}v7x1G^Xm3m2)>DbK?uqyX2Sua67?tvpyG>uZWK zeY~BW-tW)e?{8x*SgH_)iEEG0Fb6~9D`I1G_zhnF7WMj?oxHDpcFdc)3iM^@AExQa zlRi8yPeW1m^2-DVZSMg6?CV9dvt`+m`KhSMPBHDSwpuF<}pf#UOJL=`df#^87KGK=Ofz?A-LbH?b&1#8~Uv9tWRw@Lv|T&ATVVY zH4LvmeDMHpky5eJ3@Q`G$?-PL5PU?+e05K z&LqOX?!LDdQzuG<7z+pL)@sRw5W=Ok6I~>UcjFj(yC;-MV<$s9bH&77gz4tHl0O^9 zC1f>oER3K3XAA*te=I)@_1K9}?~@$i-e*VdKQIx8`ak`{#=MV7l9NxV+>c2>inULP zC8j^}qNE5;iaT=u0xmUxQJMacD_*Sr8@OIePkEHiw~=zu99cPM9tSSl%4#0wAN^7$ zP&Ur)+t{GA>J4ONQO1HsS--*nWU8UjfDJs=*l7NkCs0;_@)eLq2V}KKV7P>}U>im88rDBM)Oke{^- z+R?$kVa;%AJOy+;o&CR|&PiapN_e>=n1vL@=n-cnUM!wCXWatr!J1gO?F{j)qeH(Z z-#7~2%l+nfxk%;i6@+Y@mqV}iEdZTtl-C(xv_X)Kc7^KJ){V;0aJB-TIVg)qEQ3|c zM|{6wsA#6t^zA^X44hS~PA75zXE#D=qQj|pher8h=;+l4E~{`+4z z5j~MGEUH{96~YIIPR6SWKO4CA^DF-*cZz1C-ipk!;9&^bU_oz4RLX#CEND~-7t2xF z-elOymBy`7tAC(k99UL)mPLl(kKYCr z4nq)jl>E3q4reR>@t|e0> zwX4^=t6QtvhrI;@Zk$@$m?cBpa5x!bGTcp(N+P6j?+7qA9jeg}&m9{jNFvt*d%|Xs z(V#6HYK>lIbsDfm(`C2P=~^4bJfo-*kx_5Oh{{?7%)6V1i|u1}5#mvpGCd3jwKHl= zQldQnxXO`ci)$2YYFAurYF$)pYE>57a7z9;AoFX6E%kP|DGXZB*8VV1)9W2N3{QF0 z>j3Poo!@A^M#dIf40X)5*F*4{T=t-#76`U2ciMT~16A1(I{dg@{w&_$R&OvXPw4e_ z<`6=DiDq0t)TnUvQgl-cJ$$2JpkI!snnjAHlt37ZdA(_+NLZpp{he4u;zrpti(xUi zh%ILYs1XyLYGlJ7Yu%~dr4S(McdfjBK=6`$1PC?jgIXTnXvF#=#ncV@976+$X^QS> zSVDDv|D#)}x!oTRx*WVK6x)XWLv+r8gk*EKxt)>a(tVXMN|Ulg7wXFN3U!{wW(5uN zBn1tk_?5(-etO#+&mcRiSV$j^~CEDdB%CMc{eH8FZWC%$z{|Eua zVgfz%6H(Qmmk`o9*^HXsTEw~b zejGqtJJ-lx{kzyUWE2Fegq3OKfUC5LmFPiq#+uKDHO-i)TP0Naca+Z+rW0=Wt@M0fiUup6g@!0|_wT_6>M;jzXA-SQ#?-7} z!^E@rX3a<+*&ws3DltZRB!vZ`PL5@op_}dGU$ZdH0@b71VHy^sTdwW>4eFXOD_Grj zMN&sQe5y<|ZOwgefOrv~-2-qJ$P;Zn*W7o(L5JS>{NC7Jz<+jB8!%eQ6*G#<#iyVg z5;Sj&@h4qvX>CkE$!Ou!Wd)-~yz23YI#t>oL-2CSawcJ+?(#%*Sw^zl!_&vBeMIf& z-9z2fdeK!DS1PW;p_@B`Ph0q>yc<@KTjVACNv$B zgqVohHFT{lTx&-K=sE6Y!o}L^$fb|SQ1h=)fhS&I0{1*1Iqn30avf28#T%kqfRD0Z z!S~kieeO-cdL0^l)f*LhSoK#h=Fk6;KlN~9+{;jSu%UOx2QQj?3b;L^bT`dC+ae)p zc-GF%4Sfk|K&h0hz)ULEAf^cJp>xhhq$toGLPR-9Vuq&%sL!4bZ+8dpMa>gIflk_P z?5NPMb~Ok~pTh<0cxRtM0q1?q04+oYK^2m-GQYjI^_O!X8uwpm}HLW$^ zUkalYqt?3F`_3Gu0L?ZFgl77TV*cL}TTkVz%x>Sc$LkQB`m@J5=p?(7&&@yxz8vXwjCUT*?oObj_+%KV}uKrh`9&i<&7v zm{g3h#uHM+9gIX~(-%8)HvO!#{g%9}mdVM# z;hp2^4m3+WZh}O;>&ZWAE{~ez?!}HisjGHxrTnab!c5s8*3So|CIuVaPXVl^uPB|U z1vJh-nWr!Ek5&ZeI@_sRD!eFzneV(Eu?<#b?`(V=E6L`tMdE7*(GFB>Na_x2@)r^ikI-GAoMw$&g zy)WGGeG)&Am2-tuq4D+R$Dk++gj7px&7v$1Zf9>Xiy&VVEKVaa(xre(-xi$t|B{h@{3kED8hmY=GP(33Rn1D}QUJp3FsH6v7`GF2TTYJ=6=JU|6 zx3J;0lb@zY{y%9-VAg7mtepSa4dyoOBM*KHqfw)avw`~%Hjz=GpN1y>q z^K*Vf9x%=(Pbj#@Qr0uCvsRG|H`=aD#De|sml&md(MSq%3IgXXr`)1#GDiN5lg{(XtUabJIV2I1nI_t?pEMYaN9jw2}sKMu{j06a)t~zv}7M`~lH*gO| zFj!3@BOV7q-HWx8zM^9bhGZci-qpDLretl~GVj!~MaQ9O<{)a^qDUmd8&HtSR{awy zk5BGd_?=Sqwy(`4+xbt|++OY0$TMwoDiwyNG!1NIy#(9L&uy`?K;jA%fMX5W5N10^ z)4R&rhV0|w@%(Ynxy&2>7v9SnEBk!HSYS0{Jg|{74cf|ug&2mzCUhbJ1yl2fSC0}o zb@Ry_IDs#B20|fs3_MXsofS@Vse6wGE6dctu+r^9wx7mjqFx@-6-vsMavB_MRW{uQ z%a0uu5%*qsF)UV>c%O0>#PDB+Dg9pK(|T=(&JLfPgQtg{7t2Wd%JkP*x8ofg{pl$W zVvflC_vij)@52=8tzMywCEPv(Jw1VCFHZ6ijdj)+&iAqYdiN{7({$)6U61tBleP;$ zK9QBcN2lVWx;nLsO4j9zszKtF{w zAbbX8(N+?BbY9JciV&Zn`Ivcu!g7$i8(1b|F`Z^q#!kTQddePJsD0at-4mY+`0mE* zUQ&3*lz3-tQX40&yc>NF)NXRyj8vrW3VI8N?}B<8CG>*th^s)5w8*7R6B9YbJ(A-O zjs^W2uMF%o;lo_AI9qSi``_l&O~ zD3C@_7zFj1uuYjTCF+FcUpBzS4s9zIus`9N#(wa}ru|da?@HbbN9O$>Q{s z^=2kg$$;zNsbOku7v8p=l%=~zQSC@Y1#{3&6j0IOsclIT{Rq7*1Xn>kVXe~abUg)p zS*LF6IcRy>c%quENM3f22Xxk9lLYFj=-*oaedda+4E5TVLy zoaV(?c6j4AD(8EujvXrQSE{0HH5zQ=0?n4#w0#`MSp6Y(^Mj5^ju3Ue;es)#(f<0p zB%bR#+vewV7etKLGCM+)LHW@K6B)8Y?w3S&(5p;Y%0!SordegKna6$b?>}QkunaNb zoR}nl$W1dfGz@;E9V!>|TklGn%gR_Qk13$Pg?`q>l=aj`a#8MNl4 zDeGH*DNgfPsb;-wk6w3%ZZVg?jlkXhv$7_-^{m6P7bUu==;!-|DLb-FSpj$jgW_-hSqNP?b zm@&ErrFcORZUUbDrD^O7meH;VJH|7r7yNh9Zv`E2wGDY|lsN3d^NUR2G&J{)^yN+a zJ3`Fwvwq~hkw_WeIRw!bl{cE^Oj|~O9MkEqrF{^)DGTj31BMv5q>w}o#7xWcy zQka5}E0*E70tRUH84$G6ID`@)w9-1B&zWwy477bgtkOE~gk^7wTB|1%E#)pxwY-W{ z)Rj{9902^Wu$WJuQXYr4`VVMKm$LU&q%yAk3*gR+Ifm3p4;Cw-f`vyvCg{-8ti&w> z;SjUsJoJnA{Rv^L_~04>d-2f%e&$SRn>IjNwjcy+wBwPPUfU;U4^&*%Dg^r5Y0^q} zVGZ6Z#D@b+@L+&B14EzDXJ%`SMK&`0jX&N%!JqDAYe28JI6W-{I?uk&ul|e)Dvx4g z8i*s`7kXFquzpC8txM0JjV7xXA$-^UIWHTiNEmUzuA}~UFM#62(1R*^BG_%#_C^N* z9D~{pNhZu15NDS5Rk`BH$9B%Ii>JYvHAV+znfn$CilWI%7Cy4X1<%AW458*|7K&Qs*COa{!iW%LrJE2rF6e)PTq5#K~3orwP`FdAZgT1x`{LDVFw$vXb4IAzNIj$b5^XcB-;a&~0=AKt|SHfQS>N1HcVT|9Fn**Cpi_02>z znet=BE;y)V=gR?f+?h-7-#h=4hxPx%Q%U*Gw+aJk>GuyQyE*`@q5H*9mVR--vJIe_ z=3k`MFRQP*-*B$Kzv#{ovHvH}==X0A7Dp+AXA=J6C6cPYit6J`K>cQ`q42W)u8G)J ze-rg`=(%c)R87YKnJvFau~2FNDaus?@2U?N&420;y#S-8Bu*sht02L)CLOk=9R5xE zMNo4#e~ml^P8q0PU|#_<`_bEJ{kQWULK{$5%A~I5sr4f4_oUfDmzPyV-_p=Gj=;*kc4QmS zS8-#thRPZ_{DR)tw?j?YIkazttL1FFi~=o+0P7`ChK|O{6>yReO$trE_C(E%Z zhvVmrUkSv+;J6*@4gFphH|lO)8chDZ!@JL$HAXJ?Ox+8kk-1JzHYI3WyqxuTJ5rj2 zDO5@rU~&|b9lD)~+gbUc zik@w$oS9sh!X;_7F~~XRxgdnQZBLeVt0}Cj&Hm}Y zs<%4Ev>^I(l|bI(c{O_Q^mK4^cKkLe;EPvGk+O?A9wa2duj=iZbCgnL?>0%p0NHP@ zKhXtHjiU}dOzaGwmf^864X zI?X$IFs@u^o0@I{;0N0V4{4<-S5n6Y3>V0LDbv8B^6pjoG_1aKw%sy6)n=P*)xMeO z8o@j>K47V6HEflZVQ4zd`5jPl`7e>-+@o}- zJir1rb^*lh-A2N+N4P`(&YaA?_%HJt+I3x|5qC_1PaqcYJfGRrDf`71k$Xf7RCWJ9%H+a_ z)Y^_4JLkV;3Sf69Z8;qo*AVXDnyd-?3eq&>%dKhbWW$o!O8QrGlFO{#q5AMZ8o5<$ zjpij)|IDCj&ou1-!8Ygf|B=&eOR{VOqSnY{R&NxwP<&>1N$!DtOO^CZ;EbxaKSWl( z;OZR-NJqH$9nHp5@t2Do-7K`3Ez_&nB3-byGZKWYw4`Yx+7?MvR>F`U=!CgS*luiw zxti}bwPOY?t=ZTyhtRZT2Bl$psOr8jb>&BEMy{P^X&s`w5(Wch6`-@_00YGorZXKS zb(0SSiSmH%xHUQ-mYtSMMEQHjL1S}?pP9L>PoZFU4)$kdG}m;#3-4P}W-(SnLcu$q z(6B;pck6}u9kcDU9cU81neM*KOcJf`i9Q&-=9^J)o)>Wal70k6TgCK_hDH6;HcmeS zVBDn@1tMWa^QO>0EYN;r=`z#)z+BwfXBG@osVV%fSYDE`CI_yErJ^rDu4s#nCP1!Y z%bX!du70&}jGV%rTU5l)}p7#DkQnwVB$s!d`yTk~5_#b4b1z7u4y7HI#A8QkcFu(ro+?588mO z6u1OenZ`U-@EK(4{W549Btf@9mTn36J65?FGz6ut|NO<_3|@n-x-EJ* z6D`ZE6uh}&=j}jq7ujNb>cGm|v_|lXhs%4_e7t?(>le7YKJbKW=qIp@B6-@0$D&Dzz~mHsMKy>{)|UC@t+)Eppw;=}g2F+F)k^QBQ^ zn9)UC=hSHZ?+Oa5oglfQ0pB`}Gmj5118EWupipBKmS34zNUcc=51N!M)YEUOY7~Fi zQgFV7S@aT|ji)R+#YzFqZDlb4I{YjKExjOK86Hby=p|;^j$8#BGQ`#og5nOPgVO_5 zruu{z_n8?s;@*#4LaLw9S98C|WUd)DBC zOdk0cv7}Ukh_v>+`>H`Jv6s0S!`SNgoAA>hFMI!3IWgkOUswR%zDRY$sDChs{U{no z0qdOC?~ozyFYIQ*8B!hLh!i8RPYUP6g>qns*gu9_j;vAW`WxwUjbwU{FoOcW$(l>)yre$1{`>N|`+vg~@ zPFwtYuPy0wfi!%nXxS}wyib95bI;4QZTP7tXpaf(f~Tt+tNfD4Xk%WiY#(@iVv z)Sia&u*j0tmN)LU*9@WGlNIR8#sT`WqYO3ehnOljjj@+9m=Vq#1gJVcvD>njp*c(FDg;Y8zL@NsY69O!X{rlABn zuFk8Yrsk#(H$I9-GP_oV1HCariY7hSZGC~k227eTQ2!s60S*PfXHdh0^epAnE8EZ4h05u$0bP_l+E6^Y=t>y#(QFi%Pd9Uint6z_mfHdl!r6DZo} z7$?bvga$h-OtQl@BJHtrZFyy!rUYbNR?9kF4-tUdomT zd~n~%VS3bxZv!ypD9n8{qER()sH7Dl1CSDOB;F9G-v9DlrbUuC{@9*!gta|t32OSG z^KG4*A@2g-FU+aClmXG2y-2!;JWrxW!k(f3W)?oxNcd4NdG(In~Tpe1be}u z5cde^f8b2Na z%D-FmCP(T!9B7eLRR=Iv9A?1KHe(td(2-Q=$OI*mM%2_Tz|c}YO{k>MkoA?t!Qcf` zb>r?NZxA3b@rRfes$SOBsSb7gZf04sPAO$%D2JM=yA5`M#9vBIodtTA7D74?iq-7B zsf53uS}X1L>Ds0Y@q>UjNzVo>dqD}j?Mi;kc^7N?3V1;Etbn~!AD6+rSRQ}(z1Q|V z@J#vYn0??jbdtJrYW|w5{U_p5* zkoW-KnpPh%FJO2=zW!O=SIZ!$=#O)n60bvPAfj-ubLl|(NnU~*mvGCe z0XcJ;Bp9^@Qs?;IIS&;Vy{A8D2f`7HWnmjvndgzx!Gy&9`XZ5t=O^I?ABJ)7!3PSY zh`gW;PPI+xN9tLLuRNXS&*8q@WuS!`J4ad`b)}>}EQ%P!Gt?$w>na|SxwxFeAYM=~^ka6!TI{>8ZMElJhP6FTc z9XA4Bdri@$*mKb2p1RRYgLCql3Kq(mB+X732aobZbbA-4-AZ|RZv}z>t8^@mhHt~` z#jvdUj*dUd=r!rz)_o+TUZi%|bnlzKkuyf?+>VW?fm!a;__H}B3*oj(8&J=(IE~7X zrUI``iI?f3%=w3Jotlh~kOS|r6uUUe?f)OtLT}8A@lHX-{t4YKmuvLEFKxdb1GYBn5a5MR`hFs z%*?1Z0wW@IEptC50K+KhEJf*1v9=@X(VY5`uE6H=(i@zLH7@>@E}3;i9vBWdSqBeg zNmUO4^&RSGVb>^0YRvn^6-BU4>@D=XHR2cxG)Fg$KZduN4?C=A)?ABOX_i#g5@l-^ zbLk{OBUXa8UZM_%0&piWCC^6K6gBv^SOsx}-cRbXenMxcj`^1W^_|chBNB&kXvVa* z_2HIv_V|)lmQ0nS`N`_aP{xt#;xGxvbS2STm02seu)e!h1CfLk$QsR~ay$YXY!G1) ztZbQb>mZkO26mwwLfxDAYHz{$w9?uk6(n8}PV`)qIC-f~OKhEEf$9nsCfi7-^e61; zQci^Y4!Z+<7)!ESk}aOeI@D^DFo$WJ98RI5BqO`DFm&mi@I%H14X)|Bcq{!-PPP?X z@+0e5JjWP)xsqLtjAOur=RY&-Xe028nRoG4Uxo`Y$OF>IQZ_1Dq8o? zPvX+ZpF7+FU0Z^g*@FB8+c(7%<9mBICbQtVIfQ!Bm+PU7HlC7f4@=)7qx`;GsYdDI zCe&FLqyVuvgX6R>gG1bNmgB)d6Z_g3>#Kf_UFhD2VH9KPm-A0H9fIv@u%~OWjAzks z$~gD1aCT;`qFfqE#j#2?IQXs(OnWrOx?>t8qXlUO53rS6r!KXu6c1_;6eWa6Au+LN za1xGf5~2E(M=%?Zl@+5}FOZPA@Y{TLvFa9sSOtZB^pOvU!dr%Yiu9vaPx;l5pkV9> zd<9A8kIRp~Gu~me0I<`il4?;gv#{YlPaFXBU_wN{um8hN+5U zlw@r%vY+inm|QkA0nfb}dbzsgzr4$HKR8oeD+2@@k7u(&9`1Kt;BTrN8cJ>F-vtJX z_*Y+gQt0u5d#gsL2oke+DR|%7h_@b$e*jP3d`v-)+VCV@YMq~J1A(rS+`&@8Nx=Ph zPOlpI9;~le;wM!|qeAFo1D1uG)%O>9i$Q{}TK6@{hm~2wrv-fq8AcBqR|Oi!qQ{9} z?)KOySBRv$oraPf=8^BX%SHUwyWklN7vEWO{xn!_J-b>~+igj>Sypd)!z>i>7a`f8 z6J8)D3boe`Y~@6SKhedwPw$5Phb8q>!t1P^ar*w)naiGx@~)XH;2(YYRW0L{aMy06 zZOb;t*NAonI}D)LS!0i|HE-H}okP9Cooq68UXPiz2Kl^oF zrQb~{c+>gV&foo`@vBAk71+gtcP@WB&hc@aj8`qzWR}YOWqU^8$^)W82YD+m@4-@O z{D7^SacSn19i3#ptFaPpD_-Q>$fjnJ84u~?OTCVYt3dt1+$F?W zD3mu^PmyloRS?u?pT+Os_!GDi!=p1#2PiPH6!R3;>`n8%^Q9J^_RM;Ed;Dp6?#!&) zb5xe>>i+u8XV(%+lQN-`w3{-w#&PBhVKvXcYxSh z{T`f8y)IY?sr?M0IMKg?tGuy$it9>!bi&q2`hm0h*e6ZaG)-&68TJQ^^EK&AZ>-RZ zO^S>cD%OE3X+z6?UF1`$s`v4Dk#6N3FO3SvTE|1a#_r}ZXBW4#N457Z|4W!#!QS)X zvbC?oLzUV~^)b{(ggg)Hs_UrCrj*|0iTxEf7;Gi;|7sYQ{$WWTcv zC3Hm9l5NML9oj{dW*D6pACD6U`csHGlqstuq^2i$E2yv}ed*v^B(rG6q_t=bLv27% zhop~|4ad7i^xCY4dW<+%m)2!V+MSX9gdPe z*A+G9UZ_QFO4dz}=Kb^}y{bfTo1fUo(??(fd*H$RzPvl#{q_EElXdD&v|D9G)EnN> z6IS6KJhVhe?`7_TNsd6C43dVK?dj#fx$x`Pr2XgDTWtId)KM;Rxno&pVmhw`1x@qLiL6s3+QB$ z^=)6w0cYmcC==>}lR#*is9(gX=Y%oyLYX)u`qe4S#GU>tpCY|2JDX8qnlZL|IFu4~ zk&*)FRlsCRP^Ck{vDp14pX{(7WGTKL*@^gCzNQXnrvFeqO2!O`|DkLiG>)mYJ2}2Ff@zDItn1?%H!?W=A^>cg_@4Zdw8r> z3X(2<*V{VRHogIEhJxY5;%tDNfgnbWt->3i$9Uuq%g3@8D*zv2U8-qe4oJWwrE2wm zLkk*DHcuF#+EyHjR^C#Ue-DC|?B>vV|2#2ao>nlLretPR0QC2N#6O#jOI{>;iQBJKMVN4-A?Z4s0P zQ-DX|9fDHg^(C*)REG>&v!aBa>|Ln|=~%L)6lmcY7LCHtcA|H@-{yzZM!kbW)nHS> z|Do_>2(}OP+jquC?Nc0bZ%5m$apr+UGF0XHpdqHoa!`GhY=$F7kcoZLAVQJ>(0)Y` z&*Cvc$1ddrk?ke}GiZaZ+%br*0XP!B@3mcQkr5wdixtEw*wQUZ?D8>1Wa@f`AF5tt8HwN3cVVb|cJ?g|>Ccm^_-8FiBB5*7(kJ4U48eyFtL@AFOBX(b1urr*i%l zkU4AQApt=a&nYK__R`--6y9L~moNffOf)L)9_M}Vc%AEerEZ?{TAB6AQ_xQfJP=8X z^VTG8t`0md$x|>-(@FO6S?~kMd@e#zv^ug&s%7yOb`G~rALegviDCpy83>`k;h7s_ zaW#l7KA86SA@z0|o}w&i3M4+-)b&c5RmSjkT%})Bz=4Dn2Kf4;O?IWZPJOT;LA|bh zdxR+p{xp$v+ti(w5OR}7eNc_|i+XXodWCwr`r{odtCAdd(!7{&ePLueGws$!g%sJ{ zZ0!B@>BRlR2^giH@U!;q#@%aw`w3aA!>gs+!H^}Cx2?7MpD z3=Q}SBq5HcUcMK_J1xYZ*X*4X($4^7Kt{Jl(6FeAvKSk?#xv9x6Lor%N|=I>T&`8_ zhJy}gq^t7N5N)4+JZ6yLLB3t1%RTWgJ(L?}RbSBMq%aMwrm3VxR8g&q1aP61d{`%c z%JF6kkYA1A@ZZiJi^3xZL+bl_xp=*K;uSBp;NgC~D7$a2po95z_R0B)nLuB(ktqSw>?@O|AEs>(x zLW@HHDg`_=4Zb#u@`FpUm20KHPvfN-9^!)4735?_n#uviB zNExD`^7;Lg#M@;11=sl=ShvyNK9vr?9K_~-DvePde7VRFef!6nvBb?H&?z=VL-2wJ zjK=bbjN0o*-Xhu4s%Rc*;cLPKnhr|CtAQoWBhU0K@(RfT+a!Cp?^rm^4>B)eZ(U9% z-V$Cz?YeN0eFZR)mj;T9xul}dVTV0c;xZ^Jxx8VAzw3m55Uu5MTiDLvcR2%z*Gt3g*X&bL^S&;Ob-xZ(ZUqmOm=v(t1WnK zMf&;j0xeS_iLAl?L-&`WP4cPY$4A?g(l<+AH1=f8#2nnmDmXtCdyeU(Hpw3)+*JUF zWKlOdC66-lmhyfRby2k;wF2SU7&ONlm2AmpY6Y_QBuX^jF?87G?nSx9f(L|Y1-UeG zmfwJ;3Gq5K+iMjSk#Obb($YLp&i91{#e5QV6}2(l`H*YpdA>%U%_QZsgR0q8-Gb?M z@ntu)i7|!Y!xIWQ?;C1~ns-eSOPp;#i?+Kk1ybwxKAXinG)I8q<};2(U@`mLLS>1uxs{kTc_ZW|`;yDD z=9aE;vRm|E2tYiv(RQ2N_2+U_c)MB0hT2(oI~RC!Y`|o#v-d_rEVpcAZ2Kc~DAJAN zNM8RfU)T{o58X7kvu5^>;~KuB88eTmyvf^4k&FWm%Isio@)W&nVCKIYWIE*b%lw`b-o_}9p(Z2t8|f9M<8dZ$9Vsy(5by=8QH zT6!|u{Hxs))c$rA-2Qo< z>?`L5d!+3k^gB#Q^DwH1Jk!1x5iHfA3(^fnN8R|_v*`iF3}`;7C}r3bN_2A%x|Ve& zqg6)cX5@XEaa&Pp%luh;`O^T-wcieEKYEBc@Dy4{FYq`TFT!w6oYFPQPZqhon`T)y z!k_zMdpDf&K!H|l&sE`eI_g*E=6K@#cp~f23pAMQR8_1Xx0REt&pRFxh57REwmv)8 zCnk8{9&)|1dyT_AG&G|1E9yRuz3(++-a8b)w7i8!^Hu~1K;Oj#I#bIMG3!~MAj8%;ai5+ z7iRGQ_bd}!>x!~$EX^gfcIhl>>s>v{w~|#D9o0L_PFL87^iwE=KJ777d=zf3Xbj*f{~6mVV7r zDu-4hZ?Ljyd%2w4Du<>k>-!+!!D_F<&O!*@5?W{`TeOMHw+Lp0ueyd4QD)h*6Rc?- z6s*%WhiQ|1)~wU%cOb#ymYoSu$iPBgy>unHarv#G11A!#s@1}+)e5+ zS;@xc2P@j#kD1_8V(l!Ry1u4Szjg6LDVkX*>M99>Z7-;7*5f&DQW~`X`C~bo8t}B& zzpoh#uALb9x!p2U%bt^rZ(f& zH5YB3;atDgFS}_}-}mZgaC!qwo2<9*)Ppc`OkeYwARQ3<*|LE6gZ(eY! z?{D;?qF=ro4;$juX+OZzX9}C#fwXD%{!+7vuP3BP@v%k&BAmvndWe)v%~|^eB}oeS zq##V^#QA{54U5RYDxI<*L{y1-8X!O;qbLZ>SfK_3h)!Cd=|S{XGNy{-8ET?bl@@ zDEIz9VDkB54HCc-FG3h6a+qTeO+mAT_W5-vAXasR$ zDG9+GaL+~-fGykvDkRe+EIZ!#zoATAiZwXqta~W{7iKod|5QI<^Gfw!Iq7;N!7r|42=qWtT*pv zOj)VJ{(b6`;T`kL??*=1d()?!7OH4D%UZKnWo^_$b`h-^M)NYLV8ikewHZcXjckmr zqBxx-#Z^2ZE1G}=UsUE`6;X{m5u0!o(S?-6-13UN9HJ;rjWM5Qf;T&y53T%My@(4O zYR^X5O^h=;`ZGHm6@?R}B0I3MekqjX9g5>56ueU)ov}63SXXIY22>qH>AvB&KXSX; zTA!~itu$uVT5}t#mgeMZhyydYELeuE9#cc0REK(PIeGGLZrp*6MlzA3OYB7S&rQ9Z zjTiLJ4Zogu+~*0gGFFb9ds>deF5<1DgR%9{AB1pCn^^{$n%6a?yR6lFA+E}~zTqCS z>pCTI4M6#6TOpDe8Be{q*e*%o4TVK>FIU%pKRtATzojnh0 zV{p0DmuT0wz=M`**x&4ok?*$Ag9cQtFVEUde`1bev~HH`Qg%AjoE6e*nY^5hSd9bh zCq&Vl-NjCuFwj)B4i<1LByF=XOKpot9vsRfH}^10p_$`V$cmEHUK)1cVd-i}8tcm> zZGU2xW*LZC{rMih#zw3Bc90KtFauv?)1)|N0$(KVl9yCYm2}G#tZY|qmG+nftZYNA z>M=``<9be>lg=nDz=Viu3C)+GH^TOWcJ2u~bou@@(m^?BXRDNq!XX0tmAWkeNeM?U zqC|mFthv@$W3HC=WF^@-Dq)HC2_?woa_&R>$8rVF&jeW;zhk&O(vEfZOQN>-xey^v z6kQRFtqWX3+PUg57>p*{AX}Mk5)#FgCeS8Z3r^2k1h!ZxJNZ-^IjzR^Z#E zA~-2Zjzbc4Ooq*IV&z6GAiSihKcpJotxB& zuypiDAH1eben3}=p&;$!`{hE z0B|+cL40+aQZ$%5oKI(&8aKR8XSr=R^2N%px7H(gro-7nPlb(3Hz4J9ajhyc~J2wMu%S_dfnZW%^R=MNgD%Yv;b?L(6Uv z_WGhJ8A>?KLY!bG3jn(5kzY>;17Z5<-Hg7)pke7yG}Zs7Ri_FpH|2)0$7OtN=h_j> ziO21ANpZX}l}#&#uSKq@OF!3HVEYM{eF8>*ak8{XS7N=7>M@$`HSK)Re2?tJ)#^o*H=kO<$}<=y5BQ?J7) z)*Y3XVU+xMUWxIh7of}7+mxD1E7FbggLAMAIHAhbUKEdTQcePma1pTHE;gR9OPvru z7<(6FW*R$7*Dd`o3$fqEPHDW)UDsAFo@=f7jF&-%FWm{-d5XenZpr=ITYq*Q*n$es zR<)???BXR4%o-{jj(`pjn2TM=nVGX_C$9dQSTiw8Op`TZOY3N^`juRoZ7@h-dXyiw zl<&&X*jO4ZS7~e2R61HLo>A8*tT&!(0coPt#9=XL`j-Zq|D|G*TW{@T|^Jb1xW4=K}hbJz)0>wAV`wIsUFKW#Pb&J z6RFuhOvL2`)0E~F%z$c|_Yx0_#AOrHiyeZu_3szD=ANgMkBXkslV8}1Qid08EWk|$ zi{CE|Ej@Q9PW~c@koZar(zDhj0Xr{6*cBV={=>s$5*j7rfW zwUaa}q6duR%<7%KZ*+za7RPHYSB#_+U?D^1m$>&=o8fwe$r>ZaTb>iMMDvRl*M^R; zJ))!y5t7V?=ufgemZv>7nmsSlrR#IaCFKgDM@xts-Hb@m5#odol9JZCn~-6}Mh)!7 z$1fh#t+b(+tJC1)LI!~Mx}sMq9`K?4R$WMIP1MDsKc|8&hMayo)|=H`M`BVk#G zEl?B1Ca`Lo!lH%a7<+@r8D8il;}8vu%uz4q+Lji_OrYvX7xQ^po4%KbxtT2hC9Byi zoW^5@MGSKDX-f5w(=al> ztQ2lJpN7;Ojk2zZYvhknH7RXiE6{HtmS9uC&Vsc3UHTe&c!;+qJ8OqzE6U4f7Z;CD z$27$$mi`J6X1bv+E~a#(Us8&7LfL(a4@O7F4f<~PDd!WlFH?&JWHm1}E<2W^;QFl~ zEBNLnxjhTl%1TE6`>r2k3w~twUdnARfaZw$D_KRaH*Oi!op2wnPEn3iSrM!}Lf&70 z3(5EX4RD|ryBTJK$RQf&N|97){tr-=l%g+SL?Z@~$YsS9%S)w z$JQ$BZTlM!d>glARxiB^MIQajK=dP$cqc}o(1wM^iyDnTo@c>t_}!Gui?_$9E9Q-^ z@o9%o$SSOKx;2J< ztD&~%<>sy`f5)59mXq#bF4l1*njf+s34s~9P>Fff5f)htQ%TRp{7A}glmsG0 zT)^R?d_+~Dv4(Ow9WV83LX$Bxu+CTM*)1_4>b6cn7q6eEXARm=Vj5W(n@tG1J`j`u zH>ol_*obmFmIkj~3_{jr?r2-3Qv%hzg)w#&t3-a*Q-bf*^7MnOMUUI81^-6lr@@;IRfQ!RX zA5fTS+XPZG1PB{xYaX7rOPGxCIF0)EzU0|BDnIVkValO1L#Z%) z+v`^X&q$!$BZ9ZCS=RF;aeZ(0Sv`wKS1W0DSQsv6X-#$)_@+ z*G+4)e^9p4E&VX6%|?%W$kePj8ZhFgTxynfDqnP+cWKoxct4cWBjX>izk#je>Cx|& zFt|PIXwam;jA*$i2Lv=%m%(jy8PT}EMU?)&pe+U#{Q9o~+fnSG%g9|57BFztj%qEezKTxCkx)0yks~qUUDzET;EW zOH{Zpi7=((%z~BS>%WznTIB)L>nK{KX;C%GR<7BoxNpgJ4gFGQwf*86f4_5Y zcq9ZOEfBd__T1qG&~|ELLihUd2+!PGGNxuNjiKplW4`X9vlE^@cG+tT*%@2K(y?Jk>qXg9;I2h*0Q(!U^51va?+Ho^e9m&SQJTxC_(CExa!}+0eyg4yZ z5?9}#DyA6B(kNt@+PmT*JE=6G_BAzEO{Sxw9=Irp+0X-*qDt#Bn4KvrGbT9FPJ_M) zX04zij9ZgmH4S2)Xy_?TU5})H9&0%CY{`~fs5br3Iq)`^e zp(_(~CB+F+Y{A6ZE9UAo*(sKAKbP@5*)v(`jYXx8vlJY54;)hwv6}Jotc^^Rtyb-_ zGO-0w*@<$AMWNU?AHi+eO=EU!X4AU2^K0xgj{)3~cm9+CUIS(N-PxCB>D3L$Kb4{z0O#TI^s?! zxC@dVWtn8>vSCA{)>wO)Mx{B>GFOY|r#@G?B5e0@exFJl(8}h-H`(b*PPBOuF`pH7 z4NR!qfU(B*z)y5k%+BguIg>()bYgU>05Gr~l<(hK+)h=qSH!730d&+TmpJqUI2YuW z)w;Q4&hCw=g^c4XdR+ceYdyi|`JXG|h}kN?3!O`XxrPXJ(SK&jfCMV54 zeErQ$8bJmLzOjg}Dd24yfp-46GHk~oK`vR8Q*v(88du_L`dV1(z?g{cErE{i*5b)X z%2!EnvSU2FxZGF|p@1%(T8IGp-t8Z~2pY&ZugVZ+&u3p9r$g5tUjuKXK@uU%U@ORL z;(OG=0)gKNvUH8?`5T1Pg`Gcx%Cpt%fy4<0^Hau0VsgK#Tp%@}CQVRc$>^p(Rmm@t zLCEnQD#!?bMTHGfI6$xxCV7ej3zG71$KmdU&fl??%NN*+eKGXhH;=Z=3_z&|ZU(^R zj*U&B>|#+v1C32hgw*in%3Xn*V5O zvkV+Mv~ju0e7x9rT-|K=(Pnw%GCy?&`-ntwbWqPeR@J+26J2M_#I4QB*AhK6Tx**c z$bCJkwtjKc+P{BJn4RgJm>9dHLu5$`B4*Eo;efcFR@@#ex)Tu(>l_ zbNc0qBK5D#uEo$Whg(V@lszetGbi zG968G=>GKlPHtbhc|-g#!mq2pC3vldDm!q&D};bmyW4D|_E2lIR$MNWqQYTSgxN3$ zr)3FQ={#K7Fs0tM_b-5=U(P+qPgFpgb3)Xr5*}x_XayFP9N;$U0DHIK6s=XIG%jXu zNsjT8mqK*bBKmh=;+$Y3Mb6b*^G@MnS5SR6?+B>Q9Kybb_PWmn<^G6zE8-*38=FvPy@xg3ZQ7Pv~@<@u?+45*zA=@p2{NZ@mTO&Ac(BY+DmLG2f zIx-q{wCR`C|4aN5jR`s%mjHAqCkCEuh&`N_w#5!8VKYH1C-wPppYPsuN*A&Si)=^> zVz;PI=vg~gKXfg3)-U_CEaPs1Ylt7vB3LAd2lT~JBzHg)NaH(GInLw_2#2CU^M8E3 zzyCQi|3_Hi4>Z5&SHE>0MT<%%eh+*qMU7ue&!8;@;0_YdP7-kQoi4fL;eCGI7&WvA zBhUeX;4nx?L|j~czkh@Lzsg_?9JojC!ti4O(+mgG0|8ZlDz?Qs$bJElvXKEzFb8b$ z9QZG3WDF@9gb|6_LSUl?Rsw#dFCfK-;J?z5*?vePxF>^O3qb!aLh8vN7}2FcIQGLY z?rWlP;w7j$VM`9%kcZ|OB}%+isvLYVPwMz{^9i@>Jqokyfrjdy?F^Bkiz66852q`+|hDiYjMMO6S)IPZI7$^iabOa(M)P8~F-?2i_?BphCvCj*OnG~g3 z1Th0=&M`KzP7GUh&J_~Mt~Ak7six`JPFsiVxF7~P8WMc&NeL^jZ7?`Q9NXudnZIY# zBn)fWc*>O#E%}Y7AZEw|16DG$_}oTYjG?Pm&BQsnE~Ie`Z@SS6ok$`C3d z9Ub&fG_b)~OQW45ZGj#MNb*t_@68rXsl@brUWjUgRo<|ncAGYF)%ynGTGWm~ zhYmr2fvUOR$pzd%9-VV8WS^wQI|+K9HB#0AgE_4^WDQO=-GW0hB!B!DB+6#?9c0 z7C6q=2z`<~zJk>|X?(RIFKU88@g6tvU@&+Ixy92pC#)FuyD~{I90MYI4n)iO*8AvB zAY$So5}_z?!3BxdDB?(V5l4$eF=U3-$clxXY16Bu*bgtVq4C5~THJSHY!IZ{Sts~V zLGcB@*BgM@;f@3J=95q8!8maXNnt@m*Y>{?=8h=c;3FF@czNSNdBUBqjU^ z;-U+w9wb_kjE*wc)s}}57iXGnm0yr$3w!2iq%j((oe6{f4BH$Cn*{P z6k0$GDu^?RqAVbac{MNW=R^tlHdl)SBLaD?gaaXRP@E&F&QxbgXa*wI|8wAOGtBrl z-dq`?pJP|jV!&}ete^sQ*o0IMDyjn^th^i2_x=9%#C>-%e7t(B#l4Yl!(FqaKQjHCp9cZ_=(p@-fwQ?(wAlDxfJD~aXT9Iy8AWJ!q!ptg1CL@!+v z+P|*L5Zh3Bbit51>TyxuegYIGSJOS($4#H+fwqi#_B+?qvkL|dGJ=b)%>$!bU|*@iMS_x_ZDmGLWBQb#5Mz{4@Ok+Y9naj z3x;K2pF-{veqS(r5!QnC)Q6G>04vf+rWUywZ(llLx+sBIx3KxJPj#$houyW9B5AZgoze|1sy9kQigsLzHyo?Dc zBb;=u`ieorxgGd&sb6tC?|X9v{9eOgmEpHRJlIjEqbprC=#V3{afq)Zav?7t{KaVOA!B7WN;xELz8qZkj( zC2)0GT{T^1$cT0O2K4gsrXsGDgaAzVjmxVbN#=047Q@X%dB6c}8=;cb)|*Y&kkFC) z?JyiC`-QF3#BP?$(UL3*Na$p27F6~qSX5WPF{!TZFfHVGpWNJ*-GpIWW3J?fzjo;9 zD#0`NLgS$JN_<7_HD?a9n0cejt^}DvMeliG$L+Zzk6D^j8SCA<9YpClxI6b_r6ApX z1t>A{Tp6PhBn8EkuwAgyKnCSqso?-uq+sKho;ZIJ{8g+fwKiMyb z>xd|JKxfm?6M|Sj_ANr${#-e{Ogfq&GJ5Z3p0OHuvhVM40sTb(4nCR}=-?>0Gz=D6 zQERh;xXN#?aI4EOoNc3nl8KS=KKz+DmYrfjxb~=dL)xMxH(01v9b!TF5<0r_;)Se7 z1IXUI6|9lBsi*u)X<~cqsG%-qeR*Bl$QGPT*iAOOmi)W;<(MdVO8U-qFp!VH3j3IfuUFYycL}8&zJT6o>)^)IRs01?vBty6FMIV zUM7J~-$9q~IgdXzWnA^o0N0~t6- zXN_TcS6&s%QjW9(6BAy<@wR*CrsO;It?1 zi|#+{O|y*Dt(D^Y-Q;lEEk*yL90&WlYE2#&sz&r4Og2(LFx2C7Yrg`4@Aw&4nkCJObl6{`;{xQEgTf!T5wAC;A+M96juEWz* zzGkj0MIq(ll9S>2rOaO*Vw!L2T%roj-4E=x#?(*04xrEsz561@^CX7gL}Fx;ct2a*G=X z7Lu9*)8||jRo0Mr1{9TFn)(lNn-~vshea(f&?*2(kI0<}{K;$&s&fnF{R=8mDhlLs z|JMJl$jkG~6MAOy-E&E8Bi{UaeSiFTTGpgxm`=3z;}fYazw}h=IOC`rFD5KN?yTau z=Xsk!PZd%4jl?g1>E(_6zXKZkBbn8?qtmgY)3)(K*KmnXtkN4{!DFY{rB~+0F!~02 zc3IVps!KGW%$iS4cdzs`T~=**AiJC5@twW)sCu_OW!Ok%C*6U?gN8$ffzgm({^B^Y zKj!GpYg5qAV$;j-I4R#{8a&??Cw8U@(r;$sIP2nY{Kq}p-cAM%K|aotN~zEN$)hB8#$@Pt)kSbRFJ^xlYrhP__XZd(fIMj`X6iMv@x z!$^OI92~oTpD>I@4Xez>${fv#Y(ld=uR~j}-S2EMT{iG;C23yEw_9pMOrzOrT6+$;||C6*7)KgM(1+BEb)k+|5E&vBc$Y+dGYZl%lnBG!EsF* z%pWL!^l^LtF?M}-KrS_eu~Bjyr#uJ6Cw}xTt_wZ)y9dY6R;t81Z(kQ$O=`0;XRrAYC(54Jj+))RM_ zYhI0y*RV1DtXiIbqkzso3Npf)J`_z^)`wPU;t{9H*eq#(wg)(Jnk|){30T2)gyaDy zc8xpyl}MSUbz0VF1fYH9+k(A*zI%VUxzAP!_g9h%4n-j2-3Xj49?rFq5gx(QW zt^mtDGo2&O41RTKhU>LPKBjySuVW_sYH4+aAYq^@-pEu%s++oqQYEJy^r+Zw-ee+t z51X+N$6nZ88`cj|UNAAQ}ZI8lD>t_l@iF=rze)-mC5 zfXqbl)DJWrVLEWYWj@aj}qQ0*O7H*j>ZYb#NJlPux?iHNCbI`;3=xXZGR{#1>*_)P}oTA zt3eX>;3^F?aJJ#b5$w>Dr14G|bzA&IP$%yGE#ezb`FBB#KrKl`=;CL7W*Z(BNyJDE zw;iXTC&rx#c~0o6ezU=IfR+5c(G!{Z=cZXP5pSGA2o+zWt8=3#2fr=q5Q5Bfr6!qb zw6r7+5(HJ&Y0i_9uHGfCM9o3c0&7}h1U5VBux$*E{CpG)WmtC+EtwxG7&<0n2qP(r zaR>})Xm`<{Z2lG*d&y){2#dZDM<(ovI&GD9Eu{dgfa=5a`YWuo`{fvV@>u7P$cMG> z5Gf;p?qx=#hQCY!m>~`21TA#@hM09#W)=09G-c%i6E)komNQj@RvJ$CR{rDxjqMs5 zjoQn?GxifUf0&O=o-rCPj742IlQeHzyC6o|ntGLLa@+kMF5a#Cd}R1DjHMC%tvAyLm6Hz_Exwgw(RPf&-jkgo@gp z7T17Tx=(Lf=c*gM7FAV&F~-9!3vAHXvxd5wr;5Nuh{Hd=xO;W^`PUqRN>q4*%-Fn( zHfG48WRHh*<=1o>Y<3BBeQG23ogwjb5N!!FaVkB+(evOng%r-%jELSBt8~t-ZB&>A zv~)I}zEMr`+M#C?OnRHZR_Fcd!wf^K6e&V#0Tg?G$bWlimj6cBDj#*ggswURjLLt< zY&sMAY7S)raA6D7vV4sGWT)nL+Hf`m{Sq*G+ljH&3@*U zM&jGJ|CBn*uZCp21Eo%}bqGP&#J@dv9A@A6d9-~bLd)lI zD{y1>Y0k83C#4E`bOh*XCpPQ^yqif%j*X0(^Q_ca9VPt^Gb%<)yu=?Je#y6mt5oK3oPl%5FOQc$y_znE zTH}Q7d_rBFYWxnReIWeFM1ZO9dOH9kpG|frD7}YQM`$`b+JMWDm7vqq?jtdQc-hlM z8~JVV9sO@seADy2@9`o?rbSXAASb6FD2&d7o3k?M`i7?u?h}(Fa7hh>%Qe+0yu zw}F!bIi#3*&C+JvV`0FO2~{KPIa~gPS*msFZ6;eny}K)h zW&RIOKvRCz0$5EOPAS=2es4{Mf2S@JcA$R_G;FH z6!LD~G6VR;uRqk=>IUQm(rfk5KXe-$z&idiv?>?w{^}fHzh}sCz=%2zZ&*ki1~{evUCr zu#wqBWg;PHo0bV1{E5(b_Xs9t+h}6f|N4WVcU>yZ6y?CCfr!B@{ZYB+W!*@2<9&yWpf>w|xN{h$3h&Xu-atN&rgy`1Ecm1GtJHFkxSyl- z%)K`lsjr_iA;d~`dL3I=cbwDo&jF7P1*`uf-!reZ`Sv-n*dGgl-UDOT`f>$T{**lJ zU7CeG-cF7u!}n@9!w^}{i2FLKj)J?tM;#{OF32K)#SMB?_X5Cks!Y2=(mmtd+^BDHhPR@l6H|4+w3>E@sm^`gOD$e!Ns#=3w8H-$* zj$Fw+0*Xi9Bo7~ND8Q4$(2|6fJdq}kWo0X_q{Xw=k?;*EZyH+ut{vD}U%00yZ<&Ae zBBg}Q&K(;NU0pL_77MuTcg=MfL|B|3_aEieg6nC$uEz^e%pCloAQSGdJJfN7chuGX!M{O8QA4o!7((uWSD6<)E_{u zeO;HvRV1jd-kqH!6Mge`c*^*m%*SvWtF5fOPfJ^Gq>=#X&K{x5$ztx3+)-G{DVN?$ zU=H0Ei~JKV8%c7okia7;F}@!SII*jMqV@6{Q59D@q-{ba=>n-P!gDQ zLiEZ2d^3*xVLh4{uTOFx^N^9?YH&C3at?loLIFEtWrWc5kL>Ozp!uVAPm`(0n#OT| zb-K(3hW_dPenfe(PpOP>8@%jIshgVb^9$d^G~{NKuX{cP0+FNvik9N)yIPfK+Aa6} z619qsSk?8E>K_fQa51FoFRP4cIc+DO!IQJ^m(J?phcYZpvfO%4i+>UoS8^7g)=pk|AB(~3TLDo^YcWqK$GFmRMEY4##3VL|$$ zU9}6YGW2&rn1}*71%Ys}+AP=ip~I3_Z@E9qV4#{2JHDOzZZOw&KD6D`)p$wElhsW4 zJlda#oo5s>`=GA89b{W-S->V~(b(I8xwSv^TS#t<#7&1%b&QekftPx2rYE_R7uc`r7;!GYw z#c9GYZ&9+F9$0JV9Z?=b{Gsb$<>rWCzor1$eR@6)cVDI-ON;qKlm!D121*6I=b9fD z+b2I!Mtu%N+#?QkS}39zhcE48uK@gb7x8XIF+9v))-XoXAqAm_2etGU!Vle%X&M}A zFvvZLJfkcaj9B#G5NERK$Ukpe9HYG!vp10*GcY^utYJH?IK9_teQyLgF3oCp|1}77 z?&QAI6Nx0`aqSPCyXze$v=pw*#yvCCgVM0{I|d;JKVpDclzWQtpeO+C(*eMHMvpgU zF~3Z|ArQi7Ow^OYg8V*x<3ZQZdmCP#3ch&oLrZe#$gzev*RWGqxNt`8mVv%R!&HYS zFwN%xx%BHr=-BY|1VcaXsGn~r)N}I~1{bDc3_NV%0JPWVwT740!y}$e9c_Lx6R+v6 zSjzqmd)}w+Z+1ZaXM#O+mfJW0x?7R@ywIby2FDi0fR!GHdhY~lz5*tqKEP&2%KVZ2 zKx-p&_S|yRagkf*+)`{ZSD$L1rw5kPBzP=Ldz+0Es~PyKiwXTd=|(@;et54r9MjQ| zF&Led7A}0VLo|iaz1icWyRe*XA>=&xDq`o{mf*99p)@%8@BygQ&oNW2K=p(MZL$`w zX}9|;B)9FicRNdmyn}~XB$~TbEA@*^u2G@gzp}_D=7E7kw zGb_W5TWulcQ92x4FvvpLJfn5siN*(>XmVv12Z#)&N>h7MSsXvZ+?Y0e^?LpE&V)Wu z`gHYM!=tfTW;WQ2w@;Utza4Pv-gry{f$fIEV{mv5y;v!g6HPYT_ggpo#9RULEH@f` z#wJ=L#*4(7J$&GYmHIbp`F^jSEd+-9a-|2gEAgKj_T$sZs61=)g{NHHg^C88)=)9k z!6Gx9C}U#o6qv#^T#g5!SHb9I;U%LQlwJTud`;cs7cV?L<$aykA_B`58(al9ajj)S z_~aujbGYJ~Bxf+FXG6;O2J)#<^kRQ;U%~jLPkhzvIs_tW3d;+}In9KA zqx2s^pCyrBf%k(tdYI3pj+1S6-_L?N49JZRq3h|D@d{I9|Brd zf%7@4$6{ooE?--mt|i7CjvUfb?M&6<;Z#}kSi=2q_*H500gEQKeZ!V{0PXLfw$aS+ zN`Gc@%>-UGP0O;}Rl1u$SP!<=q7{WiYm-`u*Yq9h$EuEOVGmU$=dX{!lr20;Thm8}K#~|l{T@{0E^)vFJI@3c zQJJ+3M18nI`bi7`3dbD8RLUVt>ii;cHd!w& z9^Oe}Zq`@DQRL>wyPuF}at;QZ&Mru-rL0tYmWOGM^8g0}Jr8>4y*A{8KV0`APq~5! z!)75=tjdQ4Q>{!B6t1nfpw^b|pYG&mvA8&F2GR*B;jB1cQDm}~>1YM(!GeH8cgNm< zT0GfPB_YG}Wh89wbii~F!t9g-Bb^ViiKzlh{VGW`bKtUX^C#^W!zLHqYx?cf zu6y5hgnY1MG5bO8K&t%l_pGt|pSSpztPDE+WSFpUaiWbOe<6l&x=ZFGI(I;F=t2#W znQ-?zsmgseK!cILcVPCXSdrTY%fVetC`Y*BaTn!BaUvpW7qXEoX1Uv`4P`(MRg$up zPVmN+ws*&HD$-0HWa3n62&^!WZPV>JfMfGdWh-O5R)XH(fw0kW@di!t1%@5D#y`J!&zXw*Un$p+%jkyiZi|u%=L8NI_9Su?e~BX&YyYj^ z@1OP>+GwCYB)g^m(V&xfR|wZ3!7p4s4a<3Z#SfBkM@sPgBpDEt&}DQFxG=UU`))ed zk4rd#i6a5+go#jq*bD_d15eOEb!%;Gbq?Kgom1V%pLj;GRW3mMj8&-b8#JyEZE{{MnR!2v8{cTZV0o(1}A{ zxL&uQhe0CYQ-1Jyim2oLh(Q>>+b$HDQFs*XuDt6|a+Gz{GzgX;WSAw6TM3gv&GaXE zsT>l*3G66xRBx&vSb?aZeH=A1x-xfEX&u(&&_ek*Holld8-Oz^`#9NnIB>e}6X!5y&VG{H2gqK&jqnPMKDBKt!?jVG*U<1E?Ru?-Dggr@6 z!x8xO%<0=dia=!rZ)pyC)9JPH_Q_}QWJX4DYtNe=SS78g^u zmOSlushH`9;`x8FU6fzpKqVK7o2Uz>fbaO+gA};;5f-@96aPCJhzcJ1uqDo<*`pRa zyn;4a`PrI@N`jmQkspt;^E^ksBYSgyv;bgde@ zEEf^}ZC03wFz7$Q8Grp^HK!~~k{9@77o>x)`2JC`$s5CVlU5)vP<&1}kXkt|Q+#f8 z{sUDN`rCqyf4)toG3nhDP18i1An~K(s#4eAF*8we0tTn zcGr4X{vGp1iGV?NnX2Hl`(qlGQ(b)A1>r6{$zSDCD!ve-~>! zm;75bNJx0y75i@R_R2QdH5KqD-^|t^xZdA;S6}s~P4xkME0)-I8`4IasW-NMDE|az zRIY$dKc^AWQOZawz-`>+L{J~dTaXacJ!xLW0>66>u5ftKpb}}>O&3a^Vc$VNm~qp)a+Mo5*t-|4b_k##qek12>{#-2w#d z_;YgQr*=^HDg1xBrYyYL9CpcV2f=c^?)2va>%-Y^mH={@x2vJ+(IrgtD-ycrE%Y6F zTqo;iWVRRFcS)FT*%+&$ z4Xpol0ykk^XvsbG^EEPwAp)egB2J5*U7K_-*4H%K&74NMeEEkA6=%{ zY;CAswCR=mdw$O&a6nD&V+?U=mQ+Ui3p2WdCCa-_MiZ&W6VM#K_n&T#ks#M$=h+Q@ zs06t0jozCRtT-j$zVVjeWoYJJ+yS)v&z+%9qcwlaz}krxhr9$&iZaVJ-+#xkKB8S=S1S)u5bN3x{MH5 zAO|E`wvsBjFQn|3Rj(!rEM)5>mH^^Jy)_-Lqlx@WmZ4xjWyx@mMav-#9M3Ie7DPu9 z%R>_>HJ~Zw_!y^uH-#B~$Iqr1dhrtKIy(K3?ofd>rrTyRe<|B|0CK5R=pEb9;5*J- zl4@(_T0VPEUzHSK)>W-Gn1jyCQ?Aw9OK@_$LqMF0Hw%8iYj~&Fe#rj*Aav1lCSc_v zz@1Y~xOcM3`rlp4jhp{4UlgT)hFli;h1n!H0Faig)D) zs2;_&_+!-`p@t`M!dDJUaw{@ z1pGUc{Fg)qqF(lnQ-+W4bFazB=KGESp~9rDwVH2Y5j*sWIjADl+Yh{*fA*~=*ukH} zTmnFqcswpugi|d3)bLRYCDtHUIdKjB5e!^+dGQmzUg6ghE^b2Jeb;?J?NaZ@k|Jg^ z_?vv!F6A*QDVECm=`uXXP4m0e(n+cIDiX!j#@cW`k(%%F`~@zokT<>cg@>E4@_~ce z^7vAN_g0;YGUE@b^EkN`bUtUl0z^yUrWHm5N)VY%tP`b_+X=<^xmi(Z>Y~imo$S}p zdWBM#eB@}9?EZ_xb_s4TZ*W%V^Pdd_0q|p)h3%F1sq*8JZ zxb@Bor^tY}^tTQ1Q;4!_kj%v7UognE2hjZUi8J{{A&HT9YNRjU@8)PmMj|e0)7~Kz z$gJH^$pezpQH3)I43D!oWTg-dSY^A57|q_pNO!w#U zE%>;g5sbp$rjjQ!1$Ip2-L2gvqErppkz}N<7e|`Nq)vwj&(J2~xjRY9;bY)sDbR|_ zhN?cSBunD@y?MMI+I3tx<~WZc&=+-3E9C4WbJJDC(tbJ>3WLD@BB$u>>$%2Z%_4d) zn_Ts7wTy>vo(10oI6d7i@DSNluZ1dMJ7oow#2ycXVTQs=p4j%SK%) z)xCnh)gWP$wTnR1;PtTWJWzVgzyhLgxfS25Fj#^X(m%w&TJ9A=ljborzMbAjoOpJA z5W*vFSdC5F!8|z3nv0iuhBD(rb!3LFT>FkEs~i=Z-7Y3@YDC@Y@`)}$E5{!qQya{8 zxMsMiJXPDyN@nXdp6LGeWn{KiX~E6PllkuTmrp$D@`&&ThjIxVSNFm$$^yL6vxUEc zo!N%0t`PrK=eO_QjRwWjjru9RGS4<)N2f)Zc8#PwaD&d>CdiZZhf_>L{Lp*WJn4h; z;~2;p!w*JQgjiG-36R#Srp6bY^nwSE$cex;``Q8KWSS&=`P@ms_pKd)zU48Nd$#jM zB|()4B!GF(t|Rf$QYzlx;exZ9;$GD6DTNB=D%&i4M0ld^kO%p#6nE49@iJ=6efg>R zYYQ8E0{3hJ9zNyN^ccw0Q`6{FF)>M&$FTRyd-vK(N6QFjMzL_(H+XwQ7HNjJj~->g zYoa-4V;Pp|=ps36Ouas6`r-(t0t6oL>)!1-tZ>_tdvEVI{zo@97N*TaTfI(f5uU8- zPedS%a<%6ztxKS_tL{LnZ%j~}Pz$fLNSb&s(%ujZ$w zbfX|RuvD>^U-FD3I3R6!d5a*ZNbHj(Xcb`9WA|5{%NmO!GkkA3x9VNcP{F?6Z zMQlHN=ryPzzVbZ?+kBz(L2Qy<)Nhf&GwjHUr|b91JtR)<+apfz)8l)EoXQ6uu+($K z@5PsWd$O6=2DfP~#7M2y-UrZ?FZPP;XiS#BLGrbRlLNLxzzwX)<+iDv$vI?Rzudk$ z!Xc;TAbO|Dt3Z91oSzqJLiF12Rbf!_#7L>$j>JX%Hw})&OQ~}&E-@HOE;S5RsM4xq zUNg859D3&QS^>$e^RAmp@1nMh_#u{j5P(&Rn-#M(_UXqx8*QUxBH%MHD*6N{RpG+K z+N*^A{%#zGMABtWjr_hSJhz5dHoIG+q6YVcEW_Bt1DV<5HA%}1hsx%Do}>~laN<$7 z3K1xbsg$}Jif;SKov){>Js?`u#39Fez{U#DDX={t0HeDzCjJ0EIT9Mg^-0)`%G)jt zQdA&;;{rU;&kHnI=?0?yvuXLl zvC-y5wq`_z)I@lJ>$HPD^c!+OCKraCDe%QIaR*;Bs3_6B;w@cl9pHMVo23^^CJcFO z3iqGhSJyrC2S)82E$+Rr4vyy3aV%^4(9I z7Gayq_`)~xvrmK1m_G(JEd;+Oas}=WAiX*x zLI6XT`Nk~kUad{}KkU_o(f_np^dyPF_)`-)F?dMwH>pwcPDU|Zu|*N!YV%IolGsSl zUSlwu(yFf0vho(=m*&F~$R5nbhgS*~r@LqZqUeOWmqig)SL1GrWN{$Lc{)|k&)ytj z&rx0oKzc>g52RO2AV7LWKJ!256^xt>0#JnU{^*gAY$zHq>V=3wNDGp&=g0O*TAj_& z2m1$J3H}>it$pnoEIW>N>KV?+e7$gV>EZ|n+k z{eQ757k7r>;s3C!h5P@(u0C`~To#g=5Gz=t9Eh>q!ehKD2LI}f8Gn>}9v$>(t>S0k zKfZn(Byp9;9c{%;Eg0LRl<~<=uxUh==@g&#bB?KR^jM^qpDi$`JqTr*ms|WEX@nC& zSQVnYe(&GHGsMQ964v!pNs_(`so>&(tZ|R&$>VgN(+Y0jv$|?jqGR!&UDOOtk%pvb z^7QlR#Q7J(5>^~a)#7_A^3TY9n~5^2nUMyVPkmZ&I$m>FSs#(#ZXJNOv8 zJBxpyXuk}aJG=SVQ@t~*sSPPq0b;DG6aooHyn}_z-@xgdmQ&BjFW5*2PX8U*Cv2qIGU@d~t&)~x zHyP&2(M!kuXN{MBE&naCF#os2f>!%~lvq!jD5G?GJ#In+7hUE`8j6W_|W-`CGlpZ3OL zWv4MGTEOooRQgDWtOf5Ei33k27sz<*0c z3bKlJ?MP7^-G5cBskXJKa))K9j$zZpm*NEN^VgL7N*g%7SQ3sviaSbx9J(6@(_LZC zJLjT#Yrt#CVcwjzvwwZ`>Abckixl$D1wOIUo>jwan6EsH;JWAZ1ei3GxdMm((Vgmj zZZHCzy$DI$47<^ZbIPbq(kf?xOzNscr#0(KQQ62fiZor=HnKtLYzZz(*S>PbO)i)M zF;7ghds%yd!^eej>5p`wuQpQ(^2a`ev=n*{PNQH=HyIzTkcfekH*Evtv@9fF&ezma z{FD#m7OTrIJ`S_BJPA`p`rSUfuyqXQ|DVcIYnwK&b4dsPaZF~Ca-sXlHl5KAw=P}{ z2hYYvKDwu=iebg51i6ST15=rshXTXEMA~*zxL}ItcaJm{&*GUFX>Up?n-T@7w{`K( z^9vKHE)t?mskRNGPi|p#i4@B1M{*YmKAPQ;dr!*`447Y_7QWGWHudIKHdRSp6f|j| z5H^;`KUUMF3q{7@F~%9ensmhr8q}FAH?V(3#NfXNkk42T;7PCm9To-9VYQsF<=d;K z!rC{t%3GZ+0;yIvkZLJ&(|{k_>tZg=5`d z9wb_dAjhAfWPTSe?HUEjsLaamiwO(J0mtV0z#b@y+tpHxIjN;~j4sQN<|Ps1SHp&UcssU-T|!P<;m z8bF81n2XQBLXTJCf_rbmaIbJUP#EkdeF~wSmF-f34`tWToyx0GXA13CLZzy7xPv$K zunbC7Ze+K1)3WXBa6+vp1L3gq>03`7Iih18xO}jbxZxxodsl2^%$S?yhw)tgWwTb? zBguMLdLMotJwqC@~Y|WWo-e;(*)7jBzqPT*=Mu{^xrVumz2DR$#3!2ZV%gSZ)A%$e@ zKt9A8<6uXb+8J#!+^FLvh{y&Vg4LFlQwQGK1gtVH2I!an(Gk+HSRarQ8u zAJUFnJ4#+W-*LN`XvXObMLakRvA5T(z&d|Wp(r*yCpmL`A+;_XTXzy-z<0Y*9vfV8 z%JF&4W>dFNou8B!k3fACHET&Z#4?O@xPXNSf-doX?GgPH**=0rXphD}v+86D9P~xo zg}*Lk4@UK=kf!t~FtQdoU8W2vd9my%g%Jql1z>uDWDH^>3r;kp5{7$a)WA=Z1RJv6 zBdKF+5gE=lPK6i`#EA(^2=a%>_(LRp!B`w7*uzS@UJ>ftof*TPLlLp2>__mV!Sy&1 zO^+i-Tz0Px&G@AXz8>D$%PYA~SQ1m^9B#>d$_h+$cuEvNw>DLTf{@1^lEgCzv@a*l)I5bqlMYKJw$}6{350C^Qx@8QcU3(D0E@58!@6 z{m+&?LBfTMGLA5597SZ2X{D9BP-!uX{DP|ZK{CA{M(rR*ok5aLK&UoAsA^e#2 z7#plR+<&b}Z^Ezjs9X|AzR>d2$w~1$XeVhWGDdUe<`ax-qBBQNeZ8l-Ru&a9uPowO z0diUytTJ@k(2^q z*7|_T)PvqeI&f6mMUV($#-|acK<9fg5_dh{Q}42c|2^=@rUhmRn0eFOeWY+^jq>j@ zLb|8+aRs}Y_a>j_9gkXkU1P75$Q@p(k$`r&aN78n=c{|i)O=ii9vA0#CnBE)>_6;h zU&2RR?53KR>PZO02oq_XtPP9V&%yyYKyANem^;Q07(?39^pD6geVvnjS#4e(palO^(tFk? zJJAP|pj9NuW zQMaI(iQP>-BUBP@WxrD#(Sg%2t2VM5No@|ln2{h(Sho)ke6U6x84D{|%m9YqD3lrk z72-!*YeQ^zSRi4Iw+z4c4tl5`f(IaQai9d?8?l^b)SiRH(u67sK3pjPH{!dkPLEvt zj_B|5XT)Ry+Xt>p{kTg_ft3EH#`zRB$_7p++6JzyDPG#;CU1p^_4sEsqy>)-d~9P! zYJN1;1>ceUBADr^#9fWsd3x+xV^g2_;E?duBfBU%)17500#IY+76UcbZ8y?Ac|wt6 zmpBqN8viu~LH?VY?waKs-rIa^kC}_fQVVOEykg*NrgeWf>p^dtv+;QI^o@IlQ*b8) zrBQFV@Bt-fLh`OSr)U!E2=Or+2X4~)g0MI+DZyq_*WPHaF0A@+AK0mh!+_xlGR|c$ z4BT!PFxpZ%en-+Il_FAwmvAL=A=RBe6q`?$U4W4_STcY=y>!G$ZM+01 zyQkfwzcmWF!;$D?lCUdVcB*(4{U5+pxo#+|*gmreMeG!Q-|@KNDfY&y^jweWRNkB}V_7|v9Or>y}8_GB?6b54(&Zc-%RMl9~h>K2tx z8&Up8qG_wipSUh4K<6*Excqwmue25JV{=r)=t71Cj-NVl(p|t&}Jp@F`eJBCLLDl1#H9LWDk35L|_a z24ahQgkVp@FiXf%J9&)q*?uJlTn6Z7O;zDZf@rK?2uLrNxb<6^+m49ToBx(B)b!!7 z0d__Vuo$tF!69`N(`|!8#lk5}BoFS#+iK8Tp4WAu$b{`o<1CxOXRU~RVo<2WB9XJ7nHqbzZ!7(;s8$(%_P<A<8 znK#Xj!O<2od&K)Yn3M=_2)RCi>xPN7GI^XatwjKmb}ILu()g(@fUr=PUtoaLEZ~+w z^J$a={s>tu{uA^FqSNTLM8AYjl#jMVP}|CYh@_DT8AUf08s_3;%9};#H&Tq@349fY zHrl*m7G4v2i4?DAI!}o}Q;`cbeq?rIJH_9y;lJcanu+x3Ee7+WW?giQ>VU5U1#PpxoFsZOFNlxh@ zwvTmvUvRo(fuKtr@er{&b`II2X!&_xI;ZQA>}N{ zRe`<&U|abPLJ+RO0Eztao(pzFG8J+bj9ECci`{z+yi1_N`X7-@y9XV2<@~F7H?V+M zf`c{@ciUj25&BCy%Z8G?<25t*;+3CovtCk@O2{iIB8T^rFw5n^7i28kDa~Z2I69$A zCAj$Q2gjt{hILAUzFdxw$WD?R!o{~$o6lKiNeilf zJ2}`R$uQUx*D72ixCcuTlb+KFV;N~sY@Uwshi-U@N`(!l%|fFT@?e_Iq>XZJ>l}`w z@aB{-U=jTlY`C$PNg@ITR~#beQ};KiNIz6OW-sxPN-b7iND~NVC8X6Spsp39RND$% zy;2Z_u7A4Fmox$-9D4|Bo-hEFHz)T*4H@r(!*O(h%Z{D26?HSM*&CKl#S{v$r z(i#G+8AZBsut^YknvzkM(HanhPS>^j^i>4d21C5esOuoHLl=|P^EkyYqvVs}$k2a> z3`+nJmI@GI{a0!&FG3|No)A5&d=_jYIw6(w22<2eX5#*Z5+V_T*?O%!!-E+}8x zz<91*=8~|BE&yS6l98OsT>x8IxFC?U(d|jALX@<#x9ZmX1zPt|*_TyNK4eG9VtU4l zR1Gv)Rf>62W08F76l>`mrCl%^ckf%@KojO+WEdH3hI~=WBp0% z_lnAm@^+;gpZe1bw^HFtg7QUk=bmKRv7b=KE?E~^VozjA;9bY^YhwkSojsh;+&Hkd zj(_HKLRKct#Tv55!YuexVJAF*DC+`yK;twA~R~{z|pEt|h-C6!s0HMPxBU&VSAg#65j|o%>DSu&J@_FsQI>%Llyd4W*2v;%h?G@wT_CBUr&=QyE^iQ=#b+3K zz;e^Puz~;3YD*EfT+4f{1|;mc$+rr_1_cx@RRj?a?yA6dl#KEyQBVsYxY;nhCSfrocudYN=au1N_S0zqNQ1BuE{KNE_>jEFs=_qC880Uy(7FCdvLME zP$nt0V=TE-4^Rl6kzlb2b8dZJm^@PiJ;5h9OzU=K-uJ{)Eh$&42{i#PIs zDX7hRdbJdF!^8MMk&=P1O(2n2*kr|9!6o3G*{7EsJalbffsU)A&`JW0!fZ=;;uz`x z9mfPuqkjydKX2KX%bF$qyB`NRz}x1JHx3V;hP~X=TH-w!)RK{13$2fJep;OJ(kg_e?!j#;rXGhr5*Bajn7aM4sEP`Ow|`0j(zp zeN3m3IOAbQtf{MC$~7aT{6tZNV!`!YvmJ$2YQZ%3X_VGy!7{QX*olQ!eLL#y1*anx zq@Qcz*2#rriQoJ{=0R1B<(h8(qMW7Ul4S_Bkus?)Z$;|A`-0BaCp1lc|2!)g`8Zj& zHBNG2>_-(YNPJPDKZ%cX(c9;cQ{h5ZJ57);Q2!o&p8xmAgOfP8?IB1N)N(hqqy=^F zL9#QfgS_ID{lJhQAipVuRu>vGaaJ_E$W57)HwG`=4{7u?@7J?o^Z<)oWNeTq@t7P) zY%Vh~!!OcNa$1R%PS_bjg8&dMTb{3#GWE93l;<8@3!-XHQ%$_{o$Y7J3r+CnrgWmHf(`;EKIK(9FVc!r~X zd~2>QaDJLKyZciu`$;;9nD=VR=n!k#XLMLM`oAMfH+prrT;1GEgHHY6pI6%gjR}~! z8vCTb1fAOxgA)gZ80o)`RRF6M4e^xSKz{}G|1tI!POxR7(URax?yGzw@k}5y2-oyloRYzXlzBeCv3vk}hF^xyb{gR`KM9A z{CT&w3xpa1WP(!n?1$92mst`}>(2*&C1`UkgHKg!x^5=)%76$!UixaXt}1!7{3X}2 zi^k!UbHl1zr_Fov$X~AA#9iyI-;lb_PI>zZP}`1vwqDKc>qBanQ^7c+9aGz3qPy)5}N zwQ0kL9_0GMq+d8a{D2+6D$74!cY&s>QA3_;aaS$*$5tZ0)6!q&7`3Oe@(3)g6SZHj zi(UCY7JDV|KF}AT<~Elga^QGzOGKQVxOx8%gWd^nbfHwG zG?w@!;Q^&7PUUB-sQr!)J+X1ff00_p*~xiR5t3vkuyFz2Q%wcyr4=5$$M zn_y9vT^>ZnxI??$_O7OF!y&#ot4=LwiV<~jhFKd|H)L@-BQ)i|m+?|r{;zvhR8w4n z_9gaXRIo%Nn=fRknoYomzY7|LqcA;MqYIdJT8;YJ+*5Ne>6`mD$YMC6R97M@SYdb6 z*h>iRCFky3rWV`6MvZFd7IzRI+2lRqvk32H&uJW(-{{lxk#w+!8R2m95`BWQBl3M4 zcz9#yOL00!HI!#dyNJ0mu%LNlkf_@1E{mJsW}Y8`>5Q*6Ok*NAtQmz1^(Ea~Em2A{ zpJmf6%|g;H9G0&kO>2AARX%x4cab)333f|Qda9srN6$QlqA}sD-gJp$U`1U=(1Ci} zpAq*C{$@Ih=f^>D6{F>Z!`qkx(?1mFA7(0xgOiF$xML!%a~ic@e7;(nu{KdI2Y&!j zchnId_j4~~zt!ivxUcGr949&(X`yIq2Y@6iXiv}B8Aa$zHZZq|j)yAI#unLcBmP#~CfJ?3t^Mf>wCMyXXIF=zfya5qDRBo6#%(#_fW zZM;Del*?~|s$k@R7%W!OGY18cK&Y`qC3L)mkvWTJ=(LUAIEYkTFvw8AreaiTpCzfh zu%Q&MDXW~kr4;WZJ5$*~!+`0R@Kta~gut7k$S4P8HD;P+0?t#yT*B&1LSj#&wy}`h zp=^;?C8kNm3YA_$tAyPvA@{p-s+-g)x&zhSfuJEZ?ZF&GbhfY27(&KyeJ45|4#qa9(No>YGlBUbwxaNOIpjPZ zDNeN?hKO!bxD0v~{#qrkFo|U}rchi`cl{(jQnqrKbuKE9#jZXma2py=@lw@2 zUG3v0VfKxU4c!e*-JH5`&8SySs#fW@n>y;XODqw;n%Sx`#VN2@J4m>XKcmaG^`w|a z)j`N5c=xLl;}1jjV83L=1?fr|qOz!pZyC)9N{X%p_k7Ca@;Ah~e3=wg-!ik;F0I2O@&osQ6W z)qt2}Zw2LiN(^&r$gTd5IY@RX)jKb=ryg;NY~``g?CQ6#lr&7^9E!3hh2E46#nrYC zvIv<@3gL=a5qEoC<)UQ@q5W1w5OPNn4E{)la6$v4`Lx3L(gNkr;@aKiRrZ62Wi63E zWgJ7w3+ty3Ysfj)a1$JUJE@JI58HnZldkfFTt&ph{%*}xQX&Z8-mK|wes#1EdL^we z1;FnGi5hE+LyL8*bY+n_93dinx@^?&c-`%#d?{7mbnDc&T8NHqR!#O44Q8veHM^7X zq$2C%kFtkH;1b}{TK2=r1Xb?o(?$IZZ=(*i`G-cn9QHRFUWY2eCIcsftVI+k8EmdG zJ43BIN3C(#$ztbM+Wlw1dB$(2M=hmCncTJyl03Y+*>A{tvbZ_-@?d<>*%yAAbDeyu zeLVLfTI0cQyEx)5bh0WuN`acM{l4;#%X6w}ueEUcZsAmHAqDHjlkUmK_|)E(w%avp z-ni(Q9fFV{?MvlwFyWP~bDSHYcguL26X61&(52R);w|HQzk#(uY@PweoOug2OkW*`+Yd1O$0C7~Nso2>PRpFnZY2 zh~k(c*~S=}h&lSkuRkH?eAy?rK$z*)MsP5y%-!d015aaa2~kCENji9lKpbIBZV6($ zN`G$2Z}S|TTBSG2TC?Igdc!9x#q5dn4lh+VG0GVq3@3AL({M)CYfSkHVBI(w=KIV# zwPjyaQeU8N8Iq|%5|=_q(NM$CbtuFjbs|;7^$Jx$(W}0aj@pkDs&H&i`x81ro4+7b z5m^JfC|MyDV2oG})2w7VdoGD48c^B)tkTtc&rlPu6h?EZu1O83x>Z0G#-AmB`a1ez zc15Xeqdctvhdiy2<@ncm_gKET)Y549-cv#%Tnr*fQ$pQb41oe>{t}^N-Db?)k^$0- zRoW@q0lIEdDb|0X_g@(O7v==$Zc57PDzg5jku<$q3!@5wSbXGI|C-}g_f(Z;oU52N zdaFYZM-69k2l|Cd{FZUibrZqR*C&7!R}JCc-qh_tDPFm~bmajnX3!3Tv&YuONJ~9h zx7!QBe|eqQu4?Vj!zzcHW^zTn(NmkbboEmMf>e1$?!OQN@Ks?FB{GjnV8sUPst-RV z{vgrP-jA5tOuc@ZedK@o_8oiF%Ek3FU9g>|YTAz4BorgVv~(vKtN* zg-R_JL_K>f-RCI>UPiOZy$$;rcqxqodYivb=`dH>rRjFf{0pPx#adL)r)%E2bWzFo z{Bvy@0kH#XQjx*%nM!-p{vis}jTn3!X3hn|i{ERkX)lb6E!D*BzDg3{Ox9#g1{$$d z7S<48IB8s?a+{62rMr(yba^%^kC;u>b=FuhS-mm%kSC+ruOx5Q^&*8xX>mGo3of4h z;#;y{p3H-|YH>{w$p{jy)t(p~B#5@i93KeNfBz;{`9-{;CKpl9;4U>~#y7Qpg;Zq4 zDs8z#;-}f6h9%8|SI+4Q;1GLpn?baXvg=H(KaBHM}H7)uGQn+dN@=}Kic z49!}q|H&(G6pg5xjEl%Jr28dde-*p)hiYQwV@`9Xh!g+UKb{!E2NKdVAFYZ#&DYP1 z!dDB}^D`I4z0B92ip&BE^64^C;9M4KQ7%6aUxJs&27glLq}9nPvNjR2l!X_}_9|Me z7uB9jFFKoB@z%0uXb^n-8y#Cg(ZC;2)?ubcXQMHXl!j9WRqzjrtSuYL6*T!nB`dcA zo7&9(qqI&ORvi>YK$(C9vyOaK*+eSVn-SVQ;=Hj=PO6&&n4_ zqgu<%X2imV&C1rp%I3hvM)8hq<{euAdsK=A%RIYuic0R2LPAeM5Y9w^4x zVrrbLgbmp!31uuNAL-(p2560#o9F1QHh?8vF$|O@N+`z}wM^i~Ca0kFu3ac7x-jrbO zu(L9EfXDaU^VM9jSQYLNkFtT9iIW3OnF0qF(Y|WycggJBo=n^s9wob=lXsjiv-78# zc)zVL)TaP_3yUxzG3N$q$(=!jJy4_b^B@;U}<&<{v@VoSR^YEsYBoEu8u z*PyPdlMr;NQU8pY#$L}+(opTDw_R|FcTbevbyb?_C@N;(ij%8Ni^)T97^G1Tk!!J& zEHnkeswD=;(FdH1-*_KC4sB6aPoB7Oh$YhzC6aKaEE5{3aC=&hua3wYlSL-+>atkw zZBZ*y?r*onl-e0e%yLzcmzXIs+6)?|yYIuwB~RCy2USQa%!Tls4}kLu56U>O;TD`T zFJyf~MWVbXn77B_Cc9EJ4FdOrMcnPS#iQk$7qkJJuVbck#Xm=|0DTdaQ29SkCTzfQ z#Q$^-@f;a9SE5A3yNOyVF&mO-Xr&@CE1GC%Bq5;^7;k7~BB5fOXh@Vtq29+twTl%l zy{9pOhYwiH!DM48viPuVVtDHLez1yb(9kv>+xR0mRxm3aRNEDZFRmIWqODIWW*I4> zJxVJ!@KMyDg!_BWzz!j$0FD}3{vI{l$4tj8ZpFp`q3z_Kj~ZM`pN|>{)xc3h>Nn|+ zeTNH#Mn6=6R4y%l@Uw9xof2#+{0A^D6PPr9=pr_&Fj5v`>NTyVsZb5F(Q%f^=C&f4 z;7GYzPx{v82~n=dnps7#fWCO4cgXht*oRw0n5+0&eX?_`#<1jI3*w^dbnM!qw$sWl zeNaw77mCFZ;<;$#8U+3L)}NbA1?+GEJ;2-T+MkohAkIN|oyXX3!%j!=ay9bHZL5Sv z-@%L;FyuWVpHHEL=|(mk-xKrw>>4~9G2b0K!1Dt<-7(+o#$&!y-fNJ>eh(@6>N%qj zuH>Np=4&8xpHu3$VM(w%Gb%$Xg&3+8CYw(gybvQ(Yxc5>Geh{_yRH_l!B0uAWy*yr zS}8{ApP@|0-*7*GwOoTrFr6JE@>@V3^LmP7r<6(P%_^%q{`IhI*DQXEciMXOyzNME zng=4K0oGG$iZbnyy37>$cd?$QTENH!n7Gtxts_{J!FpWcEC%cjzUfJ%-qudkLcNG74ap)eNueG9LyYa@z-%)pJR(o|utI1w`vk%>v=+x()Ul5*j6=#6 zfibv<-8I|2WxV=lnF!iT;{Riz2e@Qlm?cZY`S%JA;QMQU6xagN@%;ikK4X**Ye0~5 z4_2B@%5~6@5(JNuOMX*k(LaVm;SjshQR)=mT8Y(M`E;*HOq(WFoz23qj(a{O-_P5Tg5ZL_g<=1r`b(w6O~0z*UBh zLwv=ze>y{Z?L>Bp#&oD^7(ctB4b3N z0g1uvL-YtIKArXcovB8YL-F0chv+s1)=a1V5tLteNc?br3XK5NpAmc}=X_2*lqdoG z5a@Xb#3YV4f|+CDq${i#G9r<~8IXcX9LUn>Ho@M_2n~_mK?uRuBv-8JVdxl$jLqyQ zQCTL&YLalde^*7x4qz%Y@fy+T274OoC_3q27qKt07Z9yN} zj(rGZnDCa+Yll~eYAt+vullc}gBf{)voXRQ#>nEB*e#jRjssK~5OkZ7AX`!dA(l*W zgKslNNbkkv%4`IVox3}*Y&h9vBze6dzG6`{Un56}xBG7mqouGyr?Ns~oG-kEa$XgK zh?GQzao?grhP3P=^?vXD#@mVj+5aOCVY#83#LyFRh>5t+jLc{Xfy}Nb{JuU}7t3)M6jod|e4dkd z!w?IhpP9#kdvVD^$(nl`DgBI-%C!-7EhvbAkS5 zIDg3rZ#nz?pw|LBtSAU}pP(x^K9;X}5B+Ri#o@OW5!R_+&Nw%Q z;PKeEd+~67ir7=0BNr^&&ez(OrgMb|7hu|%bSLa3O7A6ufZ1AK6AH+Nmyr3#mhusK4xN2lOODc?;&*=JmPf`w0rt z&~{Svo9p#WC8c0hOp?sLu_F?@P)qfr_Vx#Ei@|cno7f-P_2a16+`>nh*jY!H^;ZRvF5iV;UwhuS#PzjQv_H7>WOx|yc|Eyuweh!(9`R;82^`TW62^5v z30A&E(}eV_@l1=@FvHpjg@wG;7xZpZL(5{sfdQzG-}dIk+3l$dc=gL3+;<_SCt8+WqFj_R;7_O7r+?uHf}o zH^#izLmFtV(bJuU17Ypyd{MeFZ!=i0w^dd~#}*bd*VeXnp9Hj#iH`YRq81>(_4*_p zWlEeZwz1!Q)KH#jtF!j)G}OCn|5M*pg0g+)Z6E&M1kGr&1v46nx6NjQhNOIGr98<;Vgn=E#-XZ&Nq;Ssnfkfeaf==BhhTNDbiH#gwKt?>W z<1|rQ#TKxEY4X#ERuzfjg8^@ZA3@(@jaMe{wXedS-+4cxjd%KH*Sw%-Mq(1_z=iC9 zqVCuTZ)TBv<7fML<@xY)^Rmq^lE{7Mr$7syS2Bt?3u}wur=R=qU2F^M+h#uRmmakf z-6yp>6Bq7pu4gRDZx+t(&h~dnf{jLqFU#F;*Uw^c^Wc*SdVG^WEZKAF`Y++AFdGE-Z6sm1SUIoHR^Z!a!x2;aWf=DO_nwmks1TsgsL+^hqCw3bwLG@m`sQ@@6Or;L$~FB6Sicmd*B8w%ooj z=`=MQvnca87p<{wC%sB%Szm=;a(;o)7GC$FjL*`V?rr$#-nkl+NI7v=1U_(`+MT}s zejZ^KXihJadif*0y+Rw5 zU6>goCh*mmeEZ>Y85JcRgW~6dlT!TI=IP;~YB1r@b`gY+HTO&rEdK|R!dV9nn`1*Cnm61()mHjra@i#v_z z@8ROXujR}?MVDSb7x}T1)}ng=SrPTf&UyG3SrBu(cBV=84UA@SX1mvuGynToP8TwI zG*8nl46BLTjJxY~1JA;90pB04lU2U0oIZnSjsbh&QR`={KH8`Jo}YBuemG4y>gcYI zZ5$;gPQl`)puhwgL;GYe2oyrA+6)Vr%9oQG(iHjsP#7clA@rUl>LufgmI*P(CyYfS z+O6256V+8k`fu+9vul*FXlsqU7Nxf9*Y8wMp|FK)eo&92qLf?r?MvZlJ8`-9>lNbS zBV!BuW$T+VDIuc-D&Aad&h2B$#1I#+DZWNvsmu_B5UO%F74o|~yglJwLiwzi8dYC! zeI~SVxPI%kEk83qx=ey1~;RdSuK-A8Y{Y#&`wQ_>`K{NR0I%`0?kq(B!%rr}gyy;Usa znavv)@QnaHiJHB1Cb$ma=8bG3=m?5UR)pqhDFG%64zvq-Sa}lVXAfOR1&tnp_A*Kr zjQ^Jyw2sGtC$7soH>U(_iz@d>hWYve#_QOyc| z4jUJr%T2N3OU`bIHS6!W$4pEgI{2VEG_oOtVGfR8mF*h{ylnH<@?$if`}tz&-Sumy zbf{attVl=?gq?;~UJ7*rt9+8NZKsNhMpE5od%=#;4PWo{0oY_Am;-(Wgn2AAupV^O zuK%v{IT=O81oL|HcJrMY{_xoNG|Xx}DUY}N4Zi6*_XOFxNl%A}3Nur5Z8C4C_jhMc z4-Yr)jQ*xj(uyA%WOAfLKM|3gxNk6-uIWbNv6iWXynoUoprye=`c{)>y?JH0?I14L zF?R&zZOsS^v8Ig#5oZYL9YYTx7Xu3+Sp^{=@s=SBLU(f*!r@gpW!XR_;rXq5yXS+e z%N1s?FMQyr@9)}K-as#177d>S)(mI6-G{5lZ92%yhfUt)DlL-_+1~!wPPMIqOS(T6 zzw7(@o}91Nv3MBeJd9yOYPHh0Fm;3qz*P8lKt!cL!7!RU1VEAw!E#q}mDwwAxB_JyI57GhZtf5oBiB8kZ3AunIPGj&d(|)Fc!H4Fd%AUabDR z@jhK-h%#*mUDi>EBXMEwiisptOYVGVf~egN61aP75wJ3BMwtip)=4$h`L9BUhj|ffJFFk^8EAZ%`d97iM6DG$82FO5Mob4@SZU zV>9OZeSn|pd>yc>E8k^bfuPT=#>Py9E1Rd4By98z3HlckT&xUahoK^bt~oY@rS!zj zy|SBzo)UqgpN?9~)8qZ+;T$8!d(^;%kg@&!k5yIfC$LY>e2;J=gq}Rs_#ZehO)>S# zGBTBC??U`jqJS{V-+PysP9`7Mv;)m>`B4~eK8&7{-u9i?>hI;;B|7d&j@bdFl zqRGS2J(jKaB{63-$O@ImMe%MoJlXQpFB@D$ZKSplFS*<=ZaZ0>9m|q~Od6fPE9{0E zHw1?hO(Pel+;}G0(PN+S-sG3k>!x;M=;&l7V7$lFF=0L7Qd7gwxRmyI(};e?;*sbB z?f&ihby}9(aKq1i7kKtl0)(wJxcr{pa2iX5UQ8Unc*HMSCN{YcUa;f^X>O-xSu_U` zGja!t2jeqwU6Am~83XdDe~R1^O}0;$<;UNCz_X;Zt&zL8eT#Lvr;kvVy`ed*Ks-`1 z&m2wDr;_XeOAuo8@{VA(M@>6wj#8?z{$-=WWrFVh4`L}4qw$x~%^#Ou)J1Q=5A$vy zIVeACnE@9?5&D$S5ON%v^urd)Ba46xx&6rADDWeDlRX2`qF?V zpZ!haR|VK=RecH4CzV^g(@K4sx=)^xi5A>G?jiWTjE>`7-oGc3^g2-U-*qyT!vsvo zuxP3Op2rQr<7Vw)fvOp}gyfI}_Y&Uue40wqAMVgq9lu2%qPyfP%VZXwbi#0}QHeKT ztl6TO61_|;4f#SFkxrdTU)Lt<5x(I&s6gMReu5iP7D0kg8fFiR;-@aM!AgOUoSno` z8OfTut;?ywVg_0J&e7G{FwdCUxPjAyjr;vJ*7OdW&eteIYEc`t5;NaJ9!KZ0yfL<- z;8y)`RdouOuQ^QC8wOb1{c$4EA1ozGl~8()?QIW}(x5U4UrNnm3Nydg5X!_2k(Z*l z|7s5B7Cx2S-<+2>FB!`!BUKsiC|o7-kr_*q%=)MFXj@9!COemfx&G3CoF(=`S#wT< z#CQK_7OWw+0t?E2oFoFR@X1lth2FPbIeN{7oBUA`Z4RrhgZ%|$RxC}kSxCyrvKm%K zNpdpb6BkR?5Se0@%3tQxbwZY}2|}!BgUX`fFiHpH+=;&hd`hR;ikVsX{C1@|+4d+b z3*%rwE^O6s)WSE}nua*HEFu;8@-xf)nV~Lq@2V|3Pos71*u1t=O1$NH`dj#glR86= zmdnr1@p6fQ`aIJw895LWsbvH8jS}C86vk*(tJ%KmEJpuho8BWG_W7bOrVLS(GRWk5n?FJD|Ve zB$p#sr8Zmm?&HAk5RVEN1DynM!n&tc5kzMCO}Tc}ka*@HcaHUhe%X-UOWRx37|g;Z zwsuTGzbmj4OMFs^tZ}?Z_gW7VVM{w7Rm+c>ue!gU!GghB$%||yu-S4FU5-R zoarPmyZdQjrm&69L=`yCyXBnL5}jQrgUmK|y1)8qs||L>S>Si;aoD5Yb*D?LrPi1c z!6Ta$2dv*6PQPjKVBm@Wp{yCv5YVyHU_UpB-!HkYYK_)UQ%@-f$c& z{-*c|dAk(S&gb{IH3t_sEzbXd&*MNQe=DR?M<{WVVbUK8k;A5?VwAjN36JjUlEY9d z-7M{z%qL;4E>soZ%>a{;)pQoUI=xuZMAK)-Ql9Fl^=;FPYr@z8y`CQ)E$V)Wp(d!t z?#_9AWbf!VJFyHlyCaM^=HfYbQ|RjIf~z$49NI|ga4nIonCEf7rZn~F8j$P%!0`)@ z4t}qRT*m-MAgq@a>t%*qM^@-8Pkvc>ktL zF{SlGcyAR|@%e9^sUF+Eb6`=g8Q_+1{A74>U7rBaO{R?@fEnMcVfmq$Dx&*Y9Xn{X zNMJLEcb*UY&zrqrLnzpmSO2xyYwXdD&2ELTgGEZB8y5~)&D-$^;mh6mrdq`khxhLd zoS%g7k2}IqyaXp$G(w+0St_9Rce=se%uwF2a^3?3PJfvMtd%`)lb}n>=0_P}6Qaa_ z7BRr3x)=l5CFen+azURjnd=3v<1}yxmq<9|ga-zn{2JUk*dFm*O7uxjztmQ6LH+bz z(XW8qXaLRlpPd9~uK=d4IUK(cXi!{=^Gz3iqIFveZ zIT%X&HImWB&~s`D2%OD3a;AO>?NqUyr~30Z&$FR0yM}f;8X(t!gx>LI3o0xEg+*Ue z0x596&I3CEEyaul$9;3ur>jZT;2>1usr+X4OFyF8iCT0Vr^Ti6K6=uIGUOE?2@|Xs zijP)+^N#d;oT&hz&8#=)&r+Y@r%GghNcn%U^$8dpfhg2W?EJQ#X*Osu7#MdTL1#!n zK4KZ85c%E)NQe2+Zv^ClK=ii-CaMFNu-Nfdp$AdxfW=Bs%Kty7SD~H2sH=}YeX8kz zZ2!ydS9oyl1Tqr_K9e4xe@X?q>OrGl5#IJal%wc%J~OAGuEqf$bOAE2;ZH5NRg<5> zaD1+hh?L&R(tl4{cJO?BK~OP-xWxvjuAwPo$0r}CEu{0P948vr%$%1rw>$Br$VPDB zwQQp-P7I`(CvTuEWy>a>VdGJt2>kO zp&-X_HU}M(0hkQH076*I>{jXqYrhX9dIy|ut1m1f{2lHb=^tXIa4*r-V%%W&PYjk3 z5S+SzJOmeDObAO25el}hO@M40G%s1$O>K|vSqF|EgKZ43GCR#UTPlhXsrd1+3tMcXcPa?R7ch9raM-!)cNIyKtWk53Jt3i!?^TNvIv4^&bFmGmx_XFJkfIdeQmoS5A$A!w zgo0(BR(}RC#j0-}-s!;a*MGMU>@V)G;i`xO3Jd@rIUE4Y03_k}*?b~bSL%BY&5+Fn zkg)YUPXom*+o<@s3~U?Z0rd6Har_Q@aV_sa*9Ze#G$$W49q!j8Fbsg%3|KnQm|i*D zo!nP`R_VR7W+kp4!{^a@Bd;-;=b>PhQM6w6iOc|w(Me?)%mvakro9^!av;BSs6+qu zu7-R4*vEnfCjy!T)Mx_>>cmA<`lz27lpO%V=xE14dN?|q6v~=5gy_Op(7|X3ZxTUX zC~~wxz>?<(U^p9EBM}G{0odz(F7=u%UeG^-U0kjH?<6QWJMr9^w;X<%UMotW0L&xYGC-aIt~@S6peV^hZdfoKP!*Wneb4 z=s<%sfPr|C1rf{fzXv(ZXN!YV)2vL_L82#%?ob5soDBmjiK_rAS|S?>D}27kd{77+ z`T;h*IOlv{1KgJ@Z?k*WQ5xRDuDF0j;;IMppWbje zPzrd^KGCtuAmj%^sJ_x*z5=cJ)6U2an%VKM?Vs&=fUy>s1I1AnY6NKURzjZkI?zMe z$l!CQOogBv-25*_4ZvMKFi#{PP8XV*9-x8`@jTnPyV3mTEaBgV*I2^@q|emE*7PeD1Ocyp_7yw2+-kXC)-wmQo**zl%6#aqqM8?W zg_cTsq=db?RWuMumPioNWl-MXG~Ah9ifFZ+jP!uDEHGTKpV+_uiNmB16mtadt<1#0 z{u#7m*=8ea+jeW{__M*!H)YMEP%e7EJ;TaWAAj#1hU{_tr7z~$#DRdQ-(=MEI|(SuP+Tqz#9Dh?viBb=;O6+wVowk+Q>C1gsf+9 z03zI!!yuz1A**oOxQa*Y0Wpy20sk&4<#rSn1FHKuY z=-Sz^JMkTt-{_WH4zh2wubC}+EgImtMM#_C>d{=!wVX~jf2i>kH!u;vT$lr|3SmjjzbdKhd_G;IkHv{r>6} zylj&gHY>6Z19zak%pA}p=UTDSTPnQ(Y5TtIv5i96XP?&tz0+E z`NP7eZ#x>D8Sp%9M+Oig*OH}5C6J)=175U$K?^&_D$={9%s$l|h1 z%;-oF;^5`0IQh0Bb}!Xac>1=!-#qlIdM7xc4-juinETEk&b0HOv@^C;&K#RoSugP|cF$7*?Y_{^9#dqD#CWgB|8K#hR zfp$i&Ytpy<%~HOmiVE$R{JmfNVdR?M3m)%O)SZ0jhTV$gX;m057Mu`?9mr6(@a)Is zwW?cb;UU8h@xnS4*yqYQzHKbZ2;*2p8Ikc zPc}1kA&h~f;Ui%AP5OCr!p0a7) znIEX+KpBW)^(>Ufybaw?X>L10*L= zHr-$}`=M{?L!2G|x`0Z6xh`OR0ogJ5Q+~h7BUb0E?=~<0gT)^yhB(JRftL5kcxS&@ z_Yhm$dMWw3&b2<4pRmT>Qs)P>hzX0}Uq`jWYW!5^J7<--+g0Y~y@~32$ro_*Hk*k* z3wsOx#}7&qt5>t0`e{<{(uaQ@KC)2+lPH}ZkN4TgEzesUvP`H?JPH(W`fd_nEAqp> zD=5VN!cPL*<&K4X#ytPhiZxn%yHCnP*T{JBY$Cgs3o)C52}QEdhm44x%vpPtctm07 zgje&Wmya!PIu zlrS9aCX74Lp(B4Mo}jj>aCdv_pTF5pMSm;c@~bmrxS7-|Q1gv za&2OZtjHhvZa+js&+~kH=)WBO%=2dc(5wo@F)YRM4rS&$iqGNYACLQu)xD2@7}`A5 zb(@b5+(yPESx^0DPJ2q|=UF#QI&K1qH`Vy?@D@;1kh2t{29){&)U z(e5{Bbp_xKdlKI9B&}Ihy_1(=x2#*dc9aU-Qh+~S>x)diCwj{mIaWQ=ATQO1uEMBq z_%%;B=07BY@c#^LK_daar^Sj~W0H$9r z^m-ZnliSdY?*f=~#;a>$YMCZ8%L8wIR2y2Vqnrh_3~|=uZ@n-gyBsl3l2N}l&?BDp zTP}xh)O=Y5oYqU0GI+c>KmQBTnJU^BrvV96?;p&Q7^m^^98|j8vuFDe8bI-zm?R9E0LdL9YW%(K&&fHs2PYor=MK{MRwHb2WFVKos9K-N5`pW7Krk=S#y<4;=_7x z`0`fRhTPkQ9gM|`MrmovRt=yQXOzhUR3%&2uHg?{YBWyEUTl#yZ^~yH#H$? zX$5@$E~KiOT~gvw6OHmZ{C-p3F;3k~eJ1ANo{qQlSTDf(_LJ-3+jgB_PIT_|KkDh+ zKX^_0Io*Uj=Ds?zUD@rt5hhu?I?*CIx^-UaQzVJ>AiQ_h!1-`1l!8Z!bDl3m3p| zSpa^en(Pp_Js^7{{r<*HS0Kst^r{17?62etW1{HiVo*bLEqoD?tJ5I(+60;@#xa-Y zH32)Wo@5jX;d2HW+^`CzAv1y|bh-XX<$2X}QXJ*7im?M5udTv@@6a>%w!?t*ZQi|F5G?a;ydqN!@PK4@W;&XlfPKys88XPl;_rR=6*o%L zEVj?PP*nyVIoNfbGc>0kJsbw@VsO?HD%f>KwA*_}gh2#kpGE>f@cZaTk{g0qmTCpA8ydtXP zC5^|y)Ok|<2Hk?_Bx2_xxXlyG~Z2$*?urfa&IFf z3%1wB4=< z+2Hdp;#A!mB}qyh#UVE*>+UX1qu;(U#^62h@l%%9G3`L)>W>C%Jx#ruT zB(Bog+Om7t`juyL_KDVDfHJqPI*`N07|L4e$*1u1hg7kWk z0`cf@jZ1ZtDt!T>J%D@oj(yd$S^aKg&hPBZQ&*Yai4IxNk1pq@#YWYKpZD$;E)Dn6 z2H9yoe)_Gvd|DA>g)8pYkOgU9#Sw5Rhwwt#e+`9xlxdJzgHpVmx9U{OK){vXI*rf@ z^_aK%qT`iZwy4wA-oWQz=zZmw9tId+1 zsrZ1+LFZ@liH<0;!szmPaC%s9fkUcJje>P*AUgy2vE?_&;mqu)@PTI+f$o2!sp04yIKW*Y~SX-L;M}aKVIuC@ah|s zn)ifBEB|E%%wAM)6QA}weCfx?J}*L74%jJ(O4DM+2MLj^Epc{a2tPJRx!YYDWC%=# zl~8l7HvdkMwu#QjY(!{mE~pAD49KKu5rJ1(8&jl&0pE=o;KQMXNZ#m_>nXISvgVqw z{aPf#Q_~(sre`$nox;V9KKe8M(0v}|1J@UW3XgfC5Q!FJ;DIp_$D*G=yT1F=f8V$6 z{mo=#J|r%La-@U<^%^{ekcA!ug}b8f>}O0!r%;%gWf5;m49LY$m@+ylp`*ara!klg zj@YhW5BDR90Z~i8T!`yDj`*wBhotToi=cgA_cI&+WDmN~ z5WIuf*YVTnKM0u9%v3^Cfnh%4<3s6KLFQPkmlFQ`K?ULY5UuetUrdv8u4-ve8LXJy z5VAKhckIU9eu?}Gn#xXqu<1>|o8Fq%g9jfU5lQ*)vhEc1`pupoEu!Sk&EtEQ#*DXp zkw>1+rJT6Dbli^ri@moFifdi>b#Zrhx8T7&xCOW1mf#lL2^L&JaBtk*-7P@l5ZtYC z_srX5&b`*!Ys=Z^o^x;QKX%nO#yiIM(KYBUsOo>LH^MK1vfc;aZ+ zlRta)yAH19)Z^{d}|m#R}5Ev}KrlGoIpyuO>NPQ^hh%*cTh^+@v&HLAn=UT zF8uhAy?FVo0K1Ls7P2KKomtpjd~rh=Ftv^(e}vMNxprIpeOz|yULovD!9DwW)fo3N zO$c|`jh!59SV8)GmBq|1Ibc=o&(PUiKH5PGr8hiRGxkvB2{5YI0%yroIP}I-;a^d6 ziugU=mSfbS5XGNy;xF)Rhb`n(a{_VPqz}PwOFeD>sP2`45$LS{E+_-d*$mb8R?I?= z;-C;Yqg^Zh54Mvb2IwSl^X}eZ+hcb2p9$VnyPv`m;wjaXLS*>~w_tGJIgo6@(1u|r zY#cC6EFZe~dHERn{G@;pn1;=n){Y=gJnvOO+_#=FDGtUDv0m=&t~E9{CS_ zz)+-5-Q&vzs>X7N!-sqOuR*Y-!`nl!2?5sGgeh_saOTsgillH!DICzqpHo*Ka%Yuq zw*(RO;&Bbgt7yI-Yr?{oRGq6L4!xkF_xW21W#>I`$3WqUos)m@t*{*-GJtHZZfz-xn)qv&{jUSwl-pJVXVF zv(%xJ$!zNIjJ1)gAHy7fV}v$5<0iZfv_mUIc6S$*3EWVxO1|9mCI{+mC9U{8kU4+cVeK4S!t>8e$z$cOKzU3)aawE)t!ibnS8*6Wr-V*tB7P z6?Rqdbyctdtqk6~WoSKLEp&dlW0{yCG0r;2@?|(4eDO1PoPjU6OcavWe$gW0n&*Ki z-hV%sJPSGC;zq$>CWwKE|zQ=DQCQ zl1{e8h#aXDPWDUH8tRD$Z}ROZ_-kh61LIR*MqBFkHb>BXF;Pww>G($`SNh*A?#RW| zG>E~)(+f8@qSDaM>xRn#53*T{=9k!SFPyNd5`TV=yYfxfA0}*+Hjb1>L*@~C4)VG5 zDddqB^cu7#mIZ!nz>1%cQ>x}VtZACkpTknY=v1 z4)gm(TL+REED7-szb(=-)xh34*I;^UhPxxH#q>4}!D+HAkw8%vE3-f0^Q5}fvZzyi zMdDA%(SQ~$Q*4%@bKP*o`V3NQTAr!9%FE%+a4l1&x{Objk2vZA0H;xt4K34Jksqqr zTBgJ`B$U>)je9?)^0iDkDP^)6S=1&TZJ|e609vMXltmxYI;Zx;m5jK=Y@K#wWw87A z^nu~^Sb9BN$aY7yQrkoT5=WaLf@mdO7Lcqiyh- zH*f^^b_dD(@~d!5ZCR?^mV0X2vHi-DLa^;R;IND52~ZgWYEn0$R& zsC8P^+@&`K@2pv@Kejxj9S>Vw+|o6g@wGX+xNRRC4^E*femA9er2S-F#znTErC_F# zY^r5SR;e^r-ak8k09}E)LzfHEAD34+%LQ(he_}_!GRPHZtiyU@U!W~r7G`=oz{xkN zMRrD`MGlH9MBQQ55zbwcw++ltETzBE12fw?-fM_Etz5+=Ss#LQvI=u)Q&5#aSUpx5 z$HJx*TcRC>BfkkPPq_V3X(eApKiyOX>hL!BzTz^;a#8(-LxdY3{yBMDuN71OM@u{0 z9aMyyAq(0@)&tXoI1S%)a2osRl%ZIljp4IuX{R7kX_n5KijDQvRvH4?*qQg$6YzO0 zbzSeuo1;tS3XEVAeHqT??VuV=xVx5NO4ZWV?VvPi$Io~IN^|zy(?z*w($|ffq}p04 zCG70nW{_{7O=uhOT1)yi3ei+!Z`ku9Y+(m^KF6;Y`bh=UpspxY}%iw+^q7^cWyut^p z3_FaKOQX-DUwRhN{~S|xX2ipb=%9@md4=`D(_iKN<`Uxy)HREr-NI<5x~4d>daBqK~l}1 zRzBtz-cIM&AxoD!NTQ@Nl!yR0^B)g@-$32e5+0@GKRS`pvr)tIPn8f&ye0$)JP6#OYcruRi* zR+sBy9A+Wk4GhX0wgF_HEa);BBT4h-kOE-^=)<6sPgqosHi*J)&uwqZ?J06qwkDwYHD zQnak_i$f6TK)~-9NwiCLAcbU1EwB3J*+m{|=AtD#@x)7@>H-FViOw!*roatt@QPxz zuXNa!i*65sYOInH!0Xc|TF{ggI(7c-flCCq2d@DZ_-Tq|I%D^4f7?H}{*GCwb~A~p z`NP1a?43DE=?xH1BOANH_>-|I;4z~Zc5YvirC5aCTI_~j69+m zvPK&)YaBR|2O~)OR`CGHDLMUYQB{{;!TyzcudvciNKN_@Jia-UJJDST_xbT!aEWiDeIFcO*>8w9<{skSc&@{3Irh5GcDa;BvMNwp_ z7d+|U@~6(FIF~-Tn*3+9(M@DSu*8|S?K5U9TP)Bv8J`$8Uiq{df91G{bmjU|y?fK? zuqLNZJTw~I8u4dipPQ`1#m@PTNwRc@M0WHZ`sbSD4UhXak9xQ}mZI-K^>l{HY%o; zL{u3iozXz89-L6O!L=y&&DO`romxKeOGkmcWe&quXaxI%gs-J8@av%mBPwjpS1o>5 z?3tC}c3B?OJiK%z&!>x&3Xc=^1+Ws#61;LxGlbF;8W$A}4yFbby@`+n93d1D6#qCnqXRkTQdbp&FIUvs(QXD)X!Q5OupDZ{r?Wt+i;8@VM>DOd!q1z*{*dxt76Ybw~r{gbihTh8kC6hh^X z#*!I%c~9Yol+dU#w4`Ws!@^!vG((Rxxo^z+nKcJzdJq?2?Yy^~GXI4d5?H>V)Z@Xa z^d8>ZeQuKMUY)Mlps)*vP^5vXPX;~|x=Ywhg`c+tT&9m~p8NgU8xu#JUsl(z^o=+i2i3;b`!3vWE!Y|K}a@X6g=KfxtSxP<#X-=BsKMFjQK z<$g>KVTDysj0l7@IN0J0z4G`AziWHs<$?`}?hujz3%&Qo_fshc32p3)GA*K^$pSt9AER=NHUpx_rSW zrUB~cfg_dylf~K;TrzkFSJ^^uDSfg3ka-L2?uJY3Ss{Jq6Z*CN?0I`c=Eys7;`Hbt ze5U-a+h$^5{0UC8@os2arrfqoWbO8?Egfv1xf=VQ~Y*h%ZpF z_PF74&Z1H1oqErG;K_rL%8zF@hEG6Y98+?Vh{=G*rXNiHXCL;6+{DpL9R?gBBYu;> zlBVDT1muzex55q1Ivc*TSEDg!GI2TUx}Zc}Z_PlPz$+S*#8HTxkE zy+A(opM(VqNh13-FMUYlmFQ2yF z^iw0W*s2M~Z+CBETnJM;cr1QBiy+iVl|^~~p*HLbfhf{dl!I>S7>Va#pRNB$G)qFQ zAra2LV#kc4F*qh_HuhTRjM&35V{^g{`?s!y^GX$6kX0NRE!Fe%;J*+0N_ngqncwr) z6eMgksBW>uNssrOM;=RfHMJ977Of?XQ{h~|Ft1AG zSG!R=@?{8ZVnrAR>Q;aFKM|lPq!jyGh_!H;*G)7YSp+={f|;NQCGeCPb260%N&p}! znkNV2;u3D_dz2x!l6OjcdsHEu-}?V00bE!ze)O_Y9zJ!_=6Oi4P2USkf`1Bo zNJW7pem_|r=ow}@4tM>w=}0k}p8?)C@rT%Yq0=OODamyv7y?#+Ps^REjZ}7xRaE#1 zdQ3aJ5%B(f^7j`O?17#Qs|1MgvmSERqH2ISAah=z9qmP5?tZMcpl?HThNsxBLjec0 zRGty}Ccm-n{SXAs7npOxg(hlHEbF*;1{$ovU_rZ3?P?jt^Pm+aMVwVqXaMjWW0DLK z8jvGY(+9!zO{k!b|8Q)7ua%R@{NZQM+C|~fGQ}JwM0%$qGg_%#A6U_7>g|Ej+_~6> z`XSmdfijlQ3C(#pR)_}uULiFAwt4K-M-@cxeUTYlF%nT3`vFVtwlpws_QQQ~@b)h_ zIMI$Mh}qG4MSsXyMqE9yo-^OPaOt!5p^php#G=u<|s1qdt^vUNh5PiD-b23pjkcABp%MB21 z4G`|K%J2PK@`Aw3Z`OIh1h<@TQp^x^%$f*<9Bh6Z+=_kgL%v~EUG;sQ!KUr{t?aJbmo^8_ ztUZXU;N$}d6W-H1&d78ThQz8J)m~83G@zytQdHQ8qcb0kp|B2G?9Flj;Iqzp&}Yx$ zDp)J@zz?Ay#sTN|$@spA=!}<_rsOnvwg~=B&~7n42^~9x!i*=v=V|4svV{cVo~sMss=JFa~ia3j`Ig37SX`exr6qG z(!1Q-&B4VO=WXtizT{iFUn6wNK!@);-8&9VYg#fHk1?9L(9v8@@B;9?vgGz$f+3YuSNUzi=|{iZw>&DO1Q57q5V_bDme4&C_qpC-{d<-cy3f=y9XQ!<7)$_wa;{`=U`vk|A z`JHm2g*ZhZd-}66=!s=t%tbA)Y%2I{MUr31)F#87Q6Oi~YUUs#LJ3%Mu#*uHQ&|xW z=6hhc*BW5%;%epsJ-!qD_Cw)T|C*eBU!!lul_Xzl<&Siv_$KnXMNf6SZYrl=6Z8$` zOEJ@ETtLdl1CqRmuQu5QF{;ag%lgHsI`EE_>h$}Du1+E{AM@<8KY5wi9aePzbOB{H z;r_1)AKzJHULCQF1#b+{o+NXXuQf+b?U<%ASivrFaC<4D1O=oqCO_6U7oD1zvP9GY z0MjB#jny)pa92|hT^UHe(l_M{Ypy0U@n>iYtLo~hPWYRw9-YQZ5Bi$NFjoF_QQ-GE zY7m&z4KL<zGO3pA<|(67h(cNyRQu8x5@m=EWsN0%)tG1bVqFd+q{ zQ}uM(`{Wn9=g!{6^rW&@u?%C~XYJR7s8PW6 z%KGGgvp$Je)@NPF+tp(q>E>(@y0mm$h!2AAmA7SaQ4j4=B+Vr|GLgnzM2fQ8pzBh6 ziGcb@+?-n3%3qCSdDrC1;L(wF*T~XR)>B(IF8yPo7ciaGnP}&NOPg4k+g(2}=jdZiD!W+_7dJWEK+aC@kI;2PreX^-XVm zs>4c0<>rhAW4%Sn?~?R2i|0wC`Rol?6({#6@GY;Zpe(J5W9YDs%q@zL%N|CKnUd$=3qJYU-a>7 zq?$XJ@X;3c{U&_7zX{)U0!AU3Ym+R3y0S|%0(HsIZ^F0#oA9}U2_M-_8+a{HKs#7I zj113&<|ZEj(e;bSXNUgT#1>Yzx4j9X2B}AZc+|{uE2)_RLti7dm4NWBE;0z}Z(O59 zRE7?q-J^gV9_kb8t;fR%>KR^8A)xR|e-j$lhgZV)cuN~>rrGgC)w`N~)QUl^fCzfT zXQX`mB^5X82gZ9c@Y1gg&MOS@#-k)im|D`&x`w@1T@;62z!;?Zp=5N}$WAY*k)*@CT&$tysJ9uxyw8h(vO$JkJu0fRJA$IHVh-chGDof6^>MqK>DPihA^!u;KSCE0V!a0v_|6<;l zLWT%t2W-(dbtMo`see;C^JN$ zDHD9-nC(G?Rtpgrenou$fmyf}2osTe^c(J3|Mm;6=}g!j*;cLg({tZox&1qK@?dNHy7#szQ;yV zZ0*qi?k<@;|;`I4RfYm_hfe<KZr1l=w9{w`NnrZRIz-SWFtuc%ZE1oKx(2s&=eNmvH{aZ;I_GM(WH7pm*G!yO(G z&~*6W*HLu(ZPd`Ck*NW@17jXU(9~Nbq^rsb=!BM^nGZj+i=9qkQ}WN#V=BI(HZS4G zLQ`sHwOqzdKH@^{hA8$@DD4wHvH&!&`(r5$IRv%QLY*eXz`|R-hq0u>1a!Cnc{_-8 zBb9>JQ4`h`&_eI7c#ZJH?L+rHf8KWdG4_LhfdmRUSJc=WCrn*H;+UvWpaM@aUVM<( zr~k|XYtykfV(KYX(o!||j`PhNmz`ypG7Jh&_+$j@SpdSO+pud)`AFYYc|2 zNU@-Na8j&`ObQ@|A2W^T6N+S@;b}gfM&3b#GSxG`kR2E7L4|FE#3)Wj6<7|mid>XH zV96HE4q8K|7yonb9PxTQ3cic{(<$ie>f-Rec&JW~^Qf`?zPgN>vza9K{q^>c`#T{m z5|k`l(l(s26-J~&MqF6v*2w`2G_!7%5!)c{3m4y1mVls6!CL2$)QOo;c}=%8Rrr)g zkK>Q*p(PiSL)u2y8%M-9L)0|<7Z(HS-hUka?>T_)JWa(P>3+qUW&{MFG6uB>-aC3c z4?SERF9>hhXD#nuUwEx$`}r?qMW)}OmfD`h4#jFOy7{)BeEg|^6f&M~{Abxf{c&}% zy}S~-hLP)?fyeb3CjHZ*&2HI*t*2jM;05gRRTm%u%=(5iyczmM_;7NdZyXXoK>Swz zf=T+GvO~ z79ZwdxUH@(_cxtD#r>M;SXj*|U&Z1J{P+ZxeW@ld3KCcNiBfuSe?}t;EJKO$8f@$| zFy5$BPI{Pks|}-Q6Qo9y!oj6GDd%UP#5r+D{use{Lobm*q4VN5A3w&|k0_5p&(JgV zA**TT@cH^a$|sN&!6hGAyr2f>9pmQL94z2mVV?>j8_Hbc*8sDgv2G4Sb9Yj>HC&59 zBMuq19Fp9>l)px^Fl)UKQNdBH%=^SblmrcPu=SVT-q2Ou)aTAeYZ6vKRBMf7v{|x_N$Z`^vl8MM7qjy-jhZPIAb>;cW+e ze(=RGkJKW(`&IDm2?EQ8`$@j)=WRZ18iwb7D_jv(L_H*oVRz4ojaJme=}5&6ZQc>IIU**b49AVcu9BI z?jzH>hm8~Y%W#lug5>`~_FMN@c2SyzycbR%c!&0DwRr`V@P2fU;|*&9l!@~K96l-9 z6S1E2mzdMFfM3{%BH@>X&j@RRrR~2W%3z_P0|Md#!f7IG^Y{brN-w1KuuNojn1$X? z%I+wXLB}}&^pTg7mPHyNt9jB8RHR)X(UBOSR75fTp(2b;A==9lNNsi{ zLYP$@DgY-K@QH@|OUEM|9AGUz&hy7N%dGMqFX(y8x+X(o8{Iy9D+r!#7wg1^Y)yNL zvsiW8r#lr_B4-;~m>AtY@qmiNm|&}VOPhDHxYxX}J#@58d8=A?k1)8yKfCYwhX?d~ zL94zvR)Xd@5wYA2Ne8eYSeBA-TT<1uu-j}+d~-9O^=);x+V=9zBF{J`3;HeQ6(=DS zPZB@}_6lPl4fWuo{X#mCvkKZHV`5OJ;x2$qga1HK6YWtKe5$i++y(g20{}R&2M+iI zU^2h@eE*^B7YJXBX&@Hlq(5!(iMW^~`C3OBc3FNaRBD2}#_iFXnExv9$GW^3T!eI& zNUw8u{dp`T!!Lvl&PoTFS#pE4J3dmR)~NTMo}b!2h}}oeh>KFqFr!0fZc+k-q2NR% zJWWF(Eh#+ZEu5!QC&pbZw8k!Lf;zSp7n;OMsUdiLx3x+B8~c6Atea1eAq@~^u>=cH z3O109Hjr*Mkf-0Wk|3OA;BWLDi}xe?7_}V%LG81P28@}|QI0p!1LVo~BHeAQO#2I& zBHhamFDJX?4#%`LB3o_tZ)-Wzagr+_C(iW@p+g+I3Yr@QRe!1v&3+iFF9g~}ISg41 zy!&$UG!D6k$Tk()Yrk@4fye8s!e}&-7d+=sAokG?+TW}5laCy!ih>1vpjsoe z*k)}f{N|;K5%M${OcmOkX2gCHE88O$na0lUfQYR0A_jy5{4vP`gZBuhm&TI!2Dgrb zF2sa&I+F*eHm=wAqpz3+HuJjtrY{UG?A}tr($Q2nVxn{FB~MD{c!a9AhiGcBZunfX zWt4qz{HH;@{g0VS%5RUNsfk?6XON=W(g*hqaR`d2^1KGDg9y;6@>*kbOH1V2x67ya zfkc^{WmBFunrBicebIHK`-3)Wp=>9L&+&>`8S6A70VDD5-CP1jQCs8-vd=S_$wO1` z*7&W6GUE%UJhQci)GE615}i#>R@9?`Rq;D3a#0R|DQ_>L%%Y+xPp`C$JpFvNc*j?x zPXPaOo--u9l|6YSZVPV8PDxjtmNAl8N9J59;@ZEZdw)lH@nES)0TKR{1rDwJdn`~c zFr!}!W#7u)C2LRmhHK&WO`(hEuG_Cz>3th3mtQH;`*l_>Sy|HisMaoIdD8ou)*40{ zijmi7Wzzfm)-IjZ()%zo?ovSH!ZV5tBZYNe{%o~8=R-VF{Kkq=yl5MLAoRu#3C6$U z@{M@LI-}J#>^4BD|BPq)jrx$ioqpSV88Uk#p6uxv`!ypE80i`4uLYa;hBYerr~ZNE zZ0YJO^Ma=WaCt9(P$rMvAx8m7yT2pU)wVek-BX#Kw!*OeSTUl*T}hpuVZ!s!Gkd28 z3_8e{{*Z^b-V*MV(Lc|3rr{zUJySTvkDHmHJ~hIROKPA;{1@bd9zOv6IDktVA^upY zFc97m-yCB1q`M#f*~K7{7njZfd<*QWLS}!do#$+2Yn=wt0#eHiiR&qTXYp`o#jv8)GOO&1G-O;B z1&-FWj)5VSBroxYrc5o<_ya8*I9@5Ossb(3nQfHMHyL0(RM`HlsBe8E(j{E5*G?Ul z9)3o=#SMPS)7OKS4p3Vj0Cb$&EmiUe_WHccJtZni#v^U0JlMO=#?J(=ye<43#?MBc z$;Qu&{XX30wCgn?&W6lA+hZ5YTfNf0#NV_pT^RwW2jNEGn|iq6a7b z)IBIf3rZJ|6rS&kVywj_SngKzOMk?D)%rLMT%C<^Cr=%YRL;GG&+PP^J_MOrq(>)Y ze-$eb_GMZ=V3cPxkP5Jhj6S<&V7IGDeUTPh#oKmX4zB--M_b5*2;@Pqe_(6g?bpx}vtcxnaYT=UXDXD+!RXvuVe``yI096B)V18@M%ElU`qM({}zaQ4oNS~Yf9YpZW1we)yFJBYPE&9X7Abyx2OU`yL**K!91!dVKr z!1}{w`CEo(0kEv1zi^UJ9~8I<)^LB6;-#WuSTVJM;?tJi#{*djN;)y$e#*^%^arba zd4dw9(6K0+C4LM~jJAF}G~ZhVX~AL|X%<%RY#ysJq6tW~=(pO}_6qlM`=kiugjrwL zMDSnNs(!0|>)Lrq@$s(HI`3?Qfmvi{Nhi>eKa zqQ=E~2thrwb)PQyjV7rJc5lLe&E-q}KXCaXz-X&vE(lV!DyE14<7RLQM=EaD4xAh| zo63O5HEh6oOCg|2j0sL2H$a0A!?h?PazA3i(R9?NNI}R|#i$T`yB@qil$zT7P51ar zM1QEj6TTA0upV&o7@h!pcz>R2gGKNy^dJJpOIH6ISk)7Tg3k3Yi0<}hFkGNwr-)cc zM0kD3SMYRvvq(Vh0SXeNK~uN?L9kw=OCS|n058pVU6NpSrWhq_I00nBSrA3=;>X$3 z$#7D*e0EpeP{=o9F~9>K?e)fwxrJCXE`>q|3aJ={iUP#*sFG3a#7Q9aB3*(>g8VTT zv@l4k9f27z=_Bg2luj>ajWQ8UD_2T;OVKn93WOX9(?UcEtF0HO0L)CZ*-`XTY@vkLRLXdhJ9^nt$(j-ML)ACvFDr^)y6zsC3s zQEmRm7O%3$&2(QKzI6vdlVii|2q!SeRA<+-|5S zk@vm?Q`!JDtMa35&AgtS(UR7V^gt_rZ7w$DMF1scKpHjV5f0`*1%OFg+?14a$b58vmtinhu{FqPW|7gO;LCGtHUy`6T8w`U{tY&$(@d5*6m`;_~jT@Fze|O@3bw zOpA=^;er<2bB7o_~tK!GWPqH?#?xTayLYlR2vV8Z8lWAYy8G$(rD&Rzw zLg^5t#^xueUKc|ViE#ZKvnyLJcqoTa8ch0fq{7!G@-=4ab0|eH*?-cAGqtwEQw0xg zPUN^tjB~C=@5!|CiCaDZP8l$DMW#tj0tNOYEtkH%#X$6GiQiI*&Rq}*Ki@yEpTYq& z)q)eh>ern-133jO=IE65K2W}BUThf%f9}WMydJZVd$Jw3m32)F6>aB{P1ui@FJEr; zRmO~MIEArJ#gebToNGI>duZW|jWdFC{|cInNN_GWkrO08{Byo9!r5#cK62o@u}&i4Mism&^X(YsF{s0X}GGVhuQF z_w()Z``D}f#VYUqRiUQQ!|j{)cP|iC!uY&r#2Wvv1HO&>U&P*kDc?8#JC!is&kW-5 zpmoM7h)$zao1cjMVI8r zZUvhiQnF!?ktScinW-F`nCL(bWDzqW32rNxSR@$gJ!TBuqBHqO0=C~XwK#LJ2QgI> zW4koM2HLlFkJxB}io^jIV_+YZu$rBNSP%t;XEzI+;{AK1mrtzr@ui$T)e)TMrBG4{ z%&QV|D4KaVydZplF~B&G#SfPM_{LA87g>Tfqf9Y02g{J4)D9VXG%z*5tbOcM0HvJ? z7}fPD#!#GJ@@qOJ;|hwZ-6+OfBT9f<60N`mlj3WdS5(f7$J6GEi9_hwXP!xLlNxy7 ze&J_q_YOBMz5AP!3&9n-mUdyWx|Y$27sJ)SN6V+anf;@Os}m%L)-U`*ogzbGYejt1 zW}j}CG9Ip;D8}$AI8I;QQ{F+++&^c9h=dKW=(e}`Ivv`mI7g|V()NCCs$o|lb#iW5qXS*KVk^?cdeA9lkc|Hq6+vPfW?kBNUB|J`(v-%63LDKppmHa zqVX`J82a3sC-Mu)bDLueF~@oGxS5(h18nbJB`T``$t`c=-Y=5X4(JzpEFY|0#RtrG zcMZ=M+z-fbaKFD_mBU$-c7{3A+>UOf>5UoI@kj#14X0|sQ>}}(;5D)|`PxDo+i_t6 zq7I!!$hFGK-VZJfb@&{`)cYVjT6z#j`TnhtYvinjKq z!kNx7w$y43m81g zEF;5@^3uz7S*F5r^frbDO=*gsQ)Zw%HGzQ#JV@Zs&7 z6Llkps!_)|9uQa=q-+~CS=>>twM(PcB|E0xv*3WSWfrSL+M|r%CY~HxspRF}1CU&6 zg7v+0aE7wfh3i6sV!iR~K0L_R=KYyVSt_n%-Nx(an`Alz_?BxdS`laJ;&p;2b?rejj{G6A@k&zYTm1T0V4{Rr

V>Qw-ftymu(JYoBA*mT{=3&J=5feb%a6qZB&G10NsSH&>xUL}mRml943O*+8 z2GDDay3|lDX?9Cm@!7)S!gFqi#4`O>__Q<}e?~B(+2|OSU#Mn_7?ox^8lY*aU--N6 z2o=F)>G$42qu4-dk%ODCq|8IvEvq0{}pn+PpUPHW^)mx(Qo+Wi{8UpYH z+aTh|cdQq_{_X}^z$!ss|E8;_<R|Jwb|4ThqCSW-qFrI zx4I~%q${$Mo)Awuq#PP-$cy8 zy(N>Bb|q~9XLmh@bn=`txCJb<@~-Af*CLlfi7fc3HnFJ@2{W!4#OW_K>(atIS?9;23b{}s4E=$|2TOjj|MJGA3VQ} z23ovoh0#Fb*#jZzXkgiFac6NF-XW=0G;m_>z{eySh*)?Qk%6zUF2>iD()r{hQXsuQ z%_6{RtC!3|v;dI_-#x-lkx{QZ8&|K?2sLxrVBQ4vMDk%R{)%j$ z5C1i?3x<6Bv19R9#ytEJALFkmdHBgq!G+EqWI(`H(=s_#tl3tgQ+bt=(KId>9V>0J zCZ3w{9ysFZ=iXOD9Av=D-J&{pqZeOituMpF-)DOnRC3mo0Y4=dT`7&->5RS07hd7G zR&ww=uoCZ4(g{yV0+U$qAuSy0I@d@S{Z-G zbu}#Z6?GsYhacW$&(>NC$_q~Ng&yQNM=|16`X&iT+nw1u=a`$5_O~cyW_ZtyJdi|3 zH~M}*Ol&A8HszpSaZB)x&6$33RoGhaNP{HwU3IC}Tgrgpo1 z`7ej>74L!Lx*>FOHSx_@91WU8u{KPF9L4Hynckv*;5FAWwYIqqoQHG5R&}j1r=1Tk zNiQ#DYPmJ(e6KO&re#XL*DC4SW|TDj-tr)#4wGR2uw8%li=0}yslwh<)MU{pP1Mi1Ja*)ZkS$`KJ!-4UN<9Pb$vc( zejwNQnzcn8CK3jgjDo>vOdo(LNp5dPbk<*~%tvGD9s1Ov4rDN ztq4N6?px8iLAW~eyGnxZ7%uDT+|ttl9hRlOEV?xS{GHE@YV`tpny*UVsrhfEFSF$A zMmqP`w~GGI7EaB@sv0+hwOS*jd_o)NR^dhmDl{SMr%3z(hHR zvw0S_E>VaeaR9G`IOWTzA>>2a=BD8-1&P_j!p+^09h$(i-8gQtVSKyDHE zkI~WLDoI1t&1c8u3U-VDxyCv?CQe&7j%M#vi!0A#xLnGy^KB;O%0 z$y#X&VCMC$i!zLBg8NiaBBP+z8RX^j;w#+#-J_Mh%&vfE?R!w`xxg<8rke(Unh`SN zO@sd|$;mByj|A40C%GQ#z48K&O}R_@xBkT2cJwYj{#Fj0<4Y_jTmvdFXQ=Bh(fxhcH$ zD4K-etZIUJaej0B2n%Rai`MAvcmfpZJt_K@987R;-nn7>r>Z>PL5c|mCi=Jhhr@yD zM>kWoUhz3*H@AnwTcIIE)4Qx8-*%A>qmR(#nbS*A!wR7K_Xo6WXUV2Wu7pY1&#rg5 z1Zl>X{3Llk46=neUT#&3>U0b=n6%2^Q@`*H8#GL`*QZ-a=5nUHt2Gg`yJm4Sq;7Pre30X;czXDzt(%Ri@aA?rNU|`BQ+z zXJ;n^OdI!~rvhxB`2(SaDntVEW*Fg<@dzzrJhTP2Ob%u3x2{^0izHLB9M56{E&a0< zXg27v5${JNq5L@F{LiYe&*Q^kd@NqB88g4z2H&l|H|g|#Gv?0r_2IOjT4&Cj-PcQa zRK}xFTDYTg$Wg&tN&O+)aNC_&xfXR@K`H-BRyq5GcD{3?c{tECW6CjV>-HCP>lfkp z+B^JsnAI<`cTKcOA%;e=Sm!qkkpH^8V_yp5^7?saGFO8f44`jhoAe?OcH~cn;_~2+ zfs3fg@mVo>+jtQB^H=hfzyF)6AxJU)tf&JMQI!~au@hC%25{5feUYrboq~60Cusnq z%-hjhV>`@>caPliT`^YKy9*Y7sF&6FooE_;APo1#^Exrh{%V-LIN((Ork^!BZ=BXG ze6=Gi;>jqg|J`V4&E3W5xn;>(AtB4dGS|}w_{XeX+2gv&C$uN#yEU3-1F4PioKe_k zkDj%mT`E6E6Pm_f&HCL{g2#3G#Luz5t{b{52fAj@INh?gtk=;EaX;Jhc-MK(4p3X? zIF}HN&+^XRM)w!Ocf4HR)f`?p=o*YhSNTQvuMIVXEq6wB)g*O!2Uf-(8K53)>-)W&M-Vl$ZlDVo{$|)i5 zsx@Mnai+ecST}YjSigh}YuRvwGHG1|4=^woNM$cDFc{J2bck`Qzx=k7qx3{L3kwbB zS`r{;kZ)YATY9B}FHJB@iwjrA&4J$5AV)IgX4xbiCb5KeGLPUGwwkj_L>W^fSf6g> z_ziqAPmNYCSYO8ED8HIxU=Z#MLOTJ;XK1)v{_dSSIj4C5Vsvo@x71CONpFd_*=38Z zof(rKEloN;HP|%y3MMKu`_ne=4=+dyc{YOj%H*f#CrCz9+1DEeow@H02ikn71yT6E z9Be;{bRKORkUKniymqCNAV>EtPxsM%zCLOXnigcsTt;n{@ToE-f1+6)^2oAAx%}Nv zcN^z0go8TKomX4v`R06q*VFgP#nb1H_wF=%*o;A;Bbj_iPB#9Z%5S#HGOCzt z21!8oKtRkH3fh-dncL^v>jk<$Ji1=EQCj)UA6~pqDWvqe_HU5}Xw{>&8;%Baag?4R z3hq=&6M=FKfdVC`VUB7irY3TuncD8&mZ}mUKT5_^(As92V#DfF6X!|)O-bp2r0bT6XC`H5 zmV8zOzZ>k|aSo$*Nz{x4%XqTzJA`U55h~HQ6yV81bML){YfRJYBH9t^lcN?r$1j8ZL z0v&DnGaM* z&$~47Q-RTpdM7-1wo24S&w0T^UjJcvW1s8j*N=Rhn!;}tSR&CLnSU+ez;#62HLxT_7p69Mh#it$B_M)V8YUK8Ovu;^0wh{ z-e$W&OYz+mJr!*`Na1Ck(I)HBZ}uZ3oOZ=kpvJ*(bd!?X+GT2CZcOoHY2b52GMRnd z8bo1nK(T||(R7hbwuv*p)EgY6^|rR*qSL~^NBg;~4#r#*lTfDfT`+}jPo$DnF-NhH zZnuNr;su@vW{#EUw3(vUPvFGN?(W<$0huCdu;G=L@H{F^2obbtk^DLA z8SI4XkT|2tnH`^`1_1(rVvLM-n3fhESBkR3Bs#Lg-*sh&*Y#v0NEKyoILN87k=}(N zJba*y07Ir6IzN0qR_ve(P#3OiUF7x47EXPCBA&!MMRh{RqDo+m3ZifalNPOJ!D|$1Roev7rA}q6rEy2Xti~Q(pn?R4Kn}V{9I$^m@ZJMfInH-?k@1fG>60$psW~ zR=w_gZRt!QYfoEua;P5#@jRHnnTR};ZJQ1VTry51Z+&_iq7eCK}@$O%rdL8V{?Sdry%KX2myDKZi8BdX8K6Ra@D) zG2R$&k+a{faR=7;M@2bUHyM#bvbd`K-&#tLV6m9C7aX_V^bSZGt}WjoyPLmh>{G}1 z@`Rr{G;w>|(2<6EFH}V><>TRvle9HuS5c{xJwo%!%-wf1BVC#&Bd4sAvj1!Mq)ZP5U(wk}#3S>q1RQ)~vdrIv{SzFSMfyfa z?9E8Xo1ds}S=3+}Od$Q`D!lklcgr6K6r0`;NRqU^t3`=L5y7!cxegh(e8YqPVSa^q z5YTN$hl<8UJ90BMd}_6rzPlAN=n&5dl&h62lbJK;98CR0t(CAvVda=^Oi65~jOypN zD#T1|7&<{9`ldpxes0neV8vC6Q=FP}7+T6$>RBAlHFT^hrA_+^DUX%|@~NS!O*$MD zGcPF>opzqk4=b*!$FQ~4Um61I?`R7p=$WA@?@D8E=uB^%2<#+S?J$8#RYvMsl4V+hVSFg3&8)Q@V% z`?oCbG7gGxuN-m=itt+w(?BY9D(g)b7{Hf-O4i`E^!J%p_9fhsNs{$>8o^ogbvYtXogR zJJ2oGc3&SkP3soBhn%HC_#G~)zD+~m9~lFo?w-%(vQS^_>eqrn*C>Axx~wi4s%Tm> z&A54}@0!o-%+=Q_IlGL4R5$Ma)jzJ;4+pmpo%(e%Hdv!7Kpkl~>_aEtzzKFW<)s4; z_==SooyoE%!Hy>*{yO}AB=f&v24p;8WMh_`MJi?A{7+gN^6>cd$v=HtV{GudW4u0Z z!n+|E-#iqgZHi9EImE<-=b>gQMfpp5vtp6vO2Nj<7QRC*d@Isx>n>YFUAwd3iMsb* zr0{}i)r4QhsgD1Ot)$m&{kDjLXEjij*Ksg3IEBCV)F2emsQCk(d}x?-PH9CUS%OVPW1UC~bxB!{km+PnCrV6s)hy?up?+!Cv{01q z!>k#~PQP9=3=-v4O6|D4HN1)6>UGm>>)vF zsGE7t`%@DIM#=KJEhkfzmD`%zO-YkeEi}0g&q0bCvN^%bK}*muuh${^h%S%%UU_^&5S}8_GYj3VfBpp7((4rz+T%CqVWe4H%nF4D&}o_65Cvmj(=q z{`;8|jUIu+iKt^(!|NP`Gq3YX1UJEAes279PpIGT324|M+imSHsAg7r(_;9O<)^}i z3X!n_N^>A+2Zdr)0A&g$C$jz{Kl{TM66K;RI78gDuB3TQ!wuGIEk0;S}7w4LQ zR*UG6e&r=Fy>VEzSgTPzgOn?@Z_nm(G-0cw#kIph^j*%P5z9Dtu!La?`cDB?tP$2E zcO~Z!#;5DH)Fi!4LL$PF>k}G!Y&fkFngKu#GPvK@ci0kTd6R{7ZC_htlTjZIh4laIl<9UX?UvE%M?KJO zmyzlZ(hAkio!`4A)ECLhc(o+{2Q=FOWF`kyo!PK6zDiI-*Tw!%cBjLL*1sn4mu4nc zQc={7g^|b7|7L+S3P?K^IRcD{MM0PKikUb=(_cLPDla*-lgzBfu{J34#H)$1>r$Hc9#SvYoWC6HSh9Q{Ov51VvY!5%M zvj{_%CFvgkMm|KkX*piT$ujF}eE@TQZBsL*K0ezsJ@h_~ zGEsWHk8Sy+dKQAC7Eu}nLVefFw9j#8xK$jIo~L3h;VoefhObF`?LK}}tVXz1;`wDA zPK_NeM=qz|lOaLYyT59GX)^_P7Iv<73|gfe7`EyhCq=aG zeCgnuM3RZuL#`FoLRF5%i`A|0+|7|PxPqVojO)5(LI}BVK zkz72xiA)#Xsm2H1dSS6ACu=|XlX06Tr}@{mgsj{@;YnG_co^!k^32Cz01TcmTO_X>iS}`T6`udj@?cWA)zdxLo8<@dq)Bh|Nsed3p64on_SG+NB z#7P(WVaeTFHzK?8*^F=naGJ3_CgUn!>k>aG1JIp$OdRGLnzFA^YzK7rDl4$P#F@mE z5?!g4mw1|0LXh53&RhY^!pn4>vwGt)(Eyd8qEap?n)ZXU zqx;sx;6fe?-%}mK$Ti}$HHnu1zxA;-<=q%1Ek4s;%3YkrkiJGrFutE|Yp{qK3`6HQVmkOOrL05<=pSEL z@YNRsBsDapm;W67xgTN;7aG){6A_hC5pdY@QCMxBkEM?*{tAN-Ff<(Nne@z_Bnp@+ zWRpnMXDyujRSca{*L7iB%VnIuW9{BKg%ixjj)|yrw|4QQ3(k4&l!dq*K)PH&+q!cl zn~>Po2wFNwFN2a^#V6yG1#(Z)+fcnw!CYL!nEl=t$BS5|Ldr*qq-ed+f;?QqgZ*B| zJ&We6`W3BYO64!5E)kZTc>oLWrBX$RY8izrn@b0?i-L(co1WZcS@o=QN9wkQ3WT!m z+n}^28^&cFE_RTFgwfo2Zmt7uGUY%uEPfIRU(G~>L_~LP?>CS8Y5{LeA|VD;;w1i% z^zEIoZ_Q2<(Gw$Oc?{zgqv-;{^j8}KVvKe70(YfmZ4bT{r?X>~Nxbu2(-Si~1>eNF z!AGdWr9Fl*|Q`D97@M?x?df|C+_f>(!Sf6N=xfQzAPkOA$^x426s3Z8_5u=tAmoblS-XlL zXtJHPBZRCzcUbv_a1pd^RP z*5uiMS{z074?~BdDJRLGA8cpLNAFxmMU1xby|j>M>RxJL6z7nK9z~$S)hqPSIfS7h zF+a8Jk=x;~ZRK#;ydGfFH|kiAbuVlY%F;Zl1Y%@%JR<3FR#GKC874LtKo6-GQg?YyRw zlY>L03h&OxI|Kz@rM4Zx0LyQ))^x1 z{T_c8P#$?<0>$Cz-qMeLjZFB;`_+6DxbVYEbo|9;DMe_d`ImMJateC8;1WQzRZ$UTzw$cDq|Bzw`P2h2c4Km?exSW5XB2V~}$2825jl&!jE z06ZQm*3^bg#x$Da3(8{Z`PG?J^x5^2Dyx^3AF2ER=E;}V#S}NOE8zJG#V=Y*naY0v z6p7oijlzNyv^RxB@rh-Z?$7atS^Ep}uN`N&_J4kZGuw+R5g;^RP)HBWXHKjB>C#3- z6N{1>e$L1|*;H4VX?1mu!~)&vdaG2|C+&u?g4Aarke|z?T*CFZUSsSuw^c*RVvAHU zS2>_YbW4Y;0$YDiAd+#HM*#qtd1mqz?re|IT00xdb_TKSEMKcfgDz8EhiZ8B$9eUq z1Pwz=2+?dcS!^`{7jOM33bt|V4{@f8xh6F$yj_#v#do|P}jWVTW5 zb$YFUd}hkj4+|2`e}mL?dhCMg`G}vdx4UA*0`{se>zk zQDfTz)7*X*6#>)M+2o97Ebh7FD+6a5s(Y>^1ENTnn5iQ=)zQ{1gHP;3vNar~mV0qaDN7|}k{n)>Z_JC7I3oPeNTlT+ zlmA<+)U3~QV#IA~B#kU7E{5HV(Vy4q{fL&V!59$6i3v*Sytsz)%eh~q)WE$T2T|M5 zToyJvvod3@4otn8X9(y0(ps#Si{vIxA35ep3-vHtr1yvUr;=rjH1490)gGRZ0ob3OFART?;hoPteO0rxN9`KiD!9*6fz1 z4ToE_np|b=kA5Vjl9d-jWWOyI2RlECWpw(st}4tg&O8e0YY}Miu!a2oYN|5cvnJ)| z{*8DSV!eYoT1jKh%4m0p+^2`KTgt7m6md^U$(sR;(>d*%9)$8v}ol|it z=d)<(d4SXII+_lIb&X<&zNyE_?1vhI{ zMIi2aGDR$C&m=B4*Oc|hk3YgL()iJF&$;wprpsp;RaSg_khhB4T{>*BRulec^0aqI zamACM?cL&8le9O}Ql~BOYU4JUuBrhpyh||>o98Mk15RT%KBB9q*X3ysa8)Gv+{TB` zsuk_Muk11Vv(~wmPZOu0R#e(!e#wJ0Tu#6~BUw@!qc;o0j`jq(X~{QN%!S4CXUQLtQ47t2Dh#?x9-}B6*;;}HRZK?G`8b2kq6Wne`SiUyruNS;GeY5s){pqct(Zm96 zMTSsiht5p0nsy>nRH;M>bJT~ZhNWtqFL@E@m8h9i1r|r{t*MpO;@pc zr#_lB-mND+?+{s|1*I0$FT^;1i*_dfTa@oXJBxp1!~qz0JloPfB5C(7OwE7eN{` z!{arh3ml@&WMqQ^*9~_1y$9!OCb7N+IvWBhARb;f6 zK6K<{RLy^~tSRDx2If(RDWr_;Q-v>L;!*o;LG^WOr5?2?jHe>71V`TL4+mb+D9>o0 z43hko!&21)QW6%OUyBPyYc32XEsc2Un(BPhZLpP1lO}xQor;N9l*&=tG3c?GL#^r;45O^9nepddHO=iQf7RI| zs(~s4;8O{lO<9Ae$oE_>zIOT!fvS+7>!j+2OU5iWWea;V)eaUCTloA4vecuBj&`uD zIh#=nA?a3Od+>SbBPR8U1wv=q8Ss5*xx)mIfSB?^cpIYO1Tf*a^Ls&7f!{#J>-_$9 zP2&gZ@!AG;2EGXs$+sb>Co8p|EPHtOMvf*Octadq`bDE|iJdt(?_^cf(#Bo8>e)u} zCV^#A6>3Fume>r*i+Lrl=_VZt@R0pa{vZ<1{AuE+Ybmk4lIO3_mJ5&@mC0DK7eqkD z?vhRf2a^7QPDWU=C*0}Hfs*e0FQcjoqZ+l@wE2mFmgUZQIz2vT*>RLq>{RbxXg^n( z^~~dHy$`Ox*-jNPoLoKNCX5l=rze%HSmHFuUA5pcz+5;v@nY64B|OH^Y4Z)1YVcf& zl6d*jQU*4qurN7GNQwA2UGXfluRUR<74Mpk=}dcWP3U9;<^!nkD$RH zv-_r)TjJBDN2)@R_s+GY3#W!qqdhYcn=w5JO2*I^8eT~1nQ(?;MWy9vrZs4%+Z<^l z_M^8tQZt*ES=t+3&isrlx*bM^S$ZdZXiVv+ub{IeKqHWE1!* z9#W2)>-B}14{;tK%|;13hgm$}pGl{(zf~)lZJOykghGJ&V+yHUzTx|JY6wKU1lqc@ zzm)~WtG<3*=;-fs#hY8L$Sdh|=V@rcJndZ9GFyr94{$tg(^JzwH>NnQeqIwCH><38 z-RvpnXAR%!Q%PvL2^sIN5Ei%y*YNN6ozNtq>Pb3!jG+sua$0 zWwh5ebaOrG3;Kt5z%4h~=nLpZF8{KOc!tm5XpF}`77iX=dB3+>-*H%I4l0BE`2Z`e z{3YsTO}8_=wjR=Yba&Q#q~Ur$6s_81!HHeFx^Zb8EwCVZRgHSLBTxy0(fIsnwq=+L z<1;xpu%j~K^xM=Q`4(ni`}JODzYy_P?e2EAyqA!ZJvEQ=+fPnK>}r*eKYPm%+?A}n zr)ZbS8y#K>k7o1~uGadVt;!->cn^2#vbv$be2iTmpuM$42p@>MW zR4!|9zsV_vY)TT}W-3PPCfWv^LMl*xc_w@#WBNX-AX6ATWMib7=5>Pko>rausKy0y ztFBq^aJObmUA@|1Zc`1u9V9F1@lmUrGWv5H!D;=d6io_QBHG%%@pJU6=B6$4_4NER z3(50xh1_N|87LrIIpu{j*aQ}q40Jv^kv5sw!bcLzO2MZvwi^VQ&Zqh`BxZT4pbV^t zb8$Tm;H<95r?m^;^D7KwEw;A>H6M#Y4eO2fOGi<<%^Qey*tG%loX^l>&~N#0GLNt+tij=QbA_@6(M zq;6!bJa>=wYoz%%J%pwO*s@>huP}T~^U+@(*&_5;Tdm%Boif!wp-cO8cWBRydiQA0 zjP|wrPO!gg>Ic|`+% zCM!h@lT6}S)#%Ped2wAXf`_;{hFE&Wrz`d}BZlWo#UJW5v%!}b$?bNQIl3)Lko<2k z;A(H5BM-(jPU}Bl?-@F;VbdjPdz&`1Pt1(OVDDC&ZIk7J;oP`n33BEstzO`9h`Khl zAh0~h10P(CoWa$agR^AviLtT1;Oi>&$==25^NZ8n!(GKRShfqdc46Hk-G-C2STEl2( zMAkfwSk*Ti%bbFKw)*<>%sj3Ey6OCvti99LPmDZ=05v(`%8=>CX{Ebo%h%V3d&)8% zOfMqh@x6`keTUht4V;7Db`j1tgJhrIDD0ES%H2>Fw-^AK5WJe}lj*&h8 z7Hcx|UO0NquV~E~&oNGGS-es~zvSyaBHzG#s-QN8=@)8tOv98E#Sv?NE#9_HkNO*F zZa>B;AC(AoqGs1UlB@+rwFmcE+(FQA2;|*7T@>ZT!1d~nTEd&7mcXM$P}rH@F;nu^ z;sQUr(b-5OgpK`nY=ncqwJv>zeZFv!pi1s>rh(As-Mm#3*XW$PO)B_eiTlCvek^xy zBXB>?HQlm)?N4UbozWk!_pfcAT^0V2XBK}9`&u9qKrQ7d<$TtS_J^1?kkhrczdu)$ z$Eq!`u1}uF>Qhi%pQ?Kz@th`-SyZdN4?ForWy@g$PzzJ}dh2?Wo9{9SQ@oNu5c-q_ z<%KNeD}ln%LTXDb=@SABjYMtjyt!ry$dgyJ!TSdsV$R&7Xt<2rxJul(F5I{&mxFTJ zk+NpMmcU3E3^pp6ffVt(ICNfm-EIG%lLna0?SDXj$Q1X|;SGbG-tHIN_dXQ+zLc2z-Dmy%7g~1*Q+pa3El&da7HG|H znjii}akRFAw}OifSA)@M3a%^OwOhLhpSiOPR=j^WT*){ZRh~3GP?)gI(<3w4G|VO1 z()>{#f{!~8hws+?Lv~t~oMq$(8oSVOQ@RY%X8;w#cewvbkZ?Y>(d1RUy<-s6#9dq<@4Y8o=r+T@2 zxqj(qk8hP>ax34?x#@4bZMQq|S}XL@81>-0+K|gz9*J&tZa~_I1_EMuP)!c3dEGp;i5^z70OvPw z0=ANR0B_Zwamg6T^Un9ZtXa&wI2$RAA)f-yM?e-bhOP!E1Qz#6<$h!@3-Q-(2kDps z0X+-948JzCPUfz9dVU(H)#KU{aH1qMP9Spn+LGf;Fv6-2oZE>_`c^{eG$I@TikhWS ze|H_2YIJPplNt5`Z`kQPgmT$`+7Bc!d~yySXVw2`lkl1F@cnWBL2Qi2Vu_g`T0W2T zXJ^M}Dy5<;oXGgqRHNdK60UyzBJzz&#$s`Sj7q;nN~_ z&z$~Hm71oc-Lg8X?bSV~BzbpDudq}1)!{)P3!)j>+i{{G#VdZ_?^ttaJgo1(n5_rt zhcJeiH&lM@0)&B)TX|(E=sLVvf=vbPNrG*&oo+-+$}HnYIAr|Mv^Th>5rG z{wyPK)jrcjd17?MBdpkvQ~Ms|Qe6Ipa%>rm&U++V9TD3$vv0;Ol~ocNv~~KKBfiOw z|3X=8lUp^+OpG$q1Ju<=3a4Bg6xH24$83KAf5KXic?*9o&xj;P1$?sAH(03&o zD*Y`nFaRyCi{?E7DOr#b#>X$>AIeGFb

$ewc+}3CQM?kb&<$k|~2p$kdSj8%!X4 z6Ss7NK6>$REb)Ble*yH;=(K+gqgpfKljLAKI^~^Y;tHXXqn)@60ZkzEHY_y1NQ%$} zeLxM6JpamyE3?9>5{M}iQX;Tj$cHH-P+3SoAR`d0W@&7M{*#43I-(0L!kxjr4-DOwo666L8U$o)l`wpd?g>xSN<&6hH2zAFFX5mdhrD`45z8UDxs z`eG&FlLW{CD^~{r%`32$a&Un3YWa#=6-ra?R*4*|W|UEPS~6Rs(Vo%V>VqXUJx8s8 zoX$KUE6Y~$ur>QbTCy-R-@|0{a!VVe#Nx$C&(AbUb$N`^+2;?fG~TaF9~!$j@FOW~ z=PVBKWmd|g!feCyN#3-sO&TjuzOsPaanv@0ho-MZHcqjFS~U{0b1nO}IyWnEgPs6q z^RYk1r1P^quxZWpB*Nv;3AxP4XR#RBIoXIgb{@?{^Gk3zc1ppu_)s1s=fUIt6}X_Y z25zS6OZ-@e3NjzSSIg~#IjOHClo1dqRNI!`d`+J#C#SUx>w?!SNXjFG+luOxRysoC ze9aZV5wLug2m^jEzQCm{^<26~_z;7mlxxo0KRLx>X?aRU8=h2s>t;7t8Ta>>V#luf zVJR~ILJ>e<#~$Ce49#+pTX^3mbF5}kL^V>&Y;||$UkoIrc3B0?5W2#7- zU%_*N0X!;<)fdZW#R1B+)iu)oQ4$}l8I%o-;$R3Puog7)t2x7|^5}vpls+^oB5CJg zIp^RsNYWmEVGPBX{XwJjZ-|U9Hd3aIj5mMHgPP%_u`1N&b8Q9fPGLXTRbFx_XP=uY zVXY>HcctkoxhGT*GURmmD43$`56v8z?<7vrJ}P<#3PGcakFzHczC%QdUR#Y z7QYRa6h;;PbOXm=P*d)OR1Jh6WgWc|qY9VqVksY^DuC_+4L6KXan%bAOOsNM0rbN^ zQoYE4BoD|EtHg*mP>h3YtcnEBRtonH9~v1d((<>6B=~Q!!Tzh;Ol4`0Pbo$WEXe)2 zxF=7ZauvQ9>zeInr|7w)}1%(pOhu+30sI+c#l5a?R-XQJYDDk0KqxusygF&gQb6?8d6&YaNTAW#;y#f;r*Uun*GN-aWM2{2r2xn zh6bblRznGd&}wM%H!Qs-F?aThY?QD(r7aq$NmO+cUMUPgW(WZ_J|FxVjbJk6&O*&d zlWr~`S*Rna+#TEIdQ|6*tsbV~4IIL` zVpvz+SE!JCPJ0@v) z$spxXSnI^&NXjfUQ7}fv&oss6D)@_7f}XMMgs**bx|UIu+@DB4*a?eooP^M{y`Tj( z66c=Ziec&D*XOsf75C5w0R988nqP=+4(J@LoG}FQV zeHG$n%9%-Ags?1SrWnY*S#`7G%MfW})vFeHL{#VID9}?=c55St0F@5pPGczvwI>D! z47*R4Rva)v!X+1d(FYR5(B1@hyE;X@JM33x)-a0GodXbUT#uD?R!H>M__x%|wScw( zx(l+ho``ace+xyEjtm#JLcN^CL=+XX&gZ|irrg~!I95lYc8FNm-ld3iSptkznEl~h zinFZ(Ru_6Wr?&83NUYC3QDQ{YnskR#4~u!9@32Rn^2{|TvO$jDtX+QI8rGG@s&tPh zmDnV(g7{d-Z_5XA4^j%#VE55|SJg;&;aR4<6)ZqV$H_LHRe*69av$qyA@lB zpxKHiu(5{jf=iupwXYOQea4=uSP(YrZD@}fO71x#R*+N$Oz@;8+CWgVz{ou}K&KqzM1?k62Jh2;92As@PZikP+Qqrs zU<47WVcE{y-+KyO5z(v^=i29mcR^>cP_S)h`V`;`^$El=s{E(V2*|?be;sIGL0?@q zpbftCFDQwNpOn%3A0A+#)b!cea|o#ngF6oJ9K2L)uW+F6pit5S0oV=XeW4_hrE|y| zMvUeM^t(DE=RZX329#dZsH9Rho(}dK$!u*k<->_7&i-i5wfwE41U9HF29_bWp({pl z!_YbOmtf&ZMBxj3<#??=XkUoy>9+Mma-+D|*9e=(2f53sgmKf;U6^BsNj1^5X`u&k z)90R>N?@&)hj#H3D7j0K1Mti?n&kQ~SFV85&4V}5&sECn$})BXf6Ar+9p$A{C(isr zkWADd9nbF%doaoqVfKh1ACz{oZ)BJmm_y!9Y}o46f6MYj^I|<^=_Kn=R@lG z6iDIu(Sbk9HTgxUHEz;5Qc4SWe| z%J7eJ^+ol0GazaXaG_LLVH!)Qyi(|`29%l%&!c{G1`xtGj!fQ@1i35xrRz*PgF`GO zB)}wNSS}K#gd25uP8`kL8fkH-n5JLE570OKnYAz@k#Y(tJhTrMfRTaHa2Y-Nn`P4> z)Vt*kI+x! z7DsbIM0fL9{ZGsfTspncMd(ClcXSFb56%x)S5*4Ities+XQ}42KGE05mJ;~8m+>dj z{hU<{FuzbFzA6d6frFhRhjx(Ys>?x5fEIq6h zEFr8mtX=F~d%dYhOUu;fN+hrMmUhhljL#MF5m#DyHP<9-dp*F*b;DHF9PDp+ez&r= znO9l1U1BX*(53D9C`Rha_@M??m`GvI9OPz{3R^63Y1%g{U3zdztw+&py`IW)J|i5CueYn z0`|%^zZ0K44a@z5DbY$D?y3Q$(ElVChMi2&a{RRFXqz8=Tn=s1eAg~Y6Z1#E=1ZbP z^r^!;C zc9S73qXnD(C3w?`rBO?PpQ89bW${~y1vK!t74Q?q@Dnvs!h&eW4Ir?;XWl2Fd;XYm z=WS*2%U%xCO~h~gsw9UeYj&EP;$ds5DN`8l5$a-Ho78N=Rc6%poPy77V!D!&;^1X! z4sXh2Hb*-hC@KZp(Kg-=GO}kU-bxYO^^P=y54vH^#K#-&cQ>6_jl;_#xp#|pSktL( z@HMb``L*=u559S4b%gNf94Qur*9U~t)LyGwEioCL$jDNMijcOuO@s$rm4@ATup@dGF8XYQK`-5}Vozf*MXI%EEFrFeR$!pd|kPpu!dQ zP`wkes#|{z>ga|^co_Cvw7POMq$0G@Y&4WYG`TXgjO=q1fiz$vTmc?jm|+h^xQ%4) zLI*|ovYc-9pP8U#ISK!7V6F|kNyi`X_$NpCs&Y zpEJ_!s$r-uGR{3ndcSPchati1_;y9t)#u4@Eh`r_$v;Mu1AoM~CkH}6@7l;oD@?C!mtXTdQ zppD!-#*lK8KiRFJ_GdY!?_FKk98-<;x26~Ho@VuT6N|m(@lC#ZCMWPy$_Ww1PaRh! z@4a}wCQ{sOop}0XGOhL3$Yni|Cmh}yxq0XblRY^4=v2-x)1c~fm#oEzQU zy)^N!#6b4-Dfjg#H3Q$YsS?>e2D%wBN3%b8US7CF|;~Roeb@BBA2iT~W6S zhnwu`+StaH)QQIOxovOW$- zx#S~M^^?U-D)!vrX#jGpM~xp)LlL*UdY09=_i}#3MvPk(6w`ljW=9MbjY=fTi%tdF zmJrJvr&-Rc4%Y%JhQgqWXPN=S!<&ISJ1d1dCVXZdyttMgS|YXHtjwTD??5AKN?|Oc z7-zRO2;Oqs){FPcD~}Lslghg%EpSC)G?j?Vhss6(bg0jCb|3YCN@M3@sg`797SUaLhMOlT*^Vuu^+KyuFW=7pGoi z!|$FB&R>3B)iZdPC*B>Yo$9Dnv>=o(U6WK~nhBi;UPt$mF>E-uZl=YDUr(q_#5zBi zf=K(s`(J#7UrTt3U{!XXi2Gl%@?cyv4?o6MklS_80%!JLo6dG(eSLlL_MC-rGdpUR%Q*AH5I^*w+pgzck@b@l4%$(AN zia-d&V|&XRq=L?ZD!x?4rY9*WT3)86bD1eA;D{&GyQ7M+T|DN!KUI4!i2@y7IvEqp z_pY5nRZnHG7P{rFIP_JX=nQrE!Tix)(a=SwpQ?EDoZ2?rhgq2m%HOk$$kNioA}3!A z1gb>QZjlemeK)^R+fz+w-?~XF@t>M{b}4=;s)@U25&Zdvf6M!qTwe$=(Ni;`U)ESw za*ayU0JBYa6bcg;SJT46y5SbVa4Jc6Zy!7^A)yZ0;5vp3e6lp#&tzpBbo||dL`sPt zCMI#;^Z;6B#!#iYIv!N%f)ngPYcd^Z+V^kl>dAi)5k}T^zvH(du>`oiwR@9qfb-M8`8$z+iYX$?H1gY@Di#+E zztREpW^Z>@fm}!|MN_F--+4heBQPT+^+24&b*0$WoFHAMm8G%u>XQwEB;v;E=cb^Y zeny5E+ixDuNW*#BnnXSU&+VeGt0aDQv7OulYlPcpD3^Ee4_;7p4ma-pD4eI0|Ef+l zH?Fs_%FX{r+FL-?v25$YNpRQTx^Q=QcX!u?1Pktv;BLV!Ay{yC3r=u%NpL542rPI_ z_Br?8=iYtCKgN4wd}~To_3Wzds$Shyv#MlZFB6sKNbyHyxU~W`w@O9W)8p++ABRkZ z1Im6=1bfq!0kZM%b9kEi4fONHB7XIJvAW&?*KkS5^f?$)_BFGRhLXaBC8N0QLB<<> zpQ6mv+>)Yp=O1@F$|XM3!7Up6r^#^wg@+l)yUHbD4Y01ovcVIqEMTs3uJp88f_Z{b z1wD7fgN3$D9VGdY4`Q}i?6eg+u!a7F-4=nJPm$lB$au+rz5gx{_+_l_YA>D_{tdaVQ6}K@j3{OD1NYNHXoI7phD^A!Gl2Rg* zkCiQVhNcs{6W0^R6JanTP05%i)M?%|3o0-{ZC68Q@^68eXHD7H_GIG?>IHt8m7I@?k@1rGi?j<>(VRmc${=0_&6X`|6s_;r|xV} zp=)DpcjsKvsqNnNK>&mFBaa?oszcr?X#pi5QjDdlq^3v2@gt zf#6cFm8^#O{S`Bm9@*k}$H*jJL>F44>lr(JlVsSLXthItC*)h(k;=MJ;Kk|h51tDj z+uI(u*RE;KDn-2iN0cY?1Q487Xh?Q?P|J(lCuEcgczG0$yy8Z;X4Auj+hnAUJ{C31 z1(&|)+W`vlEb>D=i?Yw+FwC>)EXq33%JTyHV`UpkDsS{bHUhk`k+qrVq&a^TR1nTg z1_(XP+L|q=;FYtt2hPkL8=x*c?#~iMjMUi$x@mpI+<0t0Uv49;>S#M(7KmF|cW%Fl z+iLJ6s_Ljh|6aaFY%O%gd*pqF-i$fYqD-c1zV_nP=IPcl`I_&m&Gr+3-vC^wQDScV z_u$t3>=H>_+u#;$*6@UpzjTE>I}LBUe05;TcZgQd+N#(Jv};q=Pfqad+i%~$nogJ= zY%u7;R>GvdbTl*Q85XUpW+!7RM~*{efLaCA=4y7+N_M4mKE9iC$dU;KWIaLt&7?M9WT5q z!5}sj?}NDGZs+QiWBJ7Y?OyOYnbJb`=x7r?#r8O6*wRxPEH9YP?wqTZVLb8W(njs$ z4V^%8+Sct6uLx1GE&mx_XL9M2dg-Y`2~NX`0{z{>WhbF^RoWS#MD_AYt%=ASZM~eM zJQLY@H+ShQK!SFPT&7a{)wO}{o1Z?njw!EB))zi)0jm1Y;jsnC7ci#!!gv1uN1yXrjx|msvJ- zRQw-+G4gz{C3}%aOtQqlUYu5VWwQVA_(tU|8Xs3inak#F*N#!+#`r?lp-A&sS(D4M zlm27!R{Z^n9x6WtXsI;F-%6{HHs--W>l-3PWeL55Ss#}cg({x2(%hHlJaYXxTfq!) zwv4aOXZv6_D9cxx)&*pm{DT`HTlSpkX3)OD8|v1T8J=|pJys37IfB5$Jc;f?tB{t! z)4)>^4<2QmWM(>I+UL(RquITVL6W(YYN};etuO{@u@rh2e0Sc5Pw7 z&4yadGcFFOmkw$wdJGl94$NB+TgkV=r-M&{M7JW!dyq@NdqI%_a_Jfat|y;|q+Z*( zJXgM3qu;O7YL&Gwj*@mXHeGJ;4NBNsbJXymCceZZTWb!?aM0(U;-}Qy#Wa#=&AoLL zDtp6Q$9|fd&iIo8RN_as!^08*P@O;2lSP$J;Z$V1P|LGTUv<#{g7r9hyuwSZi$hPZ zo61SAiz5PXIe=@@H(R~!{hkVZARTN8S_0!&Ew2>pjCF0Vkr+B8%nEVo%CETRf)N-h z0?hhruC;&8k`1Z68o&@Y-W1JFliXDc?Vj`9W+&Y{VV4*}k-BT>1{0+#o_ox1n6BT7 z9DTc+dW3f9coR92%3I&pNtKF)A<0=rOBUDL8P@C&nU&h?7|DcGp^u~Az*zrA&t|T6 zvJ{*L9uXf&4NYZ2Vlu$dZ)B{u*Rxrwovi(LL|AI*-?6UWsEYJ@B zu>$<7DfLGaQ?HXj*al$0LCwiBQ#)A%&Qlr@ z&q@vD1|szT9kEtB*$U2c9}&0X=y)-u2qVg&@p5uhJi+FE5WKETH1)%|q!ZzTSo|l6 z>K9}RT<@q;2Wwdx!Vc38dANGmH7q0Xi z9?#-!6CjqsP^w?q9?ty0OCz9L?%9ejIK-1L5u-=|{nf<`` z?t=*2+l##y%3$}m(qNRD?YVp@e>dsL`>MTjua@L7nvwf=XvGcS$CAwM$g$DV6Jx&zl>)?0&Gg=s zlcBEPF0^@@Ekvvmy2OPm_zz1br5k(AXf7zZ&uOM9x!aWbYn)^rel8q_FU@nzdNZTV z9`WXjlEF9D(mZYlDqw}5<@yxlLUZ@2`nk`xWl}TR(M1(FN(M}sjryDp4K~YffQVND z^ZNe1adQzBadRjKv5OBQ+G)pOSJL+G-yCI~`u?VvMDrF@aS=@~5TG8|p@d&Ggh~9? z?Z`Yd%{dK>eA-MO#Kl(dWyj=UR)``wTcw_{BjA8s=tLI}FuZDfJV8K!h!L_?7 zVtTJfK9y&IRki2YX&LnKlewypzb4(J45)Y&o?{u?K7{BHi2V4Us&`>cN7%!Xjt>yI z4y3)sGCqKW{6DC(IzDL&NCiAg-;{qPZM`0}i{l}Ji}%a-vah0L&N_j;B|;;*RKICY z7Gf#%$5rTVPrfl?E1e9@CxMD>Jw`+E~X^gWc(i5n4fxcDTIj)CchB+HbVO&t7wsXAJ@AxVRej&3KYtbaW+^z4;);3au zP1lIToQX;%H*aN@7;-ZTdwbA|d_L`t|EkCi4L|3Sh8R8X>l4cGGInI(Ll8n ziL+Lbe^0GWa9-T5&(zi3CcbgAg?`1ipd*%+^+5Dx)dqU^MA_3H?e2D@?vZ_NCV=e7 zRwUuNo^;4(8O>7Y1giO-SCs%kWXK9C_zoaZb-ZGKKHK^=g z@-9haVsd&3;(}(rSNLBfkX>*Wo zbKqTX88eks;|d#{+ASSD1_@fGSF6|hY*QvVr5*XX0#nK$1ue|LfC*&4)Lg+XZ2*pG z)MqvL<~iA$spU_Z<5JFYw{i?Uot!Rqk+_RoW0e@sX&u28?N`7jQLeX5F{ZK0roSkY zNfR~IBRnmr7K3w>xa)hJXqEMYiX%vz^KY;Yk(`2${EUGqML`)QEsGsnja)j)Udpau z3}zpo|G*}_ourkaRW=+kR65#M11c}5*9BugpEwlY<|%3sQ=ifErO2hD#ba^X5jn83+R+uzP3a^46X-5&DkN(hsiNE&)XEJa&DZ3ykLJ;5E67U? zpsEb;E!Kt?`GV&vz-5k+L!ZH$cu>npru7B8p<<(-p3g?5U%Ek;YExnwnTq%?)`?*w zvMAK8{uwb9Q>!}p3($9cgS8FxmnWgrwCkLclU8Uqla*7H)7X;%Lo89%TxN`c20bwy z#e#&sDwB)8K?CbpoHx0jYHp!pJ7{c2~WVcNIW$I@UE;a@bhyRq-Nv!Cf4ux76y z2$)&H1djj0|B);w{djKK*mLU8UCOfKw6BI`j*jJ~)s|$G?4}j5WWe}mSmDTm5*#p-DfU#hb(@8}Gh&UyGbVuK8Q_ zKpd<_T2`LYB)cfxLyb9~SREBoyNJ?*4tRdMC8}Ea)+IESATV(>XQnP0D~*g+1D$4t z+M4x}1~3YS+SQV8VHC=Bs%N7RQ-5o8=lK;=bi4!S#^zp!Dv}mkKYsaIujD2WxK1*0 z?|e&6S}wBwXRL70P{5H?1g-cZ&7E|xgi-7?T=+v?k6?y~;?RScI2iVF@r?O$ z?BX$z^$t&xuZ=5}+ux4zws>OSfTCZXG=9(aX|L+aIFqhaI3^|c`smoL?G4WK$CR0V zKH-t$l4|x5`ts2MLA3U9bN0q^tS0mg8DcyVA|FWYYrT9oK9|g=o7`a|)Dr>jt*gbGC9V~uG=!n4r zF0aR4u^Sw)pD4RWX-I!>y-X7tEhrBdhcO8#Eeyy&aS3$d>w=Pde3E6MJ_br1QNX zM@ibRr+s?1e)FNGY;w0L@;Y4{EN+{G^T!W2|MKz*09+fXhN%)>D0BkX{3A=But|h}C;C;hZzt%gIod^P-x+r&YI2Kt_;uc( zQ8Gi1HgdjUZx4oje{~{`xezlnQyg&+dVRA8qgGKkmkgNPO?ne{P&=doVFeu)*zvw8 zj0$AO;6S&a z1E8E6Vq+~}XDz5@GBX`&h=&nVg%&fF6=TjeVubI}Ro(4b8Vq8`9T&!->@&j1#)a;N zYV4C9{{GUbtHDAPdII|yxwz0)SO7wDbzrSDU<=g&?8@(K>LoCw)D@8F(Bn=78J747 zGPo9AoR=fZ7dFgt(LXw+v`F{gdmlj<;Tb{y0jAGDno+5$+d6g~`aNs0O3m?S1KA&8 zTGYKo04wsHrH;ig)hJaU0Yo)R8S*H5HkvI^ZGX06^iZlFJNIXvnInbw$F!2s`w_&U zmT)g?@)M3p$ULxmpehY0Br-4Gg??QBlB$l?NY69O%6#jdeaIr@@ z2Nq%l||H#9)&~{7Afv_?4_UTmc9R0^7@Uu z=s*ovSzKudQj6s+inKjeV_c7i(U*jfq`c9zmr&Jx3(i$?7S5tna}WRr~H^w z^P4kYi4xs=I5lM~bI2%6j-ZK=1k7{D`uNGwe!bVF+5RDneiS132xp$d8vBT$M?+hy ztZJF_X^3Ae(LD%c=L}egn%rc@in6E1UQ(p3%}@<-^vqC|WH(!5PBdMstoZ@Y3^x0~ z(AR1d;ogRRR@@pzjmGg`9z)%W2 zxjQN`nBuAqGE@FJ>&F zj1PywQLCZNAb-!|25JZsf&oS&wY|h*D(g{OrAohyrGN3zZ{@`69MBQ1)Vx|$c|LZ> zQu`a~ubspHfz;uzsl)bUv5E}zAZwo09nJ0IBCWcB?V#q;%Sue>c?)4RUjRiuyctYz0ROZq_UYWy~C!DlD&GRU=^Y!Bv`3Y(AR zyLmEb55_R&aERG9?N$;)Eb<|&14NtnNy|Is$DIm-rHdmg72)y@H#R@RoPdaaF*cVRQf~a?wA=?&%pE6W;f4@2t15YZ_23v{KXGZ((X^B;; z^G(19af>CCNmPPyEKgSK3}D3ak%Oc&%5*vrbOxqd2sX3cg1-}jE2#^2TL}_(hDs0x zU{FDJ=XCbydeJIk!9)CJi1?E82pV6>=<_EbmjUA)hzX@@-}6F-I)k8sb~oorQ3bk6}91W3)hN0lF8r({RdHORRYELwu* zsm&ei42bdh%^eu}HU^iqBN{5u?F`6u5hfeOtMz$T=zHG$urGrz3$3dFmE)AaQuMrW zzM0#M`M^;^XLG*niX|59JMqI!7ha#JxL15~vl4COWgL8K16?0+aFHvb%iyPj?4DIN zo_N{ux5%wr;K>TQV8>YGJr^T!=r#RHr3lk226!KSvB?>Ppj_pI%OFBS8|@riKbhiD zZ*q66I6jtwxE{8>&{-{Bt7tYp^hBnoZDGkq?r$eGPG6TPq3>bKmBg$6Lv%E9jqsPa zzylobhHGz)e14_*MQ0{1OTpSV zsZZC~kg*`37g7n9#3fAJI$0$i8Uk%f^3N^cF$F(3CbY;7s9Y zaB>?8sC}fvQ$r8guQxFg@c(@sWWmZg2jYdxkGxVr2qMvVoV3!>XgNjNlEJvTbzvDQ zOU1g#oRDh))tCn@C5v^a!dhs6Cx^e#8CkpUIlenTtk2rT(&&!D(62Z)+T$(+JqI*ZaW9Tr#9L1l9nr3%6iXsm+?Q1xVYT?~p)fcdW=rXq zS@G*XnETl*x!6+#{fHnNm5Sn*OpnyW!VrsQfREiTwNjy`=*-iAR-ufg#z#avJnA6D zG+D0o3dwaJ*B(h@`<9GIkYHPbq%Ky*6Tsjw9LpP2d5dsB+}$ec57kkT ziKedu-mzLb4QVE~E)|JuF>mWq+VZw3AIej6c(rJer;-)-sdcb*>I`2XHW~G|#C#xK z@E(1z4@E~3@e$4j^6V zea%&J6z z5Gl>LhEEk$D?TRc=2lp8vG@$5>7@)+v`8NqcXfQF<<^ah7CRMdyfe%p?EQz}sGSk) z-Vtob2=>|t_70P~TGWo+9@7ZJeh+08q|GlU?Z=6G(#a8J)`Hx8)rWFp8akF=NFUl8 zPk%1ALXP0BtLj#|nBAV1-5_>wS0-<_aD#rh%eq%7Ep&K@-Y&PU*&TUQRjhP0I{u~H z07_S*y#nxzJW zpY3e2?|K1=921=ffkfY$V}yW2No-x)sa3qXVNO62s(nk|JO0FR*Ir3>E&Fdf8e5vo zr1ZrX(bh*q0;%qC#3THJaXU>#j7StrEq;_a!wzNFEamf^Eu)XcJFK8Ct~m#dHO@JA z4W=rH8{BlkfO^bMFtK@7~o4Iw^y0&77A{(B96ZbAu_(5Z9>z z1gdgCm;nT-N<1aLJV;AYVoFKb$bu&B4!myD{I6yUXA{_&J^jp{FhUMqu!ltBl# zQxRi6v{ulqdC_;V=huJ0C5KAc(j)SGB-!x5b=gboEKlisgZ`ol6TNH+y3dundqh$C zUgNf2qyhCwH%tsg%lA}uPEEOBc)ud=kd{W1=fdYqc21{S#(V+u{&`3ctYFyC=dNI% z>biotGVkD9bfA@?%&AEX7xso@-jaDr)%}>Dq{N*9qcZ16%QMTEa+0`3`qkQ$-F|EQ z@+1$7+nO36QY=im%T(nZ#hFSh5ch{w3M8Qz5%z>J=kIvDa)38LFuqeA7#)LVf8$6q zNv_WD9<^2_kO@1#f(9NJQtk?;p$g||$P@Y_! zS5OEYJ1I*pXhBF#;%u5$Pug9ARl4Apas?J}+yzJNmOv>@3ATKq%Pc14l)8itEwah& znZzFZK$Q8p1uc_teicqh&RC$TVq|g7>!yyntfY2sqkC)x`HSV)6AebXZ$}AlKAOt`Mn3sRQBiq2tlfM|Ox|vQ`pqJ^ z@9wceBcqUA=3lb4me?JnDZ_M(uIpA_$wm~1naOz+hdE&C_P>^1)E`k}w~Fr9 z*8Qf-gkzA$csZnN4--C03as6voWV0-UWPg3$A)8o^M)IXT_&bKHPq4(FPaJIn;Qf6 zJ)o50J}aCYK#C+f$E|mb$3^v)Ylo3LHwS08HwR8jQnp8}^V5^=6-9YR`YI9&4WGj* z?UYcA7D@?PE8V%=j2P_pS&nZvdC+Q2rsqu-Sx!z3I+lkLxSmp18+JOGo|;xEx?j!B zQ_i!GJC5|}Hz*2fjj+@<{-&tHH58uM6Tu1Gh8f?xz8So6;0vU7Yk!0||89QrUxl=m9|0go|4BNoxXH2!$Me*^+JNiS9_Zm z9U_bwCL4iByT!mPNtISZg!?&Zq&X3heR*44(*=LYg`B=6=5q^rilOweVT62V%pXL_ zcm4(X8DflS+?li;iQ73+u+k$v_VFb{w{RC``3^(3e&@g0@P0Gq@ZRP(6Ub_g3Y_te zoeB)Gt)%rG68U9?G@2j9Z4L49JGg-r{(g*hq@*Ka5}C%$Vue?SnW_Z4Gng0cg8`m= z#vW(ZcQ4%W$!OE!<4qp%O3D0k@7K0&&VTu>#-;T>1_);7{_*7;h_xtP$=(NMePpM7 zr8=PE(M*yS`swWBu0zY^h==DwcxwR)U4oZTDqtnd6Pb~r5~mxI_W7Vf3$rB4f{IAl zAWfp4O3;#^QumR>qTwNck3=?$3gI)i9Y%v5eKofN%)C{}*JZ}nx><#IDrSsVPm%YwD|og5)d2jRC4LaPn}4h!axX(iC!aV=jgDOcyBg``2J9WCyf1d^O} zF<*Y_`obP4Ov1c9(6%MC=3ZVpNB)Vd+gy0N2P;`Z)Q~0oU2`Q81&R@_HbE=%DCgA< zk`XSh6~xP{^QrZO1Wga>%hTcBB2y0PmVMWuCot!xu+8ApwY!!7Q@apL5x6X+_drWi zE9*%tsP>MO(o3t&l6O`4XQ_u4TyhX}PQxOkQGn=_5O!omiyfPgPjkJ1J^z9_13%>! zW$a7)3$QfH$>p$&gg4)?-^>MK3VsT1&u9d8V|zsrKq!j<7rm?=AFMtLX~5sRxHn0i z@F&)e=Oh=4jJNg1kwA($-LJBCcq}=;g3p+wB?V^~ed_74HmgPdMJEX^YCn+?f}Zb{ z3SuD{;X#Gn^<=&xC0Hl}30MSDJE6sNP$va_Y@o~t8DoIkJFvq~04Up8ZgE+TYs}`J z!$ba-p>*D!>k}T~@tQ@p+)#W;yIXRqZh_U_WY?q#1k&U7Vt2|kwR}z3gPpDPHx|Zp z`MwKeq&`cXm^7`SV#4C0Cbab|u(m)bxG{8m(!&j*avg7#y}A38qk)c-k+B#<%O?j90SnHC(|W_us(Oj!Z4i9- zPYSq+xwSlqwyEiBV@L^7c7%VDFB~vAAHBVhwgVO}@Y>Zvti!mOJW$#j{poFkO)E$% z`9q8x5e-r8Gm)fOhHxGxogm!BslsFS)%2NcfUw@_RjaIThYbf|;v z82GfSJF!d?hS4CpQRCV9LY=Gub<;~h#7LkCsuAXH6cNZL!dS3K=S~QZG9YZLcm3^CgljzTBycj)T0Bs~z+Fc`_HtmC%3*y$kxesdi|!L<3!GP<-?5!*~y9M1y4eN1sOzIW%`|fw;i9J{q)*lxPThE^QKFG@C*?yp0jcNs_m!Cv5h?Xc&}Gk^WCI9EkSC^x!cH=_AY;Swh=w@6X%L-hxF~l zcRSK)MY82J_@%sMfisoXSm}*O*!_L(++i~;%@!9Z0$w=%`OAHY$K_^=g)UQ&Amms3nKCZ9;OD`C(RrzZ4+)DC><5FZ)rbmk5e2T$Px3U3 zqQ_}*7lRx0NghlIi(T?<x4KK{kvaKRyk8@`H&?7rsAAc2+ zr|)qli3fQO2=2vWYf&;ykk@!BBLxCUF4OeiFIpE;u~OdX*}ld`SjSgLoOeoG6xchv zcva&$ZZgjWeVL6jkoY}^ZNfICnA+AI4q+Z?)K$M!?AcziJ1(V{^{A`k_OqEC>Sr@A z;SkpKe9?FX-#%m*GzSC>idicTNs>9`Xw`MbAb&Lq3TiTDhdm=R}vT6KH>tLxr5P!J+W@`zV1pwQ#AmGEd- z9KwdG;N7G+Y)^N8em=PY)1@dd z-#cMJzfT%WY(8}(3TJO($5YMLM=j(a4LX3RHFXtH5ilogq*1+p05KtK^VrMjN&|zi zW*%*_y3j$R94+XttD}d>yMFA6CmZptjl&2qFbCz3;+$$@oWk)@ge%4kX*K-t5N;2A z@?JHd+1SPM*Aeg{4Ly+T%1|Fh6Uhw>JU-n}!4+64PpN$oJ={Yyr4X9r7?l|Y*;j?R zxtDsqI3fK39fsuI_6;UTrS@YNH94Z7-fSto*&`wi`ie$D1DH}_rejCTKis}6-4mIJ zK+vUW(2<8FSzy~S{*q7X?Y*1n2zj$V|EHYl_%N6sbf)qO9!BZpjjG=5tI!>&1mKcX}?A zEyN+97XNTi%UTquMJNu`l9LE(fh2=kLKi{(QmG#^Wz_9a?xYMU`{xt5S(D7|WCif# zI?jyN?JJ*zb3|CS@OE63s^TidFX*Dj8!qVHv+D#&x}0qeT0{?Yel64KO!O=#@wO(wvvioKS~49bjBn1|TR zGP$05NB4tExg6ho0g;`092Z^qgJuulr+X&{UCWb{_TQ0;Yd*tNbC9~|rZ8MXwX>My zVlT(nSU_Z;9>+vCn3`;1(Z!P+c)guKJiq-hm=8KIJ+2LZTc`W-#z81XCD_YbCZm|0 z$~?I!C73B>c_T-0_VLv6^NlABR>Mb^3Aia@^TK6!R`F3J+6Ysdj8xUbA2K9*uWF#O z1S_G<;kXZM3aFa>l2OG$-2z*t>#ZhAZ@Y*q3oSY5cRs4&lTQrAY$!?sLmve=ptRmMaP5!a{{AU` zX0pGAwC0hkJxFS?A$)rO@penZ<6h*O^vM?`k=eHNj}-b1Tjq~Dd60@t%`I4YUwPXj z5R=-@j^fG0C40Td#7Ol6nH~kt*%O(5t%wmvvw6bf9pHHXDMtYeuQmR-$gmPviFl-1 zGX@s!I7H`+2~YucY3L@m5%#wege1JM&i6!dZniMKmWD?AcC;7q3E7*9B(bf7As8+3 zAYFt7O3&h5`L;GOhGoC!@tt6s#M^s6A{dNSVPYp|c*l>obxbZTx8Y0|d~V^ZCq}0b zx>&!TOXLEB2_{bgD<7GQt)ITE=UEmiQ@T=gr6ilcY@=HWo!M9wyuJ?jQ1|Y-nfdyo z>fXgVBd9rWeaD^0!k%mtRT7Irs3p+4w*hda|DNBz5C@N#;(3CRSjb$#>v5HZ79+5o z`vW-*je3^$W0fdy%T;vAKnia%O={zE3EsW?kixOUY+-JY>vli57%M`u^Q)On4wQQF@WRH{*hNO7Em8Q1-E+e@4jZ5$2z7n`GM zoL^gP(GLu0#l)835$dpUkH4~Wdf(9Wb=ko5gsJ)lM;F-85f zy@%4&vYy`wV<%2@R=5MCxAD}>;I_KLcX3|G{%Ez${dA50)-lJoX}rT=Z#~xYY@p3^ zYpw14bj|D5vE7$vy@T-VPq2aC7Gozyw1oy&+^wuDpZc_6Try`G1=ZM&tlVF!TONyk zO})-Nu#B)*?|CNRHK_nhb@PoAh{G*7?)Vj{x?5)a20m{zSm@eRMX0&JTX$NJ(Uv5Y z6HOsA9Gn1$Bc(v_FF?hAelIiiHQ(mD8s|@10xxV7!6;Y~slS3ng?LrbP&dUqw_uuP zf>vz1Pm*CxkU0bjf8h3xD%-voV6D?22x_T4!i!P0q9G2&7zP;)nuXNLE+pdRF;2TP zO4HIAxRB))*njhv9h`r^Q(nw>WIOJo4<|rkKpbBYH>f>mQMOexcfNQC?2shb$p2UH zfVPZ9S$@sj)Z(Fyy*p0;sH82iGX-`&8Q%*6OVYuQdIgYfPMBxI~O|-5Y;?Q}_V0ao$ z3EUm_4cHOty2v&0o~UZy(^$_Cg1cG~#yu=30 zacrSthL$9=jD4SGPx*K4lk^P-vz62hj?(Mo-hhc+x#dpEEXJvqYe6oFB@Xl;+>GVS zXYqs<7r+X5*?a^6j=K%!?7bddo57#kI5-G^h?58c)CZV!;fW_W8n9#~cB2!N~JP zjxdzmXNCgdVzf@Y8#b@kHwCel4d9QgZT~ZR*al*H?cFm58A{kITn|)e)=Vpj2b5GyOvC$eOfQr2d zdJW<-;ATQ%%`gcw(b5F;kJOpr!Q4d+%;5K`Ru=k4)7yY28^GNV56Da0`D3pVX8CjE zePowqbCdx(l-rQ##$^PGks1?)q>O(@mlyn?y!lMWxBgHl(;ru|@51{yd|1CqY~l-% zd?!DTA;J8a;3XZ8=p}oomiYlw{vk1&^g@Xp7`58o4{#K@P~f2ME;&vfvaUJihyC+5ufnr00Q4l62rketdc4bdW%+$xXA zYa+)zg($hF_^QJDsQJ&X6Ba4Q{1K?QLvj?QTc-qrC5Om7)=hn@PIv!GGaP8 zLlslfc3F`Y;WO9ue5Q|`Qb&WflbOm!AxWqiyD+xmKzPtMcoSCqA4t>`e%+QKEa@>n zVX$=E3H(Da0UG#+zW+mm0SeqCaHYKS5bLFBlw_FRU40gL@(zVNCvu%%L1ma3j+`y) zH`eF^&TPwcDRlx>_EC^rcrx(dn--(W8=dAar%aYgLS;k%Cl*L3h-bj@tOl2;n5Qo> zUCW}qDK8|!gFR;ED8)0@+=L=kt$2*oT%?p0 zsE{g5k4~ejk99=uFaytuPtG5!moe2%RGv1PiZ}^#*jx^-Fr-#@+KeubF?F6Z)y?uS zDm$zQxlUI;SR+i2vG507?`W{?yQt43;j3N zu{<+Vrj9JHM_Z_&iCm{DZ(V|Cm6xon$u=sOKCZ>4NUfm1AxP=URN+A4fs6_DO*9!# zmX$5R)SRrlPc$g5Ov#JfZ*>52?i@VBLsZVEqUfoH0_f z69~rq`d=)Ucj>?Mly;x(_Jqc4B3EPCtkLH|`V%nl_?sT6wHQaTRaj!%g9$9}yd6CB z1=xa+CGa=(sOxkVe|__)TeUq$u@{=_l}BT|ABpR;35eve#DH6eY`*lf4X>$e_}S7I zmPbPai47FbO8_CqUB$V@eL4ZFzH7t{``^IzX+{khRQ@t2&IH{IW#4T(2;NS#*aAmV z7mBiqBoEhe`<*d**#C-s_w_LNj>#ik6bzrd1vLWDm3Y+_hvYuYw=$XZ`&lZAp4DPh ze2iUT_rVC(+Y%1xkQNmqHqfYlRdgQ^(7}ubq_}Nmje&RFI7(vu6VV-Eh$1`kHLisq zfjgMUm5a;)if{Lg=<*Af(Ybf^*IVhAK_am$_cP5M|HP7X;6hZmLoR?fg@f7ipA&Z# zaMON@v>!vzSbU#)N7kQy*53Z7y5N8V+%VhV{$~RXo6iO`ou3U9^FA9;|1Sd{0Rwx0 zftFhlzf%a>zg4}9!$ja18;|E>*aV)BNq(*=26E3eMG5%x$9*{S@Of&>S$}dYzU^8$ zfc%G=_@{PpNBlWP;?FVU&o#p%0jOOJo1rPU;yx)afg9u#`G@-dq0WCO7@$GzoKPKP z$o~sQy}Ktc`9&1=9~kp4>q5r=ra=F>a+VF^>6fqcD$sY^MYS11_*fF=5Y5Js{sae^ zh@3q9w%uD$5$Fn7zPp|oulnc_0I9z`D*ki3rY*;Si^gf>3KU&w@wosR+|OAr7^|rv zk}$u&{78XGs#`5pEmkdEEnY3jO16*T29I;OoES8F^Y=HKzdjF4jU22QUmU$5w0Nnj zvx1BCwRO2s_r?62NB^tx*l+C-)!fuj6g|u7iZSqZ7VPXGAaFE7-d^!b-+&~*3jTqO z>bXI0@->i(lI3cwynGf3pT(>{BCaT_{B)waBp%fPD;+2%$t?yOLt2hsGzvbSl9*U( zd)b~n6?gZ4qwU`ZFLFpnJOdav?rQw@{-(4)w|SV_*5|qmOx1TaCM!b%YV>2^&AOvr z>T2_}-)mv9BFx5%dr0(SDCEu^dcmD}CWx(kUbGm_J zJYj(nt>Xh{^RZ_A6F3|962hL|NcA6(?)w?Q*KUG(mezZ}^Z$uppuFCg^u*nqpdeex z=ZiCq0hp4P0Zaj*iZE4f$bZM#O>Z0Ir=v*w3fg}c-#Lv#Gy<$Nf^ zFcJSqulwh!nO7K_AR2yd1@eS#n6)s)CB-FdiD@2kuqo>iL4!1p74t>y(a(Rv&|E9X z!9P|is{Wb_lWux`fww@`z$8E6IZ!N$L_J^#Lw3k<8`#5P+t!kUJ;seebq?8v*7-z$u*Gf-cUM($H4W_BD+e_+-GD4J5+nL4XH(~c{eDNow?nj$_?}UOC38iG4sFj#_J(49VAil5^ zeM>@}&WAtV3(Yb0T~Lt~=@l;;)@TsobAUTUaP;RTYwtuavIrW-!`~Dv2X{+)(SCZM zioGra>YZkJ<019H6-Us4HT28`y!6LBc{q*2;F9TX%>&cYtKAO||AFgYhVAg@#^te} z`;#UL8m`nW-|x73R@`EjyiW)#@F9Y0f)6@05Vyed6bJ;wO3{m_A_58arW1 z@UfdyIM~(?cIFpy(K){27DsxkEs)}$Ah>)u1k?}>qsOtk)U^d zqC9umv^0DlR^-OFJ(65|a<&y6pH&5<*e{+8W$Fcu+RE+_jT9XCMCo}!8c6~@jv{n- zi$=8w{&{^}jSh5o`>+Urz-B#5Cqg)kydE%4Ff1@CFj+x)pBN^`H7tTa?spGC{c^KA zmxKy5YH1Trj5(4k=8~sDKO=%=SK+m=0jaZ}3yv<7caUawkAG zwdWmUK(W!w(P{c)xU&X=v zOj7mv^dxOp>r`$w#yiCqlgq+*Cs%STU8jXcjiZ*IL2|4a+lNIKs`yYRit}x$Paz<_ z`6Id%$_fd`Cn$d=`6wexGnHy`cG?T7-*I)a#&oz_UCEHN0H2;H zey(A^^`M^8&F~fYU{gN39u103S@u=~E|Wj5)ZzKE`?DyNX15hyK^gXMy!nX>VxOC2 zk+dxRg$ZKUazjYu*0D$MUgh`|Q!cRhdPpABdx&aDl_=&8Cn2UYiEU$L(`(^y2LFFJ zdke6tp6*{A6w4k-voONR%L4ha!CAdQER(mTiR z`@Z+B-~WH_eV%pJeAeteb7p3**|R41gv6(a!NtcUg%_U>pX3FolISGFcE|Lkts5P3 z!<~pH{u>cL7G&-wZQi`^b4%s$cFGs*d)=hUA*@M$OO(XH)9Ws0KR<6jbKQAre4tk? zv*=fwgg!az-^iWRw8H5f{O*J?F@uX7XTs<0qEYj|lHde*OL`|B>>n*lcD42dASXoKN%#f}uo$Nc-7H8~w)buEWu_4}nBYD#J@Z03sRqvx@$a&5`OFTj?7MTI_MQMe$3*icJV_kf5R9-Hhb1b z$r$}tIG7`QObWym$Kk_Pby@dXL2P`?P8 zV|@8yYp9*u$L#R!m(L;6lvj;k4$xOAujHIF6Gw5KW5(y`D)ZyMUu#m+~`G;S+hg~Y@_ng5JfwLDV$GLpvWpgE8CaZJ#G>%l~v^TnOU_t z9ERKxx?Kdz@*`C=b|)XC3rup5Lu`dUb>&xLoEDqSk?zV?fLt)meG22Nch^&=x+^|+ z4q$_u41lr3Z~*(d>GVc>u-$bVDg0Nimagx|>2E~vyT9XTuE;9VYdIgKs~+L@L{L@M zDpYk+ycO$8QiTlI=d&`!z0GDN!?LPEWMTGDRrUQJd#r76so%JK`x+~HI{b8!Z%`@=R{^mVa^CSRWCFb<|9%|L5IMgE zNvr7-CNv}$a+RQfkq1Ki-QZzfKktu`(M z%|S0sa{ewD&~;BkYS^@jEuOj-Zhe2|E=cF8G@5L0{!jPJ3BRTmRnafTv+H$8uTZAV zcbL1xTr3G0RpG0o^ zh^w1QJF#nNofqkohah5JscN8;?aT2@k&E(a7<0u+4(8EtT?)qY@Qqj}urByWO6Sdl zW|F`99*uhI$MFDt)aLeX1X1*iSj!#x_MJ`PU!fOFh}iQM@R6BZvt%ABWWNW#1)Oqf zCXCNa?K_rLXXYCj;0eAFoyiMr=O5IQIlYN^k24h1Pp5ayrF;Ek=}xj~YVQ`kvdGB8 zV}fGZ4I)3x$#}OL9cbSbMFUK8jEc&LzAFlO;Uqij-Yp}dF1BAHV-D4g&z7}L8VN%X z^tEM;Ed~3Cq-KdH%_2^NN$mEVtCy=AeUp9=6gRdwxM5B%E`_(CMTalVpty9q(@qAzyX&NE$5IJlRzoVGlUZojDeCteE4jgy)GU$&a4c~`b8Cro zr~)3~Au_~P*~7c9v^T{|Aem;{0cB(u$VYhxX+2*;Ek0n6GG7lnV)L;-(ZR5A3wq2D zHheA`f8+kj~~9&rm~*JNb6xjiWHDxWDVaef%sTa9eVlOc!#m$ag5&uw^B1& zWNka_s79&nHd1@tZ**XhPf1>kBxN2}-rL1arkFK-Lu7~SO-YWte;1jf)+wXiasHUa z4AhJ(mQ>y(U6-KLVaoCsdqMgQmxiPJw*459$%7qXuDdmyi}W4z9dwfPP6-i3`{kl3 znf6}Nl?&HZ(6ff@MeDc!CYm!|nqcLAX(M{j zO(=cB`EzKz?ds~)YqK9nouHL(n|GwW&s&Da1)FB-FINby!&85+_fIZ%w}rOdZeeBn z!t-#SALsp}q{~;g_DKZO3o35=ZeO1wO+q9%-7e309_CB5TnA(@MTUMJ_r`W(dw;D) z;<3`W+dfEbHZYcnqafLDsUDv7MRy2WJ^b|-T{ax`@RBdOQNXGEqPvBY(5W_zJ*B&R zUZ=TPq>x9*>?zeWeV(MVnM#^BZs;MEK5>qxv`NY+ITra67x@6&9WTD$HT??}P3$_P zn@`Y9BS$o1z;ayTL4@Lp7<1g4?$6hGgqR*us-MokJPf37Tib<2RRk|+5$m8e_F zKv@;kEHX^U__)dHLvNLY%X(=Pywe;S!%m~XSr7`Ilzp=%Yr&U-^c|$CqO$6OlSPW2 zepK_x1n~F|j6lKZC{eNaL|!kc$j|4~&YJ?Ac^bFR;3Zf(^WIW|RHrv;+<7S=zCY2~ z!UGvXZ>n0n#EsAh<6Gk!M? z4&eS!Zcp`Qqj?XRbKW@9nZ#R1hB0aUv{t)c76n({?CShyn5wQRS<;#@q*9dmcG8x| zwBA$jb7CEl(Ktpv&nfsB@sy15LgzyMu+%4$?MJ6rstu9a*^;G`yWQ!~#Ck#h_azJB zpIq_2u9b^!105cnn40)F@p)o@>+b2ifP$yHR+OKGRJv5O@0-(L@(N0O7sF(~H>Z^- zxT6MO)4KdjWHPiE_ieU6H!^I<*0b`!fP_O{s&dlk4#|2t8!oXY=LrR~(>{_@l!u$l z6D_(mSbkY%-834mH%BjsZW4SQ|F~PH`%$-Xca2O@PbH!WsrAwBju_1X&!dLCOhot7 zoY|v*dDJ#Od?-UmQ-=%yP^QTvNm% z-zW!a8u!F}aj77*morMfC=hN@&^dXypN*@4an|O4#@4!RMEAy+>s(qF02_zO(wx;oW{+uH|eZ zkl!O%NMk&=J1f-YIqGcE0H9ZQ$>%U4i}F+HXuZB(Nr5IKUm8Q(BztG_DWyM`sMmU2InSx0 znNu#dmdUQ5w~&TRNqu@By1~kp)EkKxGnHWI+D!prNOXiGKSA92Ka_BfouKw~!uO_RYgprjhcW7=1DQt&xEU;_ydYs96^UfQ*46lrPmh|~^ zj3nplIl|c3z3fT9UNk$^9el!K_G%%Cd>cq1aN8GplSjqW8VIJUdIfhN0KU_dy`L1O z%48z%6Nz2Sa7I9?EV)n50=hxs;5JfR`@7q&9~Ep%5*Lo3NaUG(TlY5suOIY*~2Y-%z zz93Y1M$HcXuA*f!(@v+Mgm0=8@yNZv zeeZ5L$16Su_ZhM{!<eO;_mQNXIh8B+FvgK&n7^Gl zN4}n@c1YK!=iZMnm2cRoL!>Opm~7WKN*D`{(b9rmP7-Qf+*Fm8`Tlp$_BpSIr5+S* zWLcAj``Xs3o3}KQ+4CMrD3r=_|A@=NX@mA>h zy$}DLDM??dO<0s}L3BJa*~Q>w^w1ar0foq{!d^A4&_q7kK*ZVl(0z3AQ8=orVbA-6*29D(|R@*&;w6idB+_0#G& z^+_;T+B*dOW(USjovcMHIpDX}(X((GKKMK=?csu$CRIRi<0!2;;6}&jzB@&aIDgFg zr?X!+FuMdELlssowm!zRpG>5pD=2jqN%RFKz7y+rf?xROtqVi1JJj818-AJEw!|C0 zsGV9G_&~t;LK^Zb$o%U1!_Uj$qcgPi1I&R{!niI*s{h{$-+uaA(OL(0zYF|#DhNbu zns0BKn@66DQq5Zg5ny;_z77qh7an)&Oo%^#dKX(wBX;IT=icVjT8WqqI(H^42hg{j ziwS4jb9@jxy}&d%WAe=~6k=5u~tUOv3e^-Geh1Xof?1N&a7#Ke7e7PKO zv+ewr7ZQCJfjd7+SvR3eicL}1T~IksMvT|08d@{?HweD&CY|}1xO+N*t!sM|V`?0~ z^fj&83?s688&~^8Dc?u8cso%7S*|?8=)0|z43kDAf5X+TRnVDn=!QFZQmtgd7}aJ7 zOSdrv$hYO&`E=fl^>GTEpz`F3jwbH-6$iu1M?GUxki6R^%i330CppU~QYb#F!t?1= zW|oms7z!%SXR7EAhQ$Zd^DN-r^AEP>P1;3I>5!?<*re(HK=4s0B$)n{!)-Kit~o3W zuO~Ic@ZECpmjhLS;y-;cr4PPr(qFZQzV<#u5(KSukN+3XiE$~5UC7_)TeX^ku*J8I zKRn)CLHOcZ-XC85#UHuKG)Mc*FZ8KP(hj~`$HN+tp%aXjm4Ei!@Z?lrF))3vZSA&^ z{s)@s19)Ns>aU5pFsQoZP*7`4EKyJhY6a_Y9h=MKaL+x~gQ6Kj8o4tUDb$>BOPkPj zK8I8|f&A^T|Ih4Q+4O{i!lKUhVI%V%d&c@4WwB(*C3SUv3EbcKO%y|1(D-f`v)@t_ zq@y5;0e&39><5{`joAV*&Y>JNUfx1)-a@55G5(JBsFjX?A%@37;Vb ziBMBWdIdFBZ2Sioc9Z*MDs8V>_JXqCUH+3Gm~FojK2_WeZ+o6CnCVNYo{AxG&|^1g zPO<(x`&};p=8@yX&u?>5&9AxNrt1a@@H10KscxU-Ty$!GQ_bqVkb}dcflNc=9R30L z;^Hel=lB1Fj>}2KkvnO99E5bDXjQ?&Z*4%n~V1_xq0Oj!6B*n zt-9IGYXbwk3-rfo`ecAND-W;jppCZD;zj<(uJOzM9a)b-CL{9N(}=r(Nu-Z!9N* zDW6**7y1vfTW@t~@7J?dn#G>1hM)D!QU}QEN9<{ zvwH$6mpuUl=o6PYC_yZ<|BWT~qojBU6Ecf2G9IY$HtpLa6=2 zQ3;@+s1Ubi;NDcR|3+tYu`YJY?b_1Or9nv5>wB**^fuI!`y+YPD;>**zV=duLU1Fye-GLIE1@uvtHLm{6#}TC#{dRkTY(Hi{e|^_PO=hUun>=BD>`!t1-1-<3 zx%FG-xR*pIQ$dPQzTgT_{Eao>SJ#^!nKOC9 zoX1qlHb7_bXp$$iUM0fCnIl}w_ga%HBhp8Bv6}tA}8N?kzM+NGHkKYa8 z6-+Os@&Vjs9;rd{9L@piaB3fIM4kwqxC@on3S{>~1*%Y?yty>^(9<3upy1wfd%?^F z+%i<~8d`7(!|S~>@B^V+yTLu?nBNgo=zsiwdK`s(|B5~p&iEp6A5qS@>g#qslKLw8 z=BJ3X-#s#|cLJFM?*!gmP7X@oeL>905J$T{<^x%wlBVvW>Y_e~lQ#E<5vGxSqZ(4d zXJdcR<$A5I(tZAT-&+-D%q6O8Qi9wnp*)l?Ol3}tK`zsyT8u6GAfl-**UIy4_MWV^v-i3c6tgPJ;i$IWmu{Ddd19Fy(7(E zw;Ty*yVai)En!JvcVcy7@84#>&=xKzHmYXB;tk3XtSLdan0!w`6{fOo1SMjLcX(^j zwD}54bGxL4?^c?hopa)i64UIQdotj&()!rIyU{`xB+!WzB+DtEhy~pNHyosumK~&U zDMZ)ka#N55MDN9QAPL5CtQCrfbqy0Q+`8pj+gO~OqW4cBy6H;Na$%mb~=*f`^psfH3$^w{|(} zdpB`X^rLUHDI1}a6foJPE4>UU)}y<5;TqboyGvC1lS@oIj9u!{7=cC1cu0esCg;ad zf``={!f5D?uxUK9X_%~lre@^`T;^eQw(rXy2O;%Bd*$wKv#wYph}?Cev{CB8J8U_~ zcsWgBTiVD1$Ml@wka|5w44e`D3Ties<8Wv}fqr^caEOtiwHKDXP4vQ@@^o})WP$#x z4033ooUz#kenG)Qa*>xI7#Xsp-f?>tMgv5Nd; zRsU?4Xd0Hn2U3MI08+(4iAw4dR8qD1H0!E`H(!R-w+L;5q{WMZ1UY{cy=~*JGX_#= z>_*Ui!9j+GZd0j-N0wI23?gY#?UQ-9z(E8esh0pTk9@5Ih482s6ap8X`0d6zuBEq{ zGk;NoCOX;DqU-gH>os}v2$Me{-h#jR&=Npr%}xynf<_wy}zCmNqNXO<5wSdDt&&yF|8Sn0Fhesb5mC z*xY#Vad1M;EqW1jF=rf)B{D2dOZdw2_>hSmlG&*#gY_6 zQb&H0yN<6PYxeR-9@!r|EKU+e}mr& z)e=ZpQowh^mEa*+9fwhdkOoQyK3vrM|0F7le-6J!8T2Baio9ng2aV(Mml$2tU$naf zDcFCkFDcxA_8{cp_wQR9LyLsoI3Zat*3=(Dki1LmSkPbL;^^Jwfe5qrI(6rHA>6nI zG4QS+IeR3ncFtrUf2e#J9bg{A@rFxtt>LH}DXmmkWZYcT@)-wNzD zQrjO+#zt$som;X>ho(p31rAA8suu+Xp!PEn zS$sN`!XM+ZjPwrm5cb_2<$syPyr|~T{q|BUFp1|4-{GeSzEJi;Mp}#f!#TzLfwe_+ zlb*Ri?)EgtP^NFqtn>ifz3~ShXkTwn_mTnYH}R!kP7jEPSaVzl43|heDyQTCqb^dh zGk3`c;0drJ>Y`Nv|H@Wd_-^$`J|8GgQC!f}-nB(_391=H1yF^H@8M8jEa?xd=;zDZ z8>sOYTgH>0CBW8hAoTg|hO~X#{M3h|{+x?4@2rcEa0H{FsXMg38$Nhg;0QtTNwCr4 zEpAFhVA_Bddw<w(v@LC(^?=xI-%oyeLm+O4n62>Y%52A9%6zO z73eIop&-K&pJJD-y{BensL`*w->*tQ@#<4dkY%AH1mUHN;R79Dy%fz)=;~C)*nlGD zNTn8NgvmhRy5*CZAch#rk$`+Ehz4FJD+Zht4H3PTs4-J7n{2NYi=#lJ(paonoUE(C z!#1f5!=xnh-P!wI<>!Rz47g4C*`r*r+(R4}^ai{%zV4mfTM7Eh`E^4lA42qxAqV*! zlSh~ehsO3#t7*w91oh4}izjuL<&sr(^aw#mBehhO0Yt&_cvd{DT+ncjsBqG{S}sa8 zHJ#uNsv~gXqbCYx_bUh9fJu6C0x(JM$Dx~i!B6-OTgwcgC46W5qeLHzd|=k#Tv$0T z@=G?+i4DD>PH|^duYJsPhNs%&LNSvN5bWE;{JcRcmKF<)42XI(g zDp9`^Xr63JlUD?L{s05tPi&=7a1jMJCCGhu9_Rbr)&<%ARzWHAM_k@m2sB>}G_O62 z1+tTQ?cm?sj97BJ9nMCB;@3~2JD9KgRCma`Sz%rFwaQ5qCgKXK;=V_fw+2-WC&Ea8N)2TVKXSr?s=Ah!g>NOy7{Abr~@Rn+r;|7LMgzj(rob}>fq!a>{^6l-%ZC`ETt^PCywvXB9zt7FUD{gE&|o=hbV0zJ%TTgn3k7Lh$O??&tTZ!>O`0 zTY^2`z8zQT<`c^+>vlfVtG%)Jd_i=Wd;32_J(MmKFJv#|Tkp^-i%&)zAGI-BlnFXS z!_UxP@cwk@evRA7{qxCT=NG4L#(PH+LhL@aYHnjnxAxQI^Aib|*rRhR&@@Rzb%+bB zJ!WFDQz!JoS=5#VPZR)~L9s@_Jn{oNb+${Zb|g~$%Zk59?EK+!Tu`R_=nhJ+f%Ud| zyFlYxkdXUsYzyHS-KC}RaevcREK>WGxgbZaKXGZ@u5WT2B;014(>{!yYeyEtg3bb2 zgXs=93pR@9ZsoH0VL9W6&HGE(_btb0*l?^~2aB5Nl5bFcE$b5d=s0p_SiI!iw-f4HCMZ2_ zz<-d2*reawTw)VSbr8t`)|mJ=VEHZXGOOXtV$cASU3dd)-0g9DH^eOA_QnYi_G621sii?g4yCthpkrrOK-u&l zqHXMtKp6-Gsrf;-j9ImsMI4J1*tSrZ3Ji9Wn56<%BcEC9SFek-s20CpfoMQ@t7?yK zP9CHck1#W6rff%RZ`4F&JvG)qrEv_EMha>R?dMkLBKmcz8^H7Y-V`t~k;5tC8>{yl zHz}!?*efKvnAX%)_9Vn^rA?0&u_5v~q)1sBD+?ZHPS-GtT4=Z79E7RtQC41CHf~PY zqs&}htQM4+3%(Ga64Js5jNpJbAuWVfEVl8B$QeJcG|}s_kQs7Faq=f~M<2 zf2VNCI6EOC4UWQQ3Ws*TkYv&7qwQcN#Jhn?@Nw`dnp%c3>jc;jn%blPMjH}}RQ(BA zc)2M$)b3>BtO5u&FLhg>3b~(vDu|WeQD_GYv+m}@YGbFc7fI&@iMPaSw9VVvJlyTdxd)0t8EaM@t3|x_(a#NnKSgGkJ zJ_Hu^{2wd8x*V=jW){wdw3y5)xGaa|hzP=A&s+6;Ai(UqI{Rwof$%c>1}##uT)ZUU z?)}@UI0L%9RQ@}KSNh|c0)s2r8zP8#W6DeBldr<=yVbFQ$zQhUS19e;c_)3$ijO*# z*8`IWE}7%c6le}&!zAOhW_AaF*6t3W*a(WjQEco(!)`C#?;whx%-Ua4ilbKvVD*WR z;q{$3t<)379sqAL11Q9Vf}FMqTB$Q`2mpCe+3g3}%@%S;ly6_D-v_m9b`%?wgs8}p zZ{dN&gm?ayxW@5YyCJIh&1k8}z`kb^8pooDyDXgUeM)Nj2%p4EmWy+GJ8xCe>wq7} z>UM>%H7ZuvA0H#5XT?jRrIdUN=1rty_b&`R=Ee)#f+&_x8n$W-u`Lsp`5hj@`S>^I zT5$%3;C@6FaqucEVgR%MKpbMl6MfG&T>Sz{C-qe0bTc2_B*04n_Xo%@$8Z}h!XN}k zQLlkR161W!3Dm+WWP-av>fzUBJ`6XjRLFpgvlIUy)zIi;1Ql9K$1t50lgNWCE4*(M ztnP8aRnw#cR6|CR768tYf_O|(@x(}icpOf_KU6IWDCspgj|ZeyQdX-o)B4}^zg5&F z^3u~Bf4+Bt&`k7ma4Wp9hFb|(WHqbn#_PtaoTww!7 z;m>taJ-V%VB%y+5*>vWDzKCvomJ{u>cfpE(*rAaFq@mjRlm^(+MXl#hdj27eP+bwQAWgpI=LyvHmc=fXO|5+>#eIuE&slWgH#TBVYLF!u)RXywXps5et3Re{Nt;b^mgfN@}{7ElYgY z=wy9s%~0_$td%*HrSf}>T6R?-XMNnAL{0lT-vP~91j>e7zy(ITWT6FIciuvH@tVYr zdw@J^@R|={^pcZ5@e(=SxTSB+Fy7z9jP#L2Si>buHRPxT66fS}2H@+whd*&8eubR> zM%R&-tgrzCtL%jrrM?#Mn z4i7Km4asV8N!r7&@1CLq)^!Gc{%vevT{|9Zjen@4VXy~??>Jdc2|OGPMnk(l zqSj2mak=D`)bC!GYw0VemrHCS#YN`MiL{ei5RrcT?`)aIYZ2`y7R`l`5-UlYPr`N; zR}@VZ^TE(^ud>Kw99(!4KgI9NV8{`v0?pCj{~VCAfJlW4zwQgET5^Hks=W;o)t22O0{#K_1id}I6*3N0| zHJJ)m_agzH^#f<^ie7Q_Ez+5iTRIWE#(66|_=E?DcUy=Y+~d||t42o`m#RkjqOib~ z8*cYU-#sf;5a8bK_P!%*4x>2fYbTB*-0c9B;g>!0g<*8h-`aKFD3^sJR*fdF+8$@WKvP8?sMz^>%OEzoQr3sm%Zvw;yxQ&S$^H$csVuyFLF6jo3x`W5KAl|`#I zqq>4rGcn^}oPEK#B7?7?d6L(r#<;|M0KTHy3$E4}-?sumIX&K~$&)=CYz!7oWl%5= zirX9bkSArJ+X=;kpVgJ)O;EjG?tS}k-jsh1O;0Krdod%|ca8FY`k~f_HebWtSVaZa zRK^^AQv{0gzrkC9h*TNd4NMW}ef{kzI-ND#?bE$U1mm|{wy`dq&oMD$G966k@1?p@ z%t<^au2e%*rWKH!*eqI^g%p9it?v%uIXqjtYm~*NFnUpi&FO%Lo1dQl z`E4I1`d?WwFp3sq5$7lou+2#e+DmBN6UHRTL5m&@?nB5}x--2MO`4}ub|fekHddlr zFbG#pi{Cwxy*HwmK>LSF$xg3}Ml28YN(Jf%uuf>aPG46!p0Y*vBbm8e^D}6XPu?~O zr&NG>+mOZR9*k&^Rjj1%E$wFA?^Mw}fP00P4+^+Y6f%q(UaDRMO#711>mlr>Ri@X2 zJ#;Hb>tX;$zC-b;7QlV82hqMikTQGlT7K|I!jc{E^Pcm2VhQ7vW2{<sBVc<^%~ z1(KZnlyDAASWHH+!bw0 z5?}Yx4u(64RoMVmx%X@rXE2}q+-K;bJLqI`lBcIID2^{L+KhQe?3rYeJo3wJVdp{L zXa%xufJJKo`5=xcJuql){vOBWRn1!!-P3autJLz{jOtTd?Zml(4(7alF0#n*uXUPq z6fwPWd`T>X3^BoizK5E4#lxYImQ0TsykbLSvL)tItp6u^(uW`W-hxuZF|^_{Z_Rg20$#BvQQ0wIr9t^&YOkQu=0V03>3>jvDYY81lUX& zYRti4`BxhEYUKYwgYEbH?B3AqIK<1)n0C%$6|Zgl3$$>ESJsbvHWjGFsBHWt_CjXy zmhm*&RFl40T~dT(S)|RWYZ}ooOXV8Y*zq9u(2h*2n0Yt}59zC6e%& zgLPhkY0yiy(NnGLy`p!%47>O8J?KRA$h&`+BQCHE4cJDZ(G?U6EHy-!^%qUqAfupj{GkP^I&?M$ofaS7+%L z((6ABU;M7opLKDTUY!|P9NpV&Gcs%jYj+JQ?S;Lc8&qx!p}0MY@4T^>)UA$$oxCqk zXKD_2kzSRwGwyM19gFgEuS^vBSL&}2-$QITDJ+hDT#xZE=dR_P3~rRDRmPnC@oSXf z^Q%O<-YCDNrj5@_uU-ctuEbLVLhEI`io|~^e#h?A@(XcE%l|@K!uoF_k#zcyY@f#c zw?s!e*j)0=4d2L_lMTP(XgO6c(%pPc7=59xC`aNNeDCWml|J;8DBOG1BfKTL%=uNd zEo6Z6jsd>gB)|1{ilR_uBm{$G)SAi1M>5+-*_h!f8=Zov2)UmN)fRFuus$6yDM1JkwO=Zz>4t+=hS1s^)IJ)%4 zwu0g_le@Z)8gwj2)uV;P@6Yb0JvsK!ThNF`*G|7qd&2Z@aE8=M)`RQqKi>|*a%)6y zJg#u>@Rx6Vi$8y3Hdx5K%$m{*$Nym&8t;||5L^Pwc5kBR4$d0n%mo%3dF}u_irSmf+Bt_W;31-EfsqGGYGO&){|kEF8>xu}dKOXft9zRT zkYVb9)Z8~x!ziCN_&wX^R_CPPU4k^%Tk8iOb)D&UOhnTkg7(K?tyX4}oHvc6A%C2Gi$LtK7ZdrLm(-uxSS zcm6gehrxi7;}9+y1ZN4Q^#nv`1-Jg$yd(_*_l23R?|Z)3h>`91ELMkjb9mt!eL7%) zij*H>kRvR&-@7IPe*>-VEq-~@K+xjux+zIM@jngjz=f;kF<7gL$A=T*@73Y@{k zc@%sHV7G3#Ik-*XB`!ZXV&xh=3%}9E4ld=s|H3pW5V~K?!N0M&enM$IGTu6dp7q1< zj<)rP!Q0_XcC!*rD?}ql7`4DgWfc^j##qpEgB;p_5bMUQZ%t4x+rj59lYSkag=SCM zohe!XD<6R0)JqkD5@e!-96@qEY(9j5ZpDeGg{BJ{r7CZqwgVA z#7OQE+$T&!ap>H%>>iBTOMt5G)$$fLg~!kh+2ETJJVUY; zQuuCQ$zQ;S%@E!2j}$-9?b@;XKO zz*1Pept(b4x8o3?d7N~AXlX9bTBJ}bF!x%ZREtXOdPV{#N67-o=Ex8}T2yzsOkUAt zk7<^CQF;FEc`g;C)Cx>28L>O74ThMDQQV$-%LJx}>S_JyY?=l)h=xJaFQChVsTT&< zY@zce{=xr4u%4P)u{9Yg56>-o*=cTYsDG$^s9VQQh11tYc(T7lDW#Cz)|{#)oo9XV z{oa%YYgUlh@bQ$2{O9a1VNp#&E!f0P4wj_Mi?hWn^6#qO$VY|OJrj2rHe*^G^E;-D zYHBFGMi=&g*DAF!(TXAFiUPIhSA?K)O7!T$P`N=20AdAEVm;uPT#h`L;=Dr`&&}oq z|CQv8=?!-_Gipte0>57UXvD482smdQ<2Ac1T>K^gZJi6Ywkq|fcM%5A!p^GnlGNix z$Uc(p3_WWf`4O9U$m6w{I#_@yo3Gs^g2#b1NKRsP{5$(d^C1>=z#sjMFZ!C>#qSWf zk6HJ$4VnT)NM}fNlUO}b)y5K?dvx)|VwH0V)&klU`VUe7sh9WAd=rX8ue;^G;Z{(MgNPYJn0~UGM$aoXBf2`D zil_7ty9^lV|YLx)t)7}ih@5;aC9};ODwgSf>8F|R91WAQ{uwBv2V+2E2GQC?BjbG zyLH>I7E+0v-qGtX0}PGS6A`a!U9gxLC8%`vdLm?0epjKS3her>x&0(LVRRXd270D? z3)qmHCGQukBK4ZH@^Xt+G2wHm-2WhP1+A)br`#NA-Ljl8zJf{JX>V@A?m)o zL%H8sXIo!C*$J}bMjbCueuB83yJCBGR#dQ@7&5@Qm9TqvjqMqPlp!I6LE{aLz}gzy z*3mB*A1MPt8M?sQ^>d@MUb|(&GEeE;*?$Np61iS34%H%YS$mCMe9)#jv8`WkO_lck zP)EC1z(Z);oYqdVl>$YuXe@g@Ru%R{rh@t7+5xUx4)v%7rqeE9BD6J$&*70?R-Bk{PDHviTu-7zbK)^Yf6f3jMRIEiJI2LYnQakXCkc!E~qLmKdrh5-e8q(U;wT9 zuy$vhZt(zY^sF{FU_$zhD#==#*0wy3g6{}v;3TWT5Kr%&^E!)Xn9 zW3Iyl1}aa%{z7U_Z>HBNeBPKp*s0sR&0jOqEFyo-WQ9dV%j^%*Akqxi+LP8Az90!G%+zAP9=&yRP76k`k6kBO?<@p zkc|230n0CE;(Xs7dgUfTH%+}+_<&vsaqFE4ptoNr2B zuN}NNZ(a?mMm`Jk9(cWag87A!aqvI4Ob1xFn9YBtJUtEX2>ef&5Z+;BaqwhboZ^(= zok(mE{B7QOU>u4|CIX%q&hu|{E}0FdJgxuZUBdJCuppYFyi&m{2`b)*roSy>D|!=1 z%mnB>@GX48;#~3_oKNP*rBjyG%Wr20{=pmHWB;9o1F3tcm<-if;%=sd+n}H&OWc$b zMGk7RzzdoHYYL}vdZTDuK0#ssE}W)?SA}Y3h+eg)TybBIL{Z3XE(HQhzRU>IieDPG zPO$%$&w^B7T>r+}`~b|mv@8sRJ;_cQ-vTXoHR=QThELDYJ2z!5r`No#T79U48lXQ% zv)4o%B37&ZRq$mo0gdu$DwtvB^`D|Ft{!KC0QQN$xcb0-XFFufvrm50$STEsLvXmI zHT&Ds;Kyz?lPnWngwj7m`B}Y7cMi;3e-ZqXLs8R`;0nF7#1wYj`sr{-wzn1+zl%rk z>MvpZim;1^40V3VG&MR<`8*#m3@;%Qj#++qgPfrvBz`VSkTl@{!m8Gw4TVS9>5l|Z z^Np%zao;U9WOujev$pwY5eYG*#>E=|a4i|^=yn^rucqHbH-NqVG|e5&JvWwLH*NZKiSwVg?bSiEO)#KVS7xJ3xD zQrnE28;M!G8<)K9#(}Hde1jJ3&Fh)#b!o=#aMvdzPGVq=%z1(DkGlRy`O*QRX}LRH zBparcHvc$OI^W+O{x-R#Yk*E+xI-Ahrn=w8r=;aZm~h@>O0m&M^oQ6YIw=}!<_c#| zjHX*nV~yq#8rN5;YcKY}0;Ov` z?^kQHzTwWO)Ieg^OJ?;`h1}i$a)DpnXJnaDXTLMk?W{j7r|nhP#NwH3_5T$~V9QaF z7E6%JQI$UwhJU`abwYRkCQX)A-KMV!0@wet$28xsseihGyENa4FViWu+tC;g z`q>ilnIhCM|EF!j9=zc)@pGw>(d&1f-#o^I7M7JMb}hqG6X8G$?$EI$5B+Bpcc+GEha!-e`6OkpT?a7yT3p*HFrqnnw%M^*t5nq${a95>EO1tSBTjwtTn40vEdrMPp_1Fl5D~mwLHG2} zu;@q0s(MqenA2e_+zK3ej}1XsAq!MGS;twx0Qg`c;G4Rhcabf_D$_YxFo8^#+-}{@wf?ZR z@hUux%gD0p-l<<}cE1nbz3^FN{L`Ln`P!zNQVVG4huFX+4B21tA&WCeBmRxbjCwy} zqmGnPVPn1B;1l5{3yIyNkpxGm2?f!B%^kOepd3EYZhs?g3!nd)WVr8S4r4ZknX@oX zlZ0diXgwB9OC(rW>H8yp_g{I$W*^wKHgeAE>v@M=+GoPQ9&M zEy>_4R65Hr{((lvA+(~gdwS8_-U-quoM}W0uR8ghp0irBbmg^yXyz2@GHE&A8yR&m za1LtYU))wUCM2(pc>sFkF(L|!eo;+qZT6hPj1=Ht@BbuF0k~4uQZ|m9YxrWV-&^}i z%sRj&urs(4DMR87@AcmQILd4295n2PeDo^EtbXY#Wdo7HCDLWx(tZEYi^uLi9kP4P zrsxbGX&3*9hp|xWoQG5dfQM?%VFpR(%^aar+rTaTfv$5N(N!vXB{aHLYe(?EgZ;`z z9M<|JG>P$(uN3sq>iUG@xX{H_Ihi(>Q$L=NgWbv!!(E7Pk4M!;N5zO_=VEHU7y5dO zndc4LlLK6^N`5DJVXOTV3W@=^Xl6?L>&N0@##}^KyKudtlL&tU!;NFKGACG{%zM85 zIL!65dU8Vd(CLk!~0mX6D;G&-7>))Z#@HDl>Gcq3 z`)Z5WUR2U-VjhO9GM?s{$0&$fH{?YO@{()>6(dWc{7{rPG=Wa!HtwENzaOJIdob!S~;L!+iG+uSNbbDd~pLfpV<5S;dSF0 za)K7`&}-b<=SxwS`!c>L2FPVJY)vM{1TxF`|3yWdvN!F<6+aDs`aVXJzOA+$qe1wz zSE7ScyMq~c7N8O_^h(&;FuHb=xLuJq+glo1;~`|eiMw!tG@LzX>MvVH3CBJWzv!un6&)yKu4!#(H}^&`J{of$3SKh zY^nbjx%ysIB3o~*f20)>n!_hnto`giV!OEbZ`G~If8^2L;$IyuZ<{BmUj~0;`1i|D zl6H#N^IM>+!eGn;%aKvwu6K`XIclq0as~S6$RI~iNchaT(QH4-imNY8EfA0jugN@` z&^#6!m!yHj!13~r60eYM(MuV=8&KU)!vTu?H4Rg&Vn6LS_9p|1IIAn9qZc;6I;HphnKf?meb*S z-SMKlsZu1W4jz`R`9*WN6eY;3{2Dc*)$-Fxh`1d)elSD9+e&?E(u-$^A~Sg|)S=)I zn*2ec!y90W6eg`&Q0NeS%B3H8yQ7;Q^$X^+1f|ox(#qL@c%Y;8zY+z7T#D#C$xOaD z1704S#@p5@!bri=C+n^z>nVnffk2%13d5a=fK*L(Ug@nbY213s?vPKU7}i8*`FZ#$ zxJx^|>NkS!7Bj~o&&%s_VNJbCpDJWLULj-Tbvs47h)#1`-0glKsrZ~@1cf35S_^9N z4XX%bRu=g@@$$;+bKQn}O*k3)esh?ygT_=>n5Vr=yyo z+|P)2F&?8|xlVnt*pdDP==3>SNfe5+MD79K-o@k@5lfr(f9a*3U(+iBJC&q#B!e?U zyl_?u1KC06nW?h~-aVt1U^#jho|A4}VT{{eiP{HDt?(t=(WU!<8kqZZ(G|@GRAB3bxOkZ# z1}WesAwyKDNCavDmHSqc?~X}nx94-9Zt!|b#S7Hylhze6A;8rJvAWlQHfft*8|T)< z`Igi7yLXKBt@hPey^zPxVXGoRTo13UvBa@_K^fGVeL_eec zBkA!hLk|h@p&sl-+4~UffZR|Ks@oU>H&lsby>N*8!OUvJZ1O9G`FGp$*cJN4Th3x8 zKlY)gp*HZvt_6sN(RS#}%3f*ZV9q6bI17Z(^4EgJl-9X6ms$&I_H5aCp=dyV@MhnTzsCMkbPHSJIXEHIi zL)e-RP~CQC-RTbC89jf!ZqCJ4>nA(g$vF2I@VxxE^Yg3sEaD7EoQ(5 zYEABM50?2mzL$8bfx;15uL?-kt2xt032+ClAx4pFotnmxU$v@%b~}k-wVC@W5H=%3~W!a4*_1<#PXAmmNO!B$doP|E%UP#6au8UTpw`njphJ>;FRVwi%R@ zSc(9sh6qBg>QevqzwWoV$cHb`LPa_kz#Z|KOf1~;o{cwI(ORI8)2V}92(JtYSfE39 zcZ;-hh|g7HF$zgf;C!!g_RO>eCF&lz1ES^y(`XEgT#+nyLyXYaiz zVGQFoBUDi`4JSj${GkP9MB#IK(heX!B!Y!6*pzN_cSXineEE9h9fR~u0#6Sd$&RyNG)xg2c}-4DK_VD0E;orlk^8*QZ2j~ilJ{5kM+u6+ zq_}>IU!=}rD2gKv;$X?l;P7+L&0^q?Z7k!QQ zdHh32>=-J!xO2di-}iaZ0k5_Zaeq_tKHxfV#Bmpxw9@SzwdGb5jO^|#O{m_^`7$JU znQMrM?4k3C)sGjxcrME3eEil`YdtL3J}lVqWU{3!u`*48ISIVIR?Osl7pR9lC{rzO z9R)Nub$abT+=DbEz1hJMO}6U zKa+h9s^>oCTz7!Gh|U-rk*8$$@pCM9*sDEW^`qjxCAGrca6pqflNQVZ{QKQ~Zr%F* zJL6F2Hb4qFS(x2<77pOnt6oiGjG&+h@N?ebZ1r&ZOoy|y)QoY9lm9~ARiMcWY39y~ z6h7??7rjc3O~jxH@X)-LaEZUwYo>pze>Ive16+75%%yl;@Jaw>;2b?{J}L%xB7Jo! z!u_)rsBWzQ5i(^T=64m}DP9h(D1uxg*31ZQBDGY)&i^1I;=<|mL!{r#+S2pZycd2- z{&+C_I}$|X-VdLl^a>0PyyaFD2jVwV)7ZvD#kC6EJb$R~9qSd$Z`7+ck2vRe<{{-y z6bHUiu=RhXQ23^ownz3)?2TR55`oP(s2z5Bdu}NXffDm-@nHJuTpoLe#|iHb=hrqk zT34G9Bf5UwUPs*ZqB+57QQdPauaCVIb`@DNktR-8t`}XayMVhzxgS__KmP7Ctltp7 z2QL5PP08mNHdV{?)zHxg%)e)mRDc7cE%$4NTQe84PvL51B?BCXqW@C93KxI!C8fD| z@!n}rEY~$}H9aNbv!DNTQ-@RDVvT@(`7BFaNuMse+g&^BBPv9y@m`&tB$>j?ku|@R z_g|lJy&JLv`$TRzv9W`B#I`R z+qVJt*_S^b`n_~(%V=C0oOAeNC-hN}u7Q?#j>9@Oxch-N(9rk)Zg^&V7g;R#G}mFh zo{=knx1WOy{e~sQ!!B7SeJ_q8<=!-C(M!7ji|)O>QSOig1plHu351 zVcpmFp>>EADLWQD5J%yFlqW~__@_wY7Fms5#ZjxB(^Ztq;?lMEBjhuDFvM$%#z|v zO$mJF&nrI|@SlJ2TH)@xwZ%7uHLKAlt8VpFF1OG1f4q7m<(s&r95i^L6L2E{wZi`) zzT=bBrH0Bq=61vQ_ABv)0#Uzb(#zkSl@Hee$7Y=*z_FRlh`2TPq4j6LksHH5xEZu2 zv_-l-pfdhs5@u5TvJ4{gE{L>5`^@R~kd6j8owP*b=*Hb49m>DNy&HF}G^z=i^W&>I zgWhX%_be+$nDaGiPXM2A7cD@Hk9Q4P3@EI;c?;Y$M5qx%aMvK;PfU4VDt_g& zA9W#3DZT~A{F8Q@mh)v&V*zV3;wR>ns_THbWeT_}7sgz_Rqd*7-L+lV|K(|*cYz{+ z0&czTqUKGGfrKot-gSl7nsm-^uk0ipxc+4}x@Fq*`N;~=y$AO1hrc*a{>;9EEc={c zVJeGwavaY7LHLCo6zJCrjoycP7C)4xej%qa zV9;4;)b&q#_d;brqwOcfi3b0uQZex@ic8J8JIpx!{h6QV`6Ben`?@Zo>4RGiOGyFj zL4#Wr;cKMpS?tEbU(T83-w_*0^xR08);?ha+~ze(Kl$lsG~T%DY8K=W48KGFdw!8r z?5od<8Fl^Z%_W`NQI9FSGSp_QR5TA6#b7E;6m*Ls$e4Wrcs)FiHrgf$su-KcbC=l|>?rte~jZ z3O_c1WcV-eE};Udc?Zgt{`qs4)Be&*H{aCeqpj^!LyNsj5ijJ#lqmc^f@o#NI#sG2 z)otlC{{M*a_ArKA2+yR(`C}2Ik7Mt(h+O{x5%EP_+B7Nb_Y|q{nF#%316sR(Et(oR zRB#DhnQi{2X!g+un{0Li9kB<0A0s;h9e*E6R9WRJ5fN4?*ZJu`(USZOD;4S9yDh2k z@NYGOV6pS2!^(9bc-%FheY@%4%WyT1BNIP1LAF}8L==KR?C*h2Ar?+|R}*A)!}K+|mOpD*eMX|rV?DqyaZ3~EH0agybe$rfQlg$>v$7+129o1|u_ICy2uXPh zJR$77>WFT{BXXli7+)>-GMBPF4D#!xP_Du`2V1bm?XEZ$xbZD+CG{}vhibXo7Qlc@ zA^BmR!qgei)keJrJ061=1mRD^gr)6#WvQIQXFr0Sj`#XwPyU+%E3#-`s90oAfX$ zKl){i4Cv@53`?b^5(Trn8ocu!M$w}#YvO<{uT4EL!EU-`dsjdIMd^Ln{nz_%9hguD zB}olmu2ntRc|$Nvv~hofaD#Ru68J2M`vI7f^>I%6g@Zd(C=x=~1&UlDA=tK{EEB5t zb$7z-uU;&5pR~np1u;#KDE$DX=|RN2q3$T`{yt`D3EkFq78JBcLb3p&Z-IzChPq2) z_di`OMW1zVifO0n36e{@RNM$m8uh3UQPM>IWh}0LV$P`@W zyatF!IpY*P!zLZC1FM}5%2sMEyh_CvG~#vT1cIlFo%FMl{F28|&Bj~a8#`ndr#n|+BCn&ejul{mh#IVhL z5YO7>EH^d@&syUqGrg<-B7hKD5mIRpC1H!HbI0v94Uokc7t}cPV*oV ze}9_!O;GT{%aywlyEtcbGyk)%eE%Y4=j`UKJSc8v0D?KdX; z#~r>IBTU3K1DN^Z-JwzLZz9raT_3ED2_8z1yl{Ef^+6<`c#GyOfd+W_y@ztDqDPrn z`Mm_Dgx16Mu>A4B>{rMMg4-S1JZV$63U}H6C-rE)SMGG~lpLyYXMVsuO{K(j^YzTK zqeY(5Wfha}^nJ)q2<&uK>7|myP^{ANYGB&zU@Yx)O@{41V6O87ie(Gmx^AlnS+dn5 z$zSp0dN4r;_}`+fb;E_!{3t(J?|gMyGV4dwZAaC z^xAh?ZjUJM9f@)lb_~iz-eHXQbZ4b}<1C_-bHh>*S)l%SLysiol3wUs{QV&sDSSSc z#lKp|c+S)W9J9BH{Zi9U;y+D~>3#e3yzh?vFy66U&M?LBzu}VCyxtHz+nEP$j!-i6 zq=mImG;aAk@)|~qoXVFs3T*#4bJMvs@$vqYvc5*?Oy%zuVcp3Zylb3>0^?8yXUNbYxGz`OG8q{Uf+n0f`62<^F>Ls z?0->xGYz*6L_AJ%=Hav}%&mpOwsAnz5O`nAak}x$o5Gcz7acX3B0uQVZA9}YU6sps z05f3n548o}=cAr5^K>q7&XB+(E#lCcjw;W$zX%f96`Q!}!x{G=jwb93v->W3lJcBN zsJaPcV9AQ#l+NR?#kX#B|IOye`=yxE6tf9B(g!nE(rNreKGIP@-Udbb8{gg>R8jwz z;0Qz>AZFTL1>25<9ACvqG(m^bh}dB|%JQ2G)fgcBk?mt32~8UX#J_@p2#XQ}UN9E< zVyFfsmmPh4Rf2a);g^R&@XK=;_IRNF)z%i&Y76RlxhwO^5Vw&C#US9AaU6C6+6Dbk z1a)79s3RkxC=eWXRoqWU458>1LtpHX5*)XN1STh-P1y2wC<=i?Z2{rOGu7P?+(9km z5}^de9u2@RFIKV)fZPATS?c0O-r+|23eKPn!Y5dzsG@S{FE4P29x<414OV zb94W@s=<Jzoyjp3N3bP=g# z-@z9yU)nM0{+qW$&@Twq((d19SxN;KAHxm=9UE8dmtDIS+IJ%1Av2Gl_iIJhzoPqZ z3SOiV*c}%wM)*=T4VC%{UTEw~!|%@LGfz6Mi{}6FR8Bq*-RyM(7%?limvYFIzg#c9 zy76f`dF54>KNAH~uzmr^Vtp7Oi)|R^<#W0chr)8hQvH|nEQt=Tk{t+18=c*|N_OA> zyhtQLjo1qMxwF&%o7)E)u|IA$Vk|!%D6w02-bb(x`MiAkxcC8~#4cf-Q1|1lHpvQ! zd(Zx6rh!36F7?jJ&d#cT6PE8pS+#(cDz3rk1;8$!duXBF9{$+>zN)_@*xmTcKE};j z{Kb~$gFE9IF}_UQng0IU?c}CRj?#q$;ct81 z$|35$P8$Phl7*&2D@(ukQM|v!`19^Ej`O;v@{QtjyvcGNMrJ_1m357l<~_j}`+b>V zf2)KLhK(2ei1z5?bdgZtFLu8YYa0yTeKth#`$CuX3qx!|6>%s-?6^ae3SOQ}$rAnO zg|})7eRZIhGM&p6R=u^ZlgS$tSX^F7pT^horc z7NQ=YPmjLB8n1kjKs!aAQkctnT-^fH8=yI;_dIlV7ZQn%s-?NuAc6J?MaH2ot^=Y6Va5X2t2Jvfs!-=QH0>#jfI^5WK{2kJ~2&vK9U2&_jER#%ibciA~F z7;L${3{C!VD^sxqQW}t_Xp0#4)65%(O-O0y$xBRb#E80DnduUGrRq$L`x)hF)>Pjc zDTue_*!u{W5z>G;lP>;qX_T~~1auB5wuu=}F^UgUAK9c1;;*WVo#8H`o5c=UmT#Kr2-hmtB+ zt4DtxSz=EP+PZM)UYinQb8E`8p~@9QEb2hO{3hG_9DnKZ93{Fj3oWAH$lBuNeN;E_ zRdd$j4cqCt-7ATp<~TAnB2EK2ld90>IFpPI9R_lHAFMw&s%`zWUTRXqHIIMJavyjz z_VVXFud<|!9shF0$F(iKp^>ugmK*(ehWET^#?=Z#=I%8^0NlU;nKv(5+jldS9 z+_RoO%n|-;AnjfNtSKmY5$*%^5wtN)crSiWu{fwTXZn$tPm_;%ag8M8&-y3V zgcr88hbv~qTsQytaEy$LK}KBMJ_+ENrE;d#Mz&^mDQ^Rj91MGyBK^k=Jc<3vm#Kr!K#rZi*cvFz6}otY4-#p`Kkikn-9#_yFEmQ*ZG`9igM_ zxfw}4?IZ-NfPdGmey%Wy@d%uQS^VBJ&0sW&qPQO?C2ywsp+Mm-N4#uC4i8I}`tyK< zp6o$YZBXdCH0?O1y0S9c*zqie(haO#u5&c7ZW&k@5nCwE>f^Y-ls?)=E*HRQR9Z1m zHnC!nPp_Hb`L1UEMSQ-ksqP|JK6EU;A?5Al#>^IuS9&yHvr^*nywu))8hf`ILurL( zQ~z!b*ZBV2tSD1qCaYb_EAAb0)~mrZ7WOKOyM#N*zofi!%ar9*5IqS}BpkZ;txD8b zijUf~nI0G4Cmp}@TT^>Wy+?EEww~6YduhZ^WMNACjoS2Kt~MoplD!|33nhfCL$ggw~&Wh$i*4v;Xos}vklycbL~d`%<(Lbc&)+!$A~lH zKSVd1A~g2&bY9r^T&DYc#L2M-G7}qNr6bgadEges8;@coyPgel-}$KU zZ&Ca#Da}h^K-`=`X<#l7xjW)~wo#8hp7rsx8&z|ra=uV|E*UD2DV06MDdQgDD!bEc z0`8P@;gc`S(pG)c7qj^xL0zUYTjU$#SUzmDhw4cX-_uB-{RtKX+McJ=G*)I_djd?} zm{T4sng-V#g`4k$maf3NPm(#}UM${|{i&&8Z;cIUP36`iN1BrsAmYch{i^qA^sCMzIV z+b-N#Z!6@Q#dlW~^CTyjWf8^FEiUJo5g~rhl!Z4D9X09()+F(wVR=?x<;)Bcl-JGf z{F9A!u&Prd#zphV>pisThJ!t(I{Fcut_H5EJ_+9w8AneWJ+!4l7O6$SqAa>*JUIDv zOY$8h)*)>6zt}yN7iP~yS#t6pWaoVS)%Vx6!&oAV2QBc=HLP>J9n60weF_`=c8!xPnF6SAY z_)nBwtr!YaSuRex6}OXF+^Z(v z(nDu|^I@#6Flv6T|H9%_*2NsAZgHB&tGx+({!Pqs!nAhg5DSg{)w=|?BZeuD2nq%% zF+bTfUf-|wfAcQC_v5qVHi8&o@5lemM1oy2>Bl!4HO8=s^yEzAFPGbA7hI>9#?AJ& za}W~Bi9YF^Xi5Fk&kfr*U8V>&D@dxyP8J17bwTi_dBG)73+3{lyY@u3uou#MS#J)! zK87-@UJ|E14W)oX@`9gs?Dey3K26;jXjN4KsnLEDeT}OiKkyjBuYJuwi8k=JfOcw~ z$|&`sb|-&}aIZ9)Fl@DZ!a(d&g71{%pO25kgq&2F8cRpso_r6$H~`TGM6TRUdf{}` z3|$?+9Wy364b)$6kCxxph(6~${WKX^7%cu+AcNJIBzR{zbn;@7{Ri-xC0Am388`6W zmT^yOB*>Xx+xTQF0>(dDSDz!F3Ag@7IOLOYmjNs zz$XiVTN!@VXdjRA$-7y8QXZ>8rVH{ip#(&jJ|H$uU5SZTqUe=q0`ih!n#e=; zyN+@)l_gjYkrw~&{*C@Y{#pd~#1GkmNRFf(w+)1UxJ+>fwuj?jqJ^8dfkzrAIAmnu z1bEm{Zma9`@waN2`qG>nC;3KG)`*r;lATizVU0RGgX)$vNl8rGLPP zleO90#D*rYR_)qmgWe;=Sc{%5LPPsU0`hfj@(+5%*lRQt(Ls5@o~zJgqn6{F?|lsiw(oAwLP?FeMzEOvmW+zWfKm<)w`p+puYNG z$iVJ_N(wIVHs8_O;iBQw_YsJ1&w3NX?(xqhffGv$Ll6Zib#%EQMMmH19{w-v0<|XB zpe(fGg!#JFsJF0s!8#G7w+HmKsy{9{telI`dDJJI2JnI>hFcu|tm#Zs2kQOE@iFRI z)6qklHG3B)o}C=l7#K_-I@;#_r#$OVW1!XMYZ?(fh-%;YQBMPzef=M6dkn{%6K}UG zZTngW5GN!11?LqkYfUzC!r6uv^>z22e_58izxn8~IxaGBd1^MS#5D~oV-tN2m#Co#$0$AVwkeqXQf zw|RUkJ7BR)wlDcY<1G-q0)g!s&lNCj-@p-e(Hq0Vebb7UO8ga3xZ2c{16)m|1a`r7 zybCwee}TeXirdOSFX20XqHs}frWRE4iK4bHVx$Ps=ij6nFPdBOxePfNJSg&Vi?}~x z3=H2Tl_nHF4OJc)i_v(sWZ9ro5y~uZm6Vx3`Sdw#L+WQgGB$e%xDgGHXjr=%RGTCJ zlbxQU)~qb$pFu{(4&9O#@a3PUeELD`{@6WP%8YLodZD2_o4ajoLv+ z!Oz98X@G6{C&EF@x9Tm_|F%Ac$Gm} z_?rw>*A>Y*37KMxRH+YhK`c>OwKd8YYve9@Sb6C_mP#BgYYBth-> zI!S^vVx8pF0z@|;Q73_3Jl*z3$C5}ueNJ0RT*?pIJ6MClG~}qmXijE3)|D(*85@Yb zs$1Z8&LNPV-@oj{69@^6Yw6{qZkFptne~32Ea{5*Be^|p;^FeR#+QAJ_{;bNuRFz3 zWA2eRKF`9fx0jc9|KqqAW{p=<0|!#J3ADMk5x4yad=ab@tcq7Xrke0Hzl5rdBAH3b zwt7A{n3%6{1Befk$}UM8%%l(xsV+ny;~vmr5OG|YoqMm$A9%0KJAauaugttdfSHov z*V>fwki^gbaR})cK_pP9rL8qI4kL))V6&RH0EtrqC8)KKV=nj3Cg*$<4}EcExO^u5 z4GvpQst>WcHCPT_5!e`R^C^_F%VM3H?Hl~hFJQW&diJBI-b;*Qjzs?!tF!>FwGzJg zXG-Fy`-}t)f2do|=_$u^R;x_4*h)n?oSJ@52vNa%w>J7fm%Wk_U69W1}{&j zbn8_-h=C~4{ArKyeqIl-sY{kHNv? zaN#`fwcaBan-@F51*_K2Qsf&$RS52nO&okD-PvmrNxB16H>jow$^uTW+hq7$)BP}En5FTPMW%116bwuWDHT&+dp_Q{ z-R^n)y>at3u5$iT#hUf8?(rrOZBQUm;Ox3rU`J~j|3H~VAMf@^^8*9qdBVp?!p3E@ zozM;4%f|I1Vb@fHDg%S{WkcNc;0dNW_a=`f^luCv@9j#y)1DjC)^Stgrd@qPKl6uxv5ak#I5h&;Mkw|KFr+SS9HKRu=!}MNn%xJPpdpkPkzr`8w}cFbjp-p zeRcGx_T;d^fPQQ3Tk5OYf&=fC90H_cSf%PEsXVBv|HDt=waFfv{AMj=Ttlj72G5>I zL2siX>(P(co~Etrf2lz36*f~%RZ9c1DyMyZJcr(>GTZll79}e)!U_H=5*6NfVKb>@ zwdZkvvu#4HJtMSQYF4wa_{kqgaJ(Y!1vqV!pxM0;bi7>PJ2)|Q>~GOG=Q*bY`4caN zSv=VGw}{yrcox-CYc(FOaVULs4?TTw+$`@pZMXhO!%*q#^&*}JWq#eCDBs{0OY*$G z6?1*ddqOw*-a8~4S?I+ZNOkqbGoGNcKks<+cgK0ReY^sn6lOFVa#WqQ2ApCW2Ip(3 zr&oSTdG)^g5CtaODgOWrY{^!rtjuW3ov*}L>MPL~5V-@{fmznh+yGY#iH-B3zW2K= z5=Tkz5+TxK4Ub8;7E7l|vOs5azJcO1Fe4asFR71cI=+*ZP@$xB46-=(lBR})umwohY>CSp{r6C$$s_BLl{}IsqXw4ouy6x+mm9i$dFufzuX7Kx) z5lG5nl3-@P47HQZd~h7t?=a${%MBW*=&kShVSaB5!F4s&`X~Q zEX*@Jyf|qC8B*z|ZTUjVO8*?5Lm-u*&Aw{r85+p!Kcoxk6k_eBIhm`KI=xv-Fyh-}O zBd7kKU39#ic{BHYp}#;%sLJemG5K$Z$_=SjgRq9{yFuE=_MrDeaG!mf2IaIqvCxI3 zvz4iFILMsf4SKPFZqjU6!hJ#`fqT_I|Jvp}oQi@SN+Nj?OxE|TH+2Ae7E5C6+&P`^ zEc4nnPHS1M8uC3S-lDSVZ2nK0Z(+Lts(Y6{@9gwC`URnQ^et5rt!du9gIEvPx8AZuP4f>YJaTM=)t(P> z;sbP=!-spMN(`he%`(QpW>pZD=g)53aUbb$uGkE3~%jxU-l)vz=8~J}Kd$ChQ42b;q zd#)zGO2C_V-k@t7t1!XfA<^$ukr0Rk#|jDVr;c@Mw5wI~`_v8BP56XotH{jmDE^!a z)k49^eznLTFgz|1x%yp253W+4^(rs8j9;xHrM`6m%odhc<|)G~v*uL6?*xcf0lkZ= z<&UtP@+64C^F9xm|6H8IcO6nN7V~_Osd}O4 z4obF!;KL1H)vfE_KEXykKV6wCECB=C_rJuFJ1B<`>aeyII)jR(&xx}hi;N@m?%fCi zg9_B=#1!azu;TG{PF_{queq()QIgACuMMHL)*Ve=7{*dc>W!Y5syawUk8X&?@qVab z7W^|u^rGo^qg^y{n~gp5I3(<3z!;rozQS~(ROzEsc@R6@k~f8tCG+u@6G&5TE|u!; zyniKC98|7|Fi*Jy`oOs88^Pl8PZApCd!1Xyp6?eTCJN9$TWE{IY%oD=L?>rfo=NH> zZQ0-GpN}1L732oV@{5Z>p(Cnqeb&xwM5`Iyv1zti-}*@=7AjLSgyf_g zlZwC`gYo_04Sa)!KR@Mz=-oH4H`V-s3GWH-%$4OFc7q=NclWk)MhoA!8OW8~75H2H zI*eEUdC2a*B!P6}TL*fY9mQ~0CUYjDa0gwMU$!~7YvZ5JYKH!o!gEc7o4xt>-D^e3 z9U8pOkpbm1>b&=jw*|&}ztA)eSUq2g$=3MXdzkUulu4JoHkRYgeD^GGS~kJ)?g^8f zmIBE!+4!?gO7v~(m|Z(d>B)Ai9RR`NAwD4UFK@TeTnskGR%KTNW@NB=*e9=jfo+7r z7JPlN$44tTAJoOkQDW`Hr1$A+?9K+$+6I%)8wlil4ZZ+rYOdZ;{Haum^TiyWcYzib z?vD&WfDI$3MQ>rLK@qzMg1tG^303zP>wB0C(HyiZ`cvU1!QhG!4nadvin7tO!^`Y|2eZz3@t$oMxFJ{TMP8pBDYG zPA_Ns#Z55A7T9<$YJficDG$p}ax{KF@6(y?d}ioAxd(3o*3r(F&;uZqCx{tpdIE_$ zdym7lW?rx%`QCL}If)Si{twbwA=(IpU1TVqr93siROaL{Nwso#YIOGbo=Fzd5tXP zyX@uoSJSK!`J^0=B$P{p-|)Do%H}FI-~}n}oLPmOWXCY4sgrla%4NE%%2Ft?hAhjL z*ytFGv)nMOrt>phKaLnTLQcRS5|>zsKp)#u8$8U~&I+=$*;XKj@%?gdpF+g~LIT3tjq)))5Oj++@%~7r}-U$hXA@2Yh92!rssybFnsa z=8ks!nD1x-bt_u}oM>P;6J4C?1^X)~h!3|Oc<3f*19fY|x(U(&>}1cb4l_rD8H3d= zp%cLni7qzIz0Vc!xN`6Pi=8N8lLD~|G(|=Wyjg?L_kx>AZ14C8x(q=aJ@iIn(A4$l z6YF_#{^gw#iEZ(di`Vq;7~Y6EOrn8}fx3jc!X=x$^+%lw9pm^DGR{dxI&;(g7)NLcZ?4QjJ>jXEp}ytN#3BwyJFP|!G!5!^seZAm8g`~ zmF14!pOP6^QK9Gv3~Fwk*>--GY>LSCrEv?9tdxOZ-Y2UZlgz^rhfxc-ui#B>JYL*% zW=k%(0G*t1H*S*+|(c3+Oi#*zn^Kd>Uyf1{WzT6C)dX} zFdq7OX*V8>zdo1orbJ9|dvCnQxlPr>?j7p@k^EY{QGu8sdpt6*;6*3$b90=IhlJyS z>W`J4p9VcHy$>hl3#?6voD-}Nuz065>%TCJWmVL+bdkOCbxWe!cWc)h+oxttv7EQ+ z9xlV`)^XQ)kf}*UJ4dmdvoJ`|)3WW;O!*rr80mP`XlbTgAAt?moATz_w^A_u zW<;k=l{7WSg*~(11crO@sTq!gz}y*gn~clT6AuoE^lfxmq+=8@%`Nrv?+eeypV+Z7 zv`4GMeXuGBie2+f>7Wt(j9c`~!z^Y(f)#b2UPB7qW#{p8c{RfeEsfRR-p{i7Mx!y( zE`hDE`c~(@ont!2SDwgof#mtHe=FIslsc8xgWPLqLa$|o{|J2HL5duBq~+ryq!3h4$*x4k>;l<#7Hj>Den=Hd|)AIQ)`U&+~)7 z{2|#O;FXO<4HhTHh!}c0+Ysq|`#fKWn()?uqP29380eyW_IwY{p-P|yR!|YtL|!b_ zek1$KEsuB?@!~HpvtwW|^~0lBIbUDZWG_8WbAg9q%jZ0uqu;gFW$VJZi8zGODso2cOU0Tl_xbYk86Q53&v990l6I8oZ*Wf7Nc0c!7w$ zs>|=}Q!f|89a(<-XJa&9)lDQ-Bd%X5)fF2p(?B+@vWrvSk_LLP8&gIc#N9%JW#Ko8 zAm`){2p%RlrW;+c{_(PpHGw&31tXV)Ii@sD*&TK7#q8yqOq3v@0@P304gy71iNW@= z;|kXt=ey<7*NRi|`DX0zb80*J^VGksGo2^0DzjI6>S>6I&8UG}zC~yM6OG(|9Cx&u zVFw>qJtCbZzHK|vzX^!SLE|>f{$a1+5!y>m$cv-LL=vKyty(MrTh)LG!gG8#oj)U? zUdc-TC1RH8WWo9`UY+p{(5mf9&33PTU9S(&Ex$U+Y=r0Rs=Kf*0gMT*&Zw|VMgt{N z-%k`UBrXEug|GvA&b$AIviAUs>eu!_RXPk!+EAq^ARr7Kq<2(AdIyINL+@RMp-B@| zdXX-@NbgO0?=TeUy-HD0{J)I-uJEfWv$GfO!mr3vXY%-Q`i%U%)fn< zVc#$Etkxo*&G5?G(tz1X?Mg{@_YNLaz}=}&ULPYRITXsi6t*Qk?c2p>x;J)T1^GnW zFYMWXR)M3}Kz?=_c{S_U_s}#UgGLeUyx)FLlLzRiCRZ#~^$>P;1PK;4EB8ET^aczr zE%H5&-xd=#TXNqksZFhc^8k?!DGaBqQSFiJ%${jKSGn_1 zMZMVU4#rdU;Dug z(0%HD99QWXu{=&IZuY1}A8Ctx!j2gGElL{+N8JZta6icQ;}h6ZgbpsO+cx&L4Xkvm zgk(qjz~Tu^V*a+uvs%o_cM`mBW8|z5i-j@W|Ao72UFlZ|4?_3cc@a6|lc4QTkP-&1 z2EhWl&IJ7cHq}V-a-tiL)|kVGkUPE^JMpmz@*!cdn)MF~v?%zY;N;Pq*-L^Fie%82 zJu3RQAYx4azWHmy^mr2s2W0|O77ckdM)vo`Ojb)HR=bl&FK7G-I4wFXLb%3ds>vMW zL;5azYb<69TXfyx_}3>sXPTb2gx=$|(YuW#Q>u3c!9S#b1}*PXpaP)4W4z3Ez1iYp zyfpqZV5)YOoXCV^VV%Xj>nAZthGfP6BN%rwYWxB#-JG}%{jtQo7i-oL9EU+Z-MFSy@;bW^*l8gd6P^*KiZY&nxPUUA{av%EB63o08*SlM zru5#4dq?gO3ECW0Q||_%E+>k|pR70&q-~du4eS444Msc_lwg{aFDTY1sMX{+&9xJk zk86_&*2EoS>=cdsmHw&JdE@cwdAi0eVa7Ct7qf{0HWPxiTgs9GyL)ThoB2S4TY4B$ z4XJC@_D&h+CLr7V$t<^}5 zt5e;BJ>#+HTTAT!E2Q6QVWHTeFO)u3#`0C-7!%V7T#my(kI~BX%Kh`zQd{)}G2%j| zRI*?ir{*eC=g!m~(jXv9=Xt?272+b~KiE#HOBB`RA(i4Qs-D+4-7nM*{!a)aqm?=D zQ2Pm&egU(Wf-c^nyTt&JBM!|{B9wC(TU>Zl_Hv0roVI#uPH^U|KN7#sMm z7!62qX%ihL$Ct+Bv8x06NbTM)ufF^19jLi){{qTU~o&(FtsPIrDd znVjTOiSOn~+TuZk6+hDEOh%9I_UyP9kJ~zKKBaKi#9A6Vpj|w}mT`0*MTa%ZD;dJ| z+s4-$Wg}j?y$!|di_jh%rS2wMfd;Xd}`u*7c zQx~h4{ioumo;CetLi5wCO(+Z9)C0sjV~4*Zu~Y3U`u~PQ$FZYs((!LtSFO@tsCIs4 zU$qvr@)R9M?)?uoD)ZuWP|A=>PsYg>qa}~kv0a*-rIwsMHnWWNtH@2V@w zGNl+S%N17*#L$^h)YzNJX75Ws;7=Zl-(f_(Mn&1k<`=Hr!ucAXlP~ZDb=E2Rg`ld4 z%1WDOsK_KqyTy6r8#-#+pNC7Lr9$~@Cv!hRmrP zS7z2%9@I~#*q8i(R8Ql6t&I@Dx;@(_dvh38 zsGz+~KgWDle!)~IrLPasqK^#W%IAP)}RGsZ7QARo# zNE6rLn{97I%hXl63eo+zK4v~Ab`btxT zi-O1`M9yecS})0yg4H^NMRqmZIq$N3>UaEl&-9B#$i0;*1JVQX>binr^Oy0wo*1K2!dI~i1n+o3fDKca3jO=>kRg2Orf3NdJeog3>3 zG{R_1poBb8p;U@p1UaiA8MJ?J*Bqgdr%V;%b0f_0gZ`c+{7&jf2zO{+f~k7yKLJmXeN6i zeUYcZ((#y?2v_D!IFu1ng7d145A}7#u@?Ko6^UX>^z&}X{rseg6?$ozhYvX%G@yW< zr)fU4oN`m$11lw`+(!2Q1geMcd07U&t+by6{LrC?p1TVB_J70c$V~LlA%Xbzd*OG0 zi=VWjutT@EB39ouv=h`jGJkUAa^yUydJl>>lh{(w!?K|X12jL^^zbp6qmvtQhOTdLpcZaT z;;v3a(2c%yNw|&Mk=8B#mxD>~Hg{5tv&>Ev~o-xx8O(mQ_k1kv-;w-%>n=Lm+X{Qi#a% z%Jt;ZQa$3+$$ggQ$@NUxQ0nkSMl8atxO{_sqWsIAooGaLVFQ~9cux{hg^>SH2_Zkf zUDx;!szR_zNDGKJ`R(Mohm2AeVEnvE0$=d;e1FU}N`=R;uT#8|ahInqzYTH48HX7^ zm!D;oed|vPQHgGt7Vac3B<}S@FdqgQ2JoxIRqDIr!qzcJW!o3OQQrw?zl9xbgpk*! z45U)=aMjZ7a8Rr^laFM;w~~(pNAF*I`X*Y+(op7qGh@wFOk1u@CJE_Xad&opC?Y}+ zImW>WM9Ot{+}vDIc8AR|2;MCw>KGu9dj#{yf$u4HOhqqK|6)n<;ck?JT_nS4q!2>YqoF zvPo^Z^2+MRL%wD1A32wH2OK@~_KkKQ>U#EYF6A=Zxhp>`Wg<-{FShI*=Ry*lw;s|b zBakpt_a>xZ4gc^(UJn8N79zU6i3IYs`KE$Dvwu?s4*BJ~&Sfp=<(bGWh5SNAM&Zwm z_4Yy0(Z4vR&U=0aYgr4YY$zHo&xJW1bO~R6ru}&m{bMlt`a@m881LGD%csK)tF^unkv1l?l^()oHC~#-!OV zwMUKh(_98&IQgoyDmgwd`XZ!hOl%yDir935I_^+47RwXZnUQMxCo8P{->Eo9>=R`P zN=M-l9^rGVZDPN?*7a󤺹HQ>g_I`>spYN$G$+%{bzpl&p&4O7we8mp6U)}oX z$o*cE74}_PwY`0XN;Dkj3b6C#p(3FywNa{(xDT{G>$WyDuQDioGWm^a}0H(|hJl!BzniJiK zFwwT3b{TWP+R@sLudkwg+Iz&|{4K*O2xP-gcw?FokBddxq);J5zPH|&F^wcz?LtqK ziDp;GVuH5QQ({du`Z0mo`?lGB*S}<)J*dc#MHIvxW+Q|yB;Wn}3AmXF*De>fKhNX{AC-+VQ!OP$Iu0oas~QTa8hR3yiG{7CV_b}^`dgEr4#{ea#SGiT zsE23eM4NVdsK?vKEcqpam{2rM#Gx|%7xa@|2w|;USBDxoP7OH+K>>C&_WePlLU}sB z32wL|t;(ATrw#!I%*lGvA-(ur_0X3v7M#hP;asKEM{itrF!)_z5(70lFmd5xih=Ko zTw<@1w<&jcIEmq7&u19gwPIMFB*9S$aMTt&rFAyoOg1VbDREPh0Op_sb8v#?kZoBu z6cFY=#M?sI+Yh$JaV~&9=rY>uN|84p#~C-8JOlT^NG>7d!ALb4;&1^};zCkJ$8kYX z4sXB01nu<}zlXk_%Bz#Q1|i)1uRUK7hCx}w4a|7hEp%1~4~OQu1&>R)qc}U~v=iqj zOb=+Bs#W^xvumY@K5M4qXkKF@mt8Mx1LR9@v&*{KrAw2h7~1JXj3ui~a}-m-Iiz6} z0!bWqp=owi>93iXst_>&$?Ny(NMWd!DZW8)=_xf}|FmWAdCt6XKo<=|lO_ zkO$9oT)m)4LflFCq$(kV`H%6oGKwD)<@c~RY~2Ks)rPbH*jeyO4x8V#y#pjL_U?&s zlSXb!`P4M00tyIi8oBCOQxseZG$iW^x0v;qbn)BaAthJH4*Yy+YRH$w>ASFR0To4s zW>`q=r}r44B?yk2d6etq9YrN;A(12mjuMzi z3fD6xXo<7sD?)6}q@1rn0Fk1(aHCJBW`%!G$p~mkKr~6fxb^(uxig7 zTCBN&ZMoHo1!L#;;(pa~ZaZ|p*p7ZWb%DBl@e57A$oq{1?26P{N7Rjp@Hmdp)Qd7) zEZLJKQtV)H>8PdoAfp*fWNxQ2z)E# zi!!uEMZ_&?Xh9FY+L3z<{0TY+l`E4yRbB;FE>rJ<)Nb-*c1%2#LN=&?{bQw$OjFGW zd~Cwh2_4v^q;JIiLa~T0q;GCSl9H>3>aZ%8Kf<2;E|)P|BR=kk!og(fb)BZ$8QZ?jHzeI<4N5i@QNt?5?pLfdn>KQ zp#qzM>D8+-?@}y|pdPzTMN(AirgICS4*a^HVn9nTlA}Z~-eA_iFm96vrq}U)GDwC) zvxfi_Ps{BNct}^2NEo%tkVy>MQ4_HuegrC`5?z(oJ#d4kd$3cEVjg&GmzG1 zKDMYz&3)*`T(pFzIk6@o0k~}m6$)-}?Ip}|7bJl)ue)6NZaT0(o;prhwF4T*p32_$ zSIE_$LlaFqEHjf6qbtaCBpY08OJq zTer6jZ-#vW3sUAq6&*F?>$YpI0C6Zg4;_Pv$on?<_kOC-bM7cZ9`NF2&a}tAdUaWT z5>d30_1m2-tLLzc-!O?aQ{NG!A+Je&&RX|NT5`C_4@l_86kSPV^09f8i#GNd$_&On z)m#gOp!mxlfC^KAlQc^i=>uMX5{Hy%Z_a@NGpQWpn!rKYTg6F2EjW!1*%t>)zQ0AR zj$CvG?1k_YCNw%3k@~vG@Wj;KrXH*qv?|3L(hD^fDmH|3An}H&J17bzUB%UM8;8dg z;!yYfDwwAY6bTiav#8eBczU`&J~zl{jd{!R)$)~=MQh|+^WHv;RJQ#$CIk&Zi(r=p zG07f%%TCAeJ7UMrFP&N7zin!n_sZ0~dWhzFzOPvI;?&7Y>V0|X+?wD-%E9MV7`3(3 z;J-?l5pwk1?3U&4E8(Ow8_aGhRDT;WgkZb)Gl6g0)A*k;QH|ZT33*txw zdqYOtv-p$E>4t2HD|K!JY>BPG$E;iL5m{w)EH1aOJJxDpBcCTdi0{yPme-0^rhGwe zB9oh5HdUz|=h>*Cq^p41eN6wHq642;lgc;A|8f-)-N9;27*Sm#ild%eI!qnSm}@F3 zrSUo6tg7XaAU_M(DaH~%AfN|c&ol+gR5rX*#mwvwz+3!NysGrXqONmt5PwvJQ;?yA zA@=4?NXFXC8b51zQ0&+SoqF64Ic;-QY>L7isu)pGF|Axw!C5yA_qUfu&q<;^HKhph z|G5#uZ|U0lyHk^>{Axdzz^w-ER?zKnqO_5GwP zO_si)_r?o$1#B* zNQS-2hy>EZJBZZ*r~5B9icTO#pBwBkleXndio@V90TuE6GDs|z>(Hu!F)6Mql&#P7 zvJOiwb@+EJ+@@;&OKs}4L&um^Ribc`Ex(7;sQIH-liw+>}e(tptV<)j~m%qu>hZ!1qR-gVa zWWy0ng7J@j>?F3Z1AtI-+qEja<%91pzF)NnP0!LK3 z3~b;M>%+kGT*+3{5hU**;(}W}k|RB6e`qno55y83D_%KL!#AA>9X>F*#lCD!jTYAe zW?-0E-p3r!hH$*CBe^%D9xAZ&??(NYfUWt%4r}$8PNosj0ma~^J^2apr?_IIjsx7f zTiXm$Kzl3|^AM^(-~Qx5-*bBdmZn+YW0$16u%ueX*x4MsXcJ9}L^h(px((3Y zO#;&Pj+Dq7iT|6T^E>ga7-%6neLf|j!twlel@VGaM&cT|u3Hmv%<+?4bo6QM3~BA5 zA8v#3uPXe15K!3LxL}6 z@M>LdIJ+20FZVj~Z*kk+PZxVh2;ZhX5c>lEvQK*up=CF&HEjR`WHa1c!Jtxl=Wl}O zz<5f4X4@h)A$~ADjut`JI|L$t2b-EqH4RKE)&cB1Wn4hF3bmv|eS!8YAno}c$6C~( zRk1Ownx_sGm=!>rvmG{m*0uA)^!yjh_XSiUl}ZZSTY+_zn>gqMeMbmaK+-YL1|0vx z2@n1f<|wF#7#jU&ZOX;WD44FC-Ht~dwEHblG(EP6X~eZU%BdL&{S2>2n2&`*X834t zBRdSNS-EPsGt5!3^z3qOZocYH|k6qCFK6sJn-q z9aH$DsvO$$8EO6z^_K^Cwc{To4N$6B66Alv(wql*9n)N!6Jx>geGLBMf5JeIhHsjN zQm%yMIzwr+U$xNDII3QW^I4r{@K!4GRaKXYDYvHlOV4nQA-Zi8OjKJ;%c{Zd@eUQgL zbQLa?`bm!3beyo^sJ8QbhmG_ccAS8ZmCZk;fuIDN9w9q=LB#L@E2z#ZBymlTfFaN^ z4^g6<@E*Dl4D zDB~t@PVF0HF+kHWKO{K`(jcO+`d|xgCM<%>32n1lN%+=|j2gK&+3Se!PuzH)8b*Hl zS}%3*!c&1p(yaz;ntoH$V1&^9JY(*_t%)hKQ<}`kZnm#JI&vTlzVr3W$Bvlu)$=o# z5PR`FN!hTiGNWbM_i_#1&DD$L_N~wyN3)kGKZag~UM$IT%q(F&$QFk%pI!ji-oL_J zBvS=+`qL(@=IL0VdRCWo2XdkX+Q6bJ^rM>sn%h=bD<}sE{UEbi@eru;bA#jtHs=OoW|XZo5e86&eDdD_!`59DD(iGG z3R$SfuXCZ`zQrXkSnS-vSfn39fUQ2vVFXJWD(S>R_78C^TIs9;wb}4hAwf5aWaSq_ z_t7vIs9JD1s9Npqz{3p;WP)zM1kZq{|^ob|3%|kdV}D< zI}Gxz7(k^%UmjGLjDw_LV5Lm;XhR#5S=A9}bV)0{A6@+Z@|#*o;e=#FwaWP;E<@-1 zZV#Glxy3C-B zkOTUD=f9}dfAjQQKacvEDvE&Bw$jy(xn!4g(Q zyH)Vlo$odCHPKrNyYR6@AN7aOb4!!=L|YjI0m)Q(>xr4BUT4|vz;q8CK{T-FrQyg4 zP&-txzh|HKP~{1?veQfHs{}iD-H|}m&m-2Yilb9Yg&b=m&@F$JHfTbc@6rz~;n*c7 z<^s)Z-P;9?lFuVhX}c41gK-!6ZD>n=bs!^iUo zC~xS=1Q;O|KUykd^=L||+(-136;n5rSsgT{kRxdog&mXCG054L8pT; zY+ir{WZ7ppe;gh=YfnRn{ogEF1w$YnwDiN^oBt43yj}R$yN9Ewg!xUJfBqEXbHQKl zmgtF0lztiT<8ooYx2^U1^z87LWnI`>iX7B{Yl&;=fdqP^XVtmmZr21Ai>noshze}= zNPk$B_|X62`_}2wdRXsB(DXBi2W82!SwR(?{w5({*E6$5f;4eLFoALCLKX!c5s-5$xM*VrlA7F2l-a}s*Yl+EXX zM3T)P9&jZk=XU|t3C-$%M6-UfeFlocQ`C8slY}0Mh4(z<($x{BOKM+CtlyVZh#f?| z_3#W!SO0`Ms^EV-vqarSOPY7EEFdrn+lT$FK&u^w6vypw`r;6@uz9cQb%!}J*TK>8?J6jk%e%|W; z>+7gj2RBptU+87tZg&E%e{KK#cu8=^@-yUz`U|Ge&!|Uu!`>!M>{-;Kb~yJ0)_o2$ zf~~~M)8CDGJ9s{oi=MUW6`1lqeCL?VSx?jylfc<2{)fSmeSEo`4KO= z24R~rrpHMz8A@YksgF`KFXehniztb|XDb(7;~LI8^~H`Wbv=^fBN0z<&nFLOCV_-f zIfAT8dwMpD?ISyc`j*M1Xt>&HTdzT@Ad_iIu9hsXzZpt`Lh5k zhGw&?It?J>Gu974CXpqQ4) zDj<=slLWH+sTG~7P9mY;%mC_BR*!+czR;8&=l(z_&AcnqD9A!%l#&gXA=N3!-u`U{ zkW=G!7Le&duVBTi5)O3s1TE#FEvvFYLiRK72n7>{5$J?!5>#wTv^o2O0ULv6i~9gu z8`?a7HEp%8Ygqc68Zp&4|9ZxX%^)@1rh5><`jpsy;=KLe1K~Hr@|+dPHdsRR=2c{+ zA_tusle|J=j?zUp@7#9(J@Ya1&aH`&4iGCj=~- z#85M`d|A{EcGSt}OT*Dxc!JhK%`3phZMj1@{IIV(RY)FII{hD*oM7qhVJLm5F<}$6r|^L(@TwNd zLAP3Q{qX+}3m!HOK$9BYc=F?9VIiBh7^^{NLl;a5`I>?pL%Tb5;<$uhB+-;STY?sa z&r-C}9kG>3)x+;8)9QBb!D(FSee#){}`K9VFATRT>OeEBE1Kf=<~jKgQic z;aq$z4bGt~B$sKak

2+Z5Bm`BM4td(3o)R|!$8ImOeEch)laV2EZ$4&3Juc9gci|W>EAyfuWFL;|$_a zm-ORULhH9uT>^*erB!{}GaJ(mUdecf4x>G4tgjXX;P#CfiR-`_gFy8ETYOUwL}%!r zCO7cH>3Tk;;cOitoAvuJ0DRU|Y)VE>1j(4VsTrVkmw=N8jsvo(GdoDYvHn}fVq`;o zs|P*jETf~qpM+hnjH8s&Ejc=0=~yR4Fb0Xf6@sQ%#pFs1#Z`A?nz`L|fECQqJ)(th z2q{gVr)#>mlu^iSyE`6FLYWKd9edS+(*l7g{`}|44;0SmtfY6VCwiQ6NQIu9Z@B>` z=NGVmq7Auq64)+Zfd@&Y!+McYgw9i+l9~02DTjcbf7!l5f|!$!H)9N#G%@Wg9nk76 zfHIhfTio#vJ`x7OlezraH3lu_<>CxjBN@;G5mruyh$+6BWhVIt zn#J_8fSp0Job+Jv1To39w~i=zVNX?0ma$+YEC5v#n1zHlLk-ntY}C>mT$%2)PCHk=?Y;v? zs^S>~GdRU6EP$bU6nW_b`?O8k2X03GQv5GHprpQxusfV)`=s;yHM+UahCZ9bN%Btm zDr~(jDmUWL$h{f}VFt=8NiUX1Yd|b99Au}$vyAw@*}mza5uL{8nJ!cVUoP<7=QI;T zf_LULsX~Go1xGQVLrY=gl5X&dR8#bkA}z6%kho+R7}r>atAqmAI&IEM5&~vdS`ZYn z;i%#n#$cN=HBJ&)0o{TN9Htabu*#C;k|at&-&ZYH;_hq}Md9%_0=%~P+Gx z20yCh#d)EN*`SH4s>~zFDn^uDH-99(4#V<$3Gv z$YLMxwA;;Y`s#Mn_DoSCn@)>(<(~ITrHUFv0DqsU-84#$zP6_w3y;T98Hsz5|Lr?%rA5jDNLgFr3P+y z!%DUHb?8R{QZkOF=js=F%-Ql5w-HJGaQxU^A|ZBAopfOzmQH!+g+D_WTgg=P=z8!# zeB-H4nty|au;d#uLEXKc?J)We`xE!&M=l(~fLAxX2>dytzg*{lPNwKQDTh2BhAH7~ zuP46zeeneQr|(@8HXeKi{3Cov{4#tZtfru+GU_W_?~AoTAG(R3)HNd8P_$K1rB*g2 zdcbwQ_TYT&=bXq_v%Io5(N!tBdslNJ7`}gmJhTvbXzsB}$!y)wZE9V_wJLI;5^u>A zmR|U2YNLXGdO8)6R$QZDUF0(J<8gXn4|pkyM}E;hVdz6mFaj{-gHp>Se4GwZky0s$H-`BiHRKEK}sqw(`M~_HCKpyuOXfJ2!)F$ov zTz-6`zd0RFjYguA78%VR7gR2~x3*65e%q$YWX8g>!JrdB@FLH<&t@(cFK5{HnLA27 ze>Ezf?lX6nN0PU3Yy7Kl`>QuF@}^2qi)vW>90B_Y&GP?Yt5$0c)*G&W8+aK($$)1a!L~!mKO>#hLz4v88mFq*db~b-S!1*OpY3A5T!}z zWbC$p>SMkm*%bKVR|e|wlC+enxN#xvPVb{4P7jQ0kt~lq$INo|Wwiz4=Nay^iEK+3 zn~%H#d?x;g26$f=JqHa4zApN1eaF)4nBljR=ri3&a;bC<(LX?HS)1x?_;>2Yn#pDffJeOn<2sz(HBYd(DBe+yo_E8t=2#ShNeg;8NnL zkf&h)^PY|Z?EZtBe-KL*9dqvwK5prn!9kQRo9<)O7#xEJs&rNiXoB++t%;Ntw6+oG z|14ebd(pQK?WVh+I&hpDt|&G|ui!+VL{2c@^t%t7wfG*)fHKnqZ%v;07C2Xt9P@zL z@DqX8LL$u}`O<4gdEIQJ4I3&PpR^>F$E38xSBRQRQz8YDP?({DJ-8TU>Da?3Y@VE2 z3HhRaU%aQ}q@t>mWgMSal~dfL^n|2VqY77{Ld951<9Uwd*Fz@YsD4qng{1fza0|_< z0@td{9~>@3X0>976?84n-6XtfC4cAr|1_Nw)tWIeJd$Y+47_=Lc<~ z?{N&PTrfSbit+g~3G{W?6zyPo{`WUJiNAnOMjX4*$6(XR>`gEv$01pixwmb@VOazk z8=U9xvB#~k#8$0uW`;Fyv}eYPYui0F(MVnz>x`*S@$U+hYDnb zX#rL^=QMTv`*0MgFu!7wrayYVQE_ny8po6Ihuv5>0%w5EatgVe`A~jHRLp z%+OrHUL#Co_10VzJoeJD#8X&@zt;kT4%=5iK|uXi0&0f)U=x z|E*bsM_%~XdjzJ!o>X3nOx)G8!ceu`-((K=CT5;{^BsmLO&WQ>8V>1QH1dXyg!C#H zd#81Wgqaw53-pBG*cj<)HlDy@2;LmjpTOS`y!osCBsTU*boJR24G&T;thJLub0z?$ zXn2kWW2T&ULVOQxS!~YS3!bysxb0};qQvRFCvj194=I9#l(?t>+(iQ&0IKSS%wbab zU;xrq8t}+lhU9b1Z$hYoUKQ5%A$LL;;YAO=?)Wj;RH3rlDH~ShaZx3;ee|rdV_QK& z#SdJCUxQW6vOiER&&kEf7bzCWjVS2wNu*)tNq2&AL;d@IwabGwNzehIci*PJ@%F_6 zg#AGtVCZyj_r<6{p%C?7NDwGI zispg&$WLPI!K5$5VChZL9Ubs&+1@InG1+I&YO8Py#|&L>pDiUl+|006Q1c^nkI1}C zkt}^PgozIs9=?kYL5#|y!2%7z_`sfOtPQZha{(X5cbuRGhrL#*{4=iN8@~m{l}UZ> z1YqJE{+?eF=5=Rdc!~h>)#@q_tVJe=0FDM5Jps%|4VKGgj;SM|4szb}hbv#J%JUz~SJ%Cnm|&dP z3V2^&;J5cSlBA~ddw-yemee{M4)$u*-=S^c&{Su_iAsUTFkX#|v#djPni{Pp+tk&0 zu)&86wf#vlM1ZfXvtqrSIymxG=a`z3{5AG1K*`6q2{HebH2x~%Aj$r19M33!O&j1^ zzN8cWebGd$jpVc;K*c_vvZ#lj^8SR?o1zoOt9o@59p;-szA$D%XG#_F!a#m9wOs6x zX4yCzk*fC!8R{vt@`OfJT1<$Qlw*8#jM;IWa71%VjjTYy#&1mp8W~sNV&-VZ24S5z z#n?sLVr7;-ioK;CmrbP2P}xt$5St-}-gx3{UOzfr$?%>77o#7HMa#BbJHcAO7to5U z^`jG%3I|K5O$3+%OdNGIaw$hjpG8b{HpD%SGx(kvLTupu3);nqSZ&174@V&Ad?mvT zy#E^!{-}~AwK7W%4bxsHKf>(J83!?0t+~?$6J$ZfdR+^)x@m9u9wfU{^=B$;?ooVG z9(keP<}#w>mCHdu9`>0y15R0OIs1ugu=C}Jwj1m2x;X@P3k`4 zsu#cc!%MypwkN5%`o2^lGqpDZKBs3u zl@FghgXCW3v0-ccoFKy}3^=YnPQO(6Ow4ve{U`IjMG+0NXm9E0!kB=$4_S2B({!f;}FZouT_Oh{=rc5wSRzqoI#S0A7c&d>{7bO zW$Q3-nYK;a{m8nd54;WIX_;4pB>K!|;fB`1n%eEWVIf05Zv2R#ryXT0YR=lun$Ef> zYGZ~e1Eqq=6z2&-W6kHTZ^NezDPI1yzr9@t&ItDZarI;L8;LDUFtLmA=p*sn zbjeuvD`_AU`nvf8^B(0z#Dz^_dBY`D!##DpGkqwBn%2>hFihAqf=Wzvn2epiMfW`&;R$-N0N9b+0ic_YbFj}w#7g#Gu3*G!p~{W zI2x}-MR9X~5B1A1Uht|AnXy2^ub`|rwEsdsFj8gDca!|Lo&4~}Kbn6{m*V7*KaB48 zs#ZH3?)PG>5q@G2IJL}Wi>2XLl}n*~IQ&-U`da^9k<0sPAwUV$6{|V$9mRN(tL29f)>eX9}MJ`;{?_D(1Sd4U} zMpHE`eyiQv`sB+PpES4^Xo0V;IYI%p9tRnBWUUmf9iyd zi?8G!VV)@(6XX+YOD|Qxls0?bSV|# zM6+k?);v<+_)hLctc(EtGyYsax|6Wa;xLRBxOwSr8r?!HLmi zF)SCXm}E09`lM5Fa^ldbI6MphyEsrIsdv;c%*<3gX<@QgiW!1TdZu(3l1zOkuu=ac z>lj{VS??_o19#bqU;m28ggd=oJ>D0JLAgGV-GtdZ_(%b-@K@DnhyQ4*>|D|_9r{3n z>cJx;(};Ik4}OhTIN-)npsbzo?y*AASGO1V`5aQ#O>n>-LD1|PVE-luay-`Dn6_v# zJyuN4sm|`JK3iUQGj9uHUF~3{7?y1|%&s^8#O>PK9VhzXw~(t$nF$hem`+FEGbZi#_WV*AJx3P5l#0CrA!gReih2G!uQn0xexnV17^ZB1xm}8FxHDanVfz``ywZNT2 zhWtBL@RNTA74p?pPVh6ayQOvTGSzpAjGD_afg67 zSV=o^08gwE@#=rTlEXSDqYg7t@Jfd88Cjx=nK!Uqu|&ZheZt&V;YsEM&v1ybys(6^ zbg{yMjKS9YY!v;I{$XYF4zJj(kBXrgG}OKbi=DzaAbhSB-NGYX*z#tRw@)=sC#iIZ zag+UAOZ_;wJ*2xf=hjl-oTpZ$7|BA+V{aT>`gQ9O*5EPT7h5Gci-!cEV{t#}I!GS6 z&fbN}DjjuT%I%1#?7-a8I~!D2jXpY;X^PC=PunLS@xb4H7~+<0!%1o&^+Mi3)_d(c zX${`$Yhg*3U*QHy4ckzN=P3OUyu$A&3>&%R<|PO{cp>Q7M$_~r`U_dmQtumxDz4`p zDL!BcS&nwkX{`|W9gNL|_tV5q{)8$`zu7QX^)>qvA>=aNst9~G!H{<;;Qx$Z7~)VP z7!nN_65zp5#|z8+Ip?D^963Xk%2j_XY={GIcj#FQEzCRkz7RPjEq)|nNKI>}!E@8n z@`@Qxm}gy;R$9vO+_IYKul>IUB)`m3$Q&F<5N$dQ=SjX)+DA_WbaN1mVeUevf36^&YU zUUn@@t2#GS%^9m%^hFUqk=4VMdmm(>JfrIx{QP=tbXE5yLD=&EzN)6;y}$mNo!37I zx}hx2SaH42zoeb4UpG{;_nOa|bs(+sHn-JOrh+k{!FyDu!Udu1>##^#(#PVBe)8XY zpyDK}wC3!xcbCH-#+(w9?j_Z3B{7l6&};r4lK;U^wmGWuUW#A+pMoBqdN1i++2Gr6 zV&6TJwBB&*W4Mb+eN)0bdAtmsdxX|WPUfQzRNrn0?4Ip2ykZT=SjMn-%k9^r zywM?~loAz!OM(_(3y8+CvK_Jc-^RpzqO4y;W?88EKJTG=!k+v;1;oA2CQMct;bUuN z43W4$SBI`Ept*}@hF zlhVSpamp({W**13G25cx5=>M@w`?IaC1uS-fuD}uO}DJWF*P3<(X6S^*kqJng*U&a zvRMZe4@QkGdP%A7!MuZ+*N4W`Sqq5FX3bO$OtFxef1e+xqo0$mYmp-~C6EMu1+5v! zhG~%+ip7kU46j?6Zy0sNZ84=1Btx6&lFYZy>^!Z#Ti7rvYp$s&RTu)b#V+{i{N8+* zSXtmG6RS&Y$7B3^GB(v%6!FBbT6LpB&$w;AoJqN8ZnpSwPP!~pCH|4WttTyEys{E{ z5B0+=DF3V)3ew1WC~yR`QhogSd6ZBC{LF}F|Y%pbIG3>dSNWXeW<=300OgJ_VU^KR`C*?M^uIf#vV& zXxP-N0RI;SS#U5UQaLd2F0E7~$1-8UDSI$`li#U<#co%Nks!;9#BlHjAj{vPx$o;j zo*~bw*hr^}Y=}qSBGgG5KANF(9K6hz8Yl%m=-YK(w3o#uc`}+2$Z3x{+{r+HUYrXU z_4cR-y7;LcqdETm5uf$YkxNB(T}4G7IHciW4@5rPVwh|RyB@)$wkijBKciKOcig{x z#*eumj*$!JXdAd6=+e*bzoYAEOfBT?@Q_u}F;TtYdpod|Y}q5-%?2m@{Kl=v9quF9 zX}`aLm0xf=#z6+sCuM%qplwc1 zN17D+KL`uc!cCsv48RX5a&3JFHMAr-X3&J{gxy8sCF{7J)a|NP981sZSCc6pt#;;_0DkSVn`PZpGTPLm@v_lq~2`);1d- z#=%3@C?rJnv$S}}6Jj7lH5?Sjiy^fx2=WA8#xMpLci(+G@T4|2M;8UM@Je+Y3$ z)Yc}*>u3bi!f{NC+r(2y>4e8{0(GfQI}~I|@oE%PEht^Nf=07HQMvq&ECb}Mh4_)J zlp!C;yFvvz$LZN!Qd@5N^P{?<#>mb?L!Xm_)1?I~5}j7ZEU^B_P9LZENPq|Xn)q@wJ`?MFP zFY;@vP3N0N+mp2yI1hD}FJuhgj8s}{yRbx6o2oQL2ifA1-s^m!_QVx6q)FJ};0j4n zi2po+n`}F6Y?(eHJhW}~B#mwUaN9rJ{wdV%av$D`)sitIUj-rb`qh#zV&KEy^#;Bb zarktEG>#veWXU?R@5nV~$|n?B+{Rq;d4@a1Yw7t#J<*;i@8pPGZpxY{TStJt8(h~9 zAgZ5V=4ZX_2*9!7bqG8{RH|L;L1`v>-RlNNw`lhje&u=QUlUWOw124IuNteS%h!=0 znmK_|zZkxy4GTyk{{LZk+^ZP!?Rhb)K1sbNsS~Y=>mvf0^~)`N5LLMg$iIS3E30*wd#rAo9UVHzIn2u%1e5VNA)?m?fhaxm9O$bHgGP}!1!*>+H{cT zJ65DAG+NVESw+XlBzV)57-Rb!^CT0o{!Br5tjI=C0^-a-G$A;vHw@%3cvA31o`+^z zvR^0!<(U(r3(dUUOGA{H;0AdW_fB&C_astV!V8O;T7XKkg4Unznk zPRRlK!=h}axhb5J@&tQD=ohMpUJP(uiU00Ckc{OvWuH#$l~F^aQY46eGHwQ6gnX0P z))mA}ri!t@f(cmhzk%LT+@A;76I29JQ=21CiU>D;U<(3$X__FtT3tg+L6);zDxC9v#$7#ju75tgZ- zhpLhY4yxx~w**|%xg|{i#m^HHcCy-{Dxv)+RaqG=6BKtQ0bFkRM1b>5+~pJX{6l{M zv`64I-Fh$I*^BWAcL$};Am=TT%y}kG%3tjUfdpR`9!N%IJ|0UOO8s>Gjm{N+LcJq^ z0(?6+9wnnQtYHx5Mg0O+p);SHGu)#ENfp7e(AO2{5O#LG)t^H*wHu3a9%NFAj z))IDaK&@X#H8wHw8Vedc9?mv7rMg0NU^s+>1Cdhv(*SZfGTn#26yi&tlIg+p9qldU*oki*q@XnP9k*3= zauB!64AZ3CCa*>$WF2dpHxj|v7~QWa6I;DkQ1S-W!jzF|{N6@<4ko~QG*n2z$}2yZ zvPsGM9B_&~5Qrt=!6^85)c++Mg4a&s#dA^ON{xTla_ctVz_Km|a zF;T@A0xy}Tl12R?-!{5gve835Y;8hL;#0s z&fn=7#m^9oI5wYfpG}D!G%`wq8_ZvQVM8y4!Rvp*C=B&k6ak1M-fpxvJkG@!Un;tg z=)Csh&<|eve*#b`DfdG+{6R~trz(V0EXZU@CCT(*7Ja>Hx}V;q0}h>RK0YZ zlgUhvu-yRx?y5?E)SLnBRoh@>SB*YLFuJSh{{f8~>hV50M||OkhEv(h5algqA8hl@ zgx6>}1D2+yU>#(0E}*T^nh_C)-?ym{ebA#63EJU*=^yJT`T~3fb^|wqX(>ZwXyd~_ zfcp^?qp1m%ER3k993*h4I$lU~bJQO?NCI@%cmMfbR6_23~{w`;?x;#$}4(r=_{-G1LP9Q#mb_#rvll=iG> zao|uN|EVE0O}S6KuQ{Dn=q-y8Z{?_CtOAXiNzd(Dwx~2@s*I*xB|xpv3QYhTUJg5S z(O3T9Cgw+FDp=Z|-_ymQnQuz0nz|;DtF&g&EwJZLgw9RRIMZm>T7m<|Z zwcI^0Y$3RBU}Vaj{gbV(x`;b{=$g*4;{*&bKqDx%g5ytGxtX6n7AWe71%xL{FzDjnMWUw41$FL$e; z)dq&r1FbbJ#wU5lSZej*cmfY0`8j9i0IGGwFd~7zRY()qG$_;MU$}TPi(O&u^mVK3k!ZUD$`-OX=&due;)_+si;Oh)%iIh z^?XetNgCNJ@;Xi#5i3z6TW-MZ47i(~+??b^$%!0%QAL~?BY|}W&d9cuY|(K>2r2?4WVjtH{^0TtS^+P zOxn_@k$rOWo4Fd$FZ`b~-0l8Ygn?Lg6 zk35YXu;SeM!XIdbqnUs;frG8CC?$9vUMfuuuVH@<3d=pPCFQ|V=K;s|BbO8AOS{SR zV8N+Em*m08xSChkXN)09U0_@VLsPae6^X>P8nPVPI>rdH>_0M#wl2PfY>(Npw6QEzR8xtTS61QfkEzK;H zzzwj>d|U1QrF2{o^A&-&DgTA(M8O(y=U@603zK>u7tTewQ)qwuqDhy>Q3|5XKvZ-) z9i!L|x;>y3xY_*xY|%A=#K(CEUGw7tM*lppKy3fK&xJmNxd@i7^ODsiubBc1;fQ8M zH%9`%>mLUd<~LHm+!AqVzcZ%A_{gz+$UK(h62r7ls5Q+a>{0V0JcfzsRdwZ;)_U6Q z;q+*X1E#7kH@P3D)1xa4H)3QVrt)9b+)$Z5(K@ew2^_MUx)JTE*X0(*to?x>g8|Rn zA-N3)GXl7uZix++SP02xwcMfxH8L*Op+;poT^}y1p%YpdrKsg?#fn)iV}L3h}tA&m9)O;I$BR)Pa~% zWJfAe#yqg1GNGNl;Z}99B%h4yGhwsRyUZU%I!Wp(b>y)EX%&Q?1;Bi<41YSR+ym&= z5CgvF9KxkFnV}$HpRVj4C50n2?ygVHWGk1u-^C~!%{@No`T&7LX0Syya#g7rPeE2qj8vcTEXoapfl zPlPMWHyf(MC8_y_m`ahll&+Flz2;37gZ+}XiLnPFy={dI!~+IRkgEZ=aUQi zqC)tZ{Q~LLSS5F|Z^&bh**w|e=q+4^_Pe)ShZr_y88i`Ax03~Jl^-8bZLX%I4YhsA z-r*+CEf@rh-7?jBvLo)-^q4*1K`Y*KTPc^$>Jc`T0NH_<z6EM3alboafY&46UMSxab^I$n0>%W9MVeXRrXb^w<}Npp#@b56(6A?P*#jPYKTre z*ld$kRNMmp7)l+C_#hXX2^aXhzk$&|7v2aBoib6>ra~+jJG_cNyw-5wiVVES?zW2e z=|xnvaLvU<>9Hx2-;%lc>v%6JL!hncK5?+t;C0P>)=ThyQKP6m^tfz~Rqaj2wYp2n z)u-pOK)1nxOLfs0Kfx(y1Z<~5+cP#C?w%2s7pI)R;5rrXVAycvdq%i%Pq#Ss&$iy| zmrZM23SOyO)_QS!mvirRAi-;xmUdu!l@CMQ1uoQ6#I#k`5@*#+B`;TKS!~_To@r|C zs2M~g;r$xWGBTAEex3r=j#K_g1GtIm;WY>=trL>H@Z$#Ll!#bLQhgXIcW`IeLXt9# zV2f+x)f9S5kA;zd3rv~cX=};w^kG<{cRBN#xltga{B>JuZoXZfsF>uMxUWot06e?~ zjk8uzZuxUBWLYCqT}2Pn+WIQm3k2wrCXOatr45tiirjLuAR&F}hj-;FgV~HL(V-J8;h(Q$=h^4KwQn zCFjd4TpyRP`gc2I=?8w7BQ6%6#4N$cmqb(ol(Q#vU%8OFj{E9lqubv*0QuZ%s?3b8 zwDbK5oSa9&v%gebnDZ9bH#%W8KR{JgMh~_3e+^ebBBhm%=09cU2io)O0)RLT!Y-%} zrb`4R(fH&IlrnEVr;)LbjyP=fMLC5Mc)Vup1V*uts)1QK2;lAo+>y!+AXMG6 zFZdF9EICdbnTwWqvLx6i-0N0?9&S^wtuwjt@#Bij1>`5(uB2#7Yr~nT^t7c~>!Te^ z2{!AkWZJPb-n*vvA;lyi8uu30*2bhatvqTrx6_B@$_>`fNn*ika3)u=;>QLu5{zhm zmzN36pZmobpJ(CvG0}wnR>nYy6W7;7)3@-VI3t9ifvbUN z7+Cn3=C~Rx2zAWE=$S+wfilKhdhTsmSo9DxK@I;r3+XyqJoP;qvynhl+fUKjki4p) zVJ!7T3MXfHJkxM=5;0eT;7@vTQEiF%&I~Mr3Ex4eQD?aPp?yYzaqshLLCPh@^vt`e z+6qFl)d5IlRY9}!y-gvpm#(Z56gUQloVc84mw)K_6Ln>iph$q;p)#6a9~y{-Abci- zLJWKBUf`=kPc?M_)OHwwP;KX^s)<1IMq-k6M%v7!^vP^71d&F+ex~NJQyNfm;Y#g{ zn1uF)`;?7BKq|~B2zXMs@@8ToSfA>(A%cK2tFEe^z$;C-Gqje$Lr?giffc*_s*;3m8)aa9+W{e%8`sO)k}xVDauqe z7W4Y#f(#>2D{gMA6&Nd-8Hy87ndBo;iu#7*edHKQ>kGZY zp~^{xhnprs8p-MyLWgDb)Hyn+<=m|cgwY(bhBPgpq`eqnW|ZCIw3PV1;h+6wg|+qf4onP{%p%0{s7%j8t!05sDJTV3lO`e#pz)v# zT+QYk996L-mN_=03-u+{2TC#JCxZkqrj?fCCltztIe0XnOR5S=c$&?)Gio6~qyNj6?phdyQ9*F7|CjfZlKB0k+A!oGrdyn4LL-G&%n8d4 z3RV;UV^nb^sLQ^o-Y(cjVLZTpt_)FWv2Y8FY?my+QJ-azt%<_uM#n%s##?1*4KWGa zOUEJs9T6OXB0eieqZW+Pb1aKy_pScI7C>%(X+yWDSu0yh3B_kdY3?m|M77?DI z;z;6H;v!@y;v=Xj>Af>>bAQC-{U0zylew2%954u0{7)rpE*8wvGdzsk^p*>0Hjv~0 zg!t~sy7}X=??0)hY)rCns0kQ)3;KX%xNrPgD!3@N7xd&b0-U28x8wI!C;zB^jK2lX z!uCB^h}IE>4+>X73unjVu!6yQMk&QYF8-9(%Z$n3BjR;_Ww&dyI=a-F*5JrxzHK%> z*z}Z544>)&S&Bs?4$7bzakES5U={=bX%f)$=s0{2?jb=!6Vm6Lv50BXL8Ap?yHn)n z7H|I?lU#h@m;^V31IOfpBv7an%umNeAB&3RbZ}&ph>gp>bF}k}^Zefp?^FaJ=LE|P z{3R@%r+$uH)TfoG{aJ}Pka>Js%xApwjPHE#`zTS){}|>#rUi(A^rr|Ic!~fRny0PN z09ylNMu02>u8&OCTM|4sly-du(*E7}(>02W`81NF%+Gvi6 zFqE_6#76$eO_-lwl8dtp^df{q&>T$wcOXf6fDU`;{{bnTuNvZ8|A4@!;1ly;0tzs= zr4K0EzthEc>HmbkV*L{#P$bL?K#>^rN&$N+{|eYsXd{-ud;xsN-B)jE6aKwkm|oJ} z7rpqs!MWr^$k>5n2Leu*z8HTGX!18F=3dXHFNKSd0BZ0=fzMF`RLjf1ien%OS=SgD zgw;HV$w6roLtz45B$OBpFSlhbT^!HtNF>3&&8Z_q1;VBEU{IR6Bofg^*~*YP&Gk-QTP2+_aOp2zWle}K|czyzMUKc)TN zl{}^W{zhxvDeC?Ky+36M;_$)u?!saTz>ynx_dSRU2)ZW++h30DR0SZx{r)%Ye?kXn zIpGJp8;%Rn099x`gzsD8(~*Dq6a?gd14sQOWr4H1v`_{|#Y( zJ?P&7bS18`qP2|l9o0K@F#n4_^$p0PDu;12A{$#^J(bmrAy90BB>zo&vfuapJH|db zG_bAyK;ymZ`#a5FBBG*+GP93PWKQqmP<6tZVA|>m(Hi_D?F3fW&c?&d7QoFm#LW)F z&91`Dp25xjg_}bb$DN2jlqgAO5uGkoITaBXzIdebkML=Fk1S8-iv{$uUVy`EFQzak z`lhAXf}fn2`OjO)I+0+V$m{9qsp@Gsu^7OdabS2F{ly^NKd|;?r{er|+Jjl}g1nP` zpmZBW8R(by=p&MdPS^1;36D!%4U?~mC4Kq$%1FKQbRDbUz%Cg2O5BIY?sW);b5lA8 z<(Lz9g~MotgGr^seWgQUmBYuvi6H;CIH%f}m^QG&NU(v5qNDy`;b;!*`k^omDLI^9 z?1MwdPYzDTI1JCS)P>hs+e0&<5gG+{=;#palA6o|dWoQTJXf7$L@y1Ph;A$`uTq1kH39&DCUS z1{SMyyXl~$OFb-G(pV5)T9+i{THZijz$+FI3=suy#Q^vEa)O9rz=}YsSOEh^QM?dV ziT{m!y|*Z#J=nA-JO2JO3a+M56lkA%7hlp>sOlfNl|_PC3x9`=A=l|h!3*>ovF;r2 zU!qYYy=4%hhJ%;a?rv-N5dN9GPgRN=5o=j;zz8$%4OjsNpkR7{&e|WV2fC?rx2d5F zxA-}i0DV~xyGk_lju>b#Vi93#Eq5ww!*5VD3+%pC!&j)ZIB5mWmFl)J^;)&M<0ESp zI9|L$eol9<6+Y?E=N$r2pFh+YxL)h4$uNmUEZ_pgw4-QKA_o0Q+dMn~s|Bdi*GUQT*c0cmm;##&R!AcI(JvHpTkDun&N+aySQc5!j1Lx{{-N#ibZ@T?7oYWZuWnRB~*<79|aYG(LuqV zGS(5T4ab@i50=6@V+LE`VvRk~x5-ah1?pb4h5V6B4(dVOOhK|pshb3dly zd09)w)egk|3+jIlWjgy-)roaeDm^yi{~hLUBdr)YX8U(x=wccMC5_88$oPQ~OHIAd zS-Mc$$2N>p)REhpL@`6{oLfivo_b;7Dix?snQ|g-vgUy^+gMT3ZM2fJWs$J*te#HU zgfLIaat5L%|MNy2ks27N)m+Is>PY)7qmnZNoB@#)tLaxJrLiJ(Ba)F%H92N5%%)$h zEkcS+@5=QIEtfuyYe99IyqT?y^#@Vu>BcSRSu~|n%1_8QU{vC&&*>;W#7dN^2fDZ#zUiXq2 zHKa&$EvBGt*6MZG&Drs(8Wex+O*raEeukPV?x(es^pX4yHFamp564z>dW3}n4m>G{ zZ3Lhlv$r2Ex3T<-#M-ZQ41uW_TEJcj{Q$yD;r5O?MTx zb=)a)if~j)a8%Vfwy?}Gi@qMH z@>Fg$xqZ{&YZIll+(y@WjLc03;}Bpq=b{x$ci-lbzA=eWf5kbUqrpCn_!WtV(v9Y0 z^if}`ejje1boTEpblB5%#X1)-{JMa|*DkIn1u?t+dGRcAPrrcFUyXYeBHHt8wJ8K1 zy=To-2A~LG@aS*l5o>ASPM=9(0FLuTIQD1PCRfkUdn8^yjdE-$Kq6mr$Z-k&nM`NU z2HT4$eO4W@^#uTn`Oqmfo9fT0cs9an6HiU>HijHwoCz2FQ~+GTb2sp7zhS9l7IWj&EH z@QO6VnBkM^t5ssiil4G*f+1VSffT{m8+8Nj)MrDx&tRvc^DYOQyUd+ znQZgQg>cE|k}qTWoIdX5YeL6gzHvnz`IVm$_~d9V0%mFNvSQVOSx4kfsd#3u1q>b3 zf6?eA>qdvtNO&UU{cLPVV2V_f>nCd?(qwce+z|{n)rs&*zSIc{e7ed-mS`@4yfG8ba7cJ&qp^x|sFql;N&%M(Z1&rKAQejcpA|Z$+hPsY&;SZR^`2t!Y|7kDW zVx+fdq;vZ)i+wP*y#=ziOU6gf;B6>0Ux@bL8?8NqFC=QfCnsM^ZFmN=e;XabUnDj} zd+QaVQA2>3TYC`KkBhsG{JDfEEwsLm6;tk0FZ5n%Ai>cTlR4@o*TCaJ2m60XbEg!1G-wQ$~Zh#Ovh0I}d| znqh8-_5mA*$5KxVm{^9_F#CGWE0#oXqo4#aMfA2r6Td)KkfN+df|mo;i38W>=ZaFVxCzP(IJIFEE)P-^G@q$; z-O?oB^{ChQeKA3xH(1U|vUsPN|DcWkmAroc4anJrWGY}Pd+PmE^3*^n`*1WiRc#sg zt^xaKLatGSY3i}^$;hgTC*)ztNztw{hN*C6e%tgOp>n>?e~ur&R_8P9UelrYTbQSx zG~YLTy}nDB>T8FtphFftWrsIk`TJc1t>;=k)pyt<)%Kl<*jN!_b&_5%o~Dxf>m!hw z!cSK8Y$reS!lPCf6UXzI_jX^a=y8^8Kh#Ia2HsV1&3Jm8+i|S%^^KT&mHG$QSygQdok)p zrf%zC8cj3=``p0tkU(B#u{rTxqLN&zyswICkS2(XQy%-?7uSyku9}1+Zu@G)W2PM! zC_oR|o7)R%=OtEO&cZgE6k(oeJygXKHnXg-4A*(PdA^U@=J4h@@(h)u!iR-o6|p^W z*W!rVU)TZ}8?L!QVo6Kh;?cRoKZJOsAf{K@)KsO@U*Dolk#CNjZ&Pa$Ui=VJ2!IqXpb||QvmY42xR0sg(m>Q(58rB?+rLeNIEXH zxRx_WMnw&pCPrNW`~mXmd0fq;3l#rcxqIPzwDN(I24AtH8{cZSQexgt0xQg_x`h zL@vKy9h;;zeh-oiMrxtvM_QgHe-({gFj~r<4zdN3rAGuLpf}>ad#U-0ZOHINkXX5z z;6hBtw_y5^a26_Y25=`KmK=q+c{l_W>pfhRT{tx*0rIa^<1{4r^`tM|WC;-R)9TcD zSQw(%2u{pNJB6+Q(KP1=YH8rpXVnyckT>m3={q+U6iiN)Yp#IYjO>Pdh5Q0}l5x>m zDJ~YO1lPMBp3fXX$q^C57Z?>jP&2th)fj=yB)zoP{4NPu^L>3fjwu?=@O!01u`K!# zeid4`a)WgXx$rCn_;vx@1Pw6s-SErU=NF>2k1iH=`OfaxSz`(GIeaSP&iS2t>LN3X ziI|6E@-M-QU!?X3u<$$mD!Z_~41*J~HqodQVvxNrk$tJeabi0`;LDMX5p6`P7f`5zgZS?%*bh} zDWUJu15ExGpeR#=?l#*3&1b3nl-S+k9nnivl#TM)B81{y)bsL2U6Y1i@MEX~z4Ewj zDIE~uFU7yZNR3uA<$vZz&Vv_J6_*i2qp3ASxgkVAmh+DfrG%ox(}*I;nDd+P&xp;Y zy{H&>!Ou;?L{l{X7E*$a{4sj`8yel4>w1Up6yk6gDMFOo4(>wO;+O3b37F+AwO(iy z3smHykggrm$VunxJqKM1afVRlX%M* z>VVbzd=OJElPH=Z@ii|%m@S&6G$MDv@>ROUtMpP4g;_G%0}PzYu!vg;`Au-d zImiaMoieTkmWb^Qg=`5T5{U!OObhhpP*HdqC1Zztj@MI9wvvmTef}}Kin|33IKtW8 z0$6M$JN7O zn3i%4nTR)dXS2${{mxtB$iJ=dzOTTu-HjI(3cT7lR6bej;twpj zCVOX)8`I{UlCJlQ>RBPa-ZmS$hmAAL<7}Hv%g=lMuM^pVzSrYb1!hOZvNtzTbS)=> zt=%f@+BTzKua7t0x%_sdA_;j(U|^s8j=4O#e&JmQN3f}ox6|dRz?B_@lA(E zKqqSzydM*~GF|OTFsl)6eNJ4K1KF*7*BoaNP_){La5Z)iTo|92VG%&5^Rhfbc7rw8 zPB=f=Gw}$nroVrAQD?G=#mZ)uSk|x`y{aZrYvWetSo|9u&jX6p`}NjpJH;^Kq)sn4 zTZU`r{rcM*C1Wd%rBJF%S-8Ks6TGHk4IOJJvESg6+c`c$a-idJPv&%^ zqOQ|PvFoI1b~9Dh;#(Ywz51cq54oSV4b8hL(nXgzlW%z$x|Oni&57H6k(~lKuRGA+ z^dVNfNq>W>OC;K#Uc3ZJDR~~zRW;$c6K>v8XV`L0ryR>0;B(z8K^g1s!AmgL;oMkz z;(6KWkFF)AzW3=Zz2E-jTMru&m2B&icHW6&VV~Qe6^!++cec(S&bnN-vcJbl-JNCZ zFLkXb+mqQ3FxOaWy8B(sK7Ji&7>@8hmag^IIzL}Oi=N*d%eWj9rqT9m^K`Q{R&=3I zt{gzA=6!J0sUV^v#x?e_XZhHga_21@slOUj?{cjqgfl$7W~{=wR86utZK&Vv``Ii* z$UDsMYSs@C!q@UqM4`{Fa}5R=)}J>fsjTCFG zu?jt`9el?l!Fka_lom*Q9TR+U>0~+A5h0$oLW}!rZG;4KbagI{fa|gUEiN zhd5^as$Oiz=8Bw0jy27C0L^RGkm}Ga>D#r-A5yN!=eT?-S`cg(GFj(k<9bM26TqKh zT$8w&@w|987`syX+4hHHgGuuE#V~aOPqotzhotSumwav_8+&0BATnWNpBppZo7$4n zH{@$_+q#FD4qcaDy|0qj2~YHe?gOeerUM441iNQb-mt${wpPl!%fC0+E31~Kb}W%d)DJ6c$o^N|()_UL!b)=?yLseSX{km@z>RYyStw+A; zsu|Rz!e=B+pIIw4bhXDp>g-e_iY5oX!|G|f^? zX|hde(*Fq=rYvf~hn|s>`hCQsM{h=Z@%>8f4$WR|rIh95vAmm|n9RIo?t{*Z=HisU zTWc{}LMc+qf;cDe=Y1n}6)%?i5gaH+$DJYeyRV>1^ko3m?C?UJ4^64K9xSyEEwzE% z1*+}>aaX|Y0riKD$@P!I2lhvoj5=DPcvWkrl853ydmmQpMYxpDteTm$$J}VF_qFQh z9cZl2b-$RQKz=dSb<3@399Pe4{@&|o$yt4O|6~6$P9kw6pZNI9hK)C>Y?6$1qWio+ z`gp>&dfthoW;JR_uc}7Z6^FNq|FXod$#R9Mvos=h;i#9B4D zqDjVn!*B0+XV;3vWG_VhYK}|Hsc|=}V6M#js<8I8^Cao-4)=%CM@k861x2h_*jHg~ zUI}=^0`ZqA$CCEd%O%`+mlf3VuSI5dSdZIfA5WLQo!Plq-rDgXSD@>)b1rvu?FyPq z>UEH~Ej;+Pd^&FLKCwFnWbBE(+@V$T%8{u(JSo*)_1)e2Rr}%N$ImQJvZA)*_{5vJ z2#`UIKZuwd);5qVm2a-ek5CGQ0vhQ)EWjVRI2l`1ixJ^i^c!sGU$w*A;!aAz{7vjj z`M0!%E=$(7YJRqFNy%{K{3=lz*16$(vA2e#yc)8iNKQrScOrerojbj4Pj-C2`ofVa z!hO{nx>4sAqiFBc`Lq0$R>p2Q0snn@*8)0;-`u+Mwq^CQw{OXs%id=uVvQads_Wb~ zM5^e_Fh=+8HT^3&c&ez(m|enX=ARESP;`1)NhEAdyH(Usjv*UdqR24D_dV`Vqhd8xUnG2(QB#AnZvR?B zJ0V@XabHd|2d>k}#PdPmvp83~a{<9DhzrpXe3qQ#Hx=}GZ{j*o_4EsdoA~ga!g!@Z z+L$KdTwm#%fAmS#FbQ9hGb{n@n$VDAS+xqQy!#1)lU2KSNdsOFopu7pF8$Q#ic7$; z^74JmmGUimP|=D`=rAL~Fa1 zDf8+Wm85+}`Szo6O;0;9mtH{jH={h$WB14Uw83mR^k~2{~2d1>?l;H zkS|xS<}%Dl@OMAY=|x{6fZ$^&7^cw=&Qop#JDU;D?NjOIDptHQZ~`_rt8g}VaK!d- z@(x`!YuDqkn!s31>J7&DbJt58XrF$h4tvLBqRI!w81tS4v>RgH4pb%N9d5Ed4PLX6)~1n4CS$i1ljz$mhi#o3;f#f7vmnUli1(Gq^gi*@OZSY*XNJii!cOBkx)U~s zs;TUZQyY%GKCWCnc*ev{3oO?j=w!Y`=2xXhkx&Fh-l|J&9rAoLd@FK;67|VgUl!iC zU z9E+Dk;UBZ<;}%oH%>h_<)EamdDVW1k#KbejJ<0=?nphSM!`f@{&# z?P0vkHsTOMf1HI1n~6%AiOTO_BQ@xu)b?|10sh^lw%A$JuOw^8Ge5q~c*_{@S2lYP zmumLecY(m%ufa3beF3L(UB@W~Xpg9wEvMLS1gCaNr@MBmER;TzH%zf? zjo;o;q+qvB_J(X69`{Sy`%%QDUV$k*`PB*Wb5JEWY1JWV*vYy*`Um zJvdc`^I{msZVYF95(TZKnQ`pJ>N>q+h3Ym&cH3ALe@onM#SHolp%Lsys}0XXd{vL8 zPoyt_&Z8hLw;6?;o#5Gft*8=|DJu;;8mZ+aoGd@CZMPc8UWnfiDaiw5^#Zdx3$rU} z;8CG+?Qqx+Kk$^E`^Aep*Df7myfeL;t7+-d19Ug)Oz7~}X@nHU*3n>7VwO9HwD1wR zQOr-Kf~-M~OVJ}XdW~N>NmCvk+!EG{Cd~z}{o>aPV9^*#!Ik#u))!rQHP5q5Pd)S* zuNPVyv)A-F#y_dHwaJf+9LM8-SfjbGN)gz_r1|ml%XUZ0uwS2sk#o}(!eM${mqO>` z*)pesQC@M1J*V@Q8*tqCPiIhneduG=n;3v-x`^ zma>fd8OP=Wk2d{=w;7)v-u|j-Tktqyw=%2FZ(_CmXrJ&rnwENvuzP@^Vk)o7*>khQ zaF_MsZEElGYp*TW3CH@*x2%T@v66vz&H4U2{eHIE351SgZ9m=I^v>C<8AuvCuCa=W z$G4}aXTKKm>mfK0ba=9@pM_1zOWVP3x zXn^9~FML&LLBAQ$rC#C_m$l9G^MK#mQpjOqg4DGevA8Q+F3L7NTeyhz-mYdi>ZNQ8 zr+w}D-<>qba>)<=7xM) zd3u6`Zz77oaHemyF)4Uvr>-VMX_31KUN8z9h3B=)QMrlmd+k;V*y-$<3_fX*^6kjb z7Nu78g|u0(Lj>ZBC_J|O3|%dQjqm2L&1|aQC461z3|JU5?NwgbkCh+ejCG9Qu!+Q- z{SfPw@;Y3c79#G0EmL~^UF25ME^jYKsfyCEf*rnU3qj4ZW9n30|41Cn5ZoNklSS6WA1!VPn)VFj3dT{1T|#BI%9T4Mgc7EV_#t28%mHMz)V3ED{TvY4f|0`kin8&f=~t=v}X#dGu$| z{LJA6>t!@EDe>TM+hgAkf#&4Im(-$Yl}cVSMWa28jo3VqQIAg$ag#Hh~`n2Cv}oT+!qLds{ayyQ1I71l0?``LVYKZ-Qu8Nw#KdN&p!5@KT6hdHhHL@ZJ%SCkuw%P058GkNt z5Ary91l1b&^p{gvcmA9UzA$M##lic2_#7rH$a#lhFnB#6ax*Zun>nr%Yy)lQU%rgS*gZ}ed)-Cs ze#u1GaCaKlDyD$0dmcf{d5KRbAD6Pm3LmTY(Ps9b?u7|tkstMyg@)}*^QfPVVm##5 zFFh$I>BK#(MbHT?`%%D%p z|5Mgg0LQU2X*0x$nVFfH9dpdgOffTa%rP@FGc&UtGsVozOlw-7bMLPHdik}Z(r89s zkGgAAtL~P1?vFa1v~8P0-5H&-BP!0yBkuidpX-~{TkjSD6hSt|=YcH}C}=9or?}oen1^-Rlwxo{ndO?@E`^$E6_t0#hWu`vO2at`KJIc1UkHYr>f92;VZARYR?Rj99~0NN1;@HeGw`DTu+LDKbnw zLYU|~O(#f@cyb^uZ~w)Xm4vk3`S}VR9|A64(XgZ`Gvf2YkJg36#g4^1hVuiV@f}|@ zf}bJH9RsDj{Y|wV5KjvysQX&w!y&en>l@Dx8w{qZv+c(o-MeMy^Et_`zYu;+wWRrm zq=zuLnQLv;YD#v3*;%khdL5mCZ5lHqeoUG->QQWt+5Eh$FOI%cbv3nqkM#DUUW-`C z=5X0yi$Huf`O-SkU{=%rEeNZHOs$J|0Ub26UVb~THl1s?(bQ?pgNE%9F>WjW5U|x( zjfb1qpkaLCwru&sEYV9eH1;92R!WhF6FoV{LkzI4`u@2s(96G`H(R!Jh|KJAAH$+2@SwHLz=j58d2-$Nx-_@aB7rcDJw&K^QH$|rRMVR6O z{hIrpX`G;89!7iISrbgMhH$Mlw6=IQREvY*d&W4Yods5^o}-+@8M?S+nHJ8&Y6 z(GuiV99&6ssND%0SWnW&b)a(V^?FHOw&$NLWlzjYT#7oqY+787TD4M2TwvRzG&#Fd z3hlF;?i1$kPMqJK;GQ#1mQLAarA&RbD*@&NI=4K>&00V*>`*6z(M;so>SKP7z}e-e z!dhZGugO9(Z4f;BM?V);8Ojq57G!aJ`tj+b#XmTw)sYfDN zjhnrLIr{!1g0#fzdkWfXyrknxuQT)Gqb+>Te!bX7yfZ^D1m4>f_(49(@Fq`%$+_4c z8-vQOfmbe&cOCVs?eC$k3F|%mlP>n7s+XPCLlwa5gHRM%4=-NsT?4t`t5*bcopuIl;1f&D`G%WZ<8%58)I61Ex?7V(Q}Gq;RdotRh~az*OYEnzco4V*>zO9i}Y zSCF%|V-=aty#dn31(9ozlds3_7m9w(?5qBlfkJ-cOv;Lh09a8&T~g8})?i4Z3d6}{ zYa=Qt&GR*6YR5ydm$V=sS{%6_U#BS_G(ouZ*QuNw^AWz6>5!C=$&N?wz3F->O1;7R zwR-F0$jac@;FOQy<5=P;l-4#i=fqpv`iV9-6XMTrXyy}*(Vc{^=GRawcwe_wgV3gp zFU~LmN=OnJ#msN-5h;-^GR(Sgm^YI{-5;DOZt+C%51;A4KS{c6hX)>F&E`$*`_Z_~ z^S}i+6T(nTMA^!CcP_kP)R!y}LD#R&w_1IyKE-UmDvHD%sf`quUl*@1I_Y5cW=7+xyOdg#? z1Z`?q#Q6?`+xgNC8|1v%JUy_t=~EFS(V1So@>%A}$kVSJv|W5(U7+jdtUkIEwhW!P?iIeF@@ee;0)SKkRQ3m^GV$am+pPclfcIc#FoK%WrJ^c57zP z5{{8v_$_1*%@JEk$ZHN@v-uNZH@^lh+S;?X9*KL4x~E_{-n>f?tUrOfiL!BI!* z-4#_(DpP+~5RC7sppl*J%XUq?)0^5D_4vBo(5Y>)g_7Oq^2YkB>Q$ugq0YwmnHHfa zXeXH=1zM>QpfM^XJZ~!yoREx2N(v`GIVM(vF%f{YOs7ko*FC_+P~{SsP1XnE-5AP9 zpcE%{`MbdCi_^PaHvCz(Bn)Tbx0>zGFjrlj@jokk!V>N3ASsNk396-ad%LkV zUd$Zal75YA=hHtHz15#E?;E;2${sF}eq%bS{&1)Gzmz@%OX;Mc`Uk6xfgi5$#H5p; z#BrL3qNMkr8rPwxD3f|OCYhI#WxhG}X?jEKY?O8+De)M|?cdcnZ!*Th)-%hRShG^= z)QKD%^>AxeE)!%-)LkCYfR&>E-IIeA7{2foBlcj z167J&KkVWj9fEM6NEfY<(CCk%fj^U3ok23tsNTikiddMX*RqAZp%b_`P?=1iz==w- zkF*3KRO`v|s^BL_3Vq$~vP+P?q#=O?lLDIK-}Z{*`2J`vv%X){-mvhSB7=6Q&57|= zqY!V`Q6iZXV=_nqi=_u(k8|G=$!8NYn{PLZi6auf`&)A*gDAJYm~`l|GF2mU==4Zp zXnKR#sE784NP1ziTa1K~>GXK~5I#fvWN=k)UZFNaiqg#3HT^{l@Y@{iR_YF+^jHlh zDYM{eUP0JL5FkC8SaDglZoZ)4X z->G&&J4gVl^YyjBxZ%_$tV}a6juDZ*>pQZ5H%B@e1$TmyUxmqeBFN+6W&;aodZPih z-gSz`Neag(DOGuPx3iFsq4nW5Bee426A2b(fjOdFa@$%I6>a)`z12>$usImqUvoGD z$iuaYE53p+@bwX*R1~>TbxguxB<5Wl#OBPer|l3s81P@YF8+{^B?_-xB-Fr92sM zJPp|o7IR7}wg)2Wuuxha3?@+XxUL)*(!RYtW(MR5KIV&GDYn}NS&^nbHB^y>J~eca zhdwn-7Xb?lVbI9nimr=qB!#h}3&eLheHX~@dipL<-);3>puhXx#RB*#qaNNeq~jlM zV@|P<@8fRjp9O|QU=S^D7wtoR*Y%9jpo;AE)1Zs|a^h;smVVdP3howu1{p`}`P~F8 zQRcCZQ)cZc2-tFFQb^tmL4#n;4Yt6p`ub-;*UQJ#e#cvwJZ|3V4qxY&g z1o+<%1_`Dxh6R8_g9H;8!`a?%I|!=+yc_)8DF>^=iXG0^&oE*pZav)hgT`=y&~5?Xh^^kM&r2D}Q)Bq~;^YQk6#-Zt zf9vabeYwH=vU7d&x~aPsCcwjg$wBYaTzYz4?Q=@}cCgIxew}S2@DlO}+-8Vo@NnVV zLC z5;Sv80M2%zH8V_KKR9b@83#m$3vlifiTLSt1M1Nks$Xvgvzy)AA1pC^S9bQ7_1XaO zw%OjDVf06f3_jhtV{qLr*PdT=vaaUCH=hocwZen_GxgRid|FYrE5kQC9~U^ft_6To z)p$MtAoS(?UiW(2JjdXFY@PDnKO1`#aJwYfcp0hvc4(^CnD7zB*#~eD|MEDw z`FgOtcwXq}Ggg1e+u;i2uGwY2c`euD^V~hjMFRl)Z>M};&TxhgJggeev%OPG-nO-D zvpQY&t37rB)t2vzYLkVm0WiF})Bd4Q{F2|z z4!FWGxp8x`{S5s`Ai!Vsd#c;bOZxTb48Y+yh~N1he_Ove$=}WOK3kh3^<}Et%c~9T zym7a({C2V1=OM93_t3V(=OJh}n%~z;RPExHBih%6cH{};u6>-EZ>0d{NwbU7A{jQ-}m+d_>Nf zE9A)ZeNx{$z2(^8_MAgI?S5WSpvl$l=H)rzM)maZd0kKIaspbqG?G$f`rZNxiVrur znHMYHk2#|9+^&F|Yu=Xu2--V(oY+AsOYa7iH?OzDzP#S=0gsacuCK#-o$qsikmH?K zN7Hu#c`0xRR1gpl7!ZXl9;pO^q3QNdARxXbARvezSFH>T8TDKp4D9So9O&JQjXE=Q zY*$9ngD)SeF+&~gCq=QX_`#M}F!WJ`zy?C1jSrO*A)9c_KuLBBD)WGkd`37dy9d8- zqgZ393#q9r`6}2h+lP$2U<_Ref?f#2d}EFW9IB!Evv@G|)??K!Z#_`7v#R^7?ST6= z2MsYvvAFT5Lb`M`<@@V4j5I$e6KYmN-7!E-= zJkH)3vwWW=oO$$a(6R~`^W~Zxz?a?t3})};KjMnAR-8hI+|OOi5kT|b3h%X^t{=v9 z3<*5+?r%1U#`QogSGb;uUwIRVUGM9kjhpV(xWU$Si}m((XWVf=;2=?>F>6&KjPM{m zI|^p`>mbsxla9POV#d0mAtq6$LNF9aC2bSm@4j|<&+<(Ll|cjl+gxaom0bOrh^ zDhFi89%f?LXyA|%fOb3RGge>%=qSdCln+Md}p9z zZ*ah$I8QA;qB7bHr17S^T=Dp9VGIr5(1m#5U1qRK(s9@q0^a(CulurA(o z%}DHzQPha-T}<&HV9vV|onD2^2IY1g@j!mS11 z=aO2vy4wBveqAo52EvsOTOpj?z^`1scZTC_N==}3qeUm|`I-IE^`J|Mc5|WzSO?)pw09 zga#Ytr!>1RiyN(^OKG7p^)5C}JvO>w!;%pWbYTTon)O3Y_}=3_<@nJ$V!0z|70o@9 zj()Yy(xP?~SRQ7b5#-?H&QkIn6WGl$^3TU&kH$rDXJwoggX(_NEap{aJJT_jP^Zr{@yc+HoGx@q)zFUw)--m~$E>5pN8O8q%y zBk3_LG5_d?j15hq9~W7ssV^Qf%^f%bTp4+4V)LjBz+-dPBfgnhHZYEX*LCC}-#2b_ zYZ4FpU?~&p!pu;^rc$tIO@Y$ zU~JX&0~fr@FmfuJW*)X2YxeyRW?b570!if25kp9W-g7wM=Whq~IcKZ<6FZ$lr}N3r zyNWEu4pl@wjiDW0Y*-@}X<_9f$M6*BLxl$h``XR3+6r6tkSyZ`hLEW#ceD+L&TREG z6gUzL^pZn~6^Y6bjXUb3!j`JO&}tRk0W=FLI@krjj7r?hsHsN4}WlQp(S=JwJb?@@26~|N2ku}RMaz{*>>b#7Y8gD z9cPQb*`n`2Ieic&T+oWX2HE7Kw;jarPX~w0p|9xAQxp279~G@Y_z%kCK-FM|C289? z<;qnZS3@AR9>@5;uh&+-f6ZlZdfHzy`{gu9^HfJEZAYFCX)(P1olmVaJ!)HajW4)( zj4?zEuVg*m@BcixUwC)gbht#T+| zg{6U9Ty=QF$^8J6GOY!Pb-8P&VdX}FLdQDAQuoMVf{2iOr)tDF-?eUqleuZ-E3?jl zJ2;xGwl!Aj%+~ah1^CQwX=NxGpT=6A62N>?E*saMR&}m57jSH+!G;Z}e44ljHRTO- zIzwDE7y0kDYP4{UppIzVjLe0jlR7qSP@D)2POS&HJ;;zLbenp$HL|wH4&$C6<#vJ; ztF^eX)8U|WI;u|~glJVgCOk>hqe7Fi2P1 zq_1>6q#wgJCLh$qHsH}cmb)v*KnOqz{HyDURKNCdcYIV$gNY*V7KmhI12sLglHVsj zYe<=jKHtknl7Lwji~;cr9%U=UI^GI7ejbRFN#$~F+Q~(!g!-(@!Hn>XYWB1wjK@#MXBQcd^P+1Vtnb{}dEffq* zY8`e?=$21f&g|ZJ-9+{f!sqKHCl38w4HY6a16$$xW`j41`VdtzESo}VU> z!~1CR<53yL*!=_-p*@uU%|Ud-8iO#7{xV(4Q}3#_m#7g%93w^(Gj4}PcQp1ph+;fP z=N)`ZM)8-Ml4TvPDUu$?-s>8rxH*-?ECopSjeZTZ>=Q631*h3juNA)b^m_>avdD7z z0K(3XB(v@fKZ&E8?$!*-pzC;?0}lS|u8aL~>#=kE)xg;{*CmaBT)+=Z#-C~8euAix z`3{?xh%{^GW~PBim`cfY(Z+HYx>@Kpq|zQ|zf!y}SI2FG@_$@FWB^dJ*A->i6Zkge zMgX~&##(soNt+%C(|)(vI{~_*$2N>@9FxyH562hd5MMH&(Xttoq85gy17jI87kJoT z#E7S$CWG17`l(FY`;u@3@Ew}@6uSEIEPr@~>8g#o(s?rZUGs$=7M$^msq#F>`@TZ& zo(3pM$RtNb#cp^ZyT)0WT761xMrKuJnD=VHwf@;~5T8S7MUr${IGy7Caq)9U#syS9 ziU=8BPjUDpqDW!%r=p!T`B9fhwn9ScV;RNYa3UXI=ST`QOziH*y^CUFkCEHAdFXq^ zRTfv=K-;GzUG)0`xRcNwVb{?aF-&ZR#N(_4*(4z)7eP7&uitW9%XE2UQuYs$m$rtQ z#7D*$zmey~KPT3$6GC;NIy19zT5L@+Ky5%mimt|oKdYZZR2nz`F6b{*=TVk+`a%(f zi$kJ?=Rgfy#-qS$&GMIT8;T3%{XK?6E#Rw9`bZhN0&_Dg@b8K4Mx8pb;>QFx>?0%n zJ<&C{F>-(#8J`%JiguNtm56X1V+ea3m!g-PkeQ&77`GoAVPKG=WB$#;#H>guOE)S# zK2jk`J2F01UnfZ`Ir)oLN`;YCfl(gBfUplLQo#*#_FD`b$iF)f534{ni(mB_Rag6?2ol4uF<%FcZe zNxA_1@;pk11t>P@k<*9JYL2OLvZ=adojUbu$Dsr=8NP@Zs>=>D?EPGDaNP_FZ6evP zCh#f3S{ZtK0r+hM%)T^9t1?)ZHVW;Nal&CE9JC|A0QRz|2Fqgx-r4wEaXxA!vhDIt zautPBG_XeGi(NGZ!M#Q+D&)@n*`Fspg*;-m#~BKJ2N=KHCvX&Q#j-yIwKTL#S-etu zz;8H4+#G@2RF0-9^H|GWJ3c`ETYR8DjSTW&K|s1cWQY8h_~;$Y4D3u2)pcTURnW37 zo_OZwSR4;0fp>;^@n1hQl{%Jo33mV3r*$kAfw5OB7nIy}QgDss*BBjGq{I?XWMYII zlSEroh9viT`B7?6C6hbR(wr3NaX2}u3S`PiOf)*a$LeCwaq#q&@r>Jb$1cZ<*2A@n z^+U7Cp*1^i5m+}+kntHie!AQy0l4IM|fK;f~zO5^sDYmd;uTh9V+q{uXbNH(!ezau|WA5A-mgvMO(4O zUf+3vNb;~&*-84QGRMN;OooYp9&wUN?R9=9WBX%F(8x5MD*7s1P2RO|KUtE&hYEGs zAK7B%6CFC%=u(YqLbzbRx46|%5EI7E70cBvCT~_!x+XAy5A|05ZQOTu5M@l4SG#W-i_4BcnR3Hc<(lZwoQLW7|QV4lM z*#bEa#@^5*F%UIU;u~ReFCre*-b#>mt#ZP?LsGDg6ty!j(H}|Li9H1W$)sa|f z2D7lIHWi*49rCs5BXR|1evx)5@3cve_3s4c=5Kw)HK@#<#ue;FHBn+qvG#PZr}jEU zJxE~LVzLNVSQc$`J26GU^>1Ea>^1l??PdqNHAu-iC+BrWEzm(cOZNNcZ;9V4e^t^h ziG&PTG-|uh6>LrV6zd;(l*>!@=;ZAd$QrC2!@w5m*H>&yoBC3%(mFXbqFUvKc8yx1 z1hi|ArEFVyE+SeL7G#?;t&TH{NA>Zs?jMu-Mfb2v@(t}X_Zih>!Xu>JCMu-llmaKM zf)Ip*$vZiVr-(a4dWL4@QwBQO?b17VK4q#}LljZc?WAGI_Q2~Fw$Uvh*TkP%m!d2M zg;Xr0ufzM9!^40>5Z9zbAsr=&Z`ZR921e;jHS!cD?P2sj( z>PMyqPTj{we0`Y4^jRIxTqWDS)g_Jhe_0MyITIpVG)u5x&rJ`tT)o`(Yn=v$;PC(s82*+b5x>WrP*UmJtU@HC% zoiO^$4M}%-uV;ZuGF{ZG*{nn_{^1f8%l!JWou_U!Q?+qgq6aq;-JueFs%GV94?lK> zZPO<>q?F=b57#bUJU>XH^RONtqI39u&=cEc3k3l27YqxX1$qely}dK6_-Z!COh#Uj zH$Wg$Z_y94?}uJR)_uvig=T$uighgx@>EJCxQe5!)FG|RsrwLo;)4DmiG48m3ZFxq`vyv`Hu6bY)O-OZyw@ z2J|LIz?mWiKlek}7-mzd%nks^`OUY(dvQ7r6Dk(egwfP;Gqt1X)HMKtt0zkxih6HJw^ zS0<7{=aiS*Lov+j7MgKXPa%Qcah<>D*1DSf?3Mfw+Z_zf&ZpT>b`d~tjr#6NAUj@* zUbVi3DR5`o{dSORZ>Bc4YF(cfh)7j$M80p+g%lgqE6!7Bm5p40-S<><4W|l zi@X#3xX(A}zMAW+I};Ma4}d*-${_MKTf5BbJ}&Zo{P2Bo-fgqZhVb3JU!fi%z`uEx zJ=BPKTur~v&84Vi?r?e&Xpndh2){GPop0LLxnj?$@6KRn<-aW{We9NJO;J4`VISw? zKl^%y-F7Ku+=gvvlXM>EzDI`u)8adY3A9MOE99cxV0632v>_UcdhqjK?6Shk8Qe z0;%&CF2v@iP)>0Vq$gL*&9U@=v+uh)FSYHVsV7_`>ZTC3TK(nt@9 z92ljtU9mrf$0!3MuUOS7F(h0FPC)9v*sbY_Q(ZABRm@osoBQ!FYfb~HjyAStPz-(mPN)hxTX~1G{YnUS{i1W!IHFfIMqyR=^o&MGJ?@UUFZL}+*A_5 z=!4J8?P-z(dk6pbjILtwb#oC81Z4Ij|Cj06#P~btEF9gPx|N$M3x}u>;YZ?RWaj)+ z5*ivBxUHP)XgTh1qWer$%U{>{g`^&VL9{g$m9-Y6n}hkqR4q%I=s-x@aWu2b9-S=! z9}|snta^?NL%t#)=vd>70K2Bd#b>#~GT2`hKqA_rr`4C%m&Y5XK)%(7GZgVP7oq$B zg<#y(t4wD&_{H;X(?wt8;=7hf7cIUqm0EwdcFa9>%Mzozl(d_IqS{|ObWk~mHw6-W zgxo{ilMI3;2oDyw`ffqdy3a(gaYPkpU z{Nip3_zd*Q0!aWbx$;95AcU!+!2_1u{%+EYuHJe*B;h-&-<&g=I|f3iHF}_decEgy zmm_p$%7~f>x4lhWZe*a2*l-%Uu(w~ukuA;-zj5Ma;a}+t=bpbJrrf(^_-wgV_3g20 z-Dk5K?^lLBx%27F#Y(mQQgcMAbtK)e2UF>a{w*KV`oxAEGoDPguAs*auMg{a7}rN6 zBV@EFILSsPaT0l&b)D9b$4A-y0I`knzNv4u7JDYsIwMy2X?mkz~- z3^sdd&*}5jo^BDnv)?XXQ3_s?tRDc5>8_dS?Xu+cszvCuN|u3PZqTpYAQqpV=dN>Y z@Cz5Ru@gBU-pnzMU_|cQWr3Z}fjohCV}zm1>Up1IDdQ6<mfRqRv4TE1!NWLNLs;EX!v9|n(X_Lh(wEn@4T7zU8W1xI61Pm; zD@pF^At-(@Ndv&g++hfwi+uv{zBkXmU+Uct>4|kNlwey800lhe?wpvItYZwj3z(6b zJ^ko`6q?BU^7JA3G~WmAa(YMB`$P1?G~o&~9|y=1Calu#dT(ndTA7L?g+Dg^Zx0!{ z9v#4{?kCB29){s%Yg<-#gDEEF`@HQxCpUZE(}*vn&-S61;@e#<7+*M1{ARm3#<-tUE2rHPJ{G?CE1v;$?8q)#N3IId&;ulHaToHY3 za-V17pMz$vQe!)u^D~Ob%G6k_+Z1N$P+_5+rNz->Nf01FzkTXpJ_e&UESOBSYD6Kn zrGT{$u1VP}k3F4y=uJqXE<4P*0IgtMZ`G6qwWmqu~2FV;K@Ojm1M~6$`rtS7y z*2Nk}lTL;}*{x8J5c)n^Xf7Gkoh4Gglp$b|SS)GaS1fY=x8w|4g8BzH8oOy_#Th9{)gaGH*y4;hjkAT?(CP8t}C$sB}fhjB1)6`{pBIrGX}TQI~{IvHorgVg*fc|;kQgm75L zLR*fJ5>?L^5DruW&v7i%Sr%+IHP#oGXR)N+@(eqZd)NIbil#ZfS~KNVrO;(wM^M1d zCO|+txDrb^gd+Dl3^ZWl%e7Rc;R~o)du3sTD1K^(v=}-+O`5KyH{Tq1vuncFFZhgU z4n8ELiALlpDr0v(w>N@E+bSUa~%7a2|zrTDB5~adZut=cx0m zL6l$`oJSQYIq^_z3VuMBFWspEjB0?I+0?$S`c%s8IlvEe&{ADJ6;Q68w%jg z=yNBf`kIy4b!S4|^J7(QKLfq?p96Q47`=myBN~B*BiqRz&sL^px09i-g&I{>;$BJd zEkRj;Y+g>{zFSlg4>JDbo1<)$Gex9ZH>{19Bztz;M6vNL)pEy_F^lq@_cgMxZ7SJN zD6`r)ZtuR&Fx4RuG~JO!Wmy%H<6w zX~ah`nnXBI_|XZnwB9*El0^hFz_{VRQVR^krRbloMKRtLee)UfXRTCpIsUuVDWQjx^1SQd?HGIOn%x7T&$Z}G>AK|wm>3P8* z=|xZSlXT5|>e3rXw)I1FmjjmH@cCZZT~ESLg%Y%V#PwvTb&wiZHYB2em$Zj=NSP^K z|GdHUT(BbOb|nvj|14~T-+;F*(?Z&~#}Oeh7zuHWZX~U)sBKM6gSPclJ7X{uarAZO z%{A(0{O1Q+9;_}|aDKocHMnp$JOMiW3-!F*qa2Fi{=L&)po+JHf`uJ?pW3LrEU4B{eaU2<^0w)D z_)5q`XAAbkVzt)rvsHq;2)gT7-VbaXO8LPgDK!YLex4r9Amt9@99<*jQs5koZ08m0 zZi-H@b35CM8#!?ZdkaK>xm{X;oQAt`JT@NBINe_pYqy_5I0g!kPHRQCjfdDryT^Tq%_u#HA?Tm@mG=n#+v0?|1)Jb0KW8U$nq zc13p1lL!wW9*0Y@j@loZN9)k*ouAvCf4+2ctoG-l*E2o8xROcp$*#h6*%`=H zfn{Rms+ZzBOY04_+|@GGq)AZzzAG!^x*y-yLV_OJ@FJdHqJ4U~XGpG`3LR64x-;c$X$c)2ga? zV^u+FZA8}zH7i-AlhAPKRMHxAnv_|#_$a~mYdlfvM}lER=YPH$P7vd&7? z#y3GtNxOF==;0MZO3=Z!*1*+;X>_Zga9{i0!Y3>}uIkZ~Q;^LvJ=)buQD)4@mm$vSwH zrm@m;#=A55K(Z@~bGckW-nC7_0%RX2TJKpk-ZS{r_uKp7YB*F~GlC5C7So3oEnb=y ztS}?nPZb+Gcg}o1KKCPS{d>pQc4a~!QnIr0WyIB^+4lRCLA!G0<|fBL`qX=y^@fvI zAmP3aV7m^?o)FilWtKf^goFCAN>uaU@RUl^u=HdsQv9@ zbG5bWaVc(n+b9nf(pIaE_he{LGcmUH%+PbWbA&(2R~G}ydX=2OK^^&(6>LV{5+V=j zr>fkhA zuD1W<_Ungf`w#zr(m$Z)kK$jeaa@hR|BaqSP3wF3K)8OiMfqRs|D#L5{*C@W55wOC z{$M^@{%^wizw%Gf;5P;S7Xfp5Gj~ThJ12T4qyOS!g6Slh=%f3%9~t3aWMDpo@h>ji zZ2s;8iX7d!Bs4gPG8Fp%dS?C~-{7bEA>4n1jZOYb27j+$`UC$*f>Su)f5VObe)!*R zq5q&;$p1qBaT5Iv|J$kaA2=7qzv2IJ@%&BWZ_mab8h=uQpBv@BY5e2o_#6MX9{msc z4_=b_FZ_pA{WtpW0r&^~kND*H|3ZHZM|mkos6Q<}fBfz~UK~sTa1eSBQ6>&W2PMI8 rN~}tb-{g&$m<<_?>|{*r7|l)Hz6(2>DlNb1Oz;UYOa8MTOQSJDkKDiF$@F*9Jtop%$eES!9F2H z%qg1{KXP)cx`x*wN;Ns9sB}ghGC3j^Mv~k?c^HzT0wcb=$h|AjX4kgdyrJ{O=gt4c zP$zf&J_?gqZdO%u-8K@nq3zk&5|8T5fJ4`%#?J~1rNU+)OAp2Nwx=l*r;YS8_w|aa zcufzSYpZa?tesntLFbLdna_xx?9@4Q4Zp6XXg@@`sJi=BXL+y*)b5KVX9pX(b|E}+ zJ{zB1=#)$?3Bph!smoyZb?T|aRe--oZ3!?cdM7eYKTqjh6uNl7ngO0Ao&%uGf`8akbLk5-Ql{EDlU;@v8h#1q>`!kD(6bz;*h(X_jt1ezD`^$#%Cg{Z|Ihq2_f3> zWOjtIj%&oeV{%5Vk}>W`FNlUX`@owQvkgSMRD~NtsZsx-DkiI6>_YW~T&K!%i7)^3 zStQv3TaR6B=Ekv_499|DFTjGnPF3-t`J2vNr)qm5u@6^(G;N6y~Fl-0<~)x_D^!j;*}+;Ut~%n|w{a{D6}(xB%y4-syWAz^9i%X^~E&)70j zT}kNAsK&695SB}Tn}>JT0L2SANgc#MM!p71^&~ntEtHc1IWeQ6f`I7R;9B~K-;U9& zU%GOW`=OD0C7B(F-T@YPwI)i~Ry7PiK4Oe-B_2*F>-uOe1V>Er-6xX>kR8U!2oz)(tNy$#8XDJv!$pLNuNAg6;`%V_J5BXv1fLx^6Gw*`YbJ zfC=-IFy!H&g~J4Ws>@%wA^JJEtN~ZeYk{=VlUBX*W~=0ft~`OceZoxV?=;n7ZiD&U zk|~HHKr<54XRE-YDU!PAIWlk9F2i2e%8_#Z9J`_?g#V7w40cxU12{Hb;5cFZpBNdr zxqFy0dm1Dv%lC<*4_rQDU;aW**6axqiYeLnjDaC(p7fntzNBqzVvuulLs1XA1G$~& z*3apLa8fva+OaMCx$o_K{@y+?iicr=9J_7<^Iz^F@0?7pu81MJDN@Z zMz$e-m?B9i03lK@Uv|VdDq*}l&DSC#k=dhyKw)Ey(_}r85^UY3(Bo?gCQe$}9YppB)a=r?F?Q_$ChrSZo)_S2u? z^A`jt81woljf!@3CW%yM`Qcni&VM9bkL0hBp57b&WkGW=69JO~h|H@N`E>5cd=E=V_|9~7 zs#X!wVDFT+wm#FUC%9qk)D3vMdG9f?sdm@*)fF_YG^XgHjC)>IpP8r`4!rhma(Dl; zvBW~#__=MMe_G6?uwH}Sv924+PG44+k<-Iz3sOJXyW!e)d)~i0v=rG+VZ3t;$_6*X zROQz)p*vGx^?uD(%7_RqXbG5XK3>4vHr`$QWzHVj_#?1$_Rs_kC0j9;xnq|P#|z3Gq)37y7oQ8#-RN`5SJ z9Q#K`cuzA8%Knm=9E}3#%uei9GHuL1uPJzP_vtPG9u0oMQXGM{#1e>c0c(Xj%yFL? z>|VN=T$+HVHBZq}Lp-CeGD8PNk_%LJ(vfYKSrs;Jc5y)wGhaBmbivL*+)WpI4fSfc zvfWKhZ#={0Smg(kpF<>5F;ujSE51zN@M;Jvyg&0DItka-<^ zl>eyr(fVNJ!1B6AL?h7EzE-Wx?zH&z%=vulhxc%=pzRmPK)sEo^MG4DA|6k&->i>` zR8NiVPOGm6Ma6|yUyzN`p6Ukh)Vmo>6LN+_WhS)+2xQLSYPB_R+eVY_7)jc7tX;%J zdU4gbU9bqUKgVfU)Rr^OXcYOg?qA?MYX6Rgx>FY)i{jP@lM1@RG#uhwz~JLw6K!ZD z5t`lofvownr;2kpt>LTaH!{H^6#_&|>>O*RB0t7Ievy5Mn`w^@yN~r&c@Jl^qZ5~A zfvW-b81`7mm|OR~7Fk|K+B%eZ9+GnhF{(|Sy|!3(Hr*h78KV*SqK>~iVIiU@X`VfeA72T~zr4Nve4us|Xbj6Kup9j(SAr}&u z+ua2rNOxt1IfF^i$!RRJB|hjyiSEOz) zn2q#48Ff6WG9=7-F3kB4F(;vt9%2Qpg5HYyxRVWbF zmQIKWtl%eSRAnwgPRynd61I`K+LNq7)NMeRX6M8 zu1$#8<26AD5|vTUTB0%%@-3gR6wPHguD3MQr0f;}f`2$n?ze6k*{wdRD;3NqORyMm zn6+9O&+spDX?&k0_$r<=Mz~uy5xlp1XB|)a9kO(^rGSmb16FpqrOXB|vM)nzC1P%` zn_Bhj$2-Ye;SokN^DF%%HZsiViqf8|UXiLg7yXr9DB?}{T@bY4-_Q@lA$1{aAdAGZ zEhTrAhA!j+p>KMY&J*smG-o2~9X!!}N%_t%&yn#1Iat@6S2nQ-(^D1CU*kzF)Lun) zW9v!-$ZJbGs7jU3xf04piywaW7e7>jQ^TkQMs#lAsEy&Ph#8*F^c7h#z5f)kQp0~XtnA#YkBSVKA6w!oqa}-WHpLcHdpB~!p0mMD5)Dx zlVYmv!YIU5f}s!$cB{L6D;fwWy5;VX>xu!<-BJ5zG3Ct;rTgc^saDD~&ZV@bx zm53!FhS3(S&j#+K*uUNiIvq88u0Xwk@JC z6HXz(`oRBE_D6e;eV1xHK3mr^=SQZC#8~_;Cr6cCrpuW|ricZ61t$$)lxb8 zu23cc8x18DO)_V8h3&F)_@6d}EHO=U?mNmgIYO-KRv#@(>8@<5mS2t=i%Da@@@Pn{ z`QX*<*HY^-L;wd6i6&-|9ug=3~~X3k=lRG}y%CT|+6{Vuw9fv}T#U0f;cgz9Ljq zN$dK4FJKRWgOZkJ2n_*Je0hC?O`J%?f4NvnUL2WQuPt0GB~Fc1uziDIng zWE5{`q2}ZtPc&M*@$mJblt;S1c!L=u+gIYJy~BGsyqU}iMgE516ASm3K%QZSm~d=* zpRf=e!WSGTtfFj~68O&T%?iV|tp|r_L6J^5=g&L1`3$KGDkyq&`&#DEVrO)*GIhU( zSP&5$?8gem0#&qSi>TsSExz%LOgT}}AFvIYN4HT-&|8+jW1||vF=b;USY#l`^RC5Dinj}QnXRUbk1{mZudLWr3zAQ;qptr{oiHh{ zx@l0Yh(x$c*{glM;x1$6uYg~EbnVnY$7pvZ)oXYs$ZQi2Wr8gT_>fR5i7#)6jmtI2 zZo+7moogN2EvS+P?Hx0Ls(=SqcSP}>B!f%%s}$bRS@)g`U0f@0`PxgDk|> zjWAH<)Z<;8Xv(tC^cR_-HTwyJi9NjEEk0g>HjezsBCAtxK|mHV9MFeD)Mca`{5AoB zpv8{l$&TIP-?1Eb_w8iFXf;8i?EX; zfEz)=@8`DR&yT7$-ugDp)yN-Btjjt7ct;jmiwe4j4LLpNa;{*EPFNvXfqr~EgZ0?k zBqlyuoxEZw}ss!nlN;ykRP~laxmK=I7vbnTx&{I-(<-cI~CJ}a@rn>S6nahRhUOIs@Y^nO94Z{o<#6JTtjP7)_{E|Z90dqo?97S+L@aiJ^H?6++ZP|) zqf|hOJ+!L|b6&^(0SCtga86%(0|Er3ruBBRNII%i!0bqsE6|!m$lZn&&oaLM0ttb@VRZKc)D1lZ(zxFi>xQ)kR z%BpSM{PW*FR=hw$J9V~iy7eGrjF#@SX}0nkK8UprL)|_W(JPn8YohjvYTavDy4Zmd zNtN4Qn>$xHSs(bx*T9InJd!^iQiMVuEW9fuvqWjiTg54;68V+&j7~z7*fAFqn$#v2 z1De!47Ymv>VUq?n{w%6L*s9|a9a<^n65NX0DTC}S`6SG?)w2cH9~`xeXZ>{Jz`7;( z5EU8%D>xWPgMZi^>^DpHjo(@3#muxj;7BjYowTG=89c74o62v&iWm(WRih*usrLKOK}`=AzheFN_PQCGb5$hY%;Dla2alw%Gd zS7?L@#e`4|tL*6$9}-~kNc7rx)@C4EMRMv$NjLl~@3-yNd^@c=5#7!w<&W_wQ}_|e z`P-3iP@vHUF$E?bs{mX(G=b^nOMbaH!n%_9pUt@s=zC>d6au zl?e!X>brd3`tB5T`90|7oUG&aa1%6>-uV`G2|N}EdS35y6x1h1jaqkZCPm%!KV<28 z8wv`1N`EWR0|f>>JU)2~izq(U8C?VbFm5|P(eaRFWHj*<6uXfeBOw906u!7QHH<2B2uaT-C* zCQo~wUM{kV8}Yw>-}s6J5dFCzpV^eOcxGNZz-eHXbo zMsWUm7Kf(HUO6K0KlPZ}Ae>$u?57fqPo8MzTJaal+4Nmp@6H|^hqUgZjMg5^x;84J za1xf}tY@C@-=;@Kmd8;n@KIk)@Eg~C*I+_&a&xeFBaxyM(b*f=jN5)^|JI}Ub!pI~ zU4@$+@)GFl;SM_U9a^K0ALE71uV^A^ICg(L>m-AP`Eu(L-AW!W%AA%x z?kfMCx5J0qae}{~QT?>+GTrRx;o<6RWPN=3Z5`#l1Fd&GasowS>g)J+@-6M6L3`OH z>f&yrUgZl@LrR7TP9}MZNegMC7<2iTjpX&|{pGi11iR5MC?@C=v&nHh7fzFoj+bFI zF=z$L$h*zwVN1OY$}Cj?0JGzS9NWuOWv5>J>W^SSq1=tmz=h4c_CR+J59yQXYH_lr z)qC4@hel3rwaC-4A1?jXVc2s1<@?2e4}x|`L(u*W$f>*Cfw0q@Rsj?Qgnki|-SrQT@b zFKGV!h)JNXvQ~0hnA^`yrTX@$JW)OI#AAVkW#55XK&E3h$x@YCJ;aFH`%DAC=$P22wU$ArANK%Kl< z4eDDnVpbbDQTG+~wis!)qc+oGw)3&%R0YuxYhPI93bVv(b4~~$=iqUJZoJSqWT!EH zbCCvq);K+WfeF1eelvNNM)^nhfTO+&qL^)9_Lrp^L;KKv%F&i4lW5I+E%TdSD^@Zk zkcO&G&}>z0`&qx0c~?`v%?bU9{&9B5W7Rfmj3`?puR67lZr(FTWaYG(r=LSIor63W zxKqka=uVL$jG1w9?4DjhyUr)QX-K(Q@Z{AKgNo~+=Z`&VbsR3E z9Fk_-)JV-&dpRV`W$PYxYx^!LYQlvgnMElSYj)_^@XjJ`W{DmKUH8F0IXZ^A@@yAH zgA!CjtpFnrv0d)$8i)vb2@|g-IhWqhDJIQz-&%v;jY0x^B5jwKBPSKZR28qrfL~}> zTx+wwjTD)8yPgdzRU_R(es6Sho`S98jxR1Py4Ud}hux)*VUu!;$6#6D+6yW*E595$D_ z>M-Nb>E-Z|Vfo$P*m~5*lg5CI{6w-lZ;+WUBM8P%%pQ(&wA$MFbaoKrLVQ?y^i%(Bk6&P- z$Ig}%EUFUSTW6B+&%0N7Ul|*Ev(G`vGi22az+jDSIP(aYIULv4vahom5jh8lK{#GO zEc|ya{cmL=xL%_@r_7sAXrzo>u0~q;aiVw$q?KU{@N8_LE*XrR?cT*^b2jBi>-6{~=F* zb{B=;KfYWq-|g~@0)~!*Luf8P9otdr4 z7AX1oYDKqNg7sQGfedOUPE3t%ZCQ{w>FWds71fVGPI_G)~q>J!`}R@tM=#jcH| zIS%xP@_-%RI#x*DI6(!M`m>h1rM{cF5g zKxs~Ok!xqt==13Arm{%!KgG}VhvkCJaDR=IgWJKCS)IHZ0HF8?7VShd_P zDKd0=;O4>>XNSk40k?*!o3o?aFFy&%6OWtS+z7scIe?G9fsZ^-mrggElh>P@J6&Dl zK_ZhuUEM)JQJ{Bb(3{ZvtJM4JEASER=`s}L32Zv-f(DU1UnYU7o-VUMj!&0qpuiYV zU?wOq0u-1E3QPb6eh0myy!-VB0iG`rKthTEBJytmy-#ZIulyjP!>&!)!Yf!>mZwW< zP{&T!BJhy_^k$g^N=)VfKBj>-t~Ys}FZF^1Z#ns z^;Z2(yKsh01l-K~R@)<53n_)^uYyH{FV+niNoER-0ut|sWznvBL7LH^{oy&!XV7wz zQ>E{rbh@+^rWrw&f`j=*YxuZKTuBSZ^#zZX`^kyaG|c;XQr7-zHV&pfy_zy402oN3 za<;n<-Ua+d`Py##5+Yh3B-kBcfm%Z?IAAfWi4Px{H8u0G0ykYBK9r?d1 zdAe8FX$kNzOphd@J_;)}dR7~`uAj=k6Lp*%*~)D4zfLXRCI))m)f6_7te+lfPqxnW zh6L*HO;rPVQQh6+3JZx14p%Z09q;n4jgI&?gD{#W#^4rp`YuyX^#yxbm5BgXVK$?2 zBc%TQU+=Ld^H_$5{92&+p@z|^e$bMqV6}@wp;mo*yWPs5Y<9^rs$VY4}k#bi#Pa~|2+;qeeidHpbKPgvw}OITSX@M7KS{hDJ>_!dC68em!C=k)j-{OL%H z?8^0x0_4raT-i=FJJZ=j_O`a6bmul4me{%a&vm@}`*^7Bs(3fT;AOgmOyZ@s#44cM z0C&QJ(S!{2^6YhLB$A$UI&?YOlE_pKJpILl@$C6>ZT7s#_S|u|3l4WsR$zN_AP`I@ zX8_)}`vIeu?{G#7fsf5}fsb%OV!(@??vA^s0FDhu=L7NLy+i3C9;mbb$R%9-5uy{T z&$rSoJAhAbKXmffM2m{Q9?L@TP;+xbt)(n2!8@y&no+W*4N4p95Xy_%SCAN`40f7w zJ>V=a!K)`Eh|d29P?K|e=3O0VSanMrSEuDCGQ~si@HMhRt?e!K!aFAyjwFs#@XGN2 zd|xCD`cVpspsElpN*pYf3}%Q`j1iqrfmgrQf@%K5DbB) z>I5QoRs&+-m_B%?zH|F}sqCt@;%jj0KV$Ll!$#y1V-=2!qA~9^`kY+K9`2K=fGh?P zoUO=ubV88e+SOA;?9#igqc`yq#%fSI5h+}|>kQ(!9W~Q=JMmESc`9~ON7hc`;Vjcv zKtFXxwJ(!_R4%*~d3rF1e@2jh%5RdZ&xB5~{_)^1W4-Te@7HuyIXNMkoD)~&z+EkG z2R`Gq7DdNz-Uwqeo)HQ{c*RL|#UG>VeL2{n#P#Uz9Up&~ML>iP-uSG<>iesBaOh0gveS<`S^bqYkrvI_+ zjx*(~EAxe{fgbql|GIhZmCTU#nIPfE#_FORFyr%(IDhes%c8K#LfCaYm|KR6uQ(i8>y#nn4rs_CsCIeTBHG?K0Zy z>Y5A!1%oaKCWNe>bl@1%j%){}F0{_|yxH73^VTm*a?6&P085F;))Lw6bpsmvmyYP||;MGQGLm9~Z`z{$$BDB2%Mm>O0(+Oc2~E zD3%UnEknDSOgwE2V#H4lD?7h5`;Op%(m(g`tAg{8HpS056iH;@iXc+X2U1}Lc}v=w zMcDVwS9fW07T{Dw=0s(+w{xvU>3aQScRgew&Wz=XQ$1RU9>0Lr+(>q_Np`*2wbd0g z5(N4PdXoY2psLkqfHIOn;mJLkZ&o?L$>&Rv{VovWKJf74bEga_H1ZTYMT>iy3?cwM zSMP{`Jcvha_RtLdjw3Du9s!_(t0uC%8DquRt8Io-_|-g7jKBfaaHEje?xQe@t4&=D z;br>X{7U#d|Hs&=rr4_(D{+i8kr=6`=AZCnQU9woP2X!}FZI;lrWIbL5x%)3Cxssb zCH15sj}eGN4^@a3+Z|*l_0*t=A#BIcTixL&rClHE?7@I-qP-mZMH+|&AmgHZ4_ToM z02PKQ3*xb41SU1M%i<}npx+12R>18wht|GissNz%gtQrC3AB|7?|Cyc0MPH?Ss6fw z+9#1~FZcs~>K+e0b1jL1vp9mV@~wzvlWkR`UpbAwkQeHV>- z#4K9bjidU0LlJ$~a@nZe<F%l`Ni-& z`(3Y9Qz`eBWQxycf7KBGt>z9^bKu&ay^JYt2hO~9-gb21-S2_t{trQ~MhF4-g)AMI zB3fiT#%O55K{ehNlq?;@%IsS;e5Q(n=fT|$uw(NK?$34lhOSjSKW<3PiRHh2Al8I9 zD}Jx%D`C&}EiM)TsiKb?z3JhiP#gN)ZvwNyZ%u*E&?XmCLTZ|Ya;|WEW>5EI?-IcK zmGYo_Esa3oAxnv&=&8r8%gJiT=RwMhg`XbY`KSgxrZ#dhbk0abuu4*v!hhSvL`4fR$2a( zw5kj_eOhbArA|iVyn5Ps*-OwTp6cfAvqvz-ttSY!h4+K#|Ktkc@;RG5+pLpB^oauQ zi^@0XU%!SS)z$YP=;>Ym=-FmHa_GD~<5c7s_52T5_x`cSyu?skZ(+P;z$YjG!#iZ= zUznlp<4?CRY{hQs&Tzsr>r8EK&mfL{B3|h7Jgvn(<+DGm>lji^IjnhaaO}X|WK#4z z{YC`P_uCt#Oef{@En+E}wn%4gyU~u_JCnXAUG~`vo!GS>vBf;|Cj5fUlV`I;tJbAl z?3kzE$K~ImTs$wFDVp=3mUwKrDyg#WUpy|Hx$tVfBBO-8K5n%llNT9q7h~G~tnhj? zTLb9-G?P794~CWO$vr1UmHNYJ4y{)rJ?ulD`mS2ZjY^qUBKbW7zxu9CuQR7KRrAB! zcS~w158=zpXB9~>$t9C=6TWofR--z+<+#aSwlBt?IIM)9=sFon*er@v@4=a=&dO~e zJn~dTbBAdBvH!>L>|4nyrE-@{Zomr1#W$-KncR6&p9R0Y<+E>AWO@qIYxXp;hX)+z zzb#c1z^9kT6}Qt0?(SWpX&V?Sbg(zU=P@Mz1K&w_Rv5E{_aC@C8g`dvL2aWZ!Rm+^ zDV&{Mzt$6YISfTDU7#c4jHv5TJ%U%!C-O^2w768wcSmiIZZapuS*e|$V|^_kykHYF z#`nBR{B9H>?y@2Fk8H2};Jy4$so}l+w+!oKc#;$19d@2SO<$zEw^$G4Olkf>aQGJt zG&GnhSu-4jH~v4E=m2YMYyKf{{1-&}O76^M+9;4C$EEFlH(9b@pk~5Q6$}@fOWIx0#atOg=^tI|tQ!R%mfFA=i9EXEY-)NzPi3I+)WOL~8y z;=ICVLnkf&cf5jC=Ff>F4Xez~33iC@77+Yn5bkM^TlD0KIOZmF@LI?&g|mC@_~Fw{ zmGe!N8bgC+W@d8!4hFT2x($tS>{)G%S#5RnMmnk075ti-B=+8HCUZT-c;JKB`(yMh z;9+U6YU7b7HY9LCZdJge5AFXB6eV74V>_|%2nF{s6=A2@P?I|NH;ov$mi@%yE!5j3 z_LDtnD?_SQ_mVp`A3Ue>Z|PnO*+TZ?3E}>Jw*Q!%Z?N{Mxuq;JCW(^nWbr>HoF&KgPE( zbJ_H5VXP7QZR=qixOAb~32|D#a&Bb6i{oK3&aw13B+TDI&`qp1I`&tNIj^=NH-UlS z9XXD^)?&Ek7pC6#zeOpc+P5(l(n)sFk*Q42nUdRO+BMVI*@Vo=Gd%oJRzb zT+Nq77$gtHnqTZa1)uGY*cr?EBbodD7GeK?7(EV*wVp}Tht%!HUgW0nu^JW6)qB)( z3BaNA1e0zk7i*vCTX!V+A#NOcBN_p&#tuTN$+Tnpk(IVAx&StbrwBV^nuL9~tK&50 z8QJ~PufN^Hix=z{-|(IvaV{T9&4Q>m0G3I?{2-Bx_*MQX(sEz2lejEAg!t#xp@JPOaL7u;Excr@u zp&R}^2N`K#098FQg5LD7qOVH-uP51q@e=;}*EC(R!8(S`4&}Eg{>Q@G$o0Ml;Xc#o z+I9{`LhA}t?`RJDv$*SbY>LK5Z?&q!Hyz0<5)y^2QNPpN4~s(ElgyiYK~59Q6H1O_ zmMU?2&tsPAaZ$cQ(K5&CO=v8*sEd61m(F2*J`OtkYopr>s7)@sfzlgWJG1w=Iu#=1 zVb+ndNlNTmx#O$FFqrL%Qc=0=MbxEpFq9n$IwWWUmAaVoe;^>O|M?-!2+g^P;U zf$ttsEx!)}t;XLbTGcjmnwkVQ#HC>_6U?B-7w_giWKqUQxKX)SCsLtKd{ZdkOB&gr zgaZ%@Vd{J>!XKWo`02uHevBE#Xt4A3r+m($5||vuQz$AYyGehe{iVoXIIK2D^Ic_6 zu58#|bUHQDy;{=syDaZ}jKpppFXzAEb|q#|#Kjtj74;9eZB9Q~VI~C8fMJF}iZp0` zi8F3mlo}O9eP`-l(BAkXodOB`-KJvy{Ck%^`WzUb<317a_IeQXcJ%7UhpF@%F% z`A#)rCHLW9?(hm(Us<{GrDDo<4C04_!@MPo=;}(@Ismb<@$)|ly%6;YQa74@M2F_h z;I9()*fWuul!-4K;EO>e2pnqO6Ec^%JQEe_|EU8-KZgHpd>sdmZPWQaBE@-GsCtb^ zTVhDWwfj+jJ?yJ0yIlv@?zSq$rV+@?R_?XB%+&QpI)RIiKOx^ni2nupI)j{6V@19(!N2MvDw#9XAyC{3x>;!vk^g~F8`vY& z|KQ+iuz`a=Atn>V{|n3PA1@aR&Qv6_F=OgvP#iu}joRc3+3r15QA)L)ecZ6%wqcD~ zF7(SI_4mCy{t#f)UG!XtMKeZL!K(AV6ftt+g`$ww6=PgiIEKo++6JC)AkmFhL^kWB zLJ2TdHLIFM4Ukl&ESuE+U`X}U7VM5082zUG?p-*Nr0L6<%bGceMKde0VVUa~(9wXD zS>+g)&Ar{k)3m*_Gsoq8Jp_Nj{aCU%RI)f9Cxl|Z=QuWTRcG_DZ!)nr2nqTUBG7)1 zR**L|IhY1`cC&oG8nw=8jPCCr4;!}BM3 z;?D_YqW;>sWv+1EG~fFNOK7vwS-*VvLDdo-B|Tn3Yw96*20m%+hS!59V48g#D{q^c zv2)VB8Z0e_?F^MT%BCb;7{~VLmO?W-FIE+@5_b{4)(IqvM^CD6cf^maILmJcye#bE zgDzYruegGIKA!agT>*X$>|syG3$?3nN7pa3kZ+bAL+atu^~|-cKO>sAUN$S6t|QYZjAQIW5Nd61?`%of7{)f? z`cuT5+__&6Zl&&=q><^xWGGmO;3QOtU=PMI#6*{QbgmHHc7s7|k=|LBKL)gtN{vVRMB&iaw(&G+x|Vw`exBzM*fm%8%WlFGGO*L^25ykx2eP zWDg+z39koqf#@hFS#SyhtSSw@Y^E`}MQF%hB3$-UfrchuijYf}N~=(6luK8-NP6sO z-uje%4n^9ztpeJFlNEkQSB!`C$b?uTIPJP>x`2pF_wJW;ND9$gg$nt;^raoP>@)u7 zOkc<%g&K>2SiPB@)pqp;-e;kVFRVjfjbL znAz-+;dl<}wKL)6OSZiljNIGaqf-g2FuQWWo&@lNSjgwb==Sw6{l_q2zjBRh;6!U; zgG(-cMf>z>^z&_NpEzg7xG;LG462R`Mh=FXkb8uN%z=GQi!V>&5QZK<5Z9;;Ke)5M z8iIa#Gy4Tg$?teJ0i*TaI@u1O@3S{UDFYxDtb3Gg%8T3ijwkzV|45UR(1oih^=kYc$dHTLH z{;_v<3O%gZ;Ih)b%;Ko<8Yft2J3u})aEnZh>aFSI5&QKw-Mryz7{V2fN@OykiKiY? zGU_JK17g8f*GL5gzHAHEG756h8Z#U?C)OeihWbP%_8;;sWnhBd?*hECX7ojEV7cnc z`5aGCCoKOx3PSa?Qh)?*Nnj{ZNvH8ZDT}tt2~sic=k2-0U%J5&YDR_4`uhj)C7sf# z*~vM+E+TJ0oea361)wA&GSpgD9=!X9Oipb$tOXqPsJcY;sEBlu@1bg97C$qb**k1M zEa=y+Wij9yxmo<2($_KJ)Y7CGPsLGmGX%vd&}`=#d^k8eQQ9?8)tUnz7I+3 z)^~J_yWj!2@MwdtDIQZ}`#D^rkFuhbz=S#lo&iJY?b~{LM@xX6mm+OL?Bw?E`2+Z^~gjeupiu0z4H7bpSz3}CbfEwSk z^mM{Ib?^Rr#mQ(i*bSoQnQsVMNin<`h%jNLqOAXdnk0qrRAS1CB2p7BX|*8MiUp%w z-gdy6@a?zsCxPUaDUB&A7!6_-9BR5Gk)Q%0xKa4)Y#fQf=q*JQKm1-Zjqw z6-`z@zGn0a*p(|8{PGY(_2LaP?Uqu-wJP!WPtIB&2g5h>XIv$Nw(DxD(69Php#n<; zBoW)c>JpxDQTJ)wgm&j_@f<51@sYqY97}5_NQKW2OKB&#g{Qhi*UU7-GrYyu1Zq-D z*v*eeL+{gSg3!F-y_JF8Q4#yQaE&6y#T2Bdz7t64bo zrByg}8@CNeB(l)Wqa?kFqnYEl4!zUT$A|Uz@>PuLkkHRuM$kGv4`*0(?wzMJ#Y*)5 z)SJ&T0CV#*<7j_3ylKz;gF|QF4a}U$b8wt5`VNX-PzN}!~_B(UR(~6_O_=@II zAHk(Jb&6IW1^na?`+kLbG``%<+Y#)t)-#tZ8ylj!prA)LCEQBw+@~JLpf4l zt$j}3KE}l!TxFI5E)6U5x+3fzB-R1u4??c7bu6#7e|s%mw0t)T*gf0h7J0Pi+!trN zW;?iLxW{(0T#+e|$C_JGYF^2USCn@$+KHRX71`bD3EzG3R7q$ocO>=nNDejeSPh=8 z!PeS*#XsW6;p!fm=7RX1s}4;`;x?Zmw)tewoWI-BPwhYeP4bJz7Q*>E-j+N*w$=kR`IM@Ak>$2wC*Bn80Shw-J1d>{(*`KQ8>ef<3I67@Sutfbh#)taKHQBEJ=q5kVT4S-=p@2a)2Ht z5U2@lvWQL_we?$86&6>4=ncJYZVaA+`BWPsEU>1|5b`at89SIR1+~F`^y|xP`E%Kz zZWiP6jp0LQI{&g9ftP>Ic74vYxIbx5omHh3IxxWop^u=^?ZcfL0WNqAG?T{O^64JA zD{DKQ1IXJq* zHLh`fLa)<9a5d(OyTFR`=ig92K)}qEu#FW(0P{-4f%;IS;)46KZQ$? z*YzX$QkN&LW@2RxbumV&i^T98W0MMrv&1SNXJW|I32YHk`c{8q88H#D_mMAR2;Ve~ z^vDRb1*GGu-ncEwBYpB|s6kjBrEam>Y&dDa)}JhqmiW$v^V#w%BDGKHm!xzs*y+@T zGy8mUACb?OH(aqE(JTM5vrnG_>#;yLht!qVQ%A@bX2E592yJUPT7x6Js2|98l$0LE z?DOBSpElv4Z5y)3#5AmHZX-59o@2+C3fG|c5n9J^JIj4+?1Mv!r7hhHqg@YFi3ww6sC}caHw3HreR|Kk~&(M zp9t49Z8d$dQcWv*@<`tImY;|X|4ZmK!_nfC1xrI$GLejHC$eq1rkqj4yXwn##=_)u zciS*A)FpDS9p9E~?%=nQ#(2XtwqXMm3#7S;uQNfVcpYmUM53sWvLPt8sw1{=e5rn) zcExOnoJJNRJ{zldHrSTdyLZ?|tqBko3HmO#7*0qD7gR%<%lnnGd_Qwwd5ra)P)Xoo z3Q3k*C-EHf@Np^7eLoJN4Sql;A?FvzUevs3nzQyvFOeQo%p+t17|&VXcS}Qi;s0wv z_A4nT3>`Ktp94h1P7$0i1mb|)M<&sH-s6ur!Qw6plU0B$ZeEF3bSbw3p@qgE4|Ft~Zf>)Wru@=kM_doCjPzI+{{>Oh6r>Dl6Z-XFm z+|pnM^Wy+CT}p0-ZHsZVI0?Gi|Hs%{K*iB~`=Yoz1b26LC&7ZddvJHx;O_43gS!NG zcXx+@;1Ec1hwuNL^Uizk-nZUbyJ}1KbWeBH?C$#2u02eJj!?rTGPFxLQBQ%)t22(# z>EZA?-GXvThbtX5M}RNp{i92rQ&A}c#}#Lp23i@ZCEBc1rB@}2c! zZ^n3_BBv`HzSy}b7|R_$L>cSG5)3bCFvN+`1>p{D#v_9?Zjz=A^03`N7z=9;>*3?b zVpt!C3n9yS4~RMRe${{jfwNc#q&eU1L8?_Y325gHUr}D5m=U3kfJmk>nwG>XH7sSj zy&P_8)A3MOI%=rEIg2}7V{)Ey{n6vK7Xq7KgA4}`k;^=;sc6#5fPP-=K} zAg_jw@Hp;)mQ{zr9H~EHKdIv`uMs=k7LPDG-a)&AAPU;39|x+#i2y-HpWh+c7HJIs zTGh9KIqKHY3|5_CY&=X!mvw52@3HLEF%#?WCIc10$gI>+*^^H95P7e>u0N+nk#KI> zf}-oT`aYmndY}J^o*F412Ug4d17&_}!(^=DQ7m8CbYk=lUQ)sU9xANv^nVz=Lk!Kp2i#_k^pb@nn4huE82RBc(YAx^4Y9>_FPbsn+pw2{zij2b6&Ts6#BG#=cCzeStsl&LE9nDm4f=qn3EGJX+W-G(3#^Ww$J6z5THSZ% zS}C!bJ0GW<__z`SF9un#xs?@lcd&xm+Chzi(N^X`lkWQ3$$DHC+e>fT%MVl=WGj*> zP9I(=WkDEnbsLNkHvHx+`!ULL1P!yvUL=@r8h6YD(wT(8 zj3&cV!L@}qV8`w{L`2h2p&=T((~-vJL4fF$P~!`K-~&vGVJYmF5mdBH{?A2&ID80S z$+`F}oD)Qq%^uLI{1Q2Aq-Us4Q_sJaR1_XuaA1Lk0uTujRA7-jg|BQ(r&UD^fe%Pp zuLFfA6F4Ybn!*yBoXI!bMi4Ve)~4k?DCbV=*-g<+$rpV!*euV?I^A2BmQHof>~Y-i z7RKcJ@A8y6uaT|pg}GH>!_&?FyuWZZP>2O+biD=;EMCQ_s;!XiT`lBFwtMTQK_s{E8zL_}tx zZgSjWM;vAPmo+$UVZe_vC4!i?Ft3`fwt)~ZdSWq9T-}LSbTgwc>JDsU1W7$cvrs(( zcCNLRz7Nt;SNGG7x@C(WG)T9;NB96@SJXo_vb#ReS_rwo))w`BvrvtBst<5>4Wqyg zH>K@RT7x77$TPz21igl-Dw+?`2F5gEnhjmKk`@M>oOHrgujoF z)!Pn#BIT?JRo5o$u;QM?7B_kob;_5-$>OY*mxH2K z@M?-HB4eIreg&q5P8ona!90DKV?^msqZi{Hdkd9if z-V#{rJAS^o7eQ6~bOyfAVh-8K4HpPd-?eIIsXthxXuW56V#!OyW$xlOE4UJOAkDTO zvF*f8&jB!r37YsJ4-_dpVn;^-hCxPIeniA5c2vxjX}ND+C5^xFT2gnz1O@&D7`r$! zcT8cD=}y@E2=9KaJH5nr#=&1AINC0X7cj)t@MqKm0^L{(0n(>4I2817>R7^+y=WJZ zD=S|gF#*E$0{a)i-IxXjj@GyHeXx)qgqGu{gv&3jzWgnHy)j6F8Rq=$UOVgg4O0#3 zCeBQZ4mml&G5vs+P5#|&KIC2~PGr4-d>D3NK zVT(t3afJr@&(M)1er3L=_V@t-bSJ0Sp9`c0nla0oowxzfVj9+=uW4Yq!Jx~2NSHc zA%Ol1a%~C!x4g~g$*vi$Dv=s_ODB4rOsvc{&qS~2HV*z&<`j`Z;L^0Cz&yuRRwz=s zv3<4)vdgWHPWQ`KrX7W0Jv$pITyvayqK@wjwRu}ADfvH>e%PVR1yW>{z(IHV40R&T zH6CjhoB1?LrZN=|B3!!aN>3e?i*!5nvEgjjdHbNgi*%16Vq z^twkg5M?=*x~yZtbFe>FEkv+Crv}$ywGD%6YRTy8PfF8|*qRJ`A=RH82O9jKy`>fr zi~3>`;#zkk!h)E{aWl}r2hEe=X3%~YGmIOHBFEC&qOi=3x0daVj$%(Gjl1Oi2Zavp zS1K{Nf{NOhD5AZ{CnicytDtRh`|2Yq-ciyX<`|2W)h3tQ#)^>Yty{8k3Vb&hVQ6nBO8l7T{VB8vOuqdL}U@l3o5Q9P)w$w{z{ zaxHt8FX1pwZms5DWVF0;W!|Ct+OdNP(7S=x+`*Yt$^V9Ld~7bE#b<`T!kunhEQ1Eb zM0$dOgJEOpCxxKa0{Sa#D}yhFFj$xl^dEd)nG2NtOMuJB3}vHVUQ8M7qEx&Qf>|{! zROsD`);Z;|LEXIZW7Q=QK+6O1_^BP}U4~gz3sJc79j(fp{7m{s+mi@hPseAqzR{z! zu0~89H<{5<)#NFLDw;_Io^53^y96Oyl(edB_3Ok7nZS|*WwioAhp9eZ!bMsNtTv+b zz|HdCaKw-7=LA|U-+hALwDNE==c?kpVVrMaYRcILH|9-o=?6I19Npy!36nw4qp(xY zpc_hCpv*ZD{*N1{`_754SIdX#zdq|-lxzk|JrTqEBuVpXV0KL7lyPCFA&f2LWvOjL zX%5(zHH2)-QC^voyZNqXt>7>Fh|G^ssNMV)|B@F{<)cL%crp>Ptk+QRsr(Urtm~|p zxkWIljL+kxa7S)|SrN_(pjN@xek4bTC7*XVfHeN4j>C6K$~4%X&wBy)8h=@U3HV*9 zSk%YU$~kLce6ECFT`TL&)4M(gZ2GoO1$U9IuU_BCPXv%#fxq0v7Sh^g+(?)jYBNLV z9)>$Z+rnuHV5IxRbMB~YJniK&VuRR9B21d=9k%9f|K%`HmPOISO*qgtE zzw3FK+9*P4LokZk-#29jQql>0L@|M;7X|2R+ye&c*H=B5Zal``bL@E=Vu%BKDC+$K;Y)9TDgn%qAhJ(YVGy zas}QCjC~=r)8y#l@>9)e9jwUvYWG-K%dQcsX8l8q4S*B8odcM7%BVcDRm5@)85NgO zA{a{{e^hjR6cl;Q2*&_DMnpaS30$>tTs^*dm>U$P@s>SNzc_B2t`sZ!2yg{mE@ac) z5C%(7`y5;$ zrvi&?Vm7C|{JP8o3e30LBPz)ilGChNfW7(?kuMW5Qe(Dg{AU>@`j zE~-hW?Z#@X4}NL%IdjiS_RIN8NHrhZzIAP$V+&|XkNp-CASXNYSZ#z<{YX79VJo49 z7Y)a-h+%9NL0-ejH83%@eV0^<5Lr)8IUq?k0g&`0^MHkv8%L9r*;hy`RXKtGk^ zS+>(rlf|oggm{U-Tf-1OzhBi_jqKB}Uw!?e6ozqeSvJ(CZ;)yd3*n39svaVU@0}9vKdB0k@`|{poy99>8C7f&}p;CG#l>6W0KT;#^GmD1%6bySS+~aTS74{j^Y$)8!Bkzs}?Aj?3+?P65m*E z?Rkv1RV>Jyt@zg(jDJfO>;OX>kwk$OEHPMkO%GCt$dwk%EfVZ3qz@*6rvCobAGsi| zK7C?i5zhhwjqj|43p|4GovH#qf$5k-6MAkU1y#}xY@JW|rWxGy^rd@i?I=UnFY_Ot4E=^r+zQ7K+q)4$mFJa&vgWdZCsV){Vc1@Fl31a2af&%CQqW z@5)+H3MPtNoXT~h6igh2-$fOSJUoXk7(`3^VpUh9RD#l}L`Ss9TOBflwzFBT0#G&c z$=ROoPaI{kNwAJGVN|h?00nnDXWQgx{_#_71sj%a7$H=#yC!eMNYrJ(J*vyA1qUav zaN8UaU$NS1$1S1I_1buL6GT#@G^!|m3oPG=w zH;TUFS}}!guCd5A7`|HDKN`{v&UvZbJ7!G&o!))*1<7V`jucY)OSEf{NtRF`dR%zV#0RfE<*#w9@)p%J|& zM%UXb^zOK{O=QA6eqr*&<&;3QGU#DRiY`Y1<$^ZVA?3^5R|!0-xpDTFgkL8Zc>H(k zyYeV_@pnPzEl_5eMAe3=V%)x_UR-$Mxhfr!bh%=Zm%&>zxJxH-QK3g^tE7V`K^`R= z>?zC@vf_o^=W3A7h(-x-j1=i?c1UFuW`N4as5N1L9v4j(8&j_8E1*x+jplGW zPPV#i2R42MeRXuuuF$Pa#Z0Fw6~VjSfz~L5k+I(6TYt`l`%%`i9Fk6Vs{6Mvp&?)g`;%e8<8dAZy!HUfx0c1XDlomz-w!UEt6+huIC`^=k4tG%E)Qo z+bp!voHN^)HQSgs+n71q7@vXTb9DYRws@0hf#&jh_x|A6vN3n3QE0+`XPz&%sF!u< z#WA=4ZvhS1Zq4%t@68JbXH#!l;yvpEhGxe{ZAflq9X!*X|B1tw+b>o`6`qbOm-ir+ zPfU?zAM zLg;XoAh6UV^NJoV?omF4Wz^ePY~OS9BtmSy#6;$hVVj~IW-Z1Vj8Q?V!7{klPdCnB z`^GWs(fbnuXg$1V0}cGq(Omt>cd1Bf*40*ld8Z!jhC7bC#V+fnyuEqV-QQ@^o}i;2 zFcHT=FECSabv7C1xf&PC;XXy;;h!*7_T?Nf64(1d3;Gc0PdYQG#GTDN7ew2E_2)bJ zQDje>_`y7Q1hO3kKWW@z)CmKVHd{(w{;$8WcR6dE_C0zc6$I z*r<0Fc@KnQDgKLLqKIhkE$p=4<|3v)xt5*6G6-$h@QIvUE*MO{8Zqq{Ol|q~u4@H@+-Z(B@@H%eZO;4~WPR{A z=eI4hQFQi~i7e}mgPwj7eYBPP>g7zE>X6SDh3;zhY`oO%sfsIeNi&}NM;{=KKj826 zn1kLq>;^%Xs1F5+rJ@7Bx9eD`kYV)7OyT+S@oVMUBQo7i($-b33vfw#+Ro!!WlrX{ zR)Pd@q)5=22m3g&tATd5UMlZ5bjOCksq;V$*hldY;Z5_uNH3Z%B1t5{(M{FnG2Qzp+jY z0Ok8?J1b#J_Dk+s68%-6~k`tQm7@T(kpaE@M5da^3FSXg{g`leA*KEY9tK~jVG%gW=m zD_^>CiK3Aj-i%^g9@BN51tRfJH=!^AB$gBF^HX+L7rgIT+=?NVRM`)GERulYPTZ)7 zlSbEj?UV9l_m71r;Y-!jqqls1S|bUggKx!N|9Cn^KV}berYA; zKVyvivs}iXd(>B;gk$aqPEuV)=1xT=DL*;I^%$BZ-x{NNE9z4-k_i-3uDX~kRg!*k zTywh~|HAjQ{@eny1oGpPMQ1ez{(|O)IUess!ot*`I`EzCMY1aV@^=ErU6UL=l?V^8 za&I5VwmX(U1{}vfg85;u_rCZiv-egRBD3YjABM!sjl@d?=e}fu|7C?yr*e<$s+irV0?HhKXlDpat0Y*AsXj< z{+m@k_qyU!#n9aLX4f{JpRZEV@88=^Mtd_#1aGwPe&{`D*enYzkEPt(WB%5ZHHe69 zZ6TX9+N2XHJ`UqOEY7Q@r*2i*{H2@orPM_jE^eKP`nHtxb5~k8$58M|HN?a7c)#Ye zpo+N^K4|-79zSM28>(0cUeX04W``1&@GFushP*ycn^f0km&LQiD$hW*qFf;JI)kN- zO2e92K`0^~C{6^u?2{eC6Zcv9hDLc8(|yMi0|PI`+mX&qs!fX~;MkyJq{kWA=lJ4z zVi}l<$R~?<)xlVw-_&O?|J}F3J-pOT?Dv9pv7lY+_PK7?H=fa^u0>3d(c{O;sC-ux zJdtz4J3!W$lPjhu&*ba!EAaMJ7?MM}?PmQr`*d~md3E4|GNZTAShcud!8D*%;O%68 zPN|-2(~sTmX}o!dX3;udZqkoE_RSRkX?*D>xpI>xd8-pEQ|=N`UwJ@Tu%Rz;`uhQ? zJ_6rb8nP4$$Nq@U9Ko@x&-#s!3()MitEWa#sHI5?@WI6z|KY%un?xHH28Xy>bl<*O z@PXL9uvRd98p?xtSI8fsTfH{QOQyA!?xF!BS=gsxs?PSjRHu%H-yQM1%CPZ{2?lsI z2eKz3r91=QWs64@9o(^9d?=oLFjKC$QZBBrA=Ze_A%prr+H>|gf-BWBo`69=85wNUf`R@MBf7>BmAPCQ zuVoT;7<};^GT-2I_Y5db$C_rp!Z3t_4-FI{ z#Cw60DY(QkFwcu&e->=^tFRYcxM(?|6&ZjomTjF-fLwU#C1sd3&W)H}t1&I1!zK3S zRaMooJ={@iCnnVjHZbO#;K>?OqY`eX70zT34*VvCjXN-A;9i)Ihkr3CHkNQfoYS?|d>Ef5h$x6}QjCNcSZhtP{&$0Lrv{ z6rBud6L%L7!poSO2NBLnpW7=FAwxr$y0fSoiWXKn`m9NcB3rI0Od12zGD<(UXbG0l zO9^4*jjA&!MzAk^`1$3q6SzYuM5(JyMFsJzlMVxUUz#&`Ug1v`!*}ETTQmQNL7DR= z7__j|yUVN2pD!8IL&l{KdQ>5aQS+2vN*A@o#-`8!Q$9oUf$^SzI}1;-{SiGXLhi8L zZkXXk-7~bX*nZV);W6v|io}p&NsKsrzBz$DG=qinz)w^8WO@{i!F`_~j5Do!D6DY# zLD&ZIeuWQ(A2alTbcHJ}b`6(sFp`rNgWm?sfaB3^4k=N%EM03cWX~|Hu^LSPp4RHu z-Rl;|V{E4Q_XhIhYwq5qKlr<{z*hkYYNB1)mh+Z? zN5ezegIj&;_@^xHzr<~;&95F~LWNHpEI6+u$5~zuBK{a7#rh3X{&6Fp5P#g#*_i=7 zHqvK&17-p+-L5fl{$z9X{5_d6AZfd|RNUj(b;$nfp0mpNr&-S@`Qamb7@mmp*pGqu zW!t!8Ta?$nni(>ZjAgzfWW(GqJ3s#;1lzMunZO2a9OID}#eUt_Ns#C@LTDVrkrPWxttWj=-CVSJ?9ugmW!>F0HZXtqIiZ*4#dLn^ z7MrJ?Imyn#;jLrFJo)}I??UgQeNsxGXX)fqtx!TrQ%IqvpGK1`0JTMF4wiz zrr^(0nGSzp6)r<-dNKU((xW&`Z#6v9yuE z<$~%T?=NIJwQ}?{RQK4zC)kMq=Vd;@V?Lp`cLn$D^E>fon@>?gFMEhd63?bLsT`8J zCyjC_d8|7hoxU{HfBhWqYmQVD8b0uy=?8Cbd;1T#N$V}-*l|Mjp=~Abk!dyX5hfMz z0roam_e`ksMD$!Y@x8!4;vgaj99O^`l)+X$)Y%_|){0a;8^-Pt92>MGWS(qQuwi3N*i={P-4l7qy zlQ0EeiMS+2r}Uwlfd^GGT?*OVOW_Mq$z`9m3i+8Bimud~Hi${umh(6@iFuY)s?-K? zR*qZK^CD*4?HV1rc$&ZVx2_V$+BvUNYuYv1jX3EpIqA+jZN?n77X635k=?fzCI3ID z$r|f3`w){n|4Y|n2G@mbZIy~&GJe+5T1lF`^>WEf)yeRQ+ITBh3D&ZywayoM<Z#Y_ojB)WB~pn7 zQ4caDtK>cCR`bF-`B}}DB(-0^DvdfM>*|-%lZB~K?Il!&kf_+vEf<7h{VZCH_CgC|iUr9X1x_>^ z82_0}Qac~FUJU7s6vh_~NFe?;)?>L)vz*2{E{9N?MpjENe@WBD?H;MQg%zUqL zrqJPbutm*XVh=c|`C(^yse51d@K&e2f5yl*)}HlqFjtQJ04XGbhoFt6^xz_%BUHcl z@Y)_HpQ8Wt*v!5pJ;m^3A7O`!Sj{+p4G1m$RBFs-4#hNHK3f8Uc z=Fp4k9f+<7GK(qeWTgyDM;bFYIweS_*2(InKphf2h%c(L9~r_0O)YrcKxuY7F-B*& za`NEXK*3wB+~zrbaW_X#LWXd4>Z|eR26e#V#q(D`38zv%Dr@>)>T>+2M(4mqOKz(P zeH|Aa7d^%ODr|k{XqhVeels9-6KNHD907ckKURFSsu$V5nt?QLDh`IiacIcf?nD{> zym#oSnyUFN67O=_dUK5@L5{UGtpP%L7Jih+U}ag@!Ka3dDi!8z;gBV-Wb4sRy3({s zGm553$-MN~8Wx89R8Wg8C#lh$ahEug|nkUw*?&-Bvzp5T*1+HTK=gOxEzUAh!YYCu$DvJ(N zCD&QwJYZ7to|&Mw+m@5N1Rrmu({KIkw;2ZwbM~vV_HaPD6SRHu_vv0&IlzXg`=W{S zB6ZUxTC%=7QJE{v!n#|=|5aKt7uNqR1c}%{hTex1fVj@vXin8XwRPR3J#%^0KC-?2 zqd(~7z)dgZ@6%*NVns%zn+o9lT^)G80fhQh;^<>WtQ^TZW0)3k5@5RN2h>b66 zsyaw&w+-dZxMv676s-zrhez`kOh}{Sg-S!ou}FL_s%U?F?9^QMQ9t}sFB$q?RSGr! zE(-YAxybK7HCdSSpQY>mQ**4R+F(D5rpG-Ok(Vu0VGji^CZC@jvKRfQ0F~(o;g>CS zmHJ88{OkqLw!eEWr_GkTvxT$M28RUCqaKQRciFIAgH8>5&uO4Mc(q1sXOx1;mxKV1 z_Wq+Z1wYyH-cr@wg-VCxr8egaUB1WL>{*yL_X}Nt$6KpCY97#3ugBepke|Gd^QmtA z7o&Jlt&@np@mz=gPY^U7z0f>xIYn&qFLchBmay~0MUdiB5hHDVm^!jKhD+RhK3L}& z-@Ij6oVwmGpJ-8MKtyXtz{8%&25 z_j+A{wOXRw6%31(Ru!A{whMjUM+}SQu8c~a+q4wy))Z_;DqGRAlMPb~`TeSXe;8R6 zecQ(IOuM|8VEHUNKv_qAzpYCSklLcvE9-*Ws9GLb75_IdDG;Moh2)z<`X7P*o3GLE{!8-#CVJay;OHZb>D{oUrA zb$HV@X(wE=y)bZ`QDB%aQM6!kdkiMeY(aGqxua0MX-ChWQL^ivRzQ6I*o_tO?bsH;oV+$~}){eziXmMjmw^m=EmTJZtIAQ-m)@3b` zZ8}}fpw6+paaOCFvb;h6-O;PMMfKgpS;M9{+d+9noglq&qahZ^%2$1}x!{rB#^2bY z+qKNFH%{=Z7|3-3D4w+}<)cT-RX?*w(e~nBU(J zN=dVMHWNQ30&L&K^571g{Df-N?ZsTPM^PlDP3~<)5LG&XN$n6bn~=YPhca8><^vPU zY(_^FRid_gghWgE%7Y08H&L?r2@JFm86E69C_z6W7|w~Qwr7*a{`AhvvRihu1xrA{ zHW_ez($J5K&*$mx*+70KujgF0E~CkU1$elbBlP9iFF0*chV>^hB(gOXZiOZ2K#7?J zsDCIxr%0>TZ6Te-3jJp(*yQ1Q4XZx!CT!^W-P!@IT>2=toN=dMYZSubaYL?p25~Y9 z-qAl~`sbYs^kv6LJ;q4yPdCBgCd6SnzQAPufJX*>d-gG+{}bVvLNDY}gIPlt>Wbrk zd2Jq;uO-!n50D`V?Bo&JUWNeO7=lVMC2Ahk-~zc;MtmqUa+_s}t`Wm67*bkH?d3dR zT>=|>;Fe72`K_2;O#XXanyf#4utu0@UhWeRgGu^%!aWk(v`ZYZ(T!H8ant)~1JLwS*>%C?qro0#H`5P0+2;?by4err-bSX+pcIoC*pMu92#Y4iRED72>Q0VT zHhK7{Zv0Cp4;Dd}Q}GBmGawSKPa;yiTc9lc-o5g@xBBAb%)%w6iBH3Y@B1Nda&i9j z{IE_Ifk07A2YHyblWRkh0@c055TOEk69mviF^9aUIR~j};NpZM zG8E>`2TJjgQEiqn-H=mj#-}^NO7lRg!UMUyZyGV+$T5|ICU9Y%L1)~W-Lyb#F6Ec8 zoa3({@}~X!%EGNWf4SCQ!{wLu^m(!LZ$cNdsfp%zc4T3K((jN?0p7#93eR2l4E~~b ztc>GBiCqWv&G-HR&r?R{^}=sD{Iql3Y2@r(2B3ctd>r`$1&i#c+R;DTq`hIZp1~;5 zq!7UUyziW>zZ>o*pH8St=nL5=-vWcta6579Z?*E3U?d_|llexfX=(X4z8+61eh%r| z#ADC)ur^;9(3F|lQL>(>$mCa*v2(vlFQrA``88gIEbGO2jO4^i0 z)=(Am>Wj?Q6wuDLbZXl37yNc>lWx{Mw5vg85z?Fc+S46!hk9YtdSU+VzvCr%`379` zD=Ez#qs~rTrnsA<2Yxz>dwKJj3vAA|Hs{+}iEJ(iw>HOZ1!HpGGjFp@b(t^U(|jG~ zk6=dI81(4+g)CmqVh38y2O2i)cj*gVN&Je65H;TQUTb^!gdQ}|>sIfe-!;G4>+vnV zy!&#?`N?ypck&zP0e1JAn$~oAzw_;GH=q&3+wOK2?{;rKM4C4VuXOC*yS6^Z@O|Pz z$#s!!9^7e77&2k}7o$B%#eha0%5zkqc8ea55te%JE$<&w-tkl3E^)gokf^WjCjy=; zvOe!&gq?w1VQ|%`?+QeneHdZOl>X}|pN3Sb?DbM$X}iKbq>Vt250M`?3B0ItI!h*h zBK6bTD7l1~Y@Ju!P;XMkkEu|BX`84Zfz_}krv3upV#6fHvuT3x3t5I^Ld`@ zl`E(VK@YRlcY@<~ZBT}%lk6O?Tn=}iUb36Jv@(hE(W06a1?Kp1?OH$2FTF}cu5r_v zaMS51)2mq1I0Vz0WYg(1)2mF=IGod({L|^8)2ouxIP$yqZS>&Ay??BKa1ajLu#Rv& zb#*_z{df`>eDWE2>KcD~n|czMYel;9sI@RS9|`eyO)y*mFYuR{^9Gj|@rp2{|E$<` zi1T?aIC@2vx`6!Q^WY$XImiVT;ox8KOzDj7QU2h2_!)LGyGW3gHix}K%iOK3=ULqT zQ!>$>!KXwhN<_rrV!fF5COTq9ASbWoW?a_|!q;t0%Tapn#b>=q(NbQM zdvbsNbWVlAx$t_)pO{n!Te5q{^W1La=6&Y{$IF%dy`nVP!eZ4-sO^|-Yxje6Q~gT< z(3@h+y%fW=YXrX04-|3(WT5(PYbXhFtc5$tN;hAnyA5ch6+id|J*Q*e!y6J`?LP%P0QHKubrBO^m>Ep3!(aJMv9&X>VtU)W8g!DurD z7r~7c*;*+LnJKq&(hfAy>f&Q5>!dItM;YmcB5*vq$=swIm`l>xn}gU0z(UNyxpE|T z)I(aj9i_{CJ#~^<-`#b+-^?c+sK*^16)`PFO@9BCJW}vSXV0k;T0bI`i(Hsdn>wGR zq$x@pdM2cs_z4_C?=$f1Hp2nY@zWc=kh*`ySL_!(bJ*Cg! z;TQ&LiC8cD|F2@8v`c zl&yU(l@u|*dddz<}J?Jg58nB zRf$@fwbI!{*ox3a6`q3tb9~(hxo?PxR<>m9u}tD+4WVP4t!SAe*yQtJ`qP}P)tOJF z=4;DaoIf0OX!|{>@n>U(4l)z91UWFGEhJ5Dr=%J4@FIsfD559L8r*3#Blb$Ghgf#n&P zmvZ9F6>E2#+#7b~v%JDhHsK)Mcr)nbov~pjkCET%k|-1w+bo_QS`gdJh9Q*YqlT8j zE-k8_>~df$euic*b|>Zd^2<_LAJg9%&P}oqF%lc|i~Q$cEC9_F?!N}yV8E*I~UtA!-=wuGVF$P!z-fMWu=8oK@`=*@D6Vp&5%K90EKVJuY& zAmn_QIMHur=t|O*LgHHm*gA%Vw_z1QRu6s^8^G5qQRG{$g_lGs{J>(0TEkjk0|}r2 z=`Q0iGdag?DWMx%^kqpmIl=! z`P%JC23Wm*=4H}D?mh$3o}WX+$=ykafo2zSvB$7h+ck+389@q|#oMfu~YjYkBL8_r;$W zldjAj$2>!)J)(&bawjpUm4I2Hc+ev~-p<_vl75vl8bXu?#uqBy_p0XAc~o`vE2;aH z)AB2O1q_)!_9=RI9fY+ULvBu&T)c*xTdGw@ld|Xq;P_A6yvNH2PVz{~EX|llw_K)9HC<-eR4def)b_DV{oF)&JluQb%JR+i3?VT+ zJ7J&FV4E_-J^k?fApc);%5HnY-i!~)4p;kyZ-eGiInF(tTl6#;b0};p%mx-r;rfX1xGu2b%xqhEHX9e+KO}sFn6AMD$P!nVs-XT zFY%@jb6UC{pfN=1Kcj zQ+(~WxQ$I1Iv^m6d>n<@h5O3bi=L$AOF`N2A}Ngl^P!k=#+_pigubOTvAbm|pRjRU zq?wy9U5&@|RvXebZ40zun~wBK;w?|ne#yZz>*n|-V{aj&u!}JZ`c-!_gbYLGh0|j7 zc5$2s+mQ3WU?Fbro4JQw`+5I7pD#}r?zuZ}QFQS2zFgq^E4Y!ab0Zs@#uxuoDk)nH za^shNm3WGBW*h1Fd?ds`W>-p1&`_e=sZO8)5J?lnS7UP!>$4r{CNBs-Y1~kgM0?w! z-Jy>?$%mRWX~IVX5*oB-B8Qz77}H!#{AwwibnJL?Q=V;7wgqR!7Tg4*6%&>r-yi*; zsCOsRv8(q0oNYaiYCoSe)cZGe<)9rL*gkdl41)~Vs{dtBKj3zBIbT)eHyhF0+hpWgPCq)@OTk+RNjhnCi%}+{Lto!Eng;#Qk4Kf^~hz)pT03n-F zM(pE5U9OiqKnGi?M9<2Qf-r?p3eohrlC?#NUXCdRK^3udV5w@BJ9Ks0)F#G^Ytg-5 zKzHg$Q#WNhGI!g}xt3`H`+kNvCs(NCZv$~)UA~j1>2Fs-t(?1`?TiL7n9(?QLnbt& z4W5+>j0RPh(didM2c`V~sxAS3@;u*D<-WBEEUp1(INiJMMU7{97yU=aVjnE8nl13V z-ZS&8I(8i>w8ooR?)}Y&d>e4Zbjm<&0d7)8M0D!&LVP&|*6YGDHEZUNrk}a|nNEsE zRX17r4K7pJ2Q^dq?GuO-W;)eCi(u?G#DyM)^9ZgqIG%FED-Z}+M!H?0i6|d7T%Wyp zn_Y325qH$GLySanL5vL1J4CP6(d9ttJ<^J-!2gE&W}d>3i+AjAA=z=2sci3WF6X!0 zm6^$Q8oDz*730h&tC$L_POFM=>YKVuo%Ly{08@lSThI zqbch=We4PU2y30%V`(QRS{+1Y;e(#r0 zK&52&id%uDX(Egn;c$W-G9)-Jg_W|qypY-}SfgRu5HeE`EBwvqi$lgc&szfq4 zW7;--q1z_d@)~&Zc6sui+W5_o5TH%actW-aYQ5rjr~}=SE|HzS{G74DuGcwaLZtAB zCsxx?dh2fUmyChTTxax2SrEoVYHFT^8e{}=^s`QWcE=EGl2;UXn;l7XpvVUY2-6!H z|H8AntVN8}z9E*L@>Vf4IZ?fZ;2;UY$#`uXi8(sSv!}GM1bJN5@+0i&;|!9+j&g-# z%uQO5CG7}qmX1k?oj<+Q4+vpa7_NERIMr6_V8|P!tuq?>P$XR#!D*z;;xX_x zIqxaGtnUI8O7V=dsyM4?d4CXwVigFL+W-#FKLv^5>O6b|*9w(?`W+8I%_izWM@pzKdwjG(&vrg1E91vOr_jk83)MI2i?8;NuQp$ zaa=fFYkCFI{{86?Ermed&qA|;LexP)WW7c&=zUFE^X zOQ2KKUVau~AHa-frlNp%3T^5ykco=nrniCquqj_}*N_l^jkx16Db1AdFzp!}Ie@Qv=!dlevi&h?C=X2j5j<7n{Oq**9MP!t*&F3EgCtu zim$U~XM%KOoOKTk~*@a<=bfad~{-Z=`uRVcjmUT=TKrAT1*ciHWe zbUDlX7gnt7LlD4`DlEyuea@K{%+w^~bM5SD;T)&e0~R+s>@HnSawV(-N-*NuZO=D& z+&Tujn?!Qit~yIz90`6p*{(}Mz-j%3VBW;PC%o2hM^QW$1hoDf)j8{hU3V`e$S5PyWe6*xlkjj#?>o4az2Zk!AR)Uwo?~P5t#}?iQ7Zd)<2_e{X=@?o6G0!^T~=B3&0E4F8*zRLRx+1FlSiAxKRQrTp$t#R5SXVl9$=J=HgSJ zJFI2F3@1Mk@>2Xrd2!IM`2sgir5^(SkdM-jH)Dd!RO%%#;9&9`KicG3%~H~Gn%tN9 zc`zPJR(||F7-TXj$m4lZXHF&J$ll_hJLwl9aKNk-eY6 z{tDKFMfGJ6*rTNIE z#NyW-{`r)ldC}iv#vYtXB71~6fi8~!i@moDj=T2~bj{dih?$w0nb|Qj#Edb=3~grS znC+M`F|%W~V`wuo#mo%b@BC->-r1Vny|r~eZk3LXj#_G|epG@-64YcLA|E{M|7eVO zx^rDJvH3HJ#>1_^DQ!jx$;m=H5`yzaHFB9M6e>Y!_&}B3O68S+FLe^@Uz>t}UatHg zTM@`vKd90tsr1>IAZ>m~a9>6L7^ZPKYVJodBuV0L_eS5twyym6#_wZZUoU7^MooWR zYu9K!=`h%S+>B$D+>les)yWJ@SAp1X1!!yB1=McO?%V@bw1u#*14MFEG7`!hZDoO? z8?5=(GLBe*(-?r^IMRy&lyRl4zWgBgKq!(|M6XNQ|75rt6QRtZ@!`;3XMzI>udK4r zchD+hhgrI zdASB-9KEpC%OVWe!Ix#Gko3Lf<2MIf3dL(QAEzAIBy#V? z)U+C_y-GrE${4#wr=;VaZVJeH@dtu{$KHVb zw6jv`C%Xd3^G{Pttpv#?##X$u$*+uW!8|Xa>3ieXRSl=FdYE(pYXIc3d~aydvrDl# zS0Yu7@xvi41;{ic)uIUp!7&yY~YE2kVBq`%h{IW6^h9kEgurptbY$TDX zD2=Zol;mW%^Z)viQmJMNnO~Ens3}`LGr^8VMsNn^ee28~kFb@K{X-!@)2}`@EGZ`| zl>e8JnyQ#axe*c~8N-A|w)9M+DCyaS%})i6u03`B&QD zM&@yCq#PY$r)fmV7R2z2o+FB5Au*Ct6f~#qKiqtv0uHbvf^2u(3bR@J?a7|;M=FYxnS#$padQ+y6ZN|t3%_nVBk zz;S*O&!!khHI?Icpv4Hp21Ce5Vw*vvg{;iaKmCBupi9z*F2V*?k)PDk7E3axh;d6! z1PvM7X88^Svq4E^ke2r?$(sS9emR@oE<2aEej6hE2C1dnm*Uy6!)WPGf{7KTJL2w3 zN~cNjOM%1<6(q~Gz8q7=Qd%2M)@?|5PWc_V`zyVncP+mR!HyAYXWLnDJPp`zyEf?> zrn}JH`u(eQn;Fx=pED+%HC1pDc3iiEZ|NuB(yM|HJ;DEh*O|LNMs@U$J&FJhV^Uqp zn6UN~Z-HZy{HVdXu}3}Ez`6hV&P}>{6D-yG3y%M=4I=zkku+T@i04Au=ntLW6~9$!R((j40tFVi20gZ z7dLr+g5#E>;6meLGkpZdwJPM)z%>=;Ab14FK@#6hfvZ8*&tpaju6Wqr`m80&w|sE2 zx%1T)(eBeDyPoThMb7mlEwc8@WFEISUH!XTOJkZ$`w+&Y#=E+fo0ml+z`W`+i2_pf z_or4&57Fi1o2WSts8ZF1Tswqn-!*hy&tdX<1w5#V#bVhfDruN#Hy(uQ8I>)@tz57H#n3{jh2ubrSsN(z-oK1#DYII0GM0Qu^06U;CBSm)`*U&+V57ht(7fcT{! z==EDXC2mEeN!8uA!}0QPCqk8pkflsHoCo5qU}&IaiOwZW7n*Dh9)l!duJuCkl=7%n zGlI}oD+z&Ad8ahGGh6Z=!1|?^7dWZ`4LYYe~nFE9eru_l) zSz`;9)w)$^rR5&yh2`^a+H>ufh2CL*bWP<#BQ0(PG#TASOClMOLuW42t4H=}4~>rc0o`^=KqhSax6w0Ltw) z7FJX?Gl+9VC4JEORm#&P^3eG;|E6keENo`Lkv(w)LaA7-+x?AZsfF?%XpKB+g?cGA zL+HhwiAe=|v{&jf!B)8gG5CntO_3rO);0_Bmh@D9nTrxgm2amWG)cux$mIGR=tUj} zRiN?l`d1N3XQnOv^A4#V5#|~KR#s54hBsHXrsl)CWS<>@_&=fnm|$-l`OH30r2$VW_&DA7y%+EGZ1?urHGcYVakpo(OrVGB=AAq8KYZZP)_5ihavY>evA`7DEk|q@K!de^ZM^=%vh~EW^}-SXzpWy)JP0N5 znIjDae0=P6a!ZRe$F5LD2J=*9n0Ous+ixGt*HlrG_zs+NA(H4DBu^5wrXjGO@8*@? z@2O=#@OZnD+8mNS^X;?73aXvLs<^VPpbe>{{QfFZM#xHgW(Wz^k0!2t{+5c|jSJ@B<%xFmNI?y%oIoxSAOHE0{=mrX->ha2mx z-&J}oI$C5X3(H*i8Z}*b+;`KmyyW$s`R3&K_~H5fmkyKrp33gj#r4R=^_bo6|8d-I z$1bkXC~MR_S{X~4MrIlwQOfiG7z3I{n1S!fk2e_u`8|;r`Xn(%VT!*;_bQf{`Fld| z_?l~2Q3Z2UEGhjF zJi_x9rl5C*`*UX}XKnzi*TvIxQou`VCz0x*`P^O$=|vgom+u>*B9vcKKV7);?O6iN zt%JAeBP1_+dJ7*+wmOKqr?w-A0%F_EEfwi{7)d6_w1slM)%c+dei`(g^4w5`WA7iG zEAcvfYUuA_&&jFtYFGHV)gb@uaxT zIBtpVhXmc``=_cN3q4O92LTxCC1Ymr!wOZK|HjiTZs`C1F0-oVxys(7u%lcR-wfY~ zKTRXMJCPB8Fupr05Fb7^oB#2N!0EAtDpApqns4Uar;D;6@jjgEk_IT)qkQ=Gdi!>A zd9qI_GmM?9?k-zy3w>7TMm4;d^&l;ny?gPe1KiBckX?Njn#dTQcjGc48GO6r`aq4| zKe2r$?zCK-4m$lKHe^K>!j!IBnDm;olbUbax^}_*< z4jq;-A?~?`Df>|jfrw&gM38rF;-#?`2c~USBY&`O5=}%6NRbyX$Kjvayz3pb7qYLS z#G(BlAjs!xCGI_q9KWxT!vCRP*;qI%&JTIVspj)kuBZp)~)Qe%H53Dc^a{X75KbzKr0-^{#xRGz85 zk3;L&YDtGHWyv-x5>YeMvE&&MEI=&aK_OJ5al_!3n<}||-1vm~#mPZ68TG1$E&F|wh`-yVLVLj@$^a!Q-~-LjmOmVg@|Ow15X1=Giy<;jV3!sq zwChOY_@|J+DSx^VG~Ec3A)R>!@=33(m0tO=1GS}5;7cDlS?&1Pq){T2-M5{C4w<({ zl`KPe%*@z3;0dsVmxSfAdUzY=z^$Q_f+*B8BBC?LiAntd&u}X6MRw7Mk{#qGLQvwH zU%$w2Y|i0~G#(eMGH0_zQMIB-Jj7WrHo6vRU2d1&1>X@nSA#xeeJ-Z5pLcdLp0CX`tov50i1tyBFACc zt8yKwl5o~egVwP;tfELybI@wWq1H+(+sAQm(O$R9(T0^xSm51}9XHUDj){0f&RwYf z`Vw6muPVMufJ>#rYfI^LqL|pZ%J6#oJUXtk+5I6O{&0OQKQz&4>JO7#l~?V!lq2r0 z*;GKNIbguuP3(el((=Ml!C_+SXk)u{@{pmbUoMdI&L=drXpvnz%4M8l8>n2`Vb6iG zXwlw7qv64*T&fIVHhoVIETer#e`3e#zbhSMhMcI(bC*6>xiibbv7eHW!Vm z3~c^03);YRSy+dpuc^(ty+3}QNF#+yGTuL}UIx6Mb+yBz*PKI2Ro%dYjv2(=d9dEY zyWd>`KAvBn%~t_+f?JP1ULSmmLd%TRTQ+k@@iJAk@SrN0Clyvtr{t%*>zT@n@2z|F z%I>bMdr{P_!P=<2=nC~vNdkL5SqFEV~#^ zC`+yr7hiLyX{dFPKl)-@dsTbSJwW8OM1!W**Z|H|c}<@LIQ}vF6v7+T=0iGeW}>E5 zepFdS)XIg=_!Gxrzg?fXyc&n0ooAHY0-86R&$t#}tS0@Q%RI55Jc7{9BT?q0v=)c? zJ_zfCowvp?8t#|*Qrqu=&vu$0+uPAXyExvZjMsm3_LhAK>7<7VrYH$->J%|(Ig=S$ zg&v;o-Y&0KmkAje^a&UPb?jB75Pq{D6vd<$Jj@7VfjXh0p@!T|J4|3>8sSknYT@oE+HD&yD~g@qn%JQ!*S zP1V6~*d?4Id93g6A5qJS8mF>x7&aQe1-y^Ns{Jv(ayoP(bfC8O9-L7d?!P$^Y}$<$ z0MtwV-FED0#W}|*JrsuiRIgR{@vY$Z!cGQ@E>U>^VkhgsO?gRe%@9BYn^f3fq2nIG)5sFf>*H;3|rV z)N8hX8W%gkWONQT#38ZRlSv(}DWRM8$wR6pO-9!h6(>jcGL-l>D@!^ndr|+2;7RMf z#G_rb7o}T&w?3Jmp|_3hD_8#l)1k;Fq*dcjY$moS_k`R)-uKP;Q_hMU@H4(?a|S{s zi$QqlhCq~TFcdP`XM15TJLUu#VEQMzdS<95eaWI2&060Loy72H!q)(DknLcEJx3w6 zd0vggr+4E3y^Sy98706BI$#lNG8bP8pQ^y?-(#UMc{GW2{J_xjlH9D4uiQp zC-nZL=(`n)n+r#!7bCjxs?7J-G(f4CFfQGZKpt&33?)} zn|4bxm$FE9wGlo}pVJAdt3{>#bMa1A_aM9Ass%e7Na-C%6Lz+F5Hl-0l*R0b{Ni96(sgk@XaW3JMY-YG5-XptQ?LU(<*a#UB1a^0+ zGBu1fpsMyy-aafE#CME?s@M<{KS$C7AwY2U${EDXz+FlyWqk_K?L(M;} zL`~x*0~fy5k=@EL4yja|jFA6f-s6K)tF^i_C9hhRY^wdn3iT8smT!*rNa1X_c(lH9 z+R)m9UMWf1fxvyOVgKE^5#$Qxj4R zGKnZ#6rH@kVH=yJKm7ap`dqAmdib}=<8-U*@a!1bQN_qu#aCk(j{ss$fHpYU^Jxi+ z?kg068#@q!hG@ReQnC==&&4mdka^M?x{sR%-Ozj|l96SztN6;}`+d_nD5=)*hU;M^ z>0;Mi>q?wS43L88f;MNlQw1cR_!Z3x`|ZL5X!Dt;+j&BepYNC9n-A8 z`!{>QPL<7iah@8VX-w5MV9yK=L(r=G`x59?@Ld7@Q#aYn^drR2;-~hYAX!d?Sgsq( zg7Bd}bE1i!kPEnPT$`xfFb1B~M@Hw|iYvY|xwLIGsf?~3Ja~|UT^!MR7V_t5oam?c zFoRE|Xr2ROGt<4j-`g7E4^FOiB&f-|gLL^h@d_8iRd>jc(&0+tB`LhJ194-ctNO!$ zpb>a9;fbaU=rFJBI^=0G@pATCRLxI1B&0KqQ^8*bff7O!!h%r6B2c@cP~$>BS+{M@ zyD04ggqeVwy_7@AYv}N$=zv2=y#O|M_yv*pgO1p`Hy$p5xWBfwPelC#v#-8S$zNsN zE{KzkfM54cwg6RPs?OF1$r_{eOp91@WW8b}z$k*JJU3al8Uo-?JfJ%Mawc zmp0+m=^$64Aa>#)5OI(TNg~ab+p=A@@=Z;Qp~&^s{C&LZAsvHCU(&9~ic5d?K(d2; z^%KC-DLvau!SA^)fqGa*;4#^;26$jO>nLyj;iy_`b-Z@> zcW7o_S5bbXT%B=nnadu=t8{O1igSK@&Hcj3X|*rTJqr8-9%tcXuL`(%c{+J~zJ7Xt zc5w7Is&e9ALgS0V2|ylHCEhKIztXt24-VTYL%q^~Uvk~AimI6rk8k)c>0pND+Pji} z_6ZwV%d+zsZF4h#8TWPd1$=$HNI0gSv#37VFInvRC3XDT$ZOhTa0!|}6d7~gV?1GC zd1JzCG%5R5+a_1hUo;X43oA{MLV=8nqxadGeXbfv|EpLjzUY8yFqD4`)tFO6i~%6< z7#Vr_e(NjMDLEiaF&W86z7rWa#=05VaaR1&alUw#^IFG^G$Zif+7=mNkWTT7VY~r{ zYWG{akfsuS31BcASPDl&qVe}r)^6)TB6a8(W+fmEx-!-)`q!Wm5|lK}*H5ZjW^4_X z`$+poYTW_+a3>3>Fbl+T2-OCm=(;Voe3XojLfj)qLla^B4hXCsl#$HLfme`mTBV%>3VL-}JV^oAk_(i_`R&{JpI z1=E-(i_TlxaL_!x1crl+2X+e^;*=`1z-l-c=>sO4m_HTw)Fk6fs9~JUiF8^jxfRAv z?$n^0mV~K?o0gWT{O2a-ex&ThpI_i%oiuQ{&l=PmREYSjXULURnLp<7Vc4w&T(;N*M_PV)dQuB@QhT`SvGWWf=pKHnJGrw#dwi0-@6XdcWDhAOn${z& z8dC%^pIWP{vQt6ozd#o~HreM^PD&LR!D$rmeP_fyFuol#{msleD#OBQQ$a3KvviS_Ye(BaWS!R|^G znC2kd7S_D;#{K-6<^I}D%q8KK3;5Ei63!-caGBcghU#e-kkS9Z|C-pJKwJwR)0^%a zMiue6{BUk0U)~DRZtNm0Qf>0JLg3wfYB6OTs20YZ?NJts$m!TB8RLR&d z;%y^;cbPGZ#pp53Gjf|L4In=#x4WKk5cEIL0FVn#F_{Nr)@UE`Y+ojgMCL)=Vfzh! z#%Wq?956^SX<~h?;CsyrzSlAZrfUdXxw^wE5~*yD-6HAEyyX`Boc_f@A=(@jdp)e_ zKk_Xw8*ks9@K}9uT5q^=qCdQP{L=Bc3`3cjmb2~Wd#^rd&i$4i+4}}`P$HtVs3VrW zbYV{bnJGwvP7&8vqByR8X{?3m{Z^vSo-OQ>G?WO<6|(alUu1II?PdVjM$o__d$RN} z0y&0&&rBO*@S7oI>Wr#dB2xbD&Z}p&&BT`$PNIA1EAYCs^yq@!;vWP=6A-^-nG(^C3sIrlH;Pjh#Bf}ZS zMI$b7Jt!RgxD+=%A+W}DfFFK72=vi$dYWQbxF92k`O5lBFJStRXFl$vq~|9K+y%!p zQZ7`;T?_+>9TQ{ynQz!pV$rv;N;N@SPmwj-)*hx|^_-WZI;Xk+I|)VIxEoX8M0EU9kNjK7-&PCKPc~jDn(Vxx~UeAj=bV z5>zCNA(7C6YRgxpC|!j_XLU%qu1DRgmh%H&X7J0Jf*7u4+gL~1haR{h218A(nMaRtyz~MoJG7iu4^MQhsakad=6*51RnrY;yyvP$gMVi zf`s6wTU|reEQM^#XsB-E7|xM1<10xJtvfp%#LWP0HX*<1c&z(tCzBh-s+xb0m!YoS zEDmc-OBL`0`?9c%f%>sL5tTJp;Lj@TJ4~`Vp zkoo+S&CliOSG@*uy`p7lVw~`*c>AuqPIy$yR9x+UW zyIG4abjX%)Lq|keRSG!B9ay3CEwnGw~m)T?6!t!N+AS7lWe0b#=u?u@?q zX%JH8Dj4MJ5n9p260gi@?arlR>MR&kW$L^dWbf?k3p8I)VrK@#?ULbb%({5Vx(W|$ z|79NaVD4<|i$a8@ugBTNJD1@gj`{3&N*3R2P8h*+$90RkXhhUGw}98PIF1^D4I{OQ ziKKjFOPBBK)|N52f5R+uwRe>U-%Sl&ej92G#gdCZ&CLFXMb_;Jj*N}Y!W(^MEe5L9 z9x_Zdq6HT+N!*haMW`A8J$`v3wTS7(irO7BG+(;rSS(9q>W-l(>!yWRJLM9KTD$9V z_9I3XPU^Nvya{dx!w_!|AG+OECiWo7kzoV~f&lS`?bfVN^bI2PtqXSxY?;A$4Wpsy z$=;k6$?-SO5E;Uw=bo4L&c(yH@(cFHHdB$6PhLAa`zb<^-}>)@s1>m7Cxwt$g6KRs zmL0k~9wi9APdt!yBaXQ+M}C~k6~M-mCghPOlqVEgOS-%>#rZA^8ER(GK4SuTN`O1~ z3AGGREk-apq)$Moxj^UrElDzAfu-9h42jE6`TR9vuJbv_`cLi}1%}`YwbL zeGQ`(<`Rn3*=Tkod?2>Q>_JI}Co%p2d4Lzgn+5`!WG!e9Pvfhm`VB?5<>2T0cn-m_ zBRYjj?;+_wY$KafpiY~_FLGLZmP*sssB()V7l%3b0!tv2-?a>kEd&d0usgHROu zCgi$3{|$!KF=p{`GZDgK4R~A` z`5e7Q@#$X@bBA6-+D)b}nl0W~I|zLnzns+PAqX4F8Q?5*0n?>u+&R_px6Vi|S?eVF zM4?DQ<8doA3x@X3X}E^U@tr5U66*KHU0mK-hb8Hp_`d27QVs27fzg58Kx-+>Z4Vc} zc|ln<77~rrm|^fdyWi+ouEiMYMi;xpF+_!aO`YZ$XZ65`=lS2rmy?T*wsKEdeV)ov zKg~1{QF`vC%woqV3n6~s>X}1Zz|V}$pGPMZa4EN3dGdCs10}Muz?RykB(>d}DmBdt zJ8bZ5xiq0xTv6O(LT3~Nx+e&SIpwH%qOBO^{V=J=-o$ul|6zHGC)J^b@pE&XYK|h3 z`b1NJ_@ac38gnSFWe02BQUUAret4V-E2*Jtr0Xboc1CBh8>cB}FZT3skO+)Bw{O`b z=Wbcz+#@{|cR*&z5SqrjH*a`oc4+Rh93qYhk56MDp_1l#X8Ow%V4ifD1W7Fa=<1{9 z>QVArYPT|1H11F|i)%YTgINu(+Tb3e+;=0W{Sf6JrLTgb`;bN9+~PRTye0Aa+~{mu zB3zeL^0v9Toi@cdwj!>18F&z0XVLp(G4N{7@GO?;6a4#33y)Bptoz0|KhMn5<|YFJ zDV0I|*JwH$XAP;SMW+q=Lha-BxDi*gJvvvI!;K zi#?2GAPRWnJ7>RtSKZ;eH{3+|;3E7`h7Z9-nDk#g5yVgJej`J)6zF2%3?s@H@TzS#n%pAwK~{tgrak6b_Pi%|R`j^{w3qoWn{( zFNHc+PbXc~Gl^_*^G5G3A>uP~g?5qm1i`wN#$N^ywd$fSGv*?5Nceidi`_ZTuzWhYrf8-w`-6ugWPPj>XCJSF2v`IjWj-DN~nTaK? zu8(tG!_V@*4LUvFT|}&rkS~~HXrfSV(jlzXOT(+O^rBtlb z5tAr|nHH7!403w)sBV_atTXe{|XLgbjwO1PbdMx3_#y2EZabVc=?K9$|EkdBRP zcKXTC1}>5&g#!9zse~zm4EuK7{2WF>`WA4Pi2JutdCsRfpGDKHn(G8)HML+c;3+y%cxfRdRO3u920awmg z(A^-Zj8CkTq-(JnA`3l`I3#z9XB3e^oqsA2z8{Y%w>DQ4FKB zA~C6opk5FRqm;KdfydmoP5x znN#@yx8m!u%pG3dXOzUx{1OyvM1U3y$(t=tkA=xuBgIbN*un_B%9l(b_fL{9c-iLQ zIX(me-o+Wo#$bH2Ww&`FSl!>ly^7>?L^~SzJEgzoG>cFD9;}s85jY0(*{=>i`|-aE z#jIQqo>r(f@=tumWNYw(7A45?5}->e-#-V24GegqDNxaLyNQK#rK2l*5}-MJl1xQ0 zA7S$Re6OnsO~v3;kC}F;TftX%O~k0>9iLVyG^`K?A9;J~O#K^L>arYz35&!e7(RC^ zi7s$wAYTCzTK8<36^w?|VYA6+l}o61@GAA({Jqe%Ig&C6w~{RPOlBdJ=)&?_W;@Cy z`V+osUK%SvOP$gZUtJ-QdN_kvDH7zv70CCu=sat-B&OZkD23%Xr%3sbVcpzYK4xrm z3r1OgplTjJ+g-FSa+ofRWMul-T9S*fpsRmfFV|ZgD~dRGdWvCP@GQ?m{UX(WLB4DD z%{%0m%Fd5$7~-Q#oGE6x!>_X7&7$y15+H>ctYu}HBHxcyqrRBN29ZNed)GBhOpW2x zlnFY#pQwb?xD-ldt(iW%-|O$79^wxfhZkmiY4Jaf;&8uYK*kMx;p^(2^NnF@cXVPP zYOq;e(WHSzEj}y6(6s(cQmMg#w*HXG^}uoJi{EavUehnh+HYP~=JQkHVS5kX=eJbL z4p>16-}4DCtn>5q^WYUj@pNvupm8#>dix44#;l6xjgO~WHzAU#;(z)gj99A!Fc%{F z#<=F)dCrcsHMy(t{y68|*}jZdyV}B*6T1!OD4XCR&M=vLYim6qs%w)V98~Jt6L%Y) z$%iF9{*k9)N#Po*4tGnaY3;J$<)hkd&hmd5#>LsA}3 zFVyG_{>E_&^X1gV=M-^wu4-?N5M9GbOle)q$mc>n#;HX-s;KP;? zd@AM!+gU<Pui`eB1q#Y6kGHe}QDaxUlke50TPX~R}TnO38--#A;T)GMNk zD^2MN)ps=X2RLjV(Pa_Fd9T91=KV|_apA^yzl(=T>kJ!z_mDyua@yKuS5-l*fpyKfqgI*?9zj`l-FVn@0MB#UuX#OysYOg>Oai z05f>x{aorWD?32dQs`4$KuSKT75eK`Y_zg2a$#GPAXcaqL8 z=_e6eJgg=pk!B=@`rG4@chG&KA;^xef?{N@Uhxoe(rsTxKz`n0&Ev{^*>_ti_}zSR zDSUIyG@xM`+NFR9j)=#FWxn5y)Ih`9`YnCr;e@Shz;FWQ$;x!EzYZ4XD9G@m*nX78 zxdHFY!;=er!q1F^kRTFD1jOsiTLLl4j&Dp^c8^Wm4~=M7aA8wmj`f-64wk0i{4qvJ zY+0c-x1|5b2NS^{s$B!L*vj%zJJs4xRh5M+O|la3fnIfBWWE~zf!;smxO!tAnDiAS&O>&A(j(2!4Z>FAS5N!h%(ki7)6`jIi=?df$OB~sp;x2E}Rjt-w2Oz${gB(z8xUl`U~S67}`O--L0VeL*NE{>M6*n z>}EXq>}3C>>OZ)vW_in{>hm#*h#HxWC6E6Xc?AO|uPDLfl>oVm(`?oN;2-je6HH#Y z4sd|UtAM?;8TO-=>=)*p0K&FSyYrUf7v__9qkxl_EjmG}KbQ5P1Z0F5BzphASLc@v zU7`N&s_F>eCP}n;34rEs3*2}xG|7o1smDYO3faH2dEu~78#dy^t)O1|sNnVzZ8KQm zW@)qhG0LsPsGxPuzyHGKCd%AF!>HKq#SX-i2cuWz+x1Z_M5vdk7(xjp4%0G9OjZwU z7DezK5o|XPH0kZ3Fsjp15$T*2iSVJ5j}b%$taKVxhc5P@~H*G)8B zFNrgRRwTRrT6H;lLd(kS|ME_YzcD^ei_d!#%$x^Hp(CufTF)PHbn7C{TOQeB=O@@i zv`{DGX-w+(qp9%6m%2?85!=FJ=jYu^L+DbgEW6%0CJmI#Y|BbQQt#*qM7Q7`l8s)q)qdmOE>=-T!%pkhh` zg+ZToZPk46n0{fAeF~8zkF$B??tV7poSm&ICmdq5Pivtzysi87*K>7pm1^0wMpt@* zKk;70w9rXs%BFo4p%nKZuC z8Q=zCtzPLFoClA)I;J6PRKjfNtt5@GJ8Qrq{X2~1frDAZZQ5LUzH;{uidFJ|gkmLB z{ud~gSM7E|3Z|DyeC>A}wV^!-7<-O^$i*Yxn!;IPeeO@|jj{X_GwXVZir;-O?gv{1B$2|+SKdU%A(@#eI z)@<&&~p=1kv5?{mytS|Jal;?4jT^-cU<1u zSkoEk2xffnx-dUyd&K59R!H+9j;<8#AbdIUB1+OJYji3Q5Uk z6a}t>Mv4umjKuF0lT)YYjwwIITHgKmo1u+x86)_oBUvb{mTUJ}p=%VYdl6l=yb_ZL z)ks>Bi^NX68R`S%Buw96!o;>*5SNunYcTA$iam7$LOW7~90(cJuBDikp1&RrS5!Mk z3R5ll2d`7(A*(o+h?N7_Ruy5iGMFVboN@;!#euNs)0~wOX;^y*%SX4$@;%Y)_+2>5Mb!qp(6d*fi~1cFJL4Kk_e1s z>6QM2WL@#lpgWoGTr#lacgQ&V+>bqd~9K+d}t%r zA%%kV_byzUmI>WYegw0#hBy4-QDsjL>YbRX|3PG}uKd?T7JYM6S(|p8m|m<{MXbXZ z0L9r0M!4ZIqaWOrW0x(MGia>_KbWGTMh5+|7EVZ$E-m?~pN|?v`lVBo{Ln<_D<)4URp4MLXKJ=da^FXBU8g zj621EyB(u{ghA;kH{oOS@f&`2Lx>YgJn3ZJ#;MV2*4>8*^7kxuMzl(KREuz{KiOG& zs|v4ECc}#vQxA)WX8Q^3R2lco*rFbh`2vx-GEm9kCZ`6duCePxX0qOi&PB02wAE=f`3y2xv$H7&iNLz)+wL9wN& zg`mbaG7o@cKuRk%fz!mc3WsilJo$;Gi47Z_FTkMUn3NoRnHcqJaJCswiAlGupWi37 zPBOl)y$~Acv55h7GDHTmFrkM~9gPEw!ul*!dZhL((~QmbNR&Ir5{BmWL~25DWfUtP zZ_lxQ{PmZL$lV&}z!1#tlY3A_7y^Nq)q$Fv2vk|NH`_ibUdk{07-bV1EcFVufHDqp z!mA6!W5md@PzNbX3A?l1Btr_DW?6thi5n1BOLcr+lO+$v@gjLEkB+ax+UTTUUWFuPzha}UiO zKRZ_b{W!l6Y^)>WGjx8V=CvH>kRDFJ zsLfOU&KhB9^XZil5H;Uhsg4e2)@U1QEi9swuEC2>*9WIX!VmB&S1{)T78m>nV4f`v zi~;N}m^x-w>jfFoe$??lH5bLK_}P3nH31?)2UFU2@Ss~=8)U16s_ybFZ9uLDUBo#3 z>-Xbq9sOCx!v|fzWLfDmNPQepsVY#A4L2Wp%@9)2)@zTL<7rS+n=&?*<}I}t7{L<2 zH<;1>wmoeF^jz04h~#>iYalA4uZa|2-9&=XF9n*SlS*%OIbU9wjSzT2#$Ae)0HCau z7vzV_Zku0hQAAp7u^}~GTE4~CtKvt3#ul=H7UK#DGBvk0b70k{i%Ey42nA~{U*H*+ zpd46jBzA1S*?0I4V$~3WM%=0LhiwCR(uvY90f!;9f0@(&LexFJ{X!HlvOT<1Jrhxf zuK0)DUmwu0$FDDn#_l^=Ia1pkw74f9Li@Ta;X%_spUoP#(Q?eK%|dPORFE%Q&-I5= zm*4=H@3;{^Xj*Ne=gV(uw6RnyA{6NR_W1hz_Jl9*JNnCKop6>ZM^s7p8EXYb#Pm5s zqD(+spt3+%*T#s1tdRu`T|WaJ;q0nTnoXn>GTP)Xa*ew#<{W`aoPK|W+IT)r6Z@qj zJV{GtLo>8a&>~#5uf&qsAy?X@+CpX4m)`pIEq~{?#vbF%*fY77+(; z(l@ZvL}c9E1G&QD$<|J;3$ukS?vUs%6YD9q$1V5_i;0)ev;g6j1T`$sV99y9lmLD7 zIL{nEcu)Ys8AqL5{$O;%Jt!l5%y-ahdf)IPU?-SCCJ19r%=8-Jvnmm+MnT-6Kyjx?ad&rjcX#(fad#;0?(XhTDDF;?;x5Jg9{T*A zeed0U@7;g*kNuELlF7*=nam{l9_A#`TB;R_6~(OW?33f|>VZ%5XG8AKS3XZy^=H$V z0>$WV#7sfMSYI6Om&g%8zhJmmBENA&2Q-18S3;H=&^wnXrr>C!P`z=g}NY&6jABW!JIcACRjAQ6p2O9!Sy$}3dsa$qqBi!iBhJp z3d_vs!Mw^dE+W#Vu+uIgpN_%^W>I(xNxn;Xuoib}L7{Qbcgm?}QEIPi@;71R=1jr= zfXYlC1&pv703)n^4ZsM?+awC|J`R5nRR=*!9WcUzRV8v#1T3x+;l5xN1q_P<23Y^E zBqL}W1)?aOl&~#CIXWx6$2rN5$$n}Nb(7Ja=%s~7YNox-p*1i;{?AVu`%HPUrgZ-z zyoE0t$#~NmQTy%GQ8j3*z1HXLSiN7DLc0GvbErabi|R5DlgX*vwx@#;VvL1^(azBJ z0%lk<*I!u$-CVgv*;#E3#ur1rG_$c7RE+v9f1h2-%rF0CL&jiyLfNh35sa>#C6KfD z$+c9iE>BC)rc@0XryM;J0lm*#ShVen@Sui4shmN`xu8iYRakMjc-vuCu0{H?LdQ#6 z{>lU{`ovFPm^1`M1$6MER(N|(K{w%3VJ(by!xa2zB^x2Ua%j`&1IN`%U9l9+F zgvNIZ@Wa0&--RYiV5+v^Q{raU2F_NLueU|Tg~ z3nFkgv(5o7YR@2izB+woCnD~+N;~3cw|#jc`U&++!arUWji`9JbRLg)LqP}rE7G)m zQbdJNxR6}~C&46<`wK$AFX;PR;NNMUswy10(T7yR#Gd%T2h+N}J45lA$H7bG9FF@i zN!k15f{N-g&Eh^P=AMP@8u2HzSe2^_7(+E~w4r^?g15R|`MpQUyT^ly@3bQek@otv zq$O?=n&0Cf9Pa|hR5}mAL?h`m+McvXa|OzL^nOOproPb#3e1tn!tm1^J@lF@I_?}+F+8s0+&bf(d zCTZu@%iXWT%VF^&FX?0#Z^99BqZsO(=Fbm9zMK<8_jUYsgQ$RF+#%(xtlc?Xw(7{R z!*m`fQ7%3R^*^o65bBp4KDwTepE_F*IhH?j)3&eq*RX*4nuGbEwBub6x<10!UaRnL z_H7~Mp!~jN29x%>onREmh!r@A?d5`Ksm;BAGVeQMIlL2gm;RC(38Ahc8#6OJwUzN% zwmaX%hR;0saT`n8i51&V!x0NG>H3Z9SB2$Ql?tNH;nY#WStM*Yf)6j{;P%W?3lClk zk7uwx$7AkSwNG@Ey>G3X2_b*e1Vs`=?|sSgOTAm8f6hy~7Y4f*CJ#3n# zjlUVKi+7IY$cv`~sXj}m=S9UBMVe`{CqiG#o|!xM0iG7p=L9<>>G0>fVzJ zKy!}|_#wT|Q_CG6Fo!J;x`j3KN`jp08pX4w4mgFsaGLKE)+ggm;9T~qkHgAo@(a99m_i{&or^QV z4LwXKRx|#NAhpotJ28;Piy@;bXJezj;`d1sTcP?A{u5dNp9sBKh1-|9?mUe+|EPib zoDA;SGbhsbBB0U6MAl=*chlC%_wl@`RvEbRnW#yNFmfb)7nXS0{UcMNA?dq`?%=?8NN_1N2+mum7>Fx>-+w z4WEm@=5ZPt8*6>xdtM2NueyaCy}J!}4v$-Os!~-V`JE?4&8hMjfmGG8$nt$RuOJf{ zez9s2rZS@tB{gQRoe|eRyk5$_UGjC+h$&xuF2nRK&HuF^B&H1Xc@w9TpUDTUlRq#` zTi}H9EAW=%zy6yQiPhH%rKAMaGKC~+0DJxxeeYgZfY0}o)AoxRo8oA_#B`sKcU0N4 z>Ap^f;XztI@Uk;|--F(Kb-32cx1!YRM?0Kum%{Ycaj+A3kVi?7R@sS|tW|gueY-{Qsg9O|zI9E}fue`J}$vCMI!S zZ8)>e+U535wq{*-&U$J1>@8hyO@Ge%*?PILr(A9+s_2!~82DNzc5dG)-3i({_f5?$#m0DXnfF0hp9_^wZlqf)@g+xbukRWA-CZ>Q2ra>y3^UEEmz4B^Os9dYCW4kl@fdsHkK5KM(z z{^tC>|%DP8y>-YNZdn{2N?ZX0F6S<^5Er;26#%CQ>LAeW~^+U|j z5?*Y|IEZkI>$=!4bJR`XS(<;0XikuypKuN))$hyhZfMzU6Y3v+J>4(MD^w&P=u>yW zXd7SW@zna{JC}oA0vIX!bq?%;CWw=_?3t{74Ur|ee(djn$R_~e2ZsU=@2EjSE zgxdxmxS_3fI@Tg-HP!xI2IY$fsK?wH4EGEq{)v%mp#G6NKfTsk%1n2Zn+Ps%Uke-t{_E9r?U7XPI4hJZ>IM zV+TQoyd`GG9DyY>eVO%`KRY4AiIJDE!(2HVO{tW-f3+1iPHSuhj*YmUH$f+Pd@8OI z;?y-D7-H%2j3Ou|*NnI3^o?xxPRPu^ZoG+v7L6jno8L)vf4S$MBJG^ zkG?!cRrIII>vXc07wW{VmP7k{wGVg2_oDS++h-&^N!Xa`)(zalFj9BbF1W7&P!J2+ zHD6%(o7P{dDni_+NUYxXZm#Z59>*BgH)njX1rEG9 z{9-nxe~B^T_oT!i8FKm1<5LT{Z1f=566x@YP5C^R1u-TGgRSmgps0eHNU6$vAV>ZXuR*8XzwbTng z&Hna33f-ahy;2*2&gxtm;m-PfvRj#uAQ!`BSzf0+BDiEV-Gt*(1*Kc@-{`RM4@q6> zze#YPI3G)uK2f>WD1D=9QZLgiB2U6mwNjv@!Hmm<60Wzo3UQ&K@B4ulKs@qm4+R@Z zl8+^J*g>LN(IL!>r?cmshk!4PUOSeTaje`T(F8p@zA|4iUX?|vK0-`VZH8im3WrQn zG%!Jxg+o;|Q2Boo6m;qp9dCK;9LUtGb&^^)NY%5olTu4d)dOeSQFBYzGj))jP|%KW zg>Z77Xic=MHB>KDTC@H)>LuUsNy_K>?d8$&G5Uf5^6$^DCMei&WRzwC`#XhU2$0#I z%DynsWW+9gz^O?RrzCVU`6O*Q%gRxWBY~vy`jIlKmdtXdi888-%yMIYWi4_j+RuT> z;cOt%N@F6pon&RE`G_y^^YN>vl9I<-tlBE^?P?P-Nrly_4gO?h)(fZRALuph_}Efz zPP0#6gcbj^LWLoq(};^*n(!gU4A=e`X5TYFqu{prL>P6qJN#$AYwZV870%g`*x+2} zr+7nC3pLnb!4*6RK&exIAfrGDJ*XWTDkqX*_6*-ONYj zS>JlPdomZP<6V=X6ZD|^N#_dL*n}Jt&uI+pVH?O~k{Pu64PZOe=*fA~8%x^ zl-+V|Cx0~)V`AIoEMq;r$H|98Wzs%q^$)ebO945LMt>KX3ib+2GeKboYu@OvSS>5r zS}tsJabOkY3W01btL$)oS=j=F^naWQy7ZjMm@^UQYO}Qm}c7DD9Zp_ zJWl6y16=XRT=Hc*B6FqBHtau`%VC>whtn1yw3VGgSo;u-@6{@ z#i(GbzUv`m*|z7-3KiHWBPL)yIen1OA&JjZ1R)!Js zf)fmrCtiNK=;pCuZMF8jSlbM}yRTbtLrzy{wxH~M#q?$l>&6z@M98;KZf|-IU=DP@ zpxEG+l8d;HS5mY^J5HSYazVPm9DYBpG#7b4zP+N^XpeDby<^)zm2VB7hZG&H5IQ7Q zd)It48*OlAJ6D9;x4Kp=%^tvf-CQi2Vh2Ht^L+Qs&bQ?5n~iVi-8b=^AEWO}{A~BT zK1HS2dv{@4Q5LUFYj|0#zo@m9q;YsGZ%AiS5)LmUMI|^*V-ZBNidq&!MrRIjAPF0?6Rs@($wGgi-T@rtVdOgX@Ez%`%-{hxk*3a?Wh%;Mho#Qk&$Z)!L4eMeJNI}9K0`U5AjC@E7pr-Ii0P> z2Y}6KeSo;zHbh1nSg)E<;Qr~xHmNgFY)VV#s$o8ps%Ab@+O0;T+$%x;DPR~d4U&oR zWz>?m9|$Hb86l)dWV8^7D zOSFa7-uHQC5OdFbfuBBZQ+N8wbD1vfB@J(w383wP4X>CNRZ#J7k{&R#{> zp5I3=VO!&9;v6oUkdd=h^R!Yt)WgpnH712v(&?in4R8^=*Me=PruGe+J4^x!MScQXcET=A^T9 z&XL=yud*k7XI5pSA=S>G4GA{EP+6^wLWq>=Aa*PXwy#Nm>RJMpLzdGN>4i)-TU?nn zs5v$#HI>!IU>)=%woamx+}UCu6I_+m`B<7jsf?$%Y86Xm{(3kn+hcKN&?L57>zwJ4 zXd_;d1XcJXlMGJ$pW{bF2vdUNnMg+aF38L!fvNw&naPD(NgVdL*Q7QP{;Yf@GCPB2 zwB`@fd=?yE{j`8Q3uua9r#cX~UG%YNxC{JSLk3(dKA7Z7=jkj<{jMi9kgj$e82O`^ zl{S<5obQj0WTM^5)tFQzti8e&hG1)YGOgB(^btIjJ<{Iz zUTeGc+pnjKD$59I$O4$*}of(R^;I9^lYS8f!YdyjnQ^u_Cr zUC}D^{x?DUGlk2&;^BG0^tyOnN375{!oe_(h&U%Sl0yoyMa8Jr0<3UxMxogHvw*MS zeH8^;e-tn8C>Elf!YMVq$%oWxD*Jv-80Y`oE*YCAB4nM!O^~B1BG3zJsqU<{f92qh z4TEux_@k#QnG}hUY$AO;9{UDw7E!cXpi$2IzYUssof;F1T_DJ`Vde8XazwuIhoAeP zuD_DDKTEpYCtw-L_p9);D!$=k~CH^sfp54|hT!O6?2^ROcRZM23zt>BS~ zVd5IyqR7u=lUK&jMM_&7GPd5SYr0xqb+@tQX=WqP#*M9t{`C*7_<;I5r(b=m@e-Yb z)(cV&Uf+N2zeyan+x{$T=Y2GAU<20*ju zt0Zjn%ZpHSWcQ?OitAA$1aE&@eJ871y)tat`BKDKM=*yMM72@nTR}yT9zc-3N|4S( zC^5Kn7x6~+wd56(C-If~M$I|@uxHD#ssBd*CQD<*b`lquGSR6!CSVJJCClhU-kJOe zP~v6xxy)JrtOeJgYGyb?Tn<_r=#pUDKaI7#nHbICbNz0PTFY#56|u-pGxk}tsZUMl zO{})ZOKS6rjb-}Ug4%P%KBN~J(v+Ck(xbFY)h0V7qoQJR3!+2usF6`J$Vd&^CML5j zX6$A0{liML<3z#!#f1!;i7YR+i&nC@Z%83Mvn^YVe`;=IIcy)Oy>aC--cn8|5|dA8 zGTCkuPFVgyo_|o}ACv)LMM9S#P5;b0+udqD{%g&oYF$`=XkOu^;j-Q_0Oy5dB;g1{ z;{SsKLCAio#@f|Ont;lrzuE+AKnN<2cW8Y4?{-($B z=_6s9_E%S^JSf-_KqmbCZJ7J+wWe}7x%6-HIe{$#P0)Cw!&q{L6fAWKa?buiG!#odh5Xre9=_}k$mKyY_xl_0{LY5; zk&}A0l(tTnJeAL}29$pr11PrHNq4QC0b6BWGag=aA9qB};q%K%b8RDUZ$g@$W#M z<`8Z)Bks;PoI1IxVWpj^(!v-Hym97Nz*%QT|4onjUoQXBg}iEv+1QHd!$suRrZp0P z54lebJxmNeDE?$gSlq+jVaNTi%XmwTzRLLj>Q)|-!UvWH;0wMK)d?1rhs||nSI{P? z{*HN3{TvJl1`V9w5v^A zKpRU1GBvtIq>}sVRh4Npfu{`1P$?fXEeK0YMh;SQsuFaRZYjP+$*2{n#y1%t zX@13?ELSn8FVOG^OZ19C50yANFga+zM!ra(Y=-?(l#^g@@T{O5Fqk=;>LurbRH>)I z?@vg96mvtbTeYDdw9lbK*%K{yW}P{N0V&2#$sWLfbA#j6jEAZU{5JDzh!F#>mDF{x zl_F^ooiRZNNsnx^NV%OrmDQoVJerJ5h4!O&Sx!KY(JtaqsnUU7FOmVQCFWJ)BkJ^R zgB-1NdD+m;9gmI~`vfMt0cNW9?==U25h7sp=rviM*UptmtIT1}^s~G;;I+xBAW1^ITBENR`9(_= zO^ZO9Om%(#X_?a)Wwa_bHGZj*DjTQjUb3=kF%V=ayV5vFjs46uHJWCcfijS^O0x)T zA#IXr^w*EqQp(_^l+>*-iUh&$D>D$Rz!prX*pCrdI7JHrm73a}aB-7^P+;%R@~D?6`ey!tkjLC^qcB z!A$Mu603SfS%fTRg)BPw?ERSlr!It#^YL>Q7)f9RpEf72-soWyAG_a51kx_}ka8^w zIJ~`q%03oVVsN1Sw0Z5z)OnLMi!Mz&*6ph!th%p$fDZzE7^|-Bbb2-2iyCo4bwowD z&w^64imN6`cnIUKZva1&3?MZ|wTLx9lbu6_YFU%6V})vc-f0zziGd$p*rQ^t_E3)) z6wam*E?sbacgZfB{~##*N1OzJi@>8_V4wi$16=d}&CiX$<1bXeB`|$|5uRxk$V-4O z%C64KLk0h7EXzTF8?aRF0lIqjZ(F|!W?Bxc?g{%JE4|pjzn!_|znyt&adac^u?hY$ znI^iRq#7&m?hBZODif-{{fBEu7qkjyuf70ZJWLV0Ax8_}1JaDj?l34CItyOc+kT`Z z6hy@^aYRr6Lp@jc|GdR}1xgkD&nC^1@zb9hT7~_)djR>t@|;Vc!jsL9p#f^MoV}kY zN{oyGcxk^>it!KP{DTAlTn96CQhH#}LHsk|p!L9z z3G4uF3^{CHppFI{Rv!>$sTLcraCf!ni}qIOK6tg}OB~$)<)c)6Olf@OP8F%@0x;;Z z9zd1toj@~m-+)1&y8xP3?D~NuD~o7{9G$-ffJ$X25ZeO^H_y{30eRZTfaWGS!6&XL zrPJ3Z0;PfFAIM(Z{T~|2MJAN**#c<;H+wBpqOz|(?jD@{%>4J)DUPJa9x9-$QV1g; z*g_@ z5y&rg+Uf=gPao|A1t&f32(7&+h6w-e8j;p3C<0Du1O`ot_#+G!?*@4CEqmZCTX<-K z*mM5}uk$!T%pV*g6i*HU8$XfLKdB9%sCDLq_5b7KPX&iLL|8R`FVcZHc zQP^>aKPT87iUTI-J<26AD9Zbe4|y!3(3d}Z)5V~uH{$^iuGDpL;G`5)KdZ!O!c@IV z-6ALjgX1TiKGbebD22t%h6VG`-+%#8s~-%KJwfE>&4M7}J!OaNLt3iFLFCS3WPrqh*FnC= z=LZswMi%;j@I#^}=zJWxof*7?8R*3d9oX_YiJv$b6c}FvtT4zk1I!liXRrwc{^zq` zl~BspJ4N?^X#ZZc6pj5ulD*YH+4`vgM0V<=)k3{-5j%^gUnP8N_>HXOeG2~G?PXU0g^YxLiF1av3G-~+vnNw zVd>H3?S<(a<;n5EVA|OZvDh-~)plqhFT1Y4qs8u2%Wo(f{%fKL4Tp=>1pmHM^)j{O)2;$v77jVn(5k zbm7Z&Gv)Zcx$$-KchOz&WcuXaqko1bs})eFg#W4MM_5Jp3tSWj^2tcluUi8pmtGCx zFcKXUNN#O;c)WIYdJ$x2PvN@{8xE&H33t3IeIG%}8kBs@sxl>Fs3DZjgKVrR6b}x$ zs-f|QX#Aa#l6))6qcl}QpFnRrxT&8LK`N&wC7N7Cbn~SwP%#19m)nP^k5sqQmt2nK{e0MzW_cakCwXX04T{TQ@-O9>L6+htxL_?6j< zsUy+I#3hoWKRqErLfx;aIS9|I*Dkup!lr;jwQXZhLZb_8#|E4()mw;i@UF{ zGgZ3iBCqd^^)P=iS2rMq;#3Y(&r z|Kr@SgasL{4@vv>w;UKtc}2@!#$9v0cX$3Q{rr;tQC_IQBuS?mG!0lxc*5l)R1@6=c)u+`HK`<;}s7!-8y`RHKn&ki`Bs7(wAhryDl zyGGmCQjPsrjZnzE0U~#f8luFk`tlrJHi)J|)riwJd>pR5WWRfUMOC>2;6TbvVke56 z5-^DFBMn)2p9sFzV0l4UB0}(opq#6KwYBHRhu7Dg+tR)i zyuAH|CTHqNe)U8!mSU(Q97FVXcXty`b!HzWO|G5%DltfM-8Ko~3@yPUa0b+5yk_Y@ z)Pk=s{`eqglYb+23QzzT_#i^9RuSpO?gKs_ADT}|1QH4w^66?0XkQhu`{@+AP@LR- z$fu>>e#MTB*FTGfPZ{`+;fMDF$4E6SP|H=U_(CcMZ{x9{yvWjqbWs|S37?l zQmYV)fHp7&W`7g6-V>yj7V*66`I5ARHfABKKtYQhEM=>ka|gU7x_rYG^@k(2V1R2B z9ynS1^H(WkNf+tD8H*|eN_cSEpcD%S0bMvSkFuxp#mD0d$x+@DFIfQ5=Mw@5BGQvk za+cOjI>MUB%`J>3SP#$VW*LzUKDzf!rt!jOZY|2xGT+!_{r&GVQ0n%#}p8#tFOt`8`aG9wW)IyjSZ-L7|`d8O0N zt@@&_wDVR0_33k9odi6y#$qXmr`(19=G1#r^2z4o&8u}^=DFwlwF6ftE#DzCZ4+Xz zOB&vPJvV>L3}?(IiKVQ0Fzqe5fIo^ z_V$WypOU>rWU`%y-Mxx*g4*ze?oAzuKZXV|ew1LnI(Z<%5T}=zRb$2ipSt~Y1L)0C zw&2&u9=?1_`T>CI|?g*d8yBbD=P8X$?sqKq_sgvkFGU$msH5dk@ znh8u?)>744indwc!U}{>mzOtUG1M5dNqXwZfy>$&F=A`fI*mx`fXLhAZMb3;-NC}@$#eudAL&o$t8scNi+fVi>Comh=&A`aRjq9-IeO1cVv}0i=vl;L>SP9}A>j$FIvi-+_}x z7_&}`qxlTC;jnq|(zr`_G~a%>>if<*p6hGxH$F~X7oFs=BefkZNiamIBXCgu zC!?YEwK`0osx=iXAQjR^AQjekYM_a>OTSX`Nwykpxy8dktyLL`v`j0(DQQ7|%!2vl z^Bq+~Jz+(G<}Sd4cnv{rp<7Bo^Wn_-%l~rO0%yX1fRib)^Zwg)t+@}f7kE+)d`jST zx|M*rfd1A0I+iX$@#>0s&~O0)iCp1n?4|lcY_MJ_URz&=Jb&iJ&{m`iNWl9+2Ds7} zia*wY)NKd5FdP|hr4};a%UGo%kqgQ|Cy*C||0-*QcP^z-F(kqp>Rm^ZuQ9T~>iywdc2<5Tt`=^uVw|M_&df4Sx{L&23M z5DBAw+o`%TNsW38&yhv4v%`- zjVQCu&mkl$DAfrsej3ij2#;(pWj&0`34`#O8hPvR?C_e%j0Zd!8dzHE;_kTLVW#Qb zW4)l=ie4yk3KV8KmwS!i@Q;WPY$g7db&kexxB*u|g9gSzI!lmvM*%%xN(z(VMmQF5 zp~kFe&uGvttb3QifmBnc6rT6-s0GD1_-Sl;U^E8RVKo1h^T2 z6NIvM1gZ&4^90_IhIyUPK->?+K}=&!aYjm-!8ZyjxIFRyf>X)CmZdsLJsHE%g%mF$3i=(wu(67cUg-2 zKL0nV2?bxQt=N^MD!H2u;-FfXu8DA+?4C6>X_3z2N8XH3^`y%|{KCp)vfQMS+$1bj z3NLoVOvbo}EW=&$d0^v$Kz9&s(zd*!I2j&NN% z`bK|KvuVFIU_~7Lde7-<8MDJvuXiX>5=Iu3Y9=(5cC*4?Q<8L2+gG0S#YjN`5hOCQ zpZ1pfw&`krou^i`11`yMi3vh{S~{h)x6bZ{Kk`*Lv4zSjW49*4I^QIIB1bKRD}2I% z6*o#!=Jl++963Q_NyRxk_2>HC433N!b`pop<1>z`%78l|<-JXv>p0hDbgSY@S^dMk z^Nyyo9C%Os8_CC7bdrG-nJVzR+zXVkBiB{yp}b9b={(mxfZ$Qn~5ZYTobO4_0w2kTa}V zPU|5Y-`qb_VK6z@QrWau*3BPVGR=$7X}S%|fPqjS?~{cHpx)n#;Iu--jl6Y>&!FDu z6Zwk6G!NyezVu$|9@5mhYTR&QM2Wd0bG**kq6KCevCNyqK6Bp9mTFajZ|FMbbQ$0C z$}9V$WTKYEqKQr%zsmNO%na=o|3`BPSNNH2xfphWMr>)pN#{!QdB4!YRGIg-G6H#U z6~_6_1gV~$P6O-Nz_;&IWrnn|`)tkG%gv`l?WGBNo`d@sn#B^lvG?oceZ>|F2Fm?< zt{*V7)~c4$@LZF1Sq+g*RON8jS2eJT1UnW#yvt_waCVreLc5b)`(LgSQw0<*<(_IF zVxVeZG|1n0APEI{lFEYJekC9Rq0(lvU_cV2G73DyZ?<5NVHvs)6k78EYHGHsWmLLR z2|;^pBxbP(kmY@5IcYH#{)j*>P(cLz(NRtXls2w#PbH3xY5co1j!iB*Ez2@j&XP;I zN@Xz~TMYv3a?93qz#7KyrY&K3zUHljd;LEU4Dsk_58b&2q|_8xBXC?SM~mu{KqnIK zz$R%a9P9*JWZSK0L&?2uX1{KZBrBO2Oj9sou}C36MWf!^{&v&opb!|OJcPQ702+nB z1qB991Qup+*#|HRXmb^gO&aKu8I(@O%8)!{JSl3c)q(-@641oP5FpRlEm>!}P8?cG z!5>2f#2PYudWgUs$^FXD@MTi2LaBGMRm#o`gl!pM$dA|ZJ~7HLR5&=J*8rg@pfH-T zF8~PuTBkpLs|HTw0iO8qr)r?>f-; z*fm(y=0U70fgvjZM4)VdO`~d{>>0qpAYdrO3__Z$YA1kgS@=Ki5|831#{@PlDF(td z0weG>ZQ}vBCd#y!x)o>&v8^2PGL{nHAl3|kj~SYx1M=xQIS z=$V01fg1y?5_c*~89s#ERab53wh%g0BX(dPIT*vT2#8P>OmBbU>C}62nAX8p44~++ zGg{4p9*4WuouRX+vF{~LhM;sDb(=MCV2D)Rv@!+I`!K<9oymx9{N|H&_! zVqSu;exNPRRxv1TPQ|EBN&IJqolcT)F6%qRIgHeyg0Oo)#XtwE2XW9kFsv0`3JakI zp$>4+a5JrYU#3n#&_({Eg_-_oQU9 zs42i*;zFfr9GeG5KyYQaS&V_=cR&m40qu;-FJUS?EJ4ik_>b;BkGqJ#+g$!rJ2f2% z`F9=G3HA2{i=;CP+vi8cM$YG}>lWn>4G}+Xe|aNqg8V`Azm|x-eGuX_tFNX%qng zhYTuEEW9sQal1Qu4api4vXldIy;si>m>UFt2^fHgfS@4owf<`(z-n6Nt<`ZqYv#3w zGJ$vzFm+&n_S1phEYdz+KOT636JRSRL{{rR8Mth3ZS}_k zut0U)o9Alh05e_- z$O*QeJw3`l|9@69Y|ul}Ib0@?{LB_$OydB0P`iHs>TCnsz$hNx$Bhdt01}=F&}xXb z1GL_a;YfiywXhV}+s^}gdn;gX-xSzO*^POppi|oK$7cMYW>6V00;TAn8maNGkDI89 zCoeyZ77V~W0E!V6*HG-+VSsSz15!Cw>!JPjG5=X(w^ zP*MaSlu>tN=`8?$?5(Ae%JBmdA)O421UAs8zij8-&43cHSp!PZUIg@Avr>PZsKu&Q zGjgIa;S`X!a*kE_Q$XEBQP&y(VuQLsF_XF^6JUH3fV7<~unPQB%aZ@ok`2hH?7xK= z;DijYt^$1jCz#|hKo`2p`W69givX%6J5>c#m24aqD1f@3{ZAE@MS|s$S(b|pZE%|Z z9+izFs_<{~PaBXxys){f;tkXTjf16dqg~8hZqf+c{z}G5!N#$ayS$|XzVE9CT}m|i zZ&v?<0JJ&vM|BBGjy1dTO69E2RfOy z`yLO4dx!#$*t~JTnnjLYK^dwGAA(`S=WNku3xBS4nFmRzeL3=6;{XOMVQ^yg0ReH9 zxw*gp|Et;mZ>?t6<$Y>8S?sMS;(+`-aREacGO_kI1rUyX7H;RePW~@0fZ9Q2U>2?$ z%tLj)1aZ>>yR3|OEc@?*1%Os<4v{)OQ3A#4f$}53)+?#S@xICQpGJ9qS^{o?wwW|~ zJ%$3NtO+ff;w+OXpu)i!Jp(|SspFTk0b zNZ!YB=HZ{|CL8O$7>dZQ=q_{EzR4$>;qnLVz{D(8fGzsEW*aq`h)>iqx0bVZ75(Tb zd@;gP2!`ST63&i$z+k?f(Q7Au8=K!4FyeK8=K94T+;9cHsQ;D(4QuiMSj^GW|J9Y4--gVyMo6C!-H3ZVqi_HHTzb z>oWeJ#5dZzEO>Tu{YP{Tu`!>Q7^nZYspX&Msof`!v~S~uJQ0>(2pN&%pf>h4!DKJL z@ZT`YK0nHG@jMcT5_*~KcLb$9g4&w-5M{1x<)5W3@H0*prOTOJI{K!g{v=dsbXXd4 zQ24#PIc$>OkUtP7=Lj)ouOjn`rjnJ2L4oc;i8V zu(d0ykgNeg;xvdbmxj<~XD45JQR9wBGr=3!nL9zvuQ7q=#ip7-0(y|jcf82WNzs$F z*Vdl(Ik3f?wbzrjw8BV98+6Z(o;_BE5U%6d}AUXvl3(;Z&g)FRyqeM zhG@;0n^TVqB4754-;@e+zFP-ACjTcWKe|Ktxk--|`I^7?t-m8ncymQ;ql4bT5!b`i zku!>4lM%zyyhRoJ#ca@41Cm>D+7A7BVcHk?R{3&^o#jOM08y8{igpsW&x6Egiye6O z0P*q51$VMAM2!+prxer{tg?Vo*32kSNujOG0E4Y2derf+Wcpm&%Zt6(hxYup`O z*^V8qD-i3keZOPbdhN$$guku1zd$Lqj?A;6`Vzj1#?&oy7rYMNP1JC!zs0&0Eo&v#_#J8gf@>|k?3ne`dSPje7W*lg zEBBq#dt=hpR|X($RedY9VxidZaJ1E^_@Y2vULvIAp&Pz=ho zE>2L_#zaAV413WwsPzfyo5rQlT^$o65JV7H2n z;sS~*TAM)V$XrL?$IrL}*zX+S2pDr-1+G$w^{F4#g;@gF7n+$hqjdWR%`YQ^EL`8r zECVK|DHc}ni@2BzRm_v3h93EfCV0lYdIVj~B+4eKC~3Qm!B%fnGhvkh*hVrsXGEVA z%5`R5yF7bfSXVSPU?iOrI;cnWDN0*8^s`sZ{dig1G%!v9KK zdq10<9A#9M*guv3?;I72H+p(EoUDFuagETN`i=z&t_aohiJn`c=1FHc+4Cc&yT;Z! z*k)tf&8qBMYcCM8wul*9YDldw9K!yRL|RuBy<|N6sZ%(4H} zfBX&m$arI1yxS4Thp#U(5tm%$LV%gNResTc9&S_}A?Dl(LfH>` zNzfKZ_53Su2Wog8m!OLUz=B)=hJT&yjoe)p76URb{DI7o9%!hbHu0ES!yrzAo{CFwVMfq9jD)u1;QvBmh)^B&jqzg5`PmvJx@?b_;xR}~@wj2_QNzi=u zqZg7u*k>?&$Zh%!l(eZEkRW~G>;m(@4E;J(;;#lN$Jkm=B(R}B3$R=eAfUcOK|wVm zoMO2fY{h}j{`pyOKTYPOMhW`!YrSD2$oA(fY(oLB{Od0WVe&3&L7-QX{|9w%0Tjo# zFY4k9?(XjH?(R--2@>2bxI4ie2Df0r-6fD90Rq9@-92x}{%<*F?|a{Qx9U~BSG9Uc zchB_H%=Aq6x4z$6IT!^BZt|d7lyW31sqpjxD$F1aKc2YglO*D3b>mla(dDWFNSMJc z=Nzf@QM5QH)Vr~tb`X&txugdJ?ZYJ3!92w z63EcFMIkHWeq#T&m*^!r#!7;|4LTMw8!l@8K3E9&1ssWyn-T=%C8}YfC`x9+Xp`hT z1uJR%{2Tx=a?70w0&>&KFsc`HBpq7N%Zoa~*hkM5A^W7Vdl7gaIuh*`j6O*;g!5rg z3=p%iUo1VzY!NzSj_-jgKBh?Hf%~a{_5%@2F)M*^QNxCpC>A9?y_opk*Nbf?@eG~= zyH?QJ_z7=;LzP@>Q_)&di&73zL|KY?Z)%w0>HTArF4LT6(A)D45%R}-_pw;;wp48# z#L*b*)ZRc|1qLVx{PTe&Vi4c1NyNKu8tyU4jT6+AY)@w3{DjvHe@7V6_$J<|?0mjNL zTYJzep6I~sbUz=?9d0Mh*#+N$rI(z9HnDlk6^jd(rzd}XH}h~z!+bI+&kwTG5BTD) zte8Y0WKw0;veT&q>TdPnm@8k%W?{c3>7y{u<98Ad}luG_j_>=lB77`3HP!36@hwoPeecj-h|fu#u1l zOZSm{@HN^}40IV=0q*K$b(0EU|I3nJKv%x8<4Dghiy>T{5VP1SF}C43Ab{USHI$Bv z79ty8@F87I?DE3z)VL+CEG(j(I?Q3L(ecN11CoN2DPQ`8dh~TstaSACKs+eh`Xn9Q zr^8keD1~*kHL~;QOCTd-OiA(R`in+u37=ECYz1hVfC%Y8^FnC`)9$@ ziF?AuE$rX1bpL%mWPH59Tua200kJQTp>W-HyHRTW(&g&R=_PeooDUM#@TNVWJI_e9 zZ2!p;aJaWKa(17!3Tuux zmZ|EZff|qLX06Ujj*S^x3Jp!Ap{mKADcnRFnNr&`9AK!zlL!SwlpM*C;vF3A86KuX3p-1o5MIF~nW1wK?z?)p0@hbl&r=8+ zm@8cA2>G<-&5qF-Pb>ysU}G2dJ132bo^*0V`zUW*{kUu-hsW`Op340eym@Ysn5y!9$>S* z)U{l;|DkQ@D|f*`Xose%{}<c+vc$@p7U!<_3r_pactcN}YHw!(KkbH*(fJGB0KdSnZ z$O5Xvz*Ei*;q*F`pq81?HC-{l^JJmCSkN15asXWfdOgZeDQmLvAR7q97+d^wB;9%8 zw(Iw(a&7I!yfPAiJQ6Q>!91L|j3Q+#v(MtJXKQ-toSp8y?|{&&a5A7LydBpsUoI4z z>QOk^Md>O${9dxxBpFb>e@PUH>W>tF+(lrMci?dCR~$nTnuc;1k6y4tv4TcjZmt}< ziv$gs2uu(-z&}*G{zZfKN|?u!1$nK-Z74C0=~t^XKlLN6E&^3gI7k@Q4@WulZ+SP} zBXbUbiR6L#xTC6vrEG8JmD+}i`&+vTiSY5^D4=E}z4qwDSIF=6dceG|Z~fg=x12!rPHjVj0ZE{rSqd|>zFdf7?cFToju|%E7j>D z?$gn;@Nn+kTDi2}N)5}*!*N<{jcClzJL8tMmUOu+pje;c`{Lg@!fUF`Hx#wZMGuQY zSv~RjESJ?`5(~`Kyp5z8u@k5;SQw!jqfi@9;}M;KFHBTu3tU8y1e!4Bp68R!ziY?m zhiX3#t_a>8JzSl*@cxDr{@hDqx^+ww=tgW!aE3Cr?~_=oOSvYgW$2#ONrHI>KMOKrf3bz=W)6r zQ~yP!z}zHK8}al3%}{a(Y$--Nc%koo*`{HiET%I2e!Dowam0He!3%6Dnp&j@>p$`& zoRDbWM~aT5YrBk$_aZuke`gT05|EfunshohQhGFAOi+U%5Bnw>n)q(b#H23sEGj3N z%tbkTln;-5?|mc(=TWC~Bu5JAglceCt>D?-)sFXX_74lBQ2amO3Vvinlcb&xs>1Er z%$Ssi(uCVA4fcL9u{6PBX^4PGpWkwA z@g5F)c)rOJJZ0z~-{9}>kz*_&Ob;hF3X+yp@HR8Y0cV-}Degd^|1d0*9Pv3r4xqay z{~ieS*^&yK_J9_A%VaqSdfaj~2TP)l8g@l{C>f&s>n!Dn<+tCFmk6x{@aJXUEz}KY zVYM-9wnqkXtJ@L|EWp5DRVeQn$I@M19l6eihcF$At`WR3}}=lh#?JRD!4AIG7F%+K~mHz39uiC4jxC1HZ@K4<)+$|(mA2salsKZs>}3q;t+KZ02>`-QmVnqnUWYJs%QzOfco=CeuqH8T1SikH=G2?QNDbGrx>n2dM zVX@C&tSLlH?8F2r<_uc84j>8(>PaD`03&)21q_J=bNhrAhz#n@1pT4#j{@?5GH%dF zi_UV!s&+sRgC96}Sau9iB8)x{u}w2NOQT!-1Qx({E0}2M0Kd?^zz-WFkgCsUy;jSs zD&->W+H21Em~MFU4_k>RM$j89${668iVcE@U;JC47b#4@1XDoQpn)(+Wp1}h_*ZT9 zr?K&w{>LU~Uh_BhpN;^ciUA}vqEA)NmN$E^M4C&{pc^-bpb+TF(X9joTF5zJ4%Xxe z#BaqM1o()IY!)!s>+B!Tr7A>z#jH`#(p0 ze}3uI-D2^3^VsVTb)JOZdaavX0_rag9+Cm^gzyrmr4p!)5-5~W6^-Ef zq7Z*(-fP!BQ!GZ_b1|bsJLc4QxX0GV;hM9uBfnr2L+y!t0l(n8@sX#ap4DG6W`2Vz zD`xMlxDWK2VFde0ypeE?o+u1$J21kSJQ3|Z5fx6ChmTHptMBK}B%V(7UzN>+o%77>t>OvMp9r1jG4e14TFrgO5_Dgb-S9W} zld{54>`Co^0>H!fH`&(D7dcb8O*{-QnLOHScQ%FzTqjL2)IXvpOvgDTeP7so*4n#r z^}Q)?W@A^|PfTWy+vvmh_*wT-m3>+}Ch1~VF2|lh>EfWrqovWbPgm?t&R0LH7u=A6 zINsK z=T9>Q>504QRq=^BE*O1H7u`C!O_J?~J!2d5&LXSNKcltE=UT)|m+J=11%Q><_EJfWW>>1+{OJJ_fBizo=uw|=(bMdNd%J>5B zLRr;>s{+NhKc^Q3Aw)L55=3do9mUe+DeE zXDppS)>|GnGak@F|JvBF&@we$?$J^4uI37NJICGDn;rQIZH#oUSwE&i-{IcPyzZzJ zhG(qR-_}Vj?r0PSCj-f!_2wll$H#`L&#NEwYe#5R&&AyQogU35hdaR~rKV}&#}BdQ z#_O8u8wcRQis(#7P^qVTi6`Xu^$<3l(k_O6BZbcnBh9sQy|cRCihRpi@9)(n;A+Pq zBqd$Oy!wna#>0wX3tt}u%u^6s&f`Of$pY)3~k z{qPuqk2pNH%nrvZQ(4z#_heJu`q&bR1&^KXpsMtrn zr)fc;!%G6isg`N6IBuw{{$bk2lCku)Qna{9H@yv+rBd@}l%z|)o*ni|qgDwo=yB84 zNTZ-9+m%U|iyhh>fnKl7v0>sCabOeW(zAtq029Bt|2*^)+Ax+qtaOVFsqeXqBf#}oIawxAVeSaVZzMkkSY-$-WfS153F0(_Cdq`_vDB3N!pU*BsgUK zPXhLdSvU*%uf#~j99&cxG2$yG7~hypoA~$=t=op+LAXWMno){0Y0xRz*0Uu&!2n(I zewx9m@}z6vsoT~|RA!I6pAv}9Dpg}dMdvmxD0YQhW17#aK82r^WDmf31gA+G-$iop+FhzhI#rM;>WJ5tR;pYY--=FA@ zpX^4Z=so2DuEFqm=>5w@NoAp|cjfzZWZ#77TVw&QDwqbLI2D`Zpmt>&sGU&B{I*+r zOeiZ&c`vz}xTY>>dlEUN=4(^2XRLQTkKtr*8*SqX6ezWmL9;taScm4)Mc;VaC{VLe!Bcl#Gw% zx|I|0hEaPQQ!%;myOqO589E@e`cx=d={P&PHLwT*Iqd z@=a=PSNnTg^f8FLmXY2ysDk}7GJeZ&2v|G~X4urpE{4l%N!QJfT*tdICOjB-Cg ze`<-tym_&s_RZt5PL1{9E0K2Jcm9+0(s^Tu_<7qHcO-25&yIBkea%SC68G?VkUm0`q;)M$ehj$4oVO&OP>DtFPcebCS3kf)i z_a71I+7%?CC+1PJAd9aPWvA)z)!lYL^zk#9REr(p23#FiKJ*cc4v|XSFAy^v-TPXL zp+$GcG33rq+QH3d$cy5Ab3wptX482*@6nvy)FnR%&YH{GP zNBhAie`@J`e-V=UE?p;ljOY!fHNB_MKxPf+PghlAA?xGOY&9@{2aITLvp|4`jVcs3 zm)9jr5zUwPT8;_`DDFz|D=8n=ibF(j)yi||5*4iIq*^k_8B6zZG!VO^E#AQh_8Q)Z za%o-EOS$BRetPDLo3_ViSpkRgbQ=>_YtKECxPD(gU;nV|@0FoEKggyc*c->y&Np$m z$lG`!jtVPZG`Peao;b1h;Q!cpmcO>cnuHzB!fk$U#_`W$D-5ToYj}9XF)S3J3N}4m zVx-dlR2w%KTqg~!h0EnJ!`Hj*!L#*M-n>9a+_L@9{kN}B7trJC0G5!CgQYzbl~s`% zf?e1TV=i{-S&4NgVFf#OCn^wahhMH@A!4Nr@?(v;=F`$0PFTFa@!5ENf42ocq3~{` z#5wtl*s^fX71@RwYvvkut6w_xC@n79bb-ho}#MoXfy&I?$zwrsI z1X0FrN6_*eE^w*6NYkGc<^(CZn{FQI7h&p49vJci))L}q5Sa7qY)z(lpaSBnbB6t4 zruR&V6U46HO~o%?B`%Oe`pF#Yo55DMj$&DtK||Yj0#5`&x=Vl^)D~ymWFEUqc?)Vf z*#c;+TDcoF&@D`n;VFnu*mk?vNQijB_;fS_`B$&hD&s3E&2UO(v1P%R$#HI#?TYRr za03|-ZN>>~&0Btq(yX96KuG{v;5!?rprCm0_0LD2t&nrvb0@wC0bOsmVnwE!0!49( zAanz#tt!INy5MKYx(=Ir4lG!oTq+%oN=-oF>feuCk*!C=dcP+Ix<>>48$gUN&YjV) zPWvz{DisgL7$ylu&4o4vP0RP4$XHyb;nQa~@@qC(BSAw*YMiLXU}}R73dr%8%r}DQ zMGQ$n9v^>7QxJj3c~5`f#42{VAN7gnCk>hk9ffHHdmf5%D~t6K##i?&SX3}fA?h1b z;0?!=9M~@%+hOC=mk#sm2hXW>~^?F4=#2b+L`osjk-N$Uq$&rrC-42%MAi9S)v z{VnD8a34&+Te(n?h`qR7Fuzm~FJzZmvz_5;Y@|9S3r$l2PKvFCVHEr=cZ}{vpb;OJ z=|*@uJv$gkB%HjY|smQr^P_DUv6Q`7)WWgH9uzYIlsw0ya33N z3wL|T-{iwUb0j9dx<2|!w9O`Tw#V+;-dVr$`*)AFU?ZD$WPqG;6Lx+HTunvPa0-mj zv;35dPd!nuYMrI#CLv=c$H=dIr@!4F+V9;HTC?~3g#x+_k@o_6ttRDm{X*#f&*5O& zYp{B05AajeN7_Mr!sw}>$2)PX-a7_!Bms(`g(pUXz?vW5smhNvnaJQx$y!p(;9qyX zz=wE_G2VmK#*AM9;S7;WQo?m&K4B7Z55SX+19eSJ^}vV5kf6hIolEOD8F;$GfLsF&wS}%Hu>LLl~|0O!q0Zq(H zlHG5(?Q>$yeX#_Z40V~B&re9Kq3x)4K+Hny#Uf(sYJO?w=mo~n$4lsR&1jSK%$4wf zll`M({PRu`hGmR4p3ZOeQLaEJW8oiDu=S&bL)l9-lYU4x@n|+cN!(LzY8ZNROCY=D zTM@#NF&JrPU8oav?>kxUfUyR_83Vt#y#F(3+u0b+2zeguRmqHQr`0+@ad7iXQTdeseQ>N`SvMwkbvZTsAIT7B~+e@3T_tPRZ}}lxa%u*{pnXp@CK=q?zPP*2?Q0Hl5RH26Yr3 zX?YgqG#ehu3?mQA+YnI9KPU%|zGHLt84 zHw}PQ5cn{V$c)>XC@i+QE_pUTvy>g0a$Dhe*K*Km^YLJ*OPH+VtLd+8jW70j6j{W_ zEZ-*I0|s@2rEy{ZkrXPN^0wsXjjmQ5iq!8WiV z!HazW>@|c~lYeD?{|*EN#S-@6@f)|2x`7L#C#}US?DPxT=mEOdmw2{iwatkfSema| zASliGctjxxtBCL$H*hlnOnB?oU;Jgd=`nr=2pn&nAW5*hqXz^yX8}gvXYH5*IRv2^ zWqQH9XjP6`!H_6b4vw=qcU{d_@Q65G7W!5%YL1pj=g|T)y3w&==bAT{-n!^ag6J>r zw;;wun&P1$6CpM)Q?^Ea#UKh>!NMZqZVosHU|fXWI7K$K_ly{ z^_eoz|6zblj4VIz74mzGVsf38kPS7?13kDqi(&F8HpHE6bk6=VpeMq(cD{)-TvM5Uf+A`EoFn4-2z8d8a5o>& z)sQgw7@6NbG&*7Tqsb1*D^%nXpSAN=rv0Y}4ZQv-?&2wK+Hzam{F1ZYMJ;Gt;gy!> z++nD#(DZu=)2qdN91r_Pv5IGTVvEnu^ho%&xnQ$b2Sa7c;3J$Kq_h?SsF=z}A5oQ2 zZkk9>#LiDujZ2P}f9z<9^oK+X`Ypiz%HV##Ag|7G|wdV)H`pCRlH4HGlecHXR3W=noQ=PItH?|SL8*9Qd8eX0T-vl}YnB7zOd z?E0aHs)@b5`@>Y!IQXMk7z$5~52sQgXjlhYSXIhqn36uJ@mZA>dYw+%XQ2%~G?sG$ zP1;&4l_U;>9yzh|z+jderRU`D0(>i!-jG+yMu8Qcda;EGwv4X_$~*OU37+IiT^Jt@ za~~Vw73M&+Pq}~btHzD;yxi*YyI5}3v(!AFvg=ualCnOy9rE-n99Za$qvGViqg<$* z?Kb~b?d?UAFJ(SfO?{ZbmAR=ka7Hh5yfN_oR@0#@U{;{IWc7gUM!BKFQ(mP@BjhmGO!G{$#AmLs zQm+{2^I`6U)>&hn4^8kv2YFfWfdHT^=AeV6jBZXqu7%b>w}n}svj&_Wy0;Gw6}d#IMCmn-IF=KCD|1-#ZTzYW>6aloP$3;4MQmhzWAS z7uGokVamv;*>9NRTK*O9RbH7q>Lx9IQzF`4> zPf7}Qme-y*w|swHQVN!aezBwZ=Ns39R62vnTAfQ>MdA!W88*m_j_hh^4Yz;NE0bL4 zs2PiZ4@n@=I_{)LKtr zuJ1$qu(ZC&*XyyxAGRS;2b#Gp+!>u8WK;O?+oBF7wO|hny@tRG&R5JeH+jk{-&pBA4hNPPR@g- z<;`VVPhRTqrBdDHX23VSyx-%e8Od(DWaC5zDz9;A-s>c0X6cY|q6%w|sckhc5tTHk=#VLIJIPEDTG5t#_q@#Ghqo`m%a|fYm zmz$*~YUAtki}kBNYrxsJHT|nQlh@PlYkkj#gAteZE0(GR+BcrLV(#*Pa=EwsM)fU6 znyKpPbBOVAH4Ir?JTAqlgfwMp#%`~W*egYzPS{~BivRqE^pe*q{iQ;pkd_s7ERa7# z9=_Nk!3)>!b=l7>rJ5}MvSb;X><6Cb`KMO%I|trln#zziDB9igiZ!*tV5d z5_HDha{0b5Tm-#?#sgB+mBt^KZn+FT7vg9AS;5jL#Uj=ec_`_ij^S{1~+>HFQEX_>(w!%Nc7% zYCqOnvkCdn#Ylzx9r4vd`r5Nf1R`H(#cr2*(3gNdPHC4lFwKb>y<@P$T^D)@8zv7~ zch|NFKgh`T3U_j~`i8$TJ@MK#X-`Z3=NK-0$u{?f~f9goJ&g#2_d@ltay zr&RHyxB)>rdMy%{T~+-#aN^UZjAu9|EHPfc>kH>uRoz(w8BWDAh~>f2dw~#Ng8U!E zSNI3H77Kq;%E@dyDQ)QgZSFt5Z@sP@#O$PgNMMWnS!>jRX@Hws<)yLXXz1}ilutP| zu;61MCTpiGRREl6ZRKKjXq}FhyRl^Jb6X7p8|XkkdO!zy!Z9qqpa8jr{H*Nagk>`l zishRn$hRN}_hGOfFbVFQb063yQ=(p$*s~CdJ;`T#`h{fd@uK3_+C&@UQkfb z=AI-Mt-rEn$loiFKX&$^07m2rR8Xk-qb>*_!;qh&AB6b!q}~wUXAt5`=A{AQx0FAy z5A_Z4&5*sZH1^QI+vqo>H5&r%TnmE&LVUy1n1c+CgbjNA=hlhJYTFd&;ReNMuw;zUGZ`VMX?$_~0MiTHH~}}C z2yi;Z+yzrn_=XJ*ev+THCmGh|P;j|)o^GX|jbHIhSBtMeVxiBQSZMP%vC#F8SeW@H z7M@FSEWUR){Ua6r_zzNH*AUS0Iq>ZBrh|VecMQG?2{$~oNZSB?>$@_dSuzu-6UK&yWV6(FsukaHMRV)*dunJn)|1@d^?7iA%+O z=g~ykjyKkDOCK8l`hX?HV<|g*gQxD69*&t?OU4N+dq9y`sKHshKZZott}7Ak@C#%P z7PF@OE8x4_uhMp?2kuzF=owl5vuL)hgI%Kbyk zN}K^G3;yfGZHDYcF;@7+U)bzp{W>Q#=74^8(=8mhVd*C6o=z%kUpkh#0n*>`9{GN` z7+NU^@7dX$ZyQUiy#HFcnubk$n1$I+H`6T#8^Btq%BMm8W(_*9N$Dz1vy|fcVvT=6 z^a|`a46>=*G>_Tlha-Ei#V=aoG-`(gobIQD6}kzmMeKi7{hKMsnp+cPp63-vVC&|Z zGuPy7y%k1^oFW|s@_8t7C$C)lcI1TZ>SAQ4O~zxk)AefFXi|J}MF&-YwI@ zHzs^sb|@}&<#3TbB%-`Dfg(GMEM+_u=p7n@15NkL!+4BvHfWa~VspEZC*4>R7(DPu zRC2I}SpWni5oGVX`FE3qi0|eP7?&XVtwbj7Aq^1#Zfx{{(71kSHn`JO|5O86$_|?y z;6cMWPIn6L1%?{{mI>i>%Ob&`is1r(sHm8Ng<2mN%^t}v@(G1mW?CX@ZUcJNWuZ*e zF~AK2lV+ALKxQDqS9uz7l927887>o-L|F#w{w4wTx=s-3F!~m|$Hq#&xxep^?lUSH zQk#Sfi$R%?_aDT-M1wMKFmTanb9E9JJrT$^FVKnKpJ15@&8fwWk#|&|ycZ)1?5xDK z`(R~C&yD=q0r)KKBIs}6fByy5MWj1+p!w`YhCAQ&J6R;DCBQ5JZ!;$zRbc?TY%X}b z_|pZ_-Tjjy`7{2Z*|i@vnqJEOlj0cHcLMxP4Db*o^2Wk(Pg3!Fu4le$hjQ=Ij_JEZ z=6I0XS1uqQnwH=ulL3t9L(Ag9M3f8`J}tH_mzlQ8m%MlNTD3-=()s0v#H4ZE1rh@l z^!tg$tskHs39k)#(f-Oy_dA@(8-2Gs>dtCjyL0kXJ__ZngulBx7>F<=!t1-irJ7dB z7kN3?bz=3xZgf;33)cyS?-CO`Z<~K>{$H{{$q#bpU%R~08+LfY* zqx%Q)H9g+Y6SH6mgy66Hh^DG+Vz}{&sNDhTX5z2Zk1`io@j*!RA@u_Hd#%6ccaApa zg*F}Xo^GBWJos{cgXas;WZofF+L1iXSbetZ;9hP1yYg3;`3;vl8P9P_AYV8j)^DGP ztbOEzIFU@9+{DLf-y1xN$y!a;ZWH}S1o_O@U~%fx0#sWkT5;IJH3TiNwc1#&<5(!O zvj!*dc6pw3;1`XiP}o#8tY6b;wR;=E)FhH@*~gd?O}tb+-jXhET>3 zv#_ua(3lK7n3x{Jnf(*Ae$Cg8#7iQd&(VV7S*o|=(}VDq5wmrICp^M|*?Al5UGz5^ zWanO%r0S!HlDL^SNH8$j4|#tHet)z5cqP6(z7*1A{+sF-%qd3mKUe*Vx zzF(z1Ug2UI+4)4HBu)E|l%S+B#1u`-kc3;BTmNS`D@d#}Y_D}+J9y8;qo$%?gN|oU z`A*r}*Edk#cWZi(VN;=R{wJoN!HWXfJQ%v~gRBI}AbAY7qeH&n9alM@ba@cEY3ou3 zZh35G&{zf@s6gsAL8pi=h%%zuyb>yESMo)eVx+frEz*Zg8u63z;xmxB=ZPvw+4`Y6 z$S?)?K&N7NOuk;Ss5feF&aifGeTnM6-N!FaZj#H87=&Jzl`0D?E`o{;afZca%=UKG zMug5LbkoOLyI*koHjB2O7q=S_|GLz=j0Al@v&&A$oC0ZTJ`aOK6dxg=?-~&0a@PAh z3MyafLERr>jcCs36*Q^{5#*l>u=mk+MSMYLl^4?bE`9L}?|jk<@)k061kBb%JFuIX zS|scsP3$?*)?;bGQQ&DIR7KGOA)-x8zqYHA7WXU`$uB2*F~!rQ=7==!p-21~t}eE&}M{?h!; zp@a$iuwAWGF-t$G01HGkOTDvxL>ke~WmpyJH$B_?1J^mz%o@L=G`v5LjXdm+lqo5SnZ^ zjJ;ROpP#=~r6bNs|5<||c3G*U4F^eDvsg##Gk=sC>VX!&O=YRgMgO}ljETeC46UC|5mgmXLh3y`0iPr3l;9OZMWoYq;CS=;H9e{*rJ7N>hH@0Qb$%rR--s?l#44o z4`=8ZwFvCg_~2}5ROSQ>X}n#n*cL^*bbJnTe`uVgg|hu3hxs z=l*8!<-V$&HKzHLjm!#sFI}Y*x=}`|^o&;P(nvkjim9|oG^(rg8t8I+?Dt+fBE^>|=t#(und}H_@{E;D1M;I6j|cNO0_G;Ekdz zGy(VCcDxFyv<_BhA%x+$59bZ>ffPPosog%h3?yZ5J12azkQr1EDZWw>#NDeU#8u9tnQQIs-Yk-FyX%Mo4wOl z7IT{dR$YdkEf4ZdnAzswU=gWqHF~=h7KUJ85#^LypDpUWVLc?yE&^*G9UUK@DMVph zR||Ub+lfv5<`Y|xq;l3yPeP9#<7f4R zjc=JIm*aN0zO4mY#)nT}|3W##V5?AzL|v-kzPk87u=X@sPX5Ar&gN&E!>dS2N#<70 zRPSx7IEm-A6)jZN*lgKQbnrNA*_ya2fpbyIlA1enpNFC|+P_xHf1N|QGAx8&dKcrD zafGhrF{!6`;l}(WbwnWMMg}yrK6;z1c0zdzb~YJK@}b}-;1%VD#_T6jsl828Mh^Fn!x+|dfbs`sT$3ykf*TE{#=V>x9C>&q_5TxbkKyFnn$^BO>qT1P7xtFxQS59OHd84B95XlIjqL68 ztZ%}im3d;%JjghY@h8TRXl~BvDXx`9mw~9A#DJnXNYL9v1)XsV^XG|Cl`?uUen>c( z<-sK&_UBX|U1|MiMHduK7t|biB}SW^mJ>+cBadF+j93RvF*5S9UP{}iWc~&d|B;4a zw8EbmSz5oEIyKDy&CdHTc{hSAMMI`XpP191G_?-=(n{QOI#f7xeXqrWjZ`{P>r-#d zD%6d9-qeQ(&OfNbmXyC(kplWSaliUOs`9DW)pb{b5Ze5WAJ2S;BA$VJ7%25m0}z+s7=wc@j3am?>fNoQb`XS6X}v-P`t zA3D|~#Nm%0&+(*plL5HWrAnWbbNY;wOt(e1jDcbF6PAhhhSvFDl>}}`(LX2vK5EU~ zQiXO^Rz8szUXjVV8AgJlkyBs8lp_~=_Q~T&@W@W5gA&os^#gtHd7LYehhtI8Y$E#r z&W1pnj3D^W(Ks0)Z{}VYo+-*EmKG$1^g@U=Y`LqV^a8^4->}}7|8uNIraZMnGH?8z z4%{VG`2^RrM#I}dJVQeZn2oxt$vj={^9>jCDEDtH@BdY>7hm@ORj{Y}FJP}IIV*lb zoc|wW&+HG``}rTp9=`^4sCV*2xH}{o1!H*0>ukke)*kF1YwyEG8F&rH{CXp?+Dmx!-TKKmf+&VY94TTGq?s1B5+q(##Mmx3R4D9c7Zurj+oxV!pq zF~RIuuzWq0f`IPNxLY==vCdqy!>#z3Vlqh4tR*l~CK@3$zuA4r6|`@f8_!kei>H(_ zZ`rt8~*WdLfs!P&75LNc1V^ZY7TIb{B&FA*{aL*x(4A;p5&sm?MjDY)6mC@bg{0xE(PriR|BF9ys0swsAJvr&tOquET*6(Xj8m|lXeY$x7T(z3y zlxV*NKmNKse(9zjzW}xU)`8b0cwQ(LcA~+PD*+O8V(8=t`=BK&z+*RF z@T2#`#YcY3=|@sInNcM%UiGO0xxPqURn{zQ%oIg2wXg3VXM;)A(=bkcZA4@S$?|ca znp!nq>$U{$cY8YX$n`2)ezTH;+_LRWPs{160$i1lz16*Sc?^MreDcD-}Yn@}C zrNeaZC$jQH7xrg9$EEYeWhl$+DYr2%dRmcRvSpRynw~kVv2>rFZg9;7R!7XBI6!xI zp{Qb$AkW1djQ5EDC^LlYh(Fijqv+o82wnit7CeYq8oCawXi^=C!UwBd!e`DtU}oIp zc1LsNuYlkAJ;JF^2tnBt;76Effq|Uhs*eYBh=Hg~D=|Jqasp*#Q;ajQLD7WZy6{n8 ziZ6OR{$dlKbYLI=$Lq6q(UKKr6L+$iSrGaJ*@I7lJU-l7vJnD&i=3 z*5_J3HcW!Ir!mMJKdX@EsY~~(TL_ZmI2lhh(il!e*nM zQzj;R_XcqQD8koR!Z5abl2SYd9Te}|R_ZMw;Y)5(Cl{*i_JAx2iUSsjSViKRbmMsx z{xO6mJ`U?H6E$_2cnZLnWup;6AOT>?7tIfO)Aat9uVKDL{iY@O;@qyxt)FABr@L*&llhM60}mwUzg&~N*MMWU^&)=5W6hvBsR;%w z+07ReE&mGay@2VuI=C8Hh*(7{{Fp;M>kO5>-8ny|lh1bfEDybf&|K03OgUhoeZNTz zUk?*O?go7AQiVQ$8Pgq@kR`npM!*49xw*p83uq}sitCOtm1G15w0-Vh&t*pt?mgPP z6toskm!K=zm?Ni@iuhh9KFUt3t(&1OTJItuW@?o~cO3h}O5yiz{mTG63EKYs5BXCs zyo|o@b>39_c2Sohy)PW|KEh~QdX_8_$1*)@JhgeyuF2|u`7RJ`lM83*Umq>UX z3C-3&Ob>+iAkGvO+zJ_#@Mu9aMb3iz-a5eSY$V@_TlK;r2zFwm)Cs4}NZ{WCcvau2 z2K?H%f4=c}@agHxCN@fVYDwoEeIk_MkNdWoO%d?0ANs3MYj~~)(*=pNFFk?tT8#0F z^~LV(S6AbZEb~x&TD0(vxTwFF9;o=WjzG;;h?}*qMJ~L?QMokO0`|Qyn472Foz#0- zCp3M)Jv1O$SDudmV2)_oOg(YlCBP#RGr3OWVZ^n3d~apNnhfg<+I$(!PQsN5d* zPSYD+tqojv>9lvq&-t?F?^0NHXwFyEPIhWrZKl{zarg0XWlKl7voP*!@EseeaE>E$ zORVq?qjJ5QC~lD&ZB3V41O~ameoAA2Peh`hgKRx8Xw0_|UKp5Oo(sU+V(rm42}ury zFnjcAL)OSbNtwRvAA?_b@GDyR6gwsVSbr$c;vFM z*7rks(Ww{##-Ui{6EE-d)6MlQHCc(@;nIsef6b!NqerdEsDq0x_d|+Z_FiNu>=VKj z2Ob1l8gLqV3w0<9Y2W;`r31!w3i**9#PgI+fGi+kPXHwB>4BQ>PE2}$i+w<#2W#-q z9R!8$e~8!p7s{tHMbRD%^JeSOa;pk3AH>AMH>VDgV0ZZrFCJ9cZ!1El2BeN5V#d8b zg8J2G`bA)X_Y{GXtzG%50dCdZTb#7}>@`;-6iZ$3{l+;U#Xi&08+RWO1^fh!6^wWc zLjX|}@~Z~_6Ls9fvaYA}h@yx`ooDCKBloB~6lrN~=#W@bHRx~k44YRM7%lkP8py7C z@<-U~Rpf5~sjcW5mL}lL4Y0;3kI7y4O8r0IOSQbj8Bl)I5)(V5@zv~i4uFIN8cBnK zb{DC8!TuSo3kurp!#Mxq_W6t8d8|z)v-V&sd?+neL^W{T#M0oxKWIQ((`g|Z{*SxI zG#Za@+!w*jus4}2-1oGz^>Sl;c1%$(yjkYJ z_Jt$cjY%Jpcq5?`1~gwwVolRZRDYU4c(Xt_m8ZkjjYp35^U+;_-yKsx8CxIk2Gy(T zLg(#Xm|?cRDt%9q3?4&|e8{jK4cLvZ&jp=lFIyZDK>impRM^ZTap;VMACv4y)J}m} zodftjr^~+S{3oV{({_U9`W29~027;eGtFl`E@pCYj|^CS2XG-qeSk znq22`so6E-p2XvcU4%6Iv83z|N;~-AB;Gb!vO6RucF%u6x$Z*)PUBreQiIjTopD^T z`p%v;3}|CjVjKKsM-NyP{elW%^^1tsz^Yv51Li9$;u1^`~eAN$w zm9mT<;GjwANp6&FD?EJ^yJqbEFveM+PZ{oa)+O zp6;>g>Y6jPcR}8~!DH2Mslg*s@1fD-*_fr&jodUHJobF$7*@3P54raa4p2dXYLS;D zm5Gf#4L+4ijXnHB$#8R{**Y$if3nY^9?cT!>fkkYimcIweX71274SFh0y=RjHh*MB=b1F{tgh$-|FO*yo zqjTCS!H) zO&QusuV{K#F@PZtCeilnsMqipkpxo5N1EJ(d0E%uIx&@I&)U=70_oQ$p;xUVXROtF zL?M&#{s%g4=4ic?+23>?AiCV9_-}p>GZ-ivui7}PW#gywu4=S&-soxv3tA<=(Jc;{ zSS22$Wuxmr0xH8!dkQXj)w^DsQgCsb+N7s?JhPzmGJ6oJY@LX-Hu7Nh7s&X#=mF2w z-TX6OTDSL=JEK4LMXrx_Gwy7N>Wi+qLYC5BtNV}TQ~B%nT_4%ktmLihEc|L>Z$At^ z64Z#S47NL(Y%LYOHs%^HA%)D4L|ol%F4LbfFoNcoQB8+F{^8}X9T)&Q_OxHQb|Xu3 zYg&rDtY@U`DAqTn(HE88D*v0%o0g0H|0Cq0IC_~gW%*+iSD?4ve^+Iw9JsbE^RR-< z%3~d8{Y$__iiccrzKBHWC0+%8(|PgX)EfV!^U6yiAi1;!hbd`DQJ~A?jt8iG>`0iIo#S`3HxA@K9pujS!zW_$FFj{OTKEr;`!0m znh77T6-l79rc>p-@h;EFDoaA;Dxh_S^$H}5Mag_tbo{XwX z)wM9XVQi^OR!1rJssc+|HY-jWA63wni!QLWpy-ngno=ls>J~{{ zp|6ORXo$PbJiLTs!1usAd>towuam>c|LrO;9h0|7y+7&q^vFR&L%tUD}b0jDd@g9dkp)DnEhd zbMBVsnNzXguv75$;2yFR?Ux9|Fz1h9pvcO_7QVcT(O8$?9apJWHmSVUPpC^exc=Xx z>ajyVj*ik*Vb1Wi;T*>1jWfo{p-Z(p{2@0eLOI>*P|8Cb5?#>U0e0X^3brz8TsENn*)r=&5) z%g!02Z_il%%@?#QeG0$S5cze=3i0{Xa_$M6kAlCZ{$9uvQT;Zf0CShX?OA0 zHnKdpxuJZoAB*LmZ$NuXcOBVSyXY>i-*wua&u}mgvLOA@8S6L13i*%d z`etThXn)Ax(v^)910?^MStn>ttM)6Ghyh?jn~$;n&RSB+>%1s+9kp3$7Bs?P${#E{`z;BJnTR% zDOE?9yi3Afy*y=VWdy13hK7z_X(zwR)s z#izYJJ3ri)zNPZDLW_U5LyU`RT=z-5ay6Yu6DHWeoxRBFIjbHT)?3ecTizAmKl69Z zvWrQ7ePwZr+pZKa_72{ED5S3US^zXsWK>d#$%0K`Cg01 zVr}@WZuIfu;_@ry$TMnDEtW|&DDQJa`PSacOUZ^MDO;*p#F_T*R=1?;KYpv-uMi?D z&F7K}rV!Xa<4{&M3g!nxr;lJ}_WD$pO{hXVSA{av&xLrWDlRA3uVL)DHl241DGk1r zAXQ0@x-SefV-^orKCcZ$^cV40|f1qYDpB|2Hj9pG+UrurO z1k>FL*}ixyKm7c}95`!CL_0@!Q*3&ax)a77Gd#h)#GN{^^NtDa!CCl(7IF*CUUVHJ ztU1nBFol5EA1X6Rn0s#aa1u>bsf{{4!W-yfxw zYwXd+!zsXZ@kXO1SXSG9+Ln8c=ddYvREgtPDb1Jm$u==L!N-&PI;;F@tNBLclM@uU#awU76?9*yRk|aQco+`VU)?y{V)<)`TSfCMHE2G@ zl~_IxF}*7Ntm*2}x+}mf9U6d|W!kKdA!809wWb)NG&%N;DzZI?eryK<0w6;nRuA-p zb3t;!jZa>S6sx*CUykM#?*u>^{p50Xg9Q^7YGc=?5rK+7<*}9ajl11k=-#peHIaPH zSZrV>@w`^>eR;%gYme@XciS{4-(B&1rRq!uz1)%7<~;dxAic>^>bUdPI{GtglW|n| zDhC^^_xmEn&b4NK+&QmDy&XpauLQ=e z91q8SUE^&1xve3btP3ci`-F93AoHaFAj;TQm zZC3Vd#6(V?h5+1&7Gr|}ksuzxtXX;RT2b~vt4zGrHYr-m?u#!wn2XQ3~*c#Gw8j7X|{31$O)Zu z5|ieBc%6nE*dv{7!WnD>h5gumEMHrJ&OQvc#)d3nBmymGn*&?23_Q!%?f|<_@|j*b zI%PWQb** zIc7wwxd5`YjcBEg9Aww(O55HHwn-$`(s!Dy?%qTs`NtQ6W`5HGLE>zL-^pmzt!=-Sw_a3T#a1Frk_vF3MNL%8W#|mc zsR2e(CpG(93Mt=WrLLx=mD{l=mE?&QQ+8}M`1k^}b?rP8@OK@XiYH+zz>{oj_xpXK zbL!`#32c+D!I_u+JKAM^-rImi;6?AHA6oq|U;c^B3rU;sXMit7rP)Sdxn~u$6&~M} zI9AXPM?1@4R6Txo`1*?%?uGklZuRnMZg=8B*KD?7ntq_lt4LPeb2zu@1G?+zB}MyN zN4DY$_$F$ZzuJ8E){`&433QCx=&J`}JZF<9Mkx8L89K;W8ob|DWWv%a`7)^-Mhw$} zvq@w0JG{qi8NjkUB!hkYAz^Yb4WX9u{>>(HJtBk2W^iRff^XoSGU^2cZHRBMV}K8P z#yR}P0J}*1u8}+r{zE;#((T$Kh6!o?`Kk7MUW6aV1~j;a&j`Y%INnbh8xycTISY09 zUA+9CR~!!)6xHb!urS_YVRuaJzAZ2nkhf9^xu9IVg1@p1kNMNZ4Nd9lI+=!ro!(ID zh?P$`RJ{lMG++t3M!ocx=e0|;TD46ayk91t<6I_>c7|0#e6v<8_S)=b>bRazel>BM z9p96OY@oNUIK=*1#+G11MufCCaIXPc&UcpZeTfqehW)Y!f6C!L(?8v)gvD5wuc^=9 zD9ENQB(KO@Y4{Ow8J`A!Jb1#4(=!^prkVVHW-5J`pL)Ua;kP;5kMpnZxcAY=E8jfJs~YBw7p;SGVp%agjnPH8%qjs9m;_Bj`NF@`WnO1eu%y*p+SXH+Hqks zUrG}bs964La74|MZHc;?TzhZMq)rK7IqjI;|(x>XDQBTV1 z@*&=i*;}rLl5>_&tVUlXIxC(IfBf@bzDS}+&%eRzt~XH2OHTnA{vX*M;jLaV8{%l% zh%6A%hB?1LZ2x$Z%3IkpnRarwN%>`}jp}Q{L=*i3g;$lW?xnnf{IR<5e?DHG z9iA$KO0q(-@IoH-bB<~st9}bnHBntXi7dq)s9}kO?Eb;$MZK132Yl@Mmb=0M^TKA< zxYW1oA|A@)cu{RP^NM)w4fh!$o(f<;U+$jrJIA_QX!Os2;g2zrgXe~IlH^HZ!s0t{oL%|M^|g}85yFU0b#{dMK>l^*H)AbKj2PQ zWpy`8pN6=dj{nr<@i$oLfm)RGgBpt+bopH+{qA2|ckCRBob;oSeL4g7L((o}hW{Dun*iuYEE3Zg)#bf`iFd@we_C>RdG$v~Q*RqvLe8e$R9wyo8Izv~40}lsrT-)EJeKB8u7vwl9F+kec(QncL?nEf9DXD;u&ed`zJz*z+fy zyVWiY`5T=V!rqIO*+1xcP5Uv;&A6lS`B6;uxuczSC0aaXi9o!Y4M~iy#F8huJhN_+ zEyX}P6AF}kdAj?>0A#ur>+fr=BM==GUr2X3yR?-5T@Q{BOHE6;!PwlFtI6{6f1mtQ4Fe}qnPRgQtt0TG=pAIIpF`VMGKcn~NqS&X#)K81;)(i{+Qq&9i$49FG{ zHu?TtoL`wy1c-tV&+NR8U8^K<%1>FCaZzq zswD%W9E$t0H1ya0tlL)xEr=w0`>S8`gV)b`Jyxz%b<3(T z8FrvC6P;adu@l){8ais`Ah-K0?hAV{`10!bt_=3Q_%r}wo35tJ__aXdFFvyq;-@~d zkK8W3w98>z2I%WH~@qA3A1oXzaWUa*mCAs_bGOz)HsOj^`h!}x3gbRuBML$ zlTu^HIB^YLV!~T@pYBY7qqFg=zOv$rUV~T5ig~xWUuD=ni|c5cU7^;4T77-`7cLbk zvCrz>Zt?F&=FTD1zBOc;eeV+ypk$J?sRN)pGYg%KBjuZ58&hsV0Rc zz#x_vjV2KwZ1{;xx-M;fcD$J>{Yu7I(*d)t1vHAYX5cRe-M(zL&S~{b_REIk;w;|N zy+f^RyWPVSImxz<0C`DVl@zui*z0^*e&WhM?+?R~B}#n+rS_nesENj<_T2WK%9ZWR z`1j2AmF*<{0lYRnhJ~47AAanXgLDkohey<$l|Zx@vlbk+hBGEUg&R)l7Vjf^ z!n+M`mUET$Kxy1lItmsW!ifd4e1UxanFb!qCI$m1k!=-XE%|Uk{$CYHyb;sTco13f zEZ87_(}+P^BVOYXTfS!Q5yj-8n{bt+G>Q?@Npt8Gb48}{$T78uxxp;qnHa}4e)kGA z(KhkUa3!eW)cKYYyX_EvVq)eG)G*MDxl*iA>0((iGF##YTlb-^WN~j7>w4`htFFQctZ16k^Jz8OlcR=HTkzU zPE8mD2B>rJt3j$rLdHMEl>zj_>(^IHzGk)#(fPX+V6>|Hj!ORe`!7$wqdUnahyrUo z#^JRxgwXudN>(F;VKaPSS}ToCYQPlrZTvYC<`rwWoGH0aJ8og0N%gbVMSF$7VyxQlR{V~`?t>U{L^ zuYTh^4zH$6DjI2L9BA&({0h`4R7H<9KyNHbC+8+b!ZO+Q=*1(Y0{s@-Dh%+F^1S zG@h7IhDSJjV}IS~e+viGj(piG?S(|e3Q4cZ8ofU8Txm=Z;`cwcQTcy)1R+xrZUyRc zFAfFr>#i#o^ub~r{&_FT?GtylTFi5I2+T|g!=$>z5@pXXv5bG9y!WVpfB^HY`iiX$Ie!em6IhhOOEPVACwr@R* zT2nK13%S&{cP78ZY}fScnY?~AC7V{nCwvH}rieFxZr$AgHtgz10jm!(rSS**75%ij zNMc~O!;XtDMNZDZT-DjISD2n%yr7yH1YUxH_snZ#p!K<^?CN0_Fjx04XQVB^2l@x# z)y!-Kz!|Af?9~nCo4Ku%oe-GD#VmjL!9+WwTJnJ;Su~=x&;_Gn%laWX({(aE%mDf- zOR4BOAXUH(nvQ%IpJGQD6bTo z@9#RLX<|<~^KWa18*L4+egObc!KL>}n(w$mSlwmCYga_D_C9nah{6$Y@FDIYMLdfD zjVak(9v^_tFVht+XnNVTWy)9{{Clqn@~z#w%IwoVqh@LHOA=R9G;}#m8tL+P1&w)l zHkkul+J=t6eYMQvWA`H;8qf-7NsWn#WYgq!hdS6se;{l2CaDtz+*ZVjOkD?cwW-INWPTQOz9cb!#|(e7whR*5*K4RwSApJ{OlJilU#M4`t(AG zTW1%>)1KpZE)~-=l_H=iN2r^8>Z1+5?EV(6=BxC?1_UC+UJc>u?iD+peisX6g z?i>#D>B&7P{P~5a7tP)4{ydCtTh99}n)G(L;|a3&*fV~ko3vu`repYg1NHTa#9?g~ zQjzI6RQ(#$vGMA++xg(uxq5iYq`Mfvo8{BBW}kY&@3W3@`D>QPKcfD8pFv->U+?z`CgF*70te?mysDKv{;*Ly# z*`z?(AO8G9ci4|92SCf zj*e0ItJ5`cWe><<0oQwvH24vXCtNZ@d(B{{_;leX$!9fxsmum!R?#s8Lt!M_ejSw# zfA{fbdr$szK!T4l#2i0+ypRpB|4Phx#*d+KT`zH7_g2hwzg48A`3#lIs!)MQKeh}- zN&Pu^t;PUhxv!3oR$D^xSIG%X%G&R`c6lxGBD+h^5phJ{nQ!<_9YOeAn)Q)sC*JQ& zhek`>EO3fNtwYY83CLr)CK7wUF1X)t`T3`p`SI#t&S1!tHRDtwq^wX3(gaT2;DNoS z{*=f@&Hd`3V;-cVmgIg%OgTb77_f>DwRgvf$o@s}femPbwFl<>L{_YoxA5~RG`N)} z$f}gVNvj4h;KMC9Eo0o;gZKDL_xJTC{&_||IBz3$Q>PRG3#sY@fYC@!3}5zC{#7Y!c{Vqfx65Y*8O-KHKugHec*G_!cAM-(gmjJJ<$W zQ?E)nl}qVQWDw;6Fd^=pDJ$=;1D336>UsCNV& zL}AV2j7*Y`gNB>V`b$znol1oTi8S&eL9k438JA785;{3z;G8jxlQntkSz$m%M^wk>nN zkPO3!NujLd)p(y!$J!0+-QM+&6-V}0BMFj9sZb_uw}-_KWAMynE@NqG9G(EqkfPAy z+()59`Pd_$vYXPu;{6hXBKl_J3^%Ly30F!S@!O>8Z&J>xj(v0A9)|WDi!>TKzHTXz z(v-)e@CNyj7Zk7h2;1qgGKuqxWs(*4Ybr_o2hfOT(vSi%!QmoQO#yC*kSn=?*2iSO2$0|qrP_3n1#XN8!3W#S)>&@}(k_@!&a@nf#%gtOmsU>)1mQ?z2 zTh|tVr#tEZY_Bg4I~f?}WJ7o9wt>!o0nHJ|!AhT?Z{VXpXmzw>wmFUcCXVxe`~r9; zHLn)H*%{l1NuI+A(J;}Z^-&cl!c<9lPk%H=F!zVe9h3LaeCdie&eV9oMz#-pXmGVSuCb?T)CEjO)ODUPgZ+hUgus+EI9x4Pz?q2%K7 z%Z5LqTPiiHNtY@$qn7muSEWvE%e@ahmP&XjG498+&^ro*48<&Z2y!Y|r7o)g( zcfB+^HhnO`$HadOfsa%=T|wnJHQyFg108x`t4pzVBGiBG_lz=7c6k9;WskeJE|Un_Ui@l0Fjz3##FM8>mTx6@{b=t6Pqs}8 z7JaYEY!gWRfumaFAVFV;MJWAZ??anxN+_*I`eiX@$*e#siE$YkzeVj{M6Hz$& zV4`8eJ|rm17rk8=0xlMs9G5nK`Wzs?-`v#XUrej2< z$THlH^R&#?6JwuH zClu3vBtezRm|o9{%Bx&cr@sQ87?v~eh6-or_B*qzv<~ML9U4y*>5f&#)Jk2_ zS2L{cGzXtVHbH~L)aW0YU6yTg+^i3HlXFl3h)+Ztn3Yz;MBk~#R?Ax@;_u;~j)FRq za9j4)@-r7ESM;n`j3TQzz>|XOr#Z<3p8879Yb)ys2y4by6!rb zLU7s(5BCigyCjUdz%i|)QsDk{boaR$Sz${jv9H>CT%!9CDpwW&#ksgeSz~SFB)9Ia zh`CN`boN5#xsI3`& zeub+&EloX->b4e?Z0E8Ngtn=hgvUf6SL_B!@wJ=vgp?3xa=mCdv7V0IqNmWSN;<10 zQ`;Y&`;Zb(d0(8%RQc1kz7dr}xY5U-z9NC8#RDu>_aN>ZdIS$RvEVv3EPY)!P6 z?TI-%YI%B?4zV!6V@(5wupsFG+R5D?EPB@)59sio?lUxaD>QIQo!jOAn>9 z>(46u=y!W-)tC5VLw1?L0K@p_ais`3OJ{;ngcfehLSdkMCaCh|(N8gP_sx5S{Ay`q zu@OhSj7; z{h}-t>Ly0BleNTs^{7y&(^!2>{QQ1SI^0XWl>bfsl$kE&a5p_E>vrnx07<1%o9s*d zNx3`rv8h3ds866=nzka$lXi0!>=tK6in(l^8uwEtMv0(;(#_&Vx!=c#(O12jC-bVu zJ(ES-(CnxYY#&pJ0zm>YK1qB!Db}J#-m_VpQ_nfK)P7L{# z80ff174?bvXIbiJsK@iVgu5L1w-k_Ir1zLLX*c#eL?gAeuC{k28IQ>H*N0QeH+iiE zn!ld98{cWrGk@#Hskx_;bW$wNcbID6dTP zRB3w3GY=lh*u$n;{F9IW^V!%sO7hs>*|!FhEYgv?^X%yDAY;wnj|`}ZKGWGcE$U^N zYe;<=`>G?c#@zNkcZfHxbaY>_=7-r_glm&Rj+3J7*ZRl`+UuWW9ZT|&jRLM&qQ6a@ z=pV{xs7W}*^nEA+RA&YnCkJc4u~-L3b<*hHyV&^O!pdibo7 z@o$?(h8ibN%SMEHaI{B71b3-ePg1HQ+g_D&)q97H{GC`OB7qBh#e=|yH+!0h^=Wl0 zy|W$gj?5UmZ+EU;23(9Vr+}=#EQ8pCjJF7U#>cgLSMm&o=k) zsWk>jj&YA8v*F0OOJN=}w}M7UQ$47z3a+r!>5Pv8SUJ}JU`uR$&81Hd80Dk6v9UTm zrnWtJ2476qgC0)HUGrMB$G5CpFILvoxYX%oW&L*-eSx<6+TMDyW|*2BYy@-5dWpC^ zpT=eHu1^b#@-yt*liNdIz=VQ6x$ZWOLuS@Rw;wNt~LS0-y#Tp=e?ZqV8B z%IKgt+u@DMAqu_gCPW0&zwgR32^@H_s)y^T+y?Bwk0C-;@;^Yu%umZK(65&j{q^N7 zn|JN1HCbQQeQ_6;r^{H_u@uzGmd?2`?uJ=@_YmVDxLu#?LXIp%+wr5*nv3Epbq?H4{Zl*J2Yd-2w26uAnM>>1e zT7A8zVim}g>w`By{H^uF^&L84Opre(0R@lcy>rexFvu6m=X7)Zg-agUL5~iq#Ub<~ z{i@;MgL4knKFC+gBXSEdx^mDuw}p@a0|0g5&fCB+b?9p1#VZYzA0!`e5Ew# z5XB|0noYX`HjObW>=Sxq8sk7%rHiT@gZJlC+WyFHv~5@APnC2g&%kj0T0r~d1CSwM ztXSUr=Y^EAH0?Jr`+z*WhvT+);7*!P0i=dDhl$x`3}r**j@bu z=3s$Zby3$-8{_&K;-}N4T`X+RH5GR#28uki0Yx@uL>|tA>gh03=+Rk2_ml!-JHLCJ zhYNc6M+kbjX9#+*$r2B05f0`N4jvH>G9M-a>TsLIJlfuUivJ)H_DxXiTSrXASJFa2 z{Pcvxp;>{;N*a>^=WFiM$%9yY!MxrotfCNT=O>o4>_SA#p%yBKF3on4wP;820DZLl zd&W*rT=Z!12Ev}>^hd$jPlWwBh*~rcPdn)MVn~t#@QotXCKQ*IH(siUR8io4h=wOG zyPy@5@`p#o7keSjdKCWn8yS8}4CZVrnrgpN92GCr99#>7BDS zGHQrZIYox2EB4!zQ`(!=mc}fJzO^8yz6~X3>O6uSJYSf+ToMm_h62v4umz6p{ASNz zB<|=}Jr}PGMf=9aVOaLGv~cUwFlj1rG{(c)p!4jgcI=qE=@h)BHT|@Kv#t4a&#zr;rvN&6Og6y60IoDf*3h}6U@ff9s8Yrp(5#P5;F zGK$)(6rJa!Os!-ZZL~JM-2V{B_D*;~D==3TDRQaJ0jLummGVk!cD686SL-L{^SPzh zhQ}hS*XY1+zm?!Lye5rm;c^{M8OWc?k%2QlOl%{lh=(1IO*&64vO5q~YQ6Yu#Hh`% zjAh6~gS-r5$TU+#xM&lKkLTdShnMr4b3X8b{=``c^p1W0>zDjJJ32)gzICK;wJBIT zv}RiKQzV^LOgJIEc8q~b^LbxkJwmdz%^05$X4z-+6_7UxtYCx}mbsxHTm}6MQvqc} zZA6!>g13g)IXyN!ixgifXeeszQ38(4<703LzB_3(t-Ih?Y}y1Dyf zwa%+bFR*r-b2??GK%R>GHQ?h^FWBXf_xfoUV@Z8@Ir-WeQ)OzXYCdWRznkP%t@Ade z>a=@py?VjEymTpcXHNzI*L_p&DlGkq5cctC0?de8B7LC-D|5r&cv~7RZ|iFXR`%BN z9>SUTx~V5MRf)ixq8p>F~Q8M3LcA6G}2B z6Zv1DSJtf}h-*kH&AGxvx0ZK5)0gTR=t@S&PCoG|MBAfGrW7v~;gTX7RiswTR!VnH8qLgC*~c$r22&<;iISeQ<4lBR(IH zP2FF`5XeMF_>y^?R;~+9`{o=Y$^35!O=fhgl0@4}`=%K4zkvo6$5JiNK7P9~&{1c+ zRZXeBD%Xfict9#7A{hab23LpXYw^Curzf5qr-~rTA*nDYk!e+g)~9O(u8$#v!N>8v z3b9VhGIJ#g_aQP{R*b>lOW{=J?OyKar-;J^g+)!4mgfyt+{y|Ldoil(}&!$@7`XKDYwZNrA1MG=y zPjk)+Bywj0bHfUp837%UdBYw-tWF7Yce|&q5r{>BI503nxpRVMpA+`MYym=@|%t0AtxKj z{NJFJ+kq}&ElZvYOESqg9&*S((5kkr^-ZxVxy6u)W2rIWKYvx9eg=%Ss-g9wp}c3> zV_rm{9!#_W=GRyy1Vcyksn|G`f;=#q%4dc7;8z%xldUT7QN4b$GI7R{4=N{POP~ir zTN>5iFDI{br#a1j$510t*0_<`dL2lb>4pk@Qr~oVgU3J9|JnCK@ z)zHBdNu{pUv#0}1R%5hHbuPr@P8c(^$KX7Ph6)|3_`StMa5 za~iEV;_i*nWr#<`3$M-}Ej_8$+RPONc5Bh+f!M`BPK4}k^g!@=zX4Uj$S-lj+M?28 zh*cwR>q)?ew&Cv_AymFMl-Z(Y4*rN)e25e{Cyk)Sz$7QW7xAQSIENnSI&fF605lLu z+R+PlTBT9!qV(zE=1&k+L{~1njG+OK;Y&lB``-9m*X!n=7n$nUX*zzh;b$&!^PgC&4JHj46J3@x4LsViQ*C;e3G~SVKf@g zq!<$w?qnj9L~5LekBh!HoJP6u#WPW{NXH&S2Dk^YQUBO+;2u7WA+Q#0|KFfPa{)tM z1hM-9GLRN1E0*q5+5csJXFI0Pz#TI+9v`M%)ToAr45nS*sAg>cBUWlp+vYe47AL5( z)+xPLH<)U*C#`oafr>VNe@2%gH`1X#%}FMTa@D8^ADwiRsaC^1wwKNfek`1dR=22z zm6Ii?5H&%JKe(_5BLzlT15d_t8ZNs(zoRDPC9KcDn*!zz)yScn1m;fE$Y50KkK?m%D^M*&;(t>czxOhfQ(`A{vnC}3R7@PiuRS+>M+Vx7E{2L31 zafU6kwcd|$7ARwgP67WpZryv6HH~VOwkT6Dq&*RRm=XMed7SGfg0mcPU*`W1!nM6z zKT|7f6w4S&8^Et1D=!|U_45CqGEe$CT8oy4PxBY->Ws<8xgb5ne};qvCwj02K#W9u zg;(MsDc?2^-xht(0zfIgBEq#yK03v&7}FH))G#6I)-aKoZ@Z6g%K~{km-xy!*RmP# z=F>uid`sIu-OhU25TwI7+YR}9j~GFObPf)TKk?=tks5S&|LmmoLK(t;4x*w(DXNJN z@-iU(q;tw`lk~H15TCQyvET5EWaD*71hM1!zWEmYIL6t%jH=y%N+2a<`huad>F5*% z2ZC}xXEMd8C9|T(px{>~q+(84#AN(IG@JXM&b)BWF|dY6+OY0IHp;pFj~G~t${KVs zhNjHHvOlP7IAsi@nS$8{lURTbiCK;zTdQ z5y!@qX=|HtaWt$)O$?!l*{CKNHP9Eg8AJPn@*+uEFIEpm6I@Y^C}S{P0F@1@jA5h! zeETh9<{YQbSc4B(aq)H9C|7(drLa&kwpYmnek_}+f~lw`)^4U3q9p$Y>B@4TLcvf! z`$#=E|40yWiWiO>A`1fFd%tu0LLbQYj%g7Z<$nM-zybb`gS5+#+g(B(B!KK@&C-Ye z4m$Cz?|*e`CQ_|_Oz$N~rCMD}@0AOnI?+n&MGB`n8BBAkG%3*tKh}W)YyD>{=|KPM za0ErLIT3coCtnOd(i}kqC}7ZL#iIlw&Ehxw6qK_yfs%R^FY2stgZ1u z7$rQXV`6%*tQkB3Cco`o+LEolC$k{&2 zyY?$qNU1$Ss3z7F{z(JUModRfOU`8C#Nl|MiQz$bVLez92u)f>HL;%_^iBX! zp}tz1&v@H};!)<9>nFiDAZnNe>M}z_LPVPLRO#x%D@q&2LoyFS)l!(VRhccMA&kEO zoJcbNv0i-=c7ZS{O@|t&OK1CSFoFVxCYyt(9YM{4f z-p=c50VH4!Zj{Erg6XD(kIbU){pTQ@3J;^2RALzKPewJhC_4*yUp_Ns*S1sBLxOaAz)E6X_Tz0r1l6^KARA~O#jYzK$hvY{zJJ6 zJyT7|3x6kA3AS^=5&Lv-(BW&TZJA)agX+5HZq zPWG1al0^$7lq$?^ws<&_huO~$IX-dGl#ybV9Y#4 z%lgxEW~Zrb>Y&bt3;a&E%Sy-yJACi69lqyhee?Uf+v{fs!D^&Ayx^XGYLq{bxk8Ut zu@E$#k4-+^pNWpvPyR@9)>-kr-no0lh9z`Uf0*h22{+ko`+fH2>W>4V?`+F1{4ekH z-Wz5N7t5N|b)pO8=eg(T%FvsfCtK?eS7&GWc!(o$yJE-MxhhC%eJul*xIH?yikp0p z60o=FWa7mrxjI!I&Xv4K8erOp$Ll<}8?t$136fnOxOGoHfn2QI8u-kJy8I8+*qsv^Gi`OR7YC}}bDE@-cDt%yyu~p(cE-3+|e>OS93YlrxJwq*pJQ+qB!=oZs0Dem2NMmdTqSwjj zi8YCG;N_y%{-^Q$xeQ`>;othOO0#`gN=x(+PftDtc|H&2{uj8aII%`HV?MfgH$Lmq zU$h02p{P$~^fThfAGl%9ce;Yy*cdr5Q+_w9LzXw;DpsjUG^yh(XqzfpB@0)n!TcAn zsOSY{S=C&vt|M6iw_I=dA&;&0j1)sAEk*c6QV`Y!VmL3l_i0-#;BpRWmKZzVn|$UsxZJ((uH`T z1$-P1a+C-u2_!f%hD0S%2&E`$)dmASY;qk!^E1fX^_ZZV`p-;9v9wp1InBb8iL13x zq)KDfnh*((rkwNvQedojDMI9`=}59bV?RU16~Eb`g=aIeiM;Pms~C!uX6&aLgrR^^ zl*tx72YQp#;T~GJHu;U$dpXYll+Hy8@##y} z1&GH*)uH-Sa#JO<;yHl{o z?QXsN*+%WHx!hMrt*5GdTYb^4;@|3X=WV6wl2N(LiX)Y1s;R{r8f}cD(1bj*!=;ZvMOq zBB3we2zgmdja+#@FAYVFi;TPo@wqvsw{npu@od@c+xK*up8=0-aD4EUc;DhDL%>^MBSbP;{| zLuLg27qzYzo{Nh{lAWtvN9po)nVZo2-qk(b*6HsO2`8-vKiL;>@wR~%ef}`AO<2)L zZ$3SuxZT&c>*a|%-&f-;0|o?L$#(DsA1|IwDu?Cc{ryux-@W09^`}3AzWCLf8t_e~ zq84=8iLi@*g*ml13U~BBw#-jYSiCy4#mp&3iG)2|RU-`VN@02nZtWR1d^KSm{u*q{ zhjczwEkzxIy&Pi14LrcrprT`Tl1u5TOsm?6p7N$4V z1I7m_%s9D)jcvwhMe>Is%>&TelPjzZ!oxI$Ug*Th9sb#ZnfGbZz}pu$#&&fc2rR)( znu(Wmg;wHD;}lrhG}}~{@3H}??aa7}j9Zl`gQ~~g__6avg_+iJsKCS%(cd)e#jvsq zY7?{gE*J3%G~Dcg1kRIBGmqDQ-Y!XGpiAo%t@5Tsx!uv0yC$UFH zPKl#;M&{rT>^|6dwyBc#vD?e}8*Z-Mnu)tNP9%7)=OX!(I{$`f=uA`k9r}H=;!NVe zeF(9smZ8jRDE0xe%hzqkAo{#`j8Xs{tO-cHQja*gW?LWfxW ziVl9{iNM{W+^ag zh= z6;o=@4kdGOe968WWw*y)iX`1=1=>|kX1mI_^%m`FPFpnY)+?UR)!y#QeZACr-qlu4 zMNMsUYhNvFsv9{qxa(<#$-wtVi?QRNqRD<3@zd@a@uM-vT1Q?<^>X{ty%(xu?I-v< z&_w~7*5pP?f8bPUlL#@}QdE5+2F{~8GD@_Lv=v+~!cjt**v%+8Im9eKYqB|Ex(s`q z97SXnLN$}R3=1n9HeFGlj76<-WP)_BCJX~{= z8}Q46G~P!As{SET=-v+fl3y?EGy5eGZmaoD7>pjrr7oly(t(E@R4lGLU<=7UEZOd} zLrhd5fEz1|4gPgAJvqL7H(`&9vBb0 zgn5B!RSU%WIasY)5xJ}~1?s_tbkB4^#8WXl-GOIuVKnLvKbYl#;K7X&id`m+-!Fu% zJbHtSYl)-r&HA1}(|7L!Z&O30k^Q+42!do|(L{L|7(4LfjKkC6`qy}>V~jO3Mds$0 zm8{d<{(faH(W@v15(kzg!-7_6%gmYx9)b-+W5bM~8TDa#HP{kZCA8*;T0#RWe;cr7 zXiZIj!JDwf^~w02@BWR2J}5!y>KD$Wuvo+)alV)n zic-E7SKQhD2-DU`(kB$c<%Kfejgd0ZNvEd>YCQp?zGASPqci9A%a8F|h~Uwd!S)_e zr~=k7hSKLbderf1;rC8V7`SwUTaqtrpCZR8M0Ptw8tr^p_Q#@d6!d<&UmFd7|HPKv znRazB6ivnSjo;&c6tNdi0qQ)}YLTDwG(43kH_kF#bc6g^Xe;n6Xaz~FBGH4?W7Q}s zW*M~aSBR1bxH82j(ZF@G2rPV8JJP(X9pGnO4x7M3j!SMVgT$f--KPps-kh^TXvAcr zk6hqq(e~5xfo)<2-4n}!t;kriiRcCwRv(G7GycV=;H3tiiO_4h(%jF72CbNQ^}J@U z)}msU^_)BJ>zDkq?>djQrlSDHj?2tY?2`pQ%Ww!y9Fq2e{dv$z0iI=z(>FY|3&W^U z;3?0@^zHm917>~x>C!vfLdktg`p}T8*J9kZk1&7$ zLI>*bste3%C!-I4=bQD1T72_w^dAawCi;|y9sPgQ;lrBIfA}e01WxH1+tt+_GjMVw z#HL(F5z&l>KcF5rvYzg$zcKCu702aywe~bUaD`kK=YPe<^s`ICc0xfGgdk^CmQ4d@`QIC3nWcWor_5kt}5RC~@ z2ifLyig@t#Y?VCivdiy#NIn!PpQp-j=+idQE@sQl&T``yub!PZsd_OwWE7WDUi^V!tm=EU7RncUZ|kjmykCS-wXTqdHZ911!JXQm6d5P{n!?@9 zy*#(uxhuECk@9%;-Lk)Fr^~H=vTo+7X3QtMSn%(et8=!P*UE3MEeCpUS7xD;5lG6B z0)u2ne)u%}I0!)YAG@M9_-`DlpG-ka(MhSJ4~RCEHbra<%2y5wa8(SpVxIXJnZhB# z($pu!M=i*uS$7gy*D`ST;^SVR`@N3tgZE?9mg&oz=U*+o%e01;#&xEm&lWHHR}Mun zQ@9X|w9!7pkzW#6>}_VpSYcFEoY$hk7cssN8w+uSsElEoOYRl|M<@LK3((pO)RFy9eRbUu4QR+W-!;2jn%UI#I5WeVK?h~VBEQr;cvIu~L9(O8h;E-__pkOJUEK|w zk!A^j2jRQfMmPDTs|5AwzEH{t_tjTm%JfxRaraI`F!eLPQQPY->I4WmPLr|Zl!59S zaimVN%2FVm+Ygn_9MZtEC+;^tJ|e%b)gxEW?mdDfC}a~=X?_BJvxkjF^E~G-Q~QxL zT38cz97B7I4r?!!U8=FRX4E6SY)NDwobjt$L{O<$sH3%@C?5jmru}`kI9FyJz8h6E z+AA(Zku}{37ZYxMRiS>D`Dk;Z??XPXS@j!USEFV8YGCyFM9!t8Tz3pv0FRQAKTi=r zPXNg)`}5=}`{O~`qPB_>TcXA|V2Lr%O0*$85RvvA~*JEq#;DCeOF-W zFhWy>34Ml3BMna5o3WRR_^A})AN4RQ?w!#xk4VBB`Dzukj*&+0)p2dd9JVjX!}EC} zC)Z|)qrN6VH^$n^WD6BsDnVT70OMY5R5xa0X;eO@QrcYi`%F7!o{PN5Sw`>@2i)EN zYjWX$`>*NTs%3N8wxedNnex#`{_-Vb=YgZ|!YXik5vr#G&R666Of_YmuDnP`MzAXf z+_@#%+9G*lg|xZO_nBtOJR5nD^^D+V4mcYtw6!Jj#;X4m$jgiT$Oz^H3I=aQ5{zhb zxmf_isT35doA=fsXb_H9z;1}kkaWf}ceYmsJPAHQNRKY&KLmXLb-_5x)8LVV>#B^? z!TBdDpv`a;v8MoUJTxSffHK2O7I+)__Um2U*7L58A`Z}|IbrMNZi&z4pq=2qFoRnp;Gtw-NE;F|tP92{`}$Ar259X#EQLfcV)_xiW=1W2Jw zyZSIpJVVlfbh4ne`s={6FH!7~Bf%kKN=G{5lELrop6U5A`=f{5VkpkZI`i!5I5y!% z;-=rwWiCuoRbIlDn+VEhdhyy1feT#)iTEx1-e+Jj&`*?Y|g+#R-SZ zU5R>!enYmd_<*Hm5@hCOivDzInknDl6`h%=xdN5|UhjPejF!_{q!Cf)OgBH2&DHq- z=C+v(s%P&_(oW%}QbrhVcG9W3`&w1-vuttZ)3*AI2``1SDGi+~?}Y>oAw# zA}D^i7KHOlzshMV)YQ}~$}82?w5rPMwbe|U%Ev9$k{5x3WI|0@ z*P0LN!b>pf=yM45o~AZZoFrYuR-*NAC(v4WiSklRqigZjBF~8nBtBy&@!bc`Qu>a( zg-kpIbE%mhPh^3GYP`B+xca;5AQT>lxECjrxa=@O38v|nm@EE;Yzr;d@EjhjAKFxh z=V>24NEb3t8rf%ux3wyg#@V$%_IwUHB<6WhjF-bSoKN5S!-4N|RW^Ika-$!2lVAik z8^8bhk!SNZf~RxC!(`G<5PLDoNAk{STd9l3NYySDd+`UE#NA=||7ZAi2n*rZO$)(O zfEkc^6Dc$mDNcMeNgB^)g*u8;1$&UG0hL4!qKlEdli645nsZmJVJBStpU|_Yj$h;M zd(ACS*ag(R0P1?F{{Mgn8lFeX2*F&dvoh{+yBwih*jq*`9tVW|-+Kb>^{hYHN9}$b zXia9YHI34t*&a$X+>m)tSQ%!HC*Ko^wl{u2RqE{FF| z8tbLV&7#3+f@)-=5KZxSeKF|-#s!L?WdCX!oU-??BxZ`*EYO5dV=+EbGVoninTK{x z49ry^%_508__(1A5lD3_Q4TaivP5q}X-Tth7;AzlAFbkq0ZX6z+tT(kvm1@WIpMdq zw)MEf@1#+;tQ^%sJxh*GULAO{As1g%k5sA@I0Pw_bxV#WUf6a>n^gaw>8~i#e%!jb z6!`~8^28u;w6Q+0hyw$Eb&v?8s8`T0#Cnd=VPdPGV-A%yJ_c|Vk(zR>?dAv}R}IwB zj|^b!+0sHa<%w*G{(65I@U?-?A(UW)>(2MrNeC@B+E1a*a4_I|1L`PYQi%5Am}(0a z3~^wntQ2!kz$Xp8!50CjKja2~4puauEvlYl_HriPnA4~)1<(2Oqx#NhfE{na4lse`yjo6{c5Abh*DOV! zR&W$N{~zJChE-C{G2$FufJ!A_ zVyh&gQC!f6`u_)b04|eBH*b}6lHi7ZeyYPe^-h$}-;Zy)p9bo#;cTM6Gr-E8!n3ZB)JpE)2K?&< zV8{1k#>Dv1Ob=j|J_K^7WBq)dTc%n39dUL)8FfwBGcDPuDvJI!ZBoFMpt9)u5~)>B zU_E?kikeVUB}BO?rXoXyzoixkIaF4wieIa$wvx2c6w{EQ0y7cQ=fmc?){x{JN%f2D zD<>bAp|q~>Wx^rsX*RUr9KP^oL$728S*aJ+qm)y5XEWAq!eOwbl`xUTm(qVg-lJ4Q zrF~p*Z!Q)@kTxu$B?)tBNN+Bxh)@#>M0p5`B15phB?*)&LY`Z@+=WXzp<6oa86&!( zO@hEF>zQ^c37SiK@L6na@zN>d05N?T-DvJ_RzyI~ZN`FWYGN*}3K6zpK(w@iOI}_= zo8h-YruMKTuL`!pahB3X>`&CzMzpkGDJ>exO~DHKFF?JtGeup0HU`U2Fu9em&BT`fL`4(zLRB}XU-yJ~S<$xRKc@&JA!&cY?Y>tNt1;^ic z3Qi1$ILiqlL0a|*U-%>w9XNadDn%6nems^5@8t}MQ#|i&0~<6+@g4zvl0M1=`uATd zkMbOekH+1ci&?y2I1!!Pad3GS4TA1zIpGAi^|O1j^Y|yh@r&Kkr%bg|ExvwJv#p>{ z?~?q*Z*+!=7pLhZ_QjPh|0aBi3^#7Hp(`DBVgYQDtrcyup$l!2Z2--NIKxXk;F}d) zuXQSP8bd2sL)9mP-xuMR1`XxcgrU;kn>?$tPdH{~n=Hk~Sl1)b6cMJtjzx=`P&J11;k&XED9N1A&> z$y0CcO}l0Ny$6{9-fcuF{naTujL4l6sxA-fxLtyGyQl$RASE0i2-QL4!q!Wc=)HvQ z{BsMT=jRDt^SR4^88EpFvuI}Do5^9j4+aNF{+j`xiy}fXN|nHJr&yTA0`T*A0uG~@ zkVDvFFsDit{|ZNtA2VMf_A0iCP5c&dmaujZI}9o~q7NjM4PQ_#^8+G+)AWC1mv(V2 zIUk;}Ju;|S*t?NifM^tMp0l12VJ!h!lFuYwvFL<$pFb`qghKlM@QlrZH@d_4b9^=Y z*|(6M?k!Dqi$R4HpC&@>=|$?iso#~f${`Ll6%wo!21H2EaDE`Gv_cbSm8&ZzFHE!?^*!dq#5kSBc zmiX@V1NpoKVmQVBir93_{{Dd^q%_T47kTLInRgsn?D>?Ky8K8W1b47~eW(yMHv)C6 z1J&~MX(_S5_F6_medU!;YwY?6*Rcov>oaSQN& z{wE#Azm!{!L>eo99<>I}T>cc!if#FAiKvqtpl3fk(5PPfxMtf69?#ba_rO@vC++Xu zSa|!%>0aBq8>k( zwOjNkneuWGTx4jUKFPcmeEMbf$M$mJK6ibUnbGdT&n0JoNxV6qPv~f5lnXRxZt=W+iA;_GmIE$S`c|X`Z;tV_hg~5SP zGg8J44-q7GjF^Cn*bK7*W1!qqRHV{t61;l;w_S!%!cJEDh1ep z(yj$=OfLrG;WABui^^;`Wi%2g>0n|C)W9S$*mocs%(6&39DS!P!1hhs^!9!0SvKKf zHHm4cbakzFC8o35Ml2pN0h1^zyrGl?3F6o{%3Vt-PPPbj(Rz^d3hr8zQVuS80g7$D zun7hlW+4hrh}a#qm;{NEKKv?<*xH9>MOp7!G$PHtp{l)|aulrq?loF5b+G6r#4&hg za=RUT1g(3rHjR5^beERu9GRS5}Hc?qudCzx5dz@k9Tl@B2O?5 zroPT%W+vX6p2u)i({%zSAtnYgM#RK;pSb{Kb%pykwHPO7EN?!}a3^-+;ZQs~Q)r_*xr(OfsnmT$Cu@vc_IXowd7i0U294$VkG=1o?3RALpn>)a&T z=WD}ovzB3;)r+<;XrYa3lS2LR>K!;z*okNg0d1j$Xv(G4BxO*Mz={lREY5IM2ohyD zmK}|7RT0DoOwweOeZLSXio#=kQDrzpX$ofYd2tv)>3+2;pZ@f2y^lk`VM^BN$6bG7tAF{}vPF4P?O@j*m5n z4pCAhV~8HW4_Y}^ko~HIO_J+n*;7n3O+y!C4sfCdF!)(tc|2~CwHgaZDzO(1;~hkc zo^ObmBP%Qm{alUt%TAmw1{(&tJ?E}5_py1*zL`bn#h1J4=30< zg!KpJvW{Y;$>sP30#Ri*MCnZya?GK4RSZ#OCB$=6-8M9t?r54P*5ZSSv_vN3cc8^u zY%-R{UI@1zTTVj8)ipjApMd8!d7VI)TRvPKNqi?2xIhM{97itY$`+b*539UJCS?&v zOhFKsB+^!1JfIoE`i(bQjoP?);Hz+0oy@nN&S|+OK{yQ9Lry*Q@w0(S{K66lo=FCy z=E773*sR(!W2G>aiJT7ds-orMu6@}gi==@|PimpdpGV{SCe^%PmCs2=RkxnM4ky8} z6w8IPn2G?lOV*{7?A&;MTym&QT^t7awk4{P z@yZCo<60dDmHE0S9<#3RUi}kfWO<~iTd4{{}M$>FEfAdtf zUltd`Vkj}UX2nO6j-YCojZboC#YK{)ApbrauPVZdjRc~;<^H(LT~1wi$%mStc0tXW zVEBi2B}?Qw1`YW5WDD61L1B z-tXynKYx-1vLY6Tattrj4yWNR32}dVNeo@6#q#_ z9Q0d0__$8^fl}mlB{$5aI*$-9aG1StBs7-^>7#{Rc;mJkNe>>tR zTZl+Z`U8jR=l!ghtg-W&k z7Ck8!GoNi5gk#)ciH@wG_C{OPn(pXG4u@SHRTsi+n`RY50PPj!%QMt3L)q9%N5Uy% zN@!vwk=BmRVL_5xiDN0OEy@gd*RhyY`Gh9vlS^4-5QCt~Z0Ba#3Zv;V!n;!wQH0AO zXG6-ZPzs+hxaLI4R~-aoMa$XNw)%^rORMI=&&IsV&`5>H#pXR-%5HDZpo7Y0l71Lq zREiI#LP*7=IpXBZigO3(&k9gC`Jb3+mt2lS@V)mOw+Coi;_p$8W@Y7MKT3Gp&VuP zovZags95I8X5R<>D67W5%Oe4;<*Ekh9vh7-Bwi+)MKxEEV8)^pTA@~c5p74yqq3Cp z_!`)VBEM|iXEMmk8@hlVFb|bSENs(u5BV!fAS$HsTTH#yH4mk9Q4*x|7^9kuM-_%b zg>rKkE%NAc`Xd~_($Nd71ycSC=|T*yjh6R#2WyHQ7U&}ix?|`cW9E5lC!6r2di@r4+5x%eH8x*f!8_x@xtb*E_G9p7{3NJWRy=P8DBfqRY)$z%J3P9X;_D zc+H1r`efOoT>2!FCyjbVA?ce}lVs7YSo|iE{u{ycnJG!jFYo6ef@!lpb;FBD`rD@@ zVenzcyqePF*C~Ld;XY-9M9yk3*1U^m?&(5Y^=WQ_P+!~njJL^=Dt`fnX{g-U@b#Du zzBtXSZAK$Hb`RJ5bKA)OC&3>hWf^=CXPhj(ZpM(=(Wr<;j*BJU@M| zz;0U+pG}Ep&yJ4vE4RDq`Ng88#iHgN`}X#Q|C-j?7yfH1?sF;6G8vCvJDT`b*6Ui< zYg-0pHg5?nB2ld!$fmf6mN`_OvLmP21Z6f~PQgv4!O*AGWeGQhs4i>oTBmKKRLoBH zmAZ~vB_oG1>A3P*zxrXH$SGn`IpEtr5hM#*3M(Lb2=T&g8Dx4`gUm&lMAWHmru*%U zFRtF~A>FcPhV%8QgfF^yZV!Xq$XW$p=e}!1vIr~^`{+_GIjr;N^S6uz*$<7g8hNqWKG&;5n zK(Ster&mi1-1H2f5LT+yWvVT$6nA05LF8!wG)muPD?Vu^lJhhJKzhzVi>a1e8n^rm zI)z+1K%}cTk=%k(AJ9=}OfDm+rrwE^*S33OMyh`56G=E#)}~h8T<;Z6kyX`r88F6@ zRdpkngI>!m2?qiz**`2>?%`uku9ZP8_hxbBqKoVvcU^O@F(y53sqPVG>! zuzeIKQZ-dZG~qPRidtFM^rLu6k&gZ;9_;joEK-bwNAhW5 zfyAR+LM zsyV&QnY{EY-Gk#;HdUgXW9e=ii*1U!98n z`|@@PcBC!Xt*rwiZth9?d47|B_~o{L5y;u&t_07y=Pvt^q(U^7TUEI(QgV+3;=0Z_lU!c zEC%CQA4V~KGTyE;+d*gN-VlOO@a}?EpeK6D_-P}E{{z!r^j@NUrYGaQJ}f$pgc!M~ zIWokqiQC_Q-uLFt3NyOeqKQw%&VqOX!Kxbkk?HEYj>2S3(ICqD!Gsk*3<>Wn3%&M_ zXY-;6IQ-!$bV{QTxJg&!=k*)QwI=>%I*tv_ICs}KfxHL9>TDr?~Y&~HKO@iXCC>6 zH=_-KuFg68mbtm3^t;PH*mnI5$v;o(P1J_=dQ^y+{_sJkczy~QxPy@Gs#wWAz%VZy z3?12Z#%+fEX{QlEJT@xyBu~qhv`x+?`s5?ZmZa~`DjIdIHK&xSAIrMU-XmffdW~TC z4VIm=r-~8?3rtRF{B+KRV-xyM<&Vd?IG_pjgXL|~>R3P_c#lYi{}lC@MP=SgNuDGTDDZ)GO2 zj;6(5sR_F`Tp3{og^y7YU9?=JE-~0|VoA7&JITM;hr0qjaznwDR(7{>%Sz(9@nFIf` z;w)CcoT#MYM`!S_7l2#LjyiHq-;YX`4dd1U`zXUNhdOCDH!xeG&L5QYl$fb&1hJiw z8ftdCTnOh|<<3~MCT`>5YG`k;zd{<>BlYtc2n<&QPz7D4=*L}I?u_|ke+|0avyAKG zAck4~2e@kebY~48LkN2>CZ==(LuV8E7&HR+X9sA#!T1LEuPsm2sNB{G?Irb z@K@9=xY@{Yb}BA33W42N0+S;}R$*5;`>In9ipdl_M$;|Og3JYCL&GCcyCr!vhT4K9c}~L{u;LucQI90kNZV4M`W;$h z*mV};onjihOj2wMonIu zw$h(uHSlM+;c<~66&O}rZnz5^u{U&$Vy6x5^jK{@qzWsqVIQ$>u8b+l3%zH{38hKas9ugkB{pg z7iu8)B|Z$tU?o`zw|#7Efjn1xL4$x~_73 z39=*bKHB+GF?NKxmSfM`t!L|3IO&PW85a@Z!zUOvvi{`Fwk%F*^O7J78_@NaAKBuA zVR9-FCR|SAEJ_Sp(jRWY<$y`>7DKE*}$;ByO`@vi2n-;mHe+*hw$&(fn`|++y`D)xy2ir2;I( z1nEqKIr1+mbx^Nc-b=PYYT=zuul3bJ&RL>HU&)@Q+NVe+R7goW3Q#wh0A0DnzjPP* zs6J-8N5==4q&b*#^0*1p@NoDs$9y-(d7Q|ZpYy}4;^NNSJ@^!MuSN`UKX+@^zFGFY z?m_5WI<_mATDWUBR=riO!IGr89sAm z#vM(JJl$4(Km7^aqd@Z3L|ng!^vB5D1}_=gGgFEkx3$=12}`yvFX_tcX<$7} z2vCpW+QhwfD-g3@Vn?X9F2GDJ57Zd9yk)bryxVHtOlrtBf(uF?bAqAVcAD5H`T(U>_{=tQvzN9WwbMAk{{1P&nKzfkE zF&DMV@a+zXd}OHA$RKx0SnUJa{BuHWP< zr42l~lYKe{t@E!PR+eOkbmwtyR?KG*_!F`dIBIEruonn#eU^I*9jk~e#asY>Hl?hf zz?^qnUH;TTs!Z}(t6nl4GgvO&B}^`TF;Xs_BUUawIYBP{E=ew3%)0oMa$aFlU_2G( zjdZHO+aezMmRcY8;DbPUQ^ADZ((^ydQ--Kpyxd)^4HL;IvU2wApBEztj!)nWhlxOp#CPKe((Gw1cJp_Lp-LD(0JsB`_cP*l7G=tq$^hCBXHU5T9!nA)KjGC012qsk<#wGj?QA|?jt%@Q(VC2{={Qovn#W}sJ| zBhJkKT<59;Th_#*+jpm~M6DC+Np5`G z>45}aZmvI`Y1Z<>Do|FwHdXF7R#rm~2;MEdEePHXy%T*Z*Uuv>aV@)#ESZ1#@&AG$ zG@+;Zmb#&TmQ1n^8he}&ebO0P*yjyzMhV#p;aAE7g^%YPi7Wo$X9|4!FlOE6@FpoL z(C!@6%K@pkvoCYJW6ly@2@%@y z>Txe+^>6HkEnH>fh$kncGR{G{&d{Id@_3aIg*Ja$k%&if%h9^pLsiv&^%6cb;7M%? z+DgvGxBIMY84)I?rHAzj}tMErGEGN`pCmSSiai7uaWvxcoFFAE`uu&I1{o|0lyn$#)&}%}B%gmg( z?#8*{SVN{{SqlYW+x(rfd#djDa0U18s|n-A@i3$}Alq0Ko^< z3~P;2I%#D0zo+ST)Z#V{0%I%Q;U(wjsuOITd6wp4V{7@56*wSxOgErEXS>9Zjd3+IO~9o-OKKIu%=0Y8Ol8|ESRbZxTD{y)`xYD?VL!PM{znd8?8UETZaFHt6awZzk^kpd&zo2P|vB!3P9yb1u-lb zAaJY<@ZQ#b6sQzMKAQo=F9h+JcQcWm=Hdd4`5$$Z!m3IK)E5ltPHQn;Rw8+=#R;1K ztq#Kdl?%f+m)mv)KVcC9YV3D}@B0*(kSX#QVnAMk;!lD-MiXpMe2yOkjxiQd!0b;d zd&G^HJ37aM21#A-96JOCzlMbYt2on~D;V=-3w*IMgu}{kQhVhCp0y5Aa}~_GdXP;; zU-P2Dx@D~m$4WEqztn5$kTw+o&5J_ombo?@GtIcAeK3}_0l3z3_zO2exg-R)xBO7% zQXd2a`23Jga!brwYTk9fF1a88A4~&2e;dGOYX#Rp09Xy&1ZD;HO>FAVS5XOsUt=65 zYqc=bUxUo5&)Jsm)2&{9HZ8ucS^C;=^fYJa>rB>E9WJZi2+VjRvu{IAJ_!Bqq6l(h zZ(UmO3b@tiXs~Wgr{84<3>zyP;j)1QW#2OH&rx!BtstCqsK1uXWa#&mxU4B-f@-3} ztgAmPM>Q#5l#p;3YCkN-mkCT4v72O%Hc%s_Q@P$p@Ovb(BC=5h;dF)ZeD90i8n7NS zkhn0+HeoJ!U>0RfOTeFwVK=#*Y9K|z>ALZj0(ycE9-+nNMHG@2xh5xUFM!L6*_0l* zmJm>^jBfj5BC=B|9rRPX+mvz*A zqI(U#mHj5x%}G)QjYSS_R6un0_iuhndX*+2%h4qSY&ZirKbZd1PIa;^=cL`?Az_@~ zU7h~RN{!F2Wx;zZqWOpO=WgBYma`XEpY7_4^*f)AZoB1{Z?59yc2j2}s@s{1A*J0s zB?wA!N70Of?S2%TF{?95W%9?#IEU$*s)do0KkaChhln)0fmF&1hvvQIk? zdVVB5>2w@?Uj=_NR(U|C@H^}*$@T6AkD z{qBNF2Amo6lYrZx+Zbn30x7<^&|4795$EKt^d<2l32gsC;4DdLa+E|^u6pd{$K7S8 zOgGq`?1C=QSC{Z)Z7J{&%`y;%A|R^1i$$34q5&X|nWl(_Cx5l(fogIb1KE4dm1``M zz8b!M>wH=daxmM#78P^;Y3=sY`r(&}5D6)7w!I7g?x}nK;#Dx+PoZas?^Zbyk0x^P zOQA8$gA&aH+F@PQ+Tcmv(OuOUVE-`AIH9qTl`}_#6BJKfTQUNXnu)4HQEW0Nz7v$; z(J#j2-{#5ssV!kn)i}6?gwx9h!QsbM1wJY&7N3GIw9>=b!;YI6`im-3PC()ZvTBj< zpd^hcgNMz;St`I|IF~K5Dk@f}{}d9gDjx@jw<%r2&z%V^p8+4Y@ehA88uVr{>c(c# z;y*$mrIbC!7a24m$r24uqc3rSMZGEdzes!Uuqc|W4^%*MkPMO;au9|rISxsbI3&qo zl&mBrCkc`fQ3fPR9HKU33g)#>iI_pNe`I~t9*OfY(lyTdC=Q=Es~2dxy{d@T!pL`v@!Yt*-Neg?5d>bw3T zr42UvW1COe-AG74LS^;G4nVKOuQHnVN*q`sEs?1s%9ghs@;_D1(&I%VmM5nOGpit6SbGr0W3cTjA{1f7z1&014>J@^&ouEn?G;?FNXJ$W|6o&UWV%?Cuzn(y_hpHkb$pXdrwl?>E0TtHze zUVNcOjm*l*fXC3rA_Q;G_>-(`B4gp@NLv1PMj|>9M#9U57w`svA<$>u&aS*uqfepl zjIP}xHWr@PehL*ZCpCGOLpq5YWx`52DMw%FCv1)}ubq5lSLN7k*&o@MjPGVoV3dmT zk#)066@+TjF&2@K8Q~L@XWJa?6%fd_@_--v22b4upGc9p_nIQHNELyRkzf) zuD!b~B`GAw%9^Z{{B>AgtJm&-t;IWAQvSH|;UVE25jXAd%n2vMJH{nN?Kf?D zW;-4=1`)dvru`#4pq{*2!DU>K{2~!a;y2(9x~3&(#}YMGVP*-sb?uqV@~_T&b05~* zo=+SosGB+oKD6*UsGI-k+%aORRiZ{nCs+1KHJU=u*PviBxlb?JQi@4}&b8yPj0j!L z@m*raG}3YC4^n?|Dqt35Aa0DCeF1f(f9_!2CEkSQMOOsLxtKw zDh(59C`uthIAL%e?phTK7|{h* z57U;DfMU)bXFZ{F!MLfJ7FE@(nWr^%@;btRFdhhlcpqbVN$drVxxkMua1@=zl`_d% zF$|6$qZ2w%g%$5=J(~fcN?#@6_(+PFad*Z2HnS!Fn!Zh&q|Y5p%|TM02d-a!PQ1n{ z1{Y1xt%sjU9*HD@GGCUUvy&!52)=QrM4N2Q{ z`P%TZSW?gsdrlD$I49eX!GAQ||F$QRr1QqzzoWP&IdM9U+f-gz~cXXlCY$;&P9#cS(jrJ0tYR`s1iL<30!!{V-ogI0dZxcon=-(5(P8&?y zPN#>ju4?v)wmsBGi`aF8%9}|xF*vb3Nsp^nTV^yd?t#yX0Nmf6$zu8(^FzOviQl%# zHYr3rlZ7F;8xHyQ5%0(tJ^HxvcZBUDaJF->YJWfg)V^>Pv_WdBaT_Iuk-w>*{GKVSJz81BiA)`RWY5F*Uxp(ZQGZrSfOgX&6CgpD(wN6@6c_rZE5i&GOjsoi9$B^+-}wjN)nq+ ziw<_SOPsl6V8Q4py=B7Nmr1UWktBw&t`ZmTL*GR9HqPG(tKRnLs|?8KkU*?-zPToP zZ{dUd$E|*=UU99G$~*+Q@(xp|xb(@1`=P++t-$wIgv28vsTCZYv-sZR+!}3{D#P>H zcr#_MuG>cLsg*y=rqsqMZ%#P!YWqn7OzIz34`r zDzWDIiUgQvpR1{iSVUI&}i6Tv5jnKvWXY24gOhunhTMteU=|TZc%$Fr&EbojKu}RR~e`9KnW1X z12(-SiOjw`(4=8Iro3)Rr6`wRg0VxglHXVL<|O}-=)XAI#RXL&2+SFY!d_4)Y>CUU zB?iJ7oTDSVedg4>D~K)t7yl0em)DNL@@n2W`buXEdkVR-26O1R^ofe+;j6i=-i|b_ z@JUnGuJX$PXLcoWFPJaaYe)OU+0h?q?Wew=5^134PB@AAL~d;~_o=v>+?wgje+MC9 z6uY9dINSuS@250c1{z;mf4BT1;(haVf>pw2SoOQjs%XvewnmguQPX7B`dO)~1&0Qc z#m);#7pI|2weh4GP0n?5H~X7=YU8i2La@vo%bJGGYrkHnVw z4iKklXS&^a9jDP_HS+@ID`IiM-*?}N(@+b3tfd%wgL5G@u-#}NrK_`(vM#PkJjLnJ zU7;zN2O>tRV(|?beoQa5mkK{R(7ITSBO@V6333GnMfYEIw$#VTwriUsz~9ruAI#;sZA&TO+Mz%M zUo`$zRKp2XU>i4J@|y>sAJhS^lj!0N9Ob-7Ja#L~ME0;euOkaiKkEM*fbdZc0MBX_{Ag9^&e3 zU4HVFn7f-sJCZLo@QVyp#Q0Kd&`GU=6x$=P){k64J7A!80QhjH|L#x;}ZxgPRO0##*n?*et-JjzoQWiuJzxuOUh@4x$eXxyelowC_TO%U;Y7^ zeXB4=U3jyS<{FHN)-ShMJF4(jJ*|tuxWzLFdA!_vqaxK;N;s`?1n;n^!No!R2^dnv zk8Mb2!l8gMyy)4XNTI7qbQw=mLK!Zc)AjKt1QmZHt}hvck3ir03xeyiaVP>w?Mwb2 z7})Ml1zH|+Ej;1j*=DIOJWYCj$19@YcWxMIQPRQ5Z4Qt2<%O8Pqr%6Ul2)#yCBS5| zp}-PnV+694YvwzDipC=Jc~1~0_Q6o4t6|_%ahJ|V(gHqjbuK#guEuo9oYXJ&$K)}e z@yJy6ui(q{<@~lwM6HbLFv64GGj5c-d_E7jwe@Hu*GimHGib8^8vaYgmP*b(I9eTQVjEFrlS0B<<~SfRgCHvdIq?Mqp!QVk~~e5hE)zCr52ee?)ni;PpU(f}); z&#vmGW5*F@OgItJ!9|gRr|WS;I+$g9>%vw zI&J_1G_kOS8&pL6sVr(%2DQ)f{FiLm7h=J*7v?`jGPG|AKd96_Y3Am8_Q#p0W>qzi z%~heh{Hwv1;g%_s?xI8Cm}#@Ptp$71LCQVTrh*MTJ_--hXwyiz?OuLDTP69Fk~mz? zLm=(afG?67z-|B^-oDg+?b7z8C1UPN;yZ_zsV^bl2jdclE3`fY6L*Asu1fpuwRFN) zt;t-}c3H!3dzVi?c7Mr|GufzXkTsU_>W{kkC1R)i z4@}8?sSzSV*K<_}S}PYG;(`N#OT=$|;BA~54vm5`kK!J5crLMjC%oU0+4X^CDgi^Y z771`QXCmgEuav!&Xo>G55HC<+oJzQQ8x7#^L6!$NGlZE-A{>9{d`$M*4ENmb$7%%L zq%F~?6h!k6m#RQ(5yw#*>TE^!g_@>YPCYf`RS5%a{ffJUof(hD`GRMdUrI5HzC zSCTYUK?X_eEzvTX2HYcw?OYz6RZud3R4G<9mNrV03goF+W|#8nb5so(XY!?*4;beF zeqD-wTXJoFe^#C-&Acopit=&vtPBwq*h7HDJ#3y7R(spl;_yn<#mC9mQPG}BO6U^N zSe#XX$|3&aVKS0@zEQk2!ZqeK4LNXikP8es(P=(%IV%f?fThL(-OMxX) zJ^R!hItP*{(b(?evYm7z#>x~qWr66wMi_d-86>lp^{byzrbkLSQ}V7(eOJwVkvB$U z;2nC4U*-LgY~v-K)z#3e{Icg!A6M6NW|e6`BBd;-U*4=fP03H=Kd!w}T9hTqM)mmi zBvDy1M8=HY$#9?caF}_hfP$P7V4*jF6l8cxo;4G!AeH4RRSC3=j@=%)j`^V*r?=t9 z=+Ecp%Bw-o_jpIH>;? z-aCLn_8iJuoB7Jgv4pU6u-^Q~+K`T(R9oJ}MyP51AB&-%cP=6x8UE>O$L(k@U#qV& zaw1#ItPF=q$)qQm{rI6TmvHy%yO<+LPdqP+Z1wA(Qgm)Fl%5=Lo}Z?jhkgjtKl;Ua zKDXSJeIk?O(YP79k2kaU{d^1IlJixu+c;8zhIZ>IhyGQ31)c$)8#wyJS-PK0A}a&K zP7aJCE9n9*0)>l!g@Wz;^eIPdWPe!t-J+M9ZXkty3d<13bD0v=E@#G>x#gJ5G(=kj8u>ihI{>Fnb>oSYlPatv(a ztJCCn%wT%t=;ZiJrp!57F)66LfCEX}Mq!-G%T!iceh=EZAl%|4HUC@r4Tb~N#80J3 z$Yn^f(_Ua$9cvvDPsN{KN58UNiHw!q|6p|n?GHsJaIQ>?`nbGh$?028$idu`H{0~D z{=I2M^~L8JEVHN6XT)wA@AbNkuwC6DK7Vw`v+hg9wZoB&`Lz2MOn6UwloFi6pG0O0 zX=Fz>SEi`zks$V19gLuEe}LUa5mtBKxAY@>w3cF`7$pmJV+uC{k3HiVkVIA9zocuQ ziZ2e#Z=R7LIN`3(Y8lBGFj14oOu!BWO}X^!4V>K`y&tf;bcyF+b@$5CFL@Oq`QOj1 zFnI3*xY{^+`wb8DJ)-%T~HON#rJ9bAOuh=sy zjFJD`IzHX%4_@*0_Zi9t@if(>!_LYMyUY*9tai9cJhOyC-;Uq(=}5^Wn6=!@-fWpB z4Ac#M&!+0KZ=hS>Z<3|>dUV6^8RAN_WDud;AcswI*2|xFuV*FCgQZRit8&YS^LeFa*(c0O6j=B4*q6noh_0D8zy7K#`No)sZNoDskhQ# zZ)0z`J6ntGG8;bLB<@5E?>6oQq$HTI#z6K|S&4-ryNZ>{@LXW)QF7nuZ%z;fxQb|m z*wL*Gp(eB8O)?dsUZ$K2lZ0xa+6SF8RbKv>?73q^^MAt;wH!!?7s05&=pO_Jak|Q^ zchI-0&l30Ma^o@kFRYsRR$CQsOl_{Uc6Pq(j0?zbT8VbRXc2?4Z(2=`;`^(l|gE-%B{~hQ`5u|lQq|hXs({Aa`OjJ?yx3d^+~%uwPcIxz(#DZ}Qyb^OM?X?#+JZBRf=sCQ#^n0x;+3FqOsg4Y|N^teCAtRMO)4wNLQlWVX!6z>{53|z(~~hK<$C(G~b&Z zxTfU5rpLQnoRFy7)>o0!EJ)O#@i#W$FcYNL8i+3{a;XU?=z20Hf_LcnDW`RVFu8{X?F#8X*Ox* zE7?i0hCStM2eQ|}jne8@kRvY&ue?8mf}#irU(c|xuy)4w*uqGQLNc7?H5KPs=sTttWo!&$~Q- ztXsqhLJEuLvsfBopW(+Na9Vb@?1sLn4%}l#98;{64!=ipIeElPHc=q=&sq(A}jQUQ3-Q<0M#VwM!m=d3i%9;vI!VTV18()Wmynv#p`h|yt%dTMl(}xbW;BU zg4q9%rtwYg={E#X)egoQ&>w>2t-Ak$(y$YIY1n0BdB`cMi&-yBCiBb_3JwjJpHX5;!vgk7CsK$CtiB=PvY`&7OW%Y`gOs*E(_I-@cp{rUiiw{Vc}MU1AhN6 zEMQJI?R^GAH7(LAU>OUaKc@pQ!~&QpLnhb<@r%Z8*FC?0Ni&(KE#p-;y^N#sT{r^o zHnj0t<}AIQ2Wd$YzVYYCa*yse6c~a3n>Cc0tTjS51>nI|+ChU=C&w`jrM@*I>&U_2 zCb}m-x_>Mnb5b=@n5jw_xJROpHmMp{5P_=Hij32X*dTLlS!egB&TgE!R>^a|k+#3m z%kmOj79y}=^i>547RU)Bu^UJv<9=kY73&(_O`Dsu9a#-QQZ5IsqdzB#<|=gB%+fWD zhuREoGNIc^CyKh!{|klt3bGp1rCdO3uM+WhlMwhHvf%KTgPO7Xpe|zs(x#C9H4;gS zla*CmR~-=^tb>daZW!~vOpDuu5`E}dxh%sK)Wl+Mg@cKRn5NsRphh*m}@!Kqgv+y%?&=?nsd2nj3(MC$%R9 zC$ebX;$phv2X=dwDwAW_DB`Xg#}fPxjrI8{KTN0?NUo$QGNyB3_!+u2dHS{LPxtME zsOF!9ULz*t7(1p(pj{~(#<5xoFa)sJft`PgH$V-T@GPRl2v%wIWjuLz@gJciQ>V1m ze*~-!j9>pOy*H=7=7J8^=MK=e7ArV)R^qnqTGufd^~w8F@6s0 zwe*$}dy#TtFVbFi1dTeV8gC4^+-{PwK3VBTQ)p)qO2~7#%yYW1p*O;d0-*JHKn@x- z1xUy?wti2iugv`&DW*4eFVs}}tnu0yK!CLIDi^LVp^N-_^F9M*(q~0rYK3Jlv zN!GhIjC}A{(lWkzZ2(Cq(a3R!4eaY-b3}Nr@Fl+c54w~5F2#AS)u<~@2?uX`W7uzr}udytSl|DUL$+e=YHa?l69NN}<{1#uk-5+N~GxT7Z>o zgwr15qE7=5Ly&&AKgk_3~Q#`0;NSqWx#-qD!pq5uaR~e()eHZEjrA;J|AXNV= ztnGbHXaL1(LI;;b6O3$1Ix0vws1V;{yqKJS5o;L}IWT~YXw--uLewOQKq9EXwLyL2 zF0`Hjh0RsX41~ZuWk5m#|JR-dQs9&vbHT0QActM7J_CEEh!WKL;ZcyUjMnLDHea)T zZi5x$B@gW*QL-W$u-ZfjJnS@%Pmc~0%zrz4VSLaR_1o!x7QMUoP1yMb2EaM1a6PDGifWMA;5#{S;8ZoZc9mOUVOJTAVhCm zHy9Q4`L3c0+>n8d~I!m*5l)Oo9*{Ye6)k85e zxmpmF^5-kvLdsjN6|EKN7IfRRO1>z^LeSvrGdQG)(WJzAj0ip&_dnQ5{!-oSnfqE4kL# z$mg`?59@RoC)JUOSh?PAFik5-EWbnA362Oj4oB7e9uHE&~ubUL)$nl9^+ z&Zfc_d|lU7PEiA+ppcRi9i7d+qa9!o_1gIS0_N`ofiVbLa_W(o{w4tp5N}S@dy*PJ z9Se1FdZv+Ms6(C4;Zm#}9$A#ZfkX1dH1IONw&i=Od|R38V;?E(Ug|g(T!ZzirS|!s>VHqa20m%nKiu z$U51j>zAE?hiPx(g7DymVs?w9b%1*?E?peRQD6Nhm>g=&-@i~MDBagPnk zKt0|h)=0|?aRL%ocn>3g8GOwlKi`xmAp!^m2}qhI7Fi4oq*GQv(E^~Wluw5oWn=T* z*#>1VJMUxKD%09M_ z&8IJkmghyuT~Ht|Dm?PG{Le1ApIzTfuU5|E^{y*I|0`fmv_Gd~l+)}#HETDCpuGS9 z{|A|?l5C#|0e{9nqNnekFaynQ!Cc5`gAH}@@NM0F`_v2c3y9S&u?^LgE0MPRy?3;q$0AD`L3NcZ8TV!PfM>75T0_Dw?@b?TWO63y6#LD#7p z2<;4l)*2MVHhw9#2@}N)*01wiTO8^t@ibkh2JG1Nj~pAvt_dUmL#|v+Rg(E155qtG zzErS;qt=i2D4CGR9-sVav6MX%{|%3xqOY32x=AQZ@6|@)1>LQ_%c6E=XfILyN5Prq z%r4k75v4=x#xS|Pk*mdV#|^^!b4flt=gk|6iX3p?V!nwTx?D>^2W~142D94PHPBg4 z+#29SjQtbEvLR7(l9=#E*g^omaY{glC5r9pM6x-+T#UaI~ z$g8!n(-fK45IQh8&V7wH!T!U1scl*ovdIM7ok00JSVLGW{w&^7h3<%c5g`!ve zQa5&Eol2Ft<5!QDuXM=U?oKXcin>o z%!svA6!q=F`QU0D2H4P|lC2zy@Ha^wW;~UzZ>|gKL(dOxT$Kn~OsfY&tb#W^R(o_LBW)&37wOWDW~^0kj_l;=zfdBf&m0s7)#Lc()1X6 zf9~1!{oVdo;Qm4_!wI-PqF8#tEm1Ke&~f0seo$EBiYQCg@$J~tJ0+vENK2%Kv6Jgj z@7Wmpejf5V7QdVxF)^-qa-q<4pkP5&1Zb-9Ol(s*3iLiNcq`3TT7cq@+=Q}idA z)Y4oHvq@k~I*r8!MQJAbBK)J;C_)A>)8AaH4D`TG?Iuh1#v{Qba4x;<0X_CW?%Tx! zZi+6x`-h5;d)e&T$a1GZdVHH&^5yl}RGkD7_hFlfXw4KNNsXcv%Xt2|sv`A9%YM z7sQW0xA?@X5rQlR&N(1OPeB!E|zXopxhyJBH}iT2B8-7!#74}+Qq z`~L{FF2e6+4Ewa@nEE1}xN~Ny+I3~R@(B1*xi)!amOjkY4jv?Egjey=7*zUuxt0oD z0On?HAdU$(Dr=oPMz{|su*)383HAfq{}}?e$fnL``|-OBZuT|WVXvO;#eZd(u=O+L z9vH7qhk&`Vaa;0ZP>P$Ia$H702aT9;ZE%Y5>M_oL9E`yhalPE9pno8(Uro(-{k?j@ zYF3-+o4y|lGfbWI-F@?Kl-^L-@j*W)j2le@I|_)iyjYsLbDPuw80jx}`OQ(tg=R(Lm?2J9WPavggc(M{H=j z!kJ15APyGdT)=Z8L)*#46p(=Rlz;Sh2}LMvc|T@aD=n~{A_QC3${HGWP;_Pt=Jo1g zwL&HRw{y+n_vx;nHBIM;43|(VnrTdCbTaYduTjm0MWZH-Nsx>ZR}ZWbvIH9pv4(&e z)C5W>SrmK)qRlRcn`2v_OBb8Ju1&G>5K!c70a3cs~%kCEA||0tr+htIq3n${99M$had1#5z+ zN@_O((h{N3&ci~k;9KMvH3EAU`<-e+AHaEuu{@W=$3)7j8>$7jWyaDJK3jL0s|`5> zxE5UDNp!fwj%A{NX({8EI%zp4JN#;O6^YM$Io%@cknFJ96DJ#QeS_;kZ)*l0D+N!->*OGRz=) zMjaNYz1qq2CngvRFi|X@^jXu_HFBoD!mqQZS-x%3t5^M!4?8!%10Kl0#3e!nu3L1! zCox66fC{|MbqX{xx~FKdU3B6FW}2K)d_0X)gw(lD$3Koz1fY(C%6h7;&`2`#vU=tma#-1m7;(REVI zz3T^)fV}H987Dp@G25Gn%G?KDHh~JUPz&Um$y+C9USr^ZgVcrTnIa%`VpTGeZkzhL zn54bV(e%+k$BxgtMGf=jzf=_ks$`7R@{8>Bq!M2>dyabTk%8-@3K{B{+~MaVVkGJ* z(LdiR%Rrnwzvz?Jw+cxbhB4(ONGdV71dEIwS{40n(Vp%FR_lodE;`9rR*hvJvFs{<8PMvY_S? zE7+PNLCvRRGA_SFA!V?hNGo6Ntv>GD(O3v5{G~9`U%=@onag*~vQPE$x5CJ3W=duy znu=Y_0=cBRov zsb?$Z!I-rK&0Cf>=atAzN2luHR+7E}bTB9&8cjq6;EM}LLZ!LqA5ft8PC{!>{OT~v z#0OsmP+FR8P+HyhZOoH=s`#L=8Q8+w+P9&IS4D>0znSb-+7r7m)Io`hae!tnrTXuF@c=8TwV7e3sixsQoddA#mV-z~q zp4fRr`uv)f6pUTV3*4RF8)uaQ56oDXgU2MCF3U?ei+krF#c5x)wBADuen<5n1(qJ@ zXLadMp3v2=c;!fiQB@taxeVEwxwSYLI1PTsdD539WZWEyeIleEJaw_PFJ#xP7v1WQ zUAVhJHn<^p8#>m_o=}c%g7~y5>l@Fw!&Y{MF`?3x^Fv~YTd@xwMk2=+t@G?wc5!a8 zf4yM75BvGSAesI)T&HlJAMA-8a^rZt1Pgz`PX=i4@7Tp&f%%n=XU>?)m?R-RXe6`p zM=mGv-o0GYNFecf9Z>_;Ql(Isx7b~^yOEkSl7saMckBpV#@{4^Q~9Rd@&5>xu|(Ei z+=|7^7CCW2J-g#GtZaI)oYC^y@6SBri6TRD^$D{?={O#4A6kGfE-3OflF=7T(_bUW z{t;Zd2r_nq23JJ&8ua!B>Ken9E5^jTO9x7dzbFdUxd=Q+8eW-FXY13;(!-UNa1lRR zLKnR+N|8wCmH7ElFALouFX3|H@$A`*AB^`VVuB7SiM(m%H0nfeomRzI_sA$}$c${y zH5p9&ayy`4DU^!|%pz$JFULgFCJ|O?0bkf1tI1zOc3vx=TV;E~R(^kR7ZOjIa=g`t zUfPph*+)2DEcx%pbkbq~Kx)Q_!&i=xCB8SH)03RMGq?UEOSO+QdpirL?V6 z;}N7{h~X87&;fJ(8zG!Tmy?F4DrtTCH>hZ_P!$U~0o1q+*0pWVzHfZUdl7KdD=8Y* zxw4${rTs+U#dBqbz3nMsn@+2MqYsRtVaiL(0>#g}-u>QYkheLj?*CwW{&4w6P#0we zVUs=wj;#_s1jm*Q%g*Qko2RRjTZ5VMb;LEwDhR!uWeKHo@Svz6c_kgIA8nrPD9qsC zk(_1)j`rQ>oLoV8cuuY`YCHl*F14g1EG5SZk@S0C+bEf#@Pt4In>g}J6im@o^zCdV z_E`K4kP@DN;-zu`84(kOf?C+D{9*oIY9fuh3%*TGez`%#`Q-q-T(*~F8T1!E9a)8a0JUwEBpzm~>{{{7w+JY^t&Ig;F zzNljaY-OM22QBim&(ZG5drOt8^(&K*!OWHpdZRw{W@Dx}!m|cH16;gwHXj6mftHfF z-ggGoa4CFdj4UY0=YPl}^~dA3eC zfWZE7@-tE@*r)p*`9rW22voVx-|_3MJR#Q(1R46Ewv4V&>|R@}V^nQNl~tHQ6`yy+ z{*7wCMbX!j(Oq9H8O|cztPe^5BB;(6*lMz^_yViW*n&*C2Bf*cItCioZN6I~MZK%$ z8Cpya6Rg_8*~Lxe?ozqzuzTO6omwb&gu%@6=TlFtZ1cFyHLM$ybZX;T?}j|Fqw*U$ z=I(~97w+jq!m8;;s-mY_*J7&KLeVzNz6$sr z?tQNQvX2Jl;KT7sHg%ds+ns`2nvgmh@9|K?+Z7epdcU`6A#m`K_W?FhjY9YDuQ{>& z2bYFouH$r6`^J=6-vg@Cy$o3!jj#~sd)`u&{Uy|NvM9IDcF9Qb}m_?ncHn8V~yCW=)MRyaz| zEG=2kYv&;cYyTAKYQm4}xjfI*d|7NoUx*ei9R@B2Q|(=FkDWkdDAj4zp7<%`_%_D* z7-lken{$l3ycJGgtUXZ$5rUySGu#D?{7Nh2t>^akVn7065BkFOYOPTQ!;~6tOv3nD z5u~yCqa0m%ho3h}d((OW@3zL~5QLM{_17`mG{n`5UW9mr=(g=Q3k|Lf)0=+kO!n~X zVr{NU!47a|q`Rffh#qWFT$CJ|#!JucoM20g{ z&3*KLA*@`1AS`U1SZ{!zp_e#02=sFAxZ zL|isX`9r}CymuaOq&be*R$eZHoW9eI5FE9wNS1!J3?xlJZ(E{>h^oEX7rUwF!rXORFU)$RRtbMM-T^o=k1rikWlCyMsz< zN^=0g?sMSk}!;s!k{{@g7X{0XwG-v?Qa$F_3sX1rB8yM2D{ zb@VF*>zErd7#3DDRQT-d4C}ne2%gGnj!cPE2j2BTjVH?IoMC6bc+Tg(ceR}y&~ewW zd)0K`Pg^*@d3JZ@KJdW(!>oK>b$(WEy5a?5CPF?kDd(Z6jDYO^Fy#rxUX8pVJ&zXjGkw|4Y8Zq*36Fyav3HcX7K6 z10xprJKlIbwfQQeE4sy8%s{D}F`)KVpXU|jZ)0L%4M;tB0a}{HHxfgSYoA$gw#XhI z^ST!O1?|J*t|Ipon-QOzfAF^=h zbl9%|lMgURqtK_nw-=<=;Ar_~B8B+(!f3%K-0GGFAZ)Fs%$SV%6*z4cr|Z`Hpn0+F z^VXclVKP0cLG=0l@@OY|YR~x=r)!l*CFW(m+PLLs^QqYU=(5J9S0GJeCn-_UZT->!!i*4a5a=wQm`JY8NNE{Q(`lq<=FqChZ7LkWvY98_rX)=zJek1*P@0|gs zV2ei+#<5t<#QTE{j1=xYY=dv~UNMMHo9AEy&XMt@-V8Vb=60;-cGSIaR~WI=h*gzO z^73a|*pTd;aS5&;J4BTJJ}9O4)K)m#xfcboraE>B=J*Sjnsy0RY~a>V?96pUyw_qJp$`WB>tGrB9bbKtJ#W69Z zFN<5=e|nKZ@hXpo$3D$ro)(I>d?*x`T^kz%LulR`LZj@{%Sz8*=zpU1#aEBmkeF;- zw&nPf=(l&o@PfpI_46Ic3Rf4?iP1{kN{bBhdcju+xWmX8+_{FDv`8>0!<+*?Q}GtJ ze9(r6UK_qI_$tsrFD{wKlxOnaGhC~9yInHgxN!Q|)sbfU(qx2`-E$`yf8rqZ=XhQ} zym!d09lm_xnIX6Cng7IdPHwI0?N#yexuwY1iBY}=s3CuGESbi#e&sW znkLvii9$!dGzESow=U@XG{H*WB6k9r%C8-u>r1dI=p4XGTG0@*=2oeZI2~*CMzQo( zf>6hmy*=6ma-u-XF#I35s|gFYPd^tnBz*goCXv@Q6`KM^HEuLNIdI?L8YMx8Q1(ap)-CO+eB03DdgrxTDny zCVQ|@>H_xmjUz)?m%gM%_XK`iiV>!r9OJ+`_7Mhy>c3_M4}CUO1jI>S8Cl_+RnW+e zy}Lu%!Adco8raxJ44XY>;-Llalt?rH@06fY0}I=IgfP*W$V#6%7;w#*P;LzClO%~M z@5<7$-{E&>iynGe8$dhR(gxWx%lRr~6eg8NA1X+YxMofXR1wcS_KG7j8Nb_C7gDWSm-SQfPpyWh#7qI7XFV zah2q;*zrQv?^IPHL|+g=K>)#`>1micqWzcMdR+vBRCS>n+=*HcOuC-y}1Hvcc?acK}Du~6>PxG0L_~N9>6Q_N`5RUp!3Rs%@FJb`CbW@G|^R*^5 zIUlZMWkAh*r4C|5UV8ozKaKf1Z0p%x@L@*A_nM=K)15yOSGXQoeMo^-^9(|!#1Uz3 z<@E!NESBFb#jkl^tDtY``7zleH0}9=dM{>tDWeT^=FOPvJJQn&sb_XKS%E*l8^oxH zda9vBnFQk#3x)q}9dyqLgsKqDOHdbOve~86 zI&lwW@YaYMu8a#jfslhQvltZ>1e@b|Hy&SxcvT1HseB)r*rADQZy^c8O_MR((a+EM z_`PZvWyFM&4O-i+KSW;CMW&i%H7O4H>!NFcXcB^RuQC`TK^8o01D_bd(R6y4)V`{ z^t_1^bT<`lM9#fVhCikv7jL_-xY@VYz&thP zX{G^Nly5xp@Ei0v>DCdyZyhV03v8MwhuyJio}15*-dzLGLUN z;u|mC!Ly$|9JW%NKX*g5?RjLM(2wK|z5-gwOyVoW`O5kQOcIL)w7aXPa7SJR+ERRp z#g6M$LH8{WzBT-O=Q5Wt*2+-oCwdEG@nYZIZBr%@x!L$Y4AC^D^y8>LS8MZvIy&Tn z)e&v0hL|)*7=46nf`(mdEn`nk#su6BB=#&m4<>Mvj;R{rGAnMCY9 z-y#2X+7~2FHZ@1uasLlzZvj@vk~NAZAwVEFgx~~s_h1Pc+(U4O5FCQb#@*c^xVvlc z02?Q`ySv+dy-#NTJ7;F@efPcZTfL;J+Nw)e)mjbS=cq@Ba&Fy{AgPKj;!zDO$wASu zB915dmHQKu9agJDp7{-6Js9c^7;5$oVE!sM1oL}6NcJ9K&XfoGU-5a^Q<7xq*-Jx_ zEr5`5ghaX`OmA3_?MO~vX6Z400*pJ&c6XWx$V5U*LO6xGe>Dle1K9glLgp`LnDO7N zPfY(wK3e|#i`caHG<*8r!=U2srT#K zi7BhdtLyg1Ysi@nbmFryw?J@lXd4&I`X>?mdtG&HvbM3?b-cq22A^Bb312qd#s#S z;bE-?2FEiq_{;3MtJ^(JY&G_OcCUr{U&(l0$arpC>nCM*o$=OySw5WI*FkY}BY^KH z{~gXW{h8rD<;5TvcpB;_LD@uv_3tVD#+?P<^3syBi!8Fm<_pF+ztsSL!O0d&m%JBzh#u%+{m{f|7TCtoy%+ z@oMrtjtO=rvd~QVYvguD_J^j?lYODCKSIkE=*IrXUlsQo7BDVUIR!NFPF{#i@~g14 zEsC)C<#uDz+--WYiN?8_EM$3VG}D8Drk@9Xv+5_u*_MVTmYDM#pi$Bp_;f&7J`74a z4U|S;O)0*MTQ4=l)>1dzPQf41F4dLoWzzaW%8#Lkh}!%!EQGJZb5SUNb|cc%UyDeh zCyu|%d$n-2x^v`9^FrxOH0+<_%H&>tQ*{Tj^a{?jpeU`p9Uk1pyx47vyZ3yfL;?KT@ z^PosMOZNdwO=P@V(4wlngh7zP(9uEogXj%uXjZo=-oq)Ml0kSF_?%;lS~9(sMO@)* zA&e(D+Qb^nbyY>35%w3zuwGR6+q-i%mW@zOU(Rkc3CxoO+i7LUl;NY&TGJm5kHsRX=74|+!E2`Z>P=_P?%-^ol)mbd<)$NoW+vO+hT$FQmjPgwnd-7A9yr%2Dc0qgd9JIoNj5H}kp*dfJGR83Aze-`pV=a)Dv5u!xqCcpxU>f{v@!+#nZl6Q=LNt=H=a*8Lovk`IS`lKdDW(7a2vwBH%(6{tyizz+izV3 zJJsq(2vq#;pPeFlr(3~JoR{jlw7rp*!v$%pFz4lm)>GkGev-r8uY?zM8Q^||>%G9o znXuB8ZudgBu+Pa46)e}snNaG@;NlG3r7>VuB2jS!6z}XjY;Tq_y_Z9qv@9P*+iQa1I$;=c5bj$o|Z(6db0l9{C} zf;epNilhEofG~cR4xzWR8=)~;Tv8LsFTW%#5{1qxzK;(snn4=!R0)4*;xkUGxn^u8+MXJ~HScS)X z4k@IUT3Y&wIc*yCKd=>+H`8cI_L?slDnut8_fzykzSe9pu#n)D+RsWyx$s3iAQkqV zzZ;8m0o<*q#%lqaXR!N%FF;?U3`A*vyXaV;$9>~Rst%N_B}!Bi$yG=FO`oN>IY}Xs zTNES}C8;tIPa0Q5$skIrBBKU%{i8>t7*S3&f(@vw`yv3mt$g`zzp-C*GNqB$gS`^F zCwMZYmi%+hQi%+(wa3_^@=YO^nNR7b)<#B4rmQ7RCK^U4W@XGeZPs?s1XS?z-$(#Y zC(3ldCaZXL;PE&of%|mDAp9p}A8_Wq9@cGILHh6H3|Wp631n<^Qw91v2m+-*xnS(P z|C=+gmZ zqOeS$=*TIc{DBb)r2hl_qIk)6`z`?uyy2rM#2kZai_jt6Hb8;HcKh)Bb`|v(D(Hj9 z4a`{=aU`)5%`fE>_>Jt+xd-(;T(;{ep`paa`uK0X;MUqNY+{FO*Dfx4PX7g zg=%GTabEr9V22O49T$W{94gmqZe&7tnr@6uOKSWVO(Dr^Ps=q9jm)`cD#Wb0mXy=rIP>+;3TUIHl~+tK(+Ss~O+2*mp}? zz~qMi;%KZDeGzJ4i2X>m)gESG`1yg1^4h3XP~TSP^F5g{9m}VxNn#d_ppVh^Pl2Xx z{i3Myc)WJ#u(4v*nz7Gw$LQZWe=ubq6eYt6$W|*AMyM9FVJ{xy)C!@w@((XFiD@ zRb}m82u#v3r~!4E+l$<##75=JNqjcm*Rd`JTdrPJyp>#^>ynt^k*|7NzJ?{LM^Bfa zD@mP2bLd+lgjYLv;j#26E|rUcKkL&QoxuXmZS(9r73qz$A{e zLe);6#fnXp{CFlwX7<_WS#D`Dr-WC-g$^7NZV!~0(Ys- z8QyhY#N1+_=?71^!M|eZF>QO{3uLI(GZNt0eFxz^fsEzuba(Q-KY5i<9`i0Q16(hG zHX*k)NFLZ!8b9zTO6?lz~m>UC>_2TDcj>B^FmwH03jmxIS$waMxWyC!6UR7)86 z{P~|9yPVe6{}g$bI(EYyPr_Q=4VVP#(12;tAKWYBu?EA^W9YF0bGl`vB)wIA5lBPH z6*2DUfy1>hoLF-3z-+l4V8bgII)AITFpRqH;DJ+rZbJ7SRF`F<2BY^N@$^c5JL1`8 zNxN?N^JIHMV7gST?aSlvt3+5YoUqE`z2W@#Uqj-aq=qMs=M#5SdJG z?=wSvmT%!8=kUu~X?%3b(sdmrFmP4`3#Qw;cxciJ7F!36an>!?IY7LXLSccw*vYtB zpS^CYd`mUGf)Bb1gV>lO08(Gs3cjn2ITDmzTA3`h-4P8%AEw(Ta6~uIS&y^xa7JdS z$5$P`o#g;>ye#!R#Vl}EQj>>Eo2TC0anTnRrMAN~CV`AWer{uv`*kd*bEDXE$&?n& zAJ1}Sw9Di?tHhl}wqvE{dwKhiukzZQEz7pi+3ljaxWhO*3hUvPYv9G#SJ+)%=2sxf z>b*(rq}>nkQv71n!zzzhT;c`SREchKw}QXC_6f&rU4y{X$I2~)%=skDYMwIwjxyew z5)pWElP2g(P$EOwy%l!GE%-w;t0#`I##?*-ZuWFXqQ`wU6<<@|UlF<_d1LuUp6EdF zd@32#^_`WJMx8EY-jQa<+b)I81Tg=ryT&lV8CMp(`HZcIM+*VuL>&wfpwb|Tcub+O z++*31q_I(@RqMO6sUD2uKMb{|XEW%TBZBP8&$+JO|2tsxiTxmFQ8-sl}-cA$}Bx#NUIhScH9gW@!y^}hAw zSk3lw^|CWy`ZYxRcOb;eYR*tBhWRQPYyxyUmD7f-n9y2F$(95Zr2`J5vki~~#q^UYo=?&u8xLf}j?3CnTxiBHiQ-!s{x zH{b}VBgku}Zn>6W+1Z|ib%gKtQQ8%PTS3+V%gONpF@ao= z=Qu?n+e$2~4VUB2cyj0eRv7zXB^FSbB-(3?jhmuoXl@);85Hmj7;CkdTjo5pO1Y|+ zxu4H_w3v9RGX>3e+s)7QoGx(wUjWzX=pUH&b<}Boyi|S$be~-LkkyRw3c=I%4dYdv z1WUhhxXb1J@k0oYT++j(e=jlBQv}W+4Yj;Zux0M)+14}O3N#><)?7Ee+VqXkMpEj|8wif>sH0&)3cs>s6ol_#Tz!HKN2U#Ni z@W~eB@*C|K%SaL00ZyI$2d#|chF*dk1-Qg(A0NPc{6_u5_qV<5aAGISc`OyDq9yV0 zYrkf@oXLj?_*;0etene)al|LMRlEe#O$fj&;IoC8+pS|=!g-yyY*sibBj28FQGb|% zVHVfhV_r__g1ruVeMEMJJMoR=EGU#kztOkN2Em=(dSc#5VC3%I)=qf|ntnJTg_&>U zxm&coAeifL`<7e^lo)e> z{KN;_q{h}Z8?nUL;ebxGnDJX_9Q|;Uh3zeTy+EFH{P5jb^rZE~M6D>E@Ss^-fK6YF z;whZG`H>MnNYI(1NbiXoBN>*_|LsBg^SVhDo?y)Ho1_wEc#|c~6Tn{JoB3h(bNkFPz*^&R7S$kQ1NA0Tj#(Td}_qvWNtyO42E-AW0xN(o%PoB?!>s{Db7U;vtY=Kb* zHz_=nJp)DVcc*AdT3;Y;E56@WB#p<(R^?6@hq&mI+)z&0(4&MGS+aJ6oPfjzHqi61 z#kqc7nb5G=nM3jtZy^xz_`vbIN6?5Fi*IG$=^cMI8p{>8Hl5KcpgHB$=s&IRUwOIw z=o&gL12mFXBLKdkY-60_73lz!OzH;aQ+Ni|Q!^V~ zK2`^twPIUcNkD;@23xXjkPi@tYQ@A*pI*=z93(^1M`+G_$-y64IPqgvsC$hKFbH~P z%%!~zPAoVnJ6LXj;I`RB=#VANqM8Lkc6=)x`*cf3>D`7uHVdrKI!n_jPFi1jAkD)0 zDA4XVo+504&VNFCfZJuhL-J4n?~4FKoF_rSy;5n_=FS!CL1Cl<=jjwNw=Fo90MZ1d~$?@l>$&we}&K5*-#6i~7!r)*2f1U(&H$`wm|O z>*6I_zTJ4~L+FMdW~^_(qBDjZiS)2Gzt+8-vBq&X@vS ziTiv3Be4^AJ3i1o2WyzGz64_jYNUj40X0&3c_%x)E+i*;s1@;=pQhH4pYbARa_O>R zG{)AIX3a9vB8>jZ^})YvW#TV$a&!fP%#qs& zWf1|}dE4GYYei-rgI4wHoQ=>DnCFtiU&^QWY5s&@4ex6WQRP|>$0&DW4Lbvl1v`1< z6wqV5o&AFWV}4BK?i}4VhrE!&g|PR&ms&@ngq?Scj#(&r?g{tu0i$#OMx#e(tc zCBhYg;%Wi9pzunRjwb>=LZKStr9l!AGow~dp_3lbGXurZ)qt|v0CI2oHJMaB>P}snUqY&U;9@&9?>!guupmU?|%U{6r^?0tWG0TESFMad8oXDAImz(JN6Ti=&3M z!-lmmJjNU}Jwnx%e*-<(5+|6hU@m5W#89^QBTFZr;Bhivgi4$8_@+l8Fa+elQ$E{E zeM`OTh2Z%f@T%ovy~o1roLKGe)!&uMfh4UEq1LrS`iW+5b}*6S;O~G$N4>{mhLf1p zR^@*e^81IU_i_>M^Ue0x9{AkEDt$1B9>tdMST2N?1Z+{8zz>e{DhWE>VkPJUn2C)L z2T8!HdPrZI z4&Twkn$7mxh;s$aZmZtuSn`|X=$AT5&`up9hPB9gbdGWr!eeq#dW-!(ysXQnnTk11qq?gY=8w zF~jbi-Gzy)E_f`%ZhfTjblY`3gKHkYP_&AUd-hH0lZW*g$aB5WGQ5LA$-@-( zbSvTnEnF(^VE|E|sMDrDHsi}jFy?RL_+AR7D>h)>&zOYAlvH&LjI~dNnH26KqEa$` z>4!(95cfmzk!bi6zjKwq|AN=nwtN``BUF9o{ikmK&TbDc)iMu*H>cFoUa~ zV6FY&C47g(i45?&q<2mj4|fXET0+nM=2DwK%G&~&>8VE)HU~=?_!S6K-)X}7&7jT0 zrsw0)3v8-sCb=@5bj^0YMh|D($Fq&Q&Hl^5nEix+V#(#im~k9=gPii4Ad;v6<4{+?L989QIlGS)W*N3 za;>1cr2vhQu6ciW_e@BYFtYspS$d7V?A&_+E9EcheRR4Qbo;4)1yEU}#ngpQFOW|u zO71VvG^AauxQzSkOU$3;SU8W@@$Gh8ejn+!wdTJtKOC*D6;^$Ts)c^>-mg>9r+I3n zjHAH}`AGd=S*p^Gn5h*Bvy&VSr}4rOiF^Sne$LeIdv@c(y%MOnRQ!g3u>OWBs5aJ? zoM$&`V-&^aYXEnRFu@!N${|{SL!dBkx7z$!iG}l29iN#-dM(4qT)c_JV0GQ*zXiK2 z@a)@cm-MAo6FCn55k{wYYV1)g(u6g(|F`VLj?2#2<*44{sL#=lK7Zi|@&KYI)HHg6 z_qE(dJigyEgn8$GXq{V&*Ex^eW{d2LV3_-I}!G6=>6C?gV8Js_n_ zFNHN+S$5YtlEHDA;VhKsjn1AaEYf#HY>znZBtN$Td63^cuAcALr!1OWoqgA@VVlD# z4P_Q_!wh@fup!8}TtMQV`Ahe+sz}p4cAQE>|6`y7S%>8AYe_3;#m% zy<4(&P)NK4D?8yTBkLib8iMfZNy!(2bww=vl@p5UPKZUm*6rM~zMG{Nm&c7XZ;jlQ zfSaG7;4(9^QqSco9Z&hZDFM#fE$#w;r`uGrS~cxS-8Ud zCS27(ctPZF8^&`$VLW{H4c2eme+6YJlC<$~Hf-eqV|a?!v_{oriLh~1v#Z0>a%ZMg zw>2?ta}olFIT@53&e6$y5;8}MG0l@3j7Iah=FPDd2~~%Rby@>;QnOcB(-5b(HjD3i zF5#3kxdLq#ak?)*(3HVT+VINJt)G;l}`oi$}O&gUi? z+!e`1gJAQgeQo%Pw0<$3hlv}_kMFDeZB=;yZR>lgByMMFxDt)5RW(QiMK_Dd8+?i;e=DqJkv9~GF z{JY`T1Z$a!G(9Bw+)S^6&%HC53zQ|Jz%*B;`H7!Z5MhjS6*;AgY2&K&)Kv;&ktd2I zbImzDa?t#{! zEA^FW!h>a%?#lVUrtDVtQ(@~jRQy~ zjw&te?7su>GMa@zN}F8Zxqx)Q81`46F4MIsLifHBP-r(yoCOsj<^ES`I2iu0Z}jxq2D8MS}7WbSMI zodV!4KeBSvzMpo&yAnmoFx<|9~#lzUO;PTU*I>Z@5BS?KM z@a4g0XW^;Xf}~tC3(8i z6^ASGW7!T2ej>%(3bqS+_?j&U+#X`f&A}gMWat*9Eb3%{mNqcbF^FHu1)(k|?fp^` zym`91*{1!1ZVs4OKHlE$&UXRh3JwF*M1f8sX7@?nT=&Va-@MjY^#SvoSDC1)jx+P} zchipNExZ{Aj)JpC9#u<^ISY?3tDiG2;3zBkOR@+JTxR|a+mBRwDX)g~nuMW-xvs_A z$StrQ1!?s1+YB~PS>l={?5}^wawqsyqrp68*&)&li+PAG4G0PqE41Qmk{xAnoxk2< z0i51OF_v4M;>oOJhY1~QMk!PP%CHCY3tbljU#L+B_(G`%FMIlBf$z>eTc|96Y!(Rp zmPe}4Z~1R2!H>`?bW}fJE17$NOw0LMa!#SLa-0YsKhCb#UvGGZITVAA=@Sl?U9YE9 z`p;QexI|(+@7o_F{~#C(u@Mt#k`hC*f8cULURd^rB@Wu2|8sy;P&u<5NGu__Msszg zZwvuq;U%JCy{%kk*;);1^hd5kLu8*Aj?ltD+bS6zwGY-+VQFAA?j#axR^b&xe?+p; zQOeJ)p+&I$GW4I8Y6{zQ4`)@Q)p)*qu&(et8|mnH;q3$a626#C2^Qe9I%0h+#ye*a){57%z35Y8F zHE1rK^KG5F@ho#$_w*=c;ua%-)XyQ#JUs_sh;9qqGC9v!0Fvsk8Ux5mxE4Pn%X)y#!(^En4H5;K zdFll4WG~)FpyfMyMQem_*CzrrpGPwmW%G28mY-NAG~ewh-`O$p+0$yozgAC^1P%LD z$tnrVm<|x~##L>0YUeA~X)+%u55x~Lr%BG*I_E3VXfWd21HG)R^2;^>Ja>@C;uhbY zgBh4*y}Zx8O`gTb*uh{3jFU$&{LaX=RN`hE<#eP=6Y(lBqg$C-h7eym1`Idxy{3cR z#zLN!r<~D|mT*TlKL#asP&iEzejsj2HWy|QZA&KtH3H(YWj@4WVitbCGkaR!E+Ein z{?4Fn{+zaLzOrq;sl1aue7(9ipx50$)+U3*sN`HL#0}Amh9M78vnIClYj|y}!4GN! zhFpgl&6OkN_tuQ5jz#UN?!DVDvOj=Q?2+`%w zO`ai{k*LtBkf}(|8VbWM+5b_kJ_pn6N?exDpAK<;Iz79(y*R5&oNCkFFO2GL?xTE~ zaux1vXE|^W zxxPHbX$5U&Gq>gmw?Ax;ON7Ddl?3ID|Q+Nw>-D>D&h3e=+;f@ zj>}ewcBg&31cLu?YRt98^ziXB?Q%xOXr&}KHjn=1WuoHRMrK^hZfdm;93?!mGzwlK zLCq(4j)AP#@C?@kHSQl!<^*(UQhbE!(Gbh|ld!a%QQ3MSPM}8IDOM?cek9qFfR3O` zX6{NNII5|xm2MZD)WmN(s6Lqct8UCv#DNGr^fvD(H!5Pi5+l2!VfBSjq%eWN;K;5j z7(ggq!Njzv+~a2%!!BL`SX`BWAcQn^3ZKN$LKSf!Vab}1^e??QdFYAM(5v;409R`X z02p69owkM_;$UtTqgvgJVx1|pj zA2+u9w$HD(``YX)-GScc^z!WP;^wl|N_ag2`(|JhQlYF8zHbE zba!*lV?H>c+@O0p?F6+H2x_lIh&Mp)T<8UfpFHYFgh$h9sG4fZOKD8CcRh&1S4dQChUTyk$nU;RXI-UqVUa(R+?I%gzy`K~u z6Bv}N8TnOp{wX=FN@39-ODS#X{qe%1C5_%~&i5)ekG3$N6KIw(nJE=qp)*SNQ&f}s z!4qd6TxgD7XkMwzDN$8H9unP6BDsb}p;km*WU`MUSVV$*{r+Qbt8AoAIi}&l{!85J zZ=ZT;T8X%Gr(xcP)yBpjP?zM=s}E%568w$~1?o;1n*qb-mvwMM-;A#-pKMLvk%+4V zQ{LI;>h^tKiVTA1)X=uK!!rJ)StnAn;7G;&Inpg}<0wXjcrb#{j~-ks_0*65PSl8l zx5QpgJ=RvMD@HZAO7t#Dv5J{JnWs}y&v8@Fb?vHjgm}wyy?*tk$+LAppi4U7whS@eDhaTFB%HRi|#HQ`EC!vR&{AOC=591vg` z7y7f!yZ3tFm8R{u6;N}gZ~!rI%iEVTQOD*%pb^b(5EW)R?I{UJ{yyad5ARMD9#%( zY`lj4-B&s&fT-jKUwCU1cGiG#r3X_x08$DH@D7ABf64TC3^*IlLek?okjSjMn9n%D z%ZscvhQm9c(FI}@Iwuj1e>$%c9UjEyVJgPT$lWq} zUitV<8yk)EhIHE~&bC-&t6d}=Zmdl)@G4HIrW`BFJ(oZ+wDZ$v8mU#>oE&n$?j1236`MhYiStBK!E!F{(?}Sa5I-^s*_^9p0B5hoXN7;iptN$#7vZRw!=b)3)+V9annAMz74|; zRbk|0jc=smu71R1>_UlGa2tc zXkB?V9l+Sn!tYg~*0An%SiG}reGDcb1#r05O{8Fn_+iGI|< z^)_1Nr>kbWz@|^Nk1StE_YA%X+vV@*e5MzmvN)+LfOB0otGF_`8+h6YLRcomSEHhI zb2K+h`*M8lqqajiH4zVT42Lvof-jp{Zd^p+1gZuUzk_JWxN>4 z+LXo%zd#MELQLQlF6l%TT=rFfq*M9NX3M=u*TiO+LZP@k{xALqss5X}@?t1vsv}*S znlJwe;0X787@K_yDmvg2hhd`KrS*>o(A%XD0=K)W0&X`i>maglR~gZot)EOu*%`i@ zocJnZozOcd=!9p9B=PS;q(O@?GYd(dQ-Ch;lBXyg-M$2p*U;1mJiWXxF)?jJ9bZvW zZr~iFNdx19Q~ab&ukLO;2266wbhE~HET@`-a6t%<>}$uN%WTz0=d~8-jTAq zU+a1VQTtS_Rjie)RZntOeq>fu-7+t~3SXq_(@xMb5C-3X78N!j<-A1?5PR`BNc&O2 z8DyGJ;&7 zYMDt)6F%)~Kk*XM$-xN{aB^H*gBL344a%D{o6KQa$P+Wa{|mWUpLp|~#BT1pyVpLO zCb6}<_da16bX0}cRMB|qW)YrR_QQP(yo)zyf|3TX#=pKJAF?UsnOPs2wY6*v+O_eW zZPMsaqBg@;yCu2yw`)|d4P@Ik^^T;d@7KaEe@6yc{ovB#sx+HV_L&}hD7>9?n~hau zN>SW1Qj%;nmn=+>p}DN0$<^k}og7@CwaeWd=QC{sm$C{bGuah`L$(GNiinW*j4Xd2 zLcSIcTON zGv;eNO6_N{rcK`r0<}atCj~FuOuvVGN>Jo7<2}vbtBQcsr(Iq_>Z+HXmK~<%A@jp7 z_mBsE3-R{H_QRceNW`bvWrY*}`P%lJ(N2$+ywNg`n-Iiv6}(x$9$)_s`1y-s^zmaI`$yq%8>=+zE1qGe^5tRq%0}EsqTu(tt3@$H zPnv>{po`E8mg`W_E2QkJoIuX7ctMYr7PrUkLP#)?N2DxCir}a1_#tBRYk`?#ck8C> z4x3}2^EA|Son!YCFUHSD4T*NPEcple*x5(TGY>TQJfhQj51L+q^`)pS~ z0C;>IidnayIC=+)&-Ok5O|ddBTJ6%v%14o9j9eT%>uFEI}Hcb1Pc z2P|my9_9qNff|CGKajdhiSgCpfc{~K1?V68#8QAR$6#U@di&>Jp~$U1GU z3ceDL%8vXCwAS`;XYvt#DzjC^2?+lp+S!9NY2|PMc%c1VZmVeNJxYsW(+DBin z>)oHkB%j1{zGbWFWH5uo$TyR{ z>{-qNF^#u7%ieafjU-gU){@Cm=+Li9AJPgDrWUk?F8h83<^S$TItkevyp0lm6J@`8 z0uoN#hzls}bG|x@48=zndg$`~C^F?ENB2`P z8UkH?O;)Ipfy@{)9f^XS-xYAlx z(^eH}d9B?{fY?CwGRv(I+|&L9gT~}&J?8Y=X(H+#W$PU{xAHAxX6u(E1}fffy-~{; zDI@`xm3HlmeM}ZE*vsxN`j7i?U24DJM}!2Nu+KWGBc1F~(1(Te18B0|cuS0=i8J3m zZ67a>Q*T`K1)5&Ujaa*9-af)}&IG)OL4~CxMr`S*b`dX}=G9z(q;~K!+aa8GbM8-w z#bk)b9C9T1qXbQ=#@y#58rjxxu_mggrkh2ry!cow29vH7UJ3?gdN#5_^M#mx&Z@c0 z$3<9MT5+8%#fpiJDv0N0(DjUbq+q)NfY~|&iY-_a7@Du9Rw>e?G?rz$2PV^iX<#~2 zS>OF3x;hO_euq>U*{v;~`S(!GbCp1&A^A5SnbOzbTb+W5FYGxVRF}nvaT(_-Z3J0 zj6)W(F(Ms|!%AvEEgEPOBsnW~Agw&Wsc)O5Sckrk8CMm?LJmaXq+lElYnnd(HrC&3 z6F5)&lCUhMqJvgpfAaPqU@F2DMX)RVaHL}7wectS`FC)(D%=688pbmaw<&b;swaPx z-e(H$x%^o?rM3avb?e=hwtk;i2G0_PCz^$oG;k%xj7oNN3guzesVz#y6U%+@y)2~ z|4yEJTC9+5h>a={7i%*Qx+x=1fhfm)lTxst8H>NgFGRs?4~(O8>3CuTX=y2OBqD3Q z-I@Bax0}%70lL|D9XHatzRz?Q9XtoMWd*0T7e0c&xM3dMRsHVmtH0>_D45ZfwUe+O zdEAGa`}0Z4gz1h~P+w0Ws$acW%gi%x>ZGM8WQjnR3Y@^=bWS|dwHH)Y zZC)ZE+KvBrmbB4Qm)FYX<1FcEo zW4sRnM;4FwXuKch;2k$JwI~mLQp&PIpA&z9MZ12g&3t#u@NAV#jNOM>HRJI*4b|LE z%u;z#VKr=AvZeUSwYtXED&Ao~Y;RYEqN>Bu;hMU&9`%>vAxxFUy=Ti0_Ye3V=i$lh zoi21HtD`&!f_b{4)}^gCxG`JiTiCvp9+A9JFJ|m@wN6%g($Wcj+`hgRB+^cAo6jRa ze2%IUUtzigB13b6m>RA;Cb&~QeGR~`uUOVUpJe!Hp{ztII<3jk)9@|$^bzG4v!E$@ zt&ciJt;prX9a{X?j53P3e6ygd-8pFsGS5s!&Uy8B1+ha@JkUjM z+ae%9qv{Qyj|uq+R8Dg>HF=tpQd{UUc+bVoYGEtl)rNup*~tB*p?_-)t8ih0g1UtA zo>A;)j5rtE+&Gb3Z81{{1=Dj<3js+wHmzLq;#h_ZhjCy-`+wjtSza$PbxawH9roH) zonyL($&dNF%#R}W_|fOLt>=1oYzJgZNcS_`T^Hm5(1^xT0LxC4r;^Y09em8!;YlKq zVkQpf@5&tl@%pZ_)6K)qztn)G^JXZ07**p9O->uQLP4NijP}TwrFj&vlb_w(xlZ!A zLeS44NI-4c9eLxvP-FFP|w`Q_!2I6JF(;PHb9ptOl{UQ1`srh72i6mwv{%(f+^^PHmU_vH^xM8^k_qfon92_I zsa+1V%^JgDyuT0Q7A?#%6L(cUf}07Vk&*s_mU7xpoXmkq@-^BYW2xH<3{E(oN&W&Y zy$f3!%i()+H*o0bvLt87X^|(jN*g_Y5n3=5E+WG z)IS%R!N!<^bV{B49O@2)eg`AG%EdNTkRKoStjGqYx)_ zVJ^s>OseH2?P|ziU@LF?V#y=>JJI39u^-Ay1gE>8C9ikSHe zK4?q-q>Cf+L~zO-hhctRuI%y*8aE4N2mFCh#ky2a)Px2}bE16f0e^Ve#`B*v6GYsb zn=twG9xJius3kp>>1i&KQZ$pT>fc|JBUbO+PS>$+HJ>v%8);_J36Ezz`Kl~Q@?{6` zdQ?7%(TjQyeD0XMKJ@NilFtEQ?`DWz@q>Hme#^zUqgJ0v8ZCF3wW6R0zt24oh(|t5B!N<&*T&ATc+ku!$9#7fI}Ipu87O9l$S$x_2i{d zee_*$uqL`P6N%t@E-Id$@^TXII*(@^Xr)dbTY_u{yn#>$WMoR7OskwZ6 zr2Jp@J-Ag4nK%ll9eXGqd5i~K-1XN(+63J^>UP6B@)O#1($fW>T-qt}Ms%z|HILsW zOfD7y!hr5#@hYFdroepiEhzC6pKhLOduaZdvl_d4v|Gv)i zIAE`$_NUP>m4D)q`XHg3zfjaJkYP74TiC|cGbP)IET#l@P$%29=E-01fs=i-SlOs2 z+-~Eu-op&%kwpSKPU9#$LjE7Z-UA%2=IzDkr8U9h4CiB9xh6J=MgQGzH* zl$FIIdKWEvj}i$ItR;jXdV(N=Xc0A9{Q^DcuC;0aT+eNf9m=Q`=e)^vdz33hcK-7Ve-dWJ=;68W40_1;!lr7 zr--!&Jt3}DEMw*`c7rbmzFS)3(X3)gH>cdhNUDK10DSiYm92dr+gBKBlnCf_78w0% zH%C;{(e!9?8dUuUd+rXRQwX0~#fRH}-HSV}+dY}=?N0avJ(#hc7CqkF-TBpYsKfiH z?af)pd0^1Vbnew3-3f2bcYmF>$3Astwh@L1-m@f_})->dAI9O~&}L^k78 ztaEF3tc8LKLB>U*NDGCxR(&x#`v-L&dY?>v@nni=&+^$WIy+bYy+odH!k)YR$glPH z$o`hP6!P;~+2`NR?Y|8k^>Bvnor})>d`op~#df6lXyC%FmnWFB7LTL$P>Ar}kNscg zr>A?z2d*23+g{rT2TQB^OGD>dw%w99T5kRJ7R32WJZSS-|Ka`a<4Trho7uYG z-=jX(dP+aMul#7g*E8_<`PtI6wRh{q{&PdM<1fpbwK7O=&$G}Nec({z*;$$(v(P%S z@_Xvh=q~f=>CX9;t%ncdUYDwcJUs69ET5DL%vXm5f2li+(|uq?ZUwLYe!VpaS&V4y zJTJ3y?~u`?_@omdob!A4$%83UzcXbc_eYtSo3~%o?cMJ080B?oPQN+5{V?fg1JIX8 zdCvy9kFO4@eB?b`N^B1e+%7uV>5D;hci;4q3~s)fY>_oawNyeX9=tb8HHs;Gbnis? z9sB(6aFOp8L{8^(g~obNX%lwh4z9Gkbb5Ia!656jN~#OH_I=(J?i4xSIWD;ItH(VT zSU>bSV7Fq{f7x<%DesHf>CwUQ$pHI^$BC)fj)hG<{j=GT9ucl@%Vtt{9({`XRL0_Y zwrCcRVl!8N{xg0vJz(Qa+tS{EY`o2!_xbXbbep*yt=yV7V{6BMSoyDWC~W46%Ykj3 zY1cQ>!?PW(oDWN%SRL zHyAQrZe5_eORQV(YgkpGC3)V)Rm}$pPxmI^H>}y zeL~nkXPCMY6PqTMAP63EH$$Q>jb^fs`I|+B(4!m64{H8UVI5Ad#`f@J$JkTrcqy|D zqN%R~fvF{gIY!pIRA>p2{h7N8RXcr?TLR0b2A!YFQ9K=!d|Gea&Iv3Cg(M}Xx{aSS zdVbSJJ!323QPV3CbBfrrx32e9)B8p*>m>hF7ejcpW=iHGfuZoAg!ZhJ@SsNp`ljf- z$K9tF>}^A(Nc!H=-5@ktb^euxrMsb$B=c`adBGmjan(UZ@ObO(9_YMlnQ$@g`c-|z zJ^zF0z`;(dT*6VsSF>mMo1#&*y8N%u@&*L036)2D2Pz&uddAN`3ox-i*r_*4Q|Re^ z#Vc?Yx^YQGkZAl6K^~59%gx#)i(zA+lNaI(GS}a$%U`tv>m4tfUH|~#xk(XZ0%`Uq zF7B#R6rf;{<9{iLZoZG6W2!b2wHWYCVy062u`0g33N`;u){<{VUCjQ4VNdfp`Y#$a8A5m^D;1CJ2e08@m(OF-%!P$PgeY%sw6&k-qP#Dc)jS@9Q6 z|LDokERW=JbiH8Iyt%Y|e<=9W(R^hiphRLS$cb8LU%<&#CwufgaWeIBw~@AUi)(b? zW~Ew)VzNi>sK#h{hnbYrMV>d4PhOT|Y}F0Vc1{-@$nIZXCw}sg_%?Y+zDB8#@@!ur zA;U@HQc4@;`=Qm0ijuH$SLT11d9O`P7O^n18-J@Sv$>Yb*>y_h)A08%&0>dG9uT^X z_zKT^51o=Yj;vR~w!2@Rgk#Qb+fSbUmDeo&?e@^ycjUhVZGv*Hun1#FThmDVJ6#gHtWajW zNO?=iLc>S#Q@<=ik5U)&b#cnD+^&1AeTIXh%4Vj8GCKYH%-Xs2ohi2@Gt^RvjdW*h zU0os`WKEU(ZRaQiWQ7Nm+M+Z&$x^|#p%(>>$xMLyY&S7D^w*g(}p;VqNwkyjPr?{USRp2=)I;O*{8!`IUg_K45;&ND1%D0QafoE4i z9yBNN0ZKRion^4WY>IiOi*&13FY7&w(nD+huPdqlu&&R@wajVfALtbq%uaS|8I5Qh zoU-J{6o+7#;e@Wyhpm)1VWZ!4EeM4xi0m)jlN|n%G|&*scSH8SCLZ3r2G8fCrX@_) zTI|j}hYEgFBDMJH!?|F zKATzb-|*7+>&yQc>}})m9C{%fK|vY==itLV&IgL-^gR%3C|SjFvFDWm5aeX5dN`pZ z%u(L?3$iwZOA6hhm&GXn8=hv48k!LGd&XZi)Ub{<;x5k(r!pqHsn36;@che#)IZ8F z_Nm{yyXCr^K1BIVMiGb;kGCn@Ql!bB9`o;dLLx%5Y3dI%Z(R~NVVP(YOg*D?n@R0I zkCNEbba+&>Fby0l#2-07ZS?pPHgioBN1GK{EsOTac%sjtFS`7k&L2D_-p)PWSN|PB zvAp}zLEgEPm+%Eyf ze@SFko-&Ag)5>S;?5p6wpIjy6kdylSePhE|Z?0W*o8l@vLBS&;?PF53!?ten%^bs; z`SOnRY{*B-C?Nt}J7(>j4dU&T^0HU6{8Fj?x7FmsO1#i6MaA#RbG~oWI|z8+(T*6% zsS9*#zsS$TEd5;fg0h8H-utmFoz|JR{4ZFfm&2~CeD8d#x|C8$nIrZ(tZB7XP3&Gj zoMgiAo9p0P%lo*jw7P|Nm&o<%{@3GPtE$Mm-G5kxD zsRAmj(kRAV#9WIeK7vl#;ua&Ay^((cJ@viLHqes`W6iR1>PC#;xrv(mWY$h?Blc$g z266gz*@(MV@jWl=+$F2e^At!`9zIk1aK!&$hO2)4^(s-irQ}tVc8Z^jl`nck7>9U5 zlEtif+8H!EnZMCPp4&%7|?VifF~|r%+?} z1tmKT3g#$m{(mrFEW|MAsAR2shIa%|@n-yfZJGs3CS+G?4OatGm3k}bqK3P66N&9e zuZgplQ$*=IiALUt;2dM3C>WaZGJZ8LYNhr3gmO2a((jF3$EW@N0NIIv`E|t1Jbc#6 z{6x0ogfdxGI?P*bCV|K?o>r^Y^&81M{){SJO6{OzBT)-pmW=HQ$xBt+j@d*!{}D={ zbYLR)XD%15#V}53D_WhhWwQGew!)d(@I)W=X?xj4Lm@^1!A$e?lRyb)s*hEVcyXVL z#&dFsuu@KdKIoM}1lk3CeH_VITD_~$mnw*Adu7rMY(2wsu&f!UVm6PNu>%eWAwZuvPi>3IUCZV{-LQd>yu8-Bb z#N4Eh0sS;(1O*JgOl&3!E=^8lajgwUR>sEm>yUhVEz`?_q!b3pV7`_iSak7i_t@^6A&J0j1Rt2R}PM zM?cYu@kpj|*Dm}tifbe&?1)O_bf3`^i^>kN3lkPPK&ATH(OVmgq(5>u^HL%q_p4L* z--r{#YLtFHi&B^;>BU!^bpMBv{m5=zq-vbpZNJO1z0UMsvB9*qYFyB5zu&U`|0i|{ z9?l3JZVIYD7VM2Cj}5h}7Xi8H>8P7xWH{+U*qCqpMC1c2S0DsJ8zR2l6qGshRlBEnFD}`Tq)vwQsggZ+1NC%t4w@Cbz!p}iu|O|9yQrvG z2m8z9%I^(QUDC@$`LkDk+xOm@{-yVpLzdZ|H)6y7X)Z-WvZB9OpDywAI}TZY68Dc$JS5b}k^dSXFw}@sv%ZruSED?a^wb ze9(Eep!}Mq-mBweLtAO-8&_C!FURYWsF!vZef->if!;4a{IctT;?{$w93-tPcy3AM z^C9B%F6N<++KOz^QqG9?(5kdk;~dkzk6L@qZ4sx@uFR`)$&rFCzRw@m84jDDt@&Os zbF(Gp=!#LQDT|_~Zz>cpGd_r^x9xGR&+7cv%-F&Y8P?<+r2qL$>85EPA*%89h>gdd zS@yAD-8(hO={_Eo8GGeycjshEkA?yr$;Nk4lGDR~M^@P6kXW)10|``*kcV ze;c*e{;kBt0?pX8()uVcXL~k*{au4}L4nvGZiU z(_O-CDU(BvzRi;GahwivtZi{|LbR`aC>ghK2&Skldo{2l^q4m2mo^UE% z3WzCxt#>8N_HefRWNR!mz;M9RHS?WXfm_jVlfmi?pWo(9PU5b^e7c*v#NmcVr&mas zrM?rQhhG}lDMaGpEHA>uJ&old1>Sla9lXmO(8!P=a-#by$@UyjD$^R@>N}KHt&Yt; zI{wRuPyO7jspJ8I>4&Dmgf({r?zHB=^qKbF&#J2aMbQ`(sq8o+{Q|Zn$Yz>Y{-()} z^Mz{2{31LPDv#VDO~5>ReKe)4-H574Z~0826R7Om#i9iEn?Dk6oWwnBtY`PwP-i(A z`9H)vpKL5_1lQdhmaD3AQq5>hg=K2p8&f|f{2lHEsyN60tNkmi<^ad6l!sM$53h=d zBo08j=WHp03*Z|lTpc5GH>nXUkt?cwe9A&}#PNG4u57U0PZg6#O>T!>WvZ`I!|c(x zUB<@P7FU|D0>^IKyFK5@fG5>x>VEBe1S$h6FEx=JT_D1^HI7RD+SPGqY6&R{{)SR~ zlYxr?wo!`;zQ536Bdvt}@&s+A2fvMZI+3n1i6}9UhB98BMqLPA_+b9 zl@^MmwoCcWLRj6s853z4K{*&58?|oL8LiuI>=N$wL&lps*v%W>>v}><(GuQ>&|LFM z-ifp5YkmmXyr~)9gJ{~|q3AQWmClF%9qQ_Q&8Mcf11^)xK0)PncMaLut^A3T5n|nt zAqgJp%=4{V`G1Nz(h7Ofm^|sJJo7`|=HckgK!n?~DPG+T8Qz0h+Texg(0wdhDB{g6 z?`>&s_8SlMw2+VK7e&459>8ZAZPY1xnUERT&>|<5THdGzPgYh*26yC+l<8ZxPGUs2?XzrY3T!Z)?mqad6wS1T&p*} z>j9o;L)G)G`?4($S0=VUAA`us38Sx{Wqh_+Wdh=JWYvdexp?=rzNlr*3jJ@ zN#~ttzR+n&@l`wW_jeV0-Ue!mXgU}<4U1Noe)ixBAk|dwuOj#$N0lsLl23jUf*q=^ zM5z-JOe3L$O7kC|gu5DB1$lV8D^0e2K=fYm?^w;~jImYbK(IbRYCbKTBrGK2FILAc zWY82t!>GND`03FLjMOdGE^QJBrLSSDT0LBfJPag{^%Lr#e?K zPu?ycQ>jokIOT@0BO`6fY{1d@x+3TZkWsoAnCtt)MDNJ>?*#CeBZEaQp08gLc`8DH zhoW4AT51fmN#wgRwk*`39HzDzYe^3u_0?W`TAznBRM+n742pkn1q&)C(RXe z_ys+3*kg4ct0Cf4kRJH#DRhl{j_;~kP;>wp@OCs8*>Poo2YI&fsblh3E{Y=dS_}xe zcxJjnIbHLx8gpg&G)2u=(-1`AHTRaOI6chi7s$B}>6;sozk3!%#XMuDtn4uMu_!kL z=nIH>)jX_K=Pgygv9Xw-qgQ<1VB+|JN9MRTY8j1519xsfB8pd_>xuQVh&Em|A8dvm z$nn`VwTHk3bIS{U?!zxZw{Y& zu%v|m%ZVO|i_9v=so6Czwqov+xT;tsUNzaGxRL%c9VMQzq^*&v>z|F!>*qPcvS)H! zUzI;US}JjzX}vgzxo-8+!h>0gGo;uf=z?Fi)ndRbL^D*mESp7%at`wSSJW`GRHWo< zuvqPWR@2yzd)urRY|b0`A^V_Pm-K$nuKm~q z>!q_FXq9xT&NVN?PLIcJ1{5Q8;^cRrv{k+kx9;BeGosgDNU{!AQCeMhQ|@^`0}*{8 zY5ZQhsg?3NEmtzmil96_q534^3tG&Y07|5uvLn#R;RJ)0oT>V`!*0`fMNlgvYtGf6 zusyp^x`U*_U%q=qaneY>xU8ss^cV#}d_Re#GN*;!QX@>| z1)HWe+VLzGdhluOZrUX~^NhLJObD}gP_LtskQ}A1EY+Xz^cEcZ!FE=fwRdSPzF z<`yT@eT}L+GAU4HDX}O|dy3grT;DBB^on7CXw*$4#Zqrz@;wNKeJKvBIPAAG$^a9e z-6Nce9QGrj$|a$yBcbXhp_(9}S|g!4B}w3SgzTiR_K+l49;8EGo*5Hzphz!YT0#vf z9%a|3I_X{?sv5cOw)dV{{)O5Hxtc6w^c$6H&txvi?*8Rv!($m0{o)yk(j&fCsVKT5 zt+7+oXvSJ7?oxdT`G7{fm!)wwB!D9()G0pH={ZY5@ZZuEPOMFXN=jj#%(_gyZ0=O9 z?&m?>jT^lFxwHW|+Sk$S>_kL^x2zRdiDc&j6TKmr=S!$QMbWh5PrVBI-l)xoh&V?7 zjAU`bdDTviAr=L!-qm^G&lkuJle^l>?@c8vkwT(N$il`Tm5U9el43uL^gps}(<Uy^ z*S|g*{6&lCp);kB`39dDS7)iCI7}{`FJMvUEF@bTT{R5qTaRPB(Nleoq<+q) z_BQ+zknj4D(|e#o zMJCV>6&QmaFd?U&#_2TI+eu0OE@_Jmxrfw?i?D&zQyI>qU)Vsr*UP0mD?S(szw-GL zel|KRyA0qW)^W-|a2NJ*-M?^(&dGXMe~-_{I`8;8@={(zd$Ll;LGMqa6R>L=Wp%k}#<_myLJRYhsalQ>ct#;!^d67OW^6wELx< zwt4EuBGTW$fH`c{FnLSWAUb7sFhZ27*H37IPJf)t{`51SEQI#MwcZvMpcm3b-j>5eN+u&$?C!aclE}oV9R>o4AfkMz0NA2*Uw5I03Yy0NU)b{ zAtVV3-^4X3BKT_0u7O)N$(UY^PPF!i`)?vP7A3aF8FADjQ_ET#Xy*-PuSRW+m$2sf z%UI=H7UeT$uJ)eqMh_#+EB`w!9td&QtK{ZGRWa$cm79Y93)v^5KKQ!0 zZiW^WptI>ziX<4ZzG|yticTd&*rUphX}JW^gwNr}9c4V!M)T^X^d>?`dlQ38qH3n} zsgDmqXKpdltdBbI!RvDcs@2b4yfm5W?kvYi2?ezn^MSz@#vpFrX8~ zkSm1sZqIPW7AEWF?e)1~8OK(fOjO+U&%>s|s8Kmm6ItWmD_`$ zmdLB7{KQ<60V?=25MF)n_D4nBOx0QYD6I08Uk)WGHzFu^MM%!2QK}j`V%wLDUNnjm zO5{6*a=laKEJueY!yEGXY^M(s=~Z?HpcW={A2H?Iv<>wpv2_)b)zpb5X3gLGO_zg$ z7Ak@lWWcffq-lL!_5Gfw%&wUtL~$q?>H-Da2l5lu867mpk0#z_N6_Tij-H8cn?gl$ysp^ z)Hru4gEUDn0}FN^Ws`e|{`qR((KXiGPn5@gsa`$hIBw)H`uPQ<5{cZ9J0hp`2hIJq zqQ~gGAZq4z(m|U@l(14TV?jH)$Ta%O%X$MwYBlAi%@$IsYhB4bKE?1P8zFUOu3tzQ znk@AwJ~KA98o3l3m!oLLs2{@2Ej7kDZ}@Xk{SrO9x9(GW1}?}mey1#r{jnFjO3et~ zO|{W4^~(y>9DmXvSWMMJ?iug&m%?{KS&_!6ifzr#7&-xKD{-|M+S+T(pyQx|)LvuQ znJEPI{>hM+>izVMj zxCwIEF>N&%xWRaYy6;L>SYh>vsk6Akxs*b&fsaY=vlNlT8jbdBRmz&s%;-K;O;=BC zS5K*pWnM=-cWECZ0ZrnOa9WN(=8p4j@$XfMr?iO?eVKhI()HY+5tNjkbs*O{N{SGd z;K+rTrJu;HyWoLr`{CG2?iJm3FZ6fUZc|_^B=IG=1Ksve4|&U1i?J)UFnwI@BU&Ri z9mSn~|IzIW$*3ih#YoSV0v|6@9sN__UHuw+*Li_OiRvKdq6TLJP3PQVIX&bd!vO;$ z5$OSgY4q6hI>P<5I)2!})m?~6nHF_Z&1WkR7A2-Pu8hP*CmN}#^Qg@++|7id=2qYL z8J|d3MxWTop^KUnm1yRDd$rqV^2?-Ch{vILhCtv%xI_OEp3KSeLF@h@Wa? zZq3nA47%zb_5Fg_J|~g>Veu(!6#;d#BK*h!UeFDVW$mK=5h6EQE_ztYp zSYXsvW$Jj*?l0=*IY(7Y-M(to)^4T;O3z;~B*)KQ!n zrKGjQRN%Pn(%k}5GI2?mqd;^*1s8qHd$<>#@;_3<9}cBtY)cPVYHwrNUY-pU*~SGw zkeVOJw&G-vjVnLVFsz?)!Ca+}&CQ-Fb;Ahp9IP>O#YTs|!uJ&LdOeU}#5LTBpVVBb z+sy&A(BIXIw1~y)d#@iSJ z2R7Eq!}XD=V$4CPznYDue?2Fe*Xtpd@F|eZDa}a*xA*|;u=6r*#hc~B5;$UE^v)c? z=7hm;yUODF%;B{#HC#+I3tY@pd-kb!5T_|q9Oy6G(LTiZVa3#71T02<>D1g%2EYEG zJsHLroM3N?BgZ;SRv*v@F$8ecroSchMz3sBS9Bj2KisP^zn~8tL$H* zFitEF9rF848dG-}F}1Fk>S~K)Bsb-Z(AvaVxq6{7 zfDT@Ojy&zOa+R7he7%!46W224+4g)QOViXmv`-_JbSp~16vmR6+ZWxZ_*MI>Qsl<45(UhkG%!t4XK*Sm85D-Sk?cBgVz>i<4~2QUaWP`x0#<`iChPGbn_ zf}HxmNYZ7@b1oIWQgi8<2ZXP8i6%}{sGA?IT-q3-oyz5{lhv~g$a}jb7uHmgl!_&q zfLh$vwvCJKFjTSOFRUFVwbS0CXXf<8sF8wvuyJD7b8$afal^+fZ{}Ke)^q=p)e^X$ z5})9_>b%a0Wnp7k67)@u6itqpMjmT(si=v60;sGaRV=hVPxtW!dc3&r2NGs(#gEUW zOTN;QH=iK?X5DE_8m8WtpTLt$a=O7<2)#%J3ztPt>~~zB5izJU}?hro7L}@)nP)uxR-&ty(9J<|^WdBY!;o@mei=8?CA`@pFO2S9`LiL9;CHGs8Qt1Cj*# z9Vr~HM>Q}hPh$$)L3YQCHl}1bN%rx8`8)rg2z=*QiZ_9tMQe&@=@`5#ui++Fz_^19^w6hqbGuLL!Y%xybHA1Q!K3X%S$I}pByI=?@ zetdsJjUdN&^}Ve17v18nnNPd7eQ0DO)5KuoxS0@FJ%#!qT;52FC}=bb`E`Jb-J8v` z!M-K7FM57bfXlM4nL2}&$|-lmvvPcD^#8>T=D_#KUka3rrI8n?VH67Wp`_Hr`BQR{ z=w}*kzV&nQq}@(c&ER{9k280Kn*Qd+q>sy5)WRQwRn`5CzRUPtA@52#0nAh0B6vBd z`K&{f%l)i>e`9=o`dw#?dHnT~E#+RxsCW;GE1#e@n&BJyszSX?-IrY{WXnKi?(5@= zDj#1|ig9=CWa1xX52Z&xu2PW%ADsRdHhN`Ib(vF1Dz=GJr7U1qZmbmkcX0pIPwpis z(l)*EnEF0}b)eOQ1&?J^ODf}>=^te(#6Ci-j)RH>CxU>%hT}2j z<6|f>unS*5zIvp<)o@=-UZ$EYm|CN(9?*L(n@E$_U9B)jT~{lNF_A|8E%MM--oy3T zBj`QpfdEV`Rv+;eRB0U^e+Wos_^mByXcr>DlTlKD!{VY)E*X`<&j4Y&e#0Z|>rwz= zXSKqUl*itK<}S?<3lvTg68oCW+B?^6S{*xl21Ay!e)UW2rn-F-oP2Ng=RiwI5rmQto4^5R|CU;UG#5itrDqK+~EFwmW7U< zn7>7`KjJ;=dh@CiCV+~C7}g(x3^T+URUAG#abl%TeDY&MoGbv{ryS`2>gR^v^0bSP z90#Zi8{(!{K(V{{GizN2i|XRCar?vyfQ}P`Px-TQafTN_Wf;^&<`Pi1Hcg4SYR{@~ zJ)-|7he*$f-lMxHf3J@8XIGofxi|fEtW1@%0>eES;zcSz3xT(1z%on~w{?;v=&D3+ z15-w)7lRJ#hEV+d<3!$!4-N|2|z1jX#}U7j|DZdTjG5t|l4! zS{Jc#Bf5V5sv*Uk=&PSmBdJoDnl?MXWy&H!FX-K#CAz@iqH z7=@1pc%g1e)D=8KQRF{d#U08G%w(YC{z44!mt4&jOk6V95(7~7NoMZM5^6tVbc%2q z*7Yu9(0788abS*9H;X^6bh$ZuN*tewQGEo2WS`Kqd}ymqHEorrIjBolP&jb}lns>#LD8C!hvy{(wqBwvIVcQx_iYaojH zXrodua(y&5w-EiQgBREFqK_WU=)h{YKv5w4uD%1ENI7{0W)XE?@qTcsL19--otWm> zG`*=>wCn4xem(z+EkmjW+=cW0pC5B(oiUsM(8`98ac3sm-u zDKE$d2(t9Ds@OR!WkmB;xwGz4qf+CLrb-PbGtv1K>BnR-czWXxb^SxL@D$e{)72kd z-%(iCU|ZF|S0w~>yGABRj*E!}(H*AI;tcZ_>9gW51}dUTkko80tnor+KVkFlxbgG@ zciGcx@mdVVeGE@{$Sd0T4mA0y+W7pn@$^6kPv4i;{VWs(|B4T1+qh`Luy;?StRbHy zL{U#vG1h@Z1w}oyffyth8Pbs3u?2n~c7A6b`!?%y=f8r^PlJGm_s+>PsZNGu-ABe- zj~Xiytic|MH&bn(?C(S{35(<|8hg6^`k0kDRi>Do&$4{V@Vwwddp?}+b`Aw-!j0fH&kC!(YJfemqRMx&gej^hyrg8 zVP$o>!kIZ=zkb#lqv)~Sy675(5Iy`Ut_;rz_RcD(OG__dB6Cx7HGrpZGa?UD@uMh}?C$iJuLB#2Z|D2-4=8uAJdyEGpa} zzY%2-Aioe3h$4!zkQn4Q%uE4F#uAvDSpdXLCLqRT7OcXp?Hu{4==UA)xqF4b!B`r& zK}>%`ewU?z8NQy8KL{rqI2L15wzIIoBKd^YwE`(n4xt1IAg3bpaJo@-6AO^jGJbqc z=|MscMR_10cjIJyPA$biPHV5?b9&>C2m@l0#8;DvT2qTRmhBI@%kqa&vRxn zWxzx;+=RcsR@Py*3Uj|!HmquHsb3H)>!0R!v2v30B7MVjwtEuUv}vnpU4K)!Nez9K zPql5T+eMIouklrSQ~&s^;;xEP;aC3=X2N}{-@a-phK&ZVAo&(s0_39*jr;NH3F$>1 zezJ}l#{T#}VPt~*9Yv4xx`RFbR2bd}BAj@85CXB9j~!n-dXRYg`w5kO zm$tJ+ZGWzQWnpe+H$K?aG1XyGLs#W#r-+i=xJQ2*C287fSl6ElvP)Ox^V~L75M-Au z#m)_7l273#SS1wLJD1)3rR3U67jn;rCqZi6`g{5xoS-pm{p!y)PZ3>gsd{)9F|aw{ z-MVDkC$>(V!r_->oKKoSkMHpYEZyaAPJ+R*@oWa*m1Y|-M;JbE1B1lOLojA4eyRln z#J?x2`KUNxGF~QZ@?2KB4hEQrVN7D%B8NEgYOORWewlQWfuw-?&p_j5iXVZccCu3O zBd|`JW7Dpi>FY^8>T2L`1|!UcUcn4u%6=_Y6CsPOcTB_KhP8o$ozQZ#wp3f~ri8Hp zFYXQNVkMRD`-Jo`Ly}NOU(!l%VB!>|K_SP2>^6_ zjZQd4bylo7xAEh5?2GGQ`js*(eTLq}OVVdwikbqR zIlu}D2Awj{8bsb#tKqI$(nZ8nD^s!tnafvZKiH$goo<$8yP|BwD;NZ$`Q^0&u z7}|K_6C7eN^mfH9IMjl(*%}X$o5_Klr+gAEa)7_ktono{s_Ced zz+WUA*!n>y1+_)&BWBx`XT(##Ky2+(5Uc8eH*N(2+h=J&#ice8qX`8?H})3b?7)mE ztZ03-9fGzS%M%r?LqW^(%$oiqnTj(e!}zbl7cJNnn68IHWDT8@B!`k&3@r;lnQI%> z(lrE-??p^;6>?v50n8@dx|VkWjndf6oeu zey!h}A^8_Ftb8y#Fj(mlQb3yB;*K<=+gFns}aVl-cc{l@vO!sjq|0cl3F>BtM?BF3(Z`W7fW=(MGbm6Trps>br7wrLhZf&?j~dN z2h^tTLLZwt2bhr=*(dBKfO!6f-i2QLy{5UE)FbsUST^dL@X;WZ#ptqHa{;Bu;}{eS zpPGLa4cLGyxd|Cf3f6b3uBN zg{E|=U)J7$YzR%M)(Ku0R#xEw`(SlCpf#fKs{#m?UtsqvlIej;h{CY7b;Muct>I=I zk8Nwd?2~Y02nOyGkRC#qV+;2llLl*4IdLh?CycwRte(`TpGoi*T66~ciV8LsSal4_qc@8RZ^UEsABtdUN`t*p9<9IKVN4mh zt@!Eah6JQBZoBn%Lc%pEwEnB9dl971V>czy!;+|JZvFk{YfNpD z^;LPTAdR3TzjVK3ztl#JSEv5FD#g~;W2Zh(o^AhFx_oPP;p9rk?85pL>#ZNEnSt(E zL?I*QnZB&VPs_!AO51Z7IoRv*5}BwS?5XH;`=vakH&s%%v$Px6neCvd^!z@NYJT6S zr5an29Uu20v%<4t^G5Sm#|k0o=HovU5&=yTAjdJk!6Qpwg}qBQofCHsR3DA2`c5+r zV`{X;xmn(6*2K7|iY@gnPOAkJ45wKi6G z5scos&75k9N@XZIuKba^ZEA^`dC{dAYw*F;+-0Y*cf~tUsl+vejn~+*0=MG*)8UWe zidSM;pM|casKoDkfVlv2@Q$5Br}=L~0P-fr=m0vne*>j{X$7F&-yyNK+vlXs2H6jpok$9-P|L>&0eYQ6>LSi_^%#V_pXaaPGD)=e~-frJchjpAQ}sqkI7n zE`rw9H5?RFhdR3@B#(=kFtEPiPAYHsauk;YQVWe!0Z3Tca(L2F!(PP7w}ZJi*0rX? z@y2VqI-kfzRVXTzi%z~*Feu(^kF|DHChuKQeHP_Zbc~@@z_&o$xI2fgT~u1yDYv;1zFupmgi-foT+_1oOaLQ0XZ{ua28= zaAo?uczXN(&?}9cs_NE_>VOGVjMnDr{lvma)fj6={-C?X|EXZVj+k4Hzpno_S}~U> z0oqYb6{z6>jv&wNvgBJ1?1RgP!3*lU!Sf>Rj;DDHwrdjBX9ng$ag&ee(yW*0IZ)3h z11UA^K(yfGouD|g0f}_$rF|d#^@tjf)Z}}R>XkQdh`?>uzmWmkEa&{FAUQUn+N)$+ zHIpCyR9JvB43r-Zo=P_-t0f6a_yrzceo?EccrQ?-o_V!*yWF9I_}F{VrNlL#owwW( zuPC_z<&aOLY@=pUc6L9g^tJW2-{gAkR#5xLyX`c^ts809-};ovpZT zPrH_sUr7F=n-ZDUhrNoYU86yV-<7OmChu5&h~3H3T7Mv84GK-94-^_R_XE@Jqg?&B zqZQVvpm4|6f8ulQkXK*pDiE^bxptwWuDouKz-DIb&hcXrPgmj4x9L(6={LWS=VWQI z|KQdgd`=bk+}dvKf_0^t`SD}3b$ZZ;1hsd81ncn$x*g!F$vFOwwV&KNy4Rtqtou%2 zlmgpii|%7|02+o(b#0W~as)dvY61)*bOpbkvq~h`kAa{Q!-1ey>uu&QRmBbDB z4ESu(R1HOK!D-#r|6V?u$pR;zg(sOz7T+LgGLa6K?J||kqvj3xLogN#-9u#T(WXaV z8oPQc>2F3>2Qq}Ag_dG9SKZt*1B1~3Ma`+g)J)NbmjG~G+#~{6iuO&vW6f>HFWrd3 zFL7wUq27-wi7eD>pJP9v%c{L!U51agN>}$n*Y4z;BQbs*mvX(7RMu&-QJFbH8qyR?$PpDacyOz6CI;^{Y z)_`KAz-HHA4d`{kV;c=!`^< zHn|TN_a%o1prD#5pRF6VQ6!+{&!!bHP3ZwqBuLrtX-eX^-1QOE2Les_uIZ zVBB3PU|eB@Tuf##Lxdi843jKuRGR&e^@X2GvG=oFE?C@26)Uxi+~shX8gl~jIXV%y z^i%**yrb-V3Sqv5g?gibF&FXg)R0(C96E3(2Y~-xbl|64{I;F?l8I)0kiErQ_qCcn0Zk*V_~ktx(;5ibehukMuR2bx^XO=2R|ihdI6PhGkgb* z6g@HH%>+1U??=ql>zq&G*xpq$JluS=&`rSLZ(t+G8%gjX{rx4ox4S4GCFqZk2RV=y z>ptg&8@W4Qf~_9kLFYuXs^|i6%aWL?!6`Pg;gyPAd#j+9&BEm2Y2VnIIPy&mD4b8o z_G!6z$uB^ZfAf11s;u7XiF-_XR{D|&Qt+EjiU_vH4!jrK&$@U&3Wtafc9Bd8g}fi^ zda@uCa%Zfo*Fq>{wWmuRDHQS;*Cp{BMYUvp)EAGUIxs&vNJzOCuMQ7aoPG2;N@+ibjO#4+X=y9^wV8QuX$=xgy8 z3M3g_eG4S5AOuPt&3}m{D>d`k$0{|Wkqcq^Z&j?YihBxtwuRRgSA)TojjEhh*eKdR z(uOFwLKq*n!Gph6KTmdxzVl)yQ)k$hNLzIUg2*Vgod^hy&xYofsGW8wJEcQq-^pnx zg=5O$uVCnVzU|#Zkql4}8V3QwfAd2j$U>d|QHu`QZ$iz5`P=-bC;q5M&+~tHF_~L2 zCDOwb@h$jjG}NNAW#T&5z)@O|qdn8S<_C<>i%SDX!8+(Zu@Irqh3<87c5j;_j?=E* zWyVJVm#8gp9}*O8kOS!5^SDF@>^2D$yd7dU1+S*fd*trZ?~a+gH|n9nf(r9P?*8%^ z|A8DAtSLBOS;h5mkN=h!4Ig6cvqm90afT}qrskSp9|J9yrx5_o%cjA_CSWpohYkHT z20u-W)~Nq8xk9VN{;jqERT|oZ`Fn~v77KmHvc@RZY*lOvysS04!OK7CR z!ooHD?vOR+?Qzg7TDkM`jJ-pues#k}9&Iora?;ScIt2)Id6d3yD(usH+<5BqG|lGn z=+6N~%ZJmq2Sj9@e>sp`d$WmGPM+En$UWvL#*;V#PDeGgfHiGt@EDEg4Y_Er$|@=13={ zYB$}oxCmO;qUFe$j2i_LrMzRudy$sU^7Pj~(!!js23!L`ZutAPE<)JwV@?ou?&cFH z|7Td8uOZ|Bgb0u^Ua9S7g|{n`+oymw!|O9G(aZ_vk)+x36u>G2)mp>In9Zzm*a6n)5dM`)VzGv%Ar3!}!vzpx` ztq7PAy}>ya=zdEza+6JckShE`b~B?6=`+UcR7e2|xlRjfyysr56v;3*-6d-kcna<2 zaJHL|pdgZvxGc|3bWQHRsl-i>2~WNWG3tW4rIvPLMZ3^Xmmzfir_GZbd>cm|wTk*v zJC!#g^6NgHms~0c?(yVTS+%Y|B%^+1wgLPAw(i@-*_bbNpm=8KPP(b>SP(5TF!%0G z59ybbO99=)ij^k^bR5q6JFo2`^2d9(qbS2o+2628l3Iq&^j_y|45}*TQmX8IC5NA) z{p9M}i97X0{uYn?b>XCx^F902ElRudx92XTyCesC${DvX^NK7)yChjD?&AE@&V~&m z#r8$F->D@h#qn^n=xA1<%62kRir;YQeeR=``iYH`^G524M;ydLfqY&lQdAS~`$n!xU zE=J>(L;5%hvT*v&-}7_XO;$;CD_IBuj!Xs)+oEV9BEn0ZK8NOR+to7H0~j`xlPpp* zFZPTSypS-~8YkhrBt2^I;bZOE%G#%nwfT{jGm+!9PnWO9k_P3B0UQ=N{8HvB?3SGX?`BWWG?D@2&B)>nyZI`6oO$D_HKDPSp1;!G;${m0dn znsq7rR%WTT{Oc_>0-gTWZ|<91YWB5!OlSGn{8IgsZx_*=jkea0p-MYH39%5(97c{P z{l$eq)kr;xC%mu_#7jBqXx_s3_L097uLQp!2)}U<1?J%!IwYjur&y>UW8UKIDrHN~ z3TXYsSC{@j#@+%dj%Ilu##x-jJ-EATf^2YicXt8=4=ziP;O_2Da00=CyM*8g5S*Za zkbFblYwx}J{m*yKGu2Pc&dl!gRQGmQclDTkumhXe{tvvtE}+A)*WOaPCdO_=l4v{l zkyOo`ziAPEq>@rpe)vpu(R6gt{Ez#Tv&^39{}2H{V> z;+86Ry-vdamXx7|9b9Pe4TQIb{ofEvqz)3Fq3MPL5h>8x!@ycVld&jGS)sn~A>9Qj z*fE3HM6aom1#cpN9GD?}8TFo0!4(huGyzC0@qh(p57Ggqi3dJN@29i|K~#tFkmUXc z4LF4ZJPM*s1MN~VOEfTjcN&qle(O-vhYBghc`Et?Y+TPMs4#?uYB5g)BBdL6f{jry z=oV=UVv7TGTenY-$0T`0txcgmt2?{+Eo|4Ft;G@e6fdqJ|AA#ipvaG3TwC39Q_piw ztzr~J_^dmF=q4{-O1W<}718B+Vhy9j@sJS;=5F4?49f@tGe%gazI1#v9BYz!gKdd` z*cY}Lv>CNY)`u8UQ%q%e^WoBze<-r)zF?9cMR}`8r0ozP{5{>_0@s_O%F~ z=2Bc%t`;3!e;R%x!;3s}|A_0Whb!Pdn+)5r+A?@s&ocO& z#E`Pw2-pSBfj!TT$i(gWHKXHF(WoN@yM@$=9BeP34@~uOZuWwd8#$k!SEx|xTIjnD z@8txW#`^R*fgHxsoTYRvj9p3lNiMeEVSnV|z(g;Io!NeeV-}SUq?B|2fv5E-2zkn{ zPj6cpu>FPEdUl!$#Z@<&Z(DH+dwkBFKvxs&Y*OVeFYSmW1DX`Zun)3~^nX6+(K5Qd zu(Ja2(oU^krjXO`pXls{fh~iQS(_N=1c82&^`*avK-e4vvuT)AJZMuir2puAmX?yy z4Yi5kH&$OOL~S-PW+(g|W|LU+?2$a^1uuoN%|I<)=LSw$K`7p5ZQ_csHDtrqq#UHOo#P0lri5Ykbg zC8NkKB3Z!_9MOC-L za|f16%a)Jw+GDkrEkETK3DiG)jAqs|(5G{7aEz7>^B@-!<(l=3AsmohN|QXgO7X>@ z#$VJq;FJCIT2t4gVu@0{Abu=LmW*ovm&R=%ESLyNQ~G3JZJXg?^f5We!F z8YzL`z~LP!#Ip^1stbFn+QKw+KjUhl5QBPRN#`|x6t9U1+egaTABYY;J*h5IT%HWl znepK;T#5RuEKv!&773Cuyn*b^uI$aKODZg*R~Wb$k_mfv5m2Op5eud$&~u8{@({*v zRsr(p&wHw0LJLOHT6nndzBl49L{JO-#?*q`G$rp3!;N>dl(Qis>~}K!ebW&$G-9>U zQ51S6LK~Fo{sCY7LuJpeQZAhIh!eKogP+IXJ#l6;FTQn61k(&gj>Qr&^?(Km3&IaD z9I@?!WsELV6Jh$lsKg*(W2%_b2D#?p(7=ZAq!OW+av-RZzr&!0onM0Gj~cJ+Q^0;_#JM0-O52`}dmx5vgW?18b9QbRSjMCCf9lP<-+Fn**L zkJR17oN?TcMht`6Y|+b=H%hUfXR1h|IQ(ug2gq7~f=?_NHT(?=fRmVBd5MzM9`Xa* zOpWGclq?=TBDoPVHEVzeSycVyj1y)5tV~%jNa2YQQlVJ2xV>XrA{w>rK7pRhd)|l$ zJM=I3YOWB*x~MW5NuTGI6yQ-7^B+;F#@gD_%VOzDrZ=YOoyZonEz^QrM-(w;f2qac zsv->!+u5r!qq0{h&XiQ;r6GFsDViE~FSLTlpkz^s5Yz-kT5z!aB3>?rA*G;4)w^uW0Ns3K=NAKN8aDY#<`@X2g_U z+Be?c_L0^C^ckr8N!Yr>wpXa^=@m^V26gvRJUV|=^QPT#Kwp?jACZ>vEnX_RzqzK* zmWFNPPf|#VO`fD9w>Tta@43?UBVtohz!|0Y}{oPDNN99Ss(3zF~?%FnKxEX1R>I}XX2I))V;+iMbo zQM&7DL(Z4WGr57`{d#c;yu*b?X{Zqf)G9P<$qEU2RF#WAKzW7So^2&jQtC>Ms^>5& zcowoQKpQm~{;6oOP+bE>4H8B`c%chf#13q;_?!#Sp)b`Gn_rt38sXs{7uMqxzIqQ023?WzSVGD- z(p_jIABK*|!SbRF19re!S&|GN@r3z$f|1N}ikig3JmXH_z>7k{=n&n#eQs>C9J`_x zCGn{Ilo{zmD8If+^Nep{>>{pHbFOXC6@;{=CQR2>{ztB@!yv?fh5n;H*UVxxv{hTT zNG5#P90klQnra-Sy4CPTDh!pQ`m7%it{?Tyv?UPmmH+d2#UsqI>`U`Ht}eVI$$Iy` zxDaxLECiMitG-0jDlJ~emK>xSVSDTkVM&wR3^`WH!eiMUsX|}JM@OTYv8$BKHD67h zk7w`Lxro?6rtp9zm;4l<3A@kZ_P#X&;mAG-JMCQsDMWV0wEsSr)qPfPx43Cs&L-pc zMfLO=ZX143N^8ZJpmS9U-^=Xa_eGT-96gB@z@aIuytfUDsyx{tq2_)^L=8=V9STy2 zLFbHcF}DojBBqqqPY)yL_kqNW;?y#lYo_Xk;1)Fwgya$d%P9s}Pu`7ZUuCQj1J2Em z9fFMC_+3mIEa{~Qz+*1v#o@Z6xLJ4j74a})K(!PMGjvCk81zo}$$L_4qC<;v1UQQT z^iFZpm!8V6m)&20ZyocIQ6!{Qv4c3fk&j_zm;AOVf;`rWePLAG=8j-R2bH>zFY%_$ zni(kb-`i%1i$~5(1_xmlO~JqkizZ^m7faARI8Z(y->aP)R?8EEm@M^F$C`p{dcB&x@EuuYXs+oJ=e9+EtRs5J0qA$4mCn zPz96~0mLgdG)2)}74dfi7VJm}^G_~>Df54()4;)AnY_8<7#RpS_z2JGmIrqOqnJ&* zGkXZ@L0KD4A&$KL5n>YlIoh2Z4)qS>G3@eFAl-qCRJ%J2C`s72%(D^q}AJZbrgB z!@T_-WP*NMvdc5?ueu>x?m{syfOU|Cd^Hhzw<{5Fk>BH^y1`xULOlN#{lEa}iY@dm z6{r`QdVs5%)cnUs zk-iP_;l?;#X|4ToJJoJ8-m|y)=W09du^haq`bvvCs3#^~3x?~%y(Crb&6vMPYIbLZ zf3Um--1sB#|Hj!_bpNcd=yYLyGv*Bz3v11Lu|ZUYL)W~9e)Xyou|-4sg@=($g_;f- zJ@*Q&8#c6DB>iuc(0+9ViXcs0`wvnHs0wU8s_JGHbXY3PtSz5|D}Mu@0(iAU>SmcKb%MkZD{fV!8mu`d63B+kVC_m(sJIjQ)FT z)YB}czbUzHaZ#xzJc@#1G#%_kb)~gIWZU9Too=HVxZA1hKij>V;j)fPMNmx!;oMQIaT? zcTX^~B3rodp;qbBpWfhUw zZ|NER^7J2eY*5Gezc%nrSUvgd#`h?>_#fr@Z`mL)fqbxb~Y9P!d@t1 z-@jBtw>@~9*TbW{XbIVWL5$6~V zHjabuCX4i)QLf*4hw_q4urCgtVcBgHK?F0~bT}CINYFKe9Qhv~j_$@&EsXVUB73`6ws&*rA||9?N)K9>pql7HAB`zYPy0O`ObuXc73 zTsVmwE&PP>z(2qI&&ue99$sqnw@(D&C556>&&?_rr+n0OC*wqa0bC1f%YnV+V9S4^ zL|d%6u6@2T@;hHz%N4{b99c(UWqA?ieB6$bbn5e*;ph+#0$*C=`MA_XiKA$dn!DZk zoaufBlGGG0k#A|=G!I5Zt{vy4VrYR43A)%nKiZ!um5ArZ@NKr6E>a4mnBaNf19Ce% z@Iw=;4e@>n`lULOFB5!sanz@2Bpk|W^b*r!mX6B;L(K8SwC~uzj^;o67&DJa{k#myd>Pazqx-_Qj}ENOo;G& zTsQoS_n}@RszMC~^3JiF;JQ7V68zury%Zt5B-Ott6sSCUd?bMyW8+Oh{d}U!H&vh_ z@rtu$Z}}{u&ikZUOpN8*k>6v^R+#{m&ITK$55>Grd=Ii0g_jw#A0J#qe~cNUqfa;- zm*+F|@Rn02TOuUd9enI4PD-KkpRv#z7sakXi|q$e+|!NvM(+Ng&)+05%!%F@8c#`} z!zT{OqB%Q_17Z*m$OVY&Itz}eYi~Z_$WkRjX{l+4m#ZXVKJQc5TGPthIP%a4bqr?{ ze>gWB0gxbIrQF5jzO9sj>oS_{t+6|h#m%suEOcI~2viueA@|`K2?U=u#$%eXvm&4~ zE@DbC#CFqjQ|SpvVl}bEcJ&~PZ!Bf>ufEI=)MaPhrfA^yzOdicQC9jfS^+f?va+&* zki^710seMJzEc4;PxWtl|47FHin!hS723U%Km&&EC7Y&K2+e^`3J8JF!j zIW?93=J^bjC$a9{COyHQfoel;ByEF3==`2;Fye06Gd`;M-Dz6(?L#7NugUCV8)eft z>L<4s&h>l6H8f2WOuH)sx0J^o$)>n&uaOQ4X4pw8Vn{U94I9i%FD(HnPbY#07nqwP zK*FM-=U8C*E@zw?1Ulo518o$54_6`3P3{;UWk`iJz9x5%QhnKAf(agFe>p}axiXl_ z2x08(cw32+Z1f)h}5VW*RA~aAcM=p?{wL`8AOz`wjB-M8>RB|ww07%{K{ranCw zO!IY!EQUxNI`s)Y^=!mBO2RP4b$Dv+8p=5F2Hp;*~1q!9k?m#C{C{ygLtinnN*Q+=sA-Z>* z5|2XsQS|ndfAUoR6EZ{7!7Hu%!f84eI5evSBD`rgu(*GbH0-|!!+HTw0wn zKncvmJ)O?>j@%s(;jO6-LhXe%wXK4{2bEzvQA7u${|741vINn9L;sY_{q8wTS_4nc zRREWUE$n>;(L!(z9@mH`JIs@vAVX{+h8MIiD7nE*5bgv>7%d6AtvT(Ct0XQBG=emf zXvI^o5Sm~V$pTQm-qxBkhgH;nCv7q$YG9P7TYIXvhC67n9CVX@u}MRE7DmqP8{hJ8 z3@9#NjfJke_)OokVfpr!lu^l7J22fC_@-tIq?zN^`RO4jC0fh9E>0>&mWxl!Rq&;% zASmM_2yYRrL1C_r9U^J%AGPhzDX90g6qLi$jz?bt;v9j*)2Mil6ZbZ>4^^5Ks5w&V&x_3e< z7x#0yB(xknOtSJn+FCkXeh!4D2#Q^qJf8X^O?RcD>_MSAW+>?=owoE2(=VJuefc7& ze<04=du0SI>78|=!l!z;nqwaM(kt%=N43n@G=Q{2?{|v7_WqCfZp{Q;pb4l-9ymzo zQIj{P)7_w_Yrv^?NmSS6m)oGGYp5-8Ow8@&_$%$2itQ823aNax5K!_cyX!(Kk-q*k zR5M+nd@omBpIYwtW~)S7S3#41L{8vekllFB*3jW~R6yU}F(swC5ZpodT-A!a=LL?Y zUS!=Mg4W_z6);Qv6R>7(ePf(%1aoyY4Ve@imL{DDci=|Qz+FNk%|#l9Y&H6<2_Izx zKgmkMcRwWB#g=69j9yFe#~e@iPKrciav)>;FJL~YK=7+D*ePil()-TC{_kOePxsgU z_P>B(f?JpI=WKOK2Os53vKCqp>$(GP{4TyUqU)KET4CcSciY@T@fNvLy7x*>#5g>Y zy5^O>sSA8iSfl-aDBW`EfnR-yLMkbictjAiN}?=*@SAEH#OG#ohpyAi)t2PWCcuvO z8P)Z*CC!Y1OvwY4sXtLEfv50*D2`Jlb9Wd>s~vKc_Di-J;jr#!f-cIU$q$-_%oy*+ zxcrY{3zh>HVF+4D;3-k+12Brj!kp+3Rk?4bj1qzt#>@_9NM*!+0y&QBKoFkxHBJIu zcuF^+LySbI-Stis1vk284dw~YG7Qc^e zAH|#uk8}B-me=`fgq}+Te90n-!8%~sm^U@l%LtT#n3sI(%Q|U#)n5A*VqvwcEg73) zX7li3t=gnE>uSss_`rFQW2xl;9g>Aqn*0}vnS6}HcfYmg))ln-*X{+|vMViLDDbAy zXCo=`V`Ls}W=RbJEqSGvXA@LAp?3%LBDcG5h^zf;d(jQtzZ(XUx9%40$qU_+Ht?m@ zXa`yh%@;N}w%n2O=mlEPAG(ZNKE!5J_i`m&30QyIB<1Ou1ZH(EwI$ZN(HZT8?v2JR zw>6sYjAq8LIB?fif4I%>nazB>r`>~gtvQ`M>iq%_N!xp{MSi0281vI_WXgUf}bx+)NECtpY zxv7by+$;t$0hRZ9Nwp=}zp1ZtuD>(8exkmi?xuK!-dU?No z{tLISxCGD^@h{u6HY_*}O>&iL*6{`owItnc3;VHE#tS^5h*~GeQ+x!PdQmnUXqBvx zz|bMwG9V6XyJDGkcKH;D`oi9LPJ@_+1V_%KcwIQ8#bNJ%X1Ma2M5idijnAIw3DKME z2%;zRI?7Vx^+;DKbJ#$JkJ?2&-qY!>$>${9mcU;Wc>|RX_e;05+Q%EcgA5J!zs~8N zHabpa;%l}{QLox09M3iRB5DCL3~`|)iQL}NN<$Y-^JTf7*Kc%AJRI5szdxY~<5zPg zP=UT^-P+Jf0!(p|zcJUzQfzhP4%ctRPkzFRl;SF-HULOpJ~*)7v%ZCyu*&-aEP)I( zq;F=Nkurgv_`U60gx?*#X425z#-694kKTg4`ozPYb(@Avh4$~F+U`sOc^~ZAzoa51 z74fE%l?1~}1)9!{%Ui7I>|;4vKLk%yKdaBULgsJ!`OdmkFR{E7ODuEj;IQtU^^*X+ z)PG|Aor zrr?^S3F#~fEe($x@oSG9M_@|_wt;KSG&6#2p&1244m?qvv+_-eKDoX^Q*BPBC~NR{ zNYpgzCq!A$L_hiKu<87bd7VkvzKAV7d~sE{21F#VJZ0+wr6kILHaTRO)BGNb+L1`+ zB-%XkxlW$I)v?;zd-hwi)2{ak^Q0&SQL5d0)$8usr$P$;XP7camFh-PH<})46}1z7 z%pD5%+Y!Zuor<2qOS9HF=-xRHSmQFopd}QNO;2HD@0=fi8XdF*rQ7r*LG`{$zx2MU zz0~|#=}X~P$*U`tDW*koxdtCn$vtm`BBo_k-bwCTIhJ2t?ks;$(O7url$6Tf=?%le z@~xt7U^Lo)o28+VIxj+@x_X2Gm@CTi4MQ)48Dp_UPC@LotT|m-cdCYB51#gm=&x!0 z^2%JW8oD2tN=-Gr@lO)+m`jSWq8|HaWrQo9Ro15gL8=lf8rViy)Xa>2 zsD&F65JW2#2@m0@5yNGTv&HV@2ex0+nqCVWa|&ZS3zAW)y%V5Fpe)~-2$Mqg`%lS!$8DQOQd-ztY9}v3VTpG?6 z0WCEKpv9&)OZzpK7%+EVw`|J7?51z`+dTxP# zM}11VEDEzDU8zP0ssU6kCBI+W+@7u+*lh~z9xT&C53jkkd|fb|s?tuReAFCWj0ue= zdBRKasna%_PniVm^~h{fPKk|(9}TXiE+iFP%SvOYC*9T<L)NPdrYwC;nt#DK?Tz> zWyqX(ndh{y5t2N)%H3dAm&l*cA=1HZb(F2eg}HL zIeW>Vq5SLiWP+U!?&#rz-l*hKW?Z?lK!in1*&G)hfqMmOX^&iOFrm7^BWl^YYU%1Om=5Oi;(1DLSs#Hn3zfhT31sC6iprXZj*5~(!V9h>a4gbBWZB@^9 zM{olzfhHbH>R?E;`S;oM0>)I1cw$tVZ*)N1A*4sqnlWZ1Z4^BmJoo~L--I++5@-^s zv1TFSOM4`L6qa`)FKLadWh48l5yOc3`g?&H*E3#L>)VJy^o{!TEm8s=|M%t*F1qE+ z?N=zwnkfe&%f62ZTJ`Vbbpw!CExggJU_MecE9t9;glj$cr-L<9Xpl(4wS>&efoNNG zMS6VgRJ=L4@D#eiFm*F4;05zQ9JhJ^R97XX_;BolZzXF*&t-MlXrjM8Q~B&>`=V)# z94bruU^ztP(o9a3PC$sW+mq-IS zGpp}gy6U=ioQ4X3H+873xSm-qMiI3tabUT)zL75P4Qi!h#VeuwveDE7LKNRe7JkD;2Fpz_-i5)*LSR{i>^C=Pn`)m9nx z)}&-~_?35zWdRp2GB;J*9s%nYu_G z$l8%AbzRofu5K*^D*g=*uS^_w3Rs>7k^foiI?TBzF z!_89^W<}A3w2K?fbni-zhtmqq^UqHiB~qYc#n-G!ADa~Ir?@M`{-wN~R8*()Y>$gC zWhgH<;1!j4zE-$`!hApws|-}3m3TI zazqGB6j2K>r5hMsaOHR2b^J8G(w5QW#$&T%JvlUy9h)UYy7cH7pp=HUC`RNWA);Z6 znt4ZLClP}L_%qFUIi>YCXDBah-}vy3h>}=RU~tDHWypk%7X|;$+z@?W1Oq%t4fqko z34q5FRv!gC9`ENd&F@G#cwD;1yUw{UhQ`MKQ#mptfB+A!9{5QR+i+m)BZT?!BFc}> zNO4rIS-9V)30(~Bjg#i_xcE%e4FI6 zp}ZR)l5ulv`Jc+88;gKi{PDm7sz<9q1^yr*f>A_vTa?YNrfdUM=-34W+jK}#s%YnT zaFFtFZ#P)#dC9Iu7_fbxab~bSXT<*jAb+$ZxBq~U#|)D2W{F842z%QPlzG9&ja_t_J!qBj(~7N z9(F}uU3tOePQ(p@vpn z9$b(D;bx+4PL!p29;S_hQXDlcPwj~ZzFIM7cZs=kEE!6X{P*<16=;U>AUstfjIiATaj4cq~1B;oBmGC#G-A}hU!`WhG}Zl zw`2Xsjjo-npV-mmk_>g)5{qbg!*lVv-F99-_USw^H2B6Ue|?sWyn=dzj%iMB#f>;WjMcd%WQ` zPY3s8Xfa9;{s@yA8emqGNfB4mAaIAoGOJz1?+qh zW{Y)#d>Z`Ueo0_VU^&#_Hyi>uX}G_iy~8fTr0fFb>g=GXpmQB~_mfJ+Wa@icMkSdWPY*L-b&Zm8qwXl)!Sk;*z%Xl zLx%06-)y3!Tt@K6L_o+2N{5B|6Isw&CSX~_B-4L0i%9@S0Xr-;shEKe-n>(gi9Su zBn14=%ysZqbcdf?6Fq@fA_;G5H!3@`=rO!iLV@OcSZD+5qLR2*UTbr)!N7&bxM70u zxTO^-8KpUBu<=+^I3s*18FdA~kAnYEtgaJ`2(n{m(+E-vQl?|$ArQmz=kDWT7mOSt z6R=1mEODf2hfvpw$cQZY9U1KpRV z<5NjOf1hI&?}<*$Y8!JHRiDpQNz)%Pl)jE%_3F48hd1`FWlnyY_h3nxMPjbpM5G2m z>3!r2N?Vc%@pVc(7j&T8zs&`rmV^$!i3ZU+n{)-gAP)H-;Cz8o;6zB}^kG0oU^S~` zc`yGCr}SW=0%17ux5PO;3JRiIPV-jXjzw#iU_5uF^75)P0aYLLZm51|1i^axcSaehnNYuiA5!C6baqa46sfjCJ|xfnNUf-M>)l(2B^^^ zox%r3wUnqHNB1;jS^5$M8gh`#K4dK`ht_{qax9b3{YJ71{6u35;0tT!mzC*kS@>Et zP(I4X9&3iQ;xrIKY+1lQ62SOa8yavWh!HRbN3%Oi&@(Mx_)ky^2U6yj?%xFmW%l<3 z0iq*FJmY<9(9u0{mw`4GJN7&I{)tND`b5{F0||*YU|Ix0p5ha(ei3n33fVOaNK#P# z&kDboO?>QZt`s9{MO2(VQi2z|Ck?7My5)YX^J1x)W+uQu zWyCRIqa%hif|edX5=}@Y>uQJyPuX)w=^qdV{Dl(5ALkECL;c!;cf{ct_YiYF|S%5JAH$xMm#I!r|s1Q!q_oI4G8STQ6#w zkvj8{n*XMW04;nxj5qoYWm;Z!`wBN7Fd~Q9FY?~_4aF7I{h4RX)GsuHO_gl%!6=pD z_4LirQLOBgwjw}^S6fTc70nse`o0PzWh?S8%6P8(oDwKwUa-|+4=xI+%+#!+_Z#SD zTPwe;L1#J2B+UQL6p5!euvewKq$fGK-T(zg|&dLW!m$f&m=P}r` z*Ua1GWhxvi!L#Hb>DS~f!LYU*Xt;!183o{%wI>JHVeqAKpW&D7sv|YTec4`8X@W`R zKH~#sMl=R!)z&vVn(VQ#vaO0CqbiKOv$&T(U}-q8JACPLnXsx6Ee1lv7k1uh$HN=cJSm1_by~PU!AosDAVx)1Fu2M_4lk+k zB?y0!CXxlXD~gxlCEAC`Zu*ALbf)KM?NXa%h2?zTTrz%b zFYX|a*V@w6(XC+4zCvZuYS)sl*8IZH)S#YXPz(&voX>KHYq2G{VGv(%DGThR;?JbV(ZS z&+t;EkBlY4OTe9ZYG!r=C+)!Ww1u1kVD8#(uy)hUSPj;wPKfL~hTSR!+D+UGd={Kn z1h)hJ-7%IM$g^VQGofe*d!RTFCWsyZQ9}9+cF>J;bO{ah**Y=YX$}>Tgm8DJl_9G_ zZb+_RvJ1B&;NXxpYuRW`VNTek0Lm^1P-N~Pc;X;rPG1#%AlNpBCS+3>ijIAt zUEfVE2J8;Lyy&4tCQ2>-dGGnCbyB^|1QQhEYfu;!TUgFY%9>oHL~%P=0*H<_gn7 zB}7~&=5$+)K1dd7brfV;vmZ7Xq%^oj5;m>*Nly|)cfw92$#_nq@Iw|S-Vu|Ma$@8Q z4BTvt;%~YG14o`?<8}!nEIhTf59{HP+cyKhzb_hCggiatb1jV}{vx3h7QvB^TOcUN zL&#+(EKqfl@S#0(?7R5`MA%fw#AAk$b?-I1efSsu8LhJVY>p15#~scFz#)aJRl6_n zH=V@Y+fmP6mbA|wd=alov-C<7uR3>bMxKu8!aauNr8$-KgP9l+nWhlu`F7I8W`CJ0 zo=^OI0|g;d?J`?DKPmbZF33ZD#sMx^d6a<{$lE)QQe;Y_yzxsI`NJ)ETGfwe%*Ti+ zi?~dFFeO*za#;Dz6yaZCmqTPcePLQ%gIhOeid&BwUc$h&VzVGqnxZv0133_@hlc&e zd0Y>;uYe)RoLNds+<@->$|ZDoRo$x*GgQ>m@SV9>$!RpXDLOHE-!~qK&9a$vN{N*T ztmCp~e6PYJm;hIkSXKW4{ra9l_4qp(JZK8>tv6i*cc_9B(pAxGLl*^K_I_ybkWWW6F(-=@?*eQH;K0uov>7kWG%2~Ynt*{@%;uI>& z*03McO8$ZF*w#L2?1&$ktQ86Prpz%ONK)MD+w9pn>i<@%exCv5n5<^EQuo=yO zh0et@Zg`1T<}8a}eXxY*T&ObPUV%}(I!IIGhtcT1qf5fb_qPkwE_~2~Ufbveg^u)i znX%4zLI`6fiOGq{iIieci^dtb;IibKpRvsRtcKh-;T%u>RywuRi%PF9xU)Q~O`GEI z9T$PfFk$U_yg?z2i~o z*fB<2C-)fcM(twRk4r&qjMKL!-)W9-aHuAGzLHYX{$iX+Cys1q^xVQwC_08^IMMA( z6n8C|&QMCxSX**Qm7y7*rcDlOvZuY;B2-qdjLjY z``0z;v=J{Om>Zb$1vH>qu-ysr)#H;{)2$i9R)xHuw(P4VDiOSC$FpV9nFsFsu-KZ=jmSWWl-qz- zj&hvdsVl^kod^M`i7#zjvYLGC!x%2nnPYD6NsD%0d^+1LZ|8n{v>Jl1y_@QZ`Qkdp zDzSb;Uv)w8VJPI_o>}C)y*GbU*?$*US`#;i@MP{4wB(Zq&y`T`KxM{VjEVVzVn7c^ z!-1_utBU8%hn{@GO_Rd3d|F>M`NFgcTHh@oX{7aaQz*O;+FRGYgm7K?o(PCMm`!a#Y*zqEu1A2GU{bQQmyi$&z7{*adjhWXSq!xN;C~ z>~HPE+*lw{mZZRE^abLZdR#S#aQqawR+RZ`>Avv6+B>lku@PY(%EsbX-hX<8&90wahXlo^t;-~4UtI4d2R)1k5t9D<`uhGl~eSApy}HhmefridQ~GOjIwq!TTqwirQea2PP9y{%QEWyEz4S+-@(1 znNA;^FFXvRKFZ02IR`c*Svcko7GFa^A}b&KWo>C`^w_#yR zNO2)x3}SyI6Ht=6g*azMoIyYFVgRwyDH?OlI%YT$B_M zb4b@ciEGY?L@O85+L`o{} zqqXORk|-7;S;~Fl)jX-A*tufG-l^4MQvoS19~9UK8^WWD~MS)NgjVa)p)lqY+BkGWWO& zt^0dFY+gH34mwyPtMpuK{=C{j#>(r0eEvDn{t1af*VJ-@e4<3<)#YqWmEa0RX;#`R zkAV9W!qwij{iDr;yFtRGdzh8ni}d(eL-=RQKVAFoLQQ;L1pGQr>b#kV120t7-d189 zrzJkkmAJoo`E?=ZkXW?m{!9e!cw(({@$*L)Sjv`7SYxwy7FjH3o$OYf8EM0?f#3Ge zS>C!#X0{~JZ+(xJdF#B<*{Q>+=QzIk_H;eq>&bg*VbnVASM?^pbhU`B>H<5t!mPx6 zJOX}YT|25$Gqv-ea+XXU=;w&Oo+ZV2Te5b*U0#K~^pi>bS;6@8Uyd|0a0))V1j_kkg2|4veFK_l2+XNZ1fMMm<$os6lSGaRScT4Muprg7>DQssq ziKKiAX>3iS!(dAZ!eGyNb}Pn{%rqTC9Id50CqzK7YN&eF)O8@>^IfcRe>(9E@Vr^C zIo9KK~t{(3FiJ5yLd!luH5Lym#QetR!(z1 z5+&6Z+A5l(9|^2O?mj94*B#bE&|2#7(wO`qND-4{~ z>3RC3u3|?w>+EW=x^C`e=;03~zvUfd4j+lotAMWjrxAjK#>N-l@47B&*drd2hB?-A z>0azDiU}rV@;DH8f)jOX6a?0BRy!Jpv&bAj%lN&lGGnau$a)m7AB7(vE_>1n+GhQX zBevyFy@)%)Ftj^)J1JZFf+F~onhMxeNOAlOOumhPIh-xtJDB z%dr~D{y8BH<>@19;0;8^ zkvY~|SFERuOUEcytz3D$5-)YS6$x{0Mu%~{;^ovx+TIVA4kPF93D){vTexF*4*M}$ zH=5V-w;p&jaWTGG@H2#ck|qr6SX0m$IOiL2Bi|h`)hOt8ZcVdonB&eCo=GRzx~WkE zXE2@XvGa5?&e7=L+JoNxYf)uYa-CDa*@WLx{HgzI_T2-;hp8Kez!T>WarrCsZ@t>~ z{r!+Yw|B1sL~~vQTKL(JsvHrkbh^$@x(@W>1`8Z1CrN}mBW}IW@GH78Z`oQh^RhvE;MhYujckDLW zE596uOYa;T-gyy8)75xFqi0?BN~9-CIINfJ3kpjdYb`Ak>Wi^1Zw*JT9z?XqIipGj z#k|iC)^7qL`r9V`1ssi_#LpeV-1P{bMYJp&-Y?1l#pq1F8>eMYrgibZ4d`(}`v$QTuY+JI(EbRW3v-K;YMOH4? z^0t25mt6%mw=idfcV*WOE^Yipt4r}u);eF=Ei6X|_=Jy0*^xKqateJRq zTHG{3+?4CAPDR&)=WXiX4`#j91?r)2jvCh-EOzM^WxWM&_f8^|#!klzPbDJC-lbc* z43@Q~j+K@67;~ZUvzS0yRG;A7XBL!y1h@8nVXnMRoxuoJyvOt@DRke~P#wI+8&}4e zXarC_&N(J8IwsHiC-9Hymw~WSnI!!{?woJExR4FLAD=8uI1XTGJd-jAoS&M`zGLmz zog2@`t?WH9XgUg;txzr(lFOO)jT5HQpnECVmyfGIo*%gB$TIzW6V!6<#0sp4j-3Vr zO-1>ThSS~O$|vKJ-y@9jnZ23t$@tx>Z+CPnzUp%WFTI)8H(g#gi?3D2HM%m6rTLrI zMzrr@9r-?uEdJncG=bObkw1!8_e$<8s#)m0tTBi`dFub|wr`wj)JhHW#v3rL1L={W`w@{d3w6E!^QVJ z8_^Nd{fd?)*^72H<>a|b+{aE{{Hr2bg|<&~pV=onS+gCP#~yymq`||Wj-8)fZ@W+0o}IeAew55d zarYM#3SR+9$#y@4N_Mj+@e%hYMX^|LGsBx}gm0PoIm*uH6I`|ya89>7tM84|@?+sX zGX9sKTmzl)536>92$l|Rzh1lcDk1rUuHM|RaCBdecFUafAJe}9WA)zs-{u(W(hf03ZmBJ@!}W@36v=D6K@|E%abn^eVpKKD@MxKt`H-c=Kp zCiYY4E>R5U3yR8555VoPfMZx+@Ml_ZHjezVjq4ksPAe8dqIoUr>mDPGq$Fs517rk6 zG42Z71Q+kth^TFxo8t?mFc&)|@zuDGETqSGGo^js%X@8#*1nf#NZhKGvFJ$qEvNAH zL@tnfdA^RP^c-G0HuN|)^CDos_FIq&)D-g&YO(2*nzL*BF*fnS&G4{u@=IpXap_A- z6ooZ293euNB}JNy&TqS)QK6gVsP9-?TwZ%SeuA9WO zT$YZ07q*VHZtG(eWWZmRMmtT}3uQ{Fd=X?J_65FCtFMz{GRjI`CmyYEx3mu2LpXH$ z-dXI^55*H3wt=%YMAd7H`eF23m0NsYXv{DXE$KXmcS#4UOBX4rpCEzKEsA$xVBM2f zBuwLqe#9-3u_;-x)hiA+pt{~EdCAcvv4zSMZ(Y7k(37Xo(9Tex-jcGSfO?cJVsuza zU6#A;)Q%YbWb+}g>5-on$EsDlgu!x7;+svI6Km_{cCa<4E9BPsX+Nf{?X{>|4l;*C zb`TGfZ#8@A>`&*snneyA^?Bxc%K@(+-yo}|IFc?%{y`UqDZ&j~Re({zoc-5<9MQ6u zlMiaqXVB!}8zxfbw?zV&b3*c)HgU!|F^VmbWcK>@`jw!LH=<`VR|dXEqg)dRf@@{; z4ds+N>5eWM-9C$EX6;53gUb{_64OM9)D!vZfw5EXEgU$15nmMhdK$R6Q*(-ndQxZm zQ-cDiJ-R1QTQ#@~QyB|W?O%>p-aQquE=ecX@Tp7q|HyjlusD9GQ5Yy%C|X>L7cEev zXn_KySfMy9?(Pm-ym)bUEAG0$A_a=Ov%9#nxXWIC-*^9c-#bt8Ofr#~lgUg@j{Y#V zu5~`z5&JHPBb6)U&g&*7ind{=5-4=@${8-7*+2HU{_PCl99+HMs*7G9$@H36y&X`|Hk(o+O9 zyh1QRd^RRB)2W5a!rr)CvZ;;6X*1WBa9S;mYnD2-CEtQBlROJk=fa;kfDADT)15SI zEGvj=64=m3`V15&lbUpNW#>!B$=LBPO#AOYwULC@$30Z~D>k0GXy< zGjwCJUamo-d*Std%8#1lcNf=W3@I^`&@Fq*!bV{aiAWSfnYW-}sy;>)Yz89^oIZTA z5~mi82O&`if~t^)ZFU|2g#ct~r8y>O?tN06{2qQiZ)s8y@H!SS&Ep?PKeCGP3rI}w z6E2ZjW>z8@r~D2-MK=v#>5B)*tqy!3g?asFeyCnX>EmrB+i?O;PN;YbjagM&&#fiCJeHDFdbd)uEZUskX*_?;;}??)%~!G zcELy&BZa1Vxb7PINk+dxQa{XFysU_c%sM8s3D)C4G19Dspbb8b&D_&nM%) zr8wB>7NP(?kQ|WQ>O(*KLEe=#rQ%(jN}P{#`)@IpG?$*no{lRbZ@B?=V&GlFm3L{d zk$rd61|#q2#^%VPbJwWR!qU}9X)}|9biR-$8>SPRmlNAwcO5zT=BMppK|`X)-iDx! z$nAK&??}~IPD70{^ryp?(d6$ZZfB_XjyUkRy$;V?FlTk0_rVhy-XnPTq3S?~T2Vvc zYB+k5y}!*!xW{Td1N=R1_t?oKhk28NqR2#JseP*`?o?xi6J3W|&BJy}5EyG*&kGZT z<0Z)A<$41rArQCf7~aITzsnsdM&)vITEA(3SSk=iu*Ik6i`X0;G~Zj(4tBpQ&}ZrG z>xEKD?Ke`IZwXIBXNjr@rpkzLhlw1Qzf{$vZ?V8DIYhI>y0SJ;Q~07 z1&t_b4@}kLrAD+?{>4$%AK2t2OC4(+9gxB1+H_umISTFrUi-heW?|VEW2^lg^zH9` z$tPJD<^A%c4tmROu?sL-;jsUhcvGJvIkTa$;|SLpd5OB$v2&FtoYgorjt1JMGtQ#V z^s>Yo)>e_P^!+`gt>NrrB(d?iW4`zZBZ;WS=}&ek#)PJ`fH}zPe3}pg4f;)%Yu-N^ zY&9(#PKifMEXLezCrk&Bpcl-0V zOv*Yjxb~SN*swQzp7|JkIP(KjL~*{6iQAuCbyU1L2(wy<(HLp3ZAUU@f7y=5v@~pH zgrEJKmIS#vs@fdza#bn4fvSgBAaR*`!jo?Hk{0%pT3)Z3pVC*(?{9dgjQEqBy3nKK z(4|igS?6X^v~z9?_CjlOeKkKOod$KPm-@wLZ?c~s2>V)#IjKz0xP#G4I&;27*=OVg zC;)CDP!4VbM#ykS&$x60BN@uYLku;iW~$||xPJR((^t=w$5gnmvXeeUee1<>hxLVT zAko*|G0xBrjw;c#N_Bqmb3Q^TU-HC(@3~MxIy}PdvhVXgvjQ;yj`57-fTxlMjReg7 z(m`h^r%>@86I0YfWoN#~$r^#g%Asa+wqk}xyf?qx_ zyWZQ4PqiGl%k=$V(Jx<7v=Oxx02LeSK*owy|K7gnXFVA;Ad8=A(Ly8W&t=N^UYWgW|w zZ`cOjEPr#9{#Vw$v!C|Wv1d_zS}(~S>M4*Zwb_E8h^UB|vOu!`9)h20J)-QLax}MN zW$U-qDi|J(iS~E4Ojt_h`OpQyFWvOXX5uQnYDb(Z6u+8&y}@~F`2c{1oKmsAd|ajp zyH}SufV0E4i?;eA8hFVyhvKB(?@eFxHqtl=9aYcQZ2h_y^X+2&beGF*Ur!?@H*eAk zx9xv?!JZZ>K6P&AH;1nz&UdSb4Yk<3Q7IY_kgKZuh<8?4jmic;8%QvI05N%A@i7ky zc=q06?+BZUq7!bbcNxqWeBdLb=a7K;b-mz_h@@zpVX}T7(|N|Q=hHi~`@U!`9JCji z@Gx|qO%mzQw7RJCo@hfHCKJu~GzdkV5~Z|ii39U+|4YiT&oYo8JJzG9rG7GW4;>E2 z$%v+8?^?%{3wwM6*_mtBs(Bl^lMoc-NcQ~xE}|>jKke7qe_)y9R}}F-GQ%D=S5A zQu;44cm;R{%_nsz7et)9L>&8Wv!X?sHEudwTDQsq|6x9sS!H1^NBmBE{i?ZKn3!KZ zu=&@ZvDu0GR*iME-qtC3Z@}4`ideg|VL_H6mcmfS3L!&B%snc7dKDh#OI&pvQq(8& zh^?n1IP997t@Y+|@t+9tXZpA9zVk?Q>Q`^j@0~6~G#df($n8Kq8;vSK^x$TrKb zcBAoj;KLSK28Nzjjvp;<8ss+6d3fx`STg?2>REBfK=(Y}wQk>=7iz}2(*^g=tJX?p z+JJ=gl1kr{BQLf8BMKBJ=v}$r=eouKo6-l&51wB`R1aeB*`sh|`;W@*$7DXJgo1s- zcQUDVf5b;`+Z|5%_BnUZHfD-S6Ehd{peq>AHTjN)Uk#_))*aGnA|wBoA$*CqA=#& zUS9kPpDK0q^g4%5lVqBrrj!)9#U%<|lCiAg73OxVGTBs(cK-!*Nf_ogPw>V;<*f+; zBJ(WJ%WIg@i+!Tj-i}66yy=t7-R#cU`VYO97O~Eok@VzChj!>mtFzXk65bvzowe=x7`Db6n?-)70Wv*4aFtutR5ZhA*c4>6E{p z)A}H;L2{#^`GFV2_NP^%pG-vjb$XqGnuw5QYF@kCEkpo;(;ng%)Bw$es>LWwLKEj` zuV`waTJyeh6o{4M?H>tOicRGj0GhF}lmLD{dPg-tB?Ng-)_O{imvb;J; z+x~X8cz#qGJSKn_I(yD}G$z|$ExLvC!y3m#%~`@cYW`&nSr9{e|AK+le;xMqBW_Vt zk4+P>R+M7RvHO#!MHo)r0|eH}e9uP`PO1RLW0^h_<=NJbqJsJ&m#dtL>L64I6K9s; z=(LbZyU(zV&sFpDqt<=a!Q!ESvVtX0zv|YS7T}tFxl#p;kfjHtxs--n+P25h@7?< z2}(PN#fMh^%<=T_y-D?wTY4jUWJl__bkNx{mNo~2iaPho$F;~=iXIK&=OB7w(>1(Q zR$}U(0~+7wIgXCuW?cs;>E}IkYETFgT*{Y7PwdPZ?%&(i*d;7#swXb8?I$j38e}VG zS9Sy1ma49=Px!ATf1jlo>Yrsuye5RA5YkapI=E*zm_L@0C)38*e+U=T(A6;=6aXOF`^pxvLq zy)vLS-?&`Nq`osAbIrs4)Mh7&j3QaMXp6jsa;Ti2X?br{vdkZ(yYct&EA*tFrD^sA zUwav&d40BVy}7RasIG3FAeq9}_qFiS^;>N>-`5+e$7P%p^*IGyt^UNCOy%6rYvP|W ziFd*j=(O%dgafShT{I{%QZYnho+>*JvL-qHkRd<0_`%|U!=FKf26t@h=3$KouAS@X z(4%a$z90A@i57$Z(ehTFqh!=qeg`idA9UL3s?U*uPD^5_=sP}{ex=qP4CVLmaj}%~ zwR$yB_Mu7;*Z^3|R5~Oyz4hpEZ>meWA?2Z2$!z5fostc|D$uAW%XsXE@z(z<>C-M^ zwE%jaxFvHgL;%A5(O02scU=Rk$yN}RKc9>uoFpzMqd;5fSJ-@ zZzuC*l<0-9#e+=U>rp3SnJ7uEV`@6*Vtk6tOyfjfHyqW#svaICQ6*9xs4wSmQ)9?!4#VqB9kV_Dbkr+^8WXSpF zW585qeZlv#G#NA{l!j^{d2H?zwtX#b=|AFJ2vQ2zpqa5d0B#)Qd-Am8sW z_daGN==+p1o7?6+AbR$@pUXmi{)j;J9sh}RvN96!Z>Mw-lSR)-YGC~f5A*ri@D}mo z*y*ZXVqb%-MGA#`o8a+8`AAaKQnpC8X(C;Jo&@w}5No`2nX=m5S`CxJfDc3z#PuYw-ueqhTD!S)Iwt(UD?e0i)WW}EdGMYUDv8)l8^UVq^*}Hu>nzw0e68D ztL!jCEmnxhjm2#tO72(O4NK}l(%eNId2-A&Em|^ak=$L`lORknB zqrucba`pvE@Z!bG&Q9xGXhxurDCR_mH{MCqKe*?)I3@W{2cG)YvVtl-){e|alfy{v z?VeJo!F%4rKbHcETB@zydyx-+mo!?;NdEL&eB=KpHCd2VdRj)L3HY$S26LOn%G>n` z{OpLXMAD+H6Np~v8zRZ9t#qvBl}TF7CIjJQkgNc4_RDPbK6be3L#<>LWHQZ`FA3;Y z_B`o%LQfiE?CggXM7u_!B$LSOx8c0bB(^-EqFM-@yx=&MH)qN+Uqr8`vfC8I>$`5vJtNhVdX?x8MUjF zO3~g5Kj=RoZ(6@6OzS>tOv3tx6!@K;+9SD;&cTy}mx2z4T>tKa#w(a-L>Wn1WMCOZ z+HhbQP1@_Ai9sBcuf{HQ&Eb%mvp4BU)PYMxX@I~b(zNWrCCW5N;1aDrV;5dzp}z#v zOwp6*)-`T~&(}Mhr#K!0l)uZ5!nkJ(Pdp{oMidw&-bNIdY~&S@O4O8;9}R|)x^a*d z?GNL0i8*U5A+gJ@X&gb`uUSpg?WR}XOk596B>4nk(XFUH6fs+l#oa&TapW@;y(NAW zD{1{Kam4w7<{4+m?^x9rJ|;gD{N*<@uy|s@S9jpGMcSXY34&?Qi_oJt+d}hdIX=Mq zl)g`ylOzt{ww82Vv4Hcj!J6@-jgnvy*ctY@)ffp^(ldR>6H z#XGLDL1)3Bo0=TILwHpM2yb`u37hs7hB!f7AfEn#BuQ&?eU$se)CUa(f|I4^7zE^e5&C*ost&u z0BpV5Ix%_*#LF!?m1_`W3Fdz=FsS*w zxe#E2fQ!3a3on=itSv3&j<&>YLP*EEnyE(do*$P^I595F1t$VLKc(e9wl&nqzTe4x zgfBU^pydq3H1x?i`WaO{!CS@y5W#{_K3aId$&tmQT^CGiylZmL^m&eDV!8%;yQkZA zXXrZ_KGAg%K}q}kG9<^R<{$;@OL&-^YTaA6kvL zUGfKuJE#(;dgO{dWWsk`B_&+1pK!;D@7IJU}f2oU|obFS-9k_h&&70>xz3ky3{f?ORqhoI*0zCG?(F)C!0p~|? zn54FQz^{vxhq%HEkfcsS4F?${vOW|F$L`MzFL;(eAcRgbX<@EuUcySk9V@ch;ZQD*&*^8UK)~nh;PaO$4}R!dc!oF8KDl`!UI{{d4^KCu zKzO5*&uQW9kduJZfd>a_c;~rSO9MFedSURWR|Ez-VFsNZ2rq^1o`BDC^e*Bi99F&7 zH6O24jxU3?XjWiA6L7%x6r=)jG79dpkMlpvZi6{Dy?)tG#tUQAClB!33voN( z-G%r^*!g%>I38HySp2z;k~UHzceQ>5*zhUfs;3WPd3a_og3ueQ%SJT$_zjK&t3Neg zfDPhmjvmK$7RM1`$xG!qRig@Xc(iS2JtCe}${v?<$9o`8_z{#ZYI|INP(_crAQET= z)Vt^a=K=k!iaU(lj1ec|1w*)l-=YiQ?)zDP;6@X~?)_{iq<7zO z0_=6R8v0!ETsm@-cyUL{eN?03cRny!`HsUnLZz~i8^zb{1`3DjkG;qp{DMPako(z~ zxQT{J@A|ptqs%YI;jnaC_hXs6`NxG}5Hx-_@V@W`El*F+9gKnF zX9!2;2}Jk?3|<2o-okQoYi^-{hpUC%UG6tG#S6ej&{G%X$?}P~Fyg-#(i`Im`vxLC z%I$dg`Sinswh>qYdGoMa`|z88zHbENxVY|oWBjn|bA$F^Nm9pOceiz-rw-cWnXj@%n2rNhx+_4i4~3cBk79gfwa_QH9oM3Z(e|T@*vG!-?7LJ?I2>w@&_4;7 zad7enwlwrPo$$ryJP)7{;=ITB zhTvhh)fe$ti!mR^)oeEG>wVL8w^bMpx*0z`>pO(djv)dZHBGO97uC05bHO|C415hi z(;@u`t&~0lS|%<5Zo#CWkvoqU`Lc&gKdYeJ-9NNmm-i}$zK`69(+okY6DFAH!1J2N z`ud?>kZ(Kbgg!p_4WEaZf!$=Jf!{MNh}RtnL&@OAfC(!JT4V|8(6KvqZ7$ODD`Sx zcb{KwxCd+x|LHdVsaAXkwsc(WNn1}aJbI#Xe7g-Hdf1(VgzrwnJour?)zK^7H>wY% zN8%O;MTdwMJSc)&IuUjq7$cy1k8t6NiBs3S4+aFR`kQct#ObKs*DNvF?pJ}ER7X!P z7U3&N&nf@i!yE*;f+v>Hus_+01bE-PC`_)>ju(R)Kzy{T@*tHZ3GW;0htgIaSh|Ph z^~5{b(vnM9T|C`4MC!cy!gTJ+_8_E2hp4I;;SVz7e4-r(*z)1uA3u9EBP>4nog3Zw zbPf+6YdtMou~8E4Ry><_4x`I}55k*#TQ7{j5Bk1o9)c@<9S?rK+8%~~RB9#N1A1X| zEZU@pDz~bg!(sDx<=~bc&VP^p{9Thg%03N)R;iK!JUx{ekJUr1Q(NrJBOs7rOKFv9 zV{U6bZ`I~yq9eq|E7{|uE>itzwE<=z=P7){4P_sPtT`@ei2r=h@U&Mp(mX48GTzF( z2Bmre%@G~#4+UaY)ICp<4-k-`ChfDqi3nH>vB&0fUeWQ8@ba}8HUpVYifV8{HKQ8( zvcBBi{*9(UEi!ZnOBrlIfDBZqT&S(cwGxoW7fQ!Dm9TF7ae4v1)e_XVH1@)*IJ# z;{Oc13A(vMAo)K__+Jl{8ga4^kecMwKjcEMro0pC z;RTCN+$R|)En_;58;(n8hu0AhgiYb4DE*UG1A%>FT5;0#iapyV`00jw)oWqX`)8Wt zx+_^Y;sRvh%tb_w0fY~Vr=M*iPJ`mqfP7j#yZpGo4~A|hSKy9|Wjx?s-yFvL`a$Sj z9BB>B_yq=~rM<94sRboF$a9c(1}=6sXnfOkySZ1G&Drg7l8f+qd|8NBo`xR>ZwwI5 zkH(uTa4m;N#CL^PsBe*wkT8+dazqr8Xa^=iuaS^|=155RFIVl1O+FZUx)?h-nY(a# znVEKG0UVcyNy1KUYRDp7oyTP;?8H%)mPw6>q)`5b$C>S_C!;k}S|KxR7F88I--ww~ z@@?+SUc`QkXDeoVZ!1>Ge*zjX^}{srqz!$biv<4O|LanN-j^dnW(bMbI=S#6($A^s zwRd{nuEisnYNPHz&2$34@i@Di)eBwUS$*B&+d|~M+t*+3^9&w@XN%}kZP4AHLT85V{8};mhM}VKk|I#QzZ~Jq%3U8=nK2{!LgkR0TXJ|3RFQm8H z4k5e0I|d}Kd$wWCG6~)2OO>8Sa;N^ZvS-^yN28WowO&~DVA-BtaMq>pH6;NXF|S?~ z-jE2vy{lwS5CET3fN|)_l`P(i7(azA9hJLCA!VKZJbzSidsOP&FVDK)tg|qE%F7Ui zyDKDUK|MG(em9%cL5Gr&7TLGu)tS_NRJecZ#R7!A4NE%`3vURs|u^pAR_(w_gA_;*$#h4*>*>!XIgJl^iY z^Y*1M*X*7&oET>ERVB;pI!OAWnU`Y>yrhZ3zm(-#!di4WF0&?0u`yY#%Ik7Y$fFMTY#94)c7Sg9etV-$JMsf9uts_c*OEy})b6qC)ce`3C{C9WzDy+_h z7Nq?NwZk(Ij$JvGO6YW&2C$u9w#S1k!r6j$B(++Nq+@3YM3gk|uiY#)BzvF*Mq ze{Z4Fh1cl7dzazVWpl2Va-t|zuHD5iWXR7sX!2)BkVIP5lO3`vgxj;^D~t;ic2-zobHDaK$esYcxWJ ztngowpP}32kM+xQC^@hv2YzD8wggfWvR}T!!!Bn|Pi`4jy7hrg`y{roDTgF*i+T=S z7XlN8*T$(Sb{4bA;Z`O(4povR(1V)TZI7yTPT6AS>1}mB;lv?TdlhwyzG7O}0p1{; zw_|xp{qfb4*Pn1b1_{&obqgp|KIUExkR@asCNae9?Qw@U8s10U{+e~so^iJ;Ja7W+ zx*d<>Y^w2UdGZi?ve<0l`o$%w2N+Q(%f87ST{-qvrK)>mC~M&lbTGC@mEyX0sz zap!MfXQ7nm=K3~}T$!vM-L#?2C~d0=#L%h)2eZ#>0ODQ$Uaa|2eF61{UHXh~IebBX z6~&QTr`lFM@_ul-%H>qx?)9H3Vj~N7y3~y_{=aE%cU#&%#6n0%5oc^eH^-3 z`Q*VHKz#tfE^6stPJ4f~_T7rR3t7s<0(xdm+;Gk{YOw2mS5N=IQ5csyn5%jNR(K5i zScQ@ioL~0d|5Jv&Cex(B4XiJuFaA&Kt2=V4EHPVq3biyzSOW4_Pr)IY5!@>ajP|u#M7a z@L9ZWE)!z?Y9xO=Kv+2kov5pKY3g?YhzNug+fuZ#DKmh3Ugw(LxOLW~zdZ_=1`+;) z@9>d!94*Z9$6X=|1>lW&5SM%ob;!$X-}x;*85TZ6vTQWRMi-d5SF(&6)c>6ly_PI8 zMc=tOU!{7#29=;~|2Gf;sjEVK&gXW!+g`N#=hn}DSI?^G#GHv{GYGK|(<;l1T~}Te z3o9M@5H3sIy~#qIxMUtv0B|y6X6hR~mrB)vl6WeDnTU3f1bq2;3w!eCoIV1=ys?R^ z1`0L!8kyxZ2Z!9ecgR>XS_wWbb!{{*pR2L}?9*%w_FTr^(J^mS51AEu)-Mb3HZOnX z1?+ggB39P7Cr_VRn_RScH8rcKj;<8YR44N1b}l8K|I>f9>OE`W*!+iKCXH{!bgA%a zD;hZg1E1L8g$PG24s2IsS7PB0yv4)g0Ec#TA-qPnwjHW&LbNnam|r9yorR4Uu(%iaY=lZz*Qqiv`9rkH4X1HKlciEyksrI-o;$bzf)2U(e=?w zMU3I-C|JteUww~ZK(Q?vc@Z$ZQLdEjcq$hBwe#gqev5k>jNvmQg^y3}J2}+(uc>!q zI6pG}tnF?HOC5Jq?f7qhasBzn=)Wvv^-ovu9EApd4O%d3MupYhqH31voH>whUqi10 zk3q~_)C1Ko)=~XxDYvqa^dwz=BKQ+yxo~%YHvN96m)byS7 z8WE{38$dt^qxH3(yMRA6_?y|Xf7hantoq&3H#%1%{55%c-l|}v zeV+vhhC;05E$5xgh1Y|!_>*LH+;08G)4vdOENB`3NPgX`9LkoYxQL}E}=0enz z1(L*IDnqyVCuVxopcI%-(q!O8?nbb|@V>)`cERy`k?Vc=qq~%W8_; zCjuWaHV=b;$SbAB#KuGY2t5<*EbU&Wwh(?)=brOxq_Y3jxRaR2YR8arSUj2L|8fzh zBMXjRNc4qCth+R79REvk-0PB!)$hX|G5p1JZ2L-Tv)Er=8oS4^XpvEXZ?@p2W-tB(WT!rBb_Cjlfy}_htQ#Sy>;j17qVF!=SGL3p~B?wF}(3z(KhL-YX9ZgP2MO2rW4a&})JF~z&ou8s~ zqiEODDQ<{Fhe%H27RStm!MaA6>k#R)Il$H1_7%+zL8v zulLq1yfS)MaIu|!+%2-$)~CkPSL*uqLngFnNetRFb?p_C zTt&zcE$NMd@{KqNq3+%ronmlHQ&GU`GLO!$IP|g~su7&1V4A330@Q2QxDF&SDTzh@ zet*(oMX{a#>Xktji~c+1&*r#k(t25jTfsE#w7fv}lociN69-k$c!G4~kRZpU#C$om?Au&o5A$(IyRdTDz?mc1W_VllV?qU&H$NenT-W}3^ z-eZ)i7qYppLt7g`3O0|dKDbcV=<_|K^Qz%=brE}&GuLah|2cf#voH|O%ho7?6bXs& ze+?g(tCg{nd9t=+w7M2??!yi&T@V3ZT{4GhP6noU=&>G+a@8(IW`ji-8u(VymqO9j z+c7HF85Sbt>nfLFxa9AhF=B|Gsl z=Fndy3MuKX0XJE&w%t`%xGzYqfM(*Mrk-dMYaxgk?{MrbB> zAWv%l49I>R;U3)hVEWj%UxRwP7#zfp|i z-{+5WjgP_Y<$8?rrCimx275+&lYPdkXR-TjJebkNEnWluIGJJ(NPS8e;0P3CrBdEG`K9wz_#*^ z06!*UatAlwD4Mo1wfs*|HpjSbq*sA4E#K?N)>;5^KYQt-{v@9l{-A1&l1bv=AUx&a z2wfKA)jW9d$|LpoFC^tBacgxm9sX-tIc3sQERy}^Uy_mO%J*$Tm0MzY^?!CvYG0>< zWsmG4C`8tSDgiXZ3v+xy(BO4Bv{m@Kxas^eKYeG67R@gK4O$q zhf>|PE@X$Vkx{#?OC|8Sv&`*v=IQS%AOCV0V@0HCq(FQ-ivZM;Z?8_}Q?{f=a{dYE z^@nX}qvP=~pIPvW0MwmOXa-`{#zaOb`H*uD=&U2`j8z|-+d#Dn-KCJP!dP*qMC>bf zF3p?)-qf_2 zF(Il#jRq0*yyFHDNf!0Ch|OgGvs}ewu1{v+eM!=(>i`9Jw11=-#k=J58Swn!Y4|jg z^=F~g66au)L$Co&|Lp;z7Pd~6c)132LEfsh24PZ#AH;m2RjOO8hN&x6uJk?4gy7xM zm}X&uW501m=*5z(^ii!Ec8|ag%)oKWQmQulj-{kIbwKI^L$T7k#dpPHl8ZwfW3v1> zx#m57?vX9np@5()2~(FjpJw6^$Y_*G8s;JnzLMi=j)Ux)YpmL@?n+JG)_OVi!at+d z<~YfnQshIJLCuA9J*K+rs1J?3Sl71ZmDatY*qG+@D7jifE^=$m`F2#qf3ahO{$eyH zNZthZ`fu)iA;Yc9dplU*q%CSRlg{H7*Bc)j<~gpKZ!U0JR9L$><#e1jDNtlCZrJ_R zeV;UtC4?Sl(cqQmJm{qn zfABSdm2LL;IZTx`;!E}H{LzcOUl%Q`LF$p+t}m+8HhQ*K_WXVH=zm{1Jr`>^gugj8 zU)ySE;ldaGszTrse>#DO^+b}dGL?&eFD9imsf7uVD!j}LF`&OR9wGY4w`;gPj{Y;; zheKtU0WIPDRJ*#QS$Q$km`=p|mr_|yrJZXVv*ci(+(On=qKRXWifZh0-% z8eEyipFMBLR~dE zeatn#LLlllBGA|F`$ty!Nq#1tQ{yQDqRR4)>(M<4M?T{~2dI zbhiM}ErXB?e~yNB0wXR1NS_P={oXVAK*<@5-%td>UjJtXf1`gZGC)w~^D#^)dvg*a z^((F06k<#x3nqMdvbWqvxZfj#@YFIj@QmEN^tXz*d`J2uq2B}?S?Zig+aZjbccVTI zuikhZ&j%XJguk@*m_p2GWO-(9WX)a1Gfm6sUm{$lU``$-EE zXzS8tgCU0Egc~pV!tG_Af9U+iZ_-XhWTYO;;|(m`IMB$~PWZil_?}UIk>a-EMkB}H z<5?H{8FJfChrQ+X{@+idI4oK_tTXRagOk0Ew|`;CK8n@0+~NZ+;p5&f#oud&^p>Mk zN*P_)YUo~#(h!LQ2sflzI&&5bUh~qe+MZWmZ!|FW<<9+=oi^>Yl$7%O!ubF7Y*jO4 zyBg&wo)g4?{UfV6vnIk5-A#9d)Qgm|0PMH1^=d=$v--$e@3oQwV;-TDI50;@|KHP_ z#CHr_J3(mIR3fdeURsfVWgt36`JJA-zh)f|+{-Rzk`Bpd843_-o(}70ZzCeM(tu~J zwJ{N|v63?fzNCj{q#S%IF7MVS4s3PTkItEG^Va{Ei^Jg#?N!@-Hdf_l|0(a?S74)w zZ*Hi)ibj%mgcpU?BBi$DYMZXMGh-E6Jo*5Q%%j4L8mcQ$+sU@?FB*NAi)5!fl8R!Z zIpSo`H(6iOQ(PBn(NtSsl-Hz9J&VQep5yb%LT>eXn#NFd?vem=7X4*&z02>@dHDR$ z?W3sn=n|8@a)Ch_w{+o=abJ1m$xFV^d#0COL=F%Yw&ZRmou?(x?jCNhEj;%BXtkY^ z{#JqW`8w$8?c2AN`3~A>rSA^A9E1vuX4+dQH6QYfZTWjU$tQm`l~B&VE1X|;&~_cH z#Ar7jqi%tp2yR9VLRL!V#Q=YB(`w;xDgIXtnkM|UzGxhEMac*CvwC|Cnlg%3x#`cj_4{$ILKUA|O5zD@=s0MdF&~up=mI9P^B5h%NOx|wRheg(MhmHKzZ+I{`n@^jMA=q&m{aa9v;A+a_G5hLQZb_Q)HIF!#q-S zd)%bx-zMh&Az$8HjGvM}-iac!VtikJ^*-P;zP^_5qv~#%eG`pg z&)tNa&!Q!`bg*RR39H)XiVgK`m%UQX=K~WV>P%AD4qt<N_Yl()b3av*(7g5w zIlU?~yDD?(^Lg29TjK1wn%qd5*ih?_f{JgT`!K>LMn<-%^yG>SUSj9?=5}$zk!``G zUD=5@iQr&Wxyc&-F6Ms$SYHbYQ`D@e-L3HD<=`+=JnDzwz|TkqQk!$7pk_#MT+5ie zr(IC|lHl#)`)yjtCf@10WMsw$nV@7v_+?P+Xtvg=*oVJ{IHe31XWq|_6$lvkAO;-m zQ>Dtk`0ELHS+c)xVzNxvA0Tf|?{I?xcvNX*9M0FrmD3viwJ#Z*2zn8Bc-utswFw@f zz?!-AaX)z1G}F~onKGR5{6lZdAbfI+sB)My-)DkYM7(X@0Ekw^Ciiqda>eaw=P3g+k|z>~e-ickB0y)wwb1*8Za0)UO23PQS)xy!6!|nV3jJwK+K4!mGkUyGTc% z%Yqds&ardhZ9a{r{`YG(-;xc>7pOAf297ZgSc~%gTY@m>%U->!USAguEv?b~x37~)ZP<=P_btuO$JE63K$=(8eSnA)?8kuO0 zh_?7Whz2UtdV@gx{wz_Ayz!yErf{_pPCEqpTeizKCBe}ELjqBL2Q9j(_ zw;tHD(JKp_`KEyBu84RMK;?PsHR<|LXJ+*w;o=`=wzvzF}s# zka~KF@#v!e&1`w7Hux;nBWU$X@?4&4ENtvohg0DAa+u3d1oC?WWoyi1a zPnLgwboi5vuxhr=jrO=2w({$!t}cmw>iO(H(8_0ie*9qc6ZJh&O|#I?3A%Ig%wt zQetgz4y4r+-So>WrGMN?vSSemT5$dy zO3_YK@HcPYw{AafXVqHYKYz>G`_c#S@cFI~StLIcL*LRWCSLLSPCUTe@_7uhrRI;C z^u1nujbLG_kU=U=aB`DDN=0BYu}?;&vnwjY-tAx`c3{sT>^^`D?G(C%G*5cw0C#Md zLCU}gqOs4DlMA77%o~&o$+63036IL*OfG%3MO?cr;6IXx#N14f$D3e>yK~O_^&92a z$L;yRnOm!R?+DhRRd}1L46PDf0=ZC340A5GMZ>g!fMI2fZ3p(?hsv|MLieLRui8!b zqg$`qME9d`!8~JUWbt4K&l%ptgLGl!@dZ$qQ4|)3X(-?*LQ9z(cRXy<`~#ueC!qm)4AKkYaSk&7sG00=(I7&_JxaQ?TnsZ_rz3lo~}D4 zrh4J_B9|*^X5W&6B>v>aE}MPgb#lL}9P^T63`bKMCM7r6vPJYV_MBu;JG$V>?t-cn zY4xh-|9M^dcKy-sNR7+)!hEN%O)pf%i>l&XGBswmM&)hw zsV(m;ek_-ZnjxIU`MLGJz%%ZtWd`vd(hTBP^u=5YGTfaRR8l=FG$7){)8;Qf6g=%J z@@qDnW`F(h;G~)h_kIXW=fC*aS>@+}y&pN*4(*3_rmUw<58 z`61-FFL2+Is645s=dXU6etY+U_7*+Eoo~`P`*t1;d~kTm>h)W;pJhxvFzN1G%@;hr z0nhHAm1{VE?ec{=)(!QmjE*uc|NZPv)vPu3r{vZY-FrJgk>UPfGd-}fvOZDRAIxYJv`P1jm{%`-Bm#Y)C6YzRo zk+l$T?0p|ImQFxXO5)O>z%1a5!{7UwC5^1!(`1*|H(z4@!lWpDQ%uAAE8t} z7$tpLSi6?zFsCLy;#l)6RUx;}Q^L0YeEj##q?_R>!B)&RJ>aWDd2vg??e4%fr z$$5$jyH3x0_C>^?G1a+Ir#ioRu|SNlK=xq?9rm&p(nV)(J-@RqXV?Cgy=z^5TGm82 zK9#-mSCvc8gRScI44)I1j!Zlqz2vL?5>F2eQI021_woeshB(W#%WPXd;lJp^{gdxZ zRbiZ_*ss!iaOM-mU?=q^@0pWyf+wYJnWA~pNHsETI*?e_B3B3OL@_dnFe6qc?Vhjl zcq%Xi6Id7+*nvavAOPetY-t3sQj!bwDspqsHCb(90u3WN2+bjJas5m`5M3I*K&kRB$eMoB0QqP8@)0)2sEKxRNj zWwC!jiC#%EhA(c1#DA3mI$s=!`B9A7hh~gkMIO2v4(_&c5Eo}=unZOhPj@O*bhm7ijC_6l!d!nd%PK!d Qi;&Wyq}0mbG$Th_0KKf{X#fBK literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpt similarity index 65% rename from project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpt index 7b72925..705d3f2 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpt @@ -1,16 +1,16 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:32 2017 +| Date : Wed Sep 27 19:50:49 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_power -file hw_wrapper_power_routed.rpt -pb hw_wrapper_power_summary_routed.pb -rpx hw_wrapper_power_routed.rpx -| Design : hw_wrapper +| Command : report_power -file lab0_wrapper_power_routed.rpt -pb lab0_wrapper_power_summary_routed.pb -rpx lab0_wrapper_power_routed.rpx +| Design : lab0_wrapper | Device : xc7z010clg400-1 | Design State : routed | Grade : commercial | Process : typical | Characterization : Production ----------------------------------------------------------------------------------------------------------------------------------------------------- +---------------------------------------------------------------------------------------------------------------------------------------------------------- Power Report @@ -29,35 +29,36 @@ Table of Contents 1. Summary ---------- -+--------------------------+-------+ -| Total On-Chip Power (W) | 3.146 | -| Dynamic (W) | 2.991 | -| Device Static (W) | 0.155 | -| Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 48.7 | -| Junction Temperature (C) | 61.3 | -| Confidence Level | Low | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+-------+ ++--------------------------+---------------------------------+ +| Total On-Chip Power (W) | 7.326 (Junction temp exceeded!) | +| Dynamic (W) | 6.855 | +| Device Static (W) | 0.471 | +| Effective TJA (C/W) | 11.5 | +| Max Ambient (C) | 0.5 | +| Junction Temperature (C) | 109.5 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+---------------------------------+ 1.1 On-Chip Components ---------------------- -+--------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+--------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.007 | 7 | --- | --- | -| BUFG | 0.006 | 1 | 32 | 3.13 | -| Register | 0.001 | 4 | 35200 | 0.01 | -| Others | 0.000 | 2 | --- | --- | -| Signals | 0.049 | 10 | --- | --- | -| I/O | 2.935 | 9 | 100 | 9.00 | -| Static Power | 0.155 | | | | -| Total | 3.146 | | | | -+--------------+-----------+----------+-----------+-----------------+ ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 0.046 | 22 | --- | --- | +| LUT as Logic | 0.038 | 7 | 17600 | 0.04 | +| BUFG | 0.006 | 1 | 32 | 3.13 | +| Register | 0.003 | 9 | 35200 | 0.03 | +| Others | 0.000 | 4 | --- | --- | +| Signals | 0.138 | 26 | --- | --- | +| I/O | 6.670 | 13 | 100 | 13.00 | +| Static Power | 0.471 | | | | +| Total | 7.326 | | | | ++----------------+-----------+----------+-----------+-----------------+ 1.2 Power Supply Summary @@ -66,20 +67,20 @@ Table of Contents +-----------+-------------+-----------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | +-----------+-------------+-----------+-------------+------------+ -| Vccint | 1.000 | 0.090 | 0.076 | 0.014 | -| Vccaux | 1.800 | 0.120 | 0.107 | 0.013 | -| Vcco33 | 3.300 | 0.826 | 0.825 | 0.001 | +| Vccint | 1.000 | 0.292 | 0.214 | 0.078 | +| Vccaux | 1.800 | 0.272 | 0.243 | 0.028 | +| Vcco33 | 3.300 | 1.881 | 1.880 | 0.001 | | Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | | Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | | Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | | Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | | Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | +| Vccbram | 1.000 | 0.006 | 0.000 | 0.006 | | MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | | MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | | MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccpint | 1.000 | 0.053 | 0.000 | 0.053 | +| Vccpint | 1.000 | 0.272 | 0.000 | 0.272 | | Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | | Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | | Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | @@ -98,7 +99,7 @@ Table of Contents | Design implementation state | High | Design is routed | | | Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | | I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | High | User specified more than 25% of internal nodes | | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | | Device models | High | Device models are Production | | | | | | | | Overall confidence level | Low | | | @@ -137,11 +138,13 @@ Table of Contents 3.1 By Hierarchy ---------------- -+------------+-----------+ -| Name | Power (W) | -+------------+-----------+ -| hw_wrapper | 2.991 | -| device | 0.022 | -+------------+-----------+ ++--------------+-----------+ +| Name | Power (W) | ++--------------+-----------+ +| lab0_wrapper | 6.855 | +| opA_mem | 0.089 | +| opB_mem | 0.046 | +| src_sel | 0.009 | ++--------------+-----------+ diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpx b/project_1/project_1.runs/impl_1/lab0_wrapper_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..5704fbb474d1a68190ea22fd5f50884058a457f5 GIT binary patch literal 14086 zcmeHO32Yn1Z znDv+nl{m+HZ+?I8zurIJyyxt+SS)tZX5(4Tt-a zpuZ?}{OA6+`!!tbZjt3h(197)g)?{HFHII(TVy$(rUPEwRu*y3^cTmyg4BW^5}Y8m zyzu<#ZG<(aB{;t2p1befMz)L80Wdf%5J{Avv!=(A5{zj9p&$EYkHJ9fW}N}T6MN?d z0bdg?>Wz$WI$fI9fUGHAvewCL8il{S$DnmBbaS)@;N(Ug?e6dFH3(xjE3COX8I9^W zckhVN9jBn1mA#VK0XPu|hm{f{t_3C$h(!`?2__oExe~-Ry@TP9CQE+%J)@1--Ozi< za{Z@>O-Zb!@)I8B$bvG$MHL@Fjh;Nh;1spDk~{K zqL|lkpmsL05)Vdk#KKUdo>cAco^phtb6NX&ip-Iwqap82EXRhTD{hwU;%E{|#A31% ziUgx=wdwU_BovIcUrGp+D87sJc5xt*HKMKhFy~^se)0FGpAy5DoO{w|AF$Jh8_{4e z{p{eb<4$XT$0O%|Kh*Wl6TU(5h379G^u0?BRmT%?c>=|zsY4>!=}RX#j&b^!bb^7# zcyJxe=%gJnIUHGwF;0$cKMFsc;L5XujNNHx?bq2?>ymXx?Z+wmZIu0Ml)dc=LLH>3 zg*Iz)teqO%T{X2D6S3H-kr_Sp^6D(ic)Wief9-xRNS?Gog|)PurATceIT(n^F*?&| zci5}x2GtIS7C#uN+A?B=kQG6#k4>jxwojGPf)>~++@$O8kqDMJ}k z)CP!^YRdT@y3b()s57W0nvy$GvMHDE@7-j|DYvuNQ47$oqrC-8>HAkpufqyL7R{8D z5(=c`C|#>JK7c7h*PZIg`Uy?R9m#LXxdT2a^DaFq?<_X==0;`VXE*g^Lzgv0Q(TYA zE8iVA%#U$eTx#oc*tdE>PXdSiG7$QL@|yuiBM41nGGPvI{GL9?P8j(Sjx@o@vxlEB zKyQw%uC{IQm4U3 zo0F9oap-9KV@Ww2jE7`GhNFql4a{UPCX;%t?VQ+mQzyAdtBK4^FfB9AiL}z4>Lhg| z!E{g^BF#F~Xgs(S1&NMM;=nnWkw_{#qH2;eqQ7lC5ezfVY2GIqVmo-U%rGH9@b>9rJ zk1q^QOw9@csqf-Bx7Y0xJZ>MM+=OEB+d7#bRa48$YQsJ=Hy4hG$_?m=Q_ zU99>=>OEd@qf;|Dd(Gsq;c8Z{V9V!mv)jBwn|K3(zRg0f={ zuO$Wdu_ts-u#5RuQ7NOa(k$Y$Uv2D_s$iwjZ*MM~bsV3`3#^%H<;*FYTFu%qGcvQF zR!^;jZYrJ)ywC09U83LbVf{o;Fak-J!QQBO+f+!9a|=G!>oLlCTs$j?982oPrUMI5 z#YICd(syoNE}KkJtLTN8;&V%H^ z%L-iCxq_+U%k_$v7X-J*Os^z%pVPepWwugY;r(u(+vVkbB3E{f;1tpGv$ zD0-qYRQ03iiONvbkD@2auAA^s*eh96N9bEO)4JTU`Ty{2TosDm&%24VH&dfGmRv5)Axi1)@AHqGi2OXqZ-OI|^H8O4|<=sKDbujxPE30&fRKfmz z?nX?_U}`-cA`Nag&-z_HV8J3s>IAO~wkY6B=d!?QnHp!6xcctvJ<=ummUK7VIs;R% z?R71;7=V4VbRZJoicTVUSszTo1F!ayI$qQtJvL2p>^sk>lc;;d*-3cAB!{#Uhy14G zY_HU9>=$z0&`8!;|y3GRAJjrwkV_l?`8DhJN@yF6|`$BBj4 z!#j6erK(;qRe5@rSjWclCu(V$SAP z&}-Sn%HYDSw<7uixv2;)&_~m7wZt&rzeZd${cFTE)4xVs@b7fyJUH*d$g>lr7jL|G z;(Z9t;Ve>pCVlr#j+ln1DQX^Krs5#tOo>(-cIEQgoxxlf9@hB4; ze?C}n!Z^u&WXduRLh<-r%(rp3rB@=UBPwG9(petbxdXt`Hqbz~17LD~EDzTc@K*FRpr3#n+9fR&(P=c zBGLcMXAOpeW{`XZs3W0-?N;DpGgtQD3e=WLFdUYZ?qR5Pe0lzR>bXxE{RiOyEeo*3 z5|+s4FWsqXsnv9+vOqK&Wc}snd)R3uZ z2N18AlCX}8*U0;Wf0ec<31Ocuu4Hl09W8&7q#YT{;V*6jP9dVdh}-$GxQahGa8@$<5fm)uM>yy!9_&N+J-XG8P{@h}dt1;`Pn^zCavQvD zgZyH05XR%g>vmk5*I19p7fU_WM1vm@B zn#WlX%{wx%EQn&aDe}1sE->k^Vgpv*<6Gvo)=~|A!XP%A!b*5biX#daRQj%$=E4h?U bA_e<3L1jH72|`^;@@PKE4XmSG7Wn!v&cA~V literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_power_summary_routed.pb b/project_1/project_1.runs/impl_1/lab0_wrapper_power_summary_routed.pb similarity index 53% rename from project_1/project_1.runs/impl_1/hw_wrapper_power_summary_routed.pb rename to project_1/project_1.runs/impl_1/lab0_wrapper_power_summary_routed.pb index 813a02a90b25639c2954ecacdd44e8bb4acd5ba5..d933ff4ceb9120990da15c6475a9bb3498f69978 100644 GIT binary patch delta 198 zcmcb>dWm&Hd%bqvD~F>zO+{B7rt&f{9CCUp#1?zoVXH6$!yczrNd_Re3MQAze>%)- z_f;M!;BZvc(8$hqsu}}BgZ)!&keaPJAhOj6L|z4xOD(d5KH7b?U|=}laMTjSo@xbR zKLwIN_0}Nrs2#&(HpbaP>wn*JTH4IG=iT9hM>`o)WZvwbyqR&A9Gh=yN@i*9q^pd2 dp~b013dIGf$(d=HsVNFMsl~;UJDJ=V83Ag9K{o&Z delta 196 zcmcb_dVzI9d%akgr^8Vmp3Bw_Q+XK}jyOFP^31b$*ecAxu+OPgk^u;=g2|=whf}<4 zzsdsz9FD5~2!3omRShKjR2xKY)d7*MMj-Mkm|SXcvPRDCs|5qY0*9lPAof%%5c?^R z1gf_Nkw@(qCbKcl7CNI)>a?_(F=O9e$D^H$6KxqCCU0ikCBx#8nVvD}Dx+R#acYr5 YaY1TwW?E)yib8IFQR?JwCO1X~04&=(YXATM diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_route_status.pb b/project_1/project_1.runs/impl_1/lab0_wrapper_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..ab862ab51f3f1de921b635d4420fd69d87bdfb12 GIT binary patch literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgQWBVc?JLq z>8Y)$+1{?{85MbG7%T_~2zUt1d_j39Qtz+HkPr|?Fc1)MV6B;{6N{ILzzRI#j+8c%^ZcAm9$k?Uu_)0~o&9FL4p z;WC@?7+Wc|LKn_U#mTrqki7I~6rKXRUqRH&TJBY1=4*mkc!ifgt*}{;C*T-6DI;&$ zi44zh$6o~tA`>wE!vxy9;iM(+Vcghz5z)+$TWt}4o{DvJw&NLEqcN#Myr~cpbLU?d z%_0emL|i%d_X6`O{G9}da_#9s%Tmrm9eRX`28FQuAJ!1NSsn+FjDorQ%yIdQdg;Fe zIKJ3+PK{OWPI|=CD55T3_1|PV5nh$hF!EW8wz!!EcM{#Fs&`x;yJlmeb*4t9z?m_G zY}(`uM(Su|%q9Ze_!b|H1KB>vwOB3kslPAcvqa|(N<8VEkjXJvu4r{%wzkX(z#}Kq zmwPv;KmVxz_*7ktRy zAd^g4WbpK@gId#@N&8e7L-X!q!Zs^65J0?OaE+|;Kd##OdKsYyA_N3kJp=?A_^R0% zo3I&rx)?h-nY*xfnpte>U)JaFApv@nq46$~TCCf~CV{Kd7t-3kjW77%$t{& z_MvsZO<%RrMae*0K;ZF=VW9rGXeVl3&z$mcBxB%3=zSOH?5Yqsz6KCq-PN;j%xV~! zezggxB9xG#Cq7)$KRP2ES3s<(?$*EtFjs8)Zd_GYFVB+D+4{OGNqUkp*L=SxcPsoI zbKdB)sFd#b*yvgAUh3h<)woUj*IG-&>-xizPsW<1F|sjmaUpLGBxmR;4La`J#J?MWf!>FWsp?BtpGi#N-> ziE%jIbfq?AbHRApchjARpY4Arw?9-x4pblxuBH9@})!)J<`*4(Q;!_3232w&l z+DC;gtCYhaj*>;G)ti&h<6_h)ra8iyetN;<p}Pi%N0Jm zGnqHu)cp>1pL?!nS|zN#VGC5Oi17XSL9_K~Sf=Kdsfa*y^HwfA7Vd`r_6}ZxkVrV_ zW&Or|r+?b;TRuUpb;tyssG3=`H@~AU&TDq!A)9aZh8on-$720Gx-Moo$}$WQR0GRH z@s>1GFIw#E=SS^EvBKdZYLs9pX272o^v`Vl6mJUrJ3`PnJ2`b+v5JU7SxfkmCH>7^ zD-Zasn5QHfe-HnLdKOwVq8i}D(s-ISOekz225LF1U2YdQBk|a#`?jI*>(s3<+|lQ5 zj}#f)WDRNNE0Y&|cVqRl>f=7eb%!@%zHU}4>5yc{At|qONR2)#Yw!S|Zy;>rH?toE z5WX(#0d;qI9GtOocy`-Jlm&C5Y_@(jS#0ulP)GO;GyWQuk+StQqfJ)++Mcmr)TdL~ z;C_+}Y3XKx{_s5Dkn;*@Y36&a{fYjIGZwV3-8z8Vr9mU($%(-$r*=iTnN8zL3ZP$g z`AON)1@@tYBt=3A`vb`46M&i9p8`7|1SK;kqlnHPBi#Qbmgq~t1Cs9C0ityf$j-av zmIQTrFBqjT0m&jeO-OeHrbR@r1EhK`M{)p~_mJ4EY$8HiU?yUfK_}Aaac&m$wa381 zIj-fbtS?OoXH4`IyGub-aQ0vt#+g2GN8OQKQzeW>WArjtNQrVV-I(Fo&M}T__1n)S zTvk4Lo(}))Z1q`O?#a$XWp@gPz%;I`&a$Q#^KV6e!XNFOFYya{QmQA;!4d5$`ul;a zrXOj|8<*Ylw2e={qb#~`u8PkIasNUe=ZQ)kvo&ufLo$nJskk*CeYcDbo~D%dHbu$l zty?o$uODR=`JwKP&##TCTqB|>&W@}79QmkxSya5RPL1|zv#oN)X_LCa~* zm+E|VAl+9Fpy(D7Iq5`l&a0|ql9e11*~nuulSF805i?D}Yxx4>F>hssQDL7je;Dq0 zn_+8r_OT&h5uB5=0%Ks~J;faN^eP)plr(3LF?qgDv(h?j9v%zDVXB}<**GORGi3Vt z9qPQ{m`!`9An5DP))11we&;!T1@m8Kw5**Cj1=nOD&3^;tV6;gjmeACAH^`3a|Lk| z@Xu6cP|!`oy7-0OBs`EcsSO;0`Md1>9azb|Ee5XfPFp@q<1`EXOazZiamfz9ECOA~ z2yH%BZc5XYItZ)&wMH$>C-WL}j9m{?K{@f$`i^7F zC<){7hpk%q+8$eZnZgaynQ$?utC3{9@G-*?_$4JdFQKy#h(u>SDGa zM_v#Oe#2S&Z{e1y_Xt+5{)8)w6EtB8U@SR=8LJ};MX<;r7?us=ULc`&HV1{AXzNJ@wKd%j6T)el(U6! zf3~9=37H(o|$Sq$R9Vs)&o!7 z4P-UQ-pLG-&9lUy3AV-8C{!}e3By0omG>{Q&{I~;w$t5JJzgV7#xAK!!au^7_OQ?} z(|Q^`!=iAnmdmWHRqW+K;2h^QD;$ddIZ+RX z;_e`vtY!RtO`54lD6u?$E5 z{YE3BTR_w0x-Y$**Vp2#Yd)-o3CU-Jj<^~+`|@F4b-^3^W6nyr39oBgltV3XzbfCF zDnys4ZIN@6x_J-plP%kf!t?h26N|Vpbk**^_A4BmJt?N0K2__r<`$|-#uGQS4znE2 zfjob-jtYHi%t-`e3S^={g1J*tZ0`3&{VDA5Uf2a~Zt;>~>Pij!zl{APZLfV5FR9(% zvbl%*XGMaqnkE+?0bzP9_GUf`3vJ2dYTUW7`;`ME@wupHIxljA?wt&N0woD0a@$}pni7S=V>|(%%> z?}}jKtl@V?j>yjqD>;B&3S9-LdzD+;qw zDKMOwY**zBl^&{n-Z8PCGoBgAr+N0A^!w~9$v)f`Lp>PiaVSfFR;G{=t}D+<{Xu^Ikbb)HZBBro>HkQBF<_> zxU>cw2}Ly=^c00ES-qGT`bC_lob^n;_^14_kNnj;GfwmpNHC7RL(A9Oo*#$4NuWO627y2 zlB#9!DW~H{d;eo0vu^9){2-fKE~c1Y%1~0EdUv@DSX%`AF2Bhx0zv%G8g(8kyZ;GX zn|gxpE-?RBjcVxX=5E5`p`V~EKOlxSbp48T{Rb^Yqc2c6x^(L+I{Fv0A9+0Tr5zK~ z!(7{2in>@`NS(a*zK&-EGa_+w4jo~y1Axn=N4tPXUdF$~UzzlEcjaKtPsQpi4qt{! z7-HIo%*Ms9AfPh0j&3DO zP51VHmGa=sKtTP|fj{`*_TNi+Lu(Z)Z&zg}Hx@TjJLvzF-@B&}c0O?N&k1g*|LZ^& zF9%3Yw8?8NJ1-4RUMUGO@Q0JzjO`y}XJa?i?H{$nDRjBTe@k@@m#f+zm#WGgt|pl`@b&2?nWYF`3`eXP9Z-h$DA{f4 zED{DUyzGo_?3~&j%szPFo*-c@66HeF=!XYil#> z|JpDcaO>6L<~C`t&N|ZkwPR>yPTaY;QJukoW1GTOPa%_u&E0cHL=V%e>BeS{JD}h- z#rkh%u5Zrkw@Kciew7CPlgtl;1q)yqA1L#~^j0~9J9dwYHIj#nJ&J59`zGhpQ95Gi zWQI8qsfTyqdg{iP69I_7Lz=_QLYp$_Nf^yz0C$sZ6nyb8k92Q-QyQ%qTc^Mv%-i&X z>EKAql%=UZr0oHTtCc;2HA*(<`AC5w&6SDnhSjAs7U!PY`?@5Hb;Qs6BGbx?4BCLe z64xuzvhGpN>Pdki!vfNPYXT3!eaHIs2HkeeyRm7gqdh5aZ1T7E#M^B*^pY4%7pc&n z!hyYH*Y6wV#@6PF*ZkC1>4C&Jr1dv1(%zsGJ`wt4{;}-j-3vkIzm088Lp@ORf3ge} zJ9WImZ{>^q=en}Nc^Q}2^@z<;`fINN1Gtr-HF#ZE47_{yx5i25WMb@0>Ul(=MlMfob+mS5aa${@9pGnIuhwCE?tFZ@yVfUuoAk+h@h8*=&!*!9 z;HZVi;X=ej{EAra#=dY@)2?T$*-a6)=A8^<9Kz~dz}|X_aD0lRVB49`gOSE%+qqi{e8r3XK%=`! z*>{9UK==^ZA%qGfc53Mrh42&Ku?%AU{Ndk=`zZkGC8oCkLJ9E$%PFyU8`@89#}VoZ z`$adX=JSVr?=lP!Wv2p46i$fh#uIY;AS1Sb`>voTyuiQcgg5B@6OenSwYLY#4$)7x z9VFlD9lTAs`Qh99DHv6j7ld;2qt|>s&k(`@=f!k>F%bgw^9RZfdT@(uE6EQu0KAjL zjy=X1DReVTKIBJ2uPC$--3=wiJO+L@mXYpGK@vHTu$MpBa}4blBr1HTQtV_n1qcqRybc zxI-4TL#XOws_K89u9L<`fF5rTWg8LWd-}xxneg`)1n6HThVyuu?yyml-G8PIwxRc* zZDSbl=Fd0-9(Vc&H2 zvO)wZ?(prB>iA_B|FPGlB|eF;_%5Fep2}bBZ28R%b?WD zifj*KzBupS`m%~VdJ>=TB{aFNe@t>n!_JW7-$SBLWfLIre(W<${A3|T1K6YG*<%P- zVG7%03S&oWTp=R0NReV}R-$iKf_t>h4f6IjTDHt0l)1z7UMJlL{oum(6MtseIGD0F z9bS|=QLkv6G->etSuo*(jhT^n?Jh=?>m4)2J5m;kso9&}j|I}4XmQqPj7-}(4sCCe zWU=d%>FXa$7BWVosB^+q#8tnDE8Fi^5~66w<=g3u)$41l>${3L$vD^i3HIBkgO+9z ztm6#wAXn$FmhpJqU=OqftJ${}@srH$)l74j@RNM)6 zN;{iv%LlrgH4wgLs^TSdG`O^u^S7z7)>G$cVx{J3as;;;b)NcLTY_Wnz)U+%xH0bu z8n2+8utEE+gjuT;#woH@)^!+Ev|N>y^@+VHw2>2U@p||xS{d3X#YM4an|(V7n@%d* z6ADlF!?pk;Y0b$L7OqxsdmC>O5uRkG@knU(We-wR!m-pi6Y1vx~Lpm zQ&k*lGu7BxW9a8|=$=^<%2KJSv@icb*cFJ@`axB}$rU?3)JB#9+Li*^WTZC#KJHz~)k8jSG1CQ>4#@0l)EegI}?%QptfCowD0HHnO$Tzt^ z3a9sheoe}#LX;h^i^|^$L1B%)Yw{Z$@e=jjtP6h>{svAl5$$QWo`SbA$+fled}L|V zX-Q1fXbZ*_1e_&kh(*a$67rJdTFhwx1Y^Has7#g6gp_Wyq-ZwhIZmm@GBIHiF@=uI zzq!{-@W)}O#(y`PgyS$R5|c4Z$B)&q$o-Y&tTqvc$;IhlmF1jkG3?IFnb5_=S#6!= z{KKplA!G!B#8=y*laZ5h*eq<&?1x2)Ix}HHk~gn7=V;7igh7~~oT-EZ@Ac^9iF*na z?$VU6jtlv#uLGJ!tL?^9ti_$4jQSqzmfHIK2*u zwlW5?DO|mDrqr+L5`I}zbT|45Z5-tdIb)cfly)JMD;*eMUlzrb#USYXz{JW@`_jCS zpKT!};t=&JPE*@XK!55UGY&%nk7r#44|~UHc==4oCm?8Y(m|PMNUi26lVA|<@qs8m z{bVb&8L8~+C>i(J25%_`%|y*nElRP=o1Ev-Vz~8}-PsiKZdnV%u#~x)qbAs34mLE0 zuPo}~LK9#)Lge_on>;Y&`0Sf*sDKTTjmll@6g-a}(JPCD4Cc1?22yx}-*DL%AYWTNu6=58lstI7RSZeNXo1%+YfP|N`N7;n zK?rXmqbigtC2XK7W@W02qyH2WB0)i|y~rH9Ar}!KY0RF;P9u5XK7+l=ypn({JFM7$ za*GEk6hppWj~KbWfW;BwMfOG7lbSN#Uf{1uFrq(I&X)Z1AG$?-ZMVEDRn;2b`jc!; zEUfy?3@Obn_tSiwSoGC#gQ7%o{%W*XKp(Has%D5Z@VsW7dihUb%Vp3mS z8$HHCMSgofgQT~sR(qXAJW{mbnDvr%f_=$;EvZD%A1PyP(_;j|YahLNyifgftt6ib zOrzP-H|P$yhdGRyOmj$VV|s;D)1kehr%@De;p$H)@`y9Jw^F2VPcC{7oatiQJ6CT7 znQ=;Z3UFl^aYvyo_|^6Z1m#y)x@9dDdxpCe!Q4(==nLPlDJw>EYzNgkD7uDnDtpH; zagTT(uzuKO0-6RF=9jgi>VDrgm`N|NpA+Bj3D*zr90Kb*J$Dp{9Uf+?sz%;VdzK%B zPcyNWGKrruDqumnh1X+&@BNc!2yg-dN5}QkE&>@dX1KZGzh6(?uIwbANnZ_Fn1G+B z@#}H;*klFyp~Q~?$Q`r)o^W8afLA;Co&*E zr;?K)*{4O|FFRp9MUS}N{G8VL94QEu6|S&*VaT%i!zwP3%mLPb;S%8gfuX42q0)#Dpdx@0LXJy6{hknAwJb&iC$N#Ix#!zNQUv zMnP(g1(1|MKUZ(l!fw<7gYekIMBR`D{SwQjqyGwvurZ}f;g;ZhQ)^pXjb<%PTS_~v zS?8B$Qw*AC@CJ^W$K`rul>S}%`0CY*lp#JH0*2rL7U!1?-@uq~ep%i$o+ek3-1HHJY!c z!|CLE|LHMeRlK0~-6@OInV_6uLKZ%_8=R2jr*t`H+>2d-!$n39iv6-I{OaF!kB*Oz zpZuP@9q{~q4csB!&hvYgxdyJHUMYcYJ-eT$QGpj7o{6Bn$Uxw9;yZ9hW*e~EaqS1% z>MglGaiey9#8MM-TVu5?GnV)mRpL}* zf~9vofRM0}GE<-%p^0Vq(FRGAh*YGjZSxiz&}X|IaIu{8SX+cHh@vRa(Jm+?BGT1e zf;1p5iZsxyo0vnEl(NqWHR7~eiy%?L18e8$CfvRL24s{qKX@aK04&mpzTnGNxQ5(GG#5Zm~Ny0 z2yj8#+#<;p>FyHg>gX!zeimPL9;??cxU<-kdspoYF_*xfSQiF(zv9N7HS68hc%u z+kJh+r2`|TkeI4PyHak7hjPwRoPl=PQ`y0*8z#CFr$h~} z=SK9~Y{Sp!u!S#(3=Jp4Kh4EsXOxs?P`C4GrqEIu^cEfaPaOJ@vRAbBVvZgx6!ovP z$V2BGN1O@tVWVJh7RZH zZzZS0&`b`K9hT^}+hin|?g#lM-7PEH=}lw0-vXf$eq&qMk=mflE~9FM~k zI{YO6t;kr>$4z}Ph=%j0?1{Y1J_lB3k(8xSW>`H%M?3!VqWjs7`qHyHE|)_C!e^B~ z99SN{DOj{j;5)&kD%^E$+x3P0hJ_AbLgjRfLVr8zQ`8%q>Hu+-CF2h{Q3uV6%WR~L zg=JJpdrwO)%Frr5`-Q}br5MW#l~K8$h3*;=r8Oy98sbcnDl4@@Ot1ur^paLQbzJ1U zn0wRYt!JT%{@;3FtLyS4Y(5&Jiqr;yD>#I-I(S zDFL;kmiW}^!-nE;@wov%gc#*-So@)f2Z>k=BduQ^LgtKoLXweesa98$RS41^>mzuP zw)K1D^7K-m+!teNBf{K+TZ@ezqY*jjm`eK&>_dK3Mn$Li6T@J}P17hy8xRy2)F4pj zpjWCVJ^e^eQyHCW+_AIb)`z2aj}5LwQ(cH@D9plQ(TWUS`N6=U%`9hjg}YggdS6zo zp;rKRNp)%$f7@VceI zF9CQ>S>VkJ^aAd>TGj|XZ}hx*k^;tCR-Tp1^}VQvc^?)CABT zDKLe!``vQ;oxRO_zi;=sC$M*nl=$POqp$Cy;u?5L>i6`jve@U!+Wo@L^3ZlH0vc!g zs0e&c4kTvuzFHvNbJ+Ixz1SwaA&)Q|yphKXz#ky(X9Ik?slWrWMUWc5P~rjU zB19v06HQ+*@qknjfz(Jq7*W3$pbV-Awj2FDsnL|z4F-BafJp1yOr4UDS8_1iNNRwg zT!G3PfV!)gD~bGCZ z_tL%(F_y(>e#5T$#bBVQ-kDwQ#jSp8Q@*$KeJD~5n^Z~Nk5Bz}_x}n)U)BFR`2puD z3f|ZNFA{IJgoh)=5Brp-BQpDm+X~*@nE@XfZ@25GBiA>Ha1Z;Y=&#=xj^*QE&OAx| zg(!O7PcUysuBi{6G49?GUIAg9=}F5NcW}mUilQR;fgiiYhH|0?(V{qsB545%%oij8 z8$0RelLhosQh?4IyFRzjo5CAAxhY0}X3}BtObKa$yl8+hP)k@qR#c=QK*9TOVs}!& zhxFTR&h5z29PUEm_E*sWLBLDi!@m6K$YR`7F=`2E4`HC48vpwDX`ZwO#k)CA^&lr!*Fa1cJkY$JN-We(YJvIQ-Sq#caoaC*XV{Fk`g;86L8&?LK4qqb+j(ZGudV2Z-15-c&$siyO z2w)F<7yNjZ|9JL%y}rKP-ahWBx!o4s=?NSS#QkX5?-2$Ozg&+7W_)-K1ulPh4g|J* zJWqT)Gk!c*d_3EJJfD9&6Mj5j-)u_)eFp;*U$5oP-mTBBO@|9^wwGV8@j(C!f^c3w z@999+muqTJ*ItkE>vhYI56|4rqaNOm=X6l_Sm5?v&-PJ|g2s~4Hs^q!0)PcY_+%8h!?CyFYHcIO0q(CV!f+-`$o21gDK8x#ZzC;$QZK>$b) z@;DLKyCG1ya+RLvrAL(2G&I-*I)>_{h=zwPBnS?#I}w@dLmr*5)2Qu?RaP@EXifdt z?XcXn-DQct$}|*J2RlGTLsm?mytLxq)7vnAWv8br5qa-Wl(w#Kh6Q8RpgRHP>Gs|I zOI>0{jcKUm{rj`)Lg3fyk1cx8q02bP;BKfm=aH;!e~x>5gdP;Vack)`X!wTTx-<9s zly`BWwOsAtR#}`vOnl{^YjW zb}0f*Ljk{j+e~h^XTkO6@#zRidGi=<%s=32%RfKhqrYS3WB+*6|A8(9K6&I|pM+cyI&(3)Y{%bD#kpsM79R&;jKz*@qC<>|sKDv&z1w1HByW15W8p_y5j|!fSC?6P(96T>1_7nv8u>!n! z0WaXGSWrrOdo=N$x(!%1oC|nvr3-k53zYk~+UxClIPvdYM@G>j3po}@I@S^FMpsI3 zgk2e6=KX~+neXK0hY*!ebGegY(e-fxXw?q>lbr@xP1no@rT&?+HdiMT(!s^f4rX{> z3(Caw@}-FDNm9d9^!s>xd_2T55g{R@gFo2Z8dz6{zVmlvSUTt(mr!2J?m}dDit%qy z&o^lv9v-N&I_Dh@y(Ts&`jhB0ylg3_1h=n%8j&|KHPGiWpxCc769D=Stdy}-&=7tO zMsPVj`cBC#eAlR$-QbM6p8DXeF=NnMEz(c;KhShanvhtf6%a8CZxJJu75y^*W61#( z$=H#0CawhRQZ~cUoA@SC`~&-9mzIQew~6nBBt75J*SE)!6X84tpGdDFMxYJ&t;3I& zgkkMT)9QA*@x_BN_ELdW98XuvT8~u#xQp$4=|h}5^oZfowDkxz0?j;kfhI2 zKK70E_`S=VX8vzqi8BPPmO`qGREmm;gcb?BXu1ePyg0 z;hmEH%xg&AfC*8N6pEf1mxJdZYVe<`5;15ENMLMRf^0-IU^rOs!EhgB@s#5*ChzIxK(&tE;@b&ee=SW zzCeY2t2E#T-u28&4a%|0uZ;b6A{e0eTk^gn_9;L*?!f?Xgmv1LErRRzd^xnfc@}#u zU$+%XbS@kvnX2Ef9A@6?fl!dR51;^-O-|Az#8S6vZ!zNk0p$>r}X@^MG?gGNspxA7J*S%cLiZzSLC14+*n ztZd0jnq|y?TZ*e zNf@l{x3Ez8GWhiZ3G{%WZ()YPF}){U6gS&C=pqXY{lQi61>PP~C%RHE^J<0g69vN* ze&gT5l*Oft9t_Z59KmJ>?A`lj3ct5i>_S`Y!fiPzyg)>$7j=2`0Bi=R;^&y&KwGJo zCJpp%BgXz3;SLHW9jTXn!b13gag8vJy@G&;TKrqoMUvBZQjwPj*V~X&TI-2ex4B=) zs;GQZK4J-scohYNk6uOFhc7&r-!YZn*?ddewo^Y` zdj6*Vqu_S+4+Chwg;w1=^Se2z;_GCC)wpF?iPM{bTE3K;fo%5OkcOIp7b`b4KSfH( zM?oMJ*HE-VOo`uD;p zazCX%h^5!+huYr{q2<&AI@HrpJ88@B#^p(0=0txajPEH~jkhk}-JgRU^{{Y(Mc=bvGfulLsfZphzJ9Hc{X?UmOJTgPfo?ol1xsn0aU9IOrxf{>si!{oM8;4& z$pc?K!{oFt&A*9&z#hB{>2tf6Q!VFG6T$IqYN&}kk=VpIsR1Le5f!x^pXO3ogB0JP&kIVIl8n|geB}il83H<#{m3SX+bzd!xa6+Hr||*+?}4O&U2o&tABt%O ziA}4sWfTwIFC7gNgm2ew&o4JG4_CR}p~{v{@R>Po?!K=6o?BIxFKy>7BHQ=)WGLHH zH(fIe&TK5Ao&W^^F{z8my>7wQ%fni!rbySr>0IeC4Da;K1b)EbJz$5o=J|BG^wlUc z{pi)%*R`XgQ?R2I@WeN5S$LmrQU7qS-Tunt%jL;m5T2lyHCBIwX}!keD5@`xbs#Jf zNSSXt=vK zJD}6;9Xsz}(W2~le{;@fJ%kXiXw&m{ecN>`nfsV9RU&PYN@eb zP{=|8JpuugxNuyxQ*63WnV;-oe-iYQKsd(`iRI3hOde%A!l8AKLVo{#0KvfE0)dUq z9~2Wqbf2Dm1W-s12>5hd2{>9P)a%BO7l91K$~leFE9 z{+3A~IsI(yw)%UbS}2`@zeBZ4#)G8o=RUt~eKw3>J}lPIlqJE_*y43c**fil0kYv$ ziglAR4O%H6&a{1%NA){zfpvp&$)iZtQ!=KM=Y7`GT&Xdse-WOe$b!fl*9E0>%)=4q zB^aKLIA3+uur9qHCYON2I78kfmyS%yp67GGVGQqOlFRF7;@6ztSgWs{J=$`rc{A_6 z0a$Zj;aFxacji533Ty23Bkse3Cx<@b-kUMxzUEDCpSO%$-6{N`qQtTqwq1V#Ixmvh zioXHZ_u%wtbm2PLvfwGs_dxq9*|JF{|B=L-$M;}$1V@dFHz#_p?N#BuQX6Yw%5u$e zs;Jcg9BxGxX1S++xrT=gc%F4$@Em3j_tk^XBeD9pp--9Zl|T4$M3s{w4tV zOF1jJ$6*xS~qH9XX2D;Ur!SQt31%TC*f?JDsf;A~%&iMbZTU-+Nk z%BsU|*^2ENq5E$rBuVCTw;;fTA1FHXvc%)bahH=1!$SS3;v-Rri6|qbkQ&xMhl9yh zs1iFksAT2T(H_+d+|y5t22QsY^_(;HGuhNep<{-szZ$8FXr+1n#m-Y7>9<+xKO5;U zHx+k>z?@gbMk58kH+oYqx3<^1^;`9N{*R~iVh7imKEY#xbXT$Jwbi2Fzt~XKYoo;p z9T;al)^qw^?K<*=^TRS|XT2JJ{x;K~6Ro1m-uCr61@u0Np)l1pZd*aye9v_A6DG<9 zZHux7vnB14ng%2>OZ=c|4v0K+h*rcX(@)xXi2c}-8z)@+tgyxp_O_4qwo7$&XDeD5 zhPs-SRT@>7MR7;fRY%p8uK>TrYx3V80dr{FK)Cp7$0`#1#im*?-NC{ue= zMF>7ds6pq~N>a3uM~65c?L4xCb93_$zUmBhgq=z`u(lek?IAPBH9^dYG>$3yw4v;D zn?~6BGLEe0cL>YU?E)RkAgE^^B9(@KqfVpsJ=v_76v2Q7{6Id15Q| ze;WT8DgW>B4iy*6wMj@V;btpzzwA9D);*pH|Cc8mO|ZZJ>%2A05-EcKQU|JzfLUBO zJ{_V)I-G?no|h(MHXP>jqr8)@DYr00%zbkeq4PP%Ml;KRJs4s{2a3Mh;d3yR4{Ff< z!_GYZOyvr- ze=rE!kzyI@3Mc->LjHfGub&{-G**no&0DM32JG)S>{2&9x(3c>_xW>OW7Cb0Cu_At zYsp93hA6_ok6WbDyd=!E8VOn7@NqB(QKTX_6Om#M(FkjEbsJ&cWrTUoz~SwEqC~Ar z;x%yR*f?|kO#@+%+hc z>={OP4h7XTV(6!4g$6fbS~g@O@#fbth_wU<>n}ZVC;JT}n#Se=BAp^4Lj1_=>#D7T zRH%nZ1Pd_A?V72@Ghw<$iiIVpfF{{P zB78UL6b+BMB;HCr_YTBC_mhFcY`xoRx9oFQep!g+ece7^xL?@Msn=fI(*V}vFYOg> zvG1u0EL{%VhYz@;EDLVzI2q`sqqMlRHVxPlB@`s{w!XM^N#UHMj*?}Q|M-M!%^0-q3&jXw-H;u0<+qSh` zdm64%J^x-_CbT4>_xbK;irsSyy9Xc1?#&X(5oJ?Ghq_XwSS6U%KaciN$|Qclp`wSa zchj~eLeXv?B$v&l4-r!AYLKQc2noY)J zJz9)}z`fZQT9o+zgiep5TV)~w&|u-eV8fFOnDu49Kn@IU(#a!++&ohzTq@C$&}n@C z0X584KQT1(fkje(5C}@M}uoxTHI3TQab(`=ZXPcXCo{J?asxbZ;ki!OHZ<^e>v^C6+?*N^RL>S&!xD-_k z1WyL%#R6kh>V!8LqDM=u@6rFE6WtTu1siVzT*1F>t;ZW_HSQk}_GyI#GC@$DT^!pu zpE}RiymE+cs%;nSU3xpJkz4F6%v+ep6MRQ)bW@3+lct*K1g{Fo=8G;`BR5QAp(s;2 zkfdo`jm$XU{tcSx>{F{8Wd;;v<#xsupJ}kg26E}xCZnPL4KCS`?WzAw2CF-eBKTd6 zGCAS?3z`*1-<#-s7iUYp6kVuF=3qp-=vXBZv~``!&awDLd1fgRD40B*Y?Zp%3NQw|*|GA~Z*LnoeC*4r+iVmK3jwXfd69{Y{L6>SR*qLX|GMWmW$xjU=8{q2xAf>>;To3;bHU*;d zVrwg(JF?yfdq~IB=GfBQnEIoMGBjb^dOc^SozCdZ!obaTf3IK=DgG-0YQhfHPXy5} zFQ5A-abDyV7?Eya(Tqm~Kwe?%$A9pb?&Yo<4n)X<5SrtTU6l z2QqoWZ-cI`)n2W$2Lrm0y2aD@1)Ky}oiXD~yd8Gu*@6y~lPnkB27cxsH6cbJos57k9)s98H98y}yoMjWg9NXx2 z@v(Ew)Q|LIac8Xhb!V)g2*Fq%VyegeMe!#ND`xf31dRPHN1<*fUJwo|tZ6@OFt%Xk zE#;Fext>NdEDNJ9G;Zuh?+^tq+w2iUM#h#c*2xR{;w|N$eHoRJ#GeVI;>4mM0!o`f zbV(djaAf8o!Knza-)Qh;vyA>FpxV->M9WzvOUL7kEm|Txloqwcl5LxNC;0SDB;;F(0R%m!op#+` zdgBWZt2(n%04hx?WIj=K^9xZO_>9!TWtgkust|j0^ze1Dx0+fxni>4;oT-}^S*e@9 z(A6mh-!>X3g|1X)q!s@tJBgR$<_{ZJ;)E^|TSe7gWsEneIYi^XMu#QG?2{%RUrMZX zd8Dk=1Eh>CDTlIicU1mN!-_m&x7q(uFJHsLpGrb;<3M2HBDMw~=(?Oc2@(IJpHt+3 z<`^0u($Xj0w{BFtVjHDnQ3?Vb5vp^qNIW67=Bw8iKPMIKsNxl=d zvl3srz=nkhk$hfr-FME!pX|nXvUx^i?jga82*clG*2!Q@Z;P05Lh8I;J;(+-KDZXc z77X6pOv4<6*ACVwHA&hYM&~Hu5-)`3FOMm<7yigPf#B*6S&&V9GcNT!cDdU&`k<-w z&uVMeCi`hDaXfe%RK90D4(ByX+07FQx5rwf6I*>eKScbWaiH8@@%XPT8>nhWO;H z6Kp8-hEg1m7iw}Tt$e3KyJ(E)kjXQYhE_cQ)#@ATyRzYhq1Q+iezcpMv-N3Us&AT7x%^8Wq`pKcXwah z-C=QecNSUP-QC@NQdn%{<$*gLzQ@%!w@E{;r{Im>3 zeN$a{Cl8;`@AHwf*2(Z77a|W0DvX%DLPGmriPBEo+lE@(&C~C>lx293$5MGEk5>L(yuU~!FZGt* zDp8FEDjmGQMFI;(3%-7o@?foi1E|=_yFx?Lwu}jb`A{+-S73%5t^`%a}DCP7#4wuiwgoRk$BG%CQZ0=9g%g zG}?Ij%4(Dk4k=3X))l{a;T=M@b9_NZ41{Poq=wQJrb1rq4RV25$g3n@Fx)YbEZJ65 z(xRoxlB)p)aA+{J97YT*>1ffiYXEU3l9Q#KOvLB1mVS^WO1GAz2Jm!sF1TwZDlo4d zks$g;5_FcuSX$Xz_Nax2v@z7sFbn>C(+a=e73~a7k$P8L|4}s3q>eGoNP9NQ$NS6~ z8|+)?8dy-q&uMb_gcp7D-8~@27^}?C81KAAWE)GvMG5s$23R#gKHtR!lf^b<{(MzN z6T)7AGFFxm!X{QvH2EatG<{2xqx{Z^)xlhjpphRFmQnGvvmdME$Dvv)gp_*`3Ohu2 zP1I`i(3g1oUzp)%SyJGuT1EsoFwxxVG0@yvil}Yx$1rpWrR+OJkqufPOo6NL55)}_?=t4X6F?YiJ@pBC@WBg7RwAp+z%X36hO4lZ(1fS#lL=DwGv>0Zw%c6Qosq7p z;>oaAfs~`kp+@iW#$b0(Y>cw36(#BPh9Egf0w|{!D&nbqi$tgd@b_t|Hl>kUCsl>8 z^jY?hR}#G)6afUVh#Y+8*meU0o&eR(#(l}gWGKZ@y#{n>NdJmT3QR-FAvpRnNzLe) zd19oBhg52mEAo~p?|sTYi^h{KSnTk^SfOe#M4HZAe7o)jGaI*IdjaCiS}ZZJ*z2ce zIH;8@ExHa#SmSyqOdl!&IDerJTIPOAE_=cO+FD|^RG=9ltgDB8-f1aqB$&zRV5p@3 z@C*F6xOIvW55-)V0`QU_u}|(KVHtXsZ#VK(fbElMM+X|A8*(_J1NR6FO$f*;*_rxe zzK~buB!}IBT-bJnUf_YjlA0WpedRmoz>TO{44I&0IP%I5f)CUk)|fiMJVz)cjUhAS zCcR{p4{e+9bFVrLpqxF4^u?pF&|mrR4|4p}zp*}rKyGF0%otvwX_&h9EqL?9iGJR@>!&tLo%mx&F?rl-=-WB z0pA4sXAXa8nKgEAhY7xEmPP->PT1^aYnHmjFVHVHvFpvcXj*e$Pa5Q69KT;db&90?BlQkVpal5nZly>W?6QT1 z8aC~W{-LEZV`nCT$KwsW@~}<|7O%w?nYJ}%$mX*NpZXa?EprnQGMVP!gKd&UkWa{K zpwL9A)y$PTLo2sslFn(Db7M}=xsF~0s`p9RY@{v5? zbA;9)90ySRnIM#K^wPukAQ8!#QNtEXZK{;PPE0ub?HTzCD$~ zZ@1&5cP9DuFnR2gm5+A=pBdY7VdO=$MY`Wi;Ta`$@!FZkE9Z&$rNXsq+bb_m;|Ljo z0_T$Bf=hi{3Vn6rR}oo0J}HgxsSkD#>Ht1fT1$aWHPciDd|RftnEsw4J|UaZy-2D+ zJjF6aI#I4vLj{v&w$4VfgjAr|ExpBbaAy8KO{l5TS(o!Jta=Ct>frkE{HMlP$T-We zOHk}D8^`OJN!zWW;G|#c53?dNdW_a0&5qKSD{fVuj4(@gWiv`<^1%tXg(A{=bV>U~ zBGQ|n6ox!mJp|obmE(j|PSEWlU6OknLXmJb2f{<&m04}2#H4{%^y-U$Mk=dy@DxX? zK1%!kL9VRc|0&vvoCs4uBp$Me_1IdVI5xN=kivnmjq0#aibgBd#;v0;e$fV@Itms? zoitrVX;lBdh(I|b60Qv@>pHG5uFaR$6?G73KpFI?%p_cZgeyU*gF6z<3LrFQ+sEU$ z)syrIM#wd$VN+>MbG@HMSJa?3v%9_QE%f7H%J}I!-}pPdVZGg z5$nmhyAwi;QUxcQ;9K>h1pGFVeER~2tx2LS{=$e&r|`wd$V7y4#J5;D3?XfLw?^qm zM71JEtJ1w*n|rOsj>j|jxL1K;d%se07%0mr!D4MKnHAZKtNp?FEat$~a`jn_ydO!v z)MLl{l-a8WanPK@*{yoEoyZ1%%NPq%O^_Tl)K;LH*b49UPsN$tt^jeO5Gn?N8EW;b z!U_j^qwY$pgWB~jc^Ej!c2yucM%|iK(x(^zZLFSab*fW*?6DQZ%Bv)p3*FJBVPEO` zIZ1Ff!0_V$AD&RiK8qp2Sk^cGpgTgUu)W)niyknvi3$V54I=>VP6aI(+#QS|9Q9w~ zy;i+$EGV!V%netPiw{;;4~M{wIf>B}ywGrlRworraXtW*yGJ15tMM@<4y<`T8>&q< zW1?I0gDbWx7~OeL@M9_VrPgQ?gefE2X51vb9e>hTc%_7cg$)jN=tyFcQxsW4xPhSR zPwZjDk}PoRTqtQsP*2f{Vjj z>%<3xW^|fI!VC(c;0qi%f26UT8bC*d?;bj?clw(Nq7d@meeNH4v)SII=lTbF9VPnT z=&7a}&oE|L@DZo;f8kefmHdBcM33nI1G3KI z$o5a~%*C)V%wy%aW*O#HKR52Zqf8b<(En>6PUrtGEg1o-v8uKoFKwr}52?lHycY2O z%~Mz3`%zijDdKzwEa%^CB~qa!Qt!}ggz^7H(MgS}P=tJHMnVk7Ro@L}eDAi_1l-Z5W)x=Y_jCLo+d&y~`A^fLA;uB= zwFIP=e*{qr#XH)%{f@Q*s)qnf|9>=gBmzATHU@U=noeA7lf7iF_m8}N|0WunqZkm4 zO)klV5aw2AwOGe>Rb#a{%+_bD41Flvdoy$oi)MwodmV&9JwDno= zQx^XF=td#wf;`YT>5aRA7Aua4JH8f=5lN7epG+s9P*TGc2(r}QAID2* z81XRi#Gth-ZGA<85yDP}Fjj^a+Lbr=l^bg-KSm%CfChHkm$uyoi%ujf&TvlbcOa+v zHx%NnoO~Dc)Omcp|8?KW%Th5g))k4({KN}dugHojknht zlpEGbo8!}qPXmg8q@lrs{0;V`!{^)e-_y!Z;HB)=Yd7R6td%*d1QFjdv}j7k`z|RK zwePQcs4&XK$nsbzG0F|ec7`c1%6-Z5t{Xn`&(4YTM~*NMw2b#TjQuNQ!9uLhL6!n6 zQczJAfGHY^Z~3?EB+BYpu+yBTrTP5+&7VcF_SDU>b|D+9st~RrRu_T6Zdf22{daw} z6Y3p^by3e-IAnT9YauXmZEV!twer-R;lbc6UGoQXe89oQFV2)vdwf|FaP%a)NTRbW z4m_GR)rP^qyd7PXyDI7XZ{R;hoSSNk_n-3;JJ?w1x$p-~NZX_ofRS5unrtYxN#Hj{ zft!&Qk0eLvyG(KGd-gC#6`!bG^LH<%7U6np4sr4sZlC~KW^gF-9m8rI^yi97iY;WXT98ns+^7C?+BalBr9evCIW=2V)b`i*WfMfFDNm1M6k) zxXnPy6#jI3p(PWMowj)v>Sv3E9?n~I^OS2)%cBR-*6NG~^!kpkoZMffD+E0KovT*- ztC68{trpzyE)grA@53&BMf{F5qX-$RmdB;xo53G0&iI^JJwRDvC5slTsUKKds@gS> zpKtYUOqlHZmOtJf4IKo4YIJDE#^W&d_YNNh( zD1tS1^y3K83KXhJdILhaQu^7pyMZtS5v*Xva^|2{|0Wle-$50q=L)T_Bv(PHpRz#S z?AN_LUeH%a-ZNQ(*w;fXM@P3kA#;%>Ty~kDM$Yu)5eE5UF(yn*RL3R#i{?o;H-$iE zNO|bg>ym(Ex_zVb&($H8eG5wRt$5||8loIKl9$IE`7q?{0H2vta4gZC& z04mgAEbA@Mkiq5N;SdbX7R0j6<@U`O5hV4us-xft4E7#>Mv;iMXYu?HI75yTMbv9> z4+kiaWWf>m)_aVOBoPbVnZ;9b@c45~k!>{5YoqJWy7`$h+9;#4Kvh+oL6s6AFOaC~ zjdyX2s|Rwg*b>g7kXU~P)BybcY-EoZ?roz~^ODOEG|>7@O_xd37p>jcmQwQ?xC>(^ zS-O1@27ZK93Qs({Or0piBi!#}cPZ5!O)Q+>)eq?`i{-)s}k9w`dMRb z6+L7H##*v^Tc(1#<>LW$=e}JgH2ZcO6|G3XB~kq)RHL=WqWKC@m9oj$F-xGfdxork zGEZzR>k&HekiT#A+quFie5x={&4)Y?(+~NrLyftweUUXAyE36vIwv}u;(bwaUFGcg z<30!5^aC~y2iq0lGrN{BYEE4P3kcjhmM~fN*7^aPGxy(USjYpR_c>|#Iz0?Vdzg@={u$R5RC1b1>$yAjmwL8 zjION`4*326f`wI;0`UgR#?~Z`h#T0hJax#hx(@=10?JihEm zHyM@cry%+zufF7`f%^HQGzrZ%Ep(uQR#4M~$#N-%*xQG|F34}faD4+;sce);JFK?b*KoKqO)>Xz7GzWS3yRlyfJ@(n+!OJ7W;jU3N2k)#KHJP9gnh zw2%*sZO8YMAj=A&(q@vN@@zQX*{iDGiV}9!Xjs>u+YYMFgMityH#hSXcM+!}^?mYg zYEt;dsF{I9r>4nXh3yz5W$qubXom3FSWqO{N8tu+hA6imExvq{?2>y00IRk)w*^y< z#Hkuj3%&>FbV8n4jMuude2Ym!-%_r`#xYEh408&aCWZ3Ro$Jg5QZ4 zsD+&PYd;P;Bd}P1(%g!*q%N0uutmzdut{T(G}*~u3(Y1CVUuA*&Y+i-8Ib4IQPXtV z%(_|qW&<0yPMQQJ9UWCtq_wu8BwAH@r)jGFGUUuWuD2krnI2E_cta9C*Vvzco?kn4 zWKzj5h`z%w;w-O=C!~E~G<*6paZtrCvRU8lS@g;RqL2W3iCRa-l?ByI)F`^pMCI*q z<=)YVVp{r9sXP2!lIO1-JDNPlv=p^_Ehn6LuV)=WvBMD=AKU6bWk z;(<57L1d(2#R|xGKF>tBMW_&v!`WVNEdH}OZ8;m5 z0JD_&8C}CIfl6n?BjdU*T~^e~-jz=jMr++gsC}ThJC;O)Xk`^sI0XVRZta&f6^Zod zKUqxR@M4V2+1~Hm^u(VFa^Z=D6M4h_JkCMXh`k2&Xh>B5ci{#rhdtP9Y)J60(Aikb zwqMtHy%qc>Y~@b(O$Eh)_CsJD*w+*G8l1xcj3jGkFj!pw$JEMaaE>!HD-wGuP7p=7 z2UcKxiax8$b}w63C}Xh|>8jbpP=)4u5+cN1{D~mRlUJZ<90?EN_))up!v0EKrW!Yl=ZG~}8 z6W$sD2$lJ*Odbi{c)oO%Kw20eU2=dv6)4u{5hulsigB_Ce2 z8H_&mdtp`+J!aZJ*l!0_gu+vI`Y05gF7=V|MjjtH83n-g-W*IU><#5Uwzz66NtFkY zmtn*d%Cz1RK>LozYLjWUg`oqPz6K>n;us!~NoaP2VaBwJxugDkdH!`hvSQMJExvnR zue*n_TI~RDv(y^YY^5Wzh7W;$;Gims_m#s+N*Y;>qDQtgW|ypzEC89-8S9AZPD(w!q8AtgnG6haz0V+ z|1J922v=~a;<63%Q&E*+L*qn9kzL_}Qc)o&lBY@N66b{jHF2HQTwGr!z=;{Z40y@r z$ZJHJ69MaZ?r*LrdzE-3U-phvcIWiy-3?vI=C|cPyvu2Z}s4 z;Ett&Tq~%8TBiye(v$lYL_de&@S6^&-?A&bmQ@=aI7rqv+9;-pn-51XA6?7p$kl0F zCPG$;5MHYsR$qaxH@wjcp~@N*uhOb&T$bd~H&s-#6jav2R6#ZQ@dbQehH^G)=-gU< zVcGxPX{nq~FexwSwVn2}y+&q{18R?dm5Ou!nE-T7mkY>ejX;$lR*?vDx&?w5Mi+}T zHiYOPZ|gScOqpnxf7EI4WX#?r17+TFj&aINkjr}sNHQf;l6bl5Ja%We6`e-G{3@4| zW(nbOdQXGONqi#37B8wprcH{9LySc-ML~Q}c2jU(hNtHNc%q3NJDmH0=a2G);omB@ z*cXb4Ahe&0%DE(@2up|{q)P_DOIi!L4}b36tq)yFeqL1n=scJXA%?jz&B zFqVB8SBGq5JqT3B7jli6;Q|f|$C*M&mhl z=Z6dSg_d0#Jcs6yLY+o$wXuHk0JUug8 zBWK3RWJj!&Zb7a#^O1uMSIESu>nost$fiiu4+BtlV;E=)ohqyQkBtGOx^s3yaeb?O zz`3*>{WWIK6G%Te*vZBuWBf-APK+m~r%Y-aSAY16 zD|B)UhqnNDZWc+Xed*nK#*F_`GQTleLdl%0bGt~QEyMKE_NWzTP209#CET3!ZZmt)49sHtZz)YHb#XBU zc^Y`5xMm8!oL*oR+%B9%-2&pOzcLH-e=n#U+{3_a#Z?EK>_5!bTo%y_+QY?jzM(KD zSJ0Ao35W0oo-dOGvke20SnF|HwTiGJQfCSFQ@&Y?G4G}5>E(HQoLt1#uN2(ZzpTFi zlq^XE9+VME5(9Z<4)71qoK=)1;GOG{1Pi;{#6n`cAKel~2MfFnJQ;rgoRf@8xb za`=HKQt9-Tzl{ypTpb@xD<=3o<=d^hmNZ6i+>%nFT{@*(l!>|Oz!x&!lVg++j(4Xl z{##ZVq)V^;bg4p^Rwd{H>g z$6@POQJU&=cF>GNEU@sA?x7@=ttwR;*tKpD&q%zVYtNV2xF0-_Lg`v>6!?+YPeOMe zEfr$JVqj^c`p#@oJZ}Ti!`iOXS8`i;nxLIWcBCV7PIuS^9A{?rg5(qYQjTe-7LGW& z7@vn&mUvd;c0|@ipPsYso%wS>-#uoeW2|Ch;;dtCsFT*|?f&FsGM`UKUwc}45t_9Og{p7miyRarbzhjv@oevKYk zpx?NIP6WQE(8f}84ewdBJ-CAub$ogHr<&}l|1?d_jdly*cz!N*Ygy{(=-=C$J3H%0 z?9c3HIThtfG)tSBB}URt4^A-Cpk2yT{7#^+%a0tL$!rtL$#~RIdDu{S)L?zsa878^Q@u1Ts&mC`nmzT4L zbwR#)MttLRd$TI}YZm|e!Nz+YzJTiU@m!!{!=A-lwxlY>!7O6vVNZWiR}9Q*A}H6x zZ#mcE#Zley0F~#aTBh1m&5@%ZfgUJb(^rTv(T4x5ZsxGy;dt+`l{{o4a z`pC}YPN+8ob@3|JAEkoCCi8imW`L#OBQ$;RlJ}Acj_LTa+)Xxfr%(sz^D{{J&5r59 z5{9_xc!rHIGcl5j;b%v5fxJ!T;TtW}MN>BMa{ZcwZEZ#UUess|8y(+kJ?UnX(Owkc z-B30$MZ<|wdqrJA%{w`#_7ruc+y#a*T||3Ia*?3G0_NBdX$+26*Ix^ZcgBrNoHFz_J&2&LG;Az^V|5io5sh;r= zHCtttHjt5s#qUUh+iU?ip ziVD5jO?lYj>xql*8f2I*@FcdNFT7D3?*x>5Ime0wP$R2d1sZW2R|eilvA*0N%vR68 z5GAj0u690jYV7J>ac%NAd(?REc0HnBc@Eu7)-3(To#_A_Y~`QbGxA!D8*foEn^1eS z9Z|m+K!4)+>+a_6AT!htUa`T)qrRg(7usqaqkRP7%M$zsYL(CSpKsv2H zo>uP<4|iWq)t@BPsrv`}N%C|{J1G5;ZJ^gSqz#>r+~NsLQqTyMz;$H&St(NpS$-SChIh(~MN{_sL=@78 z@(~AT3F4H``wpFaf*TjruBIW^`r|ANzmb;AQWYl^PY@MY*7o{0`pEgfiCK=<;0&$Q z_bDJvlD7ORp5iuNih`}h8|}4lO`EK*>Sw!bv~U%gD!0H9T^aoblcJo}`fdtct%vGL zPYs}PA)%{jHc*jQ#@7^{G`#KCI9-)D!^l*V{;Os}s$k0gQCE<|`*fLtoQcm{C?`nl zJl~X)6B0U;lJ<`%D0Mx0$4W9jFt&V!VGP6(mNiqKRtYy=DkNuQN{wmEHL<5Q$1Rj< zdub$Ch(MvSVYrXhqmVQ=*|zd`b8`+WAEf;|*wcbTo%(T?u%~Quv#078;`S&^)|aUg z!$15vAuo5{c!yJW7HRK>{dU*=b`czpVsI)6zDke^DS>pny^-38rHD7Yy=74zjByuf zOky|Lr8s?HAz%82NTF$WDqI@|b^$#d1`;K85u^<6OTJW6ASu^wz{J6=Vu zbF6q|pB5{exH^}Gm;Thrwl)5QACADzwKaFS@Xh)?U;A*aZxQudXcONAdUEbJexCtP#nGJRO9o<~oXB%Wmt ztm{MydY=V@hM+PpEk2e8l zPwF|y=m+I@(`rL&gppX&vJ_OX#n>D99LtZB$Yo!s2s%3><5bV z(oeRhXtpCmG{hN&!QjBKx9FrjTMZjHPiIw{`}EU}6v}&sP|T}173xEzJ$pe2StT{)%O(4D4bTJ-KTACU z1rZW?#k?W@qG9Bc;oEXNObAQ;5}}qG1vUtY#kLey#~MzeVW8>mold-4tMXb28Dv=Wi?@S`U$7Y7L6cTM z1KzOkEBlDji8Bz5l`V+=j>v(1oBVslFI+vP*3TO7q0u{BHNrRd*t|i8M%VMjsP!Oj zONyP+_@Dv_lzSAGpj2mG1DHEAxFxuC68m5w&0^4%6kbRS7~7EKKHZeckquzMpd$={ zv5KA|5hYlZ$!QK?A@Ja*mKxeoiMTixvbzz+Ss2j=EV|6Lcrog<;bO*okZ@=2hIDnp z`X+OmhmUOjy|_4drRbo64f*277Tyn$-5EBGSdYC%`=#E+p1 z4x2xOj*6wf$H&C~NVb`7uEpjA-I!}IG~^UG4D{J~%V=x8Wj_|NZROl;iDke24E}p4 zclCp(VQJ`se--n2{Aum*2jLM%^cTjZO$q@YZ!e$GSc2P{Ya@=N30x4Hv{tUPBm~rC1t=Pu# z%xT{kZedj8Oeh(od=DP?WqhuH`hw$6u4$>b0-da>CVH~39=Zi)z{C3Sv8eCB^fA^i zzV}(9Iw>9}fKQS42hDYx z$;skUK3sraM7pw7yU}frl5+5BokpifmU*78;=RE+5wuH!qbuE%KlFv!9iGN%w;s8i zX4yB{N`BD=q^tV)4otTBUA#S++tJQgZ?YY2mV@7dGL_|3fv43Y;B>h5W2t1QrK2IU zOr&u1r#&I5t?*2GyGw@_!hsdULAh(YH4&& zljq(^&UBc^c9{n{&vWjTRvrIKQp259$F2V-JvZV7$00e$CZhbY6)=r{ zRjDXf+bRs9;@~VZNd$3}&6Ru9@u=6^Ak&I-q-QL~$*7eTbKHx^(k(O|7FtY5tM)%s zqZ49*sI}`<>s;!w_^@;+%l1`JEzFZGJw@7ei!#2)T>{IBsSDdE#Ur$fGVr8qD%4b= zX>F3rU&TmG7mQ>OTsNq=Y#g=cV-g67P1TF|;xENL(N2^LQ^~q8PMW0b#6XR)izQTy ztCChK81pl0`#_B{nJ`jyc5m9|R*HaR>aPzY0ly-Y!rIQ(mM7SffGnhCvdG zy#Zzf9hoy4&GngAx5m!IU%E`Cb1z-*4(1SAY8Z zjcMX~e%wdf85rksyv9&-*qQU?1#<@|d}rvHa~)1|S228czA9w!EZoPcKp%3aqals@Y4#^M;X3DmaMX7 zreN6xs>&k|$nL1Air(0AFU%`-l3}Z=kBZNQ#_?LITxfC?%{#W48@tX7-{r?Yh#xdK zo;FHn7X>pH*TasD?Djg=TWH@H@GLY|xz}b{t^)Zr6*z48ER2bFynRoFhBe$&Zf^){(MDN-2)yrE2%NyAv10ZlCxbkb|w5;KUUqJbScK$ zfuj09g%e!=w|J7z=KBn!exFU2vih5*`Y*q5S(!LcbWsFdq72O6`TAn0YSi=vZ)OtZwgoZzYUP>?IQ!KqvT^gbl6heNM~x zvFLeO6@+AbQ&-Zc6truksEc**>Ale-);s_64}Xn}6=;%d*1xZLZ`}AVXa0#clC!$; zwjR~j?NV!J+6P=gc{Ev8Z1N=NCJo-CUXDBCmD2$pcOF+xs;`?zmsdWQS=bkE zF!yaMR^EG;$B#FdzIO(0EKJzHHdRC-ORyLK*+FKG+5HbFT;SZHGP{%c7UxrK-iNBJ zSD=CqeTks&-OBc&f{#^+pdj-4KGl-fZp>C|L5+ptr8lB0+&Mbj7y&wW+Z|)8$oBqZ z%QhfDm7NOji?}V+#k=?PKZcum#&#F63crh7r80{pBXp9pJE637dwM>GcL1y#whmqU z@1Xa~B7t8ZHh2x_zrkchxZI_lV<-Ayl7I6Y|0#ONF3X(XmtK7DQR>!ALT-}ipcnU` zH+}C{07PQL*&ey1{A6|Pj~xR?S0C>`<-Io=NJufLrj$$FAShiHVdVS~J2)w z=rI29-s0ziRM%K_cWFHuKdX(P^y})YHMPKV*Xb7*vGC6#qFn|S+rN%!^fZ~Pn%0al zN8xsc-%amh4~jH5chxps1Xr1XGTkehtV)`GYE|j3{6iCsoHsx#4F#+41`vLiOJqGr zTO5tauE{k*Uk-foobMP%+b#E0fPBq$gj8k^KH9IBw?N5eSF09J7=GWq%bOWOLhj{_ zna6PX+Y&woFix;Zz5$SaS=e9P;Y4Y1ZGGn88gKHFW#r=3=?I;5yy%WP?(A>U?!Ey8 zE)#t3aUFAmTASE`|6nq^1cZbeUC(9ZDwS&s7YN9eTcv^}p-JT21)B@2d?CKEo|r;G z2&X%DK>6p&wUa^#DN$`WLT>kEF(BGKGIg%t|7f>Od^|t6s^KdX@Or7Jp)#A&`?Faw zveLlibAsjccwXe=o!MYk+G#iK2!aQ68|DgEeSpS726x1YdEe{z;T!9Sqe}($etJF_ z@fGNC_TbONDjqX>%Vp6e(k2K#xm^ySl}jB0DjT-*H#Z>68O@RguKz>hIX?g-G?=*i zD7eOdy!pU~IZWa6?ZPP>dnK(I9W zCh_lrU3e(It>r`{$W)yK*SMAt!_kZTxt?+*Sc27Z(g(K{V zfuRsZq79o%sZCvc0Q$d_RkXqbkfgZt=8nHFdBrU0{|oivMpnv%{)Z4gm9f~Uw+aP& z+__xeyI)tIccpK7v1NBVS|x7Ep+=n(F_$3Becgru8GRUxR)*`u?YPELR9 zPRKCwFuZfa;`u1|Y|rcgpB*7QX9+azroKV&+d2je7FjY$+7p;L-Z${HYsxwqNhW>P z_{l;a!{oYHX51Z?)=&Etn5%mpt}2$4l4uCj-0%)z*;)tMn!VL!Ga7ZLEGsb&dBzer zH6b*keh4ju#HqM5!dEKJ6dikRt=thPew=5o$C6k9Ykx{q7WIU9?vG@1g}LuPTkGu!G?KCd%JevS+PnWrm=oocY_zMw?~88 zDyOhb_UZcO!(;0-CyM2a^TlDc+n|Zd5|5AW)`P2GvsglMz*~!iZo$W2!INr{HCCHGHa%7Sw+@b4GVPKr`6rSB`i6JR zN{Fj6j$RCnBJ+^7+1pCWV*}%bnfB^X%3{2ieV^%O(OZ$nQl;k~iZV^u85j|-XIqUQ z0mt`6$N|+P?Ljo&Eft|%Qu^rVH0Ro=Zz3F;7-{|ZMDZzv;&&eGQ{~g-GYqG{_EoCZRlJtn#qXt{vYU0Mz{eSV!jrdih2FjCuW10N$B5`Yu$5e&{Sqk#hymJ z2aLi$Qz;onEidj(Lq@c!sx|=*9u-YD;vd02p0DfStK*`JaXjA`%lX|Q5hua|#XVcv zMTTDi0oR$`-wp3Xwi>5F+bOPn-Obs?<9p4dh1HRR&pZ`PPjz4z&zyP$3&X-_@mBipRNbd}BqgSSz1TpBGV%(sLJwEZY{jtlAb!tlFAR z@22LwbiZ8bb3EgA(o~lyczfyS{ND6agfx5w{fdU!z;=Y+TRhsEn@bE7_f)!i*jUiM z-zE|$Z8@KV_JyGw*cXXGt%W;GI93s0|(dKD(dSA(E(Fxadjb$qu>}MUp zu9kDilT*^yX?DCWdrVj`fH`JCC*(jU#DS@wCDjo46tW_WcrO>@tEbrjb!7%yl|%UK z3-ox^f;l%ka{5BAdJ9LdjnUdL*5R~?y=^vt)k3e+S&+c(xtz$u3gIxMrL3K}k~Y3- z45VscPoFUcB%a7qT~*PW8b(l?*GaEv#7kN!<7a}_=10M6kZ`Qd8>n{4ugsEEm?(XO z)JkGRj%Z~VO#z6zW1GkZlF3BY7YU z;Usg)jTt7`C1!%_vq}7d*_~jFvu#O*XeILa|y~kv{0kG1onh)RB>S_(=hg z5@$+mFFjt9pA93@OycX!xD>;8-0%T5@;Y}@V}~||#mk@NQo*_fLbc?}w)t4){IMUn z4_r)b&6Xqry2Q(DLPg3ZB1FmbC>Pff#i?z&3a)#=Yn~MO59M3i6kQLzbD@!Z zHD?!xHyhLYZXwfo6k}5YiqpGmqIYK*I!MDrt(%g>Y$dNQ&0CtQ>(`^}TlWsh& z6ThiKui<4PY02#b$Ccx&+l?vij9%1W-5B#l$2i9#dAf zx<7++u72OxgvC(nHrrx^@lIBjn2O=-K zMk%*}iVMjdzeo&`4@>eaS-wbV21ee~mb7#9hLOHwG0O9cwT}??fjt~h8gM#f_F^On z^F0406d6lcN^vLXJSi1EiZa54c@$~OP>Lqegjrj;v~fO}xmybs%aMsFP%&FU%Hz+t zKqD4Y0lo&J`oe`SC|^LxAsVAU+Sb=BY=JO0z$Sj{3=wFZq?`RZIiLo4{g|0iAFd=A zaaY2RuRzaHg~ay0!aG@JJ7j(MZ3r?|01jsaw4qaY3Wm%>hd6l<5ke0m2#&Aywkefn{D%1FdD;ZmJ9?hE!fs{|uyE9YI*6&6>N(>7E znP1eF*YEm2R*fH|dBwb(J6`gbA~c=0U_A%p`fBI-67c_!NUXt(y$*a2DX-9l{Il#K z*z<$^|AS7*giZ+1NC=SULL>QREHFAVshm`heMBQ!5f3i%B(PN#Y&@pgt5eNM*kkDg zcZ@biDBwn4k_vo2K^~+L{x)>I5A{f&OcCB(kc(OAc5b+ZlTf%yP_v_;srF3F+rXrL zN^46msbiGc2&7Aj&|xd$TwyD?j8EQGqxnwT^!GdNe@h1uR-u^$%zDuZRl%iBlBcx? z>ZAI{4p0&!Fd`1TdnZ#;d$=Zz%UPXLbNw_RKzwEP*v4XdG8kORZQaPXw!q<{nk<%_ zzh?CRxhuaFzTru+DUmJJ3CS1le&$McQ+y@1d$Ak-6Mq6T+|Gr9_L-p@Jwel(oTBc} z@-{wc_yCs(MxGY z{||fb9NpQ|HTcGMI_cQ9ZQC|GX2*-KAuJ^I_PH{d$ams2foeN8x}F*jX`IvRNo2W>0B zg!@?7S)1;7(1e>36Tn@sH5ESOtWZ^(Y^G6pQL=yQ7u}F)!7bGhVQ~Z7;N{=j6We#- z{W52Qv5|b#(wYOewN*jm;T>7Nb3tDc*xH%~j4=7H5i%Q4_6#4d(xnq=@O)jtJf4IU z;1~xKJ?9~?ToRn`JotmVSeq-g=l#qb^@h;DOa|@;p z(+5eFs$7Re7&4GQf>i>v^VN(rs4z+}WrBeneHdHktEK5Ytd?ANq}xWJoii^%8w)T8DNwuk68z{*?rWBRu)JMiWua#jJ%JR})mJ{H)`X(^2KMUYx9~ zM_n_W>FLT07N_O6d2r@30UHcK^|iq3O+fRb4MLp$#yq=Nyo%1m4iz`jQeIUY=BEa0 zwldZjB>E9Xx44!Fv1|yetd1EYv$6C!|F47XoTcIF=)sO**OG(adn+5a^LX4?J@12Y z(rVC#?UBZ$KELB>6*d+(H-MfBBvQ2WKV7}u-7JQac_Zbf_Wo)V3gU$+0JxVG;9Jij zvHOK~w2OkR63_0YqTL$r$?xCbezQ!f=A>G{@loS{a$vI7ACJ-juoN!!4q7r2vHsv+ zTOXY$SgqN!FtUV;-wt!U#UkFapT_9g%%Sz@zQy$Ze(u#d4uzqNdDg;`zoLX6Yt#aMk9kBK-N*>b636k1% zyAm^>Z-R$wE1tX}hygO6Ar-gDK6I@`- zcmm!ocX6?##BoDYt$rTe{q>n%%1g`r1w18_?0H+9!9u9r!VW=7{sYYm&)sHZ`=3|- zA~wczzb&)}?p(YKlKV|1mk7;00G(;hy4>@2~4&@%W_s0EYZ7+spRHCer1fT z^vdW+e6tqcGYbdNF}zY%Hz+Y7bj&w;(YT#LjQknx5tD)Nb{=l;pGiW3R(Pez9hRBY z!YWkd1NG8~@)Zs$0E$I+>cywCg=7fC#RVxXK3~PK1pKI$wsB8srDmUF+Ofm1cs+kF zFHsnor7R1+?7Y#J_oOy@IdRH#_##X#MU&}dv5U$CvJIZqW@&OIfnMa(jV^l${He{e ztD5bu_EdTL%#FInO45XH-#{|e#I8My!^&bhBFrggsj6y+L+h=1R6EjYV2RS3{1e~^ z4HiT;h`1Z)h=n*yJK=dCs9^T@jxKLj_bWT^f)-8s-|Vr=ArpGA9pap7r-}9ra)pPx z1%mMSoloPPL>GznHL8S%<@JK1I13OGNIXMb%?2f3bjWB6<#~qYRyC1M!*algLtVtS zy$h~B{CEnk_-CI^jSL1$MD3WDxwGgWPXt$s_$hqmN<`O8=al=-;vUl=<_Hpn)=bf) zyyd{GVqszXbC3qt5-dnyXB=B~|Cj#C^r1TVRVLH)R<*@i?$8S zRnxipAge@$NFE2M21T31n2yr!c6cuo%G+%mNyGrXnYH4h^ z{WSaXb^DeqC(h z&)WpWDPxURkOY4yyg9YZ^JBBbM_jl<`j-$aT=}gGdlkd73%y;FH6abM@X$7!HIvUP zxv=#i;zY$jwF!%k&I)<5h2bG4NfumL=JBEVNrE57Cp)t#5v)@G6U=r62J6;h{a^%N z13q^a`-2Fxkb%zgzn$9Upnpks?ehO1-=i@^3y967dkowc(`)p}s!PuWDq;#jorom3 zaZo}-8+;Yj%CRk_VsDW_H(8Hnf8NjDeat>h6n5IIN*q4;!Pt6}s`Ol#~kmbQCBV?MSG|EuyW6Slo5{N%+V#`xk5PHk< zPvHTzb0cv*we*KBV#2@J^0dDyCA}zN$yt93cVk(p>Qc8+|4f!y`+C2HIQ1EYnX5!) zzPENk=$?|M%~ts4>&>5?+k$}{+?9)5I)AutrMsrQ6Z-@>PFG$ZBy@ooaM~Z?bsAtn z_qe9q>Cg3t{sl(x4*TCa;aT5-7X`S&P&gk2FhKeRm=*f)Pjm)T7!UUm{U=6o9vIWL zJ-%ryO`0o>QY0^>uOPIx8yduN?mQ}i>8!UQ3d_d4asi~`z zO=Mp(y?K)Y`Q$GR@78p@zfvF~2ssD-oLX%4N%HccG~m zVy2duE+8w+vbQ^tTZ&(MbZd+=huRnxGgg;$dxJ8EI&JYP13OkGjcy0xZ#I}39?L|; zVm%`w@xgr~;3g*V(H{3?1aY&=B{bwYTXu{IMuSt^wBD~7{lqPF3o>rB1WGd%+4TJm zQ3-YZhlXnm->de?Q>CGNJWE|cC1iNpD ze6H~Wg@9Q2sPMxK7oJq}Gv{rLw~)AqsBS0| zlyZG^sM&(I4-SNq@-MlruX%Wh)mPZlIxGRqpiyCaG6?isXAv`?xi}Y_6blNARqZ5^ z_zNHHu@kE$AEhN4qm>&|)r*Sl3a*ag3O*Yz%bb#}92fIyQ8dXJhd?X6{(}gc#lLl& zh{fy@lVmkMp6-qbT#fBr()LQ?Z(^*iG%9urs<#N~W2VGk^XL^y+#5Ed11q8QkLrWg zZDhh@cY`c0KK|TR#VO2UD=wcniv61)^G_r*nluj-d=7N)BGN}gN!tp^mh+s6#_!6> zPwUz>V8iRj_7?3NOvWDOotW8{gW4f@ic!y zrx`Vr)t-IWk$p&G^k;Kjn*qwfqMZfyUp@;MFbo0PHMN_5?#7>vyZ~{w znHJE6E4P$$w-mq}aQ$IWJg6Smz~o}!51s$o5R-&lG~h;~j@|Xu0)&2g@dC)Ddgyv_ zympzy(7hw18=f;Uos^|4?E)zjaXpH%R*ym@_G92{5TT zjfxsDSzHv6Z*4^+ugKbEZ?csrsTo=AuHT@u6Apf0y`)HKA6N%iIiiHo@Bvuo^Lj+tXhU=cnO1VW3;1VIW(tK#dgkFX-{9YX)<9 zOasXlEClu9AIx9mJH%tDB0vDu+MGtJ>%&5Q#1chjb|DmXEopGYrd>Jmp|Z{npgzl~l}I*G<*s`r3l;hF>FzRUK`kYsu@H}hpmC|Ep|zCh9xO&9lcA@H z4Bo$Z${m@0IyNK}G>jo5+TPatfR@C@H+N22Rpzs}#5>$lCcryXR%x3(qb?~cO}nKE zWmRozp&~1$hm*F76j50#h)ZgrDiTAD92kRE6WxX%L*T2j;qv)hatk;14_M@)vn4tTd}ZaW_R-QZ z?GBJ2fC;f)MaFW7AEsE65|2xEp|PC`8KjKO6h(S~Qi&!q;G|>2X1IVgQ(0a29b2Qb zS z%+@N(Rt^JX?@!bSqA4&IeV>%-G9>=dnQx*$5$IXe5b7=Qysw*3ZLN%ub9tW^`bUri zT&^jYpnkn^b@ybE-oHFN*WQ&+F(P_-34S2dYu@&WRuLFLX=OrtSB->rBKd9i6Y@N> zlmJ9b{pZW3SP{ArOc@6j08CFTf4#9Uy|6F6u|*{8X^3fz26B7k%mbedt?BXZ5 zrVq^ljn>9-r3g`VIS_(}v7!6+!Mw4ddtHCBM?|aZfzxBWt>bI`#S|!kZjR!MypksT zg`}3Cj5#+Y)itEG5hazEmOqDiEeuC_BVtw;XjP?1C7}i(IWigEG**|3#+T(q?WGAv z|Kb1JA)q`apnT$2xb)5{x(e}-^YwfCJhrLASU#p^6Uxb7R(J2F*>c5QHCK(DeKXL! z@XqeO3J3I1I=&H5mJ$#S|4$`HESf(Y$2$wpD=W(@D&zl=$t+-u3AlT>{31Drdg_Xr zzKN>qKMu2c>R@g!+2=d?%`xL%XUd3)=5B%`izkhn>=dIhe~jIEhinaw97)Rz`u-IQNM&3>(S4y5@r^9d$hdS|)JhgXL(v3XEcW2%h9Zx>KKQn}0 z{d^`4B`mzuT16YbiU@6Nz(6k5r!^FH(z@BY%YI4}o^WJgr{41P`+MzxKzU4?QY8spMxGOGvxqWVmDa^mU zKmH0H#{Hy+Jy6kwjcMvNxPqZ7>Ly0)lHQqenD-PE^!?&__j(DNWfm{}wux%JCggpB zH+JKG`c4oFU4il>hPRXAn%*rs9vZheH8NX86?JXzVME6C@Lxw5k|5AaIjhGQo zrWA3=)c^E zjxD`vx?>(Zqf;^cY8KF}I2VNYoN^dENh=tL2y7)t#KEAmgk5%{d;+m^_;`2TUP71o ze7ajUEL|pKv`N5P0bJjk(?Am$L0$IQ$548~s%N52&CFzoGJ@A$nqhmak^+jx31^hyOT#)w)iZ(vZX^_+f zpxHP7&Scqrly93ww}%bRlr&C0bMa#TRm(?RSZF89!9!D`?l~llYmXfPsf<0*G;LgY z!|=G7pQjM2kPV}FzR(3{NO`E##$(qbwz7_=At1~Odtv80RTQOP1+h8QNi~FM1#{nN z`qARn`=D=EVG*${eD1#b>i)9X;U`(^tiWL$GBUgXvKn^nk%>cu>l7NhO#T;r+0L`> zXJ8!zDzW24A2B$(^iFp;vqx@#w5rzKu+bmlrB3EiIU|SUL4Q1!i`yZiSF%P%u*HoK zGmtFAn`6m$Z1i79L%Hh80|C^Z6OlMba>@h7==xlEJD##0EUK${(P>%}mjT0K$Zp6g zM_2N652H^jWR?Y@ywH-~x{ZyPH`&C%gDkV5wx{fb2n~j=;QI7NSxtY8P_TSEb%rIU zGmw}LbHFW*Kw&MWNB+C7h!oO7D-BzGvsUP;Kuf9}- znUm`#+ld3mT(k0o)Xh?F#VJ+qpDlcdexAM6P@mm1{t_u=uUzjbsN7ebX$gTGTa;fL zU4KO*yJ~?G?Do68U2MJjm9X30<&)sV%BV#ca8N;MgUexog0D`00)=s-5$zzUH}HDV zBUdq@se`M7^Z5F4n21?{R4RxxeaD_&V#5->Y_?{YczT&3H^82LSv#h-DU)c0?vK^v zE3v2~M#qKEly*;WP&p&Yq14-&ZL2%mX$v&HTE%n$F%R7`ScGv+)=_O<-&Ck_Qlf zqg4qNA+;Nncz(!9J+#S5;djV|9WtP+%mTIQ-#VAhfIgR=M15Xp<3fQ}D-MCSX2=l!*sWwuVB}^+v9LV zM9T2EIoDdf<;9qeh*3F&b+K5Xh722A7ZiCGvnBm+uz=D6GIak%RVRLFxao`(djcX~ z2IM$_RA{MO0C>^#VR@o+GG$5~m>ZcKJPKBYISb5Sj{?bsTzXw>37TE{2n)tzhdC%$ zJ$`=kAwwJ8vPSq$waiX-F@886IH!7EpF9SYqbj71CNXix6aVtJ>AUlQ)-}>j5q)=0 zZm+S~*ze>~2;ZwUdvkkPOusd1zOTQmL+Orb8`Qfj++4rEDqOS`Ac6l?^72?`v=843n}6z8r)EX?rV?I|n%MQ?Kd_%@v%WXjvHw-N&!cHskT z+&-wGw=5oT`j>xlspJ6)kBKFxhjF?)+fX4jbvsXK6hU_1@hL~KH+~D604IPEu+rI7 zhKYh-H(F!4Yn{{Y7CFP0DH*k;sL_GPBc-(SGmJQ0&?dA|;(Qq{OvkQj)AP7?9Ht%Og?q_-F1pjPG6SPDpQ+bkL+WNNM z?W<{U4HBUJ73jZ2Lr_$=F$~3;VORMSv6ZUJUWP2?P$5!-O1mHrtN^6sm$xeH#pm^b z!7S(?N9zCzmGcfE;cO#?s+ZzUNRrQUo-m5?_n>+WrCfAwb~EHGrRU5=A~PA#btqiZ zX96n$zfDVkvZvg99{rCO!lX0pULxN<}^&ivt>UYnV-4ronQ|B zn@Jy(Cc?^21SqZ^vr!N&l&#|sh>eE6qVK+MCdS5+vQTMRGY%WY;P0ig-x#h)4nglE zVgv6Gokq^}pra&;C4V7;PF5oV{?zwJX(HgjlyFdX&jfK%VFJIai?3k0)Q`hXW;rf| z3zsG`g|4a-85YVsN*tUfrZ}{tgxL}WLlS2YH}5%F3QT&UbB2y20!9tFwCTg&AyZ*J zMH$d4 zBrVS>K(SeWe_Z~4vM8(uY4fAIvHTo1TYd_SHxpw^P4+g%5o~uQ*o}lO#4N9Qoff8h zHliN={JS&EPk8Pa;iO7!eyvgKN2Th@%}Ak7qM73rh#nZcWp=Mt4)4G8dW46Xs9Md| zRvR6ca3Q?-FyA#0)D_Yb`9zuO4$e$Hey8x0Jv4D0bS_M%R4bno+1xMho<77je(*)z zx{Q1B2=;!SBn^0a+2QkgTzPiBie##$rp|9IFo!^aG*5-+9q0?RfJ9`1{Lzym@`sgl zbzD`lgfhf4w6Ki4TNQGQhJ{#88tyoz@rS9 ziEMzoraxO7N#0fM$p&nC>l5K5MPFgNv&{$#;6i6RIy|tU)EEC=sjGF2%6+EMc`CE` zhP1L*!3W-+COjCEensKN_7&5MHWOV#|JSmIfoNwI0gQCg2fHla=^9J&?rNtq z0DrPIh_Qc3AJ!|?dotlM$K_bM2KF#QFB?%p$f-8U1XDY~`w#)b8%o&eb7TO&zJZO6 z>H3F#RpCp=d$N*r7$cF!6oSM_^KK$nSYR<|`Fd80<1E?VeJcUvS5jF#wV+&sx_HB9*qZLfOGHe7!m~Pm<40?*zXE(eh$-MG#UwF6% zXlBqiR#OhtSm*!`5NI$=ags&_5hd2|waY7!jgAy8a;mqMIuJ#U+{KG^|9 zQBno|e-1o&bB?z9>s|ly`ilU6U*EwcaS|1WCXtqHhb6(O2=p!E`zz|%Pjj&4;Xxb8 zK^wV18)ZQk!9MG?Wc---IVVYt_3>%$F5KXbh){JrXp42*sH3PS(?zop5M9kIrpQ6d z{X91vtB*(OG1Ill^IUb&om=^}o(Lq67j(x@S-hA^Ik~`nmAL+jm^nrf7IrE2km}ob zw&^C!2lz00T%&bbi;h;JQtD=$L+{|vn>DN65)DZ3eZ77@4(s1NbDcDE>u^wiosfzK zrXvm^?whwE@YxsNij3>*c0{0>HkW|xi)XbSTeL~sbr3X5UvJ%Y!6fatN=L0$Dr~t2 z!J6;lKNBpXOb(Pih}12z`-Lr$3xzEudxrhExCpMS)Qu#{xUp97Tb~V`n~|#qW5BLQt1+B`u7MWb0r9`?$P26a!5oSyY_S7 zsnswN^6Sx$?~5lV6rstFVr&S9W0lMB;IgXKg1%h_&*-5&mId!+ydy$ZFcRWoxjfs3 zLFKHDip4}&IDVvPG*Da|b3q${-NtDAFI8e;8MiF6aZE$daujkLTo94~2}zgW2Lb98 zic@SDD~UoF7fHb_fOF6O?c}rO&6St(%O*OoX{uX~VQ(j;c(iKV*%orFEt>%uLlur% zwEjn4c|iDx+N<~xR}HrrY<|OU8qq_48q%CSTjs<-q4@CiA@nvZIa}>^5pJ)fTEm$B zj%M%xS7Qk}u&tQ6srAKvyq<|wizEV1QDY#a!VgGN`g?QB0_jd;oe z>$sbnT4)gYncskSVH#0%hC9g$1o<{TN2(7gvQygYxPkCNB-`JlE;5sAN$Kn{HP}Jn zPJ2Wh%xPGqy;#d^dwn{ZNFurLrZeGv4=- z{xRp!))@V#8+s>)KHqi51n#dIW#rq4$#hY}&7ruDI?5%_i5)6vm!*-4TNNYtxAX;7 z1Zn@JPk$=E3!TymR#eN>{%=%MM-jp8-@93sCt2wc_@xxlerlBuroY##MX*qmQ6b7VH&a!%8nC;c^qPWwABKz++#`r#AqFR zOBa@img*8PBY3wTkgr-u!$}dtL|AZ$5J6=DM$h=-Fx^UHWEECn#5Sf7Y(q16qTY>u zdM+UNUB&{ss!}VZQN@;rKPFM4&;xYFX3CNgq63{sl$aDC;D*D6`ngc4uqeTNEi1p| z3#)7?@OvGgqfrbfMX_oUi4kJC`3|qM2C_4@53hZZ1P`uFLn}M~`2$!Vq=@ema{=R9 z17APLF+0+a(l}Bafe?WnLe3z}In91pE_&{SrWaZI$4Ggg)V} zU-h`2q6H@gH+J>(uj}9w(u)1+CK{T$QjU=c)afi&w&7&A&g?DVi0Hn!FN}`0<=rW} z`s)hv*X56Zb?I9~eaH+(W1tgTyuEODgb~$MDW<*J4?$JGg>LFezmj(|-^4*bif`{@ z<{|;;SxTgsZE|6d4Cp2SfZtj>EX4nI=hf+il&SPeb{zeDSlSawfLg zt1YbTngYFqI{V$~<-=fxlR*kAF*v_^L=I9Z zMGUT(mtKr&^nFQr)cQdNE`5QE`ai6bl(gTj4)l`BrTt7*bZv4l_N2?zG{=ZS2nbXr zS7NdUp6h6#{x;yb<^+?cT&izDAxG$`jV0eeq|u&|+osydOO~T~xr#JDrA&vQ_x&X> z3^0Jas9lC|h<|w;KDoX*Ur!1`}v^rtGSprd(Q+!3HkAER=VG6K0+dl@LMrEwc5t z|A{DUVO$lX0*#MxG9($(OMt_aHiAT!P<@9^m%cE(<^-{+CmBxcPy!_@5>R%i80cwO^tYtV{j-zYmJ`?bc`#u&S0|PJVeke+^k?HLD9p zy<&C&TH26SIw3lr*j2J&trra7R`lS9V`64rcE--s*EDP7sQlPG>OwFek%wK2L+%M7 ze3$zE5R+f7kH{T)`)|B`&-t`4`7uKDqwfd6Ufy`Z4OW?h{m5hSj4PV*K_oj5jOV~Id$wU51Wck{UaaldcAKEepH zVmbQjv+06H%sK2hXh}8Io9G+xl zSe+mkrr-0F>}hC9*kS-Ka@O<(uC<{ z!vS4p&~_d~@frnDyU_}a#dEV6i~93AO|x;q$kyNp;GNy*q0iJtqPi1*D)(Y>!;bz+ za?HYC^d4e^V1vmlEgl$nMYPsu!!Ux?SGo|=vkT7$8~}(~pLNt#UhSa+42%%dhBl0T zR%8t>^_xy+K0@jJR$K_l5*wRQ^tiv)&pG!@o~0_TABX|iHVQY<%(k2aKBc6k_pvF~OXsppEy>(}X-WoP$=8v~X-3=*r70y#J!!Ot<4LkB z{Bh*!jm@dU^21|zoyd+zZgHa;R?k%xhj}o*dwlZK{6tV@dls7o&1%%jP4Ea zjjgLAP3I@D@h35`Hjs8`ur@e$aPT$^cGB2Y<={p4xnM$`T=2Hy^^l!BykwpA;T`N9 zO+`-gbfzjPJIGOE}t425W2}JkfZz97pCALr>iB zk30S_hd^gN_`P0UG|Pbfk;3B<(lgVORi!LJ*?j{JsLMi0fZ9SG{lmYaRpgMPs3H|%$Wg#qvFWxkrDf^wes{-l2Qo0G~ z5FXm`7NFBOwj9&Sj`GlH4sy@R+t_&&{m}~cmQi`6U$~soo}gfN-(5a-U?AKf7(l!F zp!Js78i<)qD_8gv;}MGrU%+nU#d2W#{GmCCxQCN)lNY+e9JHB}x(g>_Sa>25taF@D zStrOQ^iTh!D(lSs<%*QdQ;qWRE+K#Ugs{#cEm>{F#64zt?diPzq2v;z;>em%OLWb- zizu^$S-#Uk{j{}1z^rND$e6w_+b*(j_;WZDjGspW+C8D;dts&0Y_ZJ=j=D=YZbzUi z2!0ep1g&OA#xTbBE%a=v>qYpSBWqSrRJ;`oSGHW_31G7{1jV}zunNkyfZ0WJ6K|{A zdPN|Y<@Xl@`>LodBj+S1hsv*Tv`ydo{>6N2afKP7Oel1)tb-o^zh)Y$^5!O0~@mNVln*nd7Dc=x!7t-ZZc9Rl@hHJNs9g?+Ip$9eW)KWpm#I zxQr?KVOcwOlM=l?HbD~yC@$KDCfRV^P)kjj&c8;Il8Y2rZl1TXm9;(e@eCh;ttlc$ zz8&r{n>&9qY;dMbZwPXCh>GJ8s?a#6RewXEz4^-a=GpsveY0mc+l)_%uAnAVH)jhq zKW?3Uux|iJ3~#&f>el4`J#3|W^{VJ6#+NIX&Ln8d2qFsn(Fl8``Cy`u6qubba9S;oDit?v)XW` z8AV48K3{zG59PQ@$Ga}GNqzdG4KSV3Tdyl2is2GyV3D!-d}rY2+e zgOiRXq$s}`Ncy!EO`K0*Y{8)-GL#xkaIp=Ap3GZv_{l2zAlVWMHbd$4{>z5%GY-Gl z0X@1PxV|7Vi(x=bHVO1R#TVi%hcoZe6Z{{Oq6)s`TLQCTr|SyehSDoQuZLqbZ9``D z_2lkxco)&&hJx{)+rCSS?RffN$j7b8O9~hY=)JE-oIXd*esYe9cKLj2jTna>ODW7G zfy3GOro8u%=`K^2++n|8lrjTNXw_$Bu`^fGerYzzvdCXAU2=MpA&0zdR`I6TDCbIP zlTv;e4xF>utXA#xxI~UJUo-lGgb=fS^3$rO^V9$dX7X|Je zGA?8D>>81SuF;DLr8ZS5eEO!%2d6ldn78$+Xv(pDG^$ZAbkU~*E18}q0as_){6Dl;XF1#8(dy=#OlQ~jT*%v6Jo>+5H2d| z4Z?Q+SD!y?60p`aA(v0)S?oD~3&T6xjC)?;7G!uDC0J{}EV>GFaJ9;w2Rkl2l)092 zM;CI{r9J%vg74_+8wa5P$ni?k3?A<;G4CaTzOaX_!ycper&Tu~l;xI_F?V)s`$3Y7 zc;FgUdK!jE^ZFT&P}jK$Gab}NguC0H5|5X1A+|XEqH-#}_268dHfyrpZZB4sA@>S; z%iH37r0G#O%dk>lFEwK2&xvTsc}hd>@~8s0)CtS5A=2s#RPn+!!X<3X2a1UTy}UTR zXTko|pd=CAn~ry;g-xb0Pn!WYkw(yf|ZaA?9NakgUH>4@P+ z^XDt_R1>Tq1TWzz;dKgKTOoqI6#P>SkdfpSIfE!?E&79KE2F|eveB2~@K;GyA~XR` zhG5D)_<(WBy^xF-x1-bO7N0G=XjVAtS^0;=yJdrKe&ak9HoMCp6=-5h+#P!0w=yEo zL`u&_V9l=Lmc<19FoRzci>6Jb-7(op()R;KT`K)guMFYi);Druoz_($Hpce!&^_tY zO2}XN`eYAF{fP8-N|tq;#d<0@Nfl2eRpUGBt^1tE=f1!0qEzj*h~;zGyc4!J%DPxe zo+qRIz{%8N;$S$T2kZuC_@4E%o9|qf(XI$gPl!X#1SpU+=(hS|B`9)`WXP=By^V;OZ#IL_!o#odW{hgd#pbhz!PkdS%m=Vo0QgD#n2R9c z|#Wd6PD!ZU0{JeVM*C42T))0W-BdI&WlpbW`xm-7;Dt#at z-jDFWF_35>fN4QO>f903k|O5QZEGUX;*{yH9DiCxxCRO^816Q%rUvycvYpYr3Cb&j7AhPRnzuW_-CkLvomAtN$@VvHS|LuI@3D zb%?`d{)n~i8v_Uc*{!XqhdsBrZw!7yf zK>=5ST6>O=^GA9FN>h*TqOKR9LY)wRoJt#fkV+dekWBO{h69f(ztI~d{eSfHMoE!& zvU`{7DP(`cS6uOCl7EQv>D%^(s!uo1rFVqGwyMwlA;a{{{(aBLsc$O=zrvMw*47hO z_m$Ht1J3F?an1XU!3{Eg*K%K{0prHQ>rD+MtUJGUhF5JGP(ei6s7m(u*zhF1H3{gKt+-y@*xNZ4q18Ap*HxPQ`>%-u9ps3z2^7BXd7Lv3oE7 zd-q=VQ>bb-M(_E~6Fwh%X;&xbNll=8hT{C#x2w8TibU!yV@7#$)vf^p7Gq%eYQ>mQ zdpD|E70ErvZ*=z!FXn?QWB^t#z|{9^KkM$dPwuUD|DMC4b>IE2W5&~?uneBy+oO{w zch1y^lvDNbcp&DDyfro>Pmq6=`lH98WV!6-@y8%xQ%eJ}M}hoKu{@fgUI;ljy`X&z zq{OLHXEFL_B)GlJ?lg3Yo1`Hj{$%P%s~Xo@_9hJ8P^~-rjl-d={(a$f0%Kg{ciwbE z$$G5`&E%WaV3b2r1mbZ@Y>_6s6iapPxfIpcvq~Fi#x~~br`}#51mhJor z;vQFy7$!SuqEuSCwxvHeq-_)fH-&>89Bhu;i-U5`Y|CFKIt{RTbQ0d1_=sYxxoIOI zPzC;q_lO&a)I!d3AoM?MgSQ_ei!B*bKmVV5H_4P@f7dTOkl{%*2eK>8zh0) zm6JKPhdL0uN>`+FHaur-YURyW7$?@DJVdEZbPih@V-)g!TWrvY*9Oc~X_)b_veo|7 zr}4L3f`4%v+Da(}vR2g^+L0bVMSyJRnO*Cs&cgapd~?_U35DfSfeWvnyQ18Zf%7~o zn+>BpBnxnQ0Se{~zsn%jW{7%e``epn*Iv4<7EPmk>K!=h>HYfYdxP1V*UM|ge{xrL zD%c#AoeiVLm9q?P{|$M?2MQ#wq}q6@6{I%ST_~7Ef#lVN9FV-it49EmSA?FOE(ovH zM}CoQ+Y*!;2Y+ z`_b8E^AF{$!yX^yEa>-e{n6MeF&qSAC14y-WBY%rZbm;#+Wh2t1YNB0*|)0Hx(m06}VVacTlae`AAsjN>0Y!$UMQKb5>*6pZHi~p=L_*VP) zOt9vS)pkKb2q{}xdbqbR(NgGr{zX+^r+hZfegPV;{M zIwmW>-SZ_K@^pJEJv`ZE6loDKs;;=iVLL^{|d^3g2TiPPy;Xdk#3E$Os9$nooQZ?uh}Ta{%V_2-jPf(PXWSg z`Igi-kN(TXN8gw4%Tixlo?sHbW^LwM*CI7k(9(jqAtb|DUiZ8}dEdRXt}dhw0Y==y z*6!(xZPO4KL-Gd~fq{Y5RpH+M8H45a=YPpy85C+q$jQd~{{IPKMJsg0tdFPa+Uds6 zzr0*0#-z1**&nGfj$nS1XH3$(lgZ#`pC4@Ns>+zKTmrqk}`6 zx@$R7&`fCq8&Hf^mW&pyLp@7%jg#a!oY#y32aywzAP1tMIP^e9&1a+2;puH1G|0fiy(E5LZV&&LyofJWA zec$s`Q(4w9g(8GY6%knukb}Py1e<15+^IZ-o683$(lIftEly-kT$t;{WcGUdIG*XR$JchUmDHFS_X9O=1%4MVj2^l?=KQdkZ(W{GcSq;G zKYhF1SbsTm@q9sq3!bQBLvp`*xyL>A-N{FNZiwoJ7vGkh0ge0{fmw5eZQBYn+2}cV z@?lmC_`HIE6bQvicxiOwvT5?G_Agr;#*t6`CN&2_V+I)&EiYe4+3IZP&BoL3T<>#2 zX~D&kaXpxK;R%U3D}4ic7I-IHk10fpapL$YxGgAfj_NZqDt|T;XBUJP&0QV#v`&6d z5_ZSRE4E%lH&YF4OK~BTIy7#K#8wa)rrl!m0uVY!qje0_!yqs_kVqP-E~1+8%7LpQ zOhC~R6edRT&=YZ+m$Vtgc|O5UA>6$rLtY=2N#a9=Z|PZoaiXEcGeL%R%QbR`4JoZ? zt`$W%!J+;|%t5v?bsngSON~MUKJkbqyl4)XSpVnm%_$tRaig{8Oekh4r*Fc*QZ0m4}wKsbw}4hUx@ z>X&$Fo2Qj;2LJfKNY?*Fvi{#l78qzm4G4*$9FY#}h#wHi0)Yl1St(ZkAX#0}u!HMq zTwM`>;~LZ~0^bz~lp2$w^PPW~to)a=e5LP1Rj~U1g}t|o%Bxu#L~+;P7M$Sj?(XjH z5(pC9H3WTdcXyZI2@b(Mc(CB^Fq@q7&YkbBGxuBT{+b`Np6+U|?yj!t-u>+A-Y4+Z z0Jj;y@7iQ*xEl6Mb61BuR8L6mq46WT#!U?5(fraAK!*B66Uco;N4P!J6who38?(7p zj&$k){Yk)*_v5L}3z0u%HDbGE5-JH_dJSTP1AFkIBwMRu!;ged?5`-ibNe=3M6Ngi z2eOmje%U2A-WKvHIV+^@mlhAhY!|j_Nt#5_4|h7l1PKIB^1N=N$My72u;F^Jam`Qp z7`(_{QT)I`Q?Y^}zgmuvB^2+S4w(HU4W)EB5u}1H4&%~6y9ve~jrtzYo??PUIA=G) z$rTA|HQgsFWvu2GEVRji{vHV#>XGjK8A{R@YQP^V+0)#p?@hgG{>e)lqB~G3in5Iq zMV=J*CuIGT@jgnWFX6cRQh}pj&cGG>f=`Uemd#5iX3dY8e>Ae1IsdapR;0t0O|=HO zGB&v~EqMm37$o6)5hePU+#0sH0Cee*2nqyh`Iwe%n-d~Jy}>R>>MQUW{u*IVNL4Rs zo}hHi<8f?1U;zy+f5mwezP6#FX zX}F!GCHX#TCuoBhzHsE^9gVAED1A|Sy{`McC=F{=U&S%mVc*VRbJTr2jP<-R`roKy zt^e=Tu{!>Lsbj5`CTw&JC2VwF90uXlY2|dBhKW|#9ivr!bpRV@5&=}Qc1UBq^49;1 z@QYu94sS>z=Bp&o#&y}ra!XsW zI{9Af4IlY}*DanwMx7ohZx%t#Z*SY5waXyP@@OOD>vs5M1()~2XUpf;g+5Emv5{a~ z5M){&UW29OeDk~4%Z2mnoy+IzHtl;eq~Ri8#C|2Btr8T|lK6naO`ON%U_vvl@I{sP zzXO_tRwnSU%hNw`?5?l-G3;KgJf1%tdc0?yc@fo=;_S#Ay2=01s4X>w zb_)|%ph{)s8~IIbORGkoQE;?DxeHm?ryUX-0q02AE%k#>{1^FF6mVQJCZhD$b+k5? zYy9n8_=n9RIBpFrQA`wP4zYkp(VhJiaIDa@O)!U^_9G}PiNLLrm`F9f!a@>$^DX=H zMg|xRM9mYYnD;w}ab~q2_l1pD-9viXS>OWQT(BW3OeKuBpfF=;yb_6uapmzJl#s3P z0^whIRW3oFFMfQ0@gz86eXUGT61YXGW9jt}Br>)o4T6bF^TY(j_LNz^m%oP{_-#L6 zI)k$uqD~vNUM4>z`Q#ns1(DB6N}!S!r~BwZkph=~j2?_~pl=+Q(AFb8>W!CfJ^j(? zr#T~Yu?YL?&z|hzRs}siesiCvLlYqk_Dxp*u#q=CESonyEL1{ap?5M5H+;XH$m$>o zHsP{V8#pa2qs?pwj7>AST|XjG4ID5@vPHKt7whA)F-GCx@YX3}FixiALdmzDrQ{b< zfmBUPsjOM4?ja4rsLVj!z{wp}D$iTdFoc?nJ-5cj?s4v?sd$J$FlIs*%PZ8(dDtU9 zgsgRwQQl8F37)&!V_cDOx+L|U)FIX)dT=Ugv>N2pZW-=XrF`8cqSNgzA{@rkOoTHx zi!=dF8UbU%S@)6)PJA~4y81owv&x(5^C z(>L6D7(-!lel(ik9-RcY>2sRSV?W*C2?u-$FpXbTS>#7*Fs6<5O_n4}_0t6^bOQKI zfWw>&Xy<^0d$r%~8*H1+5ZmFzJuJJQwY{lW9c_rg)?lzjxo_|~ey@!afl}h(-#Zfw zKXtwn3@3KJW8tj0+NAsO&P6^4Ta4Z_W`06!EW3fWzJg(c!*hBA{!uWSV%<GA)LSp*+dKgK{hf zz1y5N`&J_pLtJ>rR-#fDSy1`BZStjxj2Pt!qpliR7k6rI`}*%KY#tG~AZN zuunC)*H2nbdXLgQ`@=_BE4C4uM0BMb!36Mw{tp}wzM-~C{ssJ+byeHksS3(iz%56_ z-LXCHr48Xd*!wb=<@ox7GbHagAjC+kNTwMTmGU-ESpTb4Ok?%icoblq)_7XSg+<4c zaEA0L&A8ckl~%4YFI@0ot2KE2(WBQqH1Y%Xbb694++S3=+7H#Z4Fg<_ewm#ml8biI zwKIMot%^?vZy0#RBY-K$(|@7Bg}0`kI|P!d{Sa|ig4cQ}DvR|z0hQ1w&$HZ`;wLFJ z!ALJeyv>mZ5pE|69d@}!e3~-BYOpFwtK*lBuUhkiL4KP@N?=!9aQigV_z`M!90r#f zqBC4l$}uMEJNW_*`LT=x-Zi5rQG? zMx#!<4)jU+6xiC)9dx?Id_hr6gY{;z`7XEM0E9bts2`1|Rofd=?hry$jhMKw88ML6 zb;5ym^42bpGh8e1d5i?y+z{h-(Q<;&d7L{9g~SXg3sj`**VOsmL9D^8xsm9`P#kEf z{1A^dKg5`Jo@ER$3t&cX@Dh$K0ycPLX8Kv+*p(q!ywf`&j>?>g|JkL$bVNmhpB9>` z1x3Td4EXei^l;=TrgGA4js|P}GTvDIu_II=(QOut)}$xvw#8dhgA~!r19?o=4ROjk ziBJI%Oc_b5X}dvP>kt)Ms-cVDgrHQQIcGatZWDbH&DL--mn-P@jb+WRBge19p6?1e z&L-3#0*RD04DQ!+lZ;qE1oV5l5Fy0^V+LVpJ8)WU#L1^l)6d|_EK@M0sor4f5qVM{ zNW;KFVFxv59sJOFvU3j9Q1Oq=xpwT)JA4nyXLNOsVNRl{hSnq+Y3pNKUx8df8k?(<4j(EEFr5QX3+ z#jFr|r}Tgl7V|uyghdP}VfmU%)j{C|&nE#&SfW@F`iTf+I7LB25`Y4h3ZQ`XPtZnQ zm{C?N{_C_dL7=U~xM=nxTw%kR>>5_uh2yr{^;V?(eam^X@Z>~@U~-%MzFFGnUk@rx zcMByfJ9a_a3BopADCb%FH%6GV;if#tJ3+;yUPYA!BmWJq^5GNh-U~X z>Q_%P3ULOjic<;-1h@@Nc@b5}$cyEyB+c1Z93`cHSoGk>7QG^wvD^qCy#5WGg;L!d2g_M#V?m5fs@OK{J+mqzi-C8KmeLp2&4!< zhSI6uG_g9^$}jn{=nDN$eGX(ImXu1~Rp2DKIu}~lrxd$7yQc4%CiS5`kfb1TBS0Pt zErYfD)UQoiMiy__K<2jf^t~qUKVq(m4jAel?$IIVlfDl2T|w@`qGuWyzyRN0r(9+R zzozO?f(fY$%t=D9OnK66cR=|lEIHt@Nm-ec8X02!EN&pt; z>&o?0c#2>w?i;7;H-agZ%+GSpnHk(qUQ@y1e5$$TSkMtPoU|NLv0Ru7eP1B2^oq91 zmGk3P>|?^&;NA-bZ=ClEP%F0Y8)9LhSd+JpC=VG*0SBgW&RXjzO1w6kXcaSgM2kw= zY?WuR5{~1E=a$|dASeI!LWHUK+!T6VVK8b^>!9qbRYUF6PTA)5Y1UuP`Fd*whkm$> zNHy7zLL~EfgB6RZU3e-VQM0-W8=hLZJO}5TjAPdZA@+|Ab&exZ>kE`&Bh<$b>J)-B zgf;LcC2=D$1eHCTY%WZfpTKUVSAwnAO|dJ;Tip(&&n~BU@>}+cpNGq@jB_BW^+NLD;#v)rWi6l;}e;vUaLi zk@y(YUJdSQhF&cG7^4NlUdU4iNR*o&ieFDYZ_p|9tfvJSg)k02N*TOB9P!UhxHGqY zw}Ntij_P@5*wg!URCF{k~NHAl+V7uEDPpF~B z%b3tH>Ea#$=_|mHXc9^SDqXiQ#&m$8>cNWnbz-AFNSYbFWjaaG5`2^5>xUS`}#X(Q5a^?(x7C`kJaqRG(R&xJj@4r zdbCYW|53LJ&fA4XMalkM&JxIh#0p-$9l-QIRBYRNa_BBOP0cGi2_y`RlaXU#dCf@)$ zf6^?uuoISwCd*JdCZ8zW>`P>M^tkL(tWl9lbEGucIk+;cbST5z&vn^KZ_5blhoeaE zFMTg-dt2+@NEiro)C{lI-7|g}b$K(`t5%2J4aAn3`_0Ne6cp&YyQCLU=Y-R^$x*44 z4~o7_uDAf_5PMdeMalvY&ktv&BhD|#fbD&}#x*d}cKPKs1<~ljVu7YbLJM7$NqHji z(>hQ`{^UmAt+#zOiAV8-gz=?k!Z8MJK`<^uQh6^!I`X0rak77gc&8}zFWoBNTMN;x z#^3z0ndcX*jNKD{&3Wc_zJc|=zFYdHg-!Z)NwaY7rPHz~8eREfht(X>?>+aaKl})C zt6+mTq_Tl3Hf#GhCTW~sIi2xQwYxbrYJcj=GR@!8__Z|8%(myH1?Dt1iWvHI!gv>Z z(raXRIpTlXtmTO1;d1pIa}8ScowwL;{@VhEN7mu7FIFe#vM{nD|_Qn44ahR442 zI7lzk3nctCC7x@eX`HO|>+7_%#D&$OT1=SitzLP*z)aR?@-+Xnt0d@}9-|&3=`V9E zFysJARi5ml>MePeX@A1z>D5;8f%g{~_Q3lKT8ZR?$e`juJ5~YxgtCu06=Qq7|0bm2^n^(B#)aHt`+Hvl<=r%}(3Z zU7=>xV8+gU;cA(~`CE7K!VTbi!RI`#DWBmmv+*C-UN)Mcdj#T zKz-|S>m!!Dor1re_H3FIw>P~`puf8%@P{2@dn3iJe4#~RnzuaVKNYWv@_+%bJ<5Eu?fb=>LbviIl1#KNS zDytwsH#>g;yD_0}=-EBkKubMDb7i*Qnt)FpGRmP(8L75ba^}#UX7Z@$^$Q4Ffy&-G;MsmiMuU#aggUg|Z?}YB-C+ z>HC84GMVJxx>-h?}J|zb( z|HE?9wabWQe;(hCXRjAl`CIo!;xM&i?+?ehzn?i{ey$smX5DUrJ>X7y5~Jj9-%i)5 z$PbI)L}_rAfXH|SUx;Hr-z0p0WF*9`>xS^grf zYjwy6si+-t1k;<|gSjte%CGUaE5f`!Og3!+G3x}4Ek_E#RiJC7orQ|%S?)=qZ>T9k zU7rj&`D0^#O6j9{_Wec&wCBPUcFZ(VQ8GpbE0~Em-SW0?FPc9~51#H+9mRFAyBj}j z-i;V_Xk3VVICZ;F{BX<5bNIV3p+GU+_DJ1%vI*a{3dW#9WWn~|Ub+ihbJc}NpQ1i7 zMZxmfVA<7**(}*(4O`7JnIg#~e079T35t^5IC{MfQle2gW{PlQ>5EUE;$dPS)NyiF zLXaIMAsI31>8Vg`IO^8uyqVHiJuN<^jzj@Pg>|AiRy3$GUj?MWE?XZdDWf^HVUC5Y zs4=yn{vRCb8m%&3kF`6Vgi0)?;pIwDWi;dP2nbY(L^nM06I40IILs?6S07`d__JNJ zp?a>;j^j{w{2%Z7%uz6Cy-Ud7Pv38wPd@nH z=?ykqM(A6FE~)J#tKnC$YVs--1Vn`RB!P0>`y>8%QC*Br-ADy-IMFrzH9o3l5T`J>D6sPh(mll+_fk7CSH@Q|`P zcQrVf81!g7I)9o{FgYtd#f1V7F7yb>%6HfT0Uqa8&r{Aqu?oq@CY5vc(_nLLymSo+ zXJt-UaB=gykpMlyDWv#KI-WIfvhP~XPcTZmBp4A$M#Fh@l2oV?QK$0lwtK5Jr%WZ+ zk>*)Dy@e@(%){(u(b39;MSOCLdVTir36xRE%-Vg`b6??OsTlr@A9yEVS8iOb6vj?0`OzMiqdX#8Dd{kJzX)Wa} zk!avHc(iQUt;t-6OS&Y;`+O%$vRVp{n(X8VuOZ19R0VtRQK6Kz9^GDHnA19_>cH4e zwpj|pULk|s5lU}{YMh{V-W6?HA5Jtqn9x)_wZ$HarCeTtE64$n$1L5LK$%VxNc;He8-J#kuko^?71=mq zRvCS9HqlFP+3dj3f^A(Mrn%n{4{5w9mQq=KO&KT6O-?l6PUazxR#)Zm(5 zzA>TdA)hR-Ki=HtJ^R`>5rt4CGUy^WzDlekE{bkWoF*EYnygKyCPKS0^a&7Mw zSKx~Eo92V*=#8c$p0+)nt~*{{k9Wk0n>i=uZV)xZ&&;v;%gkb5MxTN{xFOB>PvN+Yy}|lZ+nj0t z^EFz?E2EB<5u|6F)2tufQs-;7(EHtqcz`>x-|cZqy{6|z@{Dt{OdT9eV&A1gO(3Fe zR*=XURJ$KaV(&)^SCflRqJS2PPu`3!-XBH!3oidtMm$HzAJdu;-UjWNxtN**+Ybw_ z*O2qB*BF2$pLcB%v1@NX(+ibvr>&-X(2(O$owJChz#kx7cd&ICS6y(@U_5`AR-$4k zpRk$YSNyu`qFWn26XctTeYDev_EnX5QxQ7|YoiyTHZe%F7J{C#46PDO&W0X}uE+Uv zFBH!!HPqp)oQqMWrimqDui!M5H9@Z;R{Dny^dt2=&lv&{Zma?Fb-3o@@rpO-U|9>W z`^u3i`C@uA-iTzYBa9Bcj=Do}@y|o~Zy>;8w$Vw*-qS#_D5sLJgO!9vIO|3*1CEO> zQs6XP0=8JhjA=v?LRzCmr)wud?@hAn`d;Mdf9?0K2rPWfufpjHlxMC*gMvg9`Ilp|CYZ*t zm()y?>}I)z<^WzZ(b1K5iyh?QNE-1kr&aV8xSqMcLO=+ac8=SbpV)2ZGcV76p=;8F zy>jU%38%EH`z}wXM1GF-7Z1j#shy@1?(FU_ua3vBm)(E#H)o4WN;reLn>_o=#yJ%o zP@HYq64Wy0vX^IfW-XgOkSd#M%HeFxTRQhUw8!jmFj!_~RzDakd`*H@{A<&AO6Ks7 zLD+VA^lIPcdrygT!kee1%l7t`wKAMo@4XMu&o>fei87Q`B6+p?N~n-e8)a6KZWM~v zTM2xpG)20<450ACMn+R5?l%R$ykDdmE!Ov646) zNVO!2keP;fOB>6Gmtc}OugOPqr;Ggx=`@ySV=IGXGajbog^LuPmp`~V7idomnFB{Y zmn;=wSzLJGzPq5Yl?12q5d9cCAo*8ZMA}Rq{<{aJH9E^2%Sffv1L@#c|Wv< z8!?U$KvLY%PO$)gMicRJ<#Xi zW(ivKnF%0F+rXqqMMd5v>}nJTi^ECHW)w0py(Qkpq^iG;pRFI_^ZHYA)|uYtamT!q zkN$d7bHLqn-4+W^$p)8ik^c%{dxgf4POD!vw(bNbE_Iz6mkEvQs_5%rh<2PgDI z5Mk99v;C3U^;Tv7q+oJYiiIr3w2-hLjyE#i4U_zYT5?MzYO=uo+s3fU*&k{T56lh$ zS+@dxQ(4WNFLiTtc4wb1Q>p9j4p=#cq@phe3KL|@!f`gM=xPr2gnmwtll5hqwrXjq z?&OD3E`fM23tofLOv`SllWk-FqvH@u$WTN>Br>eom#}~GVQN(=|67i@yU>>tzjv$8 z6k&@A%yUZP>OxJQ9%dy^)d*&k914Y+&R=I6`_yKzloW#bkC!1HX;BjjqGDLNBdJTq zXA&h+#EP5F7neQk9r&9$-|G>?Rz(la5183)*Zqyg?1H*2Im6;+@PSrDGzy&Q)7ohK zckpZPsEvsWHIO2UU=#?FybHO{FFeDdrg@9-mKf%ga#WRmT|tmZ(0yRqrMqfP9du!H ztxq2TRn{;L>(+rVFDd3qLF}hkmlU!HLOB(ryQG=emuQM#J>g3F`9o$*-}l+Dpl&v% zhC8(@T*Nw@=SD6}hI}MZshp@E``Yfbyp_+GiS4%8Sc+KEp9#!dij6UK?YgFe7Dcml zdNgi6TC#djp0*Cvwr18N=GL^9){$1$f2^%#ZLQ1gtPvbYI2O!n8B*D+q+g5ZzfuyP z+cfbYT^a4#)UOkwKCGMPxcWV=Yr?N+o zR&GRjhf$)7Fp7vPy*4I#+zj@pC7}*mjkN}u*v-*1f5=1huk=dT0qK-*P{?>_!fN7h z__LrnIS))K!;ibFBN783=dV$8OLt|?@$qVI@pXki$jC85z8_)ZrCn7mDU=s7*C(>G zNt@)-(2Q|WBKv1F>CS|82XEF~F>pKF(fML161>Q+hs_kf?(iZM?eHR&C_Mk>Mg9v> z|3dV?5CedJ%pK9bI$d=~cQ#u~y;itr(C43-0NjDwoWcvhCNworUwB^Ozv5&Oh{J&3 zrY#(C*bLw{o)Z+Jez)1?k_N&9j@GJm#nI~|3tlt~3!iybGXuUWMrXFbu%G5udmuRw znOj$oi|Mi9Ez6Dw+r?cwHoOlnRKf$EpA%@(LXz;cL4!^onoJqI9d~v zWgrUY6f3#>Eyr>SVRJyNaj7dX{a=FXBvt!%7rS}-U~3iuuwCg5X1_r`+L{Q~qWidT z`FR#KkehgtIguk}S-IwkqK1t|lk-GHDMHSHvdt={AY#FEJB?4hWZ^wnjO^jXkKPE5 zs?lvT;8ke}i@I*gpcUU6{2(Eoj+$87$QT=2@&*;xhS+bjfc&kuy6wM`DyFfuP6-sA zN6$%<=6BK_QZNK*t47(b_X_TL0aq+Kc4M>=&(`O+VTqfkW$F2pR~n~S)i0i^>2`j$ z*%5<5Aorfj*Eg6D3BYaguVmv^SQT%BNteGw?;TI1M2#|zr^hI@2LOct&O>Em#3Yt(@c@+=S{v(Hjht{ z(ZEFPkT1{I{-p=v6QR;j`322d7P*CDEwkGVM5jIiA=9-MiUvaOHKc4@~Q2qss;mC)*4v+0E5XgUg+jI za5w%xO|g)r+0%b-IQ=ZP&aTh;N~LY=mCIWu9rb{hTTpv*tCpPGARrgKU5x5)jlNWoWq^wZiuP(lgR(@Gk_$x+Hw>=oK1GE>Fv=LPFv=FWNsLjX1ZxeuA0$>wX&`5o z+nUM1Ym5TDQ!aVyasRi%kjULc`cSto0BNOT6H(z#jXnml(h+svVpF>mY+nQmC$tEs zCB6O40mL-KNy)!w#^b!krdFdm-0K2Wa@JE+MAHxdS)PT=WYvjw6ab@5XxDVW&;)kX zQ{jSXvrYLEA*^L~9Q(S+bF9u6Dn;+r%l#Vm{{B-@zO1FF@iPZTU z8f`o3Nj8cao_p#2>>zWZEdD6h=d z=i13r^*PZ-(@FPO+8e8ve*VgQI;g2glxp>}%_@Y&8iYl4NYzXTJ1ozS2xdp%Nl-m4 z{Ug*0w(|gcFK0D$`4U|7Cx*pw$=cOPh+TVN?+uLE3cJN&4Qn)7dLwW$aY8S53@}N` z;RC>S_6D2!5}P{VrNyP=RSv?UtLBwPdG}i^B=s`2qR~2p#YbRKl^6;D+Amkicq3cN z_Laln_%6Nrv$KMhkTGCQ0BfqCMbKDWf!M7vR9LZPn{U*lPOf1vFP(2PcD~A>Y)PpN zo?Nd9rx~#GD-X?HVdtp;t#aKTG12C2;}uqqev%>51wEplMrKeWTH8sv+VTbsdj9%{ z0O*F8SOymq2=oLn4F7q%QN_>JJu+MER?Gk04>1w=R$Z=q0_SythzYmDpAW%(PRp~W z02@F4eXIwt&;qM_q37F5KUMqp$z1pElXIiT&!K*2|Yr8YVGgN_VZePU2wr2p<+t#oD!xK>910ZJ!FFqVF0}$w9CZ-^gme?J0Cbl3_3Gf8qi>pY`E%Lye$>}GS z5Xs^21k*MzXVca^3ealo#z;D^R{E_}C3!i;p$DCeMiW3nwKWFiVn%>+YAL{Ym5qLb z9;vi303t1A%JYHQ!L1VjSabj-+&tgLhU4oj(8lC;=n?gzUl10}iMwCvcYxBs@((0) zc>WuQI*raq_Xp)&X5af`7ZtT`;G;r6C&nh8pDYN!c)iyUj(#V`DjbG}CuWWi-wJa} zdVxk3Yb5!xNGhyUgs_WEI3MOy#3a}keAibyVlsCinSEdIBibMza$IQ{VJnkxezCJt z57?K76Vjt^G$Q$iS*BD@Xj5QcQhUHqp_l>AY)UM_69+5XvgKkP=2%xfa+kZ(}ASeaQpI# zPog3>SX*Q^oh`gkDxjjq6Q&s;F251b4gQY9Iv-}7u0E}OLI}q-O;m-5{)Ulxu~d6F zsxl3NVq+~IJlIMWZ5|B1Fb^sKIWE8x@!i#Z5Y%)hNj#~S`3(|We!GcrOn@)cFNq#s zD1LF_AFr)B!)8%&(jGjGHUUrq4J0C~vi85h;AQDPRiGo9ViA{TnaV1g*!wY~U4aqs zAVGDG6=OmMQuM>{&E`P z2321#pPEl~LN=Fhx5rPd4DIngATT%H9liU64E*cf2SNxup?Bw@uq^8Nbtg;V?*?L! zVIaEBDxtxTv`7Q2*x-zbks(#q8wf&(;mfg5;NHjLrb40&R?D=Zk_eMY43i2%QcN@D z@v()RjP;zz2SE8Ye+6rPgxgU05*RRH--p&Chy=k6{1#h!h3~|bD<*fo_+n-on~^a0 zQzr9xh3u{3M*N_5CH9C%Ap2I!keB~UBHH|*PnaUkjKYBa7B?bHmb)LSW;5Z&7-oo~y`P^P-z@GrzritwID}5APoQeC|WGv{b0Y2iJ29jTCFtf<#DlS*U&Juu*yQN|4Cx z14TjtIU^?3VbHynNlaG5l)oV5mE($Pu!NMG!g(@>@Z*ZUM-F;ZRzmIN1|&rD{bc0y)bads?1txEQ`q{B-T$-v6ph4Q$MiR*ubvHOE2qR0DJ{C^hB0{= z!2OG7gYTwvcj<6ac?PJZl8G^`i1`lRY-Wrqhhb~vbN4Sq~_pps|uL?=fK&TzY1WZ{bu zJ9~LB`dd!#{C1&)>`Ow5YPq| zFvVayn1a941Yb_D!M|IIw-E2@dW?BIR;xbrR`)}%bu;*L_i{d17GZ;gg2!#Skr?O# zbHaAU2zknOMhZzR@Ct@) z0|`b_tgpvf8u+e4rirH(STFiMimgO8y2u0CCtK%g;KZj~& z_0Wp-4?q#TLt3oAE$-{3#-^U^&v6!v=THCRVLnS2@hcF4Oe#Mpz&E4uneIE79UY9e zUR_oWg3r7J^y6%a_CtfhhR)ipMeU0R{8uP85Ei&)EaH0{bH9iC&v$nLO6VEnU?_`j=$hdG~6j78WFuO3#5sO}g-n4JTE1$sT-zPKRp z!C|L<)I-4I`QilBK4 zeT5<+w!yZMX5m-X;O6z1K-#^;NA zCFa`C8Z68OHMmanw8?YOL;aEG;>)ZK{mI#2*m;3HWbA0#AuwAHlheLGGJ;IjFp(~c zjZ?Xx#oRpo-mgs<>Ab7*`Va+vHp%E|Js4mnbC1+Vdi@Sf`xqu)u$<&i0m7TJH3}01 zFWmq47V{om(f*q?mbGRAft=R>#g#ClfH8HXA^Cp5q4y*{z^nM>@towgFim*3kMQ_n zOh4U+l*~cflRfjeaC_-rwe#RE==-Y6T=KZ~%Abki_!ad*Ud{oM*?+f-!4R_n?9EJxLs1;7$fJ8K-P~U820}`wZVTWU%`- zDZSmhMAVX^0J@&Se%N&Nf|bjmgGiRsf~u6ShSN^dkLMBZd6}0dbr>{qdH)J7_$|0Q_NQPukZctk6SLkapBUv z=jNr0+|wP!eF+@4hS9z8*y=C36%xv3f?B*yd3HK<&cX_Xc(=7aHxJ_w3~yHv36C%^ z*A`^F(hIjdDWJFnyP8=v9;4JHdL^j$lHVNocMq<3n7S*%>27wyB{*+2h8H|^2YK#I`BI~0~h1S@O=%Pm!EuLu-`F$1%{ zh5*a?1nwb$KOGo5;JPuxLUb1(58DMXQ>K^WbLx}KBYeNneh3^TAm+RKE#cNLv+-0g zYOe0{B>zd9BQO{~@rAYf@QrOGg=B>oyU*W$Dm5D|r$U>CFb*_cMLbaPqZh99ASIIL zO+}%ik%vvdFAkPLo9Qk5a?taM_PCFb7EuEiE}Vs#6B=xw7_4WG7EC)e|M@=Srm?RS zyBJ2O&g1p+{&?losK$#BsR7w&cOmDu#r+oI%el`TWINB(XG8W#MXE`%K1~5fjpob9 zkOv6G5=1|HmZf#z6v@jII2V58HVT|!?D}LHS&jC5$uP`=AFr>#>wSWrX}Bw2Lwk(p zM7g;?La;i$kL|YMi{d`!2=d2l^k38cJ%ZsiYl0py6CXJ)&&Y5lG-!RNkZ|hig40Db z*w#_yVwy~|KddLFK>M(1Zyg@a=;m8(9d1zx!eYpYj;5D6QgE536`!&?1vp|FgRtdJOsCVhYMsM3M6!Utt}6|u8^6ffLKUv^7ervMuT<}tdIzJ02+YWmwn+(7O`pfcT$a-N!!$oyEHSBF)5Xi zpJ-!(sWa%CyA*w+F@r`_=?8VraU-i@h~X-uT%nPJb;N(O?Yv_ZV{zQVwc?yub80l7 zhOo6ex>_5O9akQ6`t)G!>h+VRbjqg7Sqzki+iOx)4Bvdpz0s5`I8#V=6Ukv{kwhnr z8R|(+Tu>1#FXP$}!SE%iJP$YfXEjlS+D5~+N!!g2W%=0T3MHie(jw>QFSamJ(KBh( z?L|e4vTDohB(%56{9EtFzzP$l%40SZzdZsIlT2Q?@VCflcLap8nZM%>|DaIboKe z;-i}Mg-A=R4$Bm0N!ecQei=cACWYfItud~GZMDtZt+}Bnp&>EBC1}J#Da`bW%Eb^P zsxVVw$EtwOFn|jA=%?nwUl*GOzqauDC3`@v0!^kzxb47WJDA(Ud z@iv{mCgf=^?GTWu4_E8Zx1}4;!MV5nG({@Nc&;{&qC*i4xJB zmIDgC(EL%Q*}P=Fjv#e~pC$X&tuV5S$q(3-XU(5w1 zRW!{~>9{FMF?FzJFTEvY2-!q3ywjrc}=%8Y3Cg1&uSmdtnL>sV>R_d zSC)Skju^)>NtkITm8Ri8uV6h?dHUT$vLMY1`+Pv_3H z6S_4v&!wcQ%(@FNXaAvSZYjgJkT(^`kEy=2Txmx{)DSAU`J%*o?3#bgy#gj9Un5un-h1v1y7ZOz7KgN1 z%^B-)EMltUCA?}NH^-Rsf2O#pw<(Y#53c-pzGnY7ReHD*-@Y(W3z-v16PXiYNUj>+ z9v6s;s*M35%2napqbcvyYXnE5snP&>o>Db}9g2z4M}ZuVKut^Ky#vxyYIv$wGhve* zKyIKNzLvcC(42Qb#yMj;#=;VR0HXuabG>bClFSQ}O>N*>eD#;~SqyuVa+sB1N3VV*XewNl>OY+Gvqqo0B2nej+ zVo`_^cyI7*^h1W7^YK;5g7aaN;G<15$w6;{p6WbH<~V+P{D%J_~~IN_BzJX#j9FPXQw}i9$tW3!8x16f6_H z+)E*SY&>1~8I8ODw(or;@W3%?i3F1Y*JF$U4%b8mhNxCA^r}Z@o0X#tj6BIi(op(f ze+{Y6Am|L>G#miuLwN;^Uf7d`CUP^+_|Hs%(^xnIj>Y=kU1%FF+$L})p-n|OAflLJ zAj5Fd8faGwi%h29NO^BEJy4Jpz`En`%hzEEXxY5!`gb?Ex3+3`w~Kt7Gj71nu=%hA zjE=^qMw~?*j>SldW-9<$;nTUz9#z{bIz|Kd@dtull#W2#0l=~1o=Zu2`Xj6dh zhs4RY+173j0?lGOo{R#DuK@HN0g6jTW{=WvGX0-^;}d&D+_&QRM6qyi-TL@My? z0&tVreu_qLmHdUjOfd3QN+^upnWsXDOuy}j$wJWh$_)c|<3=;IJHy>%P%OjU?`JJ; z@F;U=XmTA9kzq_sz)O_wdPuid-m59=4Zeqs2}s)t)hfqjR9PW(V{}_xl^K@X0amFBU*DlnNu#1~dhUTbrTs!*+P|F#B1RXwVp2=~HsCfe{=5 zq#E*@GcLdshlhr)^ApM2129C^bpsF;u%D$*%6oSKvhJd}ZvEwf-ovde7-ygyAPx5? zzH`QW06nAkHX&mGHYma*5NZMVNIB>u4LDpWfB=&7?kgZ$#Wxzk41Iv0k~4qT+Cth`l z@f9fg8{iAN>YoI^BFKTde6xV&ecyGsf17_@(zAHH*ND;Efypd*9C7+1vn`~dUtd-- z5TPIw#nqWR1xS~p8HoEi5h$huw9{OhV{v4q^>Mi#7@5lcuJFTT0IOAwiL<$j8~VYf!7j+DubxD? zLDYX|^)NPI(lrrn&zAI2ED8lW1`}Zfz*H9e^r5GqcbY@MJP4)U2CRGJA?hOlafK}B zj5BP)cPWA50CTAUtiqytr5=X)+(^pq_!p;TPFjq0ATp2&P%IMh;2;MOH3G42pz#)< zS2=w9Tq%0?MX#I%*L3yDV#3c1xVp9XV|mam46Sy(;n+U*y&q1y`{02kj2d?s0YS1p zD9HK$u4ey-t!8htr`y_wBw?Lx?ZF{1w0NA3qegGcF>^Gwboml73CItDyIlH=7SNf&SM$=C{Zx>>= z|K91|(@m`(E*S*Z*r&wR+_c7*n=1-~`za@;G^5O~^4L`fQwKYszjGjT>NT24eqThD zyt&h+)E|z9gd(Q|`zt1wuF)6ViOT4l7_^ZVgY3s9!6fmj&>o72 zBxNpN2Q3Jf!yj2C*|0* zF!QLo1*|F9``e9h9$dh8QQpMEi0uKBZP;WDWVzadbl@)tM$W-d++>gQdFzKM)fn#u zR^h3q2d>9*^^`w}Rj8=KN22+wvc&8Md^(_NfPhXe4JWZKo2pm@hY;ud6kLE?32ceE zqD7kL%MP)(y`rjEc&j$?G&t>qX>58uUiw_NGADrKt#}r=?5l`* zdw|bjU;h)4>6-MwgTQd3k}Y`YuzsO4*WUd{NqYl_L3oLQ;32#&b}^wuL*~Jz{0r^8 z{Bg3X+bgWyGOS%BW>HhQ?nQl-HB$^SwDrP9eqS>@gmuun&7c8EPj+}*2FTU%*SPBm z4TNzMbG)@?!<+PdeHO@ZUwZ^MdQAd)|T@Bpceg3U)UmAPggIG>Chq#8VAjsLk$nncb9<6d<;BI0*fy6xTNJ9-AR+tdJs;Ou{^B9`;E8 zl??>1n1ZUQwSTOkb)Rfb?mPQ^F@_AUyC{cWX!O%1_CDAKzX@ut(R0mV%Oh*zHC0K3 z&!CVPjt5|(o~$hAvN8QB(7`M?s@eS0??J9qE1vYe zkvB^jB!8vZem&d)VMh~x(!_|VNCZiqA!NE9W#&6oCD+Im8@ry7=xy%_tZqqje~Zir zU6-E*KGzA{kMtDl{}r!4hiUGI~Iu@pr?9SfPgb2A*c zE3oV@X((r#-Cz`AwWLih8&||JTxVuJ%f|SfRKp+W#QrHNOI9V3{Cf=P?xRvRp)cGJ ze9dt^FS>h&Z1<9f_L<&IhcwT!*FSx}%tUW7LO&A|?odC|5dGcv^L!w9bEXP0o6}{z zv6;&r>@emra1(HBHs@YcXTvgY;q<}%)CTTzX7C(HOGu`9U-kZhmSI%8H*D*`(#Fp& z@MVKDE7&y<+l#Y%ui^8>&!{ef5YB*z`5tCg_;uUeD_p5<3e{DqSKnJq?qTDL=zG#t z-j4HV!Fd-dezyR@(NDccf{z7U%hz1iT;KdZzx4U0Qk@$6~c(`&t`46o#c`Y&laS%}GxqD-2%|X_9pE zoxLy<<4ju#6Bql(kOYKleS)way}8oTPi&j+LlfBMu2qI~vvL`@jXd8(j?;_@6daeO zf_$#lMg`Jp#ji;tU%#SdKJ|iR6>z1XQBuW{4?#zoNIM4YXsToxb|e{U%FHm5L&-w7 z7lRFrU}WXd#wL(cr^q&PMPwH(Gn6dTmw0H(UA3m|SW=5?-fC)A^%%{Tj#rb>J+uAS z)M#*P*>g1SH(UBvp!g!5dmkl$kHODD=jE&E_uW_lu=dP`mkna} zQI_4?<`HC=^G9px#n3#Wg=*&RNhcHWD%t8RIEODu95-|~Zx9RV#Kk<7MU9WK&=&I= zjudj1^BViHREkkuY6K^ZxqZg6xnV;o0*F!cz62QF<$QE*MxJG|G;k-zaKV!+Y;4wp zGgZi<4H9sZIL!alcsS3jF12sY={~OfZ_~`Jy2UdMn=g7sH;lYNOks=-Qu$e}_fKWB z>YIv`vqOU|qd<39pZSA1YtE-#5P!|B4)AWhE!g)&g3d9_SIRDr#8U;tsoa&v`WV6$ zkEP*N4uX|d``wvgJzRSq9glb4pTeiXEwSeiYr+D~k0S!(C1CFg&ZiJ;*ib16S+i1#Hd~(ZECL+Ei#VZqh zqWMqcVSCZ25^1Q{igv(8zs3aHz(d+372J#fd2EnzNE>C26e#0Y6GUvl&K^hzK*w(a zETjVh?!_?V12FDVa9&|V*FMZ+#xpx!T*gD6jd90KWq?pb1>?h@iFupeF*ZsLL=;tbO!%tzW0d*St>$(DXph!+FPY!TG;k&n391`K)w=SGV z1lU>;-aOo5@yIIP2RGb6#0pR@XyH8`lBNRlph$l3p0PP&15nQ8cz3~i73LPlab$QX zamF*uNLWYMSXj#}btYSZfsznXVW@E%qN$@=#b8LeqoK+m;fgM1A;4D=Q&CJr0<&7k z5QCJC3Ly-$)E_k-;{Jd~u~Jbiq}(G@DByEcW}=okia21$+E4^6q=#Wg3_TJ_HWZ;X zTAc=HqF)PG$e=r@F-XjSGf^Rd?j&M?7RX-r5fa3|eiyC-i+??N3;`aq$IG4}=ixFE z`p%RqxdTi+;3E|e3Aomvp^#4jC>-FjJ|45|&~T%oF5)2krUE2OD0*?d0bKeTq)bGy zOHEL4;k&NnAb>0B<@W|WlGP~Y$-2CU2nnQ*0Qv}M=b&Zy+|dk*kRgfbLJJdd_&bu2 zG2$$jg`ucfvzLv8U{p(8vEut}9;2s1cY)R8Ey}>4vnfXsTcC_KiW;_Kr$Tvo4$sR3 zT?@VdcLgP-PXRF##poWUW5r7pHVEE^I$nn{%zkEulS*8mW`)@Mq^-w9#8{vX7!X}G z64cQiMzFv;kXmo&>!mVY>+UDYUo_3$T>cc)G6Q>ur0bdR7 zk7tusdzF<WaWrE)!&Cr2FbyXCIO8d~T%NgGCt ztl+;A_&&9FeP|qRq0m*0cw07=2$S zrLfIiZSht-h{HjPm3M4$2c!;fd<132pk`u>>0Szz=7R|!*f|UeSh-u5ACEXQtn$~62=*g=mqjtWfrU2~(}x*oAZ@%nCwx#I2oCCYlifbD3w=QB?k z<3YISB?)8d!-EG{&17x7N0lNUSn4K5t8ZxDMJ91sZYp8VuC*6o^k*t_kuiB;E|r;l z>ArAHC8i7vv?MuUCO&?AN50^CS$2~g4&`%mXy}tO_ z@M$?*nd*Q>brTPojLXm*req7>YGntm72vlWlGY0%y~HlJ3?gVd`tCRf2XW}`#v+f+1_~KvIP4Fr`&?leGJOg zkP_5iD#A}=!&eW=p=9YNv8bWU;7&aRX?7zon$d<3*uddPBtQ*6pg=(JL3{B{>~G#{ zQ*Z9}RbSzbo=jK?1*u ztji>4&2z;bojo=z5N0%fy1>(`-ga^5iOTBq6Np$mfj#5h>Tx0em6sfKIFK~@>~+*# z)Vz0RV>{wh|b0*R# z)RDF|6J*H3BZ{RahZG73EW2PNyau^%NfI+$a)Gl^!j4|=6C8Q#VKBh#`GxF$vsQaJ z-7>!Yiuicu33zO6Pj`7)e60=P%e;A&O$WzDGqVi^Yv`oua9#QY7Dpns{S0refPb{_ z5k7JIh@}X=WS#VsceT$QHtBS&8dJBSBozz`O$G>KOfDjHv==Q^E$OSHpJ1L02T9v- zPskDNP}q~-=PDy}=&C+-!4lnoN*8BnnvQWq&znrTd*T)Rg zJp@(cNGMIT`a0@cHc0zWbdeNcll!;vA@(V*9d@d-Xx1;;%Fi~(n|#gwn%krx_y*cz z_>7>et=Js3VAxznkKZ{tNq!AM6^lp!)EG=6IRsG{UqmGZ&)C^=Xawy2=v zLFs{?J0}Qm;CyJOqWw(?Hu2HzLdzUj#lB<`SJ+|~tfUZMPBn_TgODde zwD32+7|C_uP`a`Ugq#{FaS+shv*5T|eR-!`qu}=C7j9@ftsU4V>osuW67cWR>)x=x zED2lMeLp8hxE2^mP#|r1I2bh$;4x~pNbc$x#(mLH=UT_y9hb~+Ke+VuhrT+vA9FSc z&L~Xi0fTeLMFmY}W>vyw1r!Z^m~zI_GD$Nj&7F2RBRB*R8cs zjA5rxzbWdIoOE#_J-~5@>GvaI^uRjRbYR)MX?~BD2L%p7v#jbTFATr5+HE2zFwe53IgDdZIa>9QwYqkkE@I5 zXbJ^5Tbd*fR0fPKLrh3Ab#$?1Dq)cSq}!-x-F{c>MiL{_#tCU>T!8RfQhWxd$Awrx z?XcgTc*}ZQL`VG1;py@DX?suZlp*rY{vG{6Q49a7$NBl~)4gA`?+*)sKxR+5J6G@?FbLxZ7g67bpZO%&_?HdR za>tOu>%n3eu)?SoZG;G&d)C?0g572`%JFY)tss5#SA;jq-DZ|5@NXxrAYZ^25Fo&t zf|2REF|qncfbdPh@`9s*T;h=f5LiHFgaNaeqfkPSfZ0qUQjZ{lS#&mw5prMQ`oI-O zX#-QWx@xlbx}$y8MFj&}(zsKbq`;FLY$}|$JLDYDk}v*nLRXRiQ_EX0|NThYcf?X@ z=Ckf$oMf{%dPonKJeVoVTmbN;QqHmw5RO#N+)qx+iNoP`RvxqG`rxiz zy`>i68d4zUH{UFv^V;joh1?f+C0km+javse0!A50oFGFUoZ8DouN)66Q{t431ykK% z61HZ*_*oxj@w+LbUt%4*)~u~RDdw2Ix>EczG-0yC+Ga0MSt@dXS9&uvQvi=0c-ii! zzluw+PCEQbIz+xg?UE>T<{A)UnIR)uDy}qajZTBDI&^fr=7OMsPihXDX)8Jw1 zbz8lVp5+Z~YCIH2yC=9E-MKXn&;l-6-2T6Ap*I)_FKT~#nG;6bAq%=jC1n3Bvi^y4 z3FW`XZs>6y=Td#HfY!Xm+_=t6*XE2{+p^oZW6*YN&vKP*YVyu{q0XUj9aeS*0l zrJ{mbsu|Lc8&00#KE$;TK5o&vn#1@tBP&uncp}Ru!Pzg(VG?ztkv%^(A3XVl-OHwsSC)$()c?sLJ`r(#aV)lF$Nq@#hWNp54BQ^ZkI0_f2SEus9H4UL*C2N zux8GQr{jsD-p(tX1B@K-+{pU$6j0~tAv5jR?Iyr{IXCYQZJQE8z5Rp%)as#6X53>l zx6~yrLi;HLy?}vuF-rPsN7)WKg_JcdXV6L}YDf7(b6+>wC3r2GXG=|iH(|WsIPsJm z#Shfvp)3uEm&`dFZ`|j1dVkuL*2nC&Gl(KycUkQQNHjR z(q!{f#+xFNg)8SJW^Gd@@y?6Y`(s=al2pN2t9JAyc5U19F?}_eIBQh9&((e6>@}pg zx`rH&eLo&_oKDJ{z;>ZG+ajE^h8A0wIV#1<99Q_hE4MEi%gRFjoSW-tUhD`gaR-&Z zfXLWbNZx>F3q~B)O~0>!@}{qE$6xLK1gdJn9Cw}HzqKpLP1(r+i-bHzy?YnK_N{_f zhIeMv=m7Bk>yhZb&~YoSul?-)5}N)Qg*$7VqP|H|N9T@=lVDW%Fj-i~%a(~bJDeUX zcr4Qmtf{Zj$yUSF5;qvuhMlPrUT0U^;JItD;F-qV;D*OZyR$387MsEDOmA(uqqW{$ zG;wcPOBXtQg(haC30)OiO=UuRVnBUxLR-!@2FJpcgcDiak=>fPD=Kn8OV*s=phFD3 zxdG#aX^FhzET<`L=UCU2HqIU66j8mX9JNjAQ(RdKMkX<4;)(RzqVdJq4m^J^Ac8)T z12AuUAX%ASpY~h{lCWs3cfiwGrlLiOG6rp3ujMlTDS4+6BU8MEg9vc4OhP>hWUzdKYcAtlVlS(nwDZd6L0UAn&d=m=u{%L}(?noB8C@|n2IYLJKG9%HTkf57Q9tPA z$=ldm%-g#0+F0f2(t|F^w!JTSrGtaHIM(?2U1L_|kp@Rj=ZBjzuAEMlSP!xQS&O5B#6JzTm@jue{Pm2+{uX!N3i^k6!$uEF+8VAP=2k_5|87761 zJ=7fGJz8%G(-p1EhAJVJ107X&6A*uSI)Jt3U*$wpX&pnoI?$mF5BM^{D+ z`jU}auX+n6e!qn%@!;bt*MM4hGpHHj?#P7K9}2~6)kDKlzihP$Z-+eFe8eQC(=S!7|a;AVRs#GsmQgVZ?8IHxGr1Bat6~80t9*PD@fZgx>#&%IzchNwMS-wV1JONGP zAp_+cCzFHHzgAdK8p8BYwnjoc!LRIqtX~uv_0c}~XjimL0S-H1K3bq==r4Hy^F zg8!^IjxdV|OQ|R+>7Xl*@L-6Q{)xjhRJkBd15>}V5FVv~;jet-avokc@|vG!c5wn(CncqIj8pK&B5sl_RgFfXJo<`63V6$=(`6NqIqnT1G zL^xfjQ;$CJo54liP2r#kQdS60_x1{1IT!q+@gEi7V+R)yFvf&p6aaWMZTkYWgPM(P z1mJ!*Bya!{`3XQGrL$1;WnE-Gn507Z2a`NT`XG_mB0?`>aHG|YV9BU;a0G!eyIvnsyi9kSg5DQ7KfD{>mtmj*rIM7&atRhzY>+meJ zUO_M_L=l{;MSnn2J_5@J+(Zfnya<5_P`%t1Um{~7LYzg1zKw4s3S^kQ`vV-=0esIx zFX|76B>5N?It*aMJI`S4Vuy-QNA2K%LGpbnLPdLm>LkXr92AC%+CC~ODRhokC`1s^ zhXgt|>Y5V) zY{}*`8_l7mhcyturj^ZNB4k&*01kRhqD{_3G&Khk7@%Ose1t2w#ar2$sn;4lk}Ao( zdORS}w@2x&3C?-i7@Vo^56z`tOTWmjX;IhR7Yeb;v5fFUpM#f!=5}0+cw}Dq1lg)d z&PDLf5V^S3eN(=7#`4(U;KlPC5{Z*(UxqV^-&1nL5S5yELmO!~5npi1T6Dw!Pt9W> zYJm_xCrKh+Y9Te7jH}{O51^0V0ANwdhzj`xw1_DQ>JC^1OJ;9Yqk7F)czhhLv2#M` z@4{xu5V2b}(mr*C%+IwbG#ScOKxJJfgom&^oLq#K2nAXu$EZ^rh zpTT?MK1(^O&=w!-b5xLaxgp`HS{lS4M%v+49JIdxqxg(+Fo|5bF!J(`t+AfyoYAl9 zUG(&PKiH{VR7-pl5#9~O@|{Y=7_y7e){P_U(h3aZm>+3UK4V`3xi5e&r~&52##~WE zu9w&(S}Z$VAd%K)sbR&B-MSHH6Z7>)4xC@Mjd=7>NBFLQI2Vr&xGJpy^Cz&vcvLYc z_N!PDJh_K2$2Z;neyIae+F0Go(c4jz|5RY=N8jV%684~@FjNuf>LcJ+KDX%@K|$zh zNvti79-Cb6?;mV#Khws1xra(Wu2^~lfRUh;$x=dxy@}2v6p>!0bg0qCTX{8ByBp5F zBtbVFrW8sLiKJ7qVq-$LT!gc>Smks3O#?KZUv)i?fjRI10$WE$Av1l$9Doi98XwfC$;N+@GYAopjO+?{I z`(oa1k49!hDpPP`%jvd0o{DmaxL$uvFAacPV0vh7t%HP#M!#CyWL@!5trAN5v=5o2 zZ3XIHGpgUjFaKCPt+c=$OgX6adG{6M$f0ZX_*NY#zKQh8-Ry+(f-ROC=E(;!Yc9;| zG%acDPjnBsYmLBf+#%7(%f>)!yb5Z*fO}2}`jwH?^%Aw^sdA_OEo5y3M4lD9 z3E?gawnmQ+i*TI+bty*D>wTPM@5R}Okqy;@OVyKr2Y}~<-E<*qag4il=ia{)CJ%L; zp-959gO*J~xk^a~=jw(mo3>b}O??LV-vtr@-}miUzPZvN8060aiB9r2p`njry@rWU z)koL(;ea_Pe}pbvtDIxITi>E6(vTFylUS7eebRMgiZT<0kOO#d(1g4=-ea5-<=dZ> z$nSVLoEf0E>HuCij^xAq;KaB6$SY)@ZfU5&okNBsM9LY(BO_lC@1m03*~+N3E{o- zbk8FJBKhWKdia%;uoUr2P}5eea}(fl6%DnDOtqh;|}$LKMvZ2 zn8fq+@eTaGis1DLOGfQ_;nV5k6UnAFSID_Bz!?u3JAyM(Viv}Vl|$`sBu)^&2>spECUczT*EL4(dF^%A~&siBSB? zN3wRH5`jF~gjEOHtc_+riv}~kXm9{-m*Gs!`=;6yLKX65O(l~0F?E~pt)OXR><#_GM; z)IjVtxJ-?pDYwwP%$)75E}A=4;!6*}SHLKo7*_fnJOo7MQ_g>4KmZfu68-l4RzR8V z7|xnR1OS3vwR{(cH~XHygV=;A!FcAN^XJkc36n1em7ou;<_*h2C_}AQ2YbI6ni*m< zHiSWdZbq{15~df*P=)fj=#|Fkd;k2pn z=b-(?ORhua-t8UO6%!as}v^49Win1a3YqyG;B*KFWzX@Q;08ZC!&0f1E#Hp=L+|H8NR(z{HOY zV72eOI3p#L6O`Qbtb<^%?sM%ol|2VPWMN$5EB#V%`krR3)< zr)%&UZwhr_G}E_;+JG9`vLSCpQvoBwP=P23AqRj&8X5xmpvHY{0cM!5d_*91hFSVZ zF6I#dQ)I|9z~mq}@+5hMvZkjxhf+HPuA6n6zwU?^ODNnW7COrc6JYC-8P%!)^=qJY;MHW$Kgy;GEu~pcQb^&;nSTL z!ArIqZb>|YMFgXE87SJ@qo}NucCT-i>{gr?+dn*qoKb{*PE;Ig_Kdags_S7AOe97! zfyU8eLQt;y86a@r*I~^Bd7f9R!h5v(1L0YuDY#n|&{XF=%3MIWhpoVegRz1n)%0Ox z3KIV(=J)SF@Dhx_fYxFrR`xl_7_@2kYxiiGp^o&c(d@W+Rd)A^#FU!SlLi1v&mPsr zUE(p(znTC=3W7XFuf9OvNiLo6Dg*~H?DF{YeOs?2dL6PPAxgAN2@9OMM4BN02Hnc% zs~-Sji{~qDu%Antj&U`2nYcB9^d9M`u@Baj1Tub>(Zo|*jRefj*#!oJSB&n)!BT-P z2z-D)xQQZGTpTz$=FboqTP)s};^l%rqc+KGxB9#&oU zhXF2r>(tz3^nLfDcN=Esc9HJ`UHN(W702Uih&|;|3JRS-fq@JY9r-c?`7%AZhmc+}zOj`TvFE<@S7GKkPCe>e8vRPEQf+$UwV&*zQ;>|Ay<|UmADREU_2y^lOSPE){ z?CTKqO|Eg4P1SgVLZrFAH6k=M*+2rahupz?Qog^PI#Ra02z)SYvjpa=w5!=FpO=7n zz&)kq$uxYfM%$27QbMZHi|QhXq0M)m;@p6kw{O@freDiX4bhKSNcD)e3rVyY#yG6y z$<5uZ)DU5#sZzy_UXw3w!-SVmE7DM};)zg3I#%bgswlC#>euQ*ojS=*Q@{A3t=?7U z*NVLA$f6$%)BS_%TebD;#%J zHI{;9jk}C<7tQ2?ZzMIoQd{=B@&-tR)`}sAV^9fE{i5A#yK7> zT)FY33_aX4XI)Q31^y{$Q|s|c_>wwEjpYx%hk3sR^TGGH#1HzY)8G|6Z5(ingXfWO zvqIBGe{`^I{4?Hju*wXEAWRG+@Vo`SH;OkU-6$i>4`Mgr`79`DhJIOI@~l=wJkVt0K#a zolC%^>{bLVOb+UfdiL{@2%ni`dWt^AyG(8LOSG z6rq$i4~0)JeXm`t{`Qlb!A~8W{*X8B$b{cpTr-7jH5WErAr`3r`Wdp!IXh$o^Kogq$lrJ`HT)v!QsHFm&{#%9SJdyL3@5{!DL&X@g^bq6Jyu;H2TLE z03)M?X5fJO0DJpATRV`*rS2H>Pm-43c@m-s)wbrfT9=mkN}^! z<)zDn2rEed6oJ*)6oTn*#)*hQi0kgt?rOmu|*QOwG*~A{I)gn zwpBvaCvWn>68}!a5bB|?bd0TIMh*>2TS3A9nz~`7NE_1K`h*?+q?xU}Z>)^9*V*f6ZMfPXhcovBrEsmADnH>p{yh$IIc$o0f?eCii(B z;E7I379+%lrvAb7V7mS=y$t1gt&i{nS@Vi6eJwS_pV~edkgCaVIMy>?G@a9{OYELL z-6d8JHPZulBYD0pj{TKl3;fs@4H`XLdX6xLEfruhYflyiT5VGVp<&*KjvY$&VwuD# z1}thnQsYq}=_^nk(MZB|Y!y5YQ>f{?`Oez@?&(n7A}0XLqb%D3AU=K?&kw{G`3Lbi zeIPxtK5>r(8UV{%E6n(V_)h*Hz77E53xc5&p%nY_v7cH*WPh5sMnbN5!qqbZAR*Fx zu7TB#uG4j9=0zX*61z)sm<_Xz+^;M1WtNvFdc)DkrDjJyxySWq0`dWuI!NfR2$kMT zlH^zNkgf`=7nFW|^#xz#?d!vKhC#rEWPSrKbZ+31FdPSP3y*q7p&YXm5SjBMQ+bv@ zARIC*DIesZ;|}NnL9$G?#Je%7Hs4_uclf6tTw}wS%IE6vf>=g+5s_Lb{omwy<+Bf2 z`eowWGI*BELb}S`GG>Z9t$(0{Ni0`h+bNLyLKC~sE9D~ZaAm78iL4c>q5`Z!jR4WPHhkqbGJU~@C8f2E7 z2g69{nl?)7LoI~*@}U;y0@Ol2fLf>oNeL%~eM0ny>oI>IJ|I99no!?G9t89nNiRVN zF#;Cl=>Nbhg!mFrqXSSA`wPW+#1rCrah(z*@IvaS6ifhE?||)uC}hZl?bl2>K130d zt8o}G^+f3Ok&k9ivq?cd3YkmE+HCqazbEq$&%~)PUY^qrv9RJVu@Lw}EHuvmh=l`~ zlNN~!U;api(*KQAc#9F-eFfUx5ZUpRzCvfk=etam_PU2qxn-8^<}iQC2t0DyG}I0O z3lC9}nf{kbsKdw2M}0OYOE@zvaf9ekFXO=Xs)ttH=XI7g0pQ0Vvss01+O*!)Rp%o`eXAakXL%?XnT}ds~nZcy-?u4_Jxb=%SC)xgY83TM$FR z_fOe~&~ZUyug$&ZtYE&IaKdk$uaErrkrux3MWlNrM0nJIfObPMIw~xASQwd-yfRZ3 zUn^lG>hXyCv&(@Ap`tA8@H*Dj$LpLl0*>3dUVum5(HjQ7!FXBM3-A!au%4EdxpqC3 znm-i5s1c?<(6&8;iUZ>M^6DjxS&s03R|FrOZT8vjS;GYoZ#>`%ri0w}a^eQxh0Xvs zp(wl-L*g|;y!2ESyWqB)*thiH>+ICt5AgM@oA-mU?JH{$0p%xf?)0s;!fZvR%Poei zEf$8ONI#^wvEQ>eT|Be_YsAT0@OiVZt1QZ3;#t z5)L$>LJ9@v0taNSXU^};WU5!%V1sy+^~SY}=t564GgJnIVR)-mVI)@jpK4%tR>f*N zbsB_}*v)emD2@}cgEN_7HVFt)JOz-9ApaL&q=I5^#K-~C z@?b8&G78Qk05gz|j5Zs}7w#*j;!?nvI7xLL^wO`<-fLqsLr-SboRQw}@WR3u=_6uL z_-+{Ha2&>%>ggi&-caT(D9vvDsUMD}upsM9f4oYz9JYFI^tabmSkT|B~30 zZ07>FeR;pAkhKNo@Qs3a4#X@rl$em9pIZ}a@(ILqeNncjw>=BFg$zE=vp34kKCA%5 zKwD^UsQi7@Bj*|SZLz=d%z}&lh?(nzi~jD8=NBh0f%7{LH(ayzQba;GS5vShlO!=g z-}l=AlfJ$!t(k7=zKQBQ!wMB8raaheHfJi(Kp8XKvxvjhQ!Hq_yVZnj*;t<}0koQL z^U*AQGsC{P!Q65Y9UQ~&1&{`tK(9gdg9fBcPu$j|hjXc_28-;D&!~@T-|qghxED6GTq=T`{sM5m|Oqt9I$rHe<*(gW-KPla%P>v7e3Fg%=h{3 zAx8wI(5`rW6xsV33 zyQcfWk7(9)gT5;)pcEisILT6%Y#A_AGI^9v1R-9wxAGxo%48$jtVl+ti=0?kHV58+34Z^u{dVBSP9CCiO#e;wgPjA*{y$g!Vrla63i>CJ zK77CC&*4@&p}oBGDA`9cst__XtV$~B@B%Bj%P&`yS@3F|I$MD^_0((PHA}H(s6Aa5 z{{6OJ=a*2~GCSUR@YFDV{GXV9j(3b-bU~#q_etS>k)r6dJKKD(*Ou}Qu<{{fLbmNp zjPqE`AZSd?00qZmkaRRvD1}#Y`1C{c3C9(^P(xYXWQ-lY6u|@f_PzuD_9j`1O029X za;*?)Q88bAeN;fIl{IloOtsH$;h5-;1jtjWhyd3bF$kGnt4}0>Ut>|25QgzJ>ue4t zwV2UtoMvp*eE=(hGpkDGd2?j^=6<%{b>c+ZQp_ALYE?oAiP6K=b>SlTWi$Ck{=EzI zHzkS>#=h(mw2!-*_Y>f$A}(-$et>BQ=TJ=}ywCVIp{4u=;ClP;c}J zFf(=Uy1uDsUI%q`{fyx}x0++ydGGe@<1IKWV8xc(o5ol2+>UqeUe}n&fp;7fhtL*+`^@gORU}u z^f~S2yrvJYF}PdiJkMU0_Xu_Y;aLFy_&x!epLmxU%iNf#%J8ENuey4nFg{Gaf2VqX zA-_J<+wht^VP1w~*hu&v0LDjB7-UO+6(-J@TkrO4h0Cofyl+s<0xDkEBhc^M+jtoV zO!W@6#cFp!`GZie@`4K$G$N}|F*>Y zB2^XlSgsR&HbJ2`z8E0+NLmX#VC`RIZR=i8w;!8-9&0p~z3S10UyALD$St6+l}3j+qX&v zuyX`p7YKLi?Nju6`gf#!Wux<9zVR`hqClPa;m&)KKj(Q1C5yWtHxldu9m4ccyvRQp>@c?@D}2M& z$?7@r@r$k1hn_8~Q?O-n;!Hl!XTwrX&{wZNA(Id;(l{VYhL>#n?Ut~Ez_&?_6IL}*#@=5oq~FW27N_^xL04r#`#wRR0&(({JLtIC-i-cv(Q(c?$)dQm3Z2O!NlUZnkyPj{E#v zcU+Y5)%3L-Y5fGcMu;_akOqGcA3)(lONT5qg(`AFbl6!r6h@!6KwvJk#@aXp{@j98-L&Th zpA`QVLb^^Xe9D*}AC-ruD!&0CC(H{H{^jj)3Eyr&7s`ZK=N9*u-DRKa zSfpuDIL?&^Cu-nl9az{j-X+S_R?&BHq@^h=cmlBO|JK@5SZ{X!2i6;k>59Vj=46wO z%^pgQFRz~S(A8m178W0>8sgLaJW)0DW3epg6QvWXa6*tlCe0Yv!T(w$V=n7j%n+%3m8~_DHn&3pTWELUrXTDDQNlx*DQ5Z-E|Gc5XA& z9cHy{{2DW`qsn_|kSysJKi3RI(ImT)lwMbTOpMJQS``)1PQd{8@hR)BIE8YTMii8C0Gq^KaUq`zm^ zH7$Ti0kFS;A)1PUKOMIyy0<85QDq8y6zY8dc`u3zaM~c;hhV~o;LHLr&X^CF_;zmXM{#(%dyYj+76f0N?g1v^4A7?Sd{Dpko?G&Ui7fEU{50|Xoo#*?3$Guroc)Rmes zR-4z{fziJ-TXqf!u5*xK>X&;oH7(<{2gs7A0esumDvJbepoHdcw0P_6K?ysY zB%yFgXo7jHMUS)D#6y9Sp_jUXlP@%9FE*p%H0}VP7X>d5#d@TTgr--H- zf?2-v2k5nZIC~X;IA1$}^HG-dlDLSdX#)0tB_UG->e{s$RGZLEO~o=&$TL1@&l`do zXUA+%b<{oLVsPFlpTQ@dC|1MiDx5pQPn9^N0W8rd2j!dv1B}{#qMig2idnwLNPMQQ zzM6`3Us3TZDts!8Xx5b&5RI(%jLAc6^}8U7&CfKXRT5G{qSqeydV6!QoCk|lB&&iL z0-*5)Rv1Ch)Y0f0A`}43JxsF@{%{R7_-HI6!~*=hDQ0XVMzMcjz3;LA*H|xvOE}eE z*FT{cY*d}6$t0vrVZRO9NI^Z&P9#mWO|0dF*+i+zeen;=`~MW|@#Gl)KMMAQ{|W5H zDp>LGz#jii_9Fg}J?;O7>>bOcBOS=|;4Z?8Mb}}aJgb)eW$oepvGz*-)!JkGZ>&B0 zzpcI8xXFUy`LLLvF~whXFm{WUkeprbC=Q+aI)8@V zcc4D}>~BgRF~CUt*e9+w0xg6DCG+f#6R3y|CNXxg4ecBVww*|Wfpb(>%`rwrH=fZAt5>hJ-efHun|J(*&S^EX=szhH9&3EMvW!J*UeBMzfMekd#1IH^zx^U)vwr>9 zVf96odNOQ;G;}A?6K2AAbM+X0!@ECMd{D_eAA`0}95x_8C_HQ8ElhI*$s`_+gvql7_m&A#Vb zA^-V;VZ@mmVK~Yd=8pPQBt#1qde`R& zUdbO3hsxPVN6L+F(u{#iNs7+@7X0dDIr0lJK-feeV&HkKLP;0feF?*F&;aU zouy|A)=Du$(KtZpQImcCR{YzKfq&Ti{PAl`YOqlOYEHDk_4X0Yx8|Su=qy{y_}FSX zV}EIT125VzNPP)x5vmB(Py66Ry1Mdb|ZzGJjUgaQlH} zA2Jnx0WZ(;pYH*aV8`!7JWqsa(&WUz+oea@{4MPFFwL<6lKawgqWG}=cY@!viROJ| zVPs~A>Olm18L`j;{qPx!ZexX^$6ubWAce0FqB9eStOr9c0n+>KrS2mlUj6p#1}T51 z_PCIVUvb{?JlJNrL4LO{KQYBXJiA$Za=}rt7E&p>!E~5$TsSBa0Yca5AG3LfwX!6y z9Ne}n(1)c~Ex!_}pLNnIati46zYy1XefCEBOVj&ztN2&-tHFD%z38gyt1B}BXeXGk z=`DM?-RiA~irDmsh&=>MWcj$!v14(=!|j_u{-@4YoH^wGv&xszr+CKKW1Q?|1pOLPNd45xrBScaHN9=ckrO=jf)i10NpW4sE0Z-!9V$@qO<= znw7!#TWs2uipWN5gtXxZedd(^5ccBU0s#|*hJ+qsq38Cga)T&Vu{S!jy50jrH?-&x zjEjly0Sbkk*?)d}QuX2Bg-!ZDKM10CJm{7MBT3u5W2^_o*1MmOU7JCCSZK=bRw?nO1jC*Af0gh4Df8((6gR=!Mj!|A z_Vg}jCtjYs+}Asaq$gqhqnrebSPHkr$hn!6dYYF(xgfTF=Pa`_RnaA5s|}2v=5%*8 zZjU{R?H7F=A*NYHkl!--i|K)&J(xCT`l;U)EXLXS-@(ZfmNxU=ezz0)_AK%Dw?Y!I z6Q=cO?|Pj5p8{S1V}Up0ke!=_mA#WUC|eIVp7RZzZK4ZDyd#$Hp0-i1dwHlP;VRgw z9|}W1{2+9BrzfGShjRPVRwyUD5iO!nEV6~M&RHq`jp_XjfsDz0p$2BTs=pt-CW>rO zH$Jn3!!55K&in7$>!Ro&Eug$h8&K~xv7lYSu?T96pyIZ|xD_{GIIJv=u<@pH3*)ZX8RQ|V{pWyNQ9hG+q?>9g`aSQ%V} zKE2m9ONGJj@h>jccZ-DVYysz2b#qs_E`3S5P31e{v$|Zx>XSORO9Te_^|DR%h*C&a z%w#rOE!w6MwW>&65;Kj9C|&mFq88aED}t>@&yZKZ)NrLC*hwY20zZd=p~*pjB)nKy zU>kj;e{1#Dl95s`jJ=z2$jJA59f$_CZ zg&8tR-P6xz=7y*f>jHD5l0E$fhDauRbehJp?`XJ&jnDkH*u8#Lak4_RfZ~_j@f8Dl zu3>xS@3mFWpXT~;=ko}E{ls6w9ys&S*e@t>NvRZn$fhv@FZpBH`)xK@%{cV6dp3ud zQ)NiV;;c(~*ughM1V0b#Pen=QX<*?0sn-1~+Li4Zovt3a?qV)6Zc#(op+U}7!fOZ! z2u!i>e}P2Ol<(H3rTK@uS5L<{|0tQ&sS}=IFO8(Fc@hEWw|wLI^`K=r=99!-Ifc8a2Dkz_!^XEgF2(Tl>36?G4$57YM?ZvUEPz zXNZfW=!XU0_S)#a=g_Q{{vn{%biEa#TM*wh&bPLEv6gukN;u7PtmSj8#MS1=g%x{^ ztICa#`?bc`i~)$9prl73{hQ@1Oc(2Cf#O#Q0^_n*8bln+3@}hKsD8ler$H8wSl5^f z8BONI6ItfVT|Lp6z~#A|Zo$dw^qw+bPhc46!8i0$G8;tKia)!UPdraMvxm~M=O&N3 zjRx36NgA!&aa~N$+rV=ekRsWKHuwPr4K2uW!JtxXN8&L3CbF^ncBRbC9NzViJV({w zj+`J5cZ)2oy8o#ZcyRD|oPZn&cQOk_>Z)jdE)s}>ex!_EDr0~q?2!-$GRIY~qRd!AXw&DC94S!2V_A{jXHL|0MB=*BsIn1_i2u2z|+1|IMq& zgn$OLzZ6qXGN!dEB^;fz3?!hHYyiHqT;&DpM@)Azwg18x1CKKdlrEGCJmzvsH1aXa zjx&JD7j;!0lmSPrB!GY;E*L=gQ7a>WdX7uHkxEOgkwKHC;u9xiS2rv&k_P=qc%95V z)Xa6g$pX|1p6Ys_VzlGurR8gjKZA~gZKTP^(oR0| zWC#i~8PVt4-CLp}GCs>;3HKI=dmL4}h;tw!0zJ!aO^{J^xG5|GO-Zx-KS*-JLfdPb zep$b00E8D?D@H=#0;36)Puplff?STb8v)YNmb^OfNL1mAjBh2vP50_F5gHr+mg7=p zaI(A4b(=>Gh-&f;-;OL#TL%6Q$6lf#vwU2suOYG7*WQUR}BuKH1NSFmA8 zUsCDt@S}2P*4h)3?f(BF##Q=9j63j;7?VqEthmenMMHZ>&*4tKp?Nku&%H6`JG zi*UkPErud@M!41970}=fg`A^Qm?3tK^cV!t4E5)GD0J2@a<#e%eX*;gm#I{T!~~J> z{u?>21I+|Y%0F-(Yeh}QUwlsmjgw78Wlq7e`pN!N6Ab`F>x$Mykc*sO7U1=h6XCV2 zcJBVoU8WXNiAW@xSez+}{>)rnIx$CfvhOJ8*gVv=Fld9F&p) zqor8rpb%*)dhc6aRM}syUff2Bk4WHe#8oL(W27?h<2P$WINr*GcI%my1ij@Y6ULE= zp6ts^pM-KRbo$`RTo9lq!NmiT<^EpRY(fbF^E6b$;VQHWV#%>Wtf%?#6VH*YX)qI+! zP?EnQUwghsd%h-W3A>r(O@qMyw`5lfBoOnB!rM4tfikN#Z4&+O<>K@7kX50!9kSLx zg@wI{u41s#v)YX5Kcu*&I&?Tv(Uss{*58Eukgk*?b^$Il1)#4})L{cm2?$aNgB|ZX z& zuTiDnYwFgK{5?^+(Zs^p#EQ4n^4pVJ+{BIHAG4`KVMPRuW6n2C4ciiJ5t!Efjj4Fm zVQG*Pkw5ZW1bNV!^qmZ`JhtG^CjOhw9H-S;Pea$8Fvo;_y)tDqimuqZ@zS`!9l5*S^@wHRAzg7hglizbvla-~QS)3%3>hE}`&c5y3JCuNHpX z5UiScZQw}#GnC;?VeRTApA&lhD7x?aWmbtF5JbQLpFy;J*sLE?paN~ne9Eh22oiQyOiPu=^A zyJkWW|KsSQQC%2yR+i*Ser9;Yean|IFAgcIwqGO{rx9K##=G#Wq?w3M*c3vXYh6XJ z8Q}t4Q6n1}xP9%!dp*bxzvAJ9L?#tT9R0+_^O-|6OEp0TPJDJS6*3iR(bK$$n%xvE#6G|9(8?I&F7_%~kb4(TdSOTlN6Euir?3OwfIDHv~|u%t#Tp*EcWD^s@7WI^lW zq_5m`IHM0DgJ^@|mTH$H|UUD9DyvO#OIL1Xf^K2!gWK^UL_ zO@GQzzxw@`NESn5Y}Mh+|5pC1W$qcK_cG(NliKZK)#07~6LmNTKE{U2zlY7ciITq6 zP}n7fWKh4&V7c3YX!g*#YhlzkSTL1;+923xOPz)5(#?qHdQo^E} zAL6!}r$EWIo-~O>7FdR|o`*r{v-vyFKZUaHsDv?MGpoG|^>Yqn^(N1qMO?m32vuYQ z+4GVptYu5<1{YQe&Zy=bmHuO*Yk_AyAXCxMd2S3ysRx#7)U>+(1BYHpr%6_>?MB0E zMORqc@pw0NH^O^$`%VY4Jq3K^W7=Lvo_^bWEsi8ydCZA)wQjTC$%%XcVacoY)i&3~ zS2q`+zD8MKCA957Ex>AdQrwx2W57a z?M&J_N^>$eI2w{ob|aQNpz)`jH=JRz;upwlGS3GPIjfaQ-D3OcU|GJS=f(cx`QT)` zk}i8-5R0IT8NR4mAR~vc@g!?>aZG30A3oki0;=E*YFQ7jvjGB!TmMB*g9Uoe zt_n{m>J$u+;i3aeAW6C93DN3}bZ(BI(j=I)vVahIUs zW8S0YW0*Xh=cvKFiPu2t$a7=S?21N6EUd$$aBhWb{^6)tdU9}bZri_%uUSEnNVq#h z@dCJI`3=(hmgoMCdon&{3Be<*xw%R~=ett5HwMnrwtU^Em90bG=dOTVjNgU&k^9Y7kwad~BD2iB6J< zPH%h?`s&*kA&+0ARD`14YtPevh1G?egDo&)I_Z`yI?1xXKXr*CV90fx^1fD-C^Gbc zO5Di!fktJ>|2aL{R=6j=KKJB9gInr5qNY?>gW?vRO%hYUx{|N%-US*@J3(~FOZ3UY zpOX8=?F)(ZKEH!pw;}VeIw0%Lh5jo3b}lGHM2}KlSOz>$w#ErsU(%HDYgl zxANub`vP*vKF;=}mJnX5=MWB0mN7i15M2nV0S6w+=vJs2l31SuyGiDro$w6+NdQpm zWgIfMpR|lJsIE~)LbUU?t(%&EG-WXchYvOt8p+dr*TjTTj2bD4eAIq)d9g> z%rl@Lra7TM7bwdzJE;8r_NO^cm)N-WUYGM6(|iu=g~}{bD0PGznm@rkikhOfaZG-| zD1~ywfFonac%Ee2>KFx-M3=>I8LZSLszt$FG3?(2f>BL*h*aW=-p3ZG&@6(OioRmAXyV@Ej zh2>f-s2)>TYE$HS4!F?Q&*7esNDs8!#@fd%&?>x(B=T~RFAZdGI=%lT@;wFX1lO#s ziW-$!YjCXW6v53*@~TEG>$plO&^}kwwk|iKSf05vjnw>-#gS9sz}(S5H=n|9)f}3_ zA+y)^h*gWa)oXMyCNEwpKPVupw(NJ~?Z~VgzE_Lz^PGe5qc7rPBo7fawRho%Nc2|s8Fi`NNfrCeNJZwiV}$C+ zp9h)?&_&&zR;kAZ9!|7&Bu});B~DiTxtr@$gz$_Tgc0AU=@MoMnPB12nqV9XNKNvgqPkrqfyV- zk91ap1-fF|&HUiG%8T%Cs#h)Eh2^6aq7 zS$mtIte^tMk*CFmK6tL0q)Y4@rEzvF)0B41(pZ4_KYzSdiew!7e+*nsv&lZiEy*({ z@%^nIFM_PdgFTxVzq-Hay=TD(ihDtglckbYZ?q+s?tkPEeM##65Oqt}7<$pYj!TdK znMXdJwp;PYV$^kt3%-AV{jIgj@5wOs)6HQ1=LnsF31qM=Vz7=7ut$Q=dKC~>2XBOV zvU^6Sd>34LLPt<~C;n%j7FoOIKWS1~PZM^Sfo)n*X*+isrQ!N0yZcp-=vO4I->WST zaA)z3<5Ftw%^8)prCKGZ#+7^Q7?rNGFO{&#G(7RF7ZAKZwfJiDkt65)2msRvF)Poz zi=VerqL=$2G>4+BsdOiYUY@>G6xE>cx=|LTtb?QcMS05SxTtbj9&p}yPJ58kRzYxc zsjzY|ncFder!jlaQCD6ZDaD8;2q~i~>nqL4CkQosL|TNlm@Bo* zDGMqiX@{3w`l=w#cy0N#`3wQWSTf@IW=z84HxF*^bo&P)vMLweLTom$ZWog0Oukso z;Nze7^>?;NzpzbS5Yt_PjGvd=EI&kF+BJuC7v3Z9U)VWpuPfeg&mX2ttwE243l10` zRaK7DymovSHLve&n>JQDw-OPZ=~v$o8~bYLx&OLn4`fEeO>rpoEbaM)_zY^I9v)EM{8MMm&!3kZBTIXVAW?1 z&XivKJ7iTnbdMfK^H?_oO+741RUgi{#ac4==gJm9Hw+TbQfGo}DH+J!)Fi4vq*m>} zdUX&09#jCarto=w`KKkpZL6tuv;a}nu|s)v1F**Kp$p)?8OL`U@#UeW-yiqNcm>w4 z^%$Zh?2G^buBJmOsrdwATY3|g3B2>SdQx+H%I(S5JprzxX!4Rkm+1}3c&IZY?wBHk zW$_Psd8|5Az>%q~tx5u(?Z1=#xL@v%o+S#t+T%eLnR!kdGZsDpy>CN1U2w32FlG*8 z0^!YXH%DD?cmTB-!m=+`lvB(?E8-nj>uYT5n!nNtSiaKG6~ugUVTvSRZvG=0ouc*g zCgasBuk5yK*5xbDxB3j>FH+W&Pog@1Ct@SLFz&_k>7%?)|DCq-&W~MmN5CuIMH=TX zE|DYNC=FUA_>K7w|;Azt?)lY8d+6>&p+)|XQLQ6~4C>v!r~SdbWE zOH2lT1aJMOAv|y0W(B140Y%{7+cQwwGRyAB*Tl(QrqqR3IZ^J?m*_=QQZm_iXXEBS z-yPQ25vM=rMARX1F+YitC;-k@k8ppdI&%^bNSZsg#qE_tSx41gU8+f>xkrTzDlA9P z8vU*kNHeUX6JrG}`@cI|PVU#&SdEx-gQZQIP!9>mDXI^E?jB%&nZS++Hw&bZFCMx7 z_|ZPV$bFyNY%5l&Aa@Beov!?@cuNCmy)Uu#Iq-uQctxi^|BQsHFi3v&z z&BHI9{V4njWPXq|Jf0I{nLN%hjMEzN!k>v?0_v1VyZsWx8QBhv+po%TO6hz=>WU2$v5igvk~a^YPb6FYe-%8G7zD$0mXeF|OQ z7Ha<0>zv;*C{*If{eOlQ+cNP)@hszM)0A6v3h)2uK6Pl%^C$h7+ygj2nQQxKBxTM2 zm+n5G{>j|hMvWyf8U-b*oAb&Zkar?;@9&#J%_VY_rh*E~z&qN0>ufQQ%f+1)`XfHT%fISB$74E%sbX+XV`OU@paGJK?<^ zd4@r?4nh61MsM!twyC(@A3?wJLf_Lh@8ZR@2D6Gywn8mOt#}RYIU1v*A2oR4_IkAM zH!{Kbo`x4LnLx}=FGRwtA6jB2hV5}sj~dQ>s=JAo@-=uOUY871<3o1(0$nT)o1KEr&*##Jq|asdZC?i%n{>NX8@fM#g+(! z-V_mife-LvULs!SyabR-L6(9q(>E1_jNB$xJtzX{cNweC&nqXpels6qWraQQo8!>q zjqfXW(DW-(A297cmvRmJ;Gc)$WOsgYs{sO~ITs7TpXXo+6`EAfZpgGCOn(rt8`nyVMJlxVI9eRdNr=$6BQ?^eIG+?WoU+& zR`;o62Q#5D9lP?=>LJ$7l)FhU==YC`Ae)9zmh3H`1WKKa=6}fuAz@VcNznk{FA81` z)qt~TrdRH-15P%cRr}g2?-W1>Jy$b7lxKW2aKd7W;y6eRwJ-!E(hRghsA2tS`^PHW zO18EF93v#W?^+&@AvR;|bOIZmZq%L^E^)-wM01R8<-p6D-Jn%b?6vO1%anH;P@Knp z`kVF<`=)*?G>f%%%V!nI8B+6oHOj7&s8qVI2SrmdagJ_=`cdMpb(pkb)a&5DtJ4n6 zL!U7!pdl2IGncf2nh?@c8g$|gsM%^UJi~S)WioLdG zobCbC_TqN#nnwi8=q}AHW+0c+e0W40}FW#(%&iO72HkO|rk`tO7yy!k`1`4-n+Sys_Z zEZB^NhayV`oVrri;l;idR%tlXg}>@-apm=eDS;vPP>ez>~)V&y^;MfuHvZr17BO#H61u>r73MUzMEG0JL!H)7xO&^&tSAE zXS=~-pfWY*IJFD9oUpW*)OY6~VPcO%=_Od9}pATE1-5P9k>q=8sr$ z;TJU(2^_MCqlkR2H_fE`E?K);7%DEm@9;!}fA%Yms9e&9a?`t1YhZGA3IRE*0x?-b z?g;(AWcW7RD|Ay6WO_!KRh&mYPXJbRuc;rByhcP*WtR|csBz7CjSKb^k!Wv@GV4^7 z0wZhLO=;! zul&3Q_!Eu~={!Tte(BUhOM%=g6Da}Q7ZXpPGMG1M_pv>Jkh@DhK(O6(0pOQiE&j}h z11)|~Z%l}X_K)d{J4L4BCo>tHT?Bs79Nv|Q+DGnMG54T!+aXY+IpqGE1UF~@G3O(s zHCddJ%^Cw)f?#)6P=+nv-M55_k8XT#oQ#kRX}XW^D1&l*Y3f&cV6m00WK0Z~x_>UrUgx->g`SixsCIcIZQn=fPZfxsE})B7a#Co(49&+ zRIedSPdRI1^eo|=YOX9&kVa=EezpO_u{c}Zo4bs5A zHNixTbEu^>v8f3W*1;zer0-}u-fG>xd`+iK=K)%hz1%q3_1;EL4;uj1m?f2X6z8NH(1 zPC8gq+(x-Ld{apq0&q;iu8i3o%yHCy3BlMoaEW+K)D?6Ct*l#_boD5tQ{%v(ek2(X z7p}~XKLD;va%nPPo<_7IYtbc1Y+f!8(A4YZw*DG&qoKXgF5Q zw7)2|pG@PWiU@OnC=$5EeY7X5p8rRj-IS1m@##I+hWRd#Pq`X4*8r|IiS>S(LaQW` zAH5*E&JE04NJFsqi4>MvU_yW-j($ucEk@n^X2wK$Vu_?83*%KU`J5pdlS zG|h^hMJYwI#B-;X_uN)VIc*%yfO~CB2ZgwDvyB8h_XYGdWo|EY!U_U(uDGueFe!m5;uej4}|W>8T5dCfH!l98=h$4o==) zvMA|Ny&cJC$ky}DJDqQz&@t9_yM{(AYGkl6^FB1JS}0*~qjk zjEsML4G5W>2)d0=vmF`_r`R7F46V(NXP1=t{qNwo7wTx-*GytB5nTdR(u0W`>a$sp zBkK8@H2T=4L*Nh(pJ(rGja9RkoLIINVQ#{YkXML9GN-ogSDl`&&B3Zbr#~5BCr~^?nS& zyw<<3si$WtB@rr(U*E-!;6CY7rv0ddx4 z?Vvd=-=9|%L2Rn;U({=+vh9r$W6%w#f)$(yd1;$_@Sf-@YP51I_ikT~?%g_hh2}}o z=!akn?1+wKw$tiw4~SEIpCL1^-jlOpN)=&JCq}|bedcRNdVLn}#YMg$ zGu)_rW}U#TG1tL*fAg&ymNu?GrA>vWRoU56c}^F-;*8PKCodQJ+^?MpcuYk6l`#clydE!$S{mWM|K5AUwyTxm%M% zh)Rc}_e94nINW+M+YTRscTAlxcr?lG4mEMN#R8e(v8x`xqC@?P3UeE$s^~tUpV@bq zj;FE%MuovG#rbQ~SkF#)7JD>`RanKx>Rj9tgQ_M(5k0{y2L9u$kZW~p$#4l^P;LZI6813tDOHnH3j&%OU;p;z;jEe7dpA&G9ZXT>vblUi3^2rXQ zCh~T^vTc@5d0|VJ*>3~MwlTi?Ij-juS- zdJv36h95%s_&!!qF+jd;76n+Sq7X@-EH0-qotj`|_NuH@sDMdh`kl$2HuBnIdY=nW zNg`97@Do?xOD2`tOUFRrvCMm5v<^AIR5RXg(cEeiqZddjk~}OR^9LtMB838RTqPlA zK#+Y2HQfir-cg0>upg}s8{bP2NR$A{0fCSUNS!p+JI^$|mxm$BlOtmdW!1{?iHj6x*LP1?5B!Man0H09{**_o1h=1H-$lxMI#gH!_iycA=9aSDH! zqBH#hS0A!=)#!oU>%6rmk0c$?MEBbS_UOz2(6^}`!>UUdQFddKcii`PWCaEU`IeNt zu_^C|woBjk(mP+rD7q=s`}zE7TWM|bV}N;ef0%=rg!U=loongy%v~FNL-H!ujBkWv zxM@g?>plrfW5Mc$<|))X1;h-_$AG3vpir8j`=8coEWjjqp=%Y!@65}lV6xGT)lrR6 zHI^^{cJQ(n)j^`WVqT^r&cEcSspJ&!&KAvab{)QFxPYJ|>`Ti~9&VP%Mdu)5Iogd?ux2bS1FeR5 z+T2y^SIrn?XunjRy6uZ}3wqovlGs!vLZ;%`4TQgQ`3e$W*m#H-dO`}6sJ@Puw;8aM zot3^F=NdE#EFHST6w_PHF9_#Do>WY~VxF-k0ZmlQn(jLdcPtEZmcT|tP{5Zs$6FOU zYCP-N)3~z4R}}@J=pch8sVN1!-xu6I>V)b+|K*!&6Q)DfzxGgDJ<@$`X7LmY2dl25 z;o?hJPRklG-R@|6QO-1cT8ylML?H#@5*ImYmdzMaLcFiwtm$4*n6MIou_|5aYSC3a+ z+aS`t^^aHgXWTxAub-4H7|x1v)ah0pGJ2TiYv?~^txZq@$vdkI=TEWb&gNSw?m*Op z4xQ&2E}c7t>~*HnB&fS6&32_C*Heq9L;Ow;YWWMAxr2{l?ZW==8QgI-GrZ4)YyKt9 zrACH{-goB)?FeHvp|+E-jIr&B0_n7^#+w@ z*lE|f9Zh^hIrILoc3v2Pc+hRgRGqC>bBqX-Io9f2c#8T6IB~RFtG432pj8#Yz$EGI zA=HQj4|mZMN1z8!EGa3+$qjC(A^@n~ThRK7n5L^Dbyl6SDeEkHH|so2s80u$27f4= zcugZaIee?&4rUUdkh^mb;Q!E8xqiPn+PKCxv$u7(-hKF-EihKHqKXNotoYF#%**3Z zqQjEJ0`K(EhygY#@+$}n9D|QsJZgl_5G~yE4j;B#CGJ*ky|V*tpMLd?Q5}{piQWR< zs^0l~^oWb@ZP}$-EeO}d^@%6_<7*YkWd3Gb@ueZxh)D*pp#4UuUHdXo*~ejN(88wL z@{^ICMM~s-Dhs|?Q3#$=gBH4x)ik)edz$R#Ern71m3?Zv$M9#ByW z(;B{Ty{h_S zte!U^?Y;dT6ZmnyoW;I34LaBKj=#n#x#%T+K9Kx)@6oeg@GY(H^y;Xi$yXg8D@oOH zRvh(zeY{qx8Znx6$kw1!lKgFk{e7Xg45rv~rJvWE!4}{PUDSWchWT;3y)$i)t>ZNt z9%#aJO{hhO5bmw3Io1pBFS1s*^FD2$TTG*_RXKN5&)q%`SooY^cG+?;yU6kJVJ$MH z`7AL$>iz1KZmynl!8R+6+HDVW063yG%~}mc8PuLWU@t$mOOJA9m)YUO1Nh?GoY`B8 zX+bx8M{X~{7QX(9Q}G63jg_pp5Lk3XiLzulo_x2r!NqZDWjGiy z4okGul8t-l^G*-By4ksWU&z~9CGS#=x2kH=wZD z4CA!35eM+(ZM-f$LQ3N{9CWp!Vz}dIq(D7LTdE*Q(7xmRa8F>Zvoc{gknpe&igdi- zu*Ww}`T9V(vz5?*kW1A?fw>#$0{@>=;oZ`kte1zBbGHRpPI<@*Ny~0+xz^ zVV+lY1#^wD`<~DAfVRQgGPQMo>$D&4;rYdd(1>O8tu2?uA|UHvVd63k$$!;sKJm|s z8CSwL`~aETdXw-wFw{a+CVc}G)IzN4go=?PW80jJV7Dt(!8RM3ociF14UQ>F7e`gz zjVBR@r(1KzZ#w1{zk~Y@rR9`JeYG(++g!=F1_?t}&b-jCqmuu)_65C@Q0(F}ZMh(+ zE*Db*gI7|4B2OUsa;NeEt~0~xyc5IfvXhNAh$I>}>DFR)h^>+?ap>k2anak1*AC<3 zn~p?Ui9#7KL^5SAcxm)!o~Z4f7+Hr91;)XpZXl%0-)^@JMhqBbw~GfW28_3>fi2?A}n&Gi@BOU!`Sn zS!Gi*GAq%Q9s4$g8 z@|~C!TCl0tBI0dunV}E{`JA$NHmG7}m&0F(Bo5uVCk-l&G*H;IX}1e4MOK~4Lm%oE z3$Cca3fUM9bFV_@N!{ANqFiqEKDiT&GGz?BZbztQ9Wb+!7!rN4{C8pLr#IXPk4R(u zZqqT;^hC0=|93!R(^1s)Bv_Af0GgId>wD6`#cVA{6mCRb6F`HE(HDaXNSh`lxM++v zZ-aYzB>Rag|Mjc07R(9d#Z!%|*wuD07gvBk;8Z~TTosvB$ktDuzDbezs+?$SXV{DYnWR$IPY!reFlovV_)C{$YUY&1ukp)d;+6?D-_jmJ*RQ71JZiSc` zR8mp@#=UPzn4fRT9o45&5yIz<)Ree-1y`{#%7?2I<8)Lx%i3~t1y4j;@$KD;6_|R* zPBIEHpiZbnY?zps!;-Dh_r~d1=a^a#2_LquKbm6WBtP&ND)~gCZN6q)s7-30ZHv9F zM?bd{9<2v+eevWiGjmH>(ks-Gq&38-H7Gy_566~w<)5WDUZppwgtV}w9{2eZo~~B~rFW=d`K@k5pGgxFm_i#fHJ#Nz_U56%IzJI= z`$;v~8oFFj4PkA9yD^Uk1%r7{V_nm5Wa;2q6g3bVI|z|VVu$3~pid(>v<-{N88J;4 z!Q@0_g@n#zPhf?J&SZHOJnig{5O@?wD2IwQ=<#hm*jwfpyK8{c$gpm;oZO;daK)c& ze%c_tUuu+7BY)usRIl73qRF=v4VGQ7iAl#%1d}ZPB2PTF|`M;d%V!i##KW!KW(a{KV>y;_$ESy5qsA4=;zs~&2|T994G3ZNd8 z2z4k6qWT{~({NP6w*xg;$Ebud+Qh+hN7;Rg2Pe8{j=}3>$Nw$Z?r0LoNA02Psr`?^ zJ`SH&A6Wf$AMbw(^|9TJvE8+?8I?-8cm-egs1m5eiiJ5O$VU`u9HSIc9OFmQ^OW}S z3cepuC2)upk8wyC1Lgm2a_vy~?T~Q%i$a(~JBtc%g1V>i8#fjCUu|%KJT9Rc&JW;^ z>~Ai0iFt#~`^V8VV;qJ3d0CG5j`b&aaYjHU@%?{?s;?BlAL6-qabzP*|5K3Mq~LNH zOgD5L#0F?|mdqY{w!)L`YKezt@WyJlq) z1G*;j?06Kgj^$<2igD24HvUj+Ar{*0r8saR%F6(VM~+y8IWm4x0cPytGGuzwXUvlw zIT0OY0Q$gsHnUmt9jr;l>d)C{A)*x5(-{t@=e$DcRd7A)l5LwX`v8Jq=aoN+G-w^ficL6DTO-VSoFRHY z84fr@Ec-bg?J*rI94~hm_O%W+2erpIfH{@yNc&YOES3vR$vr%Y)PwfOd+bQLc!L7* zh62JI7{dPoAS*XO$Ppj$N%`c9qxH7gMa(rT<}J%LVd9Wi zx?iQNDUnsRdVD&i1Zxrv!mIg@KGhd6wq`D5Z&5QOyWJk}!3hFULkbqiHTm=#{aJ4J z+S@LFkcm%?Lx!IiT{_;u&)`$FW&dDso;I6I&)~IU-&bHH$eHS#M=n2ct8bBzb>sgT z4-?s|wtbd`%O_+7kKX-enb=E9yh;S}APBDS>t$!Wb4ncIRicE*?o3v=BCRpp@xU_XFOW z+fMV>=XUCGztNt_J)Zo!&F)g)O|ohTYdRnNr7I5K%`dMqrSPq*!3I&(pl}qy#xWqc6KKaBD$6j;AfWi5kj_ zq93F2IgT*5p62%&H8{yn$YVbo_xbY!O;w4XgJv?BT`eIl+RZ{KjncfBm=Js4kJ6cA zGVK_c+o&@a;B$ZRFP*vO)YJT0Lw^f<>dk?VX#jtWq+e0!nV_R-{45!LHU&%ieh9sFF~k1&Uw+*&N)jv6+IAOW~Tp95mK7|?Z0<$>vh z2Tq8_gy>JFnVU)R)8HMSs&$Mgnewwk%BFBK5Ue8_xg_StD;l}7hUQI>6~pLNK!d41 zY52>Hq^<-Gzdmk@NT8l-f86eqi*wE~D!45tbZz}?`q*vy-tEr_TFh}S`mS*pJbtF> z8-#U3%Mo5?9DkfsLR($XVyK2%=lF_o8P@91`=O^$`i-2dp}-`1hi_7*(DVMUa}hWp zIU{M<<1XHAFoXxWViEkbNv6PmO|sno9TJPT7vvjTA|I#GJ0K~UKA0lwzX7klV%v}7 zkmA>pNHeDf#L!!>+Hn3cV1)Qrp{_o&PwcoLw`Y^{ioU?D+KA zu#+4Z9MEZ0hxN8X+G2@=h`~B!N~R%f5cnY+o)p#B4pbpEhLQ0cE~{Cvhg=DK4wO|H zB$}91r(YkLHX!eZ-V{_#qUG6P>}%xHIaDk0-|t13#t>9oNb$d=L7pX`qZ-}8si-PS z8P*9!6Lm>=Wjb?CHf?&mtsLdZaHUc(1Y?C<1EH@~DsMz$gP=vy*Rq#4j%K-y5;`?= z&afTYF?-k+9@Cjb4uap)83DYU&H(7`33PgqgCBGOnE_k4*2v?SHPc! z=pQd%`0vX~1+=F9^8BA_t8QRXgyuwf{K!EqJTME;;h1O)h!OM&*;gl{2DM~R+c?Y` zS$8wcVoi|s;lQgDSwnb(IBkgKjnZro=1_VEOeND5l86tWNayz%zl4z2jrEwW=r)>L zxPGYV#3-45;e>FH;@r$R>izcS|5*SN`2^lRGaz&Ep?XbBG68#7na2MUdYs?n^?=i` zW#cO{1b-;Ae;4ac*mxPx|5428}!OjG-?3`U-A4*6a}b zaU3Un@G4_C$n5UIGMz!Ie#nVgsCLx!(gd9d7rctoNs&$@fJK8W82KB77CER=-P1(b z`+c~?!S&)I4TdG*`uDhIr%6j-U@DMW;sj@ct>YbYG+dl6_0^kr}Fvcy5kVIpsGd> zN>C%9q~{!Q=v1Z`pq3hgfOZP`;f+QD@i)201uonq1fvO^la5t-GPAg^PAb-v>ano7GmyfP=NFW@D$ zKp#^!%`oVuO&EiT-0c|PC#Q3tF-YdOt{>0k)V8Q!M)(PrHLM&=U&~icT-3;x7l|23 znz0#sqPF^TUcW;aSzi>~G0O(=2Z2}X*dTT3bPhWoP1+?;y%_%%+aVDILZ2~q*WMGg zyD}wm&kDbZ&HWYCfECHRhh$+qhWYD%Royza06W3m1r!mgJunLRHdS)1H z#J$&)wvDod^%nx#x*Bz?L_gTN-aj!Z(Ek=eCMnXBhh9QPvq70dC@vSSuk7r}usS z^`6HdB##x_ha{p{`Q+N|VfRVgSg#;?$>o`IEB=HmDA3R&Z09#S@aq{AkLn_Z-(Cbp zyWUf1)&ACEcQsjY2=99xm{O>7l9-;tJ>0utB!)7@NHDrtEEwg-oCb6`@BPrqKi&Ib)ESX3uu@;5d6*}#vgwr?(0yhe zceE2DE^NY98HtB*P4=;nq@G}rk}k}z)>2h)N7WzBj<&}&?~F#)5o5($ubUifbv54X zB~i{6^*xEcP!9~fWNsto`RjG%=@ax)mzBnGws@UALTf$YVwaWb-$3Q?a(y>4<#ajS z->PM!Jz=9=^%Q!^?R8~_zgX)AZ1p~gDzmpt>jvSX8_?!?;>_L%{|&y5Nt|F-`j0N3 znCn+0QX6d9?j8wn>a7sTt_;fB7{1at7AVwP0V+qg;e5UCoXHC+P^ln)9fr;Gczqd= zQ-%+vWvFEXZ3V$I`FDZ+X8alX#1XeH53a>i@BY zAgLox2V4eSjzCd1WlByo)fGZjh4@R6wT)s7Kb`<#7*DdV9pt{d;d@BHsnkjCYvm!= z=O%HXnL;n-DMzCECgoKN#0GYbX%%K^ffeEUDpp+h$xKzHpJ4I7AsdmMu0I?It+UIB zze~Aeaa|1i=vXR_|D5dYLFb!U}pW)4Tmke%0#TSSH!I}?>EDj5=vyU>yU4gSuVMG;a>~H`J*6zAf-n>T(ce zh*2@TM^hxj>Yt9F#y}FY8petWXZT?HQrVYA#L1s;VdfSrW$0ekHx-Ijs{aAkVM4`7WwgR5R(P6Y1%Pd%r$*&I|i0yVg6T1~l?%x5;lB-!+9U=ejN~V{;|_fL^}4HfpEbno@@P{ zBxMY+6D6)vFRS`a4y?*%8sl({1dvG>ht)w`Tv0DOhVk+4Kp+3>`1!-}k4N!!-_3%p z3e#_XBsQ#{?)dUmwz_=ObiezM+8ipgVBbcBbBsMW5}&SkGjQaR-kSDo7*(v6$L}W7 zUH^9Nh`;4c?E6~K{_w;;_om$0!1O$;V@eJuK=6?}_jSe2qjk4Np)NzC8;THmfBo(7 z#5*abZBYt5ot*=k{ip*OZ${+&KcKO&*-doT1;^7ZfsZo#G1edH3+cRl{=^=-loFZB zB|DED@3QCi8$bJXZYI8)CaSe8I+o)N?s8_Wc%V831qK*=9aGux@fFUg07af<0&B1w`+VQ&g<&PI-X;;&;Q~Q1kP)jinic!m zF>>dL9UTaz^X>ML?-6Ew7x<15o}to&uxu&KBR&wtLfuQXS!iElgFu?+86+J&yZ!Y#J9Fsu6j+H2#7(;|6kl zo;b-vAPP<8$#(+Q@Z_l@2I*EGj?0t`Bpq86~6|2a1Z0 zZTB+n&om6*-JzoQoJm`D?p!>HJPIjg_%H^}oj>33bao$Flt@_|l18_?|GX(Hh}qaD zsrr^2o&B)BPZ+b;M-bc7Bf5oN!7>o<^} zsLk#5HkuN{?tJq!PcR_k&3;l5sVXRLJUiw^-OBdQdSYOGUvuBIb*^|3qbWS&ir@PU zjd=Ky+!B4HtnIclz+@(98*Iea?{L?DnCtqT{qPIS&F7NHvqml-Bg@P}5#ra5Zy8GlqOUazW?ef8F8D)SgN8BZB2XFXI zggio0YL5>u27tE$P=(DwmfU z2`Z_nKG>85&~Br58ocjGg8WDhX&ya0`?ku(cVzloCOGh1GMa)v#KGrU8VsdjOZ|1ShdBG#?K{{>@R<1W{&gSkH4v|nrr4TNoelqMMmLu7kL`#^> z>p5;IUW~629!i1zSt=@RIqKqE-&Faotu)Z)I>LKklQE5(R9 zNmvE0**Qk+#t3u#*%2mx?D#Aa;aL(EAMNq;Kn+5l{dR4LP-66e8Z0Y_Z+hYJO7M5Q zxLY+PIsZ~}Qs8r^?>*#cQsPrPk7Q=ZLhF_LpOwoWL4vcqXk}km8L70X$veA{bcsrN z<*b3%s`27lAaWF~s4C8DBuJJ3m=zpVL3Cr*LZsRHs(cj5HGy7L(~z2CENi}a4Xa?)H19CS|i#( z8`PAuioXGUw>Q6mt<%JmcMbB2M#p1D)!4OPM_%ORn6D2>z4I-0T~Mb1$vA1ihn34Z zVG}v?Pd!sB|h+ZR{FAtVvf|vGOgllUk2nk5p6l0sTt)fKplx|maqK73X)X;hS4XNF-ybJj!Gt={(@_E zqy&ZsT4-TU5@;E&JT;)n-X`I^m|0%TRLbOCGd(k3>`J70P*|Q25 z`OlrN#U`v6ErULP$j28x_MQ8XpD6=dKGMQfhxstC*6(oIguxqSs#6U-kd2B z*G4OAvCa1SbMt`EPXxZVUzk@Z z8zoI*2ZZ|YP0$TEvtT#kPM|ViKgI0_bD8t=P3oY#aAv^n0I;)?YvAKdQ~OH0E8i2b zjSNE@)tpwS`RdWRkKn>-tbS+W_PGqJk>DR+zGw0qOtzqM%kTE<(ShXf3p(G~&37f(i!#~s$ z$mPwQ=o-Zm4N`yGf!A}Z+{TY5@Q1r|c46`G-PeSfRGZi9PoshfkXdvDx+kB3Js+G} z^#oby)Lpd~osFLaUg>{A)RwRV2&m+J(V&=M44m>Pz{6EvI2`ydU2{~c?awdfH2vgM zmrfc&SbC=HbOO}UMwuaUou+8g^`-(PLcD5Z1)!nn&r% zc?fJ?jT|B{@))w;cy$Ezzjki1=kVGOe*JE)+o9k17cc=MiTh24*F)JAt>Zf(k@}W7 zUCBZ{NYkv&96bj@J+pxBXs}(3at?c8_7IW(Q^hnU@0Yfu{0|nW92wosT=1Q~Mgd`yWxI zTx;AW^<4Iy++%LRQZB-z6@Q!$cN3?s`HV<^tsLT*S#;uFHXO39ugXf`xmrkPR^a6?i2V#xRnY?^5^Fl5qr+6CVaBCu`Vhmn%VsDJ%Tf1_cUBB z)L61G&5`=)Kw7db{i+5Z?Tgm@FC&e^>DG58nObNZ`bkZJci7KS6YZ{4Y_tr>Y!zI{ zY_y_)ln0~+vP;+iGmJN)6mv2AOlYO_2wmpj&rh5gy+NZF-;vc}BvZUkuG= zQ)SzG7~g53!QmKSVo(BoGJiFO%Q;DBl**-7Ho25+@;gVD9GFxKXYFUS1D8iS+C%F* z?3PbmGB@1KHOY?g(}EOG^v!fJxkG)(oi)kppw6mfZlpSUEWH(~mU?*`!_?1RG(~;? zzp0+4=wDOdN!!Dkyc6kvc3vrPrWOs&LW8aszNUl)aP!2TAp^vto zd`Lf{bkmIYkRJwgrFT12MiD^THNC)=E1GQ$&4%fYH4b1)ZSD+;O62aEW=&l+3{BIT z=3=($AuUVk5DiG(WQ+uTfuW{lYxSg^X8KY!Lye}UR!w!SmZol9b+aCjF%N4$ae6(# zFupcmC*dcq)liEzK~suv;V8#L-b-dL*^Kl9UY984t(B)x;FEr>^f3e$HKf9{#@X+s~dKxyH#ng?`RCW(Gd~*z@Lc zn=HCzL<)5Vn;jotVekCJYx~yvGclDlDRN2`g@eGtdVfR-iwO7qlh^aHtB5)(8OSYn zi@YL7ggr7-FtPUT)2kA{reBptKLx9KW#f)MVV3y0f2`ajX8(VNTg2jGGuqN*)-4oC z?!Y~-ZWH2Ok1m{>6*CNf32sR4WlV5NX+YU|rID>rwKgd6D1EfV@86(Y16Ky%j<`tQ z1Tt?cjeZDMYx@Dq{=dUVgk=iJj;>v};?)}>iLQ@#5R;ZG zj9&AWuMEpa)qst-(J%RX{f~VQOjv1MZj}eHn&Fc z8!6yj1$kEpUHvcg;ttpe-bss9FS3ZH95Tje;Bgd1>_|v(*CoGOkq_TJcOlgabxvk* z{b3#DRg(z)kpJ8IN!4FhLV2PrWA2511xEqZ*HxnxQq{*-0lx1L3`#SQ|4-U`S84j_ zN`w}@XA&&s#wJF=Pe7JTjQY9Sz{bSJIVn%P4dDxcg(F6fP1rq@(Qy347mdOe@q3HYw|DaTUlE4F)fRV{p(OK2f~@a@y1 z;-w@15}kNu*ZLdb7tDQ7uEb~8(+0S&Bvtdb4=5xS?QZE8&mnx_^sQ&s`8K*h_VJwY*^CojCmGQuVID5GgbTX;l0i9K z6n$mNHX-d>Cg?}6edgiEp3NBiZ`N3Wo4oJ%v_y*HU7O)efBi5Zn@w5ikM~>79nNzWhSXL$ePuaRWIk8bk@-92C#0npAcR9|n}K_p zj6tv{VWNr>BF+ql(27C8C4pFpCn45~0aFdZP-PAewj;k%&iv8Kvarj7ZTi)cqL#T? z*3ioEm_w?VCDr9C=JKN6S4)C$YEO8=MZ*YjKwn%QDs00;Xl-Sytb>RA_@M zpFmTq#FwVKFo&Vb$BOHyE5?d5Gb6ON5G>A{ss0N~eRaLuthv0`ihR%t_uPtUR6{t@53F;>jIO2LSwmP&wXsJ!g%9ZpMYXdxWz$zY7aVb5 zhR>=igZj{lW73uZvjM9b)F>FzDGi^cuMrH0C_O4s@qDpG3O$TidtB$MIXeVxD0`@7 zm|9riT@3dC50vEfI<(Tb;F9jRV2H#f63&HkVUjltqz9XBFHem87aTH*0$DTJb!CN?4L@7T+e}Q(%9y2d>1NlJR{4aF_1&Z9-U)>*(qgj-+@d+TE~Xb2+iQ(!_?&pXIce+@bDGOLZ{L(NBmUnq+>euhg)D; z8wX*tT}=DL@d+ns50W`Qsa;IpHePJg_n<;w1npwqHh`>jiqWLp8|XeeIKpS0G(&xW z$2V!ahMDdyhI0QyKfv;?g0d&rGC$tv4x;3o$sVLZ#*8go|0@yr=JB=j)!_Z5i$K8^ ziRi)Rxz7Wip$N-WJOV5?HI4{hu5l@sxJuA)Y6qLxw;`x~!s$z&j!(R?$FkvbV`LYb zbycl9`joChZ+e~ME$DlN$EMB`jdyyvCaO2X(?%~my?P9|v3Ht#y7|_u^Mx&$W}7)O ztj!6h9A|X$zgnXHuI_zt<>&!EomPk+iYMT0rScm2-0&d?eR%f3#|K?>MC7Mj-s_5o zlY7NSBYM@t9Oxg6>v!=Im_kpbyoo35J;Q)sln8K;ZkTrryTtAw4A;yf67&VH6c`O0 ztFUfgSKy!BFQAO@Axtei$05NcX0e?`{g(h97ZEGjV{n0o#kpWFuEICXB{Ha8pNR)? zOcFeiT{P<-Ut7syQ4)pKqRkVPa?05!jgnCW7dda2I$C4k9psyuo)Z2q@qBWAb16nj zse(-%F?ze>_2>CXnN^SV{EVmZQcl!j)^%w2WNb%Z-etfUJlg~ougxDK72f{It5u2!tcG;Sm*&kU1(3`%#bXSi}6Drwa4`{1f`O+F>vhlq_R?nzM{P zkZX~0U(_q+A7WPPm1*)^rfb^-jo>w?{nr>=-1>3mrlakLWbn$WrvZa3cEqHhJ6f4E zPR6GeKG>KkJ68maSof1C_mgP%_z^-=<{3nL*LteDfd0P)O8QD3Yhl+VyoNpyeb5T`58*&;|HWq+2*|g~56g{s)$dRQyuzxYGkDIo z5Qv9vg8S!;O?e0}4@h)JgtS_7xhkwUhI1OM#upc$eptGYNBzx5TebTvsKD55ofAv|E{@>v9;&^J4SJ@YD1fam*)SUkkdAV4JkS7Oz1 z-l2f<_@$eFZ@zJmF#7Ca>84`BPnrv#{=oj8zSpmUwtfC#f!}`V*`=MY zip(dw3NwS*7VI9*yiIJ1@;6Ku{k~_*srNA)5{uTn)1!7+C-7_0 zw_&EDOZt{S54=fR@HD-JmcK^gAxJd3fAZ9D>i)a*l^tEqEb2bJLFf&_7ee5@0N{P_ zMVeUX3E#6dlDObvB`;jggSa`rFUtK0&=Eiw*+scL+2r)0&zJY~BT{naNgLSM6gjeT z%EV{GH#fF+E#9TeMxVB>FCz( z+Q0R_SMSNFE~r7PUz_oKP%eP&em_BNBMM{5D#9X%FvZbIuI)Yfmwy8*1#6Rt$&NHq zdW7WjfTkrA)xsfBnvO|P7l&bM87>zMoQod`=dh>aApQzD}Y4(4xAM2h+$1!J!rouh^)^<6GfFHLwxY zL#f8IJ~Y9HwlK3D0S;ujslw_NpOeJ2fIhv2eQP+Gkon@QeY7OLeWP&Qp z8Z4*zaBB|pmN>-~DB$R$F-oZ2n(>y&@9)VL z82&Pb*2k>7!j|q@%E^$UPSAyuf0?E-K%20lnX{8?6GGCL^k+W;hgskZz2%CElPC_w zp(shJB!P_6Q5`9g3x>%(Y=i8gUu%7XRqW}|BsRdMV8oEshm0c!H)W9PLPwNN5~eU% z%T){UBcaKs)8ZGAS6%FJg^OKlgq;kL>FxVfi$`g7qF*D;F{~7ckn8OWEFR8@@$jI5 zKq>Lmv`j=(PG88Hn_LUkq8uEEt#Bxah{oPP#z#lhWVwd3@FnMa2vQD4lDJqSN`2X> zKE;yT8ll=o6J44iUMZg}D^U~7JAKj!CI5$Nx56{iW{Oeg<+vMe(`rvdD^+8-&PT3y z@_Dp%?UUG0l2$jN9KpODFD#Oz`Zs#El_U)bba$2F}x=0Zgz{c1C z5K%*-I*&=bI?r*KOd!3?kcC|RBwVf)n_@{hN<;7;066p42vh8=2C(AZEL@GRGi#vW>P!p@j2LIa#^d<+rrNc#-CKV&rQLwy?BP58RI1;WqtNsJY}PD{ zrBB)HE)gx;2z3BACTbZXoJU@vMAC#^(GXRq3XaYIS2ctIUQw;`+|nCJ(eK+$-v zv=rTeQo79LjuObL1S3j|2~uLrY}KoTYkFp9T6S&+cCla&&asy%w()hI>D$Uoe%$K|Y#>#9pox z)g+`ax;BrElOh`yUf9h@X|6;_Xs+!F;QPB@C<+WocMYBA#`(+7Y6<9l2a9 z6jNOD9}eYBx-_zFsrapS0jufXfeW953HwPs9N6}02sq6{mdMN}-?Ei&z1xrPyguE);f&-MIAcs&=L<}Jr+X2bqLU4j;DVmSoNYijk8^d>l z<@(el^ujz4n!Gcx5heEELNTi7{vibFi!|Oys(YeN+;9Tl>?Hx9^MFdhD;i;fLp~}E zNnU?4gHkd~?hBULFG@?rs5EAB^|tWu%7uV_iYL>C`oqc8Vk+ssR7}6d2#@xTH7D1l zRL&!My>Dd)SjE&%+!YnW;Wp97KiJ6izFDEhBGi*}*HUBiX1V1}jH8tsSaZ0Cz4w(; zjTl@6g1e%u!&oKJrk)J&g8j%W}-eFbf zubY28YCugeb|Ou}!zm%tn^X^Z2x{>EupBCz=Y6FV&BBaMfQlr|uc#o+D7gQ#WjT{4 zIYP^}EKMMLk3n$1)j(e%n?+JV%A=?npA|)ST<627Xn?m_gR8_e5gOlR85CDd_n|! z7t=7qrEyC#%h@9$NZxWD)V9thUBtrCzr`-iC0lwc;1`kRDvdpNtByq>=SkM3>WLPr zQSePhPmWu#-r82qQ)XSiBMr0FQ@}*{J*%b!mE3z9y#|)_{GeVFHr#AC?6}a@sNd>P zRhX$PX-oU+R=?+OhLb?Qei&8PZzyZ7bf`>nA#sCWE_JK~;3j(W$*D%VPbS)Og@?`ZD@2e|aQ@h*eJ=}!uy(+yKMMpCk`p2^_ssfmYEQE+Yob7*|S8DI!Kzlw? zpx)tn&@4;q`)z~>7J&P(mqoy#JvnYflZI7{%DLIoa>3`lT&7_h_2CfaTMuVP?~%5N z0-DFfLXZpRQhJF9SE&I}nq4D`q0*f^R^NrgTpM@k5N4ECQMCvQ~u zq3;B+MMG$h0!Yd0#666|yVOdUV^w^$M=w4VZ$O(#%zS=_YL)F+k9OYUre(4~*9~>0 z!(Cp+TzSw$bKiR;PMHSYB?t8ryo5kr6M?u(!^7N@da0PI>1)u+P3jkCvdv{7Htvg6 zMiLrv5<{wkXyR7N@T?jl`DFU=!p(D^7W_q6+LNDWhHr<@AAR%Ap7pyQ%C{em_ka7o zBP}RcX*1l;fe+F=q-9^Z`wG)v)-H7~^zLxF;tp&f@`;q=()JlOTjf%AF~HBTg4ffp z=xet?FV!`59kWfZZe#X@a^KWdwbcgmDrVPPzM3I+9>pia>&F~!#FYKeVd z`f8=y^{Lq-$MOfy)VyOx**c42yJ3b?H`7;EpXZ>?xu@pu_m=Qisi55!6g>XChDc+L zoqdTDZDej^dM(QhbPIt+N`D|6$E;~z0*a<@P%OQS=|21k<)9nT zVY$L>P1(`~1ekK`gMrOcxz8>Yr_sPSp-UC$we{4C|U-+9r84+v-RAoFoFjLOpcd-FWW)I+ppo z^0u;JUC!9qTbuW}e$%t7YY|9z#LC*4djFdAGxh!@t#NfIx27t+S9=Kf!i2lZg1gF$ zyX~M?CpJE=spx`Gtrz-1X9+78sU`!kw!&HORa5iTXfqGKAGAZ7VbM}#noP3_N1?{E zRJdG#VC88(PhAH+nzJs?71>48;QI9 zfT-4iAzzo?OS=ULXrtsBoNe4*?qY&dv53MlpqI>;=e!n2zBysN^L*dk0de z=v6E6byBE4?EyA8=?`~)AxF(da=2QV4HC&m6gqV3h6*Us)&4{s<8IMMcJF+q&7zO$ zVOi9^b`DTicawHVdA@?rtUMjs##+iFHYn~w2T^GmzCx4ENY^&DM3>G$tLmF1j?uv9 zmrOoVw*^+`f+k&EGhH;^>~YN4T{Q0I`Il(^e$=fak!;Dm?A;VjF-4=fHG&nx#8}uD zOO2zO;S_i%N7q~%e1q~@ul_G^0Y4Wg4n1X8>)eGTo$A!J>v1DKUjyW^iT zG}h3^nDG?UzQ-N06Vc_g%$B{k_{3-P{5`eRYrbcW);=%zAXY>-Rdwm@XJPFlRadpep|_pj1#RA64Fwj<(j4tzP0=HCA!l-EVx<-EWIqHsfAjHsoGk4p0R^ zl>k)%R1HuKK(#}x!Jo!Df-Q!{B?mnG6w*NYu4Oc!aaL#PsX$TS3`iXUXw_ZN4 zC;Ed|l4a>m;}{&TQg<->6@~xZ{4Y)6a`*SkmY~dYy8$;Bf(Bszq)0&Jfcmy$ylAS) z2GPVcKG;#$!99QT27O-_4(!A&6l*eB7r}_;Hy2|)&2?dBc8n>QxGD%Ijgw^~RD2yZ zL)n9%9sogV*vFYN9kS;iiBBrD!NxY4qHi7vUvY~mX5VSerSMYnVgA*daceZS^3UYk zB`NjW%&$Py!`J|aziX0u?-E5qq@S#G4@E+u?{DGeVSsVxe!g9uIDG9k^d^53w8NKq z#^Rm%O-(>l{#{qjm`{OGM>fC@*f%TQ$byVIpsUV~K$%AeU$L%w4$oZ#x6oO&@1Z== zF$gLW6Sw+2A(#m(H)jDo!dnH2mnR;?GZj-lkFFxmn>{#&YTLh`u7yx{uU!KS z-T`6Dm#R#gg76nuC1A3C;t=F%<0@XApeBjYi=z_v%MqA)AF&XpDzSe=HtW%e4H^Lb z9gi-!7Jqe`XvjTeJ@Df0Hu3W8nCI2g`?9;sI}cc1k=#g^m8u%NoZwuT8sAhDmLy(i zQxRvFAt72B1|eE4fUFn-`Ul+IOb=!&&i7Y#&jQrZ3ww^tK^ZI+wr$37-4&VIpi?cq zd!Y{`o20vMecDp^4Kr%1bsoKu_eS1T45#pP18qXbu$0QxvQH5Mk~&>3(utOd}*@fR*tK2Z23uA^P!wnbp%3ejy?uNXC9+k zGYs~-z1^pqr;B?L;?XI?8Gf3JCw4=R{B^nS*J~oQk%2zSoJjZV>18u}(b3iD=qE7! z9#0CWP~YYhn3DZ_-q@IF#L-HH>&~4#gMYt00s=Z@ZVsz{?-fPtzG7ITQ1$zcI2pnc zd@Kxv#cUkyaQdWj18-El37pucCjwC1gEj~JqfW^t8Ick8mtKX{o8EBuw9lA>GHnfk zj!VL^qiIPJW-o?XFYfcIcFc$S%mQQc;+WkCO^6U9%pSwY;Do&c;o~;w1#Geak%S2B zzB_C$*&i*?P0SF+P0#oSw?G5tSnqC76D@XPZA2iEjODrF_3jP8r!Bbk0gBEoqv_Vj z+WEjiJVku7>5%|ODoGhRCgqt|XUFdE6?Jztu$Up8KuK}!? zOI@I@EIdE3aa=E>%Ju}AUiI+HHvYA}xD8|5ppTEG!`sR@ec(fby=Yo1tv3kXEJ3P} z3kDI60RlN}6Dd?MYt&dXCEjxU0zp9l&jd(ir3U z_2J50==!<8W5twEbBLB-~?4fcvVF@iQr{ejr1W~ylI$hBsqD@3o1 zxNd9?LydjtmhP|`7=7qu-?%P+Q&Z;t_J|0ky5%tDLvK&EssW|YOGRiPvzu^02pS(R zPU0p!^MhY#-#g4&sh$X)sb53T{4V_%2qCi(7o@|7z~N!*XSq49Zu#K#ckQb?arZcp zSZkn%bt_Jv^P`?lsbM6Y2gu-ry&OgZ!!&5T#C5{Q02**Y#a@XY^VoBgGO#*41K8F8m zhK<_&LszX9`Z{eLCsck3bdo+caL2Ri0L-I(cCLT9<6cwm3_N&3U!Fl(GNctEMV6z!` z5o-IpSBZ^RBMk)QdgmIsifJl;_cfZ$^mCN<+~(j4dY|iAUDz+;4O{NJ9*GBR<*}8i zlf)L?hM@y?_9o}C!!sYj0_TIS@lr=oHze}L+k!*#6SCTiixP^RR2~q;3Qgg52&#ob zayM5J1Fjp1AtppQovMlWSG#M6vF*CCkVD_~h*>7XjmV}kdi%ocl*PDL2LhisCIQ9bTiukEBZ`GX$S$+~99 zQ|6=kKGeHE%Bh8fU**c|eEcH#-0HBO}&*^0uMbom|+bPp+wKwA-ke4F&Aq$p2l$-!_pL9%lctgL8t0- z)Q$LBghaI=<=XD|XKXDPu6cVw{@lo&po)|CV-IXfeOZzjZ zHRHk*L{5i&I(wD1w(YPNuF$mb(x0WGL{cD6I;&RfUS}t_9(kX1IUJY6G~`k&>;@`fc9VAYF;HP`AU!P6 zxG>+G^UfT6kScxiWTqf5`bhA{PY|^sGw1&!?Y+aIT9!RfB!lE2Nz#y$3|VrHg5;dj zkU=F#kQ^mP9db@X&XPoefHHt0837SQqJRh}AiN&;J@=k{&%W>d@xHI7`q#Bquj#I? zUaMAj^-P}oGtK+2Tw!eOThS`-p;*e1AwHv+=f5H3TTZ3nhXlmGg+)jT*xyfDW316I zu(ieCr|ui5CK1<*`q-=zDA4n|_GS3S3u4F zUBUB3j!fC*Z%dx3!xP8Kv~2B&?qn!LC(~Q7R|ww8xKE>6q0lGAbZvWw3EdFOV zN!pR1ffAn~vN~1fML}O53N6(Igt{~l*NK|!y;IJXh*YkYZ{TB=#?>+mK7#37Ed}XZ z8FAy8s}ASYovOd4imZnMGWiL=hckS+7kOEv+UDo8FlKiBXL}0u7eC^LWIoMDWo5_S zx9|5!G+{_fGuO@wQ%+Fr4mbQ5HeN$f=lYQero6AriEO^*Rh7yWU2ffUN@9d)`ZE1WM|3?~)0fN3dJ%F&3L{?9Ye!z0+nR z0Aa(jPv6ZmOgj?qG~@U#M0V}TTb>r(2{NE!`aqw$O*HC*v)F{OKOgS5CnxDwL@)U+ zncjJXsMVgQPmCe-#DR%scBn9tM$MACy&G>7*1!JUgJw9EsbEDHrkg{?O!2Hdi4QHS zPg1?=a#>yB%fWpzZrLvkVkLCAZ9DI(a0S?Pgv%7hQWdxcQ>ab3m9Rwx>J8!u+y`dW zo^JinoyV;PqmmwZ@1zAcW@Nj-TvW01w@@a_22J`ypL5azi`4VG$s;N2OKWLjOU@PE zG}oSw>Wu|?^6O|~2loT+P7!|{wkFP~<8qF-8E>eg^a}B)`xz2`aO3v?=!cJVkus`T1*Hx(AddG=MX-1|DdE^Zr)JyY5sD?$+D7zm9uw z-xhvVhF&ve^NH18J6EYb_au3t!qn3Pg7f9XpT`&NJo-LjrF-RVJpUrm=lR1kM0los ztJ~+J5n^n;Zyy5Je<-3$)6vfl&CuJ=nk()w3xw7)&&0r=&ZTztx~qrUbr3I^6O?%}&b6>PxO$114+U>w3wDULb#x1V9(KZb zBaZ$1{OscBQFr2gi+^l-8Ewf>(w?5)6Ux+ZgPHYsSk#MBBI3oHCd0nAmj=Oi>c?Hx zmSyX|=j1ZiV!#QMFtAk~T};FT)qf-4qJQ1yM_8@$+AxSP+<@xwZJOW1gzMOXlhB9+ zI&uxpZw>EKyP)41-;tZto2&0{l(U|CE-Nb!Vei|nGx22G#g}ix$7FN?h!m`jYkzqv)J2&Q#55F;Hj~EwalR49?{FcuAifnr6_WXJ0;l%TdOGMm@)P73HvkA z6`|!I1X~ea_KJj^t5MY^_SLO$Li9G%y}G}Xsn~#UM}@t+*2sKLa+3(Xy_>}FtWRRy zHnllRcv9A@VGSF5w#Fv1c@sQ$_mTPe8s#{38 zJ2AEk5ie!O?jr~NHf<$KSMqfaCaNAgO?M0JEYqBL)&+JL^_thL)EOSkA|AWVbhqs+ zoBbvJY|e7Tr*6@W;99}>_DCVTJ5T4+9S({YV|ZA9W#3WuIV+d&jWPC15_qAu#nBG_ z_m5Sgdw8j9qbYZ}R>z`IxHLx7x));ynZz>87RUN-+0qA^#I96hG?y@#=@Qpf#Trf9 z^6WHH`p(BoxGU4Qmk^oj#@1C0{!6SANk46)N-Hd8Wh-9Sm}g{ipZTW(G+iIJk0fz} z0v(FUA|6eGY|XcahC#$1Qef*iY~PN(L18MPYKNx$Y@&%;PJSr@{RaNxt?A15ZzPLy z+8SYewWc>OY$82s`rBu0mRuV>MCRLR-OUNx>#&!M{u0YAxfXedylba*HYaSY!(KGP z5Sf=F6xE4LdKwwXfGb=USx^v*Mz~#6>GZXMnf}L*y;BjCjQ&bRu78Qn9jB2G#B7;k z)Z&2Mz_)A&UnPz%6uON%hf8K_Y2GNR!>Tn{`GUGH0X0-oH+Z9A*7!7D&?odn_UgW!BL$SrAP1_A5`156EDD1E&%Ehcd#z^IJ5^l<^P3up-o zlYkrSpp-;kH0SH<8V9%3Bze?G`hL9- z)M04~e#-v4@lU+%=g7Dx9twIldDYN|RAgtbua&)o%$ua_Cf2Y>NX*gw(O%~DTkfrKkJEXKw1I3@TZ_$sXxDpKl1>o0BP zwPV*@s2egP_SKge;We?NV6d9^A-ZbBTZPJQxsNP&*nO*Nx}>)d#BSK4K!#*Op}8X~lEx!?an$TN`{A^~fcgtZ6x2^Nu%(rdqvD0tv2 zwYK9wKnY_C4u8|8&GJN$9m{P{h1!l~$+m9L%D4_qLuS~@SnIDOSG8!ve$Tfv+6T86 ztY8oo&wVkPVopr!%=f{ycc>H~YuP5Xr4Ye5LD1eVD$+FkjE3ciV8G$z)IULUwRnbk z7t^sB`ihw|q`D|XY2e@w?s>2kwRNFYP@Vlm6X*jD4d0<6FMuuO|qD2inh;*D4 z4Y$y~h{_E;5wLqnEnuAp=PmHYCCy;-A!4>`PMu&@(tP$oJSy&&-jIW3{$fCh83)3* z!tC71yKtA}NqUfF?5B*62NIZt8wGRBKUvRuiTXL(&am)hs(Z^*3_wPM-ALD9ojPqqxlnKoO*FKY7-R4p3avfF7{r(Twe+vCo2V=WSGM(?{n;AePSn9xTtnn189Kx1`tG{7v_6I)0&4|b{gJ>Gf_9(&4^n}Q5UDe06 zqPU(tlE=@q<9c2u2cYgBSPq~J^}S9(kqkE%4Y*zPtx9m7Yk&rvq81DBc>>EVTpp2( zMz=xgRBjT-!Ftq6rc zID#Q1r7eCrq8y@GY(QxkrQVOIfe_@D?|Bi~He~gV+p^#i#Yc1A<%IqxMfuy{9=#&@ z%-5nV$2?@dSs11e*MXF~5l!Ni9f_{NoUFW0pRg!-tL-tb>w(GiN>f#@y>1WzOzq9? zidcsKs!5W#yXlywQ)}cHe4Xu{WwMa`dk>2+yr`CaqdW_5QGC zw1k5wjC=a1SwO#-=vh)WzHga3(%`W9{F~#+^4LyL0W*&vWlwEKkg3;M^NMo~i+*t= zKE1(Kkpd2-M3s)4s(pn*9W5x=X7$7X6J|{Eh*muDNn%fuCd;6j*i>TGfxT|gOX7ObaI2)9hCSP*QeMO`tGA$VA$IPB>6S?|E$*lp#$`n!NHh&`E4VTBDI==!`wgOP z5DHL3W;jZ`)#;^Q#{I*hhD(n!uzrf78ee+ODc&F&RMR6^>rH&?i3l^#)a;y3t(v)Y zMiT@GUjw0Z#t@2s7({XMKU{y{kGwy=7RP{g9)1!gK_Cc|E=mqhG$pRWV6vaZ*x4F^ zSAUv$v0U@8+j!ltVR+vvX{ndCBS^&a%=IHmF*jh5HWlbd?0!uwIAKIKK9mkqh|)$B z7smb5{avu=mJFRwAz{}f_Oestw-))c1<=4zgDOQnph0>k8X?LZrZG6^6cbdZ^az4d zugAVo@poJI?1daPU;KOKt3Uz-beBmY=&n{f@OV+AL|vqk8g-G^pqi*+Prij5bzZ#w z`i-jae=>hMkSGV$&qJV}t1#G51=&#FPVxp6JoD>XUD^)us=VmU|Mu^hzY4~ozERi# z^^MG^f;gbyO3}cQVO1po(EdqWIK;5HfM2JKdwLKHbVOjYTpEHT1y=RHI_`h^Qxj{I zP57Tk$(%kLKeY~MPp%yTuSQ#l2g-He0ebx-^uKBi_y-dHqto#mV9o7rK#_}$poXqv zFi>Ny+$LGSM7!(Dj?iC`3XvRlDR1M;;IH6UL=+eCIOALOb(Ug^oZ^Zn-ByuNSy8D- zvn``@Q5otMpO6ao7aM_dkQ{9O{Eqo~`L=n1Tu<)$TXJVF-ZSp06%!((vfd_(TD2g1 zanrQZ;YTeclyp>PO%KyRV)_qBpO!G>$YMQ~r{jMj)I9?2*91`ehGZmA z`vC#yib+5gmx9n?ceEXv#V5DB+gfm|~?XpuGkC2Bw0^LB+s$lLnUGpZK{U+A5F+Sl7=v*^!ix5N|%5X#cf zg@lM+W_tMdI@Cu-Ia7@qrjqQVcVd&3SfUxp=@646^9W9${hGp6v3M|fGyr+4iWu18 z)w?Iq!Cl*!xj}-4SQ;y0p&ka9zclhN5dP;jDrkfrHA7jb zf5&jsYi1EuXyE9VM^;aL;P|zjarr|%v|Gw^N`f{*SXy`WasnY{w zFS`ll2~}g>!K_O5okpth!q411%G5@}%xJi#j>=}0<9*x_hG~$KpQtj6r;8(;Q!%qW z^=foxEnN@~=ke_nSY1Q@os#0FmwsJwF~jGJ+YnmXUAM{vXXg!rJ4%Y{qG_wCT^$vC z$~#9nn3UBcZW@NH3@Q98YTfAS|3UKItYqlwtjOCNQEIHnHX#coh;6<51VrL_?H|5j z!=VIWemPXi&{v-7r6z5~wJ~CCZH;THWS*@msL-0Vn=46GeN3&q_*NoGx9p*=lR&)i zogGd2v|;6Z9nPs2CaWJ!mDcToy`4x;4$e0xAUj7VjAv1O43&9%OkR8#+_8U zLbHb7l&2GYvw}!P>@B}oDUFZr)sl#;KileMCd_+l%{BF^dM^tCpd|_-vw(`YnJty1 zUuvjPy??ek;peGl9wNEa$?WlK-~DS$>z7@3CsL1J5=*8^_Kx}cyd_P|6Mnbeo0vLD zCOFqlFC;*cvLpg@%NQEGseq~^d{I#7dC+?7K5NXs$n}s4A~^GoU&#USlMEtg=!2Ig zYV3{|AZ>g|W2*gtJ2hV^W`=z9J|uDnFD9bCi0fTeF=2{t4~x=VxTw^uOFGHSYRrg4 z4_V2s93un9w#2*G5xFj+A8P`s^%%ka1gy-AYQ`%vQbcqNv4kmuF(XafdsMn94fYp| z-@Qdl(%|2{1^#fppQM}ZPq+Sw;@D}^K0RGJCX)(h^+#7f#7zH$$J$S>CjBipPHyLD zP&&kffzLDFZCAR6QqBB;rde(=aIzh1YBp%|PHOwr?ooQR0y8cDGxOcQQvy4!Zc5>| zqYu&{T_f6OKV5|GQwHI^Cw=F4OINatrRabceH(X6GC@uP{e0qcrI~tKa@fn3pIm8y z^Y)Snw*N%VC8Q_*`$vq|oZ9VC&g91y_u@n!2BZ6sde{}X;Js&`efkVS7%wrYS0=Ap zX&Nugxx;%e4O=v<0!>+eRdp!JC51ZD!2UqE?77pzPCke09>1OSZBk0yA{Glp_-aD*` zh+zkU)9KdzYbg%@0cY(yG`OYGyX(&)-WOQRk_>Z>N0ZqmPFVyAjBt)q(t88QAqe0M zhqr;3XNixefo0(wNg`r%Di^w#(|vbyEAk_qpeo}=a)$VM(P`7l<%!F!ejrpNA0C~s z6t_*>`}h?Fkv9O;RDq6+PEg=@8;Fo|Aa!RJ_X3WOe>{hpW#POmknQS`c&8>(NOE3)-@o|z& zg=&K+8;WPi&r8ByZ2s*t-nv@u(;J(2r(j*-;>oSqLpI3>m}`_cLgH?{c^T|ep%YoG zcusCL^QN;Wdmg;BGke%Bd9z)Mq(h6OVNw)tm}%lRgDt^lBt98{QY_~8^>`y%jW|rXiuO!3G>0zDrvQEeu!j$A zAZ1x%6#Hq zVM9;=#bknKoN6yZFH@H#$?Bscu|9gClizlM6|%#=B8H0eBwm&43&qub_SUC^g>c z3Hi7og?+lHIIxfaQR*>wyT$x@*`jH_s8zhVx~Tw~ltYOY<)T!t!*m%jI`U+Q0$aS4sRBCs3tu3*|M{Rz@boWr zE`nLr{KCwEzhyop*uJ`%Gya{!_+FfPe~ut-#=V+snLq9tnE- zWSQiZr5%g*>CVw=?=MPUB@!kKY4Y9fN*F)+QAK=%3MP){ zO^~G3sqp}CaZSLbKERTTo5Y2PlZ(?jl5y>w4k3)10JD$J4`I*XhRbC?=*(J^$<<7yKp$pR(< z!y(!CZg0->d-o6CzHqz~3b*e=z9#YBd)_H*mwbQy`|Anc7x^`Ql5;=(>T$nyK7(l5 zu68;@_ikAD^524*UZQ6X$c)*9>CHRI6X@Vy@FoFkDpVE(v#{foC0hOFvm?yxSS{y7 z0$lL6U|_fX7;~TQ)=6Lw{7^*{^xuYy5Xx~8G`HX2jFz9hi&hRDMW+Gg=S6_=Qn|I$ z+aTHnm?%Oi3D_ZJ4QU0ms##>f!Ql>CSo($cIgZA+$r%})N1^p6;h%fbmQ%$i=yDZi zKHc?lFm^~jR`FBW1n{xL{k7R+GpH?o$ArkJG|#i~!C#f(dSc_U1ew=&UaQyt4%f@u zc+1H78gDN8Ycr$3c~ZAa{V!5|v2jXSyPb;86;(|PkGj+GQ;R(eX|_Ixlp^6E&hiC& z?r{bSms8GrEwSb~y%_Y4B>bx5x{rl#YpHsHsm|Ea5ATilnw;WyHcLoO1_HT*Nskrs zF?jU&rx%(bCkpw-DEK}bK>CrV2!57vH7Yytv&BukwAGzws}0IkB){s+X7SUg8={*@ zbUU7{D3px{2dA&kD(H%(R?(?V6ABk(kAC!(me+qrIll@AQ$sS~C8rWS34bzY>5ZyK zW%QP_LnZI{eY+eSZ~8mj5uxSG)~dPj(|2oBQZ8y#K{;=m!06RgByB6fmD0iG>51ZedxmxVv zoRV)(E}(Q7oEurBYGSNqaa2B!^wq3WQ$3M52g_+zz5?+Ep9k66o9n61ld6VJF|Avi z&KJ*nMwAgmifQLX$x)R3t9p#n;jNGpdHP2Q6+4kqB2*5=kI_~H(a9T$)d&+>|c53o>Aipsa7pQYiJRg2lq zgG!=l*BMieQbzpw=qdaAre4&}ltPm3S<7PUS?26cSx^P>ir-&Pth#fmVoeX0*ev&? zUrDqC2@6aL6IA1TFB>Ac5Y!Mt;WhZZqt2ht$9t|gWa%M2yoPEC9}I?zg^ z7oCH68U!5`evIr{zSNkK4ym0Ah!=jvOsf|VJ0@wdB6Wkbf{2((5@+?`01Nj`1)m5RX=ioU6$`rR8w^Y6?aqZk=v|sv(Rpww%bi2zy2QiY}b9q zrFSjdM}3!&xa^*po4?eUjnebh*+Uqys^~6sTtc&j=tJ$G@GkVd0$!FJ8F#o3`5HZY zYT!pnO{S9SIb)C!UJkPQ0xIUC^l%zUb+ zpRE(j;(aFI z=KX~t(KMH*#s;}~iM`s_f97@go9@Z=KSPz(3-_a#h0la7ujyb3V#r%waK06&d99d- z=P3Ad&8Nq7&7@r3(vyXGObeKA*wsYkoAJ`MQr#mQ$XRp$QFMN5j4<0FJHpr@-I2^H zO$(A8W9*Qj#{QWy8{Z4Av;uwFV@nn=$od_<+`8z;&CHPSDSn-EER8<7Z7R;+|0T3K zTl5^woN#y!(U z)=9Oubsfwc_v0WRT!WSOV>D|{I^WWPed!TOX)cB$tYD`(0wMr2Gc=oryBI#TwXZNN zk4Xxrf7#>T|NS%8SOOK&kRM)S1vT!qsSoV8IEm;)oURSm2Dg!m!S-ti3I*J(zx+(p zy+BUVUw-WW5B7UcNI5pUWKi?HZN(N;-v`bmfux{^#S;lB6Uq#`|1e!0>11vx33nwl za4Ryyn4ztjm21OBi0IV)zlmm58$Cxi6E)emo5fi&p2oXRjQW&7a)ktotAI)}?Hpg< zInAZ9ETh>5^Q0~t9vk)>&_}caltvLpF`Cg?Ha*Pxwrtd1qXs5;!*qg_Mt9C*dQRS# zQwHoxk7fQgP3w>eY=L+M|8P`ZIp2B{QNkjtd+VVrOC91xl4)pzgs1)NYEAkQ{NxPr zMHKeC7tskcCUX^Hd-;3d=SB3<+0FF>s^L}s#6D~4&_3w@=YjE~vwrqk^hlSBi2p_M zK(GDNll`xVj=iCcgO|4n_Moyj7?SP7^?AR+ZyQ7iRKJ+}Ggz)NByT`Y6Jz_$4^a~AH9qB`o?mn8s{LSqdHt4k zOixQ0=1S>CE2Ljz@d2J~#x^jFoaQDIg{2#2HwrWkvR#BZFsANdqzB726RVvbwW$Cd z&C&vGnY&>ECDoCFYXV_Q={Gdmmec%CU&$|@L=0*^8)$ z1OG*79fFh#QE6v?xL5?`!dAxPKfEKNa9mcd9%Dn||AqBN*r1;@rv z@MSG9)0;>zXuAeb%XRnuz+rby!=Kg1A{B2h4bHh+!ujBfw=_L&R{@-j-AMwL1?KG47rBRvm7o(71#YO$DIp-5iN0}vj^&d7r4v_cNN=A}!Kio{; z>eu8~E5Q-!WqQg$u=fC!Ab)&k4LoBFRH|k9FqW~k{C9e9pnro16D$}#V4?;S{TS zEf(hpgWmSW%(TJ6kbIIHMpg@2mLhH#agsT@tJyu5J^a9Jb~Y_hprxJ2F)_Q%m)9Oy zTNg=V5A&cO=k%W0c`yvhBW8Xj0#h)oVW9;Ngh>h=Ct8BN!|akrxx=rB3c0v@U4RW> zI?WJsO|4ZTVkx!{_1hHVKT(N%+aVm-L1&|`qovb~f%E&U zxkUFYc6`stvx)lXaEMp0wnf22@>m&IX^{S~kb;&l7cJZv`M&SNE24^UdQA*TIJO^j zzo@@8QuJ=h%?f(ZKHI-D(j4iD&AIUv<(xE62kLCF9x0*Z@u|g)_*tTaQ647x{H4Ev&ar-M2s(#aMxyYIR`D# z#RLaD0*Ihs<)7CEsKHlG3lpe;4i*tkFG}Mh8@(HXKlhuW{({TmO#j8V$_D}iIpq)V zsgS(U`Y&u?TzLanLa#qj+<|jpS3aP>zXpocQ&W(j#*!T_YLJqk2I(4c%Ts31G;&2S z+}LA?)Q@doIIQE5+4QNx2dTouFh98|Dfq$-AO{^f0wmaeKmGUAkH7sB6{veFSDo9x0v@m?=V*djb;-sl4RvyrpOAuAV~HPs`$KWYsuj<^BD?=irPUR;Hjt6oW~oBN zU|kPYLQedceE9SKBB7DbBh8+c)z(VSLv}TOL)w4TAoC#!#H)Emi}%xB$9moG{uk}e z%cpg$h*tV;7LA%8?2@kL%?3n*{`a`Q+xTBcroa&|@Q27S9S2=Pw$lEsRns4eZ?+gW z-(N>>#qzmA6GG-ouv@GM%%_1CCO8zF0!0uI{UyQsE1QRAK7I0I1TwBBCYbn> z`iH0;Uu^DFKhpkzz63mgz*7UKbX6p!Uu)Z@Lh55C5w_op@8Ae9{I`QZW)sUpELOg& zETt^^`KQ))Acb~X(p@W0!-LOU%|}yXbvrISmC8DvC&zbJGWyxJ!5u)8?*%J8aysJP zRR90LWq$R?eXR6HJEPOz?@j%cTmGHybM_~rs;`BGDr69bDum^~&kTC0K^m+xe`<@B zMN-kkO3vR@+0D{a-n8MTu8S3D3WgEOr%!FWfXlm6{_2LSUYB;6w~b z3$ZF%aLH6p8A~}(qH(Y?fmoA@5q_uVQo1X*^y+v#V~IoC><&SgXxSwd_SDlKNnplF zE)eqj>dB>Yt-pY0qU3tNzu# z97BQ4IPOGd%`T$=0^6y!cn92!dP8nSxKCXK&45GD9rW<@`c4W=jjsC1m(pC@T{0YJ zWo5hPn#hbkAJ+B42XAMMS;4Fu!G!&Yk3#((SGN(Iv-|c;DutE!W?x*9W0j zOMREW3$MQ%T%Yt=*7X(FoQV0fUB%dZMuvPPVPD_#B|7pNaLBWqVAdR7Si242vQ}hK zAe|2G(2koNGf7S5jq+q8)tac{*L+@NH==KK^k`#Jn0=i6>Ry=HHF2)_Eo16ahfLo^ zneb1;BpF-UON3q_lrY4wK^rbyjR+n9;(ZxVb+0}&HmqYJ0v){v!7^9sparJqpapYZ zza0oa@0a_vn!eaZ#;)mVEX{7K*NqUw%UCqbRfkX4<7*9+`7wM$XKOo7rQ)pamC*+# zb$WjJ3s{6{8G0r~tsNyW2Ff}TcB~rzXwU)5yiv9xqf$>5;lhIVN+zmU@pMvK^;qTP zr!-R0u+({^(J5H#HgMlH(B-)}#X?^@O)W5M9%VoB!_|Mno<|>HmhI_>>uT7HikYlN z#Z0p0gh!ZV!LadNXOI|~*Lo9Tr<;Q~^hQhYk1+$3RotO|jOKtQ9nTT7yRzoX$Gc zghfU2Y@%Ti*jckc=%6>q?3`L{A6q2Po=hKsoh|BzE6tuU3}PuYXfUwaxNQk2rV%~@ zIyyD!ujPP{5(8^#=K&EXXRfL@sG1bq8~5#IQ=X!ZDDf9i%K+A|B()7n;QaQfAvyU4 z4KfTYM;>JYip)ApAmsTlh>FrAlEiEi6xjo@vO|rEIyXNI(32bfMbhMka{YDmXV!oo z)({vSgxj7lQ2$3lJluGVPe5O~e#K*jki$oTn*Si4S|+T3Yf2E$`1|>l-5Wxn*`Fn? z*!V9w%flo1MJ3jY4`}Kxd|wGNVQ9uu)KVvodBpZ}d>ETzl86ocJep{DZ+LJR{j2-n zK{az9ytmZ)fk&5Z+Xrw1ugM1wGn0KEaIHNqXR1ETW!i|8;7hQ5TZ>w`2Q9?A8F-3#0#DPDRAI2T66>sX=d+Y8<(Nn6grDEeDHAee4q@TCAK059~#ug zHO;xf?SzFoa|O(s*ZzJ`$prsJ^^F2k=z1VO!e-O@g+58*qSIBhB-V!m3Z$k5m*Dj| zVfD6HS;cBOe#oQOsPkC>&Xpb`qM$6ahyg-UsiQVghtB)LbN z60hiP^n}qo&{y%OHLerM{EVY*5zMt;if>d1nDN$Fgh0Z%qpv^b_5b zztS5A*7rki1#J%Nr;R&xZa=vS_SX@Gw-GXA62fF+a2zAEQ`Y1cY~IP72}p6$fp-hW zxya^WYGf=VtKvIDyg|;}K=2lkE1dNmyi|y}2(B_KmA`S1CCOs< zrdKe?LHgi|C+4Y4uV;PH0gLdrW5FW4<6VmCk=<$#*EGiKOkVO5sRx2{#(3>e@Vf{K zS^!v^E&@*AHqtM9Su6Ml>gbofH!pVqH5ILzlMMPRW%-jnn!XtnKYe04#X5AIL~C+V z7(e|AacNM7Z8Tm95ott7Q&xu?eys+L{yxo81WK}N_o5+C*}OZG+ZY$y7(u<(wIGiX zByIIq+6%%W&8_bRDW4~_H5!-onT!Q0A13THNbrz3xX!7k0bjY=azqJStHLjzlndx! z(O0evT*7s3JN3^&RYg=4Po_KH*>8;6Gc!w^Y!tuq9=GJNvHx-4PH3HAWAA0dXoJ&8 z4&PUH<#GoGE~QlWXKJdY4yy?m>ErfB_6gxA5<}h$5(S^8R4!cRE|XErD3bgn!QV_n z7jfe%Swa3q5>rNH@2znbZv(wq;~7=;(9pY7 zEvY7+PTAFBW{FeJ1+l1-rlH>^ic+W+<>DVhFmx;hO8D>~YaV2mgfCBBe(rxbT&i4q zOtf$M`6O`GBbd66MteB7Z<$7HxS>#?o8t;1oyMRhtG24fF%{m*;-O}J{expK6Lu%< zSLfPDOsME(F9^yQi8&+mqPv1THJ&N^lp*k@lL60Pk1Rl1=CF+Q(H;7*^wCa=8yYIc zFX2!cOH=28X)S&0A;2tA%p5S|FUJ{rVvd%^THk<2QZsu7d>cbWUKkS_)~-?^lnd^Q z{*L2&PmcKMYbhM3VT68jsi11p zV@nY#Wu)$&#VrBVCU4uJ+pp`()4FY!-;yWVecg$JB648((GTH>${n1~fI#MZHPjn4H`_iQ*{#70@g3t4vt7VUD*j zbMxzo#%9LU<&)2sc{t^;NBJ;vcC6i~Jm7}KTB7`5ITqjt7vEmXs(*TRc-26=Hxl`T z@^8U%!dPWG^jf6s(K{~^pj-y3&Qa>c63;-fVAEp$v!;{H*BN?@<_G+;TqjK@^+4Gm zqm0V%pEgOAi)8S0D8S1QPY7+(5s&1e)DdraNZG4bcxM7lcGcApC$+#)G}!I@!NFE7 z_!K9zEU?rd0Ab5M_nsX1=E*I8vLxUsWL&T5YNR2so&0E5Ko8G`bjcZK#|n$fU4f z#0}5`uCD(EFb65(8yff_I{i|y0vST8`@1uNewpIGvzKx!BDhG**llt3nS@u?MgB$6 z(upo&B--319il-!fe~rq`$s$Bs++ANHD6h>KQJ^fR5~OhzB4o&{S$qWQlWmGoK29I zu217lA;}@M$?13B37A6OJ9z25csa0#xyK%urSsW%9Eo;Lck-a|`3nyQs&*U^C z!fTN}+;+`^8Py;FN3$Hl&Lfl-51*ymZ9C;CKN$|R-d1<%ZCddN)HCy{Ju526oTcMp z*3pAj&<@X=i*0uV#(?oLtJx5yaM-D~?wvOL6_PZmOk0>B zEJNk;@#;z(H$bTeA2yLpN(giye#M~j}#D`bF##;cYw)& z3c_GfVCNU?{vQ~PTN>Eiqf_xYyEHI6(J6VyQKA6U7B2wQwtQoma5tj}RoS}xdw$JPV+1s+Q`qS_ll;uq^Y zP8`t2(s25wAHZE2DjB4nCmkcS=jZD4v{G5Uj2?Sdnp2We+EKdge0L`~2W*(BHvkJR zf)V-If;62Inl$nA7M6E~93L63Av2G9Ums>X*YT7IWI0YTQ zqp91EXH}caqvn6@!LAGc3(7{D3I$6^)WjVdwxeGbAAI{$%4-9dd7AigVP&;@JH$Ki znXfFJ(ZMFQQ01VcR6lb2uwEPLeU4U1{NN9h=&`2&4@q^qSFE~TnP5%qNqSg^F7PB} z)Hj|+2sIAImUQX6BHe4~5W~oJJX)=H&Jil)!Vz<3k=Y);EpxyHrJeD$L#?U_%a`KO zelaZ&YK#KYZ2bh3%nrt-aCIT)@*btP;#*3$WvvF76YTZ@lf!CnMc1qtTH zS4r9WJ2!6FZ)TDYNy~rKB#;1Z`bSOdzY?@RiN?9K<1rPx8T$prg4wIW8JFx&`VJrT zzA;cPv}Se>J00&b6WgXfBNh{Q@8B#*~iUGWq_uJ3a+=S{!rM{&I z%wb!k1$-Iu~Ra0_Eyhh18!z4mM9RZAfL06hDq_B~7?@3rc98`vGGU{WMf zTCN?#A+k~&^uZlEDLgXZ3NL)(N(V1EV}4=o+2KCGEB}#Zs)|x9W46nmtnR{V)vDf0 znd>pH-+h-JVN#r8Wu-O@DRfi1P~z8w+njLKHLzA?+fnjdY9-Z|SK&TOY+6cMN1BTR z^|ne*+RSE;yxzeTzNyE{QP*Q-qg?*x#V0_TV3L}3k!1QQ3vF09#7v_|ZN0J_Hy*&Z ze;^5fC3Rq4n?Ll?=@;#Zo=e-D=d#wv-&H-Wt|%tP3KIfcejmjWv!o$sMdzs2vx0cC$%zMC}eZy8eR1&AUZZ%*~qsf;tlRQC)~H$K}0d zLg1lg93dQ`EG@u4gsnMK!q7%#=V1hn>Aq$IXCjmhGjN+g!4_BDEEZJJOj*fq#{Jcw zbPjb7ji8kCXm&OjCH5>O|Ipe8e(-+0+U;j8VHn&3@eU&LiAU~tJ_)>X;44Zr^>!n5M1Hio z$z{KJYNkme)G-(F77Sj`2FEO5p!(QtF9bm|gmv%nqU@Hu?cHD5F_ak-tF)~Psa#L& z)s;UNXz6%n{nnzxEP_TT$c+XD%yZdQ03?k{s$0+RN#Tt4-2x9PCn?*k zgs?Y*Os4VQQ@>aqY?7Z}F{+oXnkDa)yI`B^I;67L9k6~-cQdrV?an3EzYFAz-%& zl&h;^lddZ!=FdkjC3Jb+`uOK4vvStfyYr;sM`)cMCg5p0&OBf~v_T;TjcX`&kBar? z!07-|dP5_T9T591CxI})s)f)SA_|PslDQ4@Yt&S;Is&9plh=2nkClCHPm>F>G|!QT+Xx4P8r7=vZ*1ii8yqqHoDVTVRL zMRnJQnf&^1=YfL|gy%ESo4USpEZYfHBgUO4$~!)KX^ZAg`SH^$65@tsr}YQnd?lx_ zv{aI|$HBzzq-|n1pGP@I)$t$kmXP|@RCF;XH6q6K%1Di}tiYR5WzeP33Rqx_MP4S|!IC%b@Rc8S6d0%t>lYDGk z-F$ZrS>%+9a;ANg`C?`-UVh@v+$ zO%&A`y>3Y-9<|D?+q+9930n_rBvWnwPR_+KZ3lAb)g!?;WFUG+GIg|Ri{A*{AnnSo zT#j;^--yg0&DFw1M;Rf&s?frLr<>Hmk78mdCW~UKfYoSo>7^Jq1YUA2y(IoWD8*O( z$E=|viaLU?z`nuN@4Ro9_Cu|BGgyPu|iU=TjHu zRlmh&FfOfrOO5`kdb1p&lj99&1S&86O}!cBvf7SsdrS8w#?$EVp#eENTc##xstL6k zF9GWtl;{rcok2@EOE;jf0it;FhA}4fr zY)+5tLz2IH^VX<+Iq}Xc6f#xjZYV6hygkaT7x4Rb`GUB{P#9^}+=OmUeyOmes*7Lp zl#%qj@{{*R-ui~5m&7v`jLf_A*vzi=NvRJzSK@NqSR7hNn-_2w+@st@6;y7Dym}Hv zPtvZfppvcJ!P~^9ZzjmvJ9piP~^>xSPnJOwUSLRD!-yBT$UT7#Pc##0? z$};a)?JxdhaM){hRd}5$dwrIE?fHGx^6COVeJ-VA?$e9WgKPFs;p4k0;E!&S3a@8F zuMK#P#XvkRBC9iZBvf|hZO{1s!`WMaRkd}E!UnB0(t?13bb~a~9h+_e=@O8REg+$U zG@F)gkZus^2I-DXcQ4ci(fq_xt~Q@AHf`V$Qj0uGw>pv7oK#)*3?_=xNp( zEq~xr1a4L@NhmxARU7hFn@V~KjJr^ZU59=1W%iGpOkm8Wm43dUe`pdYo*u2hNxTL>bz(i#lMr<2DFjS9<{1^ z`YpX~{^<~FxXdfZdPJ53C@cSd5|S?Wo@zBOT07*b0Ev(~O6LS4s)xxjFux|=F5-cE zkc3anXrzSxi!XJec#eKAS0Bk1on*zzb;O(}%2TcO-h9Z#IeuTuEcRberE=r`;@oWT z)7R{8fKuF)V7^Q+-IKZ-A*xw+=H;F4&sPrHDwYqY^mU)qc^YVi$l0{J3GFdT@m7?8q2}k}7lLF}|bn!ANjFfo{ zI!?>{&ZhQaX%;2eGh5B`$Oo19l2VIdE|h4q3<6l(!%gEd2Z3~hG{guj+=}4VP^x9H_(MDFF!6A^nH{rKSXDV(cqsU zdO@-~d%_y&)75Zu_e2S~Fk+uGNu!#p$l6Nt)nu_;u}++JB}4hvc439q7i3Uevm8@o z;hu(xpSoEY-ji$wuQ+WXc3O#UydpfCe?K8!!^A^vXZ8>#QC*I1`(XDc=@0n|vc}KN z8U22|AM3A!SlI2iqW6MWj2*V3;X$P@>T4%P<(V1G!c%_^K%XI;Bw#3+ns^?ZT^=|NHz4cYjN*hQ1SEKxZh+ImfB^pi;Q=HEi`RTqrO4BCqj#2uxY9EJc`&=$%7bTED#ms}Eb3P1l7(VM4jS{3g(FjX z&v*e(3g?=gm<1TsmEPKCm2j?HhPyrU@B$1GFH_UPU&Bgse>hzLZ1>ONkm>-I5svmfV#Y$7j^czEyH=5aV&CLrVc_-WBLJh%xd}mu z{onBu0)u)vSJSd|uDM-Ji4!EnHjFsEjIWW;7;2q}s3>V1XvTWjeus0>m~0e2 zx9#J)y7lVz`dpi^?N4ONW8kM~Q*yJHDobxRE-RX#mXF z{5J@#;jak!%dN@C)X+NWpd5s;A<0WTL6!GoqObVTCM)ma$od{xLp(cCMdf{BFs@kX zdN=hHo>`O)No7y{i!{3wo;jl=3b>Tnz`6;|hBK^>1qYun6z-%fKhCBtjB?@791`_LN!FWxT zDHj-nqnhI{8|MR;Y^MOy*tj@F z$>GxfUMhMYLwzsQD2HqOPU2Zj5y4m~NTE@VeV?JT>R$pbvw9#SJVWMLX=gRHMqT_4 zFsrCO0wxo+q2I??{FNHf`1h%|n#`Wr%P5vUl!!{w7Z0K4u1CR@wB~q9GZrvluistR z5rUGqP?zQk^_&ggwc;(!`%8EgYL&p0Yfu4k?KPSGH-VbJdB>oUNwUywe}yH0q{YV2;$lzFzRjmo>e6B+jVrvskS7j@-~>Ok+Z7R0gw zkjtQfM8opQW|I=HPTfCz@Z1h=ikyN*4syOP$iIa?`zU=8qk=&?@HrSXlAfm$o_M5! zadQeQWXGrYI_95vWSBD9{HDaqQTKAS>Y&qVA#|*PDDRv}$*ZRNf~;%cVz!Z(bIykH z>`rGm%k>XfG<@DdRk?7Qf8JA09r`n+lRyV)^0Vat^^aUFQ$jy(9Wp=@a0k$@S2yed z+>=!(Al#Exa+up)f6!y1w%3D`RfZfzj~=|r5<12G%0zwVoppOHb$J>N)b`MjSy{yC zTK2$3>~ok8JW>1>-4iZ!!>HcVxjp!v{+*$qcqd^2NwbY~}8I{TV1u7hX^+BTId1MO-$M_JlvZ=<= zWoye48a6YnzsPQGdP>w~-pW6IzoT+lB)(9Fb>75(wx^A^QqR)RSVO2R_VSkv6|F=^ znPe&sZYyDkn8e*!hY0g8=Y<<2AQ8;x(U&8AX%W9Gmit>I4&Q7g;1QVH0`IJLd1Y>I zmteQx>oWM=B^(C7+l3cL(=b~Q{nMNhoS2S$yrpX+WAzqt0%L*NB{0Q=zo6FgYN zi66fl>;23w%2BQWuxbOJ9UBJUa~i92j|~BK)HSMEcH@?0+_O^QHL5hjuh6qdJ;Y>* zCweO7e&tK0>EcOopWH>W6-Ln|4yXb~c<)=bO`EQIX_SB!cM4YyX^qoqe2=B+{utXO zg$f^^pO>>TVGO>!xycDDyf#5g#U#F!=2wGBe-om(w}B4XB@NhQ1`8y&P}%vQ_yH&= z9zaIYTD%|kX$TuGNJI4n@+3wf+UDstDL@<+wx#sJ2Jh3>#ge3NJ(QU1}RXNCp- z;ZyaNwB$={bPAQAQV8x?aqO;H2N&bIH3|EmT)n20f@Tf5D_TrkdD}Y#OMxB}#~iE= z>?!pdr?3r4^6|2D*S{7I&!1%!n%ZGqq&T*35#@^pynUPfkFum#lZ#T>far7F{@* zxy^!;X`Sk!v6EJFy*Olk;^gQPTYb^GDj5*cZ_4}Y4bwUVO_G7{9z6q4Es_S4wr23lrv^R>0mZwQ)`AOz>)W7LxVaUNw6}5w!UhuG=kDVwyEsuDUy&?_xX7&tv_ZtUBU92Up+)v9Ux}Fa)tFW$93f z6BEhkFpCp!t_mIlJ4R{A^;h!)opcV^JJy0LgRN4v@9#N`KgusYdl6>etM~ZxD6=y( zzTQ!O5}l*_-SCb02JxQGR1bN8(C({5hrN9=FOQwXLP=38uH;j4_CbnMv1i`Mq2rJ5Jq7VZ%?*tHT7@GQjk!$!kn6DJi zSws~6^7-VB{C2q3fBRaKO=t-tH!n=2jDdJet!oY+lQ%mr?0hX4p0_ zTkg`&kQ)BqYF+7C>|xvV&|xyNuCrKnNI_(w0I>O%lmeJhskAvrEwI$WGM8pACI9e? z#4a)!_{4OhdjM5{Q^Y)NCh?m6bZThe8|u~Z1Cg8RzU?W7Jfor%-x8;P7Z8r3)O#dK zIMt}|e+~hAbL%z(u-baP59SyfEFFpTkVZ0#+~o(z_l^bI-{cj+`qnp4&=_Sx60C0g ztUD)Hgyor?%Xu0y|V~2WO zXj?triF^+&Hu?v+-*6h4W3?w~zN}h?B&oY0Fr{u{57LX_d zf8sbzrGA!cE+EOEFz5n|wRSZ(5q@ki<`6guz>ewAorq-WbAyEsfL>A2t=-pgH^xg?kFX*>ice6qndS4JpJ+BXPriW6xm zgK)RP*k1}L&xD#v-iQ?ElvBr9H<#6f$W>DW=}6@0Vh=E7f=Ys|2~`W6cJMMm6CF7+ zIfYj8QF0nF@zimJxl9PxKR*CvsHqqWV99z4Dh*)gLeI$a`8DdDLH%bUN!p<%k~@RC zc#I&2Nm{)q&&rzVuj9$pZJODO`b4!vst%XFq+Iw;1*290n(>^{wT&4Y9T|1ROwziItFZDLi2!9B&A}S z>!0^J_)plx3;Le{Wev|i(K}Ke&HUso3l*dnwf^%vTBCKmutaazr@JH6wP2AKB6kn3 zSFn4qn+V=BTs=M+)wJ_v9t%tKvdJ(XnAel%Hr-g%EhfpjNc0kFW)u7mfOyomhTF(M zfzD<|IFGAAIFFm<01N`G`T-A)O(Z)qCHEe66A<0o)2GGk)jSYgGKCV%+({HQn}CAq zwgKH%1HOCL^|QISF$XQBe;1_m09!PwEDhW|Uu2)u zW32xs;Iru5*d(o57A(}5eN#10(mQp*5E*51;4NL(nd;V>b zzcJh=N5%=(G@OM!@ql|H0+~>}|hIyOALo>}2*RVM=Nve_zUc|Ke&UdWi1o+b$Duh z$RoKd9hSaNzb)fK1;2A8t!LtfwT~80W_+GWf0Hy}p3EkV8DSnEUD=kUvwns)rmUG8 z$#(yKof199^wf#K#L3n$TudQiFjN)sKoK5{80>mq798yxY3|<}etN4QqBCX06v3&C z9_wjpF6;+#7|>@>*$GcfMV4!3KW!GBNu4HRoKt`Vpm>=YR!J!^_u5Q`6uk22C1tNg z>Yiyb4u_b~A8OHT}VP+R2gam>?Kf4ZF@ zAORcig*l_+a{m+>PG#JiqjfbTK%IyczLo$!=@+?PPrtJVN0Z;Kvt0jwz=De`=?6}? zN3kgL16>cy(oGVI^>K_Z=v{l|q;SmymBL%KK+d33?iU?rG1r7RCO5s_=xFSn zRxOV|Lsq$r9wIW7_Y>mTf2}_j1u~X=MOg5ckNfBTDOsE`<&C+QK(RPA!|Pa^_Dtwl zee;d?6Ogfw@xPCgouZ+v$>V$pl31XDUDV@hzZWDb@M~jM*(Oes)*ibWw9R{>?If}|5W;u=cEx^_k+O(tPSGtI;_9Q z1>R3)uyte2@I0@u<6qmL5yj=WYP`l{biP|460yfEue~<#o~M3u!?*OqJi>QKs~8vq zc6KxSJM67v^;7zw>kyj;hgXop2i=jrc@w7iRvlCPSPzO~b190~Q~fn=MrPr!n;VW6 zGkIJ_Zw{Q*4MA@?rs-1n@HKInfOFLQ>oq{y=)3$6VX$1GH`mBZ)1fgoN8uv3UGO(G zNPuLjbrjzGh6e?~I(*pCc* z`>HgBtSn`&rP2P^qu|-)s^0!6!q;{uPASN8$xZrM4{{U@OI1Gore&R?6P?Kt%yjO{ zv4f^UQM}Za1bL@U(USOj$x@_}m&f%29A*Qu96yi>`KxIo=l#G?GLAd%6s0e&Fd=yz zs4*$}cwst()8{DM!SUtOmSg6Ksy5!1&M3jFu39^Mc^`cFH~5HG;xs_KL=563I9)!F z5}gLPhVa6Zy5{d1C`?F)1-`+~6B&MSiLFWhz!}?8bNh(~6i@J^8QU-0SGjEY&Kx+@H3^>+dZP)+2dIt_R8D4F23DM{%}bjbYT1-Dlimc%|)6sS+w zYzV%lW$+m9%~4+L+lGG}P|7%`%nc!Z%?yDx7`*^&Qf`sW2V2)&yN!5L$Q}4!+snWb z=0Dts?0*gJYzL3%rnh2{0u`OuC)qy;JcpUzDpv}Kb%s}$O!YTMo-6IUf#5Rh4){-A zJB}<_VlTxFPM|HHw&6(p0@PZ=m_!AjC|x88J`x?!G%4%7YlZq)JDryG($#B;DhT(c zqM4k?9yp_ADPMeHuW^Fnlgm1#M*wF=z2w~vv3l9d%&$T!>lA<$D~+eSdzXCpD2W7~ zQI>J<61?=fb5W$O-9fRxUA7|`thm?{BipeSK3KnIbosym0wtNkfP0noT#)(R-+R64 zI;d-g!Rql0`pP;WCyY_FcXhv8`pP~ho(vvRBrgfMwl9`!)bl? ze=2N^@Mg774^W$OhK3C?aqv|3On0>Z1zU?e`wqz$wTicMx%YNsuo^4yTve;xYQ5e5 zs=Iyi|34fgyKnsoHoqFn7GRzX4}k77c?^D}Y&D&JW#m+OR3=|5W)*OA=(2qQPsvk& zd5S~7;lriF*5TLb@C#Njtc-E_*>SMe9HpTh*uDCG=FZO0&cW5}4^jDeMW{3Qk^oiD zLxnP|UrV9@d!w4=`#37xv$2D%+sTyCF+5VM8d2h;db~`5o<^U>+anxjm$eJ{;=*4P z2ZnVO+2Ti#^FTGr>V1SM7&HNDvN|6a-ab)!Wz$yB!Tct!186;f#Ws^|L!bfCt|Rj{ zWsRRlv?#B9+JNBRcXP4CDAHcM3F!gQB?mIFJw`@AmI!a)NZV+7ve?%0*03VgN8r@G zzo@nhIdBO=JXGKkfo*M8Pi?)KUo<>1C4EfePCQ0DeMPO=|JZSDZ$%K#B7$O({A;b? z6jfN3RZ8Jc%3)5R*D&`u==DBz`w_8U7MjQ#R%pTfz?tC1?n6ixn+xP{aK-<&3;7cF zHq5FT=USqRxLjXssqV8dY2CJ+V7i45j`Nw8CK`KpL^QWpkB4w?1La2Y#i@66j>tgo z^)(u{$!~%5<+lnn>cT$*Ael5qBySZ)qa4Csl z7wbcAU-T_(T1K)~r16ti--(I8wZeD7H|g*j5!gko$lR_7JUxBIU~Bk@<;=nzo&(<( zxo-u-C;?})J4UbN@Fn;kzgA@5#`wjX9`f#vy)b|sKIlnNx4s)u?l;3S2GJA# z43o|28h+31OIvizsS}>wYkjAn2?^kIU~vcR1+EE^oz<)D%y()M3&^_PL$&&KFF?Px z$b84j%%sgCT6#4n1vwHOHbfeHFGpsTzVdZs}_xs8bkhuH};=Ir-vUj(Yv59;&V6}le zIGjda!s;H@x>-&9YZ~f)pQai@>_uJ{$AJVir>P}tS99+_06n}=*Dfv4NZxJ&*c*Ro zUWAtI~Q!vApsQUgB!XsZXS}%Wnhu1gq_DGyj;h|_GAT!Inkv#T^RrhJgqq| zi4)#Bc2{YyY^?iD9J}ivcz83`!xV4hJ8^Qj><0qVN_O-J6I&kIHuftXvY2IJZy7FdUNSy_O-#uNJc2=El=^hNnT!Y z$Q+qPF5BdJcJI=M(>1#mDtqp$r=QIh2ya)b`TVxYyUCtXI+ra=-H9<2n4oJG0~Oba zf!M5^yjrCHjw=`j4?hYupJ%UqJ3pI@$rQ0yc0g}w$bWaTxWCQZw$?m1rsv=5Rhw6f z6mvOg>ZB}(+e{JT1^T*m4w;VuNtAW3Aq+0Q^#WEKSH{R9#mjWhszg%Z#INCcPLkG` zvHDSRk6&qJ4~f3rXo=`aC$J5rC#9dBoWi}1@wfAN9wqWGVZa#qEa2w|_M?~p&BWQ5 z=l~}k6bvluUBdnt|GC+Q&Xerrni~6ZLHfFq5IXhK5?vc_8+Kd3vTWp@!t=1wukCb_qddC>q`7Eg+3Js<8G~Aa7=C4Y zV`_fxrP&o-md)VQY-Uy2aYgV2b0v@c=yL39v5xBmH;CQef3fE!d!4%RP_UwoC(A@nI8_UH;LVb1a$!XONb zG(Ao9GlY>6(hb5$>5)&~u44vW&P8c=U|Q^KBL$Hs$okMze@nK$nZU8OI}k~&V}XJQ zPXX7>OJ9afa(>^Ds_kD9CEXQ&IYKKf8DTLW?~upBnYSm|7hv=$rrcu*%$K@yE~?+`fVag1!JH`Ay_Q~{lQY@ zqF4wIP!)G^l5GIS%lZ)DM?aj#SZ%qaHhw3q$hS7%Cn)vx>!kh;(ZQzXM17 zz@pOSJY2`gtgbK=rP5{GL?+0r=JnYR57J4Ji`lME_yptVc{nanb!ZySxuKQEC0tAlHB@2~5ag5Hic;0=vMa{&UTEh!iC^zq{D@BW^aq`JUMTsq5b%=o!Iz3ine5RU;ko`_3H|(Kd&P)K4c% z$gP+u-wL4*ky|xtA7eCQ@kS)}H~~L68q>xy7Vdi)FAn*}5x9=Ck)`miAT_S_5ny9| zfdN?E*E4>9r{#(ke8t-Kc!rGJAGLzjGP#iP0W!9_^aBivtu4QZW8D~Dm5`~V20yW; zjgEbsRqi~yV4N~OE5LN!sShyWUjMv*L|T7v7``4_^ZB?FI>buh=6hUs8rpsRnq`$2 zY9oi|ttjhXM!y|_DnieDC0pO7+=uB4vOkJ(3P_LbC-TLO;R6`5yX^U8x^*dGdTF59 zrt3bHH12qBW})Tcs$rqE4lNJq)krm%nE4lqE1Lisbl%cmQ!@gsLyOlg7ei&0Zoa9_ zr@MQpMiz&6{9c>?i1Kk#SBxm^RpsU@q?Mjn%TFTLh{>}4zYO%gC1<~nYAfwS9YxP7 zLTR-A@prVR9|(-f{%7b74FrHBG_WwE1$wb4NCN-!Sb!}G{&|?QlaftL=XWuF?|S?J z`q2HfAm&c$fywXu;|KHZ59|dW@KSst!~S`#`UsfWVEw$7M9>I^#$nvGAHT>=8Bqy0 zrtF@s|LY)b6a6rJhMd-#(1VD?HG1EZxWguo7uGs^o2*f3ut-wh`n+s4*#{qg4f z)oJtbaPofmIQ7i4lsyb3u*jQhkvH39DR(#pe6|(`fH&z|=cPVihA5!5F%6r~ezep9 z&K@tn=ZoP0=8pP^m`Zx*DjUvp*ECc9VRj$h?&WCu)+&GZQ)zd!?pJEQX2|T=D|PSt zl#5?%e6i+~hep%ik^uAm1cmSfg_%oWXn2*8@cO>HXHfY)JAl6-u;L}PG(1gEC;h*m z3Hc3QnsUTu4D@=X9HEo!GU zmA~;Ybv)@>T?_B*B@2z=C0ycaL2YS?KLJ!+)7FQR-(wvcx%vkzzr3QoqH@O(MEAXa zoy$V?;BPLq(`4Fef{5U&nU6pig}|>s5};T8j(6*CU`{`M-5!R<>?Y7nu_~7urRlc{ z*86%m-Jh)8toNSxw_hd%AF^UE8Bw&f3VMS*u3#re_i*-0mfdiuOw~crI1SEANm=o{ z{lbd^g*m%MhQ`b6ML2T=^`lyCn*!$wRIg~G6C!467Br+sFFsDJ+!j7Q(Lh}*!s^j_ z?x8%(PiOZ$otJ^B1p18aOPq@mA|N%UW=K}A+r}QxhCavTfM8z9^MlZK+j+K~$L|_J zE4{R{WzPl+6TUhM&l=aQnb{0R|KL(I&r~tvf;I!24^yP`)hKQy|IWrtF3Z{+Wplg# zCKcQs-^^A^kD(w_;y>rxrh;Y%hs98E0K)Otm=0YzzUY)Q87Ir&H&x=~vs767IKi$V z;sPBR&sU4>JSJ-dZS>NrnTKcNE$sR$Yu0tY7kCb}U(abe% z-VJZIgAHNlhQetSEfhP&jP3j){Xd4*IErIJ9#SsBJnsu*@V~oIKdw^p{4|MB_C6y)skL;|C)$?#`=k4H^z_ZI*)@DQHGHoO8YXwwFfCKJ9=>W8 z_;-k_sP1J~QBNEz^8YPAj6s2sMu1chpWY|VfQ+r<8#HKhD5dYkyE@y58<5QX)P9m} z%l10oK7OV9bW`Bt;HO#<5xhIt(e?S<-~p$X2S58PiCvhc6ft$nmo8-e6UqdKaUAiH ze?cJbMQLz4_d{|k(9`;Cf=41x5nu&KH9&b&z?ZR2V3pUTu2_ME*8*x!y$uCCxM`JJq}typxH zo7wVR#-Wg)z2PiZj=fkOV5?sTnB}}l*?Bj^hSVQKrOo5rT~tnGpLucmP;~vZRremo z*PW;T4uv_9N><$@PZ-Q91EP`RDrRs8{N-l$K3L?^U020;&&mq#zf5=itsIjiD65#3 zP3u!`DTy6X9zVCr#B z9mVU;b5Z(IR5=um_nGVVqXkX8ZlOvs>;j5idt4-yYUJP6KW2hLz@ceMT>4x=j-FOy zU!L}+VTv(Se_${}bLLmdd1|cGT@XvPr5{RuN62@@Y;tsK`qXzuZ;+h6*MaTTHRb_^ zJw_=8$cR0}AY9QjQeo^JNBG+VP0J+F>5>sHzaM%TRx&8ui6oG~~RtQi|O5QwW-2SPwKg5UNDp8wj`Xyf{3hqH-{<)~5+yBevMLWI=m z@m7UcogqhNgW$GGO`(V(@L?s0o-9`*PHu*+q)@#t$Vwvz#6uBZDz~2X zL07fl&M-w&onaz`4~PzjsA>Gg@(dI(#8nt8X%xg_Iv0M|W-la=mdq>2<3@Xy^+|;t z10W0u{#I;OY?!o|xoz3ChHrnTe}iS?=er48rx>ft?YsOJ z6wWaUq3q^O;DKtW&HABAh!;Ef$Y9ofW0mt_Zi4_Sm-9kTC5$o7-}avXyiBP&kg^U0 zJQt7-cq7ftm)>KqLWA7GdBdp=9qKHf;@U$!Qr^n3km)=5*k^g9uqQns_OJg$1^I(y z#C|}D?rQg|0&Noz^E1S;gk)rauDPB*kCP+n7{MhFm(KZ(%>EH^ml0LqE`SW;A(vqU za)Uyrz?*ax60AHrc}p30OUc~2Am|C(x=nWg`;@?&;IWjkUX)C*w;%0qm%D2dhRe!= zFU#_3H>ZLfmeTdm0n8gr(;vlRtiI-^j7d9h08pA>mxkt9wt3^ z|1BDHDlJ=Zon=ONvAup+I8IT932qVb7Hs5tbP?+mB$Sh4tW0k)t=30!!Tv6&_bz>j zQsfu6efphiDBXU*<*+i_#Na{Mu!w^by7Cw7&v_lF2lOs$1(e=kuRG^w#b`Bvi6!jr z?reVr7*`~fqbg7uV?%^@Qhy8YbnQHUGdkC9N9HvWTqLk(_xgUf(PJ@H`Jyr1)=fm` z&=+*z>p$~=$o^>|y?72W)w-w3-*Cg`v}oaxB($P9~<_85DM$$^yGqSu?t?;f_bJ@OBjNG$LN_|bQLZ9LoW;!NV{X{>S}W_>cc^yY~i z(VAaX!{l{?*xozJ_T#w6Q0+L_QvrYZ&DJOgQ_yZNQI){@29VgBCN(}m6UhVLo97&Fk=VldVwpdr7?q9 zx7K&Sz|gpnm*-A@dpF$Q-MTcj#2l4c#vG_PMN}u;e*SRk=$^+UZx^#vtB&P^kB!kd z{_0H=13hOs-kG=%2miZI2lZ@bQw@Xv``psmymOa|5``zxT{hM9r`nLm85ei#L zU`XZe?s-G3))&^;{eE7E7D_>ecTi3>3Xpw(EVAP7-*fznl#Ve4WiKc2yj7T54(6d( znA+L|C^ACwn4Q{Rs@7({JGge)(;qDfwCI|f_Zbn0Ow@zJFDKF)sU$NnH@7G57IpowFT{AJp0j z3_5Rb`d=r!gq|&?yaUGAy`4zzr3NO2Y6 z^`@CX^Xaz7GqoS*%bN|cV!?4?xaT8Ee$)l&-<64hMm78%gE>ok| zVwRfg)Y2@C398W=ojq)KJoQ>t? z=1X3>>1=IYk%D_4mvj|U0pvV3r3c>acHNMK@# z@%i-EOY#CwG>9=aA!n@5$RzsmWCGnT-|&Dc|86vJ>qxVy)#x2K1mf{jtx`MAvLf+;?Dx0wpMNXBu1AV(3DV8QUD zHHD?5`NzKSO6GC5i~X|U)+O)fx?q(9pP!&bVR!H?AMg4-{MPdd+CRse1X`K%hW6iR z3p+f@C1YG8qihWY4rqAjjZ}bl)|FI%gPp_Y{wr98cgw4LvU>VwXGT_&a6k>RlI)ZS z_JT3)a9DXu`xSX8dHIQ_0==WtNMG;Ap_#XjV**fUQ85*;bh86U%|-(Q;=QnDge4C+%7C4orfKk>IOacWrwa!1aNqkjKfkHRgzTDwSoT7~#fWK?}!9?h(l0tP^ zaNC#j55kZf?2gam@o#YOowWNz6?%+&AF)#f=b!_Dl0C*V4*@~r=*yQH?ejE&geKGYHz+p8RB0yN=Tdm{$-E{@p84#gTc(@6nzeX8Z*A-kZxFwZu*gyu&sACixm{-jHLAOcdFNfsMlySB)`fl@_g?-;Pp-TH zG&b`&)wks?ojsHG>#*n5^v#>7k@&n91u_|C-u(gE!4{lskF!m=gGLg zTsyh%yr6fjsShtpKG#!uOw4wWc>9m>5jG}nuBT5JFe{HD_-y$nL9709&f3hedF8M=%<PbBA;2igG!FxCa22O&tD#Mp@;mX4Xd7GtU z&i!Ze9744U!_5C=%S#UKPfsWLTJAMXU}vyv*u`hgtTS&rRC$ajo!0L{ z_PY8ksJu=4G3VOt`nj`tJI@0ip{bn$_Rshwucxt}yBs@53z5$VREJ&7)d#hHlW=nExd zLqePlvxk2tqn+fLp_04LB)*I{4PaSid(2R8nuVq$XnY+8omPCB_T^@Mf`;oIby^`; zuR(okM6DcPX+$q^D@Qe}g)!O!!lIWlepwWobMzDhiWVE}C*)%-ssf8Mw_oy(RQ&F= zWqvWEFfjSZNiSz`+_nS+3nGQk_-moBR`W}cKOYY(CJ8!pEk5ib(P?MXvLfDKz--sg zF@_XzJ#~%?;xpmj2-((_|H4_Z@kzHYGlxl6E+vY)IHv2 z*BGs7On)NVOf*G}l1xl_&}4BImYqsTS*ROrhKCKkhzgZ@(#1eTMk2q|^O~JZC&vx5 zl5zJ@%KWJQuL0r%vV(S+?X7D#b;Q2j>GKnqZ)ESqWdA6i_zbu_0Wu(+5= zd^w@~SW$D9duBg=5$*sA;k(P+IC{wLqvm||2`7_~J@^vqk#Sq%mJC}kFOsvn`2QwV zoU_E|KQ<0E>+cgN@aQJRS3Aa+TxaMe%?|fw%_#Hxg;f%KzO&ql8eI4_>^*jwLDeNW zU*^H7UG$a2!Ub?Qi+4}cM3q6n)5UJ;nZr>klc+tnEu3*Rz||~7#?>$^?>F>ZtYu6A2aY-$LGU{@M3)Y76G0LrQ*x$Hl~mGyH>p& zp1K_6Y4Hj`*Y_|P64~z0%}&)VQ--4VnLISo6xWGKEF!RZ@*juP{pb4(E-dJcM>xQ@Hszq&-{J>|1-9Cw-h#5zi9$I*dTxOqlx z-+ayhB;8f}v^OP+>qxUlB!$3Q@(7%uf?JNUKc#`dM0&tw_O;$2F4i*US9e|$^9;De zMHltz%f=YU_}=B0t1Y_`A@< zzDNauM4C2V0>^DK5!yxvRWUH zj)y;7tse<-FEtD3_zYvBd`Wv|(MyRKc7PG1hX;7T=mA3p$iibp7E-?fVmdzn7Y|(p zq6xdd7_jAWd8g*ZU~bf|3NS~PM%zbYjGj{A+z-WM2WQ`qu|&&xNPAGL(mM!KXQ|OU zuv7qR4E@sY|AuFSv!#qqoMc}(YEdqt;0*~F3Z_7|WT2hxU%o_eA%vscA_IOI)*y>I!1TUk&24; zj5ovqsrw+#w5-3hoXY&J^&tNQKJ{D!25-pfgB!W2U&D7J7~tTA-gS7HK>Z!ug)RXO zF3=mB1gE75`wD}Tf%&%ka6;L6Cspg6`;~%QtB}vV=A9&8tM}J%d;F`*&D)@Nk`Eph zoF&<{*gbqFpvv*np(Zf&X}nHS9#{`7J0-u6OVl8kS43lw`gE)8;a7^h&+bUu$o=gR;0%jf#MFjr!2liA#@EFQky^`s$ zR93Lyr?_YsJs&H(1j#CPZJo>l{s@XeAsf~9iJzKaM zB(voUlB-j%lqqJq;d4Wtx8OM)2e^a4?mpbkqWK;SORLFRf-Sk8&B3L!_YPmXx9`sy zfh>(h4Am^PMD8R5uNlJEG*gmFyGG92jkFs2 zVI4ZSp3As3SXnP5qpVdj<2aG@{J)X&V?^@k^lgUw)Ewcli95Hnt1!lXuiT=kkLQ8~ z)X{0Mj7>oxTyz-F_>d0UwzjY7{<3WiBMilAmn>^7VNb3gibx06r}}QEZg0m4D1fGaV9VJXbL@Yl_9GJc71sYPFQJxF`J1KTri0x#jZ9vl z64e)5R~w>(UW3gC;Jy~C^jr}bC8hH)L_|}F23(pB6Y-R~fp~RMdj+X_fh3Zp`YA;W z4O?KR<6uc_5t+QmLCS3B{tfIJFUq}3;WrDn%XOD+*F&hoWtYNNqC|{t`U8CR5H(l! zP}NHVTUcy(O6Kklt=(IX579le zIC_zJm^5@sBI8YDwYnR}b$l+DbmjR<(YraCw#Bfl1jNVff(tCtVbq}4JaNSf`+Ouu zKn+SxsKAMuZ6Xem5sVt&IOmbr6U|Bz#;NtSy&w+J6XA(?+EGH8tb(#S`3;l#G0;D3 zK!E~zTG9|ba3-#CJ+FEdwq?F0<%r6t@b`>NpC7fqT6~VK zjvAWayRAGUk~HbQg0XqQAN#bvm%&@+4i5NxZ;yZYT*)fB%O{7D=&r<9gZsmFDsPOK zkF;F9&Ar((uR{s#&rZgj#!eu5uFxhu%hw_lt7`cA{uMjK;;s+AR z(@DL)Z&KVbG;G~V%zEy7mpp}h>|o^$ULoHICT#h-twX4>X**?lg%_%8u1eIbl&$*JHnUghvd`HuG{8Bmf8w#tL$QJ z_uRWFS?}!TwUfUo0%n(bMBs+nZL9mY>Bpw(*X8M}4x_C^+e?CZ-Ybcl{nP%lxOvg6 z@gH(spJiYvsT*}Uh*h^<;eQY4~u}N~Lhp*FFvv^zxAD3jb^lGye60 zdbcg_neQxyvfk}jQ2oyKJ!?lAg<-B{Nr}cb%h+P^=QurG)!~;nMmLwkwK;CGdo@?b z0o@{rLd^pt9x{p4>`f!k0^%V4z6$%@my3r?qou7<20!Vg!=YtFZ4GI3lTRMt?;5z8G(TV~H+%rm9x4i0Z{kOg zb7OaVXUZQI+5~$#r%$~m1>1!el2@$K9Nunf*D^2$x10E=YG= zLgHRPQb4+;>ylDRNH-D+(nxoQmllweP65I9T%YIveZRl;|E>33Yu4;N`<$6Gv(L=z z&%~M2@LB&%vSBlCng8}&Kf8C**|74Ypr{r=uQG-)m4u*Rx)a*JopKqcRmkrp`+$s`7qE@ z=|R=F9dE3#FeUO&MB8=V6aLby{aeY^^bE7zH4h^C%B-3pU ztD-L2vTWkfqAt=CZQ^;NE}+T4v}kt&q|kxUgN4J28Z4^Cz7TmHr99UQN&+q+)S^6I ze*AoA#}9Tl`{1owG31nA#)E}?_6z4i?q{Dw#0QJ9ikV$ED?emH!EaQyc9wEWD-i|TeEM$oOwa8+s#dUG zUX6V5XdbJksI^8$j8k58%!&^Ohz%%$a4ubC1pzL%B; zwSW9rN;Mq*5y$PP=J}b5X}i?#bBUeWk2n6~ZFw74Qf68`qbt(^)?96mJpJeF*4K@% zS93mSm}#92x%6MIBec!`6n>((&3f|T)U)aI$RnI$`Ru6En9#`u;J}O!!Uy=7@;# zy-a%f*xk)2X1yq*aM?l0k%BGrvffRrp;4o-O7pqG`B@q9+;_2N-{j>5Ov}=X`*ZdF z(#zwLIk7qWA&Jk#uX%Ro6s7QcGFSUuSVUfDK3aBMrmWMyOu(+xqga;^8DanPk*DYG z^ycOX0guLtqYi%9n+P5iq`+Z25mw6|0luTVp*E7h&t0*`NT(X_UGncjW$cN0_8;HF zOayy=1w>pv(tV+H3@3eV`*cF`h05pR%BYJSqr7Wde=L>H8sCWbr|KX#ZjMFuMADk< z8IIh9x`Wm~Gl6F4AgTulxaY8e`51X~pmEk$aFryF=r^L4!C^jUN7JV0z9(S0t1W*! zzAjUvce&F;Y?VwL=X}bXQOd1|N##6kp8U^AC7-<$g`O0qy!)tKuQ!;gA-1FYzxWEp zSzn1Nql$#w-p?OZU6KXt=1jSz2jn&34P5e;aMb1=J=5PXYIzeCd>f~no<4%|NDf_{W!Ii;e9jW>O+ZB%$M%1l*BOa!_QfeRD zOAh!TTC(^0>}FWz=3$Li+I_7Lboj{vFvZ`Ju440P7JNn_11)$g&utR&8f2o^FxaE1 z3)nu^WA+K%pICR3f(Q?{J0-nROWhW@QY==QT=-UdL1kiOSlAJtHa6Ct{p88=kZYBG z-PoohQLGwze~^!wMa~btAbo`as|OaE+q7(K7}TqCS%Zfo5=B$f&Chzi(+HrSsOyX$ z3gBs@)0K4}iDdGh?s5y!r_#=XX#KVn`>RYaq1v#_k*nxOO(sMbu0wUO7ct>8P zx^}7;s=R;uh@;tf)Iuy}iF>OzYxiRCK&bxF@L3t%<_r7*42-{S*?^3R8q}@IW>iI| zoNP7?_M*PW{6oqqLE+Fwn>J7~d-7LTAYEn3%YrOro__u%_47-Be%e>J8Xx)eOT92+ zYgZarSvPUt23~wQC8~TW1;E-*_Bm<*{HYXJ`|(bl1qwWN2dw>U7+Mw68UNm6_R%dI zuT{>qN|7Dn2usz&<}TN*$CW749``BCIS1BIx3z%f(D8g=b3pEMU^P`P9<{fC7PU

llzEiu_v-pdhOIgg|hvK)8L+OM!#UHjG zD;i;w94Qy3^4_n~4d7wN8 zVR%DefL(UjbH>Y76d?naz>VIq&{QP|f%!lK19h}j{Z}s?#8Q~O?j#mI3S>UnT=|qm zFQP2%ocv}{-!OQr{bdGQUL+?7{n*~5&BNnd4Y|0h86 z#spW10($?uoi%WJdOCap$zAsDzU?0Q%|15nw-TL-l`ySemo1er!RCr3UdrIxd`P}|k2wZt0DYf9%_kC(;g-2uT zNnq;=@zhq;7peJxx}8rx$n8|g2KV(Z?QP3a*(=LW{&)rW|FRZC7O9_^S9LtU5{(AY%8|@pYTOs*3<1|E8s_mzTWSAT(a9OLp zcc<~~V+oHJNG^Pd)*5aGb`Ds1Kfbc7#={D6lmro>xs_tc4ht@fGyx$?VPmRI~7 zlVC$ZKQUdQ?%Tp|$JN{8GzH<3}&0nt-h^K9~6!;DZ3&gTT+re@fcd5+r>BK~CP^ zF>kpEe&@7r1U*^w@4Z_P`n@cPMd9=7+AlC5z{lTL@Z#q3i>L36i(84y%RtX+3+k+e z4}a=6rQS*MPdJ5a^1NbeZ?d?Z!CR<$g$#7>+A$EOX>ZDHzlIC91pc`@cBpDtd|(yG z&gg%1a`7#*M&!$#qMoKs%Zxo7KD#I$%_0Qj#w1Ty37R>{vxrJ6}n8WvrWGF`eTy=>%JZ!qlWv_2n zRrpX~2)$;qGTV78u%Pl`&r?dt+whuc&MBQWA%aSdZ6SrQL@-?d# zAA~a`jFSeq)OI6WbRNE!xIVzNRhW=!@K5^@e;C+7an2RYALyi2#)_L+Mu4_Lq%t-X zot;J|!80@y5#-JHLqtyqL)|?gs4h9bS3BkWM))S48;@<#ILSrhh!d#fx3?Sd+x7mO z?|xNOA*06*w%5{#PEX3IKejt|IZoq!2{}{R+e}hW80WRFVMb3)F99y$!FDh_esWwuL>!e&w3i2^@&!I zRpCCd!CvOhJ@&Lss-gq#v`ryB$dmNL`ltQ(`KsG8&@9cd9|y6!efCpYFFj$XcfTDg_bW@(anXlCuV1B1yTEym**L7QE|QgDz83r#|A1E>D0HO-oKH z!NukS)jX>P<~tVWfw3dr7Y~e94lH9aG;Iqc9a%VDHt8Z6WZ@a)5HZV{Ua=vn6pP8| z<@Vmw4VTM3%y_&UYm&ej$ER?T;HW2Ex$w#N7;uWR)K>~PfGt*Iz`*uEAwO^tfGOHQ zIzZ}Xh*AJ)@bu~ez!;obidO@G<-ZXfe!)}=bvudp>w?%9E{;#0ZAk?1SGZn?O;I_t ze!@!iN#U<6QnR%V8Nj+-WoP+pTnA~n&|_@N8K_scW!lkQDbL7w@OI^=+@h{RHDl?W z*WD3cSkpWAkS^Ph0(42u0-e;{SC(4$V{ZFhl$SBAU%IL4O?}lB#QT?2tn(PCp;5%v zC7TH1RTO@{dxwD+AY;8)F25Bk9#pq2nszAi@(%6Ow!41frNyGZOz$02t$n~f4P%;9 zrkCG;gax+nNQ}neQ7A|d(i3}DB7_vg@&zIULi;j7F?gI0ifHG}cXRQIestxCBo*BK z8m~wrex@POs55R+$WG;sJrMsmRkbf|+IY%&&Xy|RnOac<6|1`|z*o77ZqrrPe#S zoSYMxEE_&}MWaab!6($J`N|>etd6{OpF70W_H>&()pNwuI+}H=Wed&2l!0SIgULA1 zIRQlvktCyF;u?U9M;JWo*9-gyLlepL{h^K79ae;PK9(1)5XXMtEKFuM^;aS>=lF0-1|n;P@g6^iox% zC#TB)5{rYHvtb7TukKTU-d`gox{uO((=l|s91>Eb+3kx3_Kd%mP|Tz?Y%EMTL9YrmL}Ti(&p1tZe~Xp zr@i0av(YQ>=;kE5p(~df=5%6Z4TlIElvk2w39~)3)VykKsH)dS+?N4kJnR7xlES4m zfk2@HO3F?>8ygH;Dgl`6$FpmM2sFGdk@2G}o*pg$=g^~=#d3R3nkRt9G^vVYLk`pQ~5>*wXKZ|ch^U& zAJM z*K_mlc`k!980znqz8amGFj9(aq@&rhyVhBob6b6Uh&QZ#Lh5MVmQfstw$hj(h-Aql z)!UAN7nOijXw%O~(?3(CI}oOGk*Dubr?=4aDxri>6i(hdMcDu${_4~uF=umb=4||# z>QrK!QL^II1|7CY{rBPP>Ql~(wp4LqYF#xtl!>>dxH~4(b_og(IqU@cHS+TGi)qF- zr2FJ6)ooSt^YlZwf~eX{OEwZ_^D?z|-^f=EvXOP<>02#6ZzvJu^(~6i$t&4__DO3^ zzusF;I%u=9^y#wdDh)zgxk@@y>|6XAbafzx=T>pJ8HNTX#ZzT=2M^=n7AA-1CQtI( zkAv;UYf_Ua@M$Qxt?+9A71WqdqcW_3_m_3vJq$PQCKixSQ$H6j*j|gzcbH(es>sql z+{UA=>2~ThpEt*r#~YN|>ec4mPo`Gsm}W^?1x}Fhc{l4vT2A2o@?YMzlhSLZ*-d^_=lm~2TtKN3?!tSd@}!&u)96m zfHKGxPod9-SLZmE|n4B&`~|Ef^B=H$o7?!J~J&G zKjsLFGhlaXQO;f0!LA?34cC0RC(N-kCT!Q20GI=I-tK<@8YuA4b#7lSulU-`1kTQTu8L3vKDq;voB zM1YA-o(_!nsNa!nDnYri5=N!{L!e+X?ETlNgg0D4(Yb)2TcG>hZcW0}tT)q-!4z53 z7wkX2r^p_E8Zpu_pcH9b{5l|mseT~t{Q52k5P-1D@#HA$E!gH!BgJ56pxfpW5(ZdV z4-}guj7t(#*htj%!x>N3nmR#TiTi>6`|EI>-RHmns_{_^7AS%F>(Mx!3LPWSbM9i& zRYX(M4t1wDlbTDhj@>(*^g?}X{`o?Ke4X!_IGN}AlWLWI{7f^^c0SVTBuX#TmFlu; zi8)7(6QY0PX^Sx5rz&tBmA_MOto+fhKCmNZ^=SHyX3Xz0o}c5P_6{S7ZZ@4WJ@Okx zK#!jZ$Mf5IMP6*>ds+Z|9P+G&0m+em-6lY&k3sgfa6}zc`>i}dk>?SHE`6eZ(TXul z@~-jj^kf}4a`klo_m~yETN_^!?=${qpc%VPgq@%TY8aJh(<=Qtg5vHPM|iDMt+iU3 zg8Zg)u(2Aus$_des=iz}Hw66|H0OWw_;K9i*v9d4rG-NuED>V=UxAVK>T`aV8w?x);bq%eL>S?><(X{$z}asxAi?%~AvTh#Dy zUHq3Y8A%G^p|RtkN#LQW=b>5T5r1eRg8Kq+;<$4%t{+k|??f3J=o)&JO3{!s_=@zk z#B-5*5OoMXuz*d$@Qthccq}-F4odW$x)R*(|SKh2tO8Qm6n>6XcFbcpZ~%{Lt_NaMF% zRVB_=>P-3Es4Wd;x2d>0YYZwEJBwk&pmU*@7?`JEo1krLinC%9cE5@HplzMR^vsFetZLbx;1 z3Ag7phg4bIV5p5%b(9mK5Sg7aJE;-}_=yas!mALhN-t~9?78Sn(S6DMd%=O^{A7^r zV(^wsXaLnGRtTHLa2H{2s94YRQgNJg_07Swzvph7SgDn8-LPf;c%9bvJe!*%X_LnX zLbO$1P3#ZeXfo`6clZxuson2GY!*v+>15XxDjyJ03764EjyJG+th{|~hAS)CiXPl_ zs>DZ#z~ubasQ)p%(uCi*k)`={jpIhr;$T>F%8)N7#eIXPWFJj5O&a$aeAH%V{VzWEv7(k`a{mPp`~ZC(JRlIUT2~BC>0|LZA1Jz3|(kXPK9s88Utq&eB3Ju!@)a z$O%}HpSOog%_HN5F7jhIz<9<~|Rmyo??Q4Dg(ZTXZoN1W`Li2+=eSh6^5pf2A zf7t)_pS!688?%(9^fjcC($e~^A}ySF!&}Ee7qW09POL>JdtyIP*RtInSGo=VxjB4# z6WYX}Fculc3p+zV^%)g>q69Hv^1PFJTyDTt?COs&_ptN?xt+ml1M3=Dpg`0=N4m$; za4vT{m0nwzJ>5G7gXq^-wV%Wg;SgPX>uhauUg4pkhaJgvR~Dx;DJcF_DX<4mvC$xK zBKA6iTAhFKh%1uPDq|Cfghdo~h8V2Ue>{?gitKY_W|GkoVaH>!&fZ1U1+KZQGqvM< z@LKc8YB*<2J??%lQq!hhF+=k6uABT>&0`IReUh8*D2c7^ySh{J_Ww9nC{mPbZ|_np zA}p(`bJW6OtGfCh84Wr9MP`V^mid?{()ItBRi~lTpi$7EanPu^Au-Uq^t4)esZ>dEZFn z42ALWsd4ISeo=GU>X>O@uOPXosh!{?PJ39?%|pHFbu~X7_j0J4k9$YkT+)zU)AN86 zxp1KI6^fIf?#qsakBwHYG@grn3D}xFT)fUQZg>6Z`RW!B>iuMYeLy4X8J8*cd^C%3 z>mc#%HS>AC+}W3|7>w?cD%!mEo&Z(Y+2SWp9@up1Cz~O|&l|&8K3m&ur=aRn#hO#E z+MANMw1(tg@W2l5!K59aXggI2I0>T2DF#OYcf$;-f)mje25k3y-W8U=+BxC8YSZ8> zU?M`LaD_*7(a+XTzviKZ3O}XMAdh3!>P5YHFJgBIpcZCt^{NJgL}i9mA}s>Bz{m6o zan$^rupb3r4MvvvK6RspHRDd;|3$5AG*O^|8mz}$vIa5ZrM1t~V?jI0x}LG67mq|6 zMv3v2Rr@>6v$n~AO?)N>(I2;mNbDDa%(*dMvvdZ!Gt`pIMOHwt6Van$3#Cg&HctU> z7Soy-Op5h;CRRG5D=-K+p$fl?G3d=6825he;f|b)U>SF7y@*h*{qoD2b$l^1w_$Vn zYI;I&To;&Gal-z-Rxs%#1sx48N)aw!4u^5#(TZ23IWbofuf;i zk30XsTtJjx57r1;IdH5JHkKRF&sc$>16v%hBEK+Gy6>OA74Bv@7a-4N*`5 zo;(KzPr4$``;o7}%Os4Vuwhn~AK&lD8KuDVn3rEbfA~p!eYrfeGx8nY8j_+OofoQ% zu#92g0FqDEib_1lPl!f1QWok0y12+KDY7PS7K_x%fCplNCuR1I=oB2IBxt)8h3bYF zFE$B*2NV;4?OgYC8CdR8;p)lx5*b`6vA4w`pK06(@5)n!2_}=@GnK3@5B}KRKINYT1k>V6wVCz`)1~ZoW_~Q9;tVrFLV%8v3l&o6qiN_^&9B zY9fZ|S-jl(S6FrKa>(n>Z4U};-CxbvQZ>%a*k9VJ{jrT}sw;7PAr|fIdoRNLtE-Eb z-$#=-(SL?pY;-?`Lwdp2-Jen1atXqX! zJEp%gq>i(m4X=DxmkYCY#7Z}$=CCfBUkra2m8i5{`DS{kZ}wKk8A4fg4>y=`&@06} zfu9I>>W<-=P$E;*myXC>ut6XrF41+hW3hFpg!8#@7vZA}!w5PSzpt`b;B)^@Os;4` z##k;|twh7`%;C@Gmh`rT=#7Z-3<;Q7AfN8kac}m|o(_fba;YBnsA9#6w8Hu6$+Izv zvoQamU*6u%u?S|XFafH^~fS=9*q)Pk6P-ECwLIN`GkiKKlrgzOFvMQ z$z$0cbRd=6eK=i7L#KySO)rkvuo6|!VV*hR79QOiYmyVi!TqosrPXC!k-a{bhmjDS zFloC;Jr~1=)Rb3RB|EW~k8(%xg$6q6#Ty8oEJhFNzC-B$6Hth~cGJb8CY+Uebr0=i(;pb%eLeEp;KylQZX$uBs z7SfPcJN9Iep~^OX0xY_nxh%UY-J!Ok(~yZQkl?%Z02^v? z4QNqL*x)IH-&)byy^Z^vTPRn)?FiGhS6zBu6f@u+&A6UfuVe*rMx3IpzA+Cyfp5Gq zFED0*Ys&6$L*!|rTTd5D%;~8k=73ISg-d3KdxHQw^!KtXAgie}0(F+I;!ZR<~DPD;Fb>8!}C!ej>JKWdlz1D+#)>r-3={^sz!kUF%-4$5z<}74%!J@F2u$y; zVl%JRu?WrB)21Eu#W(P--lwPDFtL zHoXRliA>yt3orn7YNYQC@Bv@P`}k>QaK^ofmEL(eaPqf1@&y=To`y52Tal+3)a(KF zIDUV*i?beSvv-lTL#;x_vJ_g! zqL3QxZjVAKXYif(Kn=sZh5ps+Dt*}!fHlO$BhQuqaRg>!8^sEHxMC*{P)=N3ao)zlFgv(-5W{+1Ve591~&!&2EiW&i_UB* z7*0sDJIS)Q$+Gduvjyfe^bt0^Ka?QHr3$HvqydP;A9)G7kd6V6p(bhd-c3Jt-Y+Xe z1xK`P@AT!PIb@kUbmr%5WPcf_vAb3IYl&9pnO0}VHfQv9=dAW$cK5E?UkbonIzWaB zT>2nJ&I*{WNlyve;LPTAflC!S14FFu1_tn#*}+vWYQvzP&%?@9!|b)fIQ4se8a0w> z-7zTW6K)8=nNrx+DH%Bc@S0QUCSbV z5YEuRnIcN?DH%l}bprtQedO!VNt;K7(#Qp&o(NFm>Lb`xsDTlfgpe%(trWz2$%nsA zp6eNir1luesC?VIebYS|1kOdHeJKPUHSvmiwyY%$(P+hrH4WY5>6E3&ZH*aU4oh?B z#$QC1#9-y;&R-aZMv$)#z(glO13amWv5CKHXdaC)$|Z9W5E#%7Rtfy>#*y=)h&49g z7+oP9Rc=!JVsY7oCERk9jdLD8CGT}De-;_DElRN*?XvNh%;46s;NJ0IG1#ZfYhx>{>SU>@rtcsh8aX;!Cc`VRzU`Bo;kMVMx0P2VD8?Wam+V`|Z(FtKm5_8t8 zSl?OxIP6O;MSxP>(dc>S%`{GXfsx;e2*Ykqm`_bzN@6vx=Uj;(5lQOmQ|cLR7+VO| z2vG-7t|UxcIzyACaQOyDNPjzHaJ=oOi(|Xt!h-pJx z;Odo8i+rTwVi;ev=hj-7@d?*%oBzPkdF$Il*l*98;fDO zFw)52fE#ef?;S4UwtKt#+4C7m7@cB1sv=~a+^j9j{4-}Df$BwT>v80-i^4K(>0h2O zOVDkr2Beb^y0J)2V`06ATf8(vzUv0#uYJZe=A`rQ68F?6aM`1#30^cy0Z#^rx}qOh`|a)8ag z!7`?HC4?a7(8RNkYzkACusH>kMUq71M?p4XB z=81jPBG7dFH;@5+%Bvt7webLMNmFBDjzBP`;vf=Tx?cwYwt)IM7X>Q1?GR9Rt)W0m z*7f0M`H^F3OEQEGg!sf0X<&gFV7pJq6>G#i*Tomde}hBzs-NGv^IV)z0WJBU$J7*P z*t4xjK-{0}Hr5sPm+SaT5#cz?L(Rvg%dwXyuUgVP*IQdM4mU#eBHl^`fy6{gB9docFF6*LGO*5@N#kU zvE&PT;H(H#WPL(ZWHOk7G$NbG^#L&PlTJp+sM6Q+24wim8l$^^(ob@ySMMs;{*v0@ zm#`@&(yPvVT`(-xfL6%#1JaL-QH3<-F<_%$$ACU%xO!1KX4ZgPhA}8q01ld@wif-u ziF#~ZD7(*5Jf44up84xF&F*n8R#yX690sVKGN0>|?!^b*%ShFeyo8xS$Vd%9ym zl$=Gc`bAm^%MpNHGfg3)A%My;%S&v4$*3c9=8Ih5*B?>-HoYbOuqW@qb}JlbE!Yw< z5?^{1$6#ZXz`OcsxYsC%8Z4uPKo_hV`M**cf3LC15kF8OA&ev%?Ed5tXFUxiqeaiG zKAg#cNP)A{29|+Jj#|y36qQ_l6n7nvoP$73p~pIRoiIcJ@Hg)xZ2G@**HJr_E_tc! z_Zr&?FKgSYF>_W(S{BGZ^pvCHOpNVU{{i_y_nPH3y{yM~`PdRWItDT4`~1pOk^T54 z5eOd)hFUZO&iwSCNMnt#nG#Dnpiv!54uSwwsoN4yg+a%$T#l{41tZqE)QXRHSA|Wl z!9SYw(XxO^6%Hb&>YV_@FkI~JEv7bVGS z=ZA5(Y5!ctVd)0`6G5Sr19r&zA8z{O>1Iu@<`Bw-(iB($wQI5#P=oCp=;AnQt43@L z?wQ?pARfQuP1}4b?y=>)H+qWv=K>1Afa8Jc73s|tE}YD7R}-l@3Kf?Pecd}r#E`7R zMYAs+wB-H3K41{bxc&5@$JV30Q4(YpYqgk$xo7rQBb@Sd%l-V<0hN_v7BEcx*Qgijf9%r)Bpho31Tv?NMQNx%bk+PrEQWBI z%0(i&Km#13tH4}HG{sD8V#S)gJKU20IPaRpR96_N85vQ4_>m~m8g)Sye^3bVNR(}X z7w8@wx`3BZi}zP3R5SC6#CRW}bptDZ4Afis?JI^eKO&ztED5vFY#!OMwH^ZC#@SCu z(N{oI_KCy$j>1*2ZrY>8LVtcP1}dtE)QIricCO(s@XNXC~7A~fj}$BzwDxy+*&U+vG8(2!*MtS z)iF3I0??CiAq?mkx;-r5mgqGvkRYSgWu-#4g{-$4>>roJl*p|3!)qz!<`B6Ky_!Nm zc6Zr5_OcQ}d{BV-ob;~%P~)@?pn{U(3KQioI=dhX4MXqv*+JjW`pfxsC$yC!OZY^B zW~38`kFAwAiRI6HBTAAQAw)}{cPgY4v56=aP}@k4{8>uO_GUGr-^2uB))?9Ln#g~H z;d=0|cviPomUIGu+TA`m;M!m)0SY#se_ga(RFKRNTLAC~aEP@J>Pd(m#gb9}dMIa!m$w&qs9)VSZj_T^+ zame7hUh)bDq2-rG1nA5PYrRRhU}9l%tH{KL8ZJ3RcWf9XfQp5TD@IV0<#RCaa8%yO zIR${1=O9!wHjPRR`(GN(<^+77e56Uwky#UjMTC)qlnli}({!Mf?bax$YlJ#I4_)Qg zUjV+BuyuTzI{y#VekeU{%qSxb%&Pi$SYo1jg|WEldqiKdD_UPmECiUP6V(T7_+0Fs z@BJ{B=jx->xy*%A%k>F`$2sCYUI2NFGo-E~EHDMbAEPq!tOO{hyC&*Y<@A26rs-=} z+ZuvM>oZUTADsS+jUFy>=|>ReX7tPxGE6sn)9y}z{&Dq=8)08kD3%>OzD73P<7ugE zIjTSDTo}Z0;`|%1R+Fz13O9AcZJh_%3a?3l&+GuB0D2G!7#l+AUR!BgG(az;R;U&- z2x5dEaV!p&J9AcbR<>Y&IpN4ehZ5^mbW$>E^>Ac@|6$)o0btmYuLk=|^j}mg^bRRw z4peCt5-}_w85?s{LksEvN``>}6p9LjNTkWLegzTnm zU~zVcrkeD80EHY8KHV$eo0I@KF_f0`N0s07SCdd=J*fEHSq9D!+=Sz;a~YM`r1D*#m4tuhI8Sih6b z26>b++W8plk5wi)+nHKV{+l?eG5>Qr+27l-SrePGAiEyK@NY_p7p!29tZt+`F*}A6 z=!JLJ_8_%_!7^{tN%%yHFybSus{}k*jpVgM2yh7@s2K5L@bY^VyHH|!OnayU2r%&9 zwPgRL3_=K0D`lgvH$)lDMWTfYpiaT2N`QAiz$p|<#pvBrqy=ZHLJHU+evoA-pgR9o zsO$8Y3u+EjD74TVrIruq*g?3)7S-tbV&o7M5g;CXu<6moB{i{2bZ^Y3SPBEVKfozP z>l?=(fF0(NKY5y85c=ZN+a$WBxV?2tJ7e0R-!YtwDKMaaZ3)7rh&6~!d6u8X;Wznf z{8z=XJlsm=l0+s2eaZNt9{CxOrlyt56HIh~ozT=|&NwV3(lr$Gm}RS|czk0|sy`d| zLI|7FzR#38ETs@qHpXi?{2aH1_o1sCY* zFYAtC8(AOiSh>lhXtkt2E#Zq!n?~1DIPPr9X=)v0)3PvtQZn!EVRPm~>Rwn_C6_dE zRv?IbxWb&Yvx$tWU{F#MvPEEbr#QP8o0tAkUJf?tD8Sam?nw4jE(`i7+X4gL48cV(2aZnzg|6W^T3ZfldQML*T3#pCOOKk( zH#RSsvb}(PA5FYXclFm*?oNOFBmx6kfgRqzZpSjrW0Z=eI4-4(W}c(YNc=F!gNXk@ z(fj;3On}LU)rzgx^)L^&$Lrv6axQ4B)t8TSz0p%Wywg749-E^@=vh%tr~RSZ5VS)N z>i!uz&{OsFZayg|Z{T7fBq^GOA}~xzlZ@k5o<&BlI++>&;$-S;?f-`3D&SRd0oWv82{~F9!80F8bJj z%5r0gdgv`5=&aSJQxliKR7|Ec-;JT9`UR=_L$dmq+NhjPVC9fV7pb16s{Z=*0kd5= zjQ8?^pd2{e*ZX}gXt-5mL=!rsGKCvfm&eOpQmvm{h)y;eo%cDX(?~0cTo=k24w5-< z?W_g2<-GRuvS8!-8G<8cVfe%dggtcqwNn#1J2Z|PW|fZxM0QBdK_^R%eE>wR)e1mG zjvfofw)ft7ms_1mkxdD(bAQ<^A|LQW<0o~5GGTam#6W&!rez+I2}N>5=5%6cp#k~* z%1MVChSxGWtcmp{7M;2`8$ag^A0A zS@~1O=T=wntbs{W&zZ%;J8RqC^Z_|dI|O0xds8&k@NJyw`0F_g2 zRQ3##P&s}4mrVrN0jd*@p9bX>W|gMx#JVN!DI}G8>Yw#RCu-AXAn#v63wFZg{|6z6 z?STwcr`u4HKZd7}aEtB9YEOWH`;9gr_Wz0ePk{Tatf8jHXIxI4UI@+y&?dR=3a1-U zJ-O~S0~dG6c+X?8p}OFip9#U(b9-t+N?{J=CY;a>qYjR5*!qq7)q`~p-pbC2awK7M zial4;wwTeOSo$yLDATBKzGS3Lw9|SDCOuwgrj-NF`}F1a4NV9&W#tUcSw4?m3;#=v zte&IXhRx3~%W&A|b3Q)Qtwbm#q$QyAaUWpyljBjfW32|I|7Ya7?wP!mot_8!OI#J@ z)w|XfW)3SH2H5&vs&iziJsP45WU0$d*r6NB9Vls|cJ*KikX`h_>bg!2&G0%heexFg zp_;Mt6#3$3bm2KUiF^w-pOP(pTzP_x{4tLJutoeJD{6}vk_9**P^nt3`ja_DM<~tb zTa;z2Hv^{b#xLvvV>ut-sM;^HPz9I?o0~eoNI13wHZy1c8(<**b2Fo89-o5hVxI>I zW-cXQU}S816-`|T--DA3XhKnwf*vs;LhQk#P0w)d6NF7v znzm9ifAzRL>p!HnDCzBUnP`w9eY6%Z#Mg=hCOIP+NP)R+(wqdB@hH!VTI^qV6H64M zgo$;26Sx5WSs`4y!kftY52-6kO0H2ql%86bnGAT;Jdf#d~rK+g`1{aosM^Z<;ruk1AXJ$0`+Z3NJDi+Olt zMUNC-^{EEh9!hG^4@mQbP|DQU6;)?j#0nRw@$40w1FKLLJVTSIW-|z_0O#FBfDR)8LLv?OSH;6 z3c}(hu_A!jykqkLCNthz<*fmd^>chW8_Ft=don{_m1tN-y_}DwMc2omI9=!jMGI~^tF)8WOlwoZ!`kJmFQ%7$ z(c3EkDH%d0g=4u>W=s&~UiJzJ00=pH$3^oAfQ@_3L<$LjLNGk1nTP<+sY+xt>=Nd= zFY^fgiIpLlx89pU_7ObfFYAF~by$H&bF8C8^4*oJH{JAkfIcig;4Ex)UNkye#xo`W zBQo~dzN}=T3_go5OY`l~)-tSv;^j z$oCkKDU@mS3?!&kEItTR7wW~<#QFiJXNBx-=wZ>>@Knj%>oDoyB$VbgIJ!n7942eI zz8C6?6)UghBONNiH2aAt_pr}Sh~exOI;75hu17~#qGE>j0MIAdU-r*^fSq|lS26^> zkqK==q&$Iu9AQhCM0Vo({=oZyk6@yd=+E^FH8oWbKSK*C@iZ&L=)BBv^vj~cn(w__ zEa>Y?rG~J*aA=STo$T?ThS+2v9YU}iNsJv)#TQz;H;%Lp0W?q_B_V_w%wYFnPy?Ev z3eynB{@_I_dCcZ_GJYV|lG@_c#^W2C72|XnpB#U znv{*0UIa9IGidYh*ab9pjd}iZiTH4Ebc?@oaCDB(UW z4PQbjUu`i-FbAWr4?_v@Gv$74&fI+7%Ie*sS7uRq!tOtnE1F6d^kFkygtK`~USLWpI@MWEpD)fWZ|}y6ey?bNi<^dF{J-je9X0>SkP?*K1y^IMt+s zD;L_(#*N*>MD~is*XP31r24NY58-TFj1}#>xs8bGJBFxDzRqiVj`u3%*DAb#|J1Xh zX|ZP4D-g5#b6GoO0pMS1h85Pd#&dAR?zie%*gE_ymStbo5=`rD`=%$sK50U=FvT!Z zSR(-jSTSuz{Vp$df@*>Km(Q_P%6$S*DZErfv2iHYX=cL2Xy(g3+mB4K%9WkUFeZy(iQ!rC*j^BSnm# z>CE(ftc}0wZHXy_CD} zICQRjLUMphwj5?#bct}VGHQtMT^qoqZ7)nHt*t*~0R~VDp2Z11d32T4wH~C6C{Wzl2moO%gaOp>W_(uib6wwn z-8&APxE107T|?*J3Q9m~Z3+w}wcqKvx9$0vYSSu3&0^Q(1r5yjvtD3BMP-i}5S@cW z(b?-{5`COLaRz5JV?QRKBN%%S`O-=;SMhZ_AwpJuyN{b*E(iIWc5xKN%63mHeO{vO ztlAbeop5c*+wzhhJN|!@@T1XzQY|R(<&r)b6iDm6=umaFb$Bw0V(+V=*hzq;mGVFX z?&4g#CgC4;&{qv@V&B-!PJEtkp zn>U5$Zu^zn^Tx*m?>i@bBwci%Mq{il*qrR^do*Dw$)@TlP^Fz7-6()nMzLYKQF?9Q zIIA(;4eE8TLRD12aS#;{DFFhjETq6NDx50T%BfW+AZEQtFs*fF$m#vivd_yGOzN|< zCKt0}KN)%pJSH+OFKGpv30PMtut8#Y<>T0WZ*blTIAA?z-hKqdy^1Pgd zepws8d2-Wwlz-KFm}bf!pn+3;Mpbpk#?{*UQwm$9>KHqrbJD&AqW~pNv47gyTfXrg z5c@O&@lCYX+i^k@&fY@1EwQJ< z74{9Swa*VIKJ78HzP3+G8Witr{c@U55A9auSU^W`JpQdNKPr$b2n2kSDRe!){meS> zX`Ome<=OP}T-~#EdFP|)qe&AFl`3@GrxLEeA8?uPyfY=xhWZr!Ka9NxSX0fmKMV*O znkIk{IwbVokt&1`sx$!uNbgOMB3)RYC&2s2vytF4%QS z3Uple?vRMr;<46_k{`GvcWuQDcTq_BwPn}h-b9Swmp7is@%L9Ou(b`9gN{9i5A+Go)UF?%n z&AGsa4Cvby%9d^Xt(NK;ku9F2px-v2HxCFeYmX+&Nl%75GcmJwY-O##+ViX!+z~`1 z!B!R^U0H|OSqk#9H4*u(n`t!=%sE37p3H+L_KAG)8V15{Gd3{5DZB)v7$mE?K6g?AS38ck^>*# zUzv@9zNK@H;jo_xEW>s)-O#`*>i21p|^#qc#;kPtFKKZ zb{Pp+QYYP(ptOV`(X<(k&FTq|F$*J|m1zS&KPfm+{eDhLCn|Zxl=9W#tKCH+%9e7` zd|G_?n$Bvp*un`yj*}{|T~QBXA?i@?{qSAjZkw=*2=W~;hlLmD+$Q#Fmx38R51X`? z{A@T%1`}~IvJ%rDdtH3tfRdsX^BtR-7DJ3F`b8&84Et8Fgi?wAdHo|5X82w_GYRB- zazh4SoL@|HWl+v_T0G}Lue|EC*y&BLEaJAfEJUyT&2F(ooL*VQeld3xA9q_Q)S(A| zAw($jM-M(OT8PbcEvqyXB74sJcvu_^5HSJ~B@mI65iMrMhxHJ*eZO*R__))UAQ%uA zt`S6juv^_5A0PlZVFd_;rtgU`ctF|k!r*~0hNwu!f+$Ce(}J#6oztSLW>ieHFaz%8hFBdXzrL1O4v{3^OAk1Ka{AP(vMC~83+Zzb*k_W9zu`tM)Uoc;fc9N zT(-MYO7}CY1^>#(;JAsZ>M5?5B9#Mpf|2)uhk3zbGbhzCR7Xj&7UxywjLMvfcKp%C zgLGh2&TQXZJcvnd77Yd8U0nW}@Tw&mBecBMQ~12bu`B3Tcdx|K(K#I89lBKcJE|xD zWqiyGgnUnDXC$W+ce}-9*rmChstJKNXh^8z z#@Wa(69#fhqXNKSQsffSM;)lCoyb!3@4*$a_#dhTpt9iXy8jwtZm8o{62DP0&IlWc z0a4baw!hl|&2C_TSw3v22vOyd7a=p(4+fL(2{+QxZmiV6zDnC6LhmH>g0bWcbqe&0 zjn`Mr4Oe(o%pR0=`!AZ`og!{(bR3APuL@4d z47xO0yRT|~-ukVKwp>*BxGYKT3&`-CjJ8gc%7Z4uVz=!*ZUzpQn7y=fQH6KA^)FK4 zhY)AD0v2d#nZ$KU3%q2}duiVB?hf*X5g&x7?n8skF{qlo#@>I$DE%c;< zBrXT^w!{#Z*I<{|DaRti;hg`DZ(cWu!2lrv$VS?O01J)<-vV=NAYcmNv(L)J?+L&Q{%>g;Y z{ECbbCxUXvWAUM|;$56AxW0Nv^?YP5Q(XR~NP4z^QKkYJcUe039E`!_-i%dLVRgIb z1rUY8-5GZ<-JKteA2|57j!hn_8ce$08;c%pvumP*`8}Tui2=69Q`gL}KpGbpWLQ4N?zPxP6;6bOP=M>dAJ0~+4sR?6be>nOOrED^CVi^B zy_^F!S27)i6iwJ$vJr-8Cy>Qs)Xx_+_n7cMBF@IZtCzM4V#V&+{E~`3Id}S)bAY{j zr;s}G_DgG%JquP;DhZ3!~sI>cuJlyXQ?aCxuLSeTuq(M%3H*cYOPth;WavKDds6xnc6XrE_2YF6R33%9Qc59S4M5YGxqfiRYVgb z!fTnoo%5Sl{t`3d*D4_HAqmQ_Ut4WcjofcvTl0I39|U**RP(py2h6c ziQH{3f9+zZfqxtv;Fa75CA;7_ID0`9k>nl39gq(44o2ce98VNTXR6(Q0+d-BwpjrYay)%+0-t<0)AWp~3W5?W$ay&2N+cdlKR^jq z$;xDCCs%Vq0nB5R-|_TterYjeXu z4g_}+--bF|OoHKgeV`6WI2esSlGPWG;$aBZ>(DN$2+&40o&)<|Fh!&XI$@nNuLNNB zf=M~h_cp+uLkf_ptNs|Qd>4|{uK1$3VVnzh*LUAI7trPqYcXg2l`mlGqBpkzT{uaB zu+7(tw~x&h*Dl|+A`rI0nL#Q{VXW(1zRSPB_5d4E7#lRF>}8FLW?Btu;T#tmnxQW0 z3YxoBPOq$xyKi>nowsnM^LE5CdD12C&}iUe*!Dpp{cgVeLu{&u6bKzDZREK~cqDD4 zWMo4r=gp(HI2+-05_-Yep|0;6TN9!~`O1N3>y?+-m4kO5eh>e#^&MiVP|;T)%aUBN z|CqX`WaHzJBp~~~8uC`Gco(MJxmW&j_XuZWY$F$MePgeUnkOk~fPuIKJxYD)oKaF3ia7)ar7nY z!*=FjW{lf4DBDZGy37wr6)5h2arNL#eVp3}?xh-6(|Z+pq>p>zQ?(^5ptMNU*B7Jc zD?J@*wovq&UJkCDi9%1yQ_ISvYwNq8VUU_1MmlNXmOX*0IKME6o!9g6P4JWIHlyci zOID@$L+!SUsT5&1QOG3>XFe1Q=b`G-1it6XfqKNA#%MQA%&LCDkB-&gfwMoRe%R{V z9A`zRnc}`s{#5ttizAXVmFfz}T(9T!+hEuBHuHM5(i2Jd_O?tfb#Z@QRBmz%k@$Uc zAwj}ah*Shl>K={x6>{PFgRw}TkWOTr!ODv^+hR4ouV@MwBDJTO1`;1?RY0SbI#g+C z$M-d8TbD{*`FR(%s5kL9e0^#BK-5>8WUKu!^$SS}d#RRU_4eO1lPh7x8jUyJ1z_mr zt>or8jY2~{g<6}UN{_vf5cr!oiv%dYa-Kt%=H8+Y92GB$*i&~Y3Oc8NG+!|MpqS1T z?tMZFCywq+gOYQ6eB7l;w20$IkxWt&OI`4e(f{U^!4}kfm$xqk#Di#Y>#YkrSwd^v9nR)|4{3}ZoG{J4}DKH8C{h- zE_0C%8jJOpraB2&KBGv1r=Cm2YI5Gka={D+Gx2pmJ5CF<=jS5HRaJ>bXG{Dn_<{_L zD34`ESL#!E9>++@375Wg<-DdxNj%$~eSy@Ed6^YF?1}SNQE3u?M@&46x zEaT9dTvmSu+Kka~eMVzk9;xraZnz0n+Uq3<7KSS7KU8HmM=qpfs2w{-IEweAmienhIG@fN*ewgK%Pj_{*Qvo z0QAi0wjUzBnj3*m)6tdz;PO_3?LLy>8=N0Aw{Pm!5(K%sJ7+>(eSmjZqc zAhZN>jzIQFYO5HWmb#@$QbatX8djq-L+x~wN9sb^;7CxS7b@36Ach;=7&L2+#quqyw^iKHCe9IP2bGg=j0* zbh!e1JwWhI)5-g{M_jahSW>4F?Vt381oB=^o(hRx`z!&redt=!a7_hMm@$Id#LAfK z*N+4jC%&iktj~8TIY2T$eHx8+(f*O|;{FC{A;>drEIrr)S@Xwt9J1K@t!ufH3ecX^!|N&-K~rLdEe}wi?u11 ze3EeESdqF0D=f!*)NHl1Za~X9C+(dC??W>)##DN{1}$9QkX(L_uj01n8t!urtjG7R z7r*#uYwup3b*45>O4LVj4d}GO2NV8nUq?Op{ye`-xpg65!a*ek1?jJ5vUinl)TDEw zOq_qYw8EeelZR&|dnh}ku|Inv*l;53rW*1*RE zaU~gJXokP6j_ae*@wPM0L=V%#6Beq52Fwa_>Xhpg;NVns?~gEF0`Pr(7$I2ZKvyr! z?&KQqX4DC?8*7FgKx}|DRh&u1-uI-Cl6HTG&V|25v#W7~p_}%TWF&Q!w8D1!E3nJo9{iv`%BBAQ}JrzS=vv+5)R)@^NQ(m7PUlnEjrR-fYb`v>RVs9T0EonCwJ*95j zlKoaYKiq%!y69oeFy-w4SHV1~cT5b4yor~X*rkvD|UaIF4dfIu`NujgptzUy5mH+5m zRXtu2k}REN?tis*)u{4|;rp9z6Jh<4kEw-&QjoS!xG$*)2sNw}X~vXgD)K$49={*Q zdpXnmt4i6bkq%q!_)WLBQCYbmM`-zQ@osn!4>55+CH-<1@yL%tVqUKt~zpf^x z%6j2ir>^cOREbgSJm7EjdbHb~gFkipn-cGw2QU7VB`OTIU2jS8kE{N6OEDTC_W|-k zyB@h9wSl#n>r7@FSYQVF=3`Ann+tpEtk0Ly> zIUk*uE-(cau;ni>{!NVl`s68jLla}nC!4LOC|i^Mm9u<&MdsU6qZkw6w4))4{*Jd3 zB`;X=Zf@rS;-HqV+?5wOZXy?!&$?||=b^YG=BT~7SK^{C+q6cbN?&d&X$5B*n=Ls} z)^%@qXVR)y7xlp5rfE_ssEq!@$c-86_k12Z>E{ zddvP|cQa$*g8D4t>#5igajapL9EBkFwr|vsuC!hbnf3e*&Mqhl%mhhWq5h#5L9obb+zK!~# z^0C-rJ?41%KP!p_>b6h#hCB_?0)6{8=`!QL<}t*4+Uni6rpq)x0Jj4V2HU$2z=NT7 znyd3PINRFS%tGJTG;rCCpLvFfBVW$5w_EZ=Dyw#nN_DWzLvi20m!EmaW&Ec3k9zTM zy3A@r|0f$MxyGzCUH?xO^yt-UZC?JqXi(-SA?9FUG4uZqJP00vUz>uu_H}DsmqF#$ zijSrzrgqET;SlWY# zG*zm!_I+Y9FU%1%2P`xbcIk^E8{YWPjg%^evD0Ak2L+kk#$71I=KRdCIF)9%AP3l1 z#b@b^nRaJBJMdR`*QqSe+$&p0$7tfWCoxQ2VjOa>@}r>Vxe`<9KTc-@Bt9pV{vv|1 z^?AjNPzx-LI|ac-tA*4|s<{C;v__PM2Q-tuOgW)^{F3D)?=Nfh?s2X3K9Jy@OPAYw zG=P(!SRc>PgE2E?`F3_qYr`Nhi#WsbSl+4Z?8pQjrq^?BWSKr<@; zJs_f^&!1#mr+ww)wsb@9+K;{`SZg`yJ;BF3cR!7HJN`x4OFto#7Og^}-n zi)RFJ6%a{w7V-iwtaT||;hpP{+q?J<8{lpJyce^wd6(RY%larl41V&GJ%;h^hcn3m z&GxjE9j=xBovgg$v-@^xVOuXXfC1W(j^2;EZ?W^Du8xjKb8peEz#Ikg9@|ITWNxH! z^@mTJQAx;)NN*00`DgB=7Z3scB&u(^u3$iyX-ha@#=5u&jDff~2@LV3>o^X?Jtu>e ziKOxJ@^>fYo@-o|j-*+;EqXY>iI{qmB!}c{nG}r!IWn|-0vB!B>CnN_EoUqyKz+}` z;8l=x%hUI0IA6=*U@dCcTxt3o=~L;(XPgLZoHYwX`(xX7w87GsPTpvmt94!WcpT^+ zbj%T1UUBHwh%zwQ{v?@LSTU{R!K7-^khLfkS@E@q5>z2@UIf?#+6XSHup351SHyEt z0{zSLLO_rEkfH%)u(aLzG7;#rS~$>;T3Jtlw8xzL)FAf7I8Yhmm;;h;5FH3dxOUev zL$oF7XE6r3p6r2ezQIGgZj?dpHd%6F;aMYtI&jBFWisIOK%shIAYh-92;yc-@Bq$% ze-`!cppk~fUe~g}k|FhH7SF#W&-X(+6Hoj7?+6wYgpVS=B>RoE0=JoTrvBv zp<4GJUWoY*@EQUsrlu$^twlvK)P1^l=jQ0m5=d;WUZS!9Xk1W`}-PO@o zOGke&`qK1GND?b*NBca)jP%-lC#{6iw9*S&$-o!KlyoZIxF)LG3hTK80puk$u}`Zd za=o4Ts@NFyXksSdod>{_i!txdd{wyBa*Gl#CBpF`Zj@hceJg zqiMd}rpe#NZ(=iS;Nn?ZlS)I874JW{d#IYY`|UIrR!F~e)-{-5n*G32F2gj%q;z6! z$E~M-mIdre`-|K3&W7tR8o`pi(tFs9k-)xJT`x07U10MCUEA%z9F~!0+1PUZ_oNdN zvj!zwi*}zXsxzMee75R0kN>jxM}*d;cWtD$n`qk|XwLI-*U(gXrQJu9zn9hG7kW_Y zm3kkz8yT&k`K;eue{QXNA^)1H$*YZaBLbbfp=uK4ckdZrwMWV#mnmAuSf+Q^NU(}q zfO)0#Unt!v&P2~D92%tEoL%EA-%y!N00O)&TFa4FRCRu^ixk-0op@NNeXGXC7;UB@ zqy~hEL6SHlWR1BMB<{_JcYRN-h3{ukYgSQ>T1#`(0J)QT5^|pFeM35H&MOBMJ4+oP zf%!bt`WsyIdn&_OZ^GRb$Ng08YKB=R(f3T1Uj;?}=`ffJIUWpl`vo`*j=cudg`Z#B znAWm>FwsEvJnY+o!hw%jY}NBb2@FNwoa7HAg?mol+Gf{W-cXMrxw;%=r$eLL2fHMj>dXK@pGFe!o~~f_^Liu^mW= zlQgrn)NwWZX~c&$5k9-DLQ|>noidyYs2v}EX;zVLivCIk%E0;z4wT2MV+}iL#ytmC z)dup)x0p3@@(Lb!eh_jTP7_)2I0&a^a3GbO3#wo$?y)5`p&o%Na4len`Kz|LVLB9o zA=tnSe4iDJ0_bUH?VGBl&pv;pe9g{;=3Y>4R|VJ44Jm9!>sgF4jbPB}W+)*?x5wr` z!3lM@;3te7YjRpY%(62&n18eA#q>3go7+4x&+gDN-)O<^cQYdrD`&g9C%(8YyB*cv zZU~t^MumNPz=Q+FL+wvG{-Fp8?(bkUtBl+C9-UTMKXaEKK{GaH7}z^bn!UOyyP37= zbmlV){PEzR-~XvRbMZCiN9i)U{GQoH_aChUUC!-a3vQ2H{rV#RLh%bJ+ab}Bo4MZ8 zF14;DZ*PVA_5vsIPn$hnP1#HQzQNP7H^?c8KDl|1u@Ttgr@Y5tQ@-G*Ew8TkHyh2* z5*e>2bk|O7cnvt5CFWGU5>Gpjb*lZ@t^0mH`z`Z)_MU&{s`kt748KIdb*A~iXIq#= zK|z^kRd1VXd6xTf3AbgQ2AoIRUE*16m@JQKhA?-)41X2fgMr(;l&}`{WoDAh+2TEF z;{IDB$Fbp#O)jBouWK!&+70Jh4XvkyndZx%Z_y`K%51jPbQv`Sb8cGJbTKCz&qg10 z(&)I4`tFymt!fPoj;&T{eK2}An3n&5S4mc1S(ZUqOIW1s=&~_DcP<-Wy$0~*%f^(( zA|h}vV_RW^7tPPJ}r$*{9lgt`rZ>c9%T8$rf`#Orc-ArWa zo+!5s?F?U$>LL`XR2P+dNxKh|9WZwPi`EA0i+JBr=b3vbP37Uv{8Ada z;ny(@yIG_2AVtNrbLw_o@8P;xTVw@5@sS(TZ!bzW*78@82`G$L{e{`ppZ?Oo4fIaM z-ZQ@jJlJwGyE_$HRqp)G%w|I}wfUY7XV|tarLxspYOjNgXJLbm%y-U!Fk+!5qCv-k zPcpxI(qBqusic6+1~|<>I8-X@;DE7e^{cXST*l3#1LcH?n|*_8HMu%3PIOIu`J3v+ z<+Pd8O6nJ153^57)s3PLFMmJx!0euluSlXwco!BR!?cL z$2cB@{V+tETHhm+*?j(bHBnsiFUj1$)G!25wmMp_RA%JsI-bw-r)TUY~M!JB$mpB^P z?@%}PNMnM|k|zaj$@2jCJpz6;@CnCqgd(JkFd?vX!LTDLGA&ZQqsqnzJP7-W1s9n$ zQ>K7(V-)Dgi&S4#KF>QBN!?i|5MD@XM@a=sSMjJ+ji$jomB&=ub{(=KC&iLJUVd{i zp-6dWW2PiF?%|0oduwOvI5W8g#-NSYbO$>TVu$r=?S!;cjK3d-U!HYzbKg&m|1#R% z%=zTA$n^*B4(cs<&sp&phG+3JEI3A<56pQ-Y{hdgJnIQ;!O@O9FejG8N|6U{)hY-# zdFhtllPW+hlf0^#aX#!Qys(1G^9EkigmL;-T2Yl^01lG$Fm}AulwRd zHw0^HVFkz9RaL!@7ik0qziPF20m*8ah1yY`o}<@?VE55)U7vrVOB0RB$g}%iR(=1v z?rS*ed2&C zaroi}Hf~V8#Hm%~QAw#!Y}z;x(@rEfvw?RD&+z2-w9uN#)Hp|W`K!Kg-xVWA3*lfn z0u_)qD(m2q{yat1xw6E&leqLxkDLch6)2NTCo;A7WY8upP!jqo%KPj3$H04gB*R(X z?|@*zJg%%u9b4_Nu+N zD(V|sKaXAM5n2oaZ|gC_Lf4h(wYoHSY806&5AB0fXRF-~=PrOQrz??-9B>pz);{L= z&WbcPIHW^9j=~JYD3R^V>P*e=U%Ezp<4mfpUeQW88ZchN0e|q$>4M~ik}vogEx?)L z?FqP1;CT;9a`tBmc<-L>cX{2*Ki(dq4Y|U5_wkReo%Dj^hhKpny2GrkcUSqjOKETv zYao;r802LW$gwIGu+`FP1Gd_B1He|h8%qOE0Nwk{=X6)_w9Ct};P~kl?W>HirKVc_ zAEt-c`99@6i>ar8p9GeKhb18>HHuWb z*F!F0pxc&K8uF}KbPSqLm?3Lyz}L--ql#$^9ql!6=?@8vU0R;+`i(PoG-mte`V==McqHUX2lg-!wxt*W$b#85e8aG+#29B>Cs!of9+8+jl&w zu1?Hsn6VbLuuMl7aNAF96oVoK82e2b5;RmZaE_Jx++1oS8=;JE4eI5swI%4nN=11Y zcqDFQoy1;)h#DuY z^$tOl7A1&BfQW5)UWnkS`FYtG?Ohc?cA1`K(?&IhjJ=eA7Qxt}VbhQ|{uk`Egv$9$ zg%Zdvzxi4wu4X7?%_d2QTG4Tc#bZKq1`MF7q8W@7@c2)_>`jc_TcL8XVzdmU0PZti zgMa$8ytXH+rNx!e$52B$`hB%dySpyvfnDkZ#&%orPFW$RKAW`0 z)rkbgfc}fw1FWxt^NEqkWD#CF$`(se`Tax;UM`?PB}Gx`(`8UnU0a&13(VB!f0RZc zs1r|KhVQ!{s?A?sTY5-SlPaLql$DlfY-SX)KBbw#eKKxjP}Y(5-Ug>dA^74=Ia2?d zt(F0|tejZc;ltQeffo%sS8>K~pN8Ea0Zw|~qB)(;G?QJAJ0{~(oQ0OH%U*t|<1RDaDn|N>uOH6y3m9iO;>|P3-OYHa9CNCjm|3_lR{&L0 zz}V4?jWbmtt0G-WrSc$f6Sx0ZB5n3p- zwN0GPUD(T$DlHPBvNz3xjR{{WGoYa)ek1spi=IS6h|~d{-E3>S6dQx(JyIqY&{b^6 z+D|sl4s;VeV}ByHx1!9ant|;vJrqituG4iJl6a!@Po?Rdt`WEYi=^a7yg<7xrKqYt zTRcTButA@wXJFXG)h43+Lqbt7&}g@Of%raou{+Ao$)aRHn}Nter|APV0# zoh>0??rDk5g$|yMcpI~7u1U7aZvLvA>uAZx)lPc0gNV0z_*}UdXED;~fF>~01Jb{}Af!>M>t05kdYkOaFF4=hHL=RT%z%hM>lRyOv3gD}S) zgDvenh|J&FNgf%bkq9uZj7JW#7*KZq@5p%-QgG68^hX9D4;Wx+k&U-9PZ}%9brXvNQ%%O)x#W!~oWaNCCn@^f(Czp#V&gzju?{?_L{VE|ByJ zeb>784+VHL0UNpyY5*9B4?vKn6V8^71iUG#T!1ZVt|={OUT-x_A?Ik@;olV?*Z|m2 zg|J}}C*XkrQ9yu`U%^0K7dh&I@7;7&Qh|%;m$=Vk3@G=$lOW4u0&f$;#u2TxL=d+Q ztK0~4vDp6wK>nB@mi~Z9LI$Y@3gS}fqnq$Rj{c`Oc`x@*a3dH`F>jcF`xE*=a1nK& z2%b|J;Ih&6kjewTjxS0-oYWshLGmDZU=|1qSQiNX1Y$rZpSQy=j2sck(aJ&OXym{- z7&=&vCX8hC;I0!XhvkkvifHuUrz1hMAc%JzNhg`qS~1nqvEdL~cPa=R{~n4!ML!kq z!j(^TN9KA8l}>dd{>03YFq@%~dql(`N$uxAlfK`WRbJ+fswt4EvC zye-8HYr_)hAm&4ycQe4r1ziV){gF<@Z01Cy7yRoG;%k92`>DfB1s4X&7UAtkPehx z*S$4a7aHJ!INtfOqzlw9$ghXLN?Yl78|09e`yJDDD8;cS_tSbMRb@ z+^2Lx$ukftK|lx8HR9|c_D>+RRAh@gQov3>^?(QQ?_%r$U$G@qXD#&Kp_ah+QG~Lo zAHQAe-6Hfak#Nsi6#8-E^&oo}2qDMDY=KKwWc?rUb$$~5-!a(kT_^f+i&FL!|DR&< z6f>MV!O07kE|d#=qtOR)B)Ie<=0^7$4IiYjS^j0Zdy8Nef`D1kXCv*ku zsZ#0mz3J+_F1TD&6|mA3W^&v7j|go@0z#$2AV8?pHzXlc>JldqDkU0CNCX!vHM6%~ zAORMigZXgza7aM-krJs$Qf{lsD2v~44-AB1NUV?&|#Tn?$1>~ZNlUu|)z5DbvuU<_mLhPr0$ z+fuA`(!Go0&%1Q}3i~5(W!=TlZ2XDXUjcj>qJnJfp)1w)7!liI0NtewD?g6N;nL@*13^fL(0h%qDZ zjQm}!h}#`vE^!9^Y84NT-5p{${4*?oiXV-?dey2s;yHe?2CrI=FKWaSzrfqJ;5*uu zI8_8H1+Kbk9iJqozE}SUtZ!L?QG>$!uLe=>L2Ok$5u?3s2p|Ru2!*=9YVJ4u-|Xc8 z@fleTu`1b%zJ8UdUWpf;4mlrXYN?tNh0q1PDVYv8u80jp@%|bqKoY3|GI*z+Od{#ug5**lHp^ILVn}>zm`x3Y zF6h+G70&nd@XaX7U}Za@EU~aR>@F#(r<{`pW;oOSRy61|Je!dd|C4J~9aHTUZw9Ou z7)aO$eTeSiz(;mBCxIxLO5|ZeF1U5In&}UE%&KE9)(W1a*Gj^+>mgE!hYe$>VX08Q z3z3gTs8?7Kd)?JBfZ-vE12_=X6O78z;TvYYEYAOip-45UnhkkcfRD;+nn#Ti9;8CH zZgY7kZz0#;bno3o5IQmpdx3lIMT$Z+%_WAf-baTqDX8jnw)@19Wghb%%{060!M6Nx zrYO)h%uXCLECPEJ4u~*1K%ABhU{Y;yng8fY`e~;9#TZDtHO%)hB)vH<28hk-e4=o3 zywOKCa>B*p1#^d6{nL+$Hqz6kUr^OdUmlW0PJCu*V?}h5|GEs5%GWl`m}65pS9CIkExCF)w=%v-U+_YU-&@2{+_%H7&?p z3|8LPDh`DhJI>K7X3Gh~B>sdd4DxlL$B`m5nNy5S%|6)UrWDQyfP?M)s`dOXgQKITs_ zMZ);@(94$?f*dG8^drmWD%F^6+QLK|h|(i6(gY|3=*H1Oh7i#x$cPX@>?eq+1d*^= zp<%s#IKh)!K;#K{a_@^U;43k5*DgceN8f;?mQawoBPubP24s=aMJ7mx+r+9f05A2X zo>(x6=B#J6QimdD7XK+?djv=z+O2JrHk@1c9@H5_Y!|0~Ie{pLe#)w$0}o#@;_+#} zo~HZ(gYlZAgWQ=m7=xu6kXkfNvLm$E_3q|&`#si+x@wjEi{tGvp>RN_ep+4cVVxl;Bs}xsghHqP z&QJt?w04%U=taFpz-`TLv7*7UP>b5Ik8Vpm)W?%NI{`vagcW*rtE1jeGDE5(CQw!=_Z}078(+8 z%rBJiMFbh+I5No3AHVcPXV7tEmcQbKlx9GKn+WwNYjdtn)JHGq%H2+mnI1TiPL$tH z1Adfami-wa=xY)PjZCg=UN)`p zsZ2*d>GL$QD-&qa1RONWWsbn`oaaU{A?j(IZ#q`AT`J3SG|Mj+2sWZrA1nh+2fQWL zfgA^$+VYcFa|%|`v3j8m(ERcz0#>$DV=wJhd;!{`N}#lAK)^>pB9Kew^_IN8gP8tV zY{`qNXDzW(Srh(oX{)s_zjTk@G?n#)-kug)I-KHpJ&Ku)dt5DLcSHfKWife%qtJoh z87d=W4%GyZIbJT(A+J837KU=FpWBaH zN!EgoG$HuN9sybi{l^c_me09f??67F;F&fS8+jComAR~2|HP*F8X2vpKsC_PTqnOk z3X{4V;0{JyzwJ!Uncm!D11joD7TSYrDb~t`hfBe;&Ve)~C4P&^=Rkgb`ESHYK7Uq> zvnL%M2|C{cDlFOmkqA-ISOdD6L~qrNDv7+#<@TF|Hwgkyf+(|jTgt2! z$1EpNY54SKpWPEulPW9 z&H#PTYfC|~6SF*)i1;tNsv8%jq!Bui-PV9YkQy~qQT-BobV4I2xeHOZb3CtXrByYs zP&`-|HXc;kM>VbSP@`htZ^{B}P(|NG=FwGUi~E4VE0!uIW7mGy08Kt02T{$~4O4*_ z10p>^Y!fP{`DsXD?Yz_V-OQHz2UQ@sDc;z}2MD8s~Iqebv!RVbRC_eI&e%ETcnJ*p<-i` zY=hQ^xt%BFfkWcXk))+!wumEWP*q@1dXRovs?s&obe66u(e<`N?zGFVjh~0Tbs!U) zaJQB)Bks#ObmpEe$Z)xrNp=OZrNPk6VyW?$S;@~v>Myer$U|V{XBG0aj%IsEyZ)*m zLD@0YdYGFz)L-=;m>DKdUv1t)V!DZb?Z!%periwrZPK5!I-Kwmc>FPnlBHnrn6yXZ zit_6{(jKxTNfzEKrePLBEj@RL1X+IB-WbCyB-$89^pFzc1a|r0;f7>vO{8KK97Pl~ z6|d{&;+74`@&zSWk_C1L(iQ`aBLXe}v)@Q|C}Qo)BCAR=&3dkE+ix)mY8c*ebbZDm z*_{FJY4-U6y^#c4?yGUQDOZdW?8&(O>9d50KC`=-+l`XP-F9VS8+%WX2R=4SQP2CA zR!dQ{ei@RNP=b@}2b^_7CTwdr_ zv@m$xpuu3bo4?$gFK~>`SeWkqO+JrrEAsOr@`8zuE#LT)R%_iG+PGdEt?z z%s6_pQKx{(RV3!o7(?B=&Ov#1*-e)DD#^&Yp)xvCrvm@c!U>Iq-Vn~Hy%h%4jHwVN zTw&jq5+};Q@i3nWUM>-$e;;D(>TORsg2F#o>(Q!``aBgtBS;;5tDs9eNs9@^Af<5O` zs~%NLL}^-FN1KfD5=9E^o$iX3)4UowBr{n$dI7A#_;J^%`~{1w42?-*|Lx8P#j8L{ zHzB1}&h6QN@M)t>OvVexhf#S-_BmWzP4vdn*I(5XesAy73;iNmUQ1hWLRqneT#Gra zx3=S#oz)F{CtBn7NO?I6Eq%RUN2f}DI(z&2Y*?-6XT~2o-)Pd2qS{*DBr=eqH?_VQ zWg{jXiJj;$IiEw^XPY;?5)J=~Fzhl2a zP1=_?!z=faCh`t-#BXGb(5_cLgK&{D63^Nryj8rB-Ux40xM_!#Z+vK%4-@DRMdzqR zht={JfZRlKJwv1=5A(pPSR6}cewx70a(!-k>B8xJ3i5{M{fmV*kvWr3!h%W!Iqyh7 zKC>CoWu6GLjAdCDzj{f!}(LfiI?hl%bBuf#UCv3C>BhofjFaT#{(h6B!d+lW5BX zv`wGWB%nkh(3x-qd6yA8M4RK|1x#TPG&0r>Xta7wt&j6W8fV zF>GPd6IUm<+?-seM~`voFk(Jspx zjeGE!KwVY{wsKg+{tyG&zQ2|nYaog}xQ`b7R_l<^4N+|yR`?F{K2Lgp*g1#Go;5%w zyMhtqfu+$Y%?#Q$Lo~b66yS6sZff;vC`dcpisRur_gIb<^5MGUM9v%;Fc%py*76m9 z{X8iu_no{^pAPE9^i0ZI zpvU#b-XX&0AbF!k9kgv%Bn+dp#%*Y%vys$1hEX*%kx!r`*Ttg~K)1wV4VFIIP!)B( z=m17bzJ$#@RIib8>(z}Q0i4+u{}eO4mtB5Ucbwgvf>%4Q$3~6sHd_>78Z?y*FqvC? z5)33RAnmKANnw1qnWAVDJFwk=?p=Iz$g^>g);|uIv3(^Vj&LGYCVb==o_pk9c!Oi4 zd`HQkhW5536!M@gZP7ugsW#^M~Yo<&fRpEvRA3hO%`-@!8GM$Ctm|d!9A-D!{U1?1Fwf_wd}uHl1tF&ghAZ zF0n0NKCjsLg6P%e&BmFayDYoT&##6pf7z}uQ*fnucBA#~+Lz7?A!KQ9x~cB&cSPM_ zS6nYG*@Z3loPJ7H&oDeZY+_bJzpwl7oIPwdpIV!X`x1)ykrdkUc^ZRZ@Z-hydUs2i z4S}wPdr9M72CG%~8dF^&%38fCSsqMK-`6&}RZKQF{LZucEdzkRlb0fCt~t2m9P zH3v2>7UPrUa`*9fnar*EckXV&Ur=Vum#-fg`hM&74-1iBD_=RN>&f)FDw}uWlBvNG z)~|OMcRIuS3hQcr;_jtIdU&Is6V%jPsAX^o4yt%|pi)~{_xikBmIPhE;J%;;w!;0a zF|xuvER(corN_(#IWYF328umc?&dJ)vdGi9U>8;S z8#&_yzwQI>i>9&%bO<95jmxXzAUG5H{G`HU+{}`hw=|wrtuAe@-6U@(=wDAQ2z{Oc z(Rp!!lnfOtlW0hGrD2U~YJ0lDUDx!+ziqHfA*j(7Oc%cX8BF`1p!rWw--#oC+UPeO zJJy`l=Rs)&%JXIo$_-B}fDd-{=iW)|pEk0(HloDh8BPv_g%8lTm?=N9ei0_=7pGjL zN9;v@-W6eiQx!XptXduy%JSmNxfaibm^(6Rqcao$BOAk zeQ)g=nPoC^;n1^lHL2$f?bQbGKtvgKHQYaiezcXjYZNec;Xfj12;n%IIL4i_P7oUp)^$eC=PVCv%jkDZG!pg~(npd+7 zA2sJo?ezv`KQMqyqxMwn@34zwl4~3Tk5LhG>PLYgJlp#!8)FwDw@dKE2eJ*SPDd^i zUjy+8FEJYak~wZ5OOP%7mswD0TV;jo#hbgpLCUbevIFA+nW(7l^+ZT$}>_b z{q*}0>a|bRnF8o1EZ@vtLavdGjFA#v1nb0^!Ihc%L^B)G|2N$p*x6Z8?E)xFk0C>n z=KqEyi;9+?;~9Y@5txCHnnf3Ave|EvBd8yC|AEosur=VyZz#i9rp>nJX-K-!(uN*V zCSb^x^T*<_ch77}y2iPJ{*d@Je`S@4OubsY%o0h&tUNkGdM{e8#@EBYzunoy1|tYD zjj>B%V!iQTlKOUXh~D?|hrr)v6bp6;4_L)!F%T?mwyev?b#M6xp3!cM*!~b%sS#N@ zcx_0nR5FP!^Hgie^}AH{AGC|TsAdjaoISFOolRUDE%G%Y__N)y!sonEwQTxW4WS zIBQK6{oswas&!o~Vw^bvN0ijavtzjpZ()$IFOgJpCZQ^RFa78v8mu=Vhk#t4wQy z4D-|!pVSn)|6g)QZBlv8G^Q1LOS*05bYwx?d<^NXfxse}f4hb^-`Hgrh@lKZ>#8$k zIi`c8nr&c2#aZG7$d{fUhQ#(mRZ`}O9)+mc35T2n&*5?2#)HDOd#7fl2_?#Z>Z49ywT5Awr_}u-Xd$e~WhOkGS zsPb!fNKun9s=p&0K7nb`5pkU?pW;Ck1u}!82sOPA^ho;JD(6gQz06&yw_Ms&=YA0? z0uxMH&-&p5@Cot$@dsrG6}I0u?M5UNE3|g*JV@8={3;wAbhF#_LJp_`cwX^s#JoD! zD(p1Qs$;Ta7p?(Ht1b*mwj$c`&s^#;5E&531MI_gC(mIvq`I`C9@gi!iX*=L`4V0d z3~_yDPBGmlKFjc>JIR!{k}^BvP;%WpK`5!f#}L2Marmrn!#CdO>7CSDbCJxw&>y^$ zckDqTx~o2tB$K(v7c7TFzodd2IeyMPJoIq0+>Mi^C%5ZnUSW=Fd+10pu5kZ<#Gb-8 zutfNRd~J(PpZZ0_wWq+UTxmr6iVtc=gsBtBvt*7(dvbj3Ts8Gg0nrp6ce_mN`Xb79 z2{fHs=M@WZ){Jj2hfj1$?1vM}?2mcMMKGgwmVDZZZkOi2dNcY-{ndE%(^=xp1Y~$m79IIyNK9q7H zV+hK@yFRQ(M7P_O62-LIby%;^>()l#t!?u}_3cOP?M~=|+s~PfS5#czX(kG)dtKE< z58;$;duq@L0#MRfQ1_Y)i5*+-3weH4%k^!g-fX2hT7vtYA#QBjr_Q;d!GUv`CABFG zU9B_%)^b~3KYGo@6^U;8Co1UKw6LrZncJDE?B7{mk^~?yLQ-C9={9)T$f-nq?VoQ{ zyc)|UBo}M>R;srwDoOsZ*ggG}U^9+{{@Yor<4(tY_th+Fs{*&O^0oW!hr66Ulij8N zFo&o#lX}HwAN9h%%)0MwIdg+0RWyu0HlD8a_1kB{aqxD6ec_OCk?b~pj}G6*|6qA| zu1Jx8tsJgM)ohV)I!I^wPo-LYuZO~o><;H_N_pEYr1V?8{El^AbW?Wke`t`0D?J`Q z(|5`N$Ou!`T(EDy1PK^p;wvzW86_-iyz0HTz6^9WA8(YRI1-}+Ifik zBDZzytRrExAKC|!4?g-iZf5#346{*6>d)WRI6pN9K?{z1d7ujp|q#xVMbQJ zm}gC;CJF3N672;2^Ks*jBh2ugAOjK}vIZsR(r2wq?lHiqZ6THS%u|0x91~jxiG;I7 z#I?kmkG9cYo$#S|xD`H+?RJ*~T}@u>DiyqZDrC;N&mlmz68DY|*O4_B z(I+`PhAlEa2FaaB=T1@PR4P%Dt+TPi{*VG1r}^mY*X3-s;>cn)<2wvl0pb_T&Cg|0 z)|6?n$$EYU&j-K7|2XtHdA&YCu%1X*-l25tAYs)kd9=-<&wN}c8uhf{^0NL=G|#qn z=m67{)%>bju0YgVi*)t(YL}`kLEK0`&6sL+z?o1NujCuf>U8E-{70*UL}@C(mv}V+ zUFiv@KV(*dM@LVOzhCfxV%~F8rrlmIC5zz9jFn<{gj09i`-GOh)mJ$$oE9*8Z$|pZ zJ#axucqkhjl1+^Y9)4XkW{R-G=!lYXYM5J2|A;}Yecf#T;iHsHAkIH#+D+Db=~4oW=Z!fqi0TRBYGvY$3p)e2F!GxEpz`m zwvS=sp|gz@VG>gj3```~JgcBmCoh~Sr~CY)v4KMz>(qN_QZW7m(9gsesI_!XTAf4M zk@7EyeHQ6xE%QXyheDhn0sxPrQj}kstLQj?UM@~ALFS}CxH|bLjjLri)S7|&+9e}$ z=<_gXkF_LEh%4;Jkb`mKssK|OcEc-aqL|M{GCnAAq6qKF>(Y;v&TW?G9R)Sda;#na zO}OIwS{ifVCj6s4nynJbLqlsnseX2|-pmd$x<`_EU15^^!9!pF>R5O;q8}kL>ts~g zZ%-BkR4fX9r#TC#p9LoD4t_CytrxwT zP?9)agjzXv#&yMry=K`lM`x`;mtI zz9bK~>a%6-h>CkW8!kxpqX|`$lB{-}Rh|%PbCeqOSVQ)aV8|TV^W%pD$!coXo73Z- zuc2h$+7k}^zUTHVP>6@N#8}92-U!oHZ{fj1|2SufDwO+F39cjpR3m7 zvT`x8X|RAfSim+9kxnq-;d=lqAQx7BeSfU*t`yd{-WBx+5BDm>l(CtNVIE6=OlvE zP0Q?TJH6koYhHWOKiN^*OSt001{g;bRS`8|e=qP$C~4Z)5jEe0gBhfqW58yMNW>4q zNB^eF#6HO1A_8!)?r*j zL2uI-UlZKy42=B@dmvK+Iz+g+Ui zH3W-f{BNv=VdYGmG~pvW3cU|8SeE@c4bMXY4XC%^{@jZI?iWq8jfPw>#g_D{RD$R4 z!hHpK`dS2)o?bmiHCNz8{rmVPp$3u2uR?p6>^gxgmXV=#mDX=|>KzPsKQfT4d1FcK zo=uQb>>5bA1G$j?F*eX*Y3e!lCPF!vKJv$(yy)Jj{2aolGCs4K@~pyeu5qdp(H{qJ ziYo-&2Ax=B6{S;2LH((@Uf9$h$%DiIn1 zewEzZibVWv#`Bh8P52}2;HvfQ@V6*zw#?=NFcjUVd1+1I;4nzrFA>AfV+b0!G-Ikh z=-u1$)WfM+ddc{`bYf!-10}wj32Ea<^Eb066YaJ;L#>*F2N2#{< zLhRqq@|=dh&m&x`!k&{}hpg~Fm!Y@xylHvg|;9X0|I$YXI{WoF(YoBe4Lv-qhDY*T!p*By$8tNi^!;8NYD4VE2Xy z@F9>}?Vj6(le5APG(ttCbP8~j{Uic20aD^nGuBWt9h&--kQX0ZoQctECq5E)>&zBD z1FOvV%z4yL|E;p&6TGImA`S_Ae&AncmP`E5%ep)6I_!I3M|tlMzivdG>J8vNiH z!;jT(^8VDm&{MygcJM*g*cN*p1c1YnzKE?7u86oF^U@f-SQqAN&|=cAP`91;tyx&c zRVv1zgX9X_yrNuBVcxoeo~yGJG$3m0!{}vJR;*bkRLT_axK7Ti@*Lm%N%IBAM(aC7 zd>GbMxc@kwhseMu9Nq;>O7C2lYBKU;5>-$Er;J*$oLAj1Tf?6fMBuyL#!Ve`=ciAv?qAW0oH4t2 zpFQGrd?KlGqdq! z9evH`1zrKq_5-2!jh{OU{wZA#&%Y{TWQbAz0%yB%ssP~wK1g>NAl04AdSC7C4$#Fb zkj(GCq3^KI!5t!RUJsz38d?;UJd%2|I(~1AsO9q?5j}4u$06n5MkRJdIo1J0G$1i6ZeM|AO*i(u(Rho{(rYs0p4y(!Hs7Tlr+02PsT$ zdg9G=mBF{iz!l@f)F}H?s4eBMDh1dGTX|Ruq~7Fa#Fh_UNMK@Sl?w>Z-Cn;_YhR8r zUSCE?Wd7d35ME5QKkt=R-;zn$4BPtD^P6#qYBv(>doRVl`q(MIoF`f5f$Bo%u(;5#yrYLwUJ ztAu)+@1UU{s_phh1e3krJm)CEor86)S{J$AZA1K8ak^4|N4EDjS>n|%)2YC7!s3Rr z5Z;>9;xW^RvzBc!q;^IUPhcg$+~lQyhp83Tj&>~9T++wDh-}1i@RDh?Lj<<3-^RSg zt(?0Q&@jY@iEy&MxeQFbBpy1S3p)6^x4XKG4u>i)d)LMsy02!;d2x=)8Z^RV+?E-L zXaFjXiiFO3@5dw{9ev8}MGW{%Ud=IJhuGysr(rs0=UmaXUww;3f=p;D!ZBQLT!y^Q z*kWw`O%PD)&wM(BQ51`9Yx#5RU-8cA1x=EQh*Z{;PcfnfTCZ$j^hMbMXr*Fdz ztRByM%O1S22%VcJknIHqxpphxaw_>eQ~G9C+cxsGEm257AlYmrAeW#OU~lGG zd-}R^Bh#Jx1j04y| z%=%h?Fi}?m9NN3oIw50IAd?!)@t(zw!k_zFb{&$U&9{KWrX0Xl`bup$eiN$^psBn; z9NZdcMz02-N77deG0_9*HOlJHCHYB*>cR3CB`i!cd4@%-L$CK^uJz1?3Nh=RruX&V zWz-antQN&-|D`D&nUl*`Ds?TqLJkD1d>VGIl*l;J-E^&95y;OErS4Sn$z|on7(2Cm z_x88#+I~&=_fx}$)|7sYC$8shxo3aZffvd|n7iEOhn&xp0`c?ZN*YaqR9Ew;oaL5= zLYc<<8^8IgL_iII)e2PvndPNduVWK`+64zclSF2VKv-2Q@sNCs75}@dB&fAj>d3b` zC5_<|L$E`D8wltn~DFBsn;0?rrtPp3jD;+tDCBFDMtetq1}Kv6#=tBRtv=wP=7UEHkG z_YZE{VZg4}7yjr7UYC8R`3d<3y4@Uv8eqYo}6R@9zw$ZDY)yON(cyCUO$Hzc*pSb~X5K%||qE)i1$JFn1?3>qK%oLO3i~@`bk4 z-GZKL3_P7?(vvqR`JjKt!)<5WZ!2AT#xq~q?AOFngj~}_1>=Xf;Tw~`SG^l%0!T`R zibpBEynb4`6kL=IrTL%CW+^$ou5HP^!tTS2U?yKg8F`eS1*|vBI%VU-5}*mSG7j_^ z()}TO1udtq&df5YWR!gOO54zVKTpZNhg#hq^o2b^*ZcClfb=NKpO=X4^vuK^hkT^T zKXQ689RGU2+QVM68NUt3yuvUKea*W1`{Q>h+xOot4x2t&p|mPPlek4$H(ccS*-uXf zHz{vMwp%aQBW$CFhlS|eoH2PqcTv9XqTcRts^2L>PJCpTI7IUdom-}hm05Rv8YEq+ zJMde@1X!bzQbp^CRAkwK!Jp(O^_(+O4F%@MSSn`}Sw`W>b8b_k^I2|w^KGVl2}BJ7 zPJ;`(+HF=*!xO=tjfM>}qB+*IA#Dk?*uM&X;;V zwMPCepm`nthn+MUp0(yoc^SUR5;W_2y-x3?tBH?ibFVa?$J7#uk7*mzMhn@j1q~%Hdv~fb z3_^MrcR%%x=e@A}^Y+`vtl~7EpP#gB$A^=8pYtY-##MI}78~r8Fd=^isZYIK z&0UvIPc^Sg=zr)KlgPDBS#YDD&?^h=`4zjyT^rMtpA8C?{4h$*FeA&mKkb}p?VjYE z`RFgLX14sy%La;*@OHM#!+n^3&8F*bX9baWozw##|DK#P;$QC=3KyPAe@Rg0m5+Lv zPCXIj$k~}pkmm;~?tfb}dCdoqUqco=VIsGsh9?^2Q$b$O{C@tOYD+Rq9d0)Bh@AKq zz>#y$uZ)`Tht0UPD2 zs4}Yb=-@KC^ugdVru4_($NHY)DVe*~p(DC$PM&2XGX}%R(*eOS>hzpo7=1cC7{+Y* zGU#b^QJ~Bn(JM}J6GI&3rF3Pm5zqe|@81GeocLsJga7weGdhCbzGifUT(lL@s*LpX z?@R{o{dsy{#gRVVk8-5O77&kdPk;N}_c5nshQlQM*;rg3Z?fNaA{K-O?iY{kNPO>g z{@Vh!Ulf!#Qomc?%N%g?G2P+~1Yk8lNcg9LkdHrkK-R-^{wBSTZPH6A61~%J=T{CG zw?vyYm(Op{g(4a<$LXA)9pDT@i6Atp#97y?^Kf+;eDfW9NC+E~Np}KWBa@slI_SOz z0%tm@};bUHf6(ej=iZ7!Sr!tNIgGptQx3uOQKB z|6Juv=YXR55ai(h5k~wIvkLsdOyOrsrd-{oQce`qUW$qyIa|hEJWwguQd6o=mtLO$*&D4wz>@5BY zdWF;fQKX_ z8n$_$*xFpbsV+AChAO0tAY#U>T)ey#yPItjVnE!k*kVjP)foyib(8Xx{wF)|+8R9H z^gP)(>j&-VAqcZ0F?M@$2)bFgSiXfo7jR8YVMTNOw`Vx_FtwStXPv(+-L%?=G;Vc)}{ynSAd%U2xpvzEvWSTYVG1V%|88f*Qd0~+sQrXlP#b+e8U(! zOY&APRZh%kXWGd|$heyK`W<6D+Tv~{O;7I_G|TIc{_cx5V2V_Ex6 zRAr`1g+l@|fF5P`b<)*DsN~V6foYR1kFs!me|dzamK6D8(Gj#MJ+_s%tZA)1a(Ph4 zTyOGB+MuX19=CXeEm1PAzy)H_!EIAhFok?M$|i84{g6}bmgckOXfJ6(`l`Pb&HiGH zAGoLjIU|fmC*A^21`CLtgATU@E;5w?K$K?3xm}Lo9NR(sj@DpqB&eQk*9|_kW~|_0pY^P)FY>G`4^(ED z#^jV35tYfHhhe!KVd~}Xty5l&Q@f8n*UNiJMMqzJK8S= zVEh>uWAGll>YiHf;nDO*SiLqvFKON=>oF`Z&J(YW;iX_{0aGzo$Ea+ZpMHtW6fd=E zc}T`q7B8b*)y&!^o4z1?fu4Cbq34?5&8BuaE${CvAjJ7MLUF_c>mmei@!*I1O)HG} zjVp{SoH1qYVG_nF9Sj+g%F+#l9sqvpO-LYtf&dO;okf4dM>!>)A+;uDFa`ep91p=z z(}>|*%v^56XYa?NdcmASG4qx`S-E?G==+$fTwJ0|wiG4Jv_>VTsbmE!07ore0Thk$)dzv^N{;Sew zdv;SsGNm1BCl>J;B<1+T{I(4fgXgQgei;&vp&8yZK;;9D<}(@zbqCv!PUF$0qPRTX zm{{w7h~KUJ1?eTNQwziU(B@<@ZY}ssfTcxaV_iDUh~qGaLc{xjd8wSw14HR#Rr|(} zc=6^&&7=5NX|36_67!;URF$)>=m$1yO=jL5W;GeJJP6~)s@lp!|DrhLP#d=?)ww!2 z!%=3SuKLRA!Wo_h(|A<{Gm7flGZczlV{a7>$THTaB;JVu!=0QOWHMfab4|-0*7J}V z5jYkCDpm_~?(#GLytLmu3)Xn{MgNl9hXk>+;bwAfD`_d&km|SL^-{(OaXBV~CygtM z?>GHU#Lv(2(*Xtq6W+sPOk-91Ed_b$=e!u0y60<1|Dju@vLiUeUIZ2FZ^UB_r1Ro4 zz-jFGm7LX~r)E>AnG+DJ8h!T>bi^~&`-~fQ=|(dO&N&}*JTmziX7T3g+?+E-xwS;{ z#K862ZQuLPMAyf1s-_joWNC&tt^X2Ig7+#i&IAY>w+f~5wfE8l#!L~@1w1E!JgR@y zfW1Paq)A^|iojBXS&a_)nUmvo4{K?FQ~Zg(63BW#$lVL zmdNWK^Bl@Ls~>%Y`4{@R%E_s=(%}0-TH2>ZG3^V=IX_)#$sQI4Z`!D zSWmve6Vo~4SDD(}$5+O4`4I+r(mHL!m~6n~g0ZbXC^679`Aod8lyHpz#)pG0Pgh6>0ibHp32V(O8%6$!4>$nfptv#Ig%&erUe6osBs7OacI$!8 zVMckoOBs+c<1O_i%rVI=P$(IGWD?j4Y5$%FUGQeXp^$0SowK;kM0L{?(@vDZY1@Ic zD~fvf0@QKcQvtn6G0G#4`v$kIVG2UdmV}30AdB=sHv>k@Wn&F_x9AzYoe)1%NDm69uE@RZpLv&8?Rj%LqgNP*I$CMPSa8qP7h=Z7I7d#l}zzM;O%}5zV;JLT?MXM>*HJwGU#xBten$~1&;kY!v@U_{{z444c6t%aJ-@d zjIXVXI;jwWtXzy;qbhJFDZix8^lEOkbIM3AV=H8?`ulEcaAS@)PfXiT2oF^Z!gd9F zOA=&Dq?T~JO<8}traq_j4KOpMCQ(wAd{@kas6`m60Lqm z<38F6>uWn|5?B7K2JJ&-Gjnlt?!)6L1X)3zyv?zHVA|S-dLjoV<&XxUB2a$XIzk;Q zM<<%8rmdI|CSDTkR>cb9NrkI{q9Ol$>%YdV)Ewuqs=DEUd_lwIV$ zPs6t6A<=ERM?xjywxQGiJR)Fk-|J$xSk1HrGl^9XL^t62aA!|;M4;ClM?pAHXCUqn zghj4&23{|(+#JfZV+p`V*eMwt7<7eP!F7`$ri+NRygM&C7K1KB7MrS|SY8|ky@H)^ z4%oE~+P0N;MHG>AUZ7<*&h7$cRuvKTXn&S!@^49mocpps6IWgQ{blB4Vw4hd_yF6VFly_4cb5vTzEsZ zK!I~iXA^!4x3yDHQ}q>8U%0!@Fx@G!13soxw^ZSI^QuBl`$YXfedrSo%>x725Y5z~Ez#g18|Rb@Xr~3( zAAy-bP1VS>L9fUCtVD2EhMCvwT_khY^UPj(GNm#dM`Z2Rpw@>nPSl2VP~8}J=$hDi`AB#8I&mIfgj=HlOeU&b9EJ|0G_l*hNB3j>O7QS&&A z%^j-X*N#P`Qx&Yj8+n8TVP>l^M=$ynbgRp z*eV9}Wc(3YOw*v7Ljv_&;}uXXM30#Ud`+Swywd7^3WaWh@~}s|vu`@j{sdtaQCIHW zfhfqz3LJY}l?OkP2H<24rLPl=nA?)PnCn2NNK3RjEO6;I!wYe5Td0ZAi3)CjFp;37=_}XpDyT%(_^(hcx@(HpcaJ-G28`<3tgF3TQ&O%lI zGv7xDbVpa5B5daGMwRbT7t;2>r#R0)t7bm*^12*>M;siliFiXTbgz!H#*oOMncGvv zpa~vd_X{9;%m`959lh*b1U1dW%{)FbJqns42D)C{IxiO+R`#rWfy}A4y)oYb*llDQ zLZ&9$>y9Ka+Z&_}vgE=v_-!EId3rt_D_B>@lpMg}?c{M0e5ZUJ9|D7yCAI~fAB-ZY zH{v0Xu{oKWuTUfBOP>o4R9ZX(s62UkRNGa1Qm$?k!tcSsIvGZVem7kb|@ZV zS{ioD|K>A}z-vI$58>=cads`)bnR6PwUlv)_Z@Na?zqk_>-!9vi!)us#$vUuyA3h^ z5P#IF$wJ`r?Eu8I{*G1ltmCV@o2o$@k&(>>g)UT31--<7+S!A z*>kw}!C$XM;Pq9L_ZOfuw%fG53BmCsXbmUOeXAn`!C7b#CkZo7iHKt)bYy8RQNL@f8Vs z^&_aLcdXuO!Y{{4-eE1GYsrZnXSXSKU$e^qj%sv*8FvJx!tNIp_*uAY=4;L0)6Inay4xfwzj?W80-%w_uc94srS2u_91g5 z3>ns0Fvsuy!9a!Y(>C#ezy0@pu4tKn&lJ!;KM4t4-}6BnH5g%SVe|yH*0-}>Y)lPI;FqRbxVFb-Dh7})=Z3?F=tq*cBSfW;E41WPBZ1# z`J`*ZrvCiCp5n8PB`fYZUFlC_*=d(lZFB128S_>?&auefO>}`J9B7%Zk_+)!HR&pi zDVkM2=QE-%9h>ChMwilJ$_vNG8}D%^70P<|#EOV2UG1rJx)zlui&4p`eypP@cN4`n$93p@BZ@8f4YIC+iO>~SUHM(2>o9v9v$^4! z0;yXFrETD!tMb=22Aw30P6Ah%E}izLMyW?CAIkMRg+xt-xce+h2SljkG`!!ycSMQ1 z_Wo)o4c5O<-zCs7+_Y}**6S$y4Y4MY5C9C2g{O6tv9DVbtqie0t?}22Cy^Xvf65!6 zH9}ZRW4|8T^M!orv-NyKmrf0PiuEfd4P_qg6ZT_D-HuFTD#zP3)LZj_nTjYMgTH|= zbs7_tkd%Vi5Y2t%|1O2t{#cZLy?YN;r#C6VVy|*+L5yT?&L5CGDQC|}0S~HP`mId* zC4srrLKArl@^Q`XvS}gjcOUtw z82DD5P-dfGxuWdBNHx66XWNVQcQ{Yk(Ev)^GMboy9Dyze;=BhprI{sZmaHCkcZ4j6 zEXy9!m)nCJHB>Vp6dF|hvJww`MJt3^*Yn$)p5{H&Vz!Cdsi5cj>#uAbh5 za2`)6Pxbmr(c#LwD!j~)g0k0vVwsM*uf|QZL|3fms$gYSgL=&FTq!?cI*m5==oj~O zms)R`9C*K~gfy0mkSw_|2o6%S1Vq%sw6Vl2y(ukJZ(?HTAdwB!t6@fpo20YHZN1$9a|) z9vw9q6bC_*5VC&cwjg!;!Q8Y^{P}&+Ad(R;%HQw9obt2Uwtq^Gheu3NEtt)4u>@!B z{$6)z-XSzemrTt9niq7xt`2 z_I+?0DZR3+xHYvUsqm5^z6b@zKN}p3Nigf!LV$}E`>XEZ(+_C|%T(Sf&{yQ!_k{fg z1&X=~W?bF@!hN4mR`nL?2sW}S>h=wI_-@m1X0|+k2kTsKTsYO>063-tO?KTzSXtQD zs|T!#yz3W41)3M$3jnr#A5f|pJJM!Mtd7syKbV+S(Z*K|YO0ecy_`uc5EA>ZRlRpD z@`(^4+@g^}%8-GiwxW?6&@1)^S%h=ed;7%wzB{EjpFr{8D8Q)=UzDWLqjj6%580!1 z?iJIzS~cfAw_*Q#6)v(Ii**bM<1zQS0lHWBSSYLiSWBdmlj*+#pf5SW4~EMBWktHO z>XwD;eoEt&9t6}6l)rotrBhy@Gr1K(@+IY=j0*Uvm?mRYV&+}=G^-9-0$I$?&p%up zAxF(K0n{-CyA6e4OX`=4Z7RnmekvEy%cE#Lg=JzYKNz%X=pOUEU*BsQSask36>q;Q z+J>{np3mN~N`@Nx8KvPyo*F3I%41MpV%hM3zlLs^eqE?lDzsyZMIyI9mKK0LTUXfL zzohf?%VSl1IIEO)HS$y|vvhT5UHrpgd)0Q})<^emrDp#X;Y+2RAv1J3LN$*dpR+ACAUgWZO8=>7A0tTY~(2%$OvV1eJFPbFWq}qA zBV)Z|DJoExzl%bhAVZ9L9~HjtE^F0d#j9BRJ<9kh;d$SqSp*JSQ>$+H* z$Q7x78qy@L3z`fj*xb`h66rW6{+V6!?6edH5F2Cr)3X+BTbV>FRR`HKy{adOXbUFCb?kTi2n=|YriGEu|IHQ{8b74?D3f+H&Aex$>5$7Uz^A%MgqNu9DevL zn}9NpS1WGzEyv6)Y+S-}0GW59gRR5kG%(paZQhxHMh3^o#_gnh zP6xJBMDcif;9cd@_G~165&6egQh!QfMoB*w$3OnPzVv0#D^{qOg=ftQ-ofMt|LZbGoqrCXbj~>Y{CdFX% z4++MoUZsA@7oYMHTKiY-YSd#Z~TgBxlsdvnvZJJy^z!H4rBg_OAX4 z(U=XWuxnpD)ZSelHh4h!jn8p)PrurrSTF38=(Ns>+e-2b`Iir``F_3Vc2wZ7hYc1y z{w<;gv#n_JUj4{2L`$u?PdOIsRQ;z2+-FKiG+L||SsSjU9r*Z0J?4r>U-VNDKO$pF<=j}2j1kWNu-6LS$UYPXQ~{6&vsG(AY^t8+Vx zhlCCfcyD=V92xXKzQCa7W0xm)>N&Z~RR5zQQ+E$f3mz{!D~FVL4dre=im;WlH={)l z^bAwV5L4;$_#vMd*Nv1GTEfD9y##wrIvNN{ye2Z_hMtD(W|PBfvW~6E$o)UX z5(>_H*&2Vhsr!9L=rzt1@*an^G(wc^Z#eykm)(Dy?%q4C8qCm^a8y5WM?d<1N0{&Q z3gqdXE0sun?;hFzsE^0p&fLX1Mc?^{wjO2P_4Z1J2oAXfvtH314^H(m;yf7Ushy9` zfl9D72rg#8!XB-)zSYu)+yLJ8=*B$erl5a7M-H6{;wQ5#)UmMms`N6b?%B*>#9&%4 z$uIWiSPS_og|R!GFGv1XPR(!+y2xH>-C1%+`a={8nZ~Yb>bVzpsJBU^Gs>IdOERx* zNx)iRFv|vi8|p=%)|Fg@Iz!G17^lxAn9ZbWO--fw0ieR@$lDw*J+TaN3LD!vsqDq& z5_DW9+$tmvF^z4*v6}rRG(SINJX}Tlr#VNYbwg1yf7int-TR?Q0( zy#D4c%1D5I;+ZGBE*Rw*9YH!Oc9|7?aM$GN>l*r-;x}47{iMgalii)!v-7*Vxw~i1?7eqp z;R%5c8q4cJ075!B6^cCq@}Ko#lHtiJ=S@PTtKwPJg&P)?go!N^E!utfB)BJgD_>?r zzZ}^~>giXe9Q98s7ERQ>hrbq04@R;)JhPzLiF;H4#g$f(V`U{cDR~&x;0b(kOmSuP)=5UHMiwm|8_Z@Tki`R`i1N)mBq-Kc@Hl$HwVQC`e@#Z=!1vd=FG=ebu&Z z0l|2yUmcfRh6<3cfm2f)QssKxpVoVb%a~+;cN{num!C&?1JN7f;IwC! zZj-+C58!iy5vob})5HK}=N}nPvWxCf8eyHKI(&`QavV8@gSHUj_%sSsPU#dUT#k1^q9+b(x^Z#M8dRF-s2}l5DT1vc*P~{4P-;* z)j_21os3T#(#GrxLP3lS&Hda;OUj|y1g5VrXV7!u6pweKN0o%^J)PGtUU(>kE7PLg zyNlY#@FT!8HSL)64d8&ipLD<4gCxAa-#q$YkC^(!3SsZ1b0#2-Y4F=~5TwRd^rk%SOpzD208t3gft5Bn0x}+#R!GcL zbMU{L?v|gnvTe}{?{tUb6xbQsA35@WWWfD|?Q)%`>lAu-53;yg)4&Z7kypL%8?!e; zLU2WnR2|C%oQq58j_TsiN#z`-!c7MXY#UxQRjQ97Y_+yTB+* zCFs7d%AN5Iti%`{l>T~!d;^7BN&0pz4vM{=9x6K;v3!t2&G&vjXY=NVGHgF3zhnPs zd^~nukD+ZTyJPM974^LgqSWD{l_JUCQ$|zobhUg0Z;{x*n1dWx%OMs=`(nYQtyb<*f5=%ek>^5x56B+O(y6is`M z-HdCed8IF>O&-QP{wim5c;hy<;NrPEDu+J0J<3QMm{=a(H~+Ask?JmJtNW8oM9SYs zVRMmJA;@p|Bxz4nM(VOS#>5)Ri9@xx%kQK48b$p=3Ty+$p#p63E(r$0PkTT_tKMv% z1I?ld=o|=8K8Yr~o2|f43z)u2<@R8SUboO;AM|0hihjfI5vm1DZtN8x>_J*G31iY_ zX_mH(ZzhC%pda@8<;Tl4Odm5R|LlIX?6#Ja@_WJbAIUZq{q{d1yd*M$8HqI0>QgHa zUbs+$0Sa#l>g*1`SB zPcn1*X2>?Ljj##lEsvsu@ejYKCK$7ClDwRjP(TD?H3^1ltc*ku62zzWzDRzPlCUM5 zSKMjzAgIy9=v~G{laJBUOkz%t`EHGkYjbsejz9&UkJ)xQ0EnsH4;=EW-y{(P4N@BG z?)J$V>*F?W@&+&F!?S4cBl@edHP+J|dvXUaCc`cWr;x!Ik@o-Te&tQUBukMW?Tl#-&?i^6UW~MfA*p zXUci$kryA;Pd=1kaxRszn20sFo??pbzFy~-=E|IH|ED%<3CX~hT-V3JM?fI36zQag zQ$YW#-AO3NY`nRFTIV9m+@8O?jcPQkE}wdeK4`sGRG$osSInN37vwJ%1i zRRCp+=-=G^kkuJi5Oi~^>%Fm`=y6Ph?s}uw|1!fvURhzHi0&QgIe6`d zamDDhh3i^*)ekjaxz(1zxb9F*DRA@0|l#HE}y ztFPxvTNHE;M)UP7XX zN$+p<-bhko9fMv`#fcB^3puTH1U^C7ml?P`{M!=-c3DRvGpLzicsWr>(=yl`!-X&i z5oMr=giORpGCgX7sfs~=A6;N!dJ{zIX6^{7gi6d;$)+Q5aCJsjEeo_mo#j=LF3DcPJGUnqctL)H9Txv|DfF@g6Xps(9les4u(U!_XY>qUspL6ha zXA5On80vy+3~K<2kOAPCtDOzm7@7?bO$v7?4j=Y6`Yf>GS_a)7lspwe1JD@-DN|%heZXvSNju8x3w8e90v$42u!5uAWi} zYfX1RJc0LIsyODVy`W?sBdVAr+WlN*WWpDU?(xC^c0vgZFT&&xZp=ld&>z@6i&;qT z+do`yM{F-2s;5)11FKmpW#-Tv3_Qc#Sm5rePHhG*Qfnd&t@E0wJBxloZhw4nmZ^nlp-wc7}ST}?#1X$ zS*>f%-Te-5bPVJEIGrM;?JpIsqlr0DR4Hr^F=wH2<(i+-y^NAD=?YgIcuB%V9*`3+ zFB^}uo5K-sQyPXxfHLzCOIqm?@va1rX!J=7(PoE);-j8xi{aLY$d?VPRW;kx+Jtln zexPYbuA=TfPINBB|8mMkI76J`tSR%EnWCBvsL>s1?kGsE`=sC(6#KP9@kKQA37s&;twnr1IVeBHNw<6pg=}-%o)iF5u*o886JjNN zA1t2zwYcqk=|^~_zonyl@Aqxdk_(Ykkq`n?t|M#H@x;5lv~7xTn}nxZEesK=5i zv5RUuDohGaC7&v3c+1}Qk(Ec}_l;;%XFB)BphNe99x7Zk3qwm^itwO7X=QknY}m5j z16?V3rtTtkQ8-v6;V6Et07-SDX7Ibl`!phE2LU;CsPCLPXFsE6b_%xCNgr*#0lnnvmF zI=ai)_$=!@uzv<2&(gWJaGwn4-{qn@45wmfXyxk5kh5r4)Hmj7)G&p)5jkOB?m2H! zfKoAC+!ngHz)%#`t>BR>%+l8!C)+xEM^J(}HM)P@vidB^VIERV#eUxY3V}QsBwZ=v zlU(n6{5Hl34;kO-)5qzi**EhvZY_4vWHlCE!G0(tQ;l3iW{McX0owTB9kP_&eIX_# zr>x+3BKmt@hFIa$GOmns@%$o<>R3O7!l_xj9OWMhP7K4I8ccH3K#Wq3BJ3@BA?P-0 z>q&1UTb%R4g+G&g@+su02D3fGB=tDHD-BO~4?{vUN}`!DZWkU$7_}2af$F&cppJh2 z=3Az&SnQMWJ0r)hI$7;tUpT-cp>TfpK6VwE+WqrDSjPz=WXQStNs?{n`SFwU+T<=g z*-R}_d^%dfE@_y}V8|3>D=9}L8 zD1i|11C_TGn3%lLR{uXwss?bY#<~+YcMq9K%HRacrV6kt`gW{nyzACJWL- z0wPB)eU^s;(pN(7u)OIUFwg<6x+e`YHW-oOlF>S&IoMLsx}z)DQmQ(maaS@U317xB z_pzq^QrpiI@X2QJ)u64v0JeTdVvFlH8p0fL<6CjT70IuuK%g?!SIj3nEm+CPsRJ^^?g0Hw-s*gM^RBXJ`!bT8G zvs{vce@@9b;kE4)58*feMeO+ta01({50&FLwzY%XDgj&d2 zsy`EyD z9XLtZ2`65xr~H6Lp+YkGyJB_LRN?z|ir+IL$oF5xKdXA!M1g(F#d449j7SeMRTo5!Zm1UM=-8G3dnyF@5z&nvf@cEiZV*j;7zsFfQqJQ ztLc4S2{}9kDM)x*`&IVg{X%uc`i%~s0-{OAR-~EEI@3`EcwLv8J?Lqbg1EjWyO|VZ ze`D0n_04V6pUY1GS0pVctz}y;bvU6X$ZY7Oc)SuZ+^GOW@;{Sc)cf^%>imIY#Zs_1(X8nKLmjPRja1*p?-W})vc}H-2W!|QxuJVzG_-X^#^t@!+ z^*aA(DK-KRWPHd{64bZ-8-rhWeRMZ-s@ ztZH)|a0}A@WbU=7=he8~pS%s~{HBX7mU_-~M@enuvKJ_PP22)`aTlOP|G<9$+nau9)bFQI*6;r+N(ulq+W zNy&H9>B4a!?_Av$AHyCqBN%~s*R_7l>^zTfa0@zf&&njZMbeYj<;aye4_#B@?aW`O zRxhV#P1?9->Ecg@+pqPBy%_{b%|TwMu*@(j2yxXPJ12G_js_rV*Vcr26d?a`%)L7{ zDny_$EcvGy<5=>w7^FjkwwO!?7=A~XYA-RdfY|>8PPx$eD8``ghS?nq3_P^8|4%~i zV$=rxDZR3E;r4cPxDEZ9Eu!{By9hwle{bQRs(+z+boQ^aoGIEJ=FbWCf7dXb}rsnDw#uufgM;pZI zJ7W_!#362kL);ii+zFfcVL*$KC6FyZ7Du)KSsZMEFwg`1#YM^S#i=)Fql`3<|&7K7Hlkgn51@2e!{QH__)r5(Do)m8>}8xbc@Lv9 zuLu{LOKNdudY%whM)_nzp~Dh}hE~Q#3PA1VT+Jc^#J}qs!zMTrG?ylQ(iJ zZtmmuVq@9GB(;6=9YIySpNw2GTx>z91^GoKLP7zhImM|8;h8BV843_FE{rH)1xLx` HpF)ZNBiN50 diff --git a/project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.pb b/project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..6bb822a5578e1f3c8880e3ebe1eef302d6487794 GIT binary patch literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=e|2wV# literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt b/project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.rpt similarity index 69% rename from project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt rename to project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.rpt index be2fa86..e74f6b4 100644 --- a/project_1/project_1.runs/impl_1/hw_wrapper_utilization_placed.rpt +++ b/project_1/project_1.runs/impl_1/lab0_wrapper_utilization_placed.rpt @@ -1,13 +1,13 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:11:21 2017 +| Date : Wed Sep 27 19:50:38 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_utilization -file hw_wrapper_utilization_placed.rpt -pb hw_wrapper_utilization_placed.pb -| Design : hw_wrapper +| Command : report_utilization -file lab0_wrapper_utilization_placed.rpt -pb lab0_wrapper_utilization_placed.pb +| Design : lab0_wrapper | Device : 7z010clg400-1 | Design State : Fully Placed ------------------------------------------------------------------------------------------------------------------ +--------------------------------------------------------------------------------------------------------------------- Utilization Design Information @@ -31,11 +31,11 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 0 | 0 | 17600 | 0.00 | -| LUT as Logic | 0 | 0 | 17600 | 0.00 | +| Slice LUTs | 7 | 0 | 17600 | 0.04 | +| LUT as Logic | 7 | 0 | 17600 | 0.04 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 4 | 0 | 35200 | 0.01 | -| Register as Flip Flop | 4 | 0 | 35200 | 0.01 | +| Slice Registers | 9 | 0 | 35200 | 0.03 | +| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | | Register as Latch | 0 | 0 | 35200 | 0.00 | | F7 Muxes | 0 | 0 | 8800 | 0.00 | | F8 Muxes | 0 | 0 | 4400 | 0.00 | @@ -57,26 +57,32 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 4 | Yes | Reset | - | +| 9 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Slice Logic Distribution --------------------------- -+--------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+--------------------------+------+-------+-----------+-------+ -| Slice | 4 | 0 | 4400 | 0.09 | -| SLICEL | 4 | 0 | | | -| SLICEM | 0 | 0 | | | -| LUT as Logic | 0 | 0 | 17600 | 0.00 | -| LUT as Memory | 0 | 0 | 6000 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| LUT Flip Flop Pairs | 0 | 0 | 17600 | 0.00 | -| Unique Control Sets | 1 | | | | -+--------------------------+------+-------+-----------+-------+ ++-------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------------------------+------+-------+-----------+-------+ +| Slice | 5 | 0 | 4400 | 0.11 | +| SLICEL | 3 | 0 | | | +| SLICEM | 2 | 0 | | | +| LUT as Logic | 7 | 0 | 17600 | 0.04 | +| using O5 output only | 0 | | | | +| using O6 output only | 6 | | | | +| using O5 and O6 | 1 | | | | +| LUT as Memory | 0 | 0 | 6000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| LUT Flip Flop Pairs | 1 | 0 | 17600 | <0.01 | +| fully used LUT-FF pairs | 0 | | | | +| LUT-FF pairs with one unused LUT output | 1 | | | | +| LUT-FF pairs with one unused Flip Flop | 1 | | | | +| Unique Control Sets | 3 | | | | ++-------------------------------------------+------+-------+-----------+-------+ * Note: Review the Control Sets Report for more information regarding control sets. @@ -109,9 +115,9 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 9 | 9 | 100 | 9.00 | -| IOB Master Pads | 4 | | | | -| IOB Slave Pads | 4 | | | | +| Bonded IOB | 13 | 13 | 100 | 13.00 | +| IOB Master Pads | 6 | | | | +| IOB Slave Pads | 6 | | | | | Bonded IPADs | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 2 | 0.00 | @@ -167,9 +173,13 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| IBUF | 5 | IO | +| IBUF | 9 | IO | +| FDRE | 9 | Flop & Latch | | OBUF | 4 | IO | -| FDRE | 4 | Flop & Latch | +| LUT4 | 4 | LUT | +| LUT6 | 2 | LUT | +| LUT5 | 1 | LUT | +| LUT3 | 1 | LUT | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/project_1/project_1.runs/impl_1/opt_design.pb b/project_1/project_1.runs/impl_1/opt_design.pb index 082bebdac447f7ca2a2e88ad406637f02bb2fb7f..8c8f4edc6855cedb8a7ae3525b7c644c0cf0b3fe 100644 GIT binary patch delta 777 zcmeCN?X%smorTHNV)8f5%uJkyCgv%YNycV|lO5PA*)0sr^vo)AuEPwfWAZ!+e#YCA-KB$>%eayzPmuLv$w^EynEY1SoUveXHj{#cJ(qS-YC(Qc xNqkCCvVv|}W=^UCSjhufjmhVk(it~Rel26p@`8~o1EKwatQd;`qZEqB8UXin*&P4? delta 821 zcmeCP?XlgkorTH7bn-%W2^V8i6FoyqO9g9%^u)|O1zQCZQ#}JiGax^$C^c1~AfvK4 zGdVE_C}Ck>WC0T{%PcA>1&bP5npjNU$Roemgq4|z)5yTW(lj|WC2g_;dnLQMsfC`I zrQzg_LdsI6<{&%3W+H1gFgKa}PDpOEG{+uB@^$a#GDOuaJ~>+;l@$9g@K{l3!biR+ z3QaH)6k`Os+msm?0h1khbcuJr4@%I9PxclypS*xYeDX|DDdG*0oBUW%ce06sfCgH%kuD<#itYHop|dUB(L8sp8$j?%%*C0q%UC&>CSWt2~T zDQ(V}H(60eLCls*vnaJ7zo;ZWr6^fJH!U+KRRO3VQl_7A-Q<@t<}6Pbxza$|WHQR* m%Zm~V3Q~(eO5^hjN>WqwiV8{u7^T1pK;}luXiVmkYXJb86X_xV diff --git a/project_1/project_1.runs/impl_1/place_design.pb b/project_1/project_1.runs/impl_1/place_design.pb index 7e37ca461d14b9627f1c6c194b9a5359002ebe3b..b04eba40796f349749a900cbfac75f2d9578ab6c 100644 GIT binary patch delta 1813 zcmb`I%TE(g6vi_hu?^~e?VhG;tH3#(8M@>sb#^d>?WBzzjN+4=ljlr_1k(6=Mz9$^ zHmV@hg(wVfA`yp8T4p*5lx5EUm+*zD2hRQDAk{$iY|%M11#JzZZY(LHB+I5!F6kgD z;JTngk}J#FhmCM_b*ZVFsvZN2ZAtjhyzH_J zf(>0aZ9%gK?*>LfFI|R-bwkU@HX;RM;TD)>Le2XA@ARo^Le##_0(}k*KtqH$RZ|y5 zY}p#8;6=PR>w9R7%NlEWjiDzxPmdr8R-(zOX=mYU>`MIwJ2 zb>5|ikqB#@+z}DC84kX27a$b^Ie*nnp=Ys$M delta 1797 zcmb`I%}>){9LLiZWP1j7u(206wgO|_AZeej>z)?HmuRLO1m6-(G$!3zm?3HmnqAby zkcftZ!cU_y65;B>mn<<}NYsD8c-I5*qCsoRy3WMg(9s;5Z*)x zeD{YKomM0qP<4r@SlB6yn&&PE1434pa7KlBub$It88r}z|T|~DuOf-EpwOU|v2@x)ZC)es6W{fRrT!{BVbL9U2gQlVrkPdku+|lP& zY#SSvT3pt6H$@oDnMv^XMK&lur{#>5`J@lt*n{dIJdLI6!oz$F7UDO#6KD_Q1+898 z?f{aZ)Y(%nUZvdC!f->#fXQyTUQn1zT%$YbfmF{riNd3f5MA$$cjM3IIK?E%GM7gR zyiAIJ=LyY;T9`{-5x$5gVXV~+gQ--#xZD|Z5YDCt>c-oR(r~Lc?pAcewiGkt_ez~$WIn~6$^2qGSAi}b1_%_@PIq#Wn&Gv9eLpB4@lUf0~z z1uVgmJLx4^by3z;6W%bZAtGtS5YLJ?i&3`Cf|mgX4m>9ZF)Lw1&JBaIY9FM*lduial@7?mEW_9s0~^egW5#1Fd9++vmQ@Y* zgO|ZSDsx0W;=mYm&>2O`*$$p|K7#Wl8In`VIa4-do3V0?o+6q8?J!GentnKfCHP~= zTh6PxnN`dGDF)%hDaYC~0n4e@`Y`TyZh9w}a@rW)vd zZ+krJfmt+OR_yk4tJnZv9Zv?xEt{KWE2|^_vw7$hs2>pZy(MPZ;oQBVW-^SU6 zb~OD51(A5%CglD`Kwsg0{3>-9n=qcbLRX6=84_XvZNoKjGO~tnwP`l$&DQmDb5YFP zs8mg{Q?n~_xFu#9rQVbE*>R@XSneg-Xa`D@8vPyNN}p}(+^qoOhf`3S+CbJ}OL`sQ zTM$h|x>ky*1RkIk6F<-wm`h%yAG+}EKGiOxZRk+OLhlcO(Kf=Xzh`LF@0iWODQ43` Sw@*Et?gq2z3F}pQhW-Vn`&|?O delta 1255 zcmbu;O=uHA6aZi*yGfc!gJ~1@@5b;)o9>g8H(MHt6dYYY|_syH-z1^j^OM|8# zwx>hT^@|gxrBg$#YS2!dglKp!X`0otp;pK-vRZ32$#GKE%9N^i(s4mkG-r9GV_9UQ z(OJJ#TXVJ*D$5lhi4%4|a29c=un9ZCxj(qn9CtP9x|}A50`_gRX@M181D1rgshKep&O$ts#J5cV9ybOPS3#0@R=WfN}3ddHB&L_vIecx z)qg66tLRLzbCC@477DoohdoBhQQKRj{c0-WHQlGNg11Xy7{BW&^2K=6Z}p{*>dRN9 zAPEN%g?T9s^XW-=oxJZY)K18My+u=?Hx=idc5tfMsUNdcv!4hz5K`cADF(Bd5G-a6 z@lJPXF!aT+D&PRs%d%Qw`+*eZ4Tl(%;uC4?XI&-tlo+(7nEfeJK#Q{@%j?cj7 zVg$a3!cPz+iTK6^)fLct*pwEzF0R9N_O$Op7iP&(^bo@NY~l)b8oJ%;te;!%)X9;R z#+pT(1AUTV=Z1U32eO3*(8(J7M}${LNS`G6C=&M1;b!g@dcr_wsMhJ7~--@ZS)=IMSR$OxriN(K9BcZzH_n=Gbm^tDevice Usage Statistics Report -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


- - - - - - - - - - - - - - - - - -
software_version_and_target_device
betaFALSEbuild_version1909853
date_generatedWed Sep 27 19:12:00 2017os_platformLIN64
product_versionVivado v2017.2 (64-bit)project_idd300018feedb4d6d857b7a7b10aeaf3a
project_iteration1random_id9645f00e3026595abd5d9ced78dfe930
registration_id9645f00e3026595abd5d9ced78dfe930route_designTRUE
target_devicexc7z010target_familyzynq
target_packageclg400target_speed-1
tool_flowVivado

- - - - - - - - -
user_environment
cpu_nameIntel(R) Core(TM) i7-5600U CPU @ 2.60GHzcpu_speed3104.664 MHz
os_nameUbuntuos_releaseUbuntu 14.04.5 LTS
system_ram16.000 GBtotal_processors1

- - -
vivado_usage
- - - - - - - -
java_command_handlers
addsources=2newproject=1openhardwaremanager=1runbitgen=1
runimplementation=1runsynthesis=1
- - - -
other_data
guimode=1
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
project_data
constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
launch_simulation_xsim=0simulator_language=Mixedsrcsetcount=1synthesisstrategy=Vivado Synthesis Defaults
target_language=Verilogtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
-
- - - - -
unisim_transformation
- - - - - - - - -
post_unisim_transformation
bufg=1fdre=4gnd=1ibuf=5
obuf=4vcc=1
-
- - - - - - - - -
pre_unisim_transformation
bufg=1fdre=4gnd=1ibuf=5
obuf=4vcc=1
-

- - - - -
report_drc
- - - - - - - - - - - - - -
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
-
- - - -
results
zps7-1=1
-

- - - - - - - - - -
report_utilization
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
clocking
bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=1bufgctrl_util_percentage=3.13
bufhce_available=48bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
bufio_available=8bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
bufmrce_available=4bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
bufr_available=8bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
mmcme2_adv_available=2mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
plle2_adv_available=2plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
-
- - - - - - -
dsp
dsps_available=80dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
io_standard
blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
ppds_25=0rsds_25=0sstl135=0sstl135_r=0
sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
tmds_33=0
-
- - - - - - - - - - - - - - -
memory
block_ram_tile_available=60block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
ramb18_available=120ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
ramb36_fifo_available=60ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
-
- - - - - - - - - - -
primitives
bufg_functional_category=Clockbufg_used=1fdre_functional_category=Flop & Latchfdre_used=4
ibuf_functional_category=IOibuf_used=5obuf_functional_category=IOobuf_used=4
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
slice_logic
f7_muxes_available=8800f7_muxes_fixed=0f7_muxes_used=0f7_muxes_util_percentage=0.00
f8_muxes_available=4400f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
lut_as_logic_available=17600lut_as_logic_fixed=0lut_as_logic_used=0lut_as_logic_util_percentage=0.00
lut_as_memory_available=6000lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
register_as_flip_flop_available=35200register_as_flip_flop_fixed=0register_as_flip_flop_used=4register_as_flip_flop_util_percentage=0.01
register_as_latch_available=35200register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
slice_luts_available=17600slice_luts_fixed=0slice_luts_used=0slice_luts_util_percentage=0.00
slice_registers_available=35200slice_registers_fixed=0slice_registers_used=4slice_registers_util_percentage=0.01
lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0lut_as_logic_available=17600lut_as_logic_fixed=0
lut_as_logic_used=0lut_as_logic_util_percentage=0.00lut_as_memory_available=6000lut_as_memory_fixed=0
lut_as_memory_used=0lut_as_memory_util_percentage=0.00lut_as_shift_register_fixed=0lut_as_shift_register_used=0
lut_flip_flop_pairs_available=17600lut_flip_flop_pairs_fixed=0lut_flip_flop_pairs_used=0lut_flip_flop_pairs_util_percentage=0.00
slice_available=4400slice_fixed=0slice_used=4slice_util_percentage=0.09
slicel_fixed=0slicel_used=4slicem_fixed=0slicem_used=0
unique_control_sets_used=1
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
specific_feature
bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
-

- - - -
router
- - - - - - - - - - - - - - - - - - - - - - - - - -
usage
actual_expansions=128831bogomips=5187bram18=0bram36=0
bufg=0bufr=0ctrls=1dsp=0
effort=2estimated_expansions=21522ff=4global_clocks=1
high_fanout_nets=0iob=9lut=0movable_instances=16
nets=21pins=42pll=0router_runtime=0.000000
router_timing_driven=1threads=4timing_constraints_exist=1
-

- - - - -
synthesis
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
command_line_options
-assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
-control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
-max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
-no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7z010clg400-1
-resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
-top=hw_wrapper-verilog_define=default::[not_specified]
-
- - - - - - -
usage
elapsed=00:00:18shls_ip=0memory_gain=370.359MBmemory_peak=1459.398MB
-

- - diff --git a/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml b/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml deleted file mode 100644 index 883e2e3..0000000 --- a/project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml +++ /dev/null @@ -1,374 +0,0 @@ - - -
-
- - - - - - - - - - - - - - - -
-
- - - - - - -
-
-
- - - - - - - - - - - -
-
- -
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - -
-
- - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - -
-
-
-
- - - - - - -
-
- - - - - - -
-
-
-
- - - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
diff --git a/project_1/project_1.runs/impl_1/vivado.jou b/project_1/project_1.runs/impl_1/vivado.jou index be37b48..6360a97 100644 --- a/project_1/project_1.runs/impl_1/vivado.jou +++ b/project_1/project_1.runs/impl_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2017.2 (64-bit) # SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 # IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 19:11:40 2017 -# Process ID: 25068 +# Start of session at: Wed Sep 27 19:50:15 2017 +# Process ID: 5568 # Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 -# Command line: vivado -log hw_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source hw_wrapper.tcl -notrace -# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/hw_wrapper.vdi +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper.vdi # Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou #----------------------------------------------------------- -source hw_wrapper.tcl -notrace +source lab0_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/vivado.pb b/project_1/project_1.runs/impl_1/vivado.pb index 50041c78865101468c7adb78c1d4c2c0af78dc12..d00a165425ae4f2e7333ea64af749d9f0a649df4 100644 GIT binary patch delta 15 WcmbQrIF)fi7^|s)m8rqRXe$6B?gT;r delta 15 WcmbQrIF)fi7^|U?m4V^JXe$6B#{@h8 diff --git a/project_1/project_1.runs/impl_1/vivado_12929.backup.jou b/project_1/project_1.runs/impl_1/vivado_12929.backup.jou new file mode 100644 index 0000000..357eae0 --- /dev/null +++ b/project_1/project_1.runs/impl_1/vivado_12929.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:28:33 2017 +# Process ID: 12929 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/vivado_4122.backup.jou b/project_1/project_1.runs/impl_1/vivado_4122.backup.jou new file mode 100644 index 0000000..2ff7020 --- /dev/null +++ b/project_1/project_1.runs/impl_1/vivado_4122.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.2 (64-bit) +# SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 +# IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 +# Start of session at: Wed Sep 27 19:21:20 2017 +# Process ID: 4122 +# Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1 +# Command line: vivado -log lab0_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source lab0_wrapper.tcl -notrace +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/lab0_wrapper.vdi +# Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source lab0_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/impl_1/write_bitstream.pb b/project_1/project_1.runs/impl_1/write_bitstream.pb deleted file mode 100644 index d341a085e46a8b041dffbe10f0de028de52f098a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6248 zcmds5OK;rB5%%aAw~bxpVaL%L>&`>4HSx@lJrB+(*=%gdS{Pn06iK@_6eI%<*`uM& zA;)~^VK{qCjtP)kkmH{Ae`F82=bCGP{D7SERg=RZHFTT>_OJ;cK*J`xtGcTC`@U-C zv8&tucx-z17T*Zj+S2-x-Zix9<%w&0_eRpRMUQRx6Y2G>k+hyqe8-FU=(K+tn3IVN z`hg!u(yrUqM6B9!XvS`|HT`k4s*9TFb~>X=lclqzKU6NQEwEp+Z?S{O3?j!nhDm;C zhR@0FqOLWoS1jKPqrilbQ2da+eZg>vi50zD!_2&ezf{-~Q=2KS_gRJgzH;b{CBGAH zZgFc8^Y^%>ZK=Py*5p6o(lsZcv@eX;`C~cugL8i8@qJ93Nb@?$8ebD z)HRJBhk=xQGCB_(%XBf`70ups_{0gKSdHpELm2Zr%I`$7+C9&7&tImo`q*R4F(w?_)v@Cix-GHIxv*jcy&zMNpJ`7AR z#JXcMa(s_l{&?a_WSC=z$kz7W$2^qCl8s`4HPJ0t(_Ujubo18E*t;Jk9`aK&iS}_}AB>9yE3{!;q{dcpA9> z*V_1$eZQQh4`OJz;Cu_Rhp0u@bKD92_L0voN{3S!NDifykUbO}D@i|C2}>dQ8OecW zZg0SW6V#l>pUTqrC2U8ONG5c;pC;TKKY<{QHstufIbKbOghpp;8t=DZg=U)&+z;4W z4@qkgVJnd^?}@-SsWho2+GPG41u4x|0m1)NVcG|N^yS9}@?tUc?TVWQ!k;B&Tspx-K8bVZ;ZL$JFdV*+(NM zrGus#xm)o|Wn%@+S;-Y3<$h zTlo13_Fkz~Q#V58f%0rVJ|q^8Fr!9*vvRhQ(BTWV4#JzYAz^G;G7N{Y>z-37EC>|B z?3g#%tv94=-tf^yaMWL`8oWlOa6 zf(-j9`}Q_qLJ<(aXKYTg`2yfrvWphwEtB;IyPlt)aALYd#i(A+01d}Os}%xZvKvpp zrQ~|{6_7cdya4ern@z&Ko^KT~qvQxK!-i5CnSZXZ?qzt+Pe(8ptDKk|GxqLnKT56% z2m#PIftd(-c&cMkzOVB`ADSF`3P%^+x8)4c@RwO6Ne>-x?#Cns2x;#k`!Gr~;jHo|G^pF(@{vE9RCVJg4P|Yrfn<2#`TshBNNvW+#FEU6uppx zchL#Yqa7ke`{X0(PLh3?bTN~9+wEi;=~MRXtG(lRZO9SP`6?B6QTRyVft+w*aJ{#s zi!Dv(q-IOLe#DFhj&>snRC<-tNThGW_N_*m-#%_T1K*BSL8@fWFQ#Oj-X##p>iUZc z`vBbd5L5u_!LKRzsE2Jjp>+Q^9VHP;UnN`xR=51vi_iu@`zs>t=85z=ThKf6eh^rf z_0n_WO72y`Z$oz6F|6j?yIadG2*P?OVVBfsJlcn7%_2tRcbV*;XdivyTw - + diff --git a/project_1/project_1.runs/synth_1/gen_run.xml b/project_1/project_1.runs/synth_1/gen_run.xml index df6d0c4..2a02167 100644 --- a/project_1/project_1.runs/synth_1/gen_run.xml +++ b/project_1/project_1.runs/synth_1/gen_run.xml @@ -1,16 +1,23 @@ - - - - - - - - - + + + + + + + + + - + + + + + + + + @@ -19,7 +26,7 @@ @@ -36,9 +43,7 @@ - - Vivado Synthesis Defaults - + diff --git a/project_1/project_1.runs/synth_1/htr.txt b/project_1/project_1.runs/synth_1/htr.txt index 9b933f2..4240d46 100644 --- a/project_1/project_1.runs/synth_1/htr.txt +++ b/project_1/project_1.runs/synth_1/htr.txt @@ -6,4 +6,4 @@ # Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. # -vivado -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl +vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/project_1/project_1.runs/synth_1/hw_wrapper.dcp b/project_1/project_1.runs/synth_1/hw_wrapper.dcp deleted file mode 100644 index cd4375c32d1df27b7a3fc8cc5da2ada1879840fb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7991 zcmaKR1z1}_v}SNC+LYo@ptuDs?iw757Iz5lUfiWfakt{`?(S`Y;>C(vaeL|8ecM;w z?w)*;$+?;TWbT>sW&ZiEA{Y)H2mk<(0pJu~urI1Gdm|zMPy=n~(5vRIdae!zc6KHX zOl~$XHHdOI8W1-%HZE}yGN^$-rUrj{GEQy-w;vhm*6IY3KvM(F@4~Pm7T=7=QOP@c zj_8D=q*JfM2b0X%sj2z7RqdlFX@F549`YXdQ=MOrA3zVJhfDaTmlYP$@;5AZV~$zP zY>qnp%UD zZ$8~!ssk7DH?pvG3OQ?!-cilJu1m{PxEMI?PQXEi++$P0;PCTTs1sh~m3V4Wcq?+- zW;Xt~sJ%6L7-=WF`Y3WSwO{ZShuf8z@FD^{g zb_7F-POKb&QEtur(j@9j>8K~Y*uvWp926!cu?7BIIhD{d|UPFoX|^j|%i&XGe6FW(8S|SF0F|%{hX=~)F$vx8vD9b7?TSx5;mFhUL zXXFFIhFABPQ}T5`$2r}yR8I%^oh!<^=xb35C3ZU1YWx1~S3IDfQC+>9Js3Tzl@SA! zGX`NEUJk6_-+Cq1SNu)8WZLACh!>e}8s5w(;wf%sCkN*O*E)0N zud=UUfQp;9!k`zZG`XGhfqkaJaRmtdY#$wo1Y!BcK#IHA(cSJmz0eQ#(ZpSOL`g7d zL~w~rb`Thnjd{fMBJn+Dyn&dZZ{7yE*C=bW(OEE=Yi?N0T4Fjt7+xaGoML_izTs0q z>V#33cr z+LF^4Ip(D_KX}3$CLCZBc8|%ZdtzS_Y{EA&MTM3bgn!Y4QK2{Sa<7LEf19Gta)1N; z!E<#*G-Wi!(T-a*I8<|M4|< z1;D2VWUTJtXEc=in{P1IZz@ zYpSnE81e%t{ef73@L7J(7WSLU^+)aa@*|MG+|OKTe~z4Ng^&3FTEv0YO4M^)qZMe6 zp(2rd=6JmVR8)N?QdMyfur5&rD6&X4C>?j+@z3lus_~1coEuE zyNmPr>}(wS;I%rou(~hi%VDHw>OEmsqfaLC14-NrWC6LoplNv4kp8U>mM#AHk=}Em zWC{NGfjnbm75U`c>YbX^(1|Q*NP>|$9fEzVnEBOb#m!^7!ekMXbA#~d)^Ll$DGgK> z@uP~|u7QM$et*X8SUe9kc0Y|O4sRM+{K@>Y0*)Yyc{U5Lppc+pV4?Xz5HqfdVFJSM zrt$D0VFoJi@QGQ@4O&%~uO8v{zq<~0`wW+gl7%Lf6lv0i(Q$%(W7W>wPwU*baRsNI z%0do*)_87lrk1l^-Pc@~xcq={hJ(jz)h^sy)t319YQoE1&I7bt%YKMoZJ(5VvqMww z-0d6Qw`6UuZ&vYcuemCzsnuB)nD?lz;ldkwkn{EAc@orRug<+SME3mt$NzQ@mRD|7ppbU-x+F@WC+%5 z+dRGSk6sR<*PE-pv%lEqaWSiKo_qW2KDZxWHcZi9UWx=HKu#YfUx*~PRc4FLP+4Qj8U?e7k!XQ62B?xe->ogR6lxAm&H#CP+_;} z-Blu@-xe}!d!ubonYU{h_NriSpEo+l5LTzAsP9~l=fu1eoFdF6Gn$_? zH@B(dgxKD>%eHOvQAlKaB30uijAZW`+b4fV^4h+FG)MB*Cy;da9_F#=YH=k6*%xc> z6yx99h|)d442J;#e!>9&NYL9bHnL-KvxY>)>nH@U0K~JFE6v?zkg6dym~3VPBSgXI z{wx<^PKx=T>hlwBOeNY~Oy&Oq!Yy2(bb*DbK;M?!en>B6v!I7kG+_+T9{dUSXZK2pjP z9#rPo`sE6N1JC5R5lh$V)s?&Mh7+L;!h|C^oxi!CIzE07vne8Qr=caUtZm@Y{Zv;Ef)(_ei1;@7RnyqgtCo1(4>rOQfE78v;CeZkF z4%W-KUD=`?5Lp-c{8tyU=Cr$21^OE<+_;%Kx!c%2PWs*2{mOiuAjC`$wz_$q#VMUi zd$*5@a3t1tc!tHL<)4ZP2c-nXw?VA(pK>T_OSPVI9Zj%@oPP^PCoZ0uZ5)0w^x~COII<@|g{6T*Gd;oRu zh~H!J(Ai1sM07HXqP!kit?7bW%ZLl2xu#ncETE+xFOJ2az0+-Zc#kiy#qV0yi2P{A z7e<^HbR3ojzPA+hOG9$H&*Z{bK7nB@%&f&|Pkil3C~Fw#l7}|k6CXs%5O4!$dF@&X z!eHb(_nHZ90{05>^^CsdY4!2!X4(khka(TpV>Zuk)*cJ+aEtIFVs57~b^Thhiht3f zp4S&X@9q=Xo5I^o<1MBui8WyUkwAr+(x$s9V1Ih+A~Z_3Y8ThK;+A(RXxmV9kRpO)P2XN9}TqWE6WOMs8 za(ro5 z>cT#h{W{RPm}A-6NrI31bFf8b`pM#!di|q@RPEk_L1l~2{m#aOvHO0P&sJ5r8b)WN zCUL82)d%YMkMp-6F#0^jBsG4bLV~C(S*%8=*-ZkRW)Ix$Zrm`*(dz=$yak5wk}`#s z5WBnlEV`?djyq=g(4FWbvM62eeDXES0nQljyl;}Ni^tIp&3GY38T9Zg5!^Ehz5z=d zR^;nRpKeU%sz4+dS^;P@a zP|;QgMa0xwlatD-5mH5$wv;*b_0*0+#cT{+HUq@PUrGD2ew&ZXmwurL=z#((SpQ+cVsHFD2s+r2S1(9zH&bpzhW~HSQg(bB?C8b-~#S?1k z8FKH<(B7+$QYdGXSMa2jOyHJOe3s1T(H3zY5AcV$ za9Rgk%oXK5!@iQjwaKca1{yPgpAb{#3y@wAcJvrk)^4m&*1<46b;**N zf_CV;-?>VSdUdQ{@b1f+t!Zj9UK_lH>HRB=4UD#GINLTNH%FuuFYc2=;_J)WkeCKY z*brqFU##+djOM$NUny%UPWbJDn7?I@Xj>wGWFdJ_T>^C^_E%pKD;uQVov^Li9FZ-3 z<{ZNTfA>!YW*w8Jx?CooPR^v~k{EVbG|Y;?D!7sN63TXI>~_v0EZ&$1M@X9}*T;>v zRaVdAxmP6U|ma`%kQmrsFK>lLnC$Bnu_ABnKqHNKJ*&Zy#Z?G9( zDz>KImZm4mH}?d+$sR!9QA!-m1LLxSGMZM(bMjg}nY2ABOP0kx7C1R|Rwg)8|>>-XEp!$SHlV%p!_m85;HJf(jn%(<`vLbGCNM>^sbQHK-eoLK?pX|c2=EpaV> zTqjn5)a!{Q5=p88O_9R3Olr7I@`A>2898`$hPq476rA2plfYUAtM?0sm$W)7=VD`# z+WEiIwGHKP?dQhf5NxQN2N-8OCm5^ABAWJ1!(h46kR!F(2_0<0zG?L7aE0tOy;ryf zBM?5ob*3WS%oT;WNk}1M5FnV>b^Rj3ctT?Poy4W5uPi%bJ8$8%HUN}dSz`9>CED6D zJr#uEl?)qWr|?-Ne8-is{@!)U^>*%flBf5cEY+KTiCaDHkRNMSy$kyff zveRYViU07@$KgK4=2!gIz0{nq+}Qfv>eI6=5vRoy0UpX=+M(@_Zt>svo1>GnA(M-_ zF(fEj82bMW3_QN0-!|_KmME}vpyQCrQ7jhs&~}OE&}=yfUVc4$@7ai5ci6vZwC%~p zmSMR2qkF&QP5z|9`R+1{dACt^z6sNo%Yw(rF>5MU1{fmgnekp{H*2?olh}_&5Mvc z-Z`L2s z+y-pTgr0R3@DAwirYrSqn+;WtYb%{{GEEqk%{2%VF$EZI%%`s#Mq!Yx7N<+UxAIKQ zthN1@NW^37VjV%Vr3=j(_Wvzw@1ZG!17QV0Q?{aHux$P6>yLQ1y>cM7uvp`-hrtbexh8D)bfnhe5N z%-`@BIw4v1U2a!Ho5}z_u5W0wQ-6b1-fhFYY*qLk>s8ji1FVHNxqK@8=Ew*z?x253 zHEHth)G87PJ4lajMblbopsB}9znRKH>9xc^E?{!6ZLEOpBh|Sh%RujPOQiMijNAVx z#*`0*CM5})oM+tL!Pvl2nn60!b(A?A)4|?pbeNeL%*gt+mYr3ZMxJp*c5JvzhGBSY zvbIKsL1toz0sNkYU5N!CB8oskhSGzGifoL$PDm#Nd;?w=`h_J5;0+|3$$ryUj}Oe7qs?_A+s-bH6NbuyxBhNY~`$ zV$il)v?}`E5R93$Kbh6)=5zi#<DI&pntg zuFp5I6R4RkMI@alrY|5YJ{8Amca@js>gc)F*&QPm@p(5j^P4TH)$cYfBU((9lHcPM zjXtK<=L|4mmT(wz4d3TDgWY3`4Y@~in~|kctrj^dt+k_~o3%ay-*azQAzO4VSo|&z zRtbDDzCb>}#6etBhi7>D6~=rzW-y&~;yjDzOEPsZ`Welpx$q1ALiT+By6E<=6-i_Y z=fb#VPBfAAUf!tUTA?aDu|rPcgh4ok2rB2a;lS4zH8R<=Z3-ycB+1z-&a=g7YQ1Mk z^pMm@b_A6jOyWYj+)=8_FX*YCYd0Iz*pmypn%}zB{M&!6OeD6J_uwxd-wK zIcsK}?U8_~nVR5z>rpB(u>d&2XlRZKGs}p+8z(8F_vH!8+YNWE_pJ>reCRQX{M(e> z3f(X=M#JM&Gi)dBJk4wwjk3K&-v$%iVFXcLX@#GE=m;M1Ia}TJfiBxr=6w; zmu1EtP-hl94kU8Ha zS339^AS)%gt>E#)}XA@cjWG75P34eJ*jj-M$dz(Z4_v|NJLx~>d_HRv5=dE$n4Pd zGQ!SpJJ7M!wB6R~1gC=(0^`&aDjC>1T#M*7Yw)Fq%UnX^3;ZgPc2obv&lg^3#|*2s z-h7DYlh*5w#T@9X0|BHTI*D6-6559jeo%UaeC|WWc478Pn$UM39K3%LK>ZMBUJ@gQ z(h-vN&Qhajhfpl&7|gEIJ7=H}LMm0K&r{m&(OFF?;7@ZRdeHL0mJ9WyrcWJN-FKQ> z0IpKXsYlnP-33$9$)2)Kv)_u7Knlxh4;n$e-`~rU&x;Ohb==yaAjfw= z3^Jjhos^lk*LrX>8WKw-Ys2LX(sY;ClI5dqv>Qlb8r-z)I3D%A)*{OiyZK5TyW`m> zlOZRuSt+)q_asN=OOcS|O3U28St+q)+fF>9hq0;BnxHVSSt-6Hc<&57o+Kz7D+|1e zf3lZ-RIaM$sz5wT(Xp}SX{fIp++1yOoLT*$D9{SNk(GV)PkI#w&zCMEqqFhWAA%|qbz*d41xZ{v}5D`hEzMiTIa}~ zMJq{EUPFIF>KK)SvVNL2VV8UsE3|NK-AHHdUt21y`A~llH5^|PIjG%BCir(W&{Rwq z=nkNRja~Cu7Lmi7!&JruLE$>{r@(DQVNiT1esH@ND)Zz! zQ{e61v1{KrT`!5tLXA%1jYrY7jPW&*z0li1Fsrhgo(y*fj6~{k26so9ghbyMyo6dG z#0vey(GDA3{I|&^t15P_e-u$f#1bPr8-WcUziUlo@{Q0M>L4Tpp~7M8Qhv?7kKl?% zt|BIr9*oc-&ff0qXZ7m(hvQH_s+SVCu?#|o77=R>k)DF6QGCzKIKRcppExFKZLH%H>{k1C0Bd^wiHaIEoO82r$K11Ee=2y|Foy156V*UZsy8=6Iei#pWwp`VS3- z+S*3ZNz>8Yk#GnqQ0Dj7`#Y6<*_KEv+UuPTbxjbK0~wGTbZyIRKEeD;8&_&H$d#e& zA{^Q<|795#HbxF;!(-!P;278cVn|nGU?!Pyxp8{wG5h~wN^GG2FH=$k7?AZKMk%@B z%}B+fK}ik_ED-Mh{X7ynhyS!Xzdzky?hk!B`5gLZz<(Ed|M-8b&hIBwQTq%1NA`Ul z_J1p{&q2=>zrUaulz)B@s{cL5K0g}$ z#pXgO;@`>Y{hx!<^9aw634bHZLr)F=Bf@j|b0Ym0egUPaf8k6}TKydRoY4Nk{;@j0 z;+Owm|C8Q6M?WvrKgj>JPMAdhp#PMuA{YT$0RR9h^ko7qk!a`;CV)7|LRQ92T|@kx ov9Sk8(N5UG5@ahZDXk#QB5dj;u3+G5XeI7pWN0O9Az{V;ANpNF&j0`b diff --git a/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb b/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.pb deleted file mode 100644 index 5b79ffa85e4e799165f95b8d068bcf3b32ea249d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 224 zcmd;LGcqtV*E5>LtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z(7@^bl($FE%I2*Q0}$*LW@zYiYZU>pdnG~ST}g(9-L6ZeKn7(5&% nS#dapy16@t1o@n`11Xtn4h1YkGM diff --git a/project_1/project_1.runs/synth_1/lab0_wrapper.dcp b/project_1/project_1.runs/synth_1/lab0_wrapper.dcp new file mode 100644 index 0000000000000000000000000000000000000000..844c1036a312e6d7d032bb44260d8502544cc421 GIT binary patch literal 12148 zcmZ{K19YX$)@E$mwr!_lqmy)OnwfCba0}6%)1Ox;Hbf3*DQ@3VD6Alan^Z*J31o_o#Y-G;>u(6I#)KLgzLJO(c ztTAt$gZ-hj8k){(WP&gNA)MwR#zQqXs;NAl_2I#3z${*%EqLX=)=i1OdC7sjf}*52 zZHp!sJbuy2no&aLF~x0yQW;ZO;|Fe{y+Ngj?ItfSuD?p94FNlF--}{5>f;3!3i_^m zLhwXadvQ&xIv*;bpTrg^j1QUxEJ)wyJ?2*eYGd!s-MK`hK}4u6RHbL3JtN$=P=im~ zKEw>U4yw-BYjJhzC3pA(e@JAhO=scL4=1WVl@@BIDdLr{#vx>x$qSWhCj2K>0l2Q2 zv9h!+w|F|)tJ$)F26>h;&sY+xlH$*-c$|#AJM?LArh2GdFV~Ht>h1 zkGY9}gIw{hu4VjQ*1614okC9*&-sZ5;)9Qjtnm~MKAHR)wpxkV>a@<&4y1S@HJx#r zS_{32lkumS^nPXbxy7onl3V3wYg3j-G{`u~to&}iRH5)-YjLmh#h6nfX_4)VE?1kX z$wGas#6st3i=Z0+sn6%JL+Kr~;(zVdz(9T7z}GIlK?4CHeU;V*hD>^Hjt2JjCXNhl z#->9_!U!P5aAJ=+5LEB@t;pwQ7IveBM2$$F0d+xnJf|#9| zmOeB&h}o?9ilv2ze_^GyZ^ad#t`6>ePq?f#_4Y0;G_MEYJna>ivi!oO`8*IqMD#CEzRnD0!;jtUmwaDW|&O z`rXx`)Z$$cYDOcwLA8a&P(AA`ziMl_jMsp{|DFNJoSa<@8C-Q@6l4a3kcO_F(XM_XC93uL@`smhNh2eFGfv3nkSXn$m>y=^ z-jdTo>w@d#yz{g>#hDR^nzii+dLDScSo~w{6Us@ugfC5}qqQpqc6K6EXL|TFR6-Nc zJ}hH~-ic^2xRt4k6)1-v5DOiml`lEw5gI*Jk>X(z9K+yJi7mS|!Dg@-LJAeF*de-) zxbhTsSf0V7)?XAQl!2B0hH9-d3|)g)^+dn$Sa+zRBTr-$GpLjpuwlF!PR32BKJ@IA zZ~DOf4aR?8jJ5-XC&@g4me`sB6Wmej81?p2y>pvyTEO|q%-GV=E7zwotBz_VT}A5# z{=e1qr5PZQf4;sF_E-K-yU?>xG3=KTUfe#0EIkgyN4lfo7=+Ry(9yo_Z z=-NAU94CtDTL9YnN4pa~CZ9XCjp{rMT{HxB4f-V8XGwhSdE}J;C$MquuhV1+8v()76pCl8jKpQ_vX$w-ry=S zGkQh}v_@VTR6j;NM7mcLGzJWAM)_${etgsX@t$fs*0k%Y;WbRsCSvk=yn>TBN?>I6 zYhcrjUahCAVZd&fZDF#8Ll?iZ=427GP^@Yln%bqLQ3C!eK>cXZz#w zY+x!daE}=F?x!#~prxy0fAhJ}CHNK=<9Agd>W0nLCBW@c)%PAJV%Ib>lhjrbxF&4T zL864P%k*fJZ;vZ%$Y*4)(@4rQ#dM3lu~mq~Ad)&05H=~-^glnu%dx8>P;7MV5%7{0 z0FfsDddL@D)t_hV3|eLmcVWZB$B3(vlc))FP=x4M+fl_eo+%(xJb*>?_!bfX2Hrp~ z9Wv7IWz1D&|24J1N2wWslU2&(Y{8MY?$;HH6=E!^-m~BdGQ#fKdY&AYpoOJy)fPd0 zmoRqk0=tZ-1Tykt4I&rrLqLc0#lx0%gPaUjVA>c?9^c?D|_Xva#hydw{0Qv+kUEtV|kMvp~eUB0N2t0>U1t@mt6}(&KSP7Vq z?wWW95`;01nis@NZ%2&VJIIB{AX{ETT|%Q2b?M|^t*NPSO@y+}<@MZHY7Phe78vqC%A(0ru+W@Oj!WY-2LPf*Zr*eFk|WY z(cOeISnMq{;)(AiS;dpcpnS2o5ZCmK!3snnXLyYi1laE_h;(# zXX5u~!uMy&^=C?efO_xEK!p;ZAXK3u@MB=rGhM>Kkw*CvGkqieAVVS(4{-jiBM{va z%85$pu#kxjcuiMdob1Ij*)i1EXv-`T!j$sol0p?N5p+cj)I}i|8Uh4&y6eX6Tqf?M zjIIA}>lKQ5(#<1`y5B$V2psVl^iswVRu(Bt#|2*FfaLdsO&8dmMc?pYe-*?b5P4YZuSRd+9E?Y5m^J_WeT0_n4ZC{Jl8bEU&0>Q%3c)}g&3^uuoG9n9 zO6ak+jPsGWq4j0O!K-D~mf;4j z)R~%=NST_ZaW4xjgXd}-O@qW7O<*J(P2ZF`>IHtzNRf9-F1)}f-OwJu+Xw#?*rD)s zFld&U?Z;t=|JQ@`Izi&q8cUTUY9B#oLr?uE_kjav^xaKo35ir;NYciy(C~DiDnDoz z3weSDHD?aT9xnRG1Qg*B$O3!$wV7k#*sYefOm_5y6qM(s30)`+2(1FtkE5kSs z{jDnc|2*&&mhf=Ex>BZ^;=L4w%}~RmZ0@32@(ZcO#X_d;xR)BS43D8 z*E4ufLHyGF1mp&>hPli&@LNYb$E=EL8%YB#6-O=c_s?|srWMP+fTK(;e`3~KF4B1G zKf@pskPSqk)??nN+n}oM|bc8=6x_fN$!a&^&JfCUg)< z4pB7wu0q%nWh@vV<7tKCt>}uw@sHH|rb5^wVIVOmS)_mi@9`%|8q#6opB}8li^imR zA);hg;$;DRNtVYFT+%%Ar|?cXG=+k)Bn*~mv7mb_=M#hGLV@~`#-_#VaW&ICmuZvv zLQ0coG}d{OyF!Od1t)7-e)Cm89adLtwFwZF#%&L#krlC&NH4?18l?*$_)R-OZ=49H z+vfBi98m{7Ycc7ZNpd!uafAIO&8~~wos6X}83)%zd9bIRf+F~1@AkTiYvqzsQX0I&t@zs@ydKs#OzF{#K zs0e}}3AzZyCQ@6U=H;v``19A51$r8)Kt6F{szF(w5^bL=as1f_?ye1%d$$*QDfRIp z;`k#9UROrQn^ZL>xX5uOtxJT-K%}&Y%{^D6jt-CqEbI}66iOo|VlZe{y$IzW#NA?GhIMq{8M|P48 zxZ;u+b_6qHlbH?bIBL}5sW8Sn?9HBdVmbWbI3*fUQ*rb$FgTWsHExnXd2K`q182F< zIrl)+DI`%kGMSZY7Z>Yh7%jl{QPTM3s)XV4dR44Vgdtj=${$1+n6Qr&S$W_3MeTV0-A}?F0B020 z1OLr{ekYq^%c5pz(N99~=J-)l8ya97CNUh@@7QbP2Y}PhRRfI@%GO9g1!S8-jt_bN zSdec3{l4!{*Dl@wIz-ACS^ctl(;-B6E+p_6ulo=`ub57)*2^o_sd5mdYAQ#wV}opm zsCU;ju#$6SgZfoX-g;@#yo0L<^);!>a4Opz*Jb|mcAVGho|eJTO_M?k={fYizu;)l zqD);{LgNW}IcPx4-qU7Me5_=#UGbY)DS9*O*^-f7(IdW7A_Uc+6N4zJ^( zP4e4MqO5h3Z6CMa+C{op4C=Vz*>~;y0}b2$xx*gblO9jq`0*cf;0O zScI{&csjZnIu2KpHPv(sUQrl^63N*{EpN9^`VG!u`|jhRft1nqzmMt4ci-3yDTtTv zkUjI9+|2d$iC(AHUwTA)uQyQSnX(sS(U{cPjem%O67p(XO7*?WP?`v!@!19ks+;W} zL7WvBuAS2{(Pak54t>^dzbS5y;SM-yb5Yyx#k1-f>E7bF9${Q9ASzuCG;D?=zV5nT z9fZDL!vV^h^&%>SVzoVZD1J^V`&>3>=BB$~Qev1MfL1%ez`8CAnRgzHvazna9^ ztZ@hZcpD`K{eE1hVcCa&24UG7e1bFYIBt|)hVpC#L1TrA%hlo}JV9iO!!TxpA9ao02hyC&*+zb5c6PlCCzb#knd z%m5Qw@b(?;bRnp^W^&ote3}?UpcMX|mgHJ%aBvY>i|hNNDf)0Z;@;oV=xeA3YO4uC)L>fKmQ`MzEDn<8+prU}vaS4K5cI@mS zyoPh8f_$caRi|E~#%VZ-T#i2~j{2(89Op0}6jV2hQkz&_$OIuxR4YsGAdsM)kj0la zWnB*Y%2ugkIzcpIjFWEcBaov!rqSwzk#8kJsM}+p+p6(&RbCr~ z8>a{G|K7hBiF%FEFR#l2W9nVyrG z%lqM6zQvtwiJ#5CDur%}X@)q(;M`ntODUhj&HlJDJEUq##-B1iJyXi1Et!2v=Pb{^ z$X##a-FtLr)BoB1`t}sBn`+!V)ABmw-9P%_AcSQy<)^jGxE)a$_*w3Y6OCDaQK@3C z_JDpSU(JOKP6*H0zdT7{sdbQMfF{PdGQ7zK%X6@JY_*?J@S*JcCRkT_TZoj; zT<08HAE#`)IQ)$~*N-ZihH;vVcxgW21#OS*cX5J{_By%|8p?4=GX-@78m5{qwB+2+ zA}}Y&`&oal=HwZS2ypD`OT`{5Nt_E`o6Ehb5SCsZ3S4)8Zu z97!asf)qSzLAIeV-k;<)m+^j&22=-^N_BH#{X96P>cdJPOZ%-GAZAdVgzWr-kUtL} z!h|C-HusPAYW)xW_{2yt1Eaal1Kh@=c3G)5hN@|g@TfS;!7oh)DAp*&X^RuAh@jmb zS-?}P+3$w9Hb`0I4Pgd0qvBLiI3}><8xhf1qrG^w=Yvv@Zn2Xd zp}4ScU9ekut7;u9NjRYPg2e%L-y2>d66AY9)09};B{U2vc0~Cp=+pSw zBDN7Sr{7Vo4Hy&U`vcF1$K|`Ts+J?aLtarsuj+b_M=OuIMAmtx@d-vHRy1lYN_R`< z3DJXVC_f0tCom9~4&?=fYn5H?XPQ&$_j@AI-PS)6jjFCRn{7Zoho$xfo|{0XI}jQ} zl{aorxpYA<{QS;vKTZqbV%cn}*(9Dl6(t;)aj4ep7lKksDN;+mVzcsK-C;$7^x3*$%uS;#)Ibnko-^Y)ok@0H-^Q|? zjUWWu-~wg<*x&-8Px#c1y#o1(3jVM{g-!>GZ*pjrl|tRdc%2v!^l}4jVK00?X<9yXWF-) zAYlWWv!j26g_&~&f+kQR1W`(OH18I(NMaul62wgqocIQuIUTisPsO(?y5c17khI!| zfFB%8&|fDO<61Q2E)YY@KF9}~v**V9(GRm*2 zI3Jc>wC0ITdz~=1+RB0^Lq>l@YcH%E`Lf*>i02U7nIE7>S5n$p7$b%! zV6xqoh!fO$Lu7eg+Hm}UyhhHwka-TuFZKdfdE9&uh17#c@tsUr6RIvmc&-pfNX#`a z61p^#!6)un8g>70`fgBrR$l*_^1+> zJ~+dXN|2CDkBUc+e$yr;J$S^iKnc7!RGMquEV%XZQo7)0i16pw86TREh1&1BkM8M- z9OPuouZNbI(d!xuVna>o>6eACG0_;{CRSl1&NlZB(u3Es(ZHP@21PtI+1QwC*^r+3 zIN3liDGJ?v!(Bd79JSjaaN#AohnQL~WnDfpFc8LHQrf2c)3Z5`IMDF<_CsQn@$+=Ma?O`Ks;EF6;a4 z5hLyM4$fg9!E-8~+v{BF;wFQh@(aW%Ckv%fJx__?5$*jy z898U~`C1=q@X4>s?X$6!N&qD!8^jz(&?c9EG$}z$WdrG}gCH)c`U#Mf4vmAvE$9XxIn~9xU`O|osyk}L#VxP~9~u8q z`Ov;rROAd*fhYyxp|qz+S^For*Mp@zMtS>l3(PcgqL^=Iq7bwCQ2`oSOw- zzz6hY6gUmUyx}d(fHlhivrm<_R=*pW@mz{ITJw;+NjO|%A(XR>aUDLYpLzg3rk{GE z9N5n0h&<7?RssJinlKP=8ZhnA^#fch;Bd-U?Ahhx7NceF-PCKB?Nb!&av+_zyH*n9 zK}U);B6P2DC&1ORmkhwM%R{3B@0lngR7dO?7%3-%an8Gm5`nP(*qX(fzJYbGXf z-m%%ZR%e1p8Xf<;C(Z2`2zmE_OdGGGDNr6oL#Qe?8cW9rnZeWcd2@SP~UOxXiHlrN(A(g@d0quJI zKO&AM#-*iF*2VOBqLfC>3k;z-(u&nfX&&Df+6ib|X6x(*doC)u(~Q@ju(h%E5?)+P)X` zm__n*hKmIXGnD?SP;aUK20X~bl!zJDL?P=!;MV9l!vSoUcm-jr< ziBx>*ZfPY=em`-%y!6$n*~stN2KJah=QH}8H*eRTeo#ocOTNn#ToWwi*uaE;J_FT9 z&#_GPKDFnIA&{`V!b)_yS|WUjHRgH9O1_%v>h-iQYm(~D?Y+D-Z`YVkaf>Z#Z_V9q z_Zi6sz?Of5AAys$*`GEoa(jDb>x3gvXW%}2=t%8KyryoX<#Q9ouw}Yi5(bPEy=+$8 zZI#k8h#hu{?Yt!Jj*`zxG#aJ)2r)>yoIhWNHF@4VpY9)3)Vc_lP>$cfP$T>F`9S}q zK5keJecAiI_ENd+F8<3*ED!nHQEm-FE^5pPcu# z>1m^Ee3=6)$Mz)FM`+q{qtmLlI4V4wth2Ho56Vfo36Ev`1PJn=fS$a3&MY5c&iwMijL0mwiahHj>Q5Qh2$jI%w4LpDFI)CT|b&HtIqVs`s<&b zt{dM^o~zHptj8A}NYnGrLc}TtPS|Bi^d|~!FG+$&{otpopGDsKgm?=MLkBR$X53L5 zO)hsTO1N?3JMG9QmL*WZ4D^t-qF!EZ7nK(F<|GQFlkAZ#sMwVgeEFVJbS10D2o3u78mOtuEjX7EoNoca&Q|Mcv3bW@|3lg!d*X?mjcK&1FWVdGX zL#@Td1Ls2nW34Q$2^aqL_*ijaj@6Hz-LJ~dFYp5X*Uu|C8|e)Ol8=+U`|;;&j+M_i z^Xk;Z-t7Oq5T1xM*nA@;>Wrjjze zVAMb?N-^`GVRCr$71Z>)3*^L~2!DQJ1BLGmg$G0K{E&4nBo)$S5|CRNU7c0_-=CYi$ zkj-wJa|2T2PMG zP4CuCS!0fD8nB&s+t_}iIa*XseA$Pvn_a_?Y&JO#*jj=xyc2G>@VZ-bZi+NFGTA7V zkcVNWX2fzlq9R$Q8M!npO59ByV`>6A4ivuOF^YP{B&Pz;#4u_au06O#709BBpxN<|16~F|}JJE|TaL@Q`96^ruGtfeu*Et%-+p168ufr|GEzw)=U= z_K_9ef4-L6SE%6bnV@W(?({zhGB9|WO`AL}oP0jyXVPJhB!)jn)Y(rQoVAP%s#&Mo zr=w_5BuKmLa)1oy+b*x$Sac>l2Io6D6^*$z(oFEEXXr*@r{)HGmqv=j zG6T-s0}Rv`S9b1B(na&@q;|=RQ8t8)3kqT+PlX*O97gcp5DiRQXmHMUjSVu*8O-+s zDp|78FtCU-k_Yvhcu66V1IV%Ezaz2UQK5z%mdcrLNk{vfW4=;mfrekbsmKP;6_A^L zD_m`{3BBD|GIT_5j!%cH;w-A)I$ucPEcK(I!BSE%sD5H~D1eQ=UMWjb*{yWPlq9d# zV~d-XC4aht{(rhKMGz$pPr$f=F?vcEyOEjtB7vgg*$^uOMe)f-xXET6tae>J*``E0 zhmi_@ycXJ5VdW#!r1xJenIj;sPnDp^u`EwdT0KE(ww(mCS_SdT%OSgFPd1XS><^+D zERV_X2Jp+ve05dc8`X*G_*Ln{Vthc0w#rS>DC---(~$V+Zm@Z3q(8(Krl;b4E3pn9 zSn?ZLqv;MMXSQEL;QpRW7agr9IZCha+FR{NUW0O z`i|%xrQlal`3S7XsirQDm8%I|=?^(+)8D0hdCM+7(Fw8T}bRsJ2rsfY39zE(tY&J=3;)C zZm~ghPDwAYOoEqz575DaqfTzDicZqRjtCulcpVeCUX-C2AIz4q$a2H98z3wDWgMU? z`jyinVt81fP}aI0jHD*b`e$n=w0Xv`2(eAy{Q7op3HcpQ|JXQ&Ud$|OKR^7K9yWv^ zmtOeiEcOw=9E1<&7dDSml>9_GaJEBCYzERs%4X&ZgPTy`om={iEFg?v8HoeW6Pb_{ zmlAFg$=zL5lvYA`c~KN*^}dZByzr4<9}Q+-_}u<5%nT%ZL<&j=oes-+Qy;o2cj}G2 zYDP~N*o;S}vl9daQdc)W0vovt-0nolXy&8@!QS+bj0+(ftOF>VDsiGg-u^51=7Q36 zrH=&!5=XBPIx{u|&qw0C9RBI#X{xb3Ua`H0#c$t{jn9~-cf=1fKRRppHI}A&>$VYm zD^EPU*BOrLVD1_<9H(QWku?Db$hU@QD}(8Zf=2v;u`i5t`&~=~gfF+d>FM|4V1CpxSTupebzG9UVXih1)DsR$gK$kbI*vs$ky zgD4N&u53@MLHj=}^@5wU@xNlow(;*3TV$&bGP$BtHjbhF%;$(lnA543hoxI$+b6ys z_8VQY2yh|3N$cDZ@_$T5eL6dz22Sq3cuzfEa=8zS_~6&|Ip=rkqFmtE{10CTL%|fA zXj3=2iI?EWf$i}R!T)yVPbI@c8-ZvK0X53=Yi{ z?R3qSIg-)f%L7jg6mn-6=0I&HoYdkC@ND#C(xB{|5gZiu(urKPoW)itPO792ouy%>51jJCOEo z_{m@Je}~onmhpEq>EH9G`r6*2h5zzV{EhzG{Qr&qL;Dx{ z>t#@s0f+c!1kBgR?Q8Ga=zxG2fW(;PG*qo+MLbN+Wz4z1yI8q6u+wt0%P}cBxH$bV VQLtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zvDaEKFf`cz1(FO- uM=co`JRBxjaX5v#xjTmh`JA-_DVb{zBpjZCNQSpAAabuOkZ@>q1F-=e|2wV# literal 0 HcmV?d00001 diff --git a/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt b/project_1/project_1.runs/synth_1/lab0_wrapper_utilization_synth.rpt similarity index 86% rename from project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt rename to project_1/project_1.runs/synth_1/lab0_wrapper_utilization_synth.rpt index 4bae7d6..89577f2 100644 --- a/project_1/project_1.runs/synth_1/hw_wrapper_utilization_synth.rpt +++ b/project_1/project_1.runs/synth_1/lab0_wrapper_utilization_synth.rpt @@ -1,13 +1,13 @@ Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -| Date : Wed Sep 27 19:10:23 2017 +| Date : Wed Sep 27 19:47:40 2017 | Host : Kreyshawn running 64-bit Ubuntu 14.04.5 LTS -| Command : report_utilization -file hw_wrapper_utilization_synth.rpt -pb hw_wrapper_utilization_synth.pb -| Design : hw_wrapper +| Command : report_utilization -file lab0_wrapper_utilization_synth.rpt -pb lab0_wrapper_utilization_synth.pb +| Design : lab0_wrapper | Device : 7z010clg400-1 | Design State : Synthesized ---------------------------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------------------------------- Utilization Design Information @@ -30,11 +30,11 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 0 | 0 | 17600 | 0.00 | -| LUT as Logic | 0 | 0 | 17600 | 0.00 | +| Slice LUTs* | 7 | 0 | 17600 | 0.04 | +| LUT as Logic | 7 | 0 | 17600 | 0.04 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 4 | 0 | 35200 | 0.01 | -| Register as Flip Flop | 4 | 0 | 35200 | 0.01 | +| Slice Registers | 9 | 0 | 35200 | 0.03 | +| Register as Flip Flop | 9 | 0 | 35200 | 0.03 | | Register as Latch | 0 | 0 | 35200 | 0.00 | | F7 Muxes | 0 | 0 | 8800 | 0.00 | | F8 Muxes | 0 | 0 | 4400 | 0.00 | @@ -57,7 +57,7 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 4 | Yes | Reset | - | +| 9 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -90,7 +90,7 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 9 | 0 | 100 | 9.00 | +| Bonded IOB | 13 | 0 | 100 | 13.00 | | Bonded IPADs | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 2 | 0.00 | @@ -146,9 +146,13 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| IBUF | 5 | IO | +| IBUF | 9 | IO | +| FDRE | 9 | Flop & Latch | | OBUF | 4 | IO | -| FDRE | 4 | Flop & Latch | +| LUT4 | 4 | LUT | +| LUT6 | 2 | LUT | +| LUT5 | 1 | LUT | +| LUT3 | 1 | LUT | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/project_1/project_1.runs/synth_1/project.wdf b/project_1/project_1.runs/synth_1/project.wdf index a8d65d7..dbd9197 100644 --- a/project_1/project_1.runs/synth_1/project.wdf +++ b/project_1/project_1.runs/synth_1/project.wdf @@ -1,5 +1,5 @@ version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 @@ -28,4 +28,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6433303030313866656564623464366438353762376137623130616561663361:506172656e742050412070726f6a656374204944:00 -eof:563981654 +eof:2685172307 diff --git a/project_1/project_1.runs/synth_1/rundef.js b/project_1/project_1.runs/synth_1/rundef.js index 4bf8de9..14f4a17 100644 --- a/project_1/project_1.runs/synth_1/rundef.js +++ b/project_1/project_1.runs/synth_1/rundef.js @@ -27,7 +27,7 @@ eval( EAInclude(ISEJScriptLib) ); ISEStep( "vivado", - "-log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl" ); + "-log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl" ); diff --git a/project_1/project_1.runs/synth_1/runme.log b/project_1/project_1.runs/synth_1/runme.log index 49f57b0..827ff42 100644 --- a/project_1/project_1.runs/synth_1/runme.log +++ b/project_1/project_1.runs/synth_1/runme.log @@ -1,6 +1,6 @@ *** Running vivado - with args -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl + with args -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl ****** Vivado v2017.2 (64-bit) @@ -8,23 +8,37 @@ **** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -source hw_wrapper.tcl -notrace -Command: synth_design -top hw_wrapper -part xc7z010clg400-1 +source lab0_wrapper.tcl -notrace +Command: synth_design -top lab0_wrapper -part xc7z010clg400-1 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010-clg400' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010-clg400' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 22703 -WARNING: [Synth 8-2611] redeclaration of ansi port q is not allowed [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:8] ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1147.867 ; gain = 46.246 ; free physical = 8252 ; free virtual = 19653 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'hw_wrapper' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] -INFO: [Synth 8-638] synthesizing module 'dff4' [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] -INFO: [Synth 8-256] done synthesizing module 'dff4' (1#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:3] -INFO: [Synth 8-256] done synthesizing module 'hw_wrapper' (2#1) [/home/jspear/Development/School/Comp_Arc/Lab0/project_1/example.v:14] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8269 ; free virtual = 19671 +INFO: Helper process launched with PID 2019 +CRITICAL WARNING: [Synth 8-2490] overwriting previous definition of module FullAdder1Bit [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:9] +CRITICAL WARNING: [Synth 8-2490] overwriting previous definition of module HalfAdder [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:30] +CRITICAL WARNING: [Synth 8-2490] overwriting previous definition of module FullAdder4bit [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:40] +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1149.863 ; gain = 48.246 ; free physical = 7892 ; free virtual = 19474 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'lab0_wrapper' [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:95] +INFO: [Synth 8-638] synthesizing module 'dff' [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:37] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'dff' (1#1) [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:37] +INFO: [Synth 8-638] synthesizing module 'jkff1' [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:52] +INFO: [Synth 8-256] done synthesizing module 'jkff1' (2#1) [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:52] +INFO: [Synth 8-638] synthesizing module 'mux2' [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:73] + Parameter W bound to: 4 - type: integer +INFO: [Synth 8-256] done synthesizing module 'mux2' (3#1) [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:73] +INFO: [Synth 8-638] synthesizing module 'FullAdder4bit' [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:40] +INFO: [Synth 8-638] synthesizing module 'HalfAdder' [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:30] +INFO: [Synth 8-638] synthesizing module 'FullAdder1Bit' [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:9] +INFO: [Synth 8-256] done synthesizing module 'FullAdder1Bit' (4#1) [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:9] +INFO: [Synth 8-256] done synthesizing module 'HalfAdder' (5#1) [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:30] +INFO: [Synth 8-256] done synthesizing module 'FullAdder4bit' (6#1) [/home/jspear/Development/School/Comp_Arc/Lab0/adder.v:40] +INFO: [Synth 8-256] done synthesizing module 'lab0_wrapper' (7#1) [/home/jspear/Development/School/Comp_Arc/Lab0/lab0_wrapper.v:95] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1174.121 ; gain = 72.504 ; free physical = 7909 ; free virtual = 19492 --------------------------------------------------------------------------------- Report Check Netlist: @@ -34,7 +48,7 @@ Report Check Netlist: |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1171.125 ; gain = 69.504 ; free physical = 8268 ; free virtual = 19670 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1174.121 ; gain = 72.504 ; free physical = 7909 ; free virtual = 19491 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization @@ -43,32 +57,32 @@ Processing XDC Constraints Initializing timing engine Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] Finished Parsing XDC File [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/hw_wrapper_propImpl.xdc]. -Resolution: To avoid this warning, move constraints listed in [.Xil/hw_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/jspear/Development/School/Comp_Arc/Lab0/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/lab0_wrapper_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/lab0_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1426.375 ; gain = 0.000 ; free physical = 7986 ; free virtual = 19430 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1482.059 ; gain = 0.000 ; free physical = 7612 ; free virtual = 19194 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1482.062 ; gain = 380.445 ; free physical = 7758 ; free virtual = 19341 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8071 ; free virtual = 19514 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1482.062 ; gain = 380.445 ; free physical = 7758 ; free virtual = 19341 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8073 ; free virtual = 19516 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1482.062 ; gain = 380.445 ; free physical = 7760 ; free virtual = 19343 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1482.062 ; gain = 380.445 ; free physical = 7753 ; free virtual = 19335 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -80,8 +94,14 @@ Report RTL Partitions: Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : ++---XORs : + 3 Input 1 Bit XORs := 4 + 2 Input 1 Bit XORs := 1 +---Registers : - 4 Bit Registers := 1 + 4 Bit Registers := 2 + 1 Bit Registers := 1 ++---Muxes : + 2 Input 4 Bit Muxes := 1 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -89,10 +109,26 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module dff4 +Module dff Detailed RTL Component Info : +---Registers : 4 Bit Registers := 1 +Module jkff1 +Detailed RTL Component Info : ++---Registers : + 1 Bit Registers := 1 +Module mux2 +Detailed RTL Component Info : ++---Muxes : + 2 Input 4 Bit Muxes := 1 +Module FullAdder1Bit +Detailed RTL Component Info : ++---XORs : + 3 Input 1 Bit XORs := 1 +Module FullAdder4bit +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 1 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- @@ -109,7 +145,7 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1426.379 ; gain = 324.758 ; free physical = 8074 ; free virtual = 19516 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1482.066 ; gain = 380.449 ; free physical = 7742 ; free virtual = 19324 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -121,13 +157,13 @@ Report RTL Partitions: Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1482.066 ; gain = 380.449 ; free physical = 7595 ; free virtual = 19176 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1449.379 ; gain = 347.758 ; free physical = 7936 ; free virtual = 19386 +Finished Timing Optimization : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1482.066 ; gain = 380.449 ; free physical = 7595 ; free virtual = 19176 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -139,7 +175,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Technology Mapping : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7594 ; free virtual = 19175 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -163,7 +199,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7594 ; free virtual = 19175 --------------------------------------------------------------------------------- Report Check Netlist: @@ -176,7 +212,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7594 ; free virtual = 19175 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -188,25 +224,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7593 ; free virtual = 19174 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7592 ; free virtual = 19173 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7590 ; free virtual = 19171 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7589 ; free virtual = 19171 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -223,35 +259,41 @@ Report Cell Usage: | |Cell |Count | +------+-----+------+ |1 |BUFG | 1| -|2 |FDRE | 4| -|3 |IBUF | 5| -|4 |OBUF | 4| +|2 |LUT3 | 1| +|3 |LUT4 | 4| +|4 |LUT5 | 1| +|5 |LUT6 | 2| +|6 |FDRE | 9| +|7 |IBUF | 9| +|8 |OBUF | 4| +------+-----+------+ Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 14| -|2 | device |dff4 | 4| -+------+---------+-------+------+ ++------+----------+-------+------+ +| |Instance |Module |Cells | ++------+----------+-------+------+ +|1 |top | | 31| +|2 | opA_mem |dff | 9| +|3 | opB_mem |dff_0 | 6| +|4 | src_sel |jkff1 | 2| ++------+----------+-------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.391 ; gain = 357.770 ; free physical = 7935 ; free virtual = 19385 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1490.066 ; gain = 388.449 ; free physical = 7589 ; free virtual = 19170 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1459.391 ; gain = 102.520 ; free physical = 7993 ; free virtual = 19443 -Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1459.398 ; gain = 357.770 ; free physical = 7993 ; free virtual = 19443 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1490.066 ; gain = 80.512 ; free physical = 7648 ; free virtual = 19229 +Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 1490.074 ; gain = 388.449 ; free physical = 7648 ; free virtual = 19229 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement +INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -15 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +25 Infos, 6 Warnings, 3 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 1464.391 ; gain = 375.352 ; free physical = 7954 ; free virtual = 19404 -INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.dcp' has been generated. -report_utilization: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1464.395 ; gain = 0.000 ; free physical = 7952 ; free virtual = 19403 -INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:10:23 2017... +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 1490.074 ; gain = 401.039 ; free physical = 7634 ; free virtual = 19215 +INFO: [Common 17-1381] The checkpoint '/home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/lab0_wrapper.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1514.082 ; gain = 0.000 ; free physical = 7635 ; free virtual = 19216 +INFO: [Common 17-206] Exiting Vivado at Wed Sep 27 19:47:41 2017... diff --git a/project_1/project_1.runs/synth_1/runme.sh b/project_1/project_1.runs/synth_1/runme.sh index c118f2e..5086114 100755 --- a/project_1/project_1.runs/synth_1/runme.sh +++ b/project_1/project_1.runs/synth_1/runme.sh @@ -36,4 +36,4 @@ EAStep() fi } -EAStep vivado -log hw_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl +EAStep vivado -log lab0_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl diff --git a/project_1/project_1.runs/synth_1/vivado.jou b/project_1/project_1.runs/synth_1/vivado.jou index b2735bb..d9d3596 100644 --- a/project_1/project_1.runs/synth_1/vivado.jou +++ b/project_1/project_1.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2017.2 (64-bit) # SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 # IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 -# Start of session at: Wed Sep 27 19:09:56 2017 -# Process ID: 22570 +# Start of session at: Wed Sep 27 19:47:13 2017 +# Process ID: 1794 # Current directory: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1 -# Command line: vivado -log hw_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source hw_wrapper.tcl -# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/hw_wrapper.vds +# Command line: vivado -log lab0_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source lab0_wrapper.tcl +# Log file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/lab0_wrapper.vds # Journal file: /home/jspear/Development/School/Comp_Arc/Lab0/project_1/project_1.runs/synth_1/vivado.jou #----------------------------------------------------------- -source hw_wrapper.tcl -notrace +source lab0_wrapper.tcl -notrace diff --git a/project_1/project_1.runs/synth_1/vivado.pb b/project_1/project_1.runs/synth_1/vivado.pb index f1c3d0f915daee3702227defd8c54fdd3246e11e..14be96f59609a072c4184635c3f69bc6271f646c 100644 GIT binary patch literal 34994 zcmeGlTWlLyb)7WH_HJ2~>_Rz6az1dV-wF+J^(eWemQ+avu=uTrtt?5=(lP)5~+-q6en>AuK zwpuuA>20j3w}s8ShT3iu183W+Vd33s@kK!ts@j$!2w72@tC70e(X5L5W@ARlilV%I zU;D`Jk&kBXJ3fUf=%H&C+}vnw;ow6k8q=au4&XuWmL)NG_op)G9m|$Qnr$0^rQG}6nV*(L;ovbV17W{C6*$}er}O|o>yY!lU3I!AVhrnj4}If+1*)2->>&e9|*)nRjb?dg`aw`w4Fdx zvM>a3zuPZy!NF5*AO7G0fyyuh{%ab^=Q?drXw@S495X;SKrER)*Y!@Th8r!=tJ{WZ z>@k&QLMn;!;{El8Mw(kqOL_vmnv>GgXClUuDtAKzJ7?Q1fEn?dpH89sPCkOr(bLOS ztFc4Q;Q3eWs9J|)!8Ym!sht#U0qwaeo$$$ESgX_;v2Q}zHU8hFva0i~+voRU?p-~x+fgjohnVUZ%DP>`CMpw-}bG$r|*;1C` z2G(qDcWy)%q=&xo@jxPo8`=fwA#S`pkO%^Ql|fVBQ5;*Gu@@-PH#6wd8E?_De*H2& z4|AYysJ5$I!Pgs2f=`=gD!AJ2;Imi|D)gU%--3yzwoOtCUd-V&($v9oIlZ<5w}5;6 z0(>pP(kE9c$Z*e=3hvEWtdw$+Qh?8OgAm-_-ZQ}^(%_3?sVupdcN&J(v9F3{rKq6r zd<$ZEZ1)%w%b#Y@37aJFs$QfEFvrKIE2ZPG_9)0DSHmzm7M$n9aHaMA`VA2Yyrs#d-y*8`{+|q5sOjKz#*I5ZyC&+}q*o z&xD@g{K4SEc>*12c6OuaJEYMp%0rCi;VJ!pM^yTFet|s5sf$T}?)Heuj|LZ$@p4FJ zLbKfW1}9~F9LF#jL)hWqh@>B33=PPrc6b1?K+%-J7405|Sz(dGPpkhP(a0o-C@>(O z5(5%qu^|q8aK!02CH|&6)wZjMiq0SU?w}MR5LNEOf7`CJkJ4*XWNi`!Qxe9#@Lr&kI)_ ztq0h3FmDq`|9wy72-YfJ%y9aD1!Sk$c#(yUu5PQ4vlj6|g^&)w5QxzfdWIl<9^XW- zzO1V?8osiN5Fk^b9(4)gSN7R|0G z(DbcF73+baL-d(4R4dH24gC(OS{pNBHeaMcU=Q5e(4*+8p;w7%Qh?8$Tg9t-%d`xL z?^$-)#k8a-90aK#0R*pB;w*aP5>3df8VlD^(E&)LwFPNJR>z=}H~{$qP4#;KK9)fb zK_JO{{so#Re15@vFRQjFmKPsjB_18Cp_$S(bauE@;KsXGu57NUCWNVSyR~Xm_8#(m zvozmVhMVEPKR3{_eIfvf&F;=2TD zO<02W?l$GGL6~&piwwcx z28UJ-1Da~pg75rCnpg$2s06cHpu8o3g)P34EPJveJ-g4LkMPqZ^rqZ%4Q(kHN8SW= zX;ZFJaS7i;wMde==-oy1W?CttK`69_`>2yG(UOw)NU~uSxZ0!lqsO6=z-%<}bwh2L zP%PAB1ADClhwFuY>1b^b-TL!t2=lv{LfxiWC&EMEE9{mm1^4 zdZz_821*HVcx*li8l|BKI-yAt}fj2HnM74n6otSZz0rpmr}oI7Dqh zgajvrQNy4Q5$gj3oxW~f=0_V=er(R8qe9o!P#V;-=rs#M1&yki6u?iPqGx!DWc1iM zVyO^#VF?zWDC%5;k%`u9&#^A?;2t^!3VTa-<1kz=2>)Q~uv0oyF4KnO4 z{LjEbZJ&K50kdf6QZjTF=1+irmZy6Gy@t}gPPS;s2$CO(jEhFkBbB~UT;c;@&thqG z;yLIZYdPox5ntwshlDI8eyy_$mS{x6xpDCbrwBV>p~iC%!qZo9h6SJX@Dh*0;nL8w55pRk!U5U*CeglFV{gYB-{J$$=~j%^fG0sIX~XV|x;zkT>bx z1D++)vz7xHjS4SYC((lrr|fFh|3h9KsO@)nMN38RF{{RS9$Mi=Y7sr;u!-ALd5`%O zwg~sYSsO?Lli5WpyNKqFiXxmrE9=W^@Qo<(UtzesB9^cs*ze>5mf*+Z9%c@^R-Wc?40*+jZ2FoKj&3nc zSv7Ri#4B|B7wvUGGl$DiUc$vw$6j92XYb#80@T0Apl|sB>Oq(cQGwo~4KL|Fd?udm z>f|!bepDrEg)<#{haJa>dEt&Y;f(Y+Q(?2WH~RQ21To zT!Io*CP%J^@@3jlCse#67AM6!LG_^#aqkuQFe%=lTp5BP>1*ZNc|YzHdMz_?m##n& zhzUiiP((E`Zi$PZXL&Zlh91Vo3z`b8gy{}nyh5N5pWq2&JkGI&l|y4kzgAq}#@%+XiV(x@{z=Nx0;Y`E~20rP|vopC&D+tE3ypy^RJ5Ak&|x z(#PP>J!btU8T3`(toLK?#LN$6<|l#AN#K(g81^Z_M#XOFA-6jX&2Efy1A+tCEn(YB zfS10-Ngsnh_Sih=9{S~=&5Pk|ItDLt52Kja{X2awr;kB+6&UL>T!kG9lhOjPND1a6 zVfSy?7qc0gj^;!bREfpOChz27UgKkZdGt8boQqJD2&Wot;nj|5=}io$vl$I&T16(h zlg!jV^-McI&Y-XO(@s2V)6t&D771c;(ikXtpu^2`V&@$Q?M_rDnWG7 zQ}VLed?njNZ<0qj&R@IboLza%J-8FIcEkz3O8O4yGCz%;L9ch)c&un@^@SB3wxB2O zKo47!enr4ZiT^M(=-T08gCUeghH2ChhIX*H?FSlTzIU!ufcdKgdT`z_)h#$#Ct8NQ z0#mlr&jSJ*Bb}N8_Q(A&G!(ZVwT()57502saa~ak9~ZWXue);N!qZN3XfdWkOCMai ztkXmH@{Q~AKA_0<_Cg9paiAz8;KEvNP$>C*;F9-nDL7E15pXFmC>PGHpLd{?M}Sgf zP%gnB?E^}QLAl~X8G*a5(1StuN^D}xjwdhi(N8y6Z|`+Vwua#}0EbQxC%6131~;$l zISkU(P7gQejLw=7bg9B7H!uo=JPa%yy`|@MvzOb^-To`bDRDo-9VN!l|9W||3BB^W zwEY6S80a|3?FZ7)XbN)01Gy=IP8IPCj#G?%Nw&YbbIHICr!WKJmj&S1`=s2Jo70^tb3%5{bAKL zLhe<2hdKPpIRJ;Ws&p9w2OL0u`{PIK(LB1-*imb`Rn?@2(3BK&R#(j7RWWA>MsRuK zh>}&Pta}GCybsL-X1=_cohm(Aqu$Z9J%(I)F@PL2^0Sp{lO1poHaGSYxZ^hdG8WDG zp?Omf@&2v&#R&EF8}&;OjHTbgS;MgHq8QOSUwQ=1DT0_2 zR5O0qZ9>n%pz=tM9>#DC4jh016;E4k!H%tn(*;RfoP`l@>MiL_2vzA(Q*9kiPYI8v zWTMUC&Mt8dZrK!V5S387@LC$Y=Kb~09+ zrV*t{o3tzn=|MuUY9j<`kGIm0T9 z7V)7pefB^n{T!vZg+vR|=&fE{$NlXccg|G*KbBaKXaGQU&X#S*jYjfG_G1 z6rN9dOS*O162c4`hZ9sY{RWe{!mxYF6Qxr|wlc&YHm3BkvS|Q{M3DwpceTObc25(5 z=ASD2rhL%8^LUGhd$3{ZWy{Fn=P<{0JC6v<@wOI8Wi>@5=c9U|NDd{16(j`~`RGTZC_tYra4OS5)spdZaNr$gz@A?Mx@PeAiAJA z7>ue9Kzo8os6|`Xr*p0@0@vP!K5&2!6viMB>{=hTs*-D83iB*4$PZ0WQmo8LjtR6T zC@^k?X+`B74{T}gm-Hs#_@EQck??Ew_jr^-=j;O=8N_hRUiQs`c{pFSD^GhVub{A^ z3fCebxRQv|DNTXQe1wujcpgRUdx@Q>)s09!)FlcMtIArvIvi0%szb>(i{n^Xc5mMo*$+k)<;`gI& zlHRYo8KP67LX?Int$scGuj8LK23x(~FZ3#zOvqCFBc+oG8EJ~eeuqqU$dG2=I{9}~ z>y|>{*2yK(dF*|pI>wixg5IMsl3Z_wWLZmmI>trTxJ2!X(4 z(4HT^(J0Ijc%x#|p1$5!Uxe?bdf=NW|BhoXyxxaru=61%PYcwH1iPn~n_pq5PAkA9 zz3zAx6lQ-HT#m)zt2222lV|k=c;;Bqs_4b60exq>Sxf)AXX4BbVKTl52B zzJ@zz^G~GVIf0c0x0O)}YK0bBB10=f%>4ZQEDZNY>j-Vl=Kmk!!nsRi0ye{kvtBzf zo1hR+Ub`SY*8;=mJ#cg`WPfqK7s1aLB7R;{B=V<%Od!OxGk#H(S&?igvK4(~zo;o0 z((`S!L - + + + + + + + + @@ -60,7 +67,7 @@ @@ -77,9 +84,10 @@ +

2{1zBUr_5GT z+kBO6zwuGj4v;+Kleks)C*s4%A3;su2KP^DSPKze6xCSZ2CP1ENsv(!@%gY+FXQO# z+ln~1No_sDrN}CGltBxO*BqK50B%%{Be_e&x3B{EEB^uf{AEKt0V>P-)W_3iZR&0t zOo-^P=2L$eRp)M=;yW^9lsm$zUz}nqdo9Z2n20d~4EmC%7#P}=1%L5v{^Hoa>!`mu zApDcm^=+d0i-C1H%0WRtGmj0}b|y&)c<0?sVtqE}mz{U7?v_po2Blv%=@eNWb0(E5 zbvjD8K%Ey3IY}T#*{h|fLd&KcQQC(qhn$vUo->$EfTBHz5;PaqUU!i37Hmw&ygqX! zQY!EPTTd zUr75C3q?_J4cMlJYy;P45)7D@1pk*8&>nYP1oFvUj8sq}6mZ+MsSCqyZ{Uhu_2jZAcWpqzGQ- zj)-6dW{0f|v<-;?x+W&Zc*StMD8_O}Cg3V(hX7aEb?5I$(3V+pKnyz`wy@g{(f^$= z9oAtlA#l(wO)#S%5g#xtkjqMVeI_Pzq=|^Ni3r(aj>x|`ApDb3%6rW)|9f6o>Iwzn9yy6}=pkICQ`|G46Z)B`@V0N^O>Syu^ZJIyix1k80 zq%67ANrRqVNM@V^514^tMf(~He$%Xl$AkWPyTI)GB=L)(W7>XsiWDo$?sOzO$5B7l zlm05rzzWfS!fecaTsItRqH_?`o-OfUHtN`92cu8FR5!tqc#hcb#mjmDGKvdzYSBW61KZ(%HE8a7qG1f?gY7iUn5QtWf= zbA~!Ey3>M&SQs-7uBwqHU&lm(Me%1VP|(KTbKA6-Vyfpj%fkp5%#tWQD00Yn`7|tb z!JVE2@-G&nf-w-UM!Gx>uKb7iKG;WSb6_BmhDOB7`^OJ#xMcLn2l~wcxhd;)@C}yB z?NdMM_?g2VUMH9>IO@lU0M=REw^-Y1tjXsN} zG8fB|hqZY!o{WL?Ri>pNiP%d~v}vc~ix_FQh1W9=oQ|?BK_*#J<$V*8a2M%A{;cnR z*Z>UM&h@m`!CyH~*Hvisfzt~!Jqa{j8TCBL`B8w{Tf)x132sxTphY^4nj=z~68rI0 z8>biij#58UPpc(Escd|_eY^v_{XQSQA3)VaN#I!)RyvBHO$92LNbj`2Taw&mA(dg+ z)$F%%+RROnJao@(x;|{RpiLam)m&FdyC3ResV;;*pXtc9tvo0T&>DEKaNo~iz{Uw> zVGV8#YHZ3FNIiz6j?KgM8^A<&7*&bVtdodkPv8bCCzbnASh+AIyH}nScchO#*i{X< z^Rh^-MOJX>0lPUxh*plCtgM8eDyQW>Iom{(;JjN}5N>?d9yO*x(0 z4+|^F_r?Sl7%4qecAn7`^Gzpx{FPGn%k1KE;lECE?7li+UF0b}W6s!R7C0_pzWrEU z9%-G$ymWgk<&;S1*1YyT=fSgORf6$gD;e%0x=FW-TcVpGN4ZZ2-J0C!U0;jKlot)U z75I-@paK>Hnl0YWy%Ib}awu!1Z?@tIh-nF)Q*kO=R@UAYrrTF?2+w=_fQI)h`mb2a z%CQA3#=s(BYf|sU=#hu2Z-7P~>SFM+4%kNIc@l$i9_~Sy1m=!fy@&&-YvIjBzpQ`- zXe!IWwPb*ubl$lcI6om*NGjvDtNkE059xQOvTtHJfOQENN=Ko4WmBM~i8!zyK_~;T zyo)|sU^+i+G4*gI1eCTTPlu_Eo?z_Mq@OLIr-NpuS>k9DzR{T&dN&8% zL|sUZiMG2-&OYT$^$30CH{YaIb7In$IK= zn;#izECS^>7Do?f5`};w%sa>`*)4U%se|IZ{ER6n785A6WjDL%jFaIKC|)fOgDZay z70Ae;DIa9yZj=r(sz%x?*&)pCa*EDZ2mE1G0oy3HH8B5*+Pufi^=jln$^3wJGqudL z*`W%bm63=`C@j4kPyFA4?qGLLvxspOzWP1dggoZ~8=B1QQb2|ux8(c9&TAgMh<|e zKm@G84L8A(51%JDuZeB1zR7R?*3Ce<^R2M`w-@O2PgPv&`Z{Zofe4lkWEtno!i2i> zhoGa-r;g2tn`9}iB_4q?%6Uyo$u9;-{)Eko$$x0Nh59+cxU>1_Fea($A1x?h6oQLk z`I-Mzs~x5S{M{=LBwfs63s#OgOwAT-7RG0~m%9h*DEa4lW9U8lH+VDYJ@^C5U=4hr zpgbmr_fWRRgi3@o+_UqfoeJ>zz(v!~&$^7gP;=(q=hPM+WC%KvqP-%q0#;GE7wQEb z4~1+-N4QLc+=alqg(8RUivxd-14v|Cd<0h9B90coxcL8{Wl=X8d@~l_oFj!VK)WS| zSA-k&dWWSM3^LJvX>e+hSH?&`gd{;l#=V zr(qehiLSrSASd1z^WwmX3LQ5mKowe@87V;*Z*{5*4}D8?p`GNT{@Sc$eeP zS0^~e!vwlnJ5ETZlf2?QA1eEAG89i6XuuQfVf99WsSzl%c1Z}tZM&D27Bn_Xhvv+X zCSHuc`}BW={m~2y;!J9tC(z}j*lADM#K29PW-=8TlSCr^L0sxhEUE2lQTx7)*pRtx zQ9N+_G{flv;HiLrgzO49AP|UW{}4X_5oN=#(~emIpdIyP%IYLLbz~(251}b^=#Cso z?-7B~ThRs7O|v=E3kYVwj&Cq$$_4AIg{!BBnt%MD*%aA3H$X;TqSW2I0y3-cvCZ;q zcq8$5G?rn`*;3B~Mbu{?QSswx&8R|Kzgr&A%0+5%oc{WFUgHPwxh)Xn^n3^^(&c>mDNZGJH17#K8Hk>3LopIU^0j}i4+Q=q7 z4y(j?A3c~$1Xr=?xB{PYvn-y^y1MNY1S#It?+Bh!rsCS;E-8TJPjZid2}VkIr$GyN z$sp39@pF-eK)M5&sw%EICpW5s0rliZ=Vh`s24pt|%C6nr=TR zrJtmaWt$5&lBBE}Z0Z&&l6CWUbyd;2^6syGFIu z*SAzS#>Vr(My-{dDh-Y?l0WP+hSkagi5IyhDZtTHeexl3l_0CH?bGrS%e#Za`RXiu zU{A@?*-ty5B(^Z7N40SXb^A%QRhqoejWE^Ae$4|aI9GMIZ7)zfvZG@Yu>r2=C^?)M^DTi#X!@}rNjD@v&v;*rFQ0~IxrSy;87W1)$fSitGYSo=XU61iR4tx2N#=%fs{=f;+d3J zMKK4p8oA+~WZA?Xi!gM1gvnw&m9fPLA@#fuI0rS!y8ww&ceQ6~(b9*_S##F01rJ+i zZRhiE2AYk9w)ANyNZgT6>AT^$Ke+3ow5_@NO;)=*w~C=)=vlT%z~Rv^+ynp z1Hy4j%3QR0T<$h-=BpZ?Zq|z!W=1mxmXGMA_e~f$c~$$=&1>dW4VvzX1eDV|RZgPe z)pZiI`5mVH@RNBau9|UR zDnB!aHS=(Xyk3A`*4L#0=Qp^4y4FpEygd^Ef3KZ`dq*Vze1t-7=5IDT0fKRI1dpIl|Ut&{O(#q9j;pOhzl zuRrVt4@{oK7i?1fGYhoOn2p;Z?b}4X{RcbkVu3fuWLpR8`ry0sivbE}EA*YDF2HC~ zm^&$emGEs~tjnyeZ+;O;e_W5;-$Bsh`ml#1;=();W^Nzy&1>eX+knX+1&`a2`{~Q? zHgdyJ^P$~ejUFB$&~LN*=0gKM?9?Nz^7^F?OUIi07z6d@eX9qwN6a*li+4@$S5eFG zxUtUJzVu48TXNbzP4am?qiNYKlJ-osj1+TBT4!ugC>=D%a*`aZAz&7yT1=f@yP)Y_&v1J-D1?Kz1 zHepy92CGr|{CA&TMKezpg!i0hpM;r3A{Nm5DSh*9u2(}g2VzL9t@`t9Gi=|*P%T78 zm}ghH(WqRjmHxptMg{Thm~0#|D)@HAfdafIP?jqq7yI_3LhGLjJ>Mc8onVLa(}%i8 zUtoum{Gc}9xKgmT>jrwDKM?>b3HEAW_&D^bY8*}SWwU=4-VyOAw^UkRVk+f^nbSVx zl75>6xqAMqv~#V%f8j^^ap>b2%TGETZU~$Z&rSyfZiuIx-%qSs*Z~~L1WGz)MgdCd zh#-)1muoVLW^9=cGoq<33!X%ry9%xOxpkQ(U#yrRskh`>jmDqL&Osk>8DI)LBIAxQ zJ2)i;_cS8wV>##l?Jf9>)_!k!AHbRNU4S3{;OQU4s=<$DnK3n#}<=(&$tDd4w4v z2=q_$2RA{tLKTMTXU4(*oHIjW{^$IW-1=5PqHXdeQJ~nN?cx&jB5|%B97DeN?6ByL zFuVFK4zRAJp^iBUIu3IbH10K-x0#2%t6$61WK@ldiKhST)21#~0vjC?j74hlwIHJg zDV$Lb)m-T)VH!JlLc`dA$&M|5UyuP|iV&adVeMy)=H94T!W3gZ*{29JzY+z%K1~LC z!5RKA3?DdBL21BaeOT}pU|VkhR>azYXyGYcu!$!1YbwQE*?i7~mH5IlvlXMKEjxSC zu&H(_1Q)G8c&Um2lJ`n&w`TggD|lHqFK0Fxc-E3?TLj=1NRugc>|gu|Yv|bb*|6bh zQEA-k!nl4Hifa#%RK%yAgPu7J?;HZhhznhHS-7kAGd6;{ZB|Z88_~ zr39-?sU6MgV3i5~`Dh3|o94$z%I}17w9U_NZ$-gBl?0qo{sndBn56tG%E)uDL&9z~ zr@>Qz>&hz`dHT_>A!?vUIrza@pJmD6r~ z;fXY#F;3F1;se-JFcTjxBx#q`5H|H~!1<@G=p!M!{((e_9m@4TdZZCi+e+B|!+vj( z!5p?Z2~*R=hoJAz3|ue}n4 zW4pYmA=*};|Ga-HNp9|CpXe=@ckcnt!1!o4DD}E~72GxY@sXM_cyRmI3?G2}e~& zoD8^j!wJyk?5#@7|Tx?9P544e^&BN`5YwS&LyH`K+~#?!{z#x2RWNXha9_EG2#J_Ygj=ieiqft@kX z7XqB0YChSevI4aEgVw2_7H%yB2@Zn?sm~9>sN?-jxPN-2_gX9P{LFjNyWYz8Gb6Hh zT{y1gI*Jg^0wyo;TL1#wjx&{o!|8 zHSLRWL3@R!?NNg?C~l{Ruw(h*oGKdHt?@7S>5ejaXaOfmjA`@^!eCCRP*j3eQAyit z!*3Sb)3xtUStNBtzinVU6N9qLud<1#KuZEU?1VhShAo0#e1DdjX-ztFI;v>)NJ?Y$ zwv5Yn2RwnE!@}*CH=BPpMrB$DzL{@H3`xy2B%2Zbq-Yi>uQB>Qs4-b@f`#ooD$bEf zrMWeEW`<>EC*Vb;S=j#b1af5Wx3>|B26r~O@E{vae}^trV@1&d8&ZYyVq}#`QFv&z zQEXSc{cA}1a0P@8^Miv~JoM$4Ybd&nI#pD$f?1cN$%9+;_<-!Y?~9Ua{CB$QtX7D- z3^I%)Kll3b78z*H`oYib=%-Tu?z~WgRPzI|{05CQ@i2pEyy>B&nH`p3i%coXs)#07 zu?9&l{0WX@=Qf~R}V zB%a8W>MGj`ejk`pvV%9hd$WZGY`eZK!N;RVv^&qG>bkL_QKljAdb*cEK=x6h4LQ<# zHHu$FOpH{HSw%qhUnuryv3B)Aodd6)&5&0ZNpbJIhm4ZJ;>kO!sLJ}y)-H&y&CsiT z;^JPWuM#&?JB*Jr^-7O3!d_8Ug>7pKs_t7!xc*d2S`ZMO)oQDFs7Qx8P8Bv&G}hcrMb!)f2Z2} z2KjBVR}dlkxbQJ#=4Zq&I*l{DODC3b=8u?2+MX!!xE->r7q$NzsSp`%O7Xydk>Q)jHIc1$kReD0TVb-VZAmYn7kgSmemtY`@~;de}a^iu=Zg zVGA6>STE%cW3yIvV=lpaS?%lp3o$G~G4zZ&z7_Yn7tyUXYK_+dslnzfCoKveJR;EV zBnQY!e~t4zVmbHHKEVdF=*NEX*2bca4=DKwjc{`!n<5h;Ya(;7j-JicD>ZZZYA3vq zec8;3w2##-y&MxP(J+^Kbl^(vvHcBEqu89W*;)sgek6~HI@-;8Qk(Teg-A@v59_*y zT-J?Lg=#Bp1+1z;scQsa-J!RJE!>t`7Hr{d+Nv6s)%CEDNg_x39EmHT2a%ijx(o|- z>?$BK1w;litGaF;Du8KmH<8Pz&@=1*B&la)rKi5vnKibz6WLQkX`L7&(0!7d_@zuS zbn2y?Ec-TDKDB`CeuuN?&c4d}e~~)T-22*eT)n6!ZE6jY329RnG06k_2sDU9RHjE8 zKhc=AJBt&QOZ^X$P{mIx-~CF+`hmY)S2<4dzUOyxwh29Q5~5<8<_EbPsgn0u<|IpO zSmuJ&`UrgJ?5!CMOLew{hr5NVF*+D?NDVhMNL!{IW!b=Iakb-*qWllS*bb3c_k&I1 zxDeS$@(ku{LTe#-y5A|tiLh)H75MSvaIXoORi28lK=i)Tkz-d42>~v}PJy_TY3PXw zIr)8(xY+{4!?ls!KfvlmCh?ap5xdvz;dex*Un5_4v3O0sd=_094R8JQ>NcMqk%$*r zDYfGolZM#DYq_bCll!Va9?hp)(6n1^fzv9r>Lz`|(++AZ+`^7MT9%gm^!|tSM6S&k zcp~186}C1<>dzWs^W3!I)4{Qd0Y$@Z%S=C&Yl!|*kKxtuzAkB)pT zW4AlA=k6V57w|f#*}3dVa6#}uP9#g~B{H9Gtb9~@lGt(9A;}5Sw5RRbal?+7*9?~m z5gBjf9yV*0FU&h*mG6szMhu+t&a}DO4_=0r(y-7QH*{Oo|2vU_Pap?u(D`3D7j~*+ zugcMXJf2nvN`a^tx;U{GS2s73>l!Yb#8vVN@T(YPe5EShQGF))@Gq6|gzG+v&)N!A zECxq?WS(K`4B%qlWjN4L=S>seNzo+)IB5=0&>Mv-*!BjO#`9#D;%=J%rNYb}_T37y zTY2)SK&W10EC4HTeu>#z8~)drvQlmo=TR{^=i`!%*?C7-25dxxV7($o3R3e>KmCHs z8m_33LR}ru^omdKz!B_Cm>>UDr-2w{D!6mbqrx%xROa!TY23Xrf*_WRtQ*G)yh2CMn z=}iHHFu&8A8b{;LjaZNp_st^EYy2*_dSOkahL0Z`J#%H`K;Uv9qB#WIzj2#5-u^F2 z!6p~g+7kZp$Ul-z>qh%ncfmrT#;l596W)wy+UQ{jRvh^_d;M5`EGL0(k{!GYh9Nmp z!E4h_Hc}<9e-r=Cmg*4Un%xfvzMcE5$Fz!3H;LeZC^E%uUgw*ajuM`bPlQ_O7k&^hoPsqG9!b77?l#_3Q}uCCt~}fMw2*Rg zB#GlqxV<)xxgnwWW7XTnJ->dpUU(h_-Wm!i*NEr(+|2!)&A%S!9;NED7tO*=^UH2O zL?K_*(!=nvR{zSjeK!wtuTtL^(i*+xk@9;+c5-E6{AY4|-eaN36>U`&Lx-J<@b*4+3BO%;5gc zr+aE#y!A@ut+kQTi*IH3SBw=A|A(`;fQlp7wuZ65;Dc*$cb6bR26uOY1a}KgaAwdz zkl;>m2oNklu;A{l3GTrHA^DoT``(q8x7NS@wf5}Vr>3iWy6RMQ_oDJ?6EKn<4Pne>^@pqHS`Oj`BS~ZJ(8*|G(+i< zM>qe`yT@LxAqf4d%PJox@BFcjUtTovtFBR6^qisgp#8^`%2bm<`-hZD{-UIhggSL| z4T^J13;2nk{bzR6k4_f;sjpQo0ivmP(@oeyH}|0}l~XISrpNV=@Yj1RCOzz@qAM?2aN2_{xxFCpla|IV#IEt~NfY}gDoEdBnT z;9F}CBuxEl%L&&c6~3?X6P)}3OHCL^reg&7VUB^cMGkKKA3WX+ku)!?w|dpT_WeQ2 zGgQ*>$lOCLHcT4!=Iw&lFYz#(h{dM1%b|OTUc*0-6SodizhlyTnP=Z!uH&Kk5=9$L z%q+fQ*eid&Nk=Oijh#lutr>t5%_)UGOSV8Yg>cillTQa9KAcO8N=2U2D-X9RPA`i9 zvSH)K?KB1DJqsp-Ae1h{3qVI|olzF3c%;2uXlZy?>PhB~;q>r1ydm0mt?-&*rC=|_ zB4iEf17vx!XiYG!7F2_inO2*jJ>)0YT!Z!%R34w;$x{^+8n!?_a%k=4q$5@Llw4s5 zNQqJfxj<}0!rrkt2@i+QGp4f8oK(7pFY+RqNr)y{uXh?Jzm0|`0rZW4I|#zW$;wLW zNHM}t>&q268pfSuXq!bWfZg{Gb4sc-dm+ee?3mW7=nhHn4Om;Ni|wsqc^O{|i$pvG z3&KDXAXiTdlVs7&U1Aq<;@Y7c zG8x41tl_%DtoyxLtf}cOekw+Q#pOl!`-ztif>6_(K(r47a5(LvYWBE)P+1u}fK(X5 zD9b<`C(6y!WxEGUBrN!Fn(ivVmj1oBl&yQQmMw%@)(U4V(rOixyAHJJD1Y={I8-|N zH`D_j8#5q$my9b@#R8vcH+ z?dBFFofpq)OgUMYS7T=cFm$_TV@VszD`#-Dss?avlkwT;gmLng9z= z8E7w_M*rk*YN@BwQ{=ozG^Lh9x#>)=tqXpp!!B$X{@Wm7r9Kb}g+SG}aNIAtc0&U& z7Q@1+X!HClX_qi7E!QwB3%4*Uw|6irX%8`jODvkkpW_OB;-fhdGa!wldU(d z0)@)N5ZPfCmHkI3fShmJ6BM2G0iw%@6GaIz{%{$W=U&(nZ^czl;pc>>UMiypn`P6g z^g)n7fcX`YktP2YuL(~agb+$!#5N17(`Fpf%w|&ZD)?{XBd$dSm{tv9>&6`~6MpQv z^Ge@yYBG&h>M$-*@fQW5otEPa?46l&4C;zOSzWg@YLT6HCvRp{_Je#}O$dS(wE41YVu z!v+~If<2k5O%r6j64Dz7|QCP?|ATNW1#zj+*4A@-(V^au`~X` z6u`Jd9o!+_N=G#@FL#5Cba%JM5+d<}a+L#2Y+^D&cO7bsBu=^~DfI>i7`l{QB>my0 zu2P!;*Ay(H=+s)_^M_M&!#jl+d*Vrag$ml^I$!`#n9q1n<@MO|1_xs$6!p;VPxRx8 z!9BfuR|FKJT)u=;B#W^O?i7(-dJgvK*O!7rTGL>Y(-&Wtid}m00b>5c8iU)OXe$n? zaTvgCxT`ez>_zlkI?)p=Q~YFK4fP!v$w%V_{>(fZ*E#WXczC>o55!>g*RhAe!FQIi zAf%bsG*`I;y@7j6h+J-z2sd!bS#;Y|hwyw<)e#gDh+9iY(@*vz&EW>UFV^J!t~VyV zovU-i{O{up9F&*#Ai;SbVtS3(euUz8j;(FaakWL+F%xjsVffGdMeHjJO7wQR6Aq_{w|xfN z1?NTQlG}xSM5MWVsfH?ZTWBXQyl0Kq`ahFav@~G-Cav0;diImGDMRUS0P&wVJB#j~ zdL}wiP}_iYgU!lT)g$%=P3h1z_d~a4#fjLQvHk4BK!#FPtDJ#Hna&M6dJeMT1r@AY zQ;8y2TiWi2yFD4hIhh8J8VJ7KNw# zLS=Y*<e#2jn>$~eb+^=joL>Tox?Euna%J`M4b4kYVn9uXQ*qgBbN`A%pP9CWw zQP9n*oJJHeDx}b3IlR#kh)AJt4WiRc-CIi3Hz+uiwXlL!lSI@9DNV<8-?7&R4Og!7 z95#*Iu{-$`)jnEvdrfS2>@j}B3k$NFU9*Y|gN1Nttm$+K>CBZclSG3`YAfGTC`}tT zN>b?kVaq@4@`wGW0cUH)Y$a9(aUkP`#&m^mSa4A{|F;>!dSbERc&?wmQ?W?1|NAYOOl0;Y zKHJF>$ru4lL%#9Ld7zd(e$kKDWm)B=@k}U@>=k2NY9jkFBeo}pm<~yB{%dxm-=vrd z$t9oF#B{QxnildOqoY>Zd~x~~7dygAZ97H`Kh?#LSbxCWj5;bLf*hI9{r|H8WfWv( zWADW)AxI_MTC7DI{s6V3Dr3lKN&RJWvU$h;1JjFsTaIwVc#OBxtxkbBM^ zOe@@ql0h;!DYiT!AAiDaBkSGOs|ou%HI+L1Cm5!+U+P{ zm~jt+CKA#dKFW(f@;e|y^juZjLOr$!vhZp)vV5NG_Oc39>AZwoczs6VO{%#ECawZj z6S`x+9{wV+rdwAS@W#FP_CU|L_Ck}bG(qPEDv#dw`D^dJl4{%MBH(u3uB#pVV0A)w z^eMfSuoU-b>Y!Kt-did>IN==bl8Nk@^C`hJt`h zN}6OJ6FNgw#kP|JU4S|IE0E~N9!x9KrNirD2t1(buRfS!;S6!;4pU1leo112Fnwxm zEXPeYd63noXZmzk^+8en)m5fdO5R7m1{9E?1DlC-I4<^Q;0deQe;GBucQr~4L?2`U z>klTu`Nb7E3{}_ha%fZp_|cHPDKlNrjTxTP8MyhbGw?<1J1;pXF8@sF-Bh8NxLB z&XZQkZq92XC6Dj0FZPj<6H@XX=Hh5rC1Lm4WFSRRh9%(MoqJR^95+Eh0H_P|dC7kT zOpqp0TES8%neXpOH1p9jrZ#$|P58inNBd*TP%p_?6h+vQ_%mdo^vNf6`m8l<%BAj)E(Q~pyT+%v_TXHbdK1Umc>Dj8b zNdcWO1FvQx%O_%|mzA%oG8pZ&*I>md9OR|b?FPZ{vid)u**~}I~Kkc=%vVs_bY%{ zba@&nTudT9?j&2Gd{`o+2U8{X=o$HB??81A0U$G)oLJkOj5eK?(Oi~weU=-yDC{6I zbhqKT(wpdUYNRg|vu;Qkv#w69&{%^Oi%`YEYNC+mMMHg?%J zu*Q86Ez@>lg~O+iLC>C~3f!59Df&_GD`>K-RyyRlI7XyQhKop>Uk$h> zF;!BKR1-y{WJgNNnOpJ6nUDqU=l9;RTRB~NMd2PcC4ZbGda78tHZ|=*ubXiB)fhHQ zE5@&9LBAkBLND@aygsj7pq0}|U59K{grl@P?t}zSdsg=5BWih^?3}FHuQYwwwASy( zqP?$SDcOEYpL>UFMfYD=Sn=gtaDE6_dB1MHtq{HDNI^EDK8BMfdmVKonx;Yo- zilW_9rOI_pT?MtZ-Jy*f6-k{>2OXM^yrw6q6XE%~nyI$(%_g0$zLK`?z?mj%B|Qu4 z*P?%-sHPxQe^q2o{jKrpE_JEB#A*ypX&qLsFlu>a&#px%%i-B#cAla$x4E{yft<25 zf$khmJaq_4c@k#&sUX#dpd!bCfO>dXisYRxBCbPWB9P4sWGgMc`N4qrSkpiTSLdzf z^Nat1`>j0vf|3atXO+O%P_CQr_2sX(?_I=wT(36#zn4AKXGU#&<|g{sCQ#Z8sH{^6!ye>A|DvZ4lZ>Q3GETwA_Uq2*mr8w#8&Xn5X%9mO_STWqwDA+$Vt z&y5(kI9v{3p$b_@pt1VH29mmmOleGuYwOaWq0E&>-}uU*bw93-r|ER*&qx1o6~GtQ zn1PRc9PrrOhwo3n(E@dA@H~)~5~lOeFhO23HJW3b-(slZXk5i0P_2tcrdI*`ZWg7! zZO{L~?W5mPv!R%iaaE3hxX+6gt+4a1`=M;oSl}t>WX86uW!1kdctX`t3->!hyq~>Q`TEnT(}@!k?H}}%m z;4N@YiVi%$`Pr%T=`@}8(UsN}e{~j#NU?Y;eDYHAWxZ_xmDwU2DJ4i>Af^umA$7Fi zJS5l($Uf`vJ?p45-~-A6@9TNZ8Ck-L?XMtnnHDgV1ntrArXE}+hbhbNMg2Pp38T}l zGezr~@uP1kG8x4L)Wijd_!U$*+OG(-0X`cTCT@G-rH zdG7A}g#@sVi*!27_5D@+dMRto<(GXr6$j~Ep)5CHyQJvlY`yhqPjm{<-dQiivzBczjW~WCjTWb-#K|uTwQW45H+miXC16smbq*VS!r z>D-Z|Ec%R)d8KD|>{X=UFIBuVRVr4j>0vjM!%)`3XRp?czq0H%YV{+~xS%DVI>C=G z?KA}?Ma0N*W1sx{I7foN{`8h9u2i~)MMIQmBDQx_of|;`3`bGaq82*WWnHL}P|n=pXftQ7U`7jsydFWnoDB}}Od{Gu3byv+=rgsF&XaxokaU2>xbZ>ZEse0Fb z8fw11`POgb;7Z~g9e5;qu#y=#AIZW1lO|b$ZB{azfHw6vRd=-8*AgaOeb3YHhTaJW z6tA|T0-K{~%LH*7!TEli`t3xOYv`%BX4rC$<(k>jH`;t@Wn-iMEE!7oTaiTtEy`X( z^HbJ27(P!S@TO75!SnE>8(u;vK2LuFW_0j;2>pf^F`CcSyGx&|>PzkYaz6_Haz1^r z3^5(j%UQ(Ga-JC-R52Z^(u${k<->WEr5uU}WpxF2PH$5MDtzErSugTydWWI|HdsH@ z(NIPzRaOq5{J;gWpBRL)V9vEDD2c_$ThPC6Pu0Tcz}Iz;-c0LORON=#(jR1wG`l$!8Z#z{%&d%5^f7Des*0Rg?UpZW^YWyAmC2I$mpfL-a{gf%;Dp{4A&X_^ zlNtZKIA&5kqFabClk36?RS6~NsxwpI!WBNn5P)TO`CxYsMtM9ud_m6RygCL$E|u5d zE_T-Wfet++4t1ndSbJAg0(nxlUUYYR*?K-m{7QR$UG zLO#+ktE324{a zWvA`_d+(>`YX3-%HnV)xe!y;$bA8l&AET)!TtXHz!BK-ag~+0@@xTM!$RqvC1LiL_ zQR#um>AIzDk_U3J+e+Hnh`8NB@f6B2C@k#t-0|V zx5C&XrHsVCS_plZW=VQRCvG1w;p2K*;5H{@$HqpZH2x|z=lCc^S=}7RK(@Iq*42t+ zbBL$gs)l;sw9S2F8eiP5l8+cqAR@wPrgHV#(BQBDKa_=J*pNsDtUahd*ZNKrt^6gM zL$(?a68p)`|uEn(MEmz;H2|O)Nsw1__oUsFl5A{h0F4!IJpf8wQX5tM?%q@ zWOU>63NR8gX-k=;U+#g*hpE_hGz~|J8{R3|ap#EktLw+UpWwzP+=h8#M11ji_Eg%O zJ8j6~K-C>nRKY2`s8O|=y{&58g9I)8);KNy4Q$-|QD*&-{($UV(Nm{>`|dXy3!BhR zXDAwayl-t@cPRGMBUTA|)NL!A-Bvr_;i9ud6HHr3%6tg0A5q62e&3G~f%5}DJ)esv zmw^{w_N$gvWH;2Jm93Pk;2jS-ODt2#Xeb)(GexJT#f|ZrMso9n*O$zG_z_=jCu)f) zNeO8KdMv_S^kdI3V{kgmxw{3~v{P2@kO;~Zy|5c|&~%DhLv++4$~9MsE8a`#T$3iT z=tMka0oo?DwASzWsWp<$H)y=9xla6^J-%)#ewYFff&AjCX>O&!od?{ECSfx_(Mi=7 z#5pWW@?6cTd_RBt=U9*q^V z501cX4%HztqC8-kN+zPbPFa7L$DDmQ{39FQoMT8~(Vufi(^-tSkkSFR!a&y{AuQ)% z(E^S5ulod*GPAp!YSXGPbb#%et$v|?#A2K$Mr`;W zhUqAe|E#IBrj=r%OmQtw@j$Vre!AvMZDycqeRa@c29xFmBQ2`GeT*~LR0lCNIwk3Y zm;@poxiJgifm-kzbMvjp2pAb5L(a-QT`AYOw&3n**<S3hHwPG2U^9SXoZw25Fp2S$pFO4&v<|bSfo_o0hXpnIkutG?kauyfcO2ZO#(G7 z4ZEwM%TB}Eh+R7{lods=HN1%+tecP`Gz4a5xnI!%#WjG4g;Xrjr&x&^GznD*2b3H} z4poMrB`VY5NG>kt!CARr{9ka8*y8MF_(kLR@5u!>I!z@!aKO?hWWsrbvHAZecwXO# zfA>D+{aaF184Iispr-{^2t>sKD+E@#fn@`peUHlq2!KqRUvu6&E`fhfypd@ONWso# z7TSn3#E@8fiSQ7p1|}2^%v}zTb4M*}xZ$`mve=wn@5XDhZ9UdEniZEROeW|dQ2kiD zl9$MR^5_MET(XAX_7EUavWFR)NCf~7$}4d2quBdacJ2gXVE|$k;v5A)F<6{(`qLoE&X4O#U3^wNC^DS3D6P~ zXpar4^Jgbgk2}G)D0=dzn8!}Ucsh}|jp=Qc@8&-YBOrE3NHYPnIGEb{@n}qsM`MBk zm%31Sz&YBS8Nat?j~u?@saYQUSK|W^3}S}_LxByYq#wNqp9VO%E13H+IJ?-=z!-$7+xqk zk{l8W5?*L16|FqzI`ecuvO(-W9U6Y$Ph=YLO?4Bu7~HBk?d}Ht+rf^dcY^aDt;#<-eif(LX`2-#-@eehgOry9`L6IVk34 zi}^P+K)bK%T-(`0e=djIMXz zJ=@{>k@G(#O?f-FW?kuZpZs9K5*aN$k=tjQ93vlV<2FV)2Mex_aRM{+KHYfIv7|X?ec-}! zLJATKI)!*ZucjpMd5q*pf5Y+|)?5I3X|fSG@ZJuyH!fFeGvEYkT25x6WbAVK}Kl zxU@9a*+c*~MFbg?K=Jrj9$K?l-O5gKETCg06aQ+QVe|%5v&xh20 z{Jj4z{jfK41!c#g!GZUjJ}{S$GBdOjLeb9i1@Ur+l`vlK(r| zb4T5)8NdLUzIow$%*1Md(v(sewyZdZ)s*DrYBcz)*-23zshF&g1#(->U1IM-(1Spw zKCrhNEJ0n^j}&Tg$%7!-rHNWtff5?(g$ECiB_cre$4()!+u<7sT{vCvUEz6D1EAUq zNMR-jh5kecu3uz&?!`uQ=;Z72e}!@ zGGQt+RIO|hU4&FF9T!#lg*5Fy>|=?7#Maf(8;0v*Jb)<$i$ZVtuftxd*>lMH`_^MM#*ON65(0Z1G)Kwf2vq~w{*xSVK*UR15GlmT;FpIfw0 z6<2zsB-FPyXO20_m0DQ4=1cz-&;ZipQH~P;^H?g> zRlx4F@YGy%|-j0LthV(U6UWx5pNN`4XM=(IG!4l~` zjloCvIBiC{*s35ihE3~P#CHnK8dr}^j*CD}qWPV8^C^`OR?!Z3fr5nk?-^0qGc-^< zVv8?d__l;I1?HyEn@l-rA*`=Eli+u4)a1S%-}Os<*=9ru?J1N-YiocL>bXWYNJXX% z)iWUc!>LcatySMbmHz?|a1G_6FcQP=vjoNVgNYNUxhSN~L?hL=L}6UvxS!2nFWyj& z>SkN+FIHHAl*TD|r1kx-8%esS>UYP$slSqrznDZwOqdQdr4IfZtYzpzai4$aRbxBH zQ~KG9`&=UdZ_ret_DNBC#5XK+^Z{OU=>>#~k#2BfuxP!0T?+UyFNR*G+@CVT0RL~$ z$ARVbTE?%Nd`)Dh(uX8Cz<1HisRiqX%3Qu91hZK{8o>D)5K(j-QW;47qh$Ld>i{|P zxS6cRbNI(O+#rMoT%he+jZw#GQu%Zsw+x~&P;worTL!)uDAGStHV!IV5x~Z;KH>Kv zGzU3F+2BM@y!syr%!kHEgyYuu!&insxG1Hv(6EW#uVa{RF8;O#p^yI(l-BpT_)PFO z7y#$d(u0SsR_$>7Qhy=%i`xC1(s#Zm(|Xcd-ZJv7A)@!w}HO)2Zd^*#n&YvDXNH7bK?$hsfJhi zP2CqJfrRf{l0(KZ`O|pLNZ#*gB6BBv+nQIahfC!-6K?39steSuu5ED4-369MEQ_I_ zDGk4}3E!EjTm6#XGE*+1%9mysH%LLWUicYpxalIeZs(#(RJp1pfE7CkSUoZ%eUPDK zB!=a9B~&|mC&|(|r7M;zVQyEElb=`Hpfm2PuD?`nqX8_UIB+H(E!OQ3?rrjp%I&V( zJCV}XCtS@+DquS4m@y_?%`V7L3iH&f*qNeQ4XJ418+hoXx$h7u8kRgRYf{t(G!K7t>*ia|QLF4r=Iot1)jNoW2XpA1iF>Cel6bC; zesrhJ02-@IKNdQ`jJaP}*^CUmF>E;i7W~5&w!m_aY8>FKVj2%$R+G53h`8~k)f`q+ zkA6FB?NRXf(R$K1W!&LRO6&&U!4c2QuJ@!zOP}>iyTCd$9q&kc zj*l5AhNEj7P2xRkOzKgcJ3g1a9a3Mf~8VFmDv(m|T1>(pkZkuK1@JOl$la zZ4L!K^N{mu*p><2mrra@@J59yk#I8H7ElWi3t<}O$t3i$rHIkqD*v;6`EqP!ub5_d z?FRQSB>c%ui^FdTLv60ff>0PCfpSBsS%J(GJa!gf?Q9n$2CWhbnb7Wr4*{usStSjh z&|Wc+0@0sv5KA$g(<=Rx$4zj=qM{ld_y&hC6|4M5Zo?s9X4|-3!jV23-`s=e^UUd* zM6}%#eO-t$G2weHi!I@v_z51#k)KB}IM`FzWjpq@dOXoXOUCfI#RKG-nXswnBokYI z42ONhH=jwJ_qF7lt;~-Z&PKo{1><%TyVDx(dxx zyj{x&m4~02!~jzK1)(ETvdT)zgm9@A*BZUOIvy3~_XcY%|-|f36<(Mj+aoZ!h zhcF7()gKQ|70SYUrjeO9gc0zQp_B)aauBtAWJ4+=1goikPu1KCnR`r2KV35R7C*9D zTuM(O&oaSGUx~&Z83~ydCkhcEED?GHAA&1e2?izms)Z$rVagt)DGR_!x8Kpf#q3nC z2^xHf$Td^(f@cwKC~eM$-Y_@CHZb*x5cJ5Os1Mgf&eOuPYj0q$)7C)()7fxS zf@?>^EvL#y6FqDfInmi@p;>jSK^Pa^kM}3=@tAme!*W)VC!)(T@XS8lD|TAEulj>K zzLj3;&Jeeyv9NnAYkz{K^|>+`14bIF z?8aoTB(1r0JcC_>m)R+aURZK-B$SI6(%~SnY>0;)X_zO$_7orTK389{osY87W6rx4 zH#^df19@{h(q}8VUhWceWk?2$6ur9(sQx-B`UDfK<-O&>rYiKhPc9W%KJbXt6yR3C zLiN-oXCYk`@AK>nHd3_I`8CeUnM*U6=!7+h8@@hUpCkol>Dn);<9F&z?}Ft#3^)UC z3tKAF#EGYj=aeW(?KmPzritpudPbi)2p*EJSMr9|ClurBTIYIA<+UetCf-OPZx3>Y zvee)35lf~l`b)*;4IPQ`%c@&l=g`rXPHmW>PEu`-e&43kCA~Znfu$geHK|z?cNLIT z7aa3l5aa6#!UB+S^LF__kKqnBeRC^kgIy|{aeW5V`>H28vokJir5!bOc$D|(kJ9vqRP?kczbjRimnGcN>(0*f-{V$93dr6A^I!`^mq@?pmyqZuWtm|DS_%!D ziUleLxK1lcwv@I?VGF#9u{?#{wicddo-YCC?%l&(i)v(!PKl9FNAs$wk`VkN#38wTk=@3}!w5XPg;*}=8v#7v0r z$9)rIpk(2BHFrLGq+?QqKVWHRoU$(Ro6%Inr81#s-K=S7X*6a9*@3d$`#fa^C)QB(|$g^kPKdbUcv#b zO^lo1rD5}V(D$dm>;y5>cB9C?BgJVvz`2tRXQ1%qhN^!dsHr zh01W-ZMnvC+GQV%r#P^R2@cCkDCjt;KOs_`6LyuP&)Hy5C$kOBGqp|V0A;~Twoe2F z&1{#O2^aar27uL4=5ss1wLj=>q9v4LDvUqvgEEPi*OX zrKU}NH<=Mbu?qu#bSun@v90(*Cpvqq5I;w za%J_|iY`8M~S)~e-F=$frZBQYeSR8t8trqE~ABbX+w@? zYVs-fF3in0sc6p2Jm4;Bb^_7KzC;*{ask@g(r&tPE*MK}3Rw9I_+2!07Q{7zI~;cx z%@RaEM$iXV%wh#Lv&6HfVR9plV*p@}jrTDMw$uYTHZQoI&=k_xT0tR`f_YFn0wn@# zsgs3nx`1b_6%@vUuqqm`ButAks}x&x=F!GGUd}8PR-Pd@L`!BKmXsQ_=fhg;9cPlwv{G42e?0le}iz%zk{$ z5#~*>we(!>z$Uq6FV~6A-i(>k81dfTOIA~1NM)vz_apjjZ&3}Al;Ek>XqMG|=lZ63 zl_UG!0iljSd3;gri-;w?p~IR$I`908`ix(2I&w9}g5D)s@o8rM>=)3& z)8)Zy*Vnt zAL@J;L`;*x}XbBI;TAv*(zG4 zbmSB`NGsRY9EbU-{kiY6T%?Ot6l_=m&BoqcRg@K@UcS*Rw{RlQJ~{ zY;HI2@QXu4vINA$5ybl4X6q?49ZE&kq`BV`czpbz^FA|9GUTYepL63ulQFnT!1Kn% ze*Da|tm)K%(1v5p5t3D5SzWANkJL{XP_1i*x%Ad~rGGK8N?|o;>?KEF+X2frvP{Z= z-LBE;iqoktKc8v+{{FC-`^l(|*0yo*_$f#0LJmAahp#@R+WVFDg|)?J$yLG?jwiuu zdz{%Sy9d9P6ujm<>%An%)();@1*n}~PLIe6h*f?av}EhqzJD%pIS@Vj`(!D*@u^$C zv)qd0bfO9#S^iCP$sd@KW2Uenv`1~B2e~|Dy!3f$!#6`FWwx9^Wb(Y@_tV3Zd0e*3 zuB%Kzm$jyrQO&tHmnP$Z(H>S-YvNwbZHjichmEI{`CQes>zGDb7P6D1o5pir63o4> zcJld?jyF3*8W`%tE+zHI?=t1;qehl@yox^89=1TQr^Lc@IoeUUI#x|ron4xY=;{SZ z1R2sR-BK*0D#!)fhCX}>sB!5qYx@y;zH@)P)00{t5aP)ar{-kYeLNF(x~S#n(AMgE zi+=tm85s@h3_4Y9U2ST2#z6!%Srl z5}N*Rwb$)k;xJ}3D+sb%Jc$o4LqDjF6Fa)G_q%`p#{MD78L9LAwSz;mZlS-RTCQlb zugB~{bf90vfVADyx>PRG5o9M7hn_aO<6QE~QCA7wfQPZ3ZzFH-u^Tl&IhP)rkfZTM zRHh_MMBVl#M~4Nyy$9pp5{DSe7L4p%6*5#@(p0s3xATl_t?3TK7C|YMSN1sD-D!r+ z@hC*JEe9@pS1q5+wZ4ng?-{+>WaeU6G(0b>+PNqzdtF0}l$pX=U|8x6d&tZ$U7~3G z{EemjI&~5wMEM@sx46JqStpN1VDx5^4SwIloki zqGNcdByGbx$={uex_Pip3j1xN7acg-)T;2fDtJk1^nMXe9`S1ufv<1}Ol)05Gp?FG z`Vlzs=0!K|)D<|<{Mh)lDwvc0-iLQN&e8f)V$~(t;+iY1(be)m5T^PMwhzx0S2a%J z8x4Q&c%O>5{JveBmg9|LN|O;@*DV$ z_WIeC=JTbd^E4qh2dfyRiM~R9h(} zy+QZ490qTk$)f%GS*jzejfs@WoMZl7>ad-K<64oE@lWip`X79~J-h)9 z2+}gttIu}E_NP4~mh@i9y=k3)db2C$JPEK##H!otHA`lIDT=Jt^+UFQiOTzTuZJ}- zzPU!4%8@X|o0%Sr%NIs=QqIGC9pJxkh})ncLH6W7sE7=HZHIV`BlOFa*H2IX=5=Jm zwFtj~`(wfNfcq{y@6A_;1JI}!pX)IZS+Z*aeJ%qVFsZZ-U?_n-YN72EBbCjYp5C;Q26Vwd(vr&FHxCQlfdHa07Y#rydx#kC?5ZA@0& z4sk5o#q$hHuDStwyZEjFnxr-I!bC}e_=P~=Tv7HRjS?OhIzl|y8J0(x#(0}XhIkW= zh1Wx}pMo0fT*VJg7j3=8?PbA1Gy6j+lN7J4;!e%I`d2@F0e-`8`{Wfz>vgRNI?;Xm zLH_e{iq@>9`qlh>KI32CRE3|;-KH-98#bDc)B#xN)iup=`UWk6o zS8%h-oSjd!4o|p)qa#o-f9;AJ0X5XRlN{JDD+}Q_IZI<0mn&KSX{l5Wv-l{)dQ0j) zk^1R+p9{e`!cUbaJ|@p=$mf=Pa0j|P0K7mbIn+<3`x*rk`ebo;+p~Z_zRVR#6LII* zMdCqf^?0+bX;NsMda|PQb;fgd%!V~st&YKxO`{;9X&+%#ZRuy+HDh38*OFtEj4f^< zsi~GY?vA>IuBNwRhsKD#JtobCd2q0>tUVT(OAh$ol^vm>Q`^zWDeXCgVNY^@c-7DQ z9Ck13tj?f(S-%8$lm;z_SUeQy0!l|{6USy+CDR8^i z8(mIyvUl#SC{23Sm@1W!wvmr6PK$#F_Hhx>RI+@fnQq3Y@7q5~MV(yMi{E;T-G}tN z-kg)X>-5Ek-+B$vtB2|`UCTy#zDJ8{>>3~H@f^IH)7v8GmV?PJ;KWUx zX!fBRRB5ZUVC(-zVAPF%Zuly2$^Lley+#yqJA-E;{=3}{;OAT$T~>Isw9(LiUb-?M zB6C>vBLPlCLLAqB*8IK=W)B&siP^wKlS=!qY>r_ly&o`cheQk}ALR4g;6u z`H47T{JFyS&t)h+1BL|A(!&&^y6`Vpi;Ps;bt6ZXEo1csoPFLlWyeh&EIcrw1w7R_ zV)4LuE6N!g{DBKY+U#BTs_yajndfhhSie$rBX3`CZ-OqY4{bhshceyg$Fz5Ejocsu zZLm~*nNsZY!poI~pYrdOUcSh3szV4jkfP{j?fW?vN&6|XInJD;_3+2}MAI(6tm3$B zPq~qsDb1dIJ{<Qc*e-%5rFQJkl7`^$T% z92r!Jru3SotKMzEF>vh%l|I>7fe;L%-sd;Zt1M311uxHsxfUy!ksi}nHabEUIz<-x zGgn91KA*9ci_v+c&u5i+%@)323Gstj8MAnt5Cj&S)S+CRyJW0Tfg+)5e!N^@rpL4Rs|+kO(%u{Zi$ z{-yO^Jn5&_%MGwbHQuJVxeHdl=UN<(`%^oY0}{RMy%`deZzN4SBsP2D5n4(q zgecA~$<5kj=O~;jeY)Ap^4~}8kOR=U;ny2Z+q}@3+ZHVnVt{YachP<_FTr+~vJaX) zu#;*q(2;>9zPg4KuE#d7vf}&Yqc0W~x=)K(l$ z9+X1w?;L8J!u_(lqQiN$NU~Ztw4c0B=ZY(|qg2InGlY>82*vf=aj9myWyHND%<9{2 z`250o>J$E5?CzS{l)tta%@ajzHNk6u6_3s!4Rj{yI4PuOs^i$_eu$4+o`)@KERsoIc_XXt~n8Mb$dk+mH==|zxT;^}g zmI?m&d8s`bUbu%=(dEmvZeWSype}&|qRF~k^<(3zbnb9d4Z#&>jM-I{bs;;>sq8PV zcRaFyWSURs3#>hI8zj89m}OVD77p37BD4)#z@`%ngYc8EjW0^4p;Z%R&o9hodtEnS zG0P1{Oii+B!g^v{k=NY!d{d-QCjGLXiw3RWcd~07-;jdnIcUna2(XMU$|>Se37ug2(8Bqrty4#U zXvuvEdw~Dmi%~*xX#XpJvc9sUrDA79N9`Q#0|+|uwTVWoIKF+@uPZo zALk!>EPxG5Nl%uJdJ#&4gBVYTq@_JC2DeZvqbFc=A+=r)aSi&X8eqe5aT2Rh`Fb; z7gsFAyh^0cicLpzV^8dyP~^#@?$a2oZy$$3KnK4S#_9yR4QKosW0hxp8S?EU`p5I( zZ@pt|wu_b}R3g4Q^50Hke!Lpy>0Qg^{asHwajw$7U-Kn+iu~Oq|G=m2j&G*17UpTa zKf^SKD~H2^icOrekN;#gsyH<0%Ulc5l4zTdie2=S0A5Ztuqva?>?W&~FCAYw-miZT z`1!^C*#aNsV|#LhkU)Rav)ANT)aNbbcu~Jz@h2|$4Bjt_^&M)muiJqNs$@|XRrVMf z0S|*>w#00lE#uUR>-4KA*8&Y#J1?_hWg4pw{WjbOz3>yMFLlQysq61OdPYa&tmh-( zSc2z59p5vs)I0#tWdskbkcFdHne>_GR#U6F3b^tkSW6@UZY0|eO&)8FbDdGVBWEB$ z>qV`jZw}!Xj66-qo7sU#+~<#JeFDaD*xGh^iLPsq;qU8BRdHKFOmI8XuK3;`9Tf{H z-&>T)O{8jUd82iOhDLTPe{2OLy*6iRlw>fMVc=rQF7t&?R)F{-0RCNld0BC7?_)it zuGRX8y?{0oTGb1t=qF$1&^L)qit6M}h;$y|bNUCmi3X+u1Yi@0^W<)=ueJ8W>FC23D*&cF0+_CD3tfZ;ly8gPD1~JTPNa?lN9%30_3JSD+?0b z*K=}tOZSA(#OnKevF7j?LWDP4%~K}vMM2tqC%q{^T&;BMhD8OX z)kn4mj7SrCwd-HLQG;F$fcc1q_}K2b#E2`$rqDL{t>gU&MuKhY@TYhoAY;EBw)Oll zWmo7cAE7sqb?fDKyRA<-*i$T&1#w<5+Md0S*RGOG>586dml%`3xl5w!vCzz^kQVob9Bpf2J!(KR5NLd5He2;{~i3$*sv&Kq1Tw!>5A0e z=`w0h$$BAZ03x=OX3gL24>_5sWe}MoY3ZNm*JzwOmR6n4)lQRi*ym&b`7Qt6cgcTD zzoz|p?v3E{m2r+oWY8KJNn@HGgMb!aH9=;T_?^Z->Ez)ZV&+vo8)8Qd+q$iVR56!; ze&W@Yr`0vQ&>B}~7Df36DW$8)&65>f<2tZhJMwpW>X~cH(ovH`fXMba<0<|rS=AysPG5yvJCo4mPgH^hbT(S7U>h$@qtrSG)Mt^~nc6U5uU2 zmGE5>i>11@x!XKaZ(q~>I)br@6Z^u}X-?eiI_;Ib+DxgnN*nJ*e_k;pRnl@k3bfT7 z&+MF953tz}HEfCCom@`;J4?a9dQI19WAldQzUYcY;GemzDsG#D z?rfD{PIc+XG$jejdA5NEus185(F-oY)BdxQWT#&*g7r2~2WX{*LT!S1lRIDTRU;p# zH6a^SCcGC}R^%T54O~===k((>fQAT-g<)M^OM7JWaJsYY3cvadD(%hw*U{9^f>cxT zoar^Nb;Cw&lc((>r#XLY@N-)1AUsz~^K{eulM~tp>H+7A?gwLDU?H6Y8t=4v_uyx0 zYqC4LPs?|v7H$x%+g@c>)eDmR*=UdRUMOliW3K@2idK6^v(ufz%TWNB2s$GvtV8q& zR)1|6fwv%I#a}P8-C*V7`+YZ*qoq{jPdUUJCgH`NgD+Z}@FkR8&A z^s&{m&iLQ}?zErHw#E->S``bSWp5*7e=d62GUd>c$S?dS)%Z1bS1FH^jooo!B_3?ZS zoD={0vuH{{?1wPWwLacxN6>nOl#1j*?|U*fu0Y@&Slbw}$W$5iBn{W5%4x8md}(2D zMCV(D8j9i~3UKO_O?+y@Maes(1>LcM#^TPr1zoCmM=h7}gmc=4-UEHZ)RIP!u>lUf z#^#>={lLk@H{1F3SN+|tu>?0rZ1BU6@ow-!H zgC9Qkx4P@i&?CMd-NY-^VpkRjXXg6eE&=*L&Tgwiw8~`6(=qykmiDJtGbyYR z^S+^B?c;ilCd9tX7u4I`aNtk1}={e@P>4%3;@db)_ac@+|T~J_!jpDn9`a*$?P&h z5V1Z?W)P-(h;+t=(fC7V!z;~P#fCktZ7Q}ZVmBMq zE>^scEpwKe6yiy@sTyOZq%zer+{Jz|9#~56yE0p39_Wtwg?RZM3D|h*Z$LIqTQwqPiY zTQpR$tbeuEGQsUrCmYq4o; z%;JLQ;Qo4KIK-xinsJzslYn0khEm@T8|1&G;O+?$NPa>IWxE7~m1py|bRkVf5yfaotm z{5_Tl0|Y)XNj#P@+QAjC*S^Co|0sjW_8o<|w{oAvCm;v|e8!Zs{fmvnC7LJrs74c3 z`O0|G8$R2e#w~+0?W|gpQ0a{ivP%O6lcK`WJG?}wG;qbMjiB$3XY`|KRD}fdsErK* zpHj-7cfCn83MiyBZ;9?6d1>QMR($q23N+TBo6VoXW0CQkb# z5nel^sL`Ud4IxjBD>YHNxmbhRii=588LbcajG*$ck(MEm3=uQx;WrigHClYIe&@;a z#-$^5%R6wpy}9|6G*Dm~Ll}0i-4M)Xm2cYej$bKz+88qjd&OP6Y$2q{7pAEFv>E4=KUK}OAD zIcHy6^;7C^A5Pk6N!6D@W5v8UH;|RUP z(OtOC*H`*|QL&&CK#{B@q=jq4m#mS|x-xfr8Sz$22-jN1F?Vvk;z}okHHAYoJS8s_ z(of4^AK6aS*8^Znua4ogC!>^0 zpg<%Fxkij+HB&$xqFZN>tk8axR^V<327-ZNkY23l$+Qm8o=6DdFeK=x8jg+u-)h{J z!-`$C_~$TFhjrli+>Y~F$nAK@EPC^xI^<%SEBNdXe*bp$z%BT8y4ZIAD+IN8pn&>Q z39XETZelv>P?ra7Cb_qc;8t{hZu9v`?yUq0^&5;a2kuR4VKM7`mU0ZILaW47u5Ojz@5j13Dn&B%M22q#Wh63xSU=bn(gRUno1&AJn zV3hG|G(YC-q7uFxezD)qiaE2ZqrWXkEvge-y1jN=njf(VxZp0JzpMj8=2q*Mp^LX` z^jn1R7W5bTG{TVU3)Yd|@;_wP5ep$>W9RcwSjWUz!~NEUklC?wk6Z}4VGkN~5`*c- zAef3-JCOOv;2Q|6*_V2;`5G941|9`t{}K>#jl$d}BX2K`g2kcYcI6OMJ}c~`CwJ*; zuvH-l$%Q$GL9P%Xr{e%r0{}H#skMX|Z^fLzSTW8>m=^5%yfso{X*S65(?!2YsW1HI ztTG-hCksODxY~s;q#iEsk19n6{RFh3*Kf}c z<2M0|b;co{@-88>I|?~kaD;Kl((S2n$f!WQ@zItsJTv5Y>S`LfdQFBp@Z8HcwG&&( zo!3G$0&>Sw<<{;Yd@dqQk;RA|-jF`K13_%FX>0}yy1C4DK=ukQUaQ|+?N^!JYGtgk z%KNvWD*XeYqZl(U=dF-d7QoyU+%4|l=4f)wNhYLNsu2NkvT2+_$3S7%XH!7|l&$;5 z3*(ekRlcm5N*-d68MpV%YYh|^#ZrnPH7JpQ1tp#dJGY>rTVKDx1+!Vq^~+Y6j0B}y zPVm;s`Qej=MA*&D7L7^fq`W-5|F#BoGk7!Mls3Z2r)LqbPxxeO_>fBzVdz8Fsrbpo3ZgZmPgQI)@`6a;K0(C`Y=Q zG~5LV-I54E9G)KnursIf3a*y`&nCRsTthvo!~Vo7)pm6eM%;?A=+k0Zpu6adcU8II zgkP>!Lj43!x3N=Lp`*D2f?`16uldd(U}-$a%>acZ^i{uGkTnE#bAzczt#Y{row?2j zb>9xQYRxIwARSP4^RS;G6s=IhRGGF0)Ds!mLwP66a8lj8@PtAZynJrpDG0eI5_o-SiyXNE1RThCCFZsv>wvkpNJt0}S=*pVF#=dvEki=^!>9g4Cps=2Zvf5vQ@%>^ypruzbFu#W*|QdP)*feM<*nCG5Z zyQ*ChHEzCL6i_(quy^L4S)!QsM8|tI=Y6^8ak`hEy7wpea{4d|EwZp}QdJI9$fm6N zbEtNqwG=dW`?R%sR$<~$;vl!@uzdQp_{O!t^sG{IPKILj#XRs zZIGyQ(rKw-Es%b$`N$`Qm2Cf1A8LdircP{DaZ@F@PU=mofu>k^5j0z{v3UVg^V!sv03MhtE8+VoCRee=n0jEtb1NZBZ^1;`+#$2}7w+t7N zi6IV@0Zzz9v^A^=i;zOwOe5eZ_-eZ9VB#j4 zmA^(~1%?)!7MVwdz;lq(hk^&WejpLJtiKFqr;Ad;E7MlbuX;;`vR&>bKKJw0E9T(in3jH!LuPA*9DCI}D!kf?acE!^cZX4vNWV9eqI25@f z91T43BfB};0-qdCR$pr&%H>Z5rYJEz6*=3QK+IgrA2BNsa^lL>xPLe8eQt}*oul9j zQn$Jg$%UcmaRH^9?VE|p*7IEX46;*iBw&*XB(b;8RmaZShCHfXLXXF{eFS%DiXJ0a z-Aoj6P%?X}6-QuQad)KV@=kz)KM?7JU=6ui(psf-x&%Ue_b|lTYWM`SI5;>YIF9Ku zY78>vVkJa4IQiI0f&JDV2mpFoxVzhU3fNfN;^q^XTp2op^hG3;Ua(<{$TMrvzm9C; zl9r%(Sf;60-fP-VM`$`z6X$hHl@?X;!O3a;kHsN?^krSai=2OA|Ltg(EX6>g^gv5;=Env(5}L5Avk{U zoP^5SYBbPo-&mJTZr00bTlIT}`|GnLiPuT#u+B`)S|+?5bn-#?hb)CXjhxP6E{0Ar zoa&{r8MhyJt_>`$Q|a|PWw&IK0f)&WkK_Q^t7HB|tY@|_aS7mH*s}!3dv4KA@KDCa zn+)X*KM`r=N||q<9NqGOiS(;c*2xTgKq>W&8;B~S=2LEzIx!?&#Jsut5MGb!*noT( z922+ekZX7u5Em8S*#ayDADgfG$4La^>LDHG`#V0VG^zwB3 zxYtDe$(2W-pHZs$v&y{UJD>ZtQS46uZgLN){0Dn*e{ndcN+Y{Dns+>oX7=4hr3H_l zTfbdR*W-tSNj}7zIz_8~R_kLpuRPUD>S}IN^xe(ic1Zfg-0`7bef7P%EHN@SRN-Jn zAvd!UYOCuerk(-2#gauYKZaz zL`M%UyU^QnXMjulBKWo3J&1s(w_2;_l0f<|yguz;_oMEY`&k96bj9YIf6U*#OHJwA z`02&;>w)($%b+v~&LdYdyGr(E<|^vb*aWNao5!nPvn0E=$8kuR-nZL33=H%d)Mgd; zUb(hPh*7)g7k|?)S%0kOO<~Vx;NX!%v;Hwc_H`EVGnRLsi0MwBd$6RQl-PhKU$7{p z^uNv+2M8t5CF+#^KB})3G>@GRIkFv!i zqfOrE@@MJhpDM_oEy@W3PZ&gpjC#-u8|JtL<|kzjhGW#uZ%j(M7QvOVhXb%A84wBk z>6Q<_$Z^Y;YQ0g8pk$UMonsZfcu*G-|y|>_C=kUZ`fiWA-UZ zppG=zq|50Syf^W)*v|FSLA}J^1?wcLe)|KXRaIz6lgC|_s;-`ik19^b+uqAlVxaS> z9^#m7x?1w9OB{n=d)0W%Re3$hiYn#X+ty=Kdfw*^Kl=A5T6q9+fU8ZK&1o|Qz`3hY zsS_~Z=>2?a+}E!nBazoGt>tA&!?oIPKlvL)IV-y%qUC-`YqmaI8@il(l;^KlPn=h1 zzqpsAk?5M%@yh|Cwl|v>2UI$6d{o<-ocFoB{pe#pPdRB{hPkRng26rM98OxN7aU@b z%ruTc8Iy*|@82d5m8(kSaO&?BOe7w}j1GbCN4352aUMMn)pTtxOtY zeriX}cYo*O#@TaCxovxY?E>P|i7?6Y&ioynCvuKbay-Q>8}|NZ>KV~MLc8xd?4|YD zO9z?zk!}%g@h;6FGvu2FELz}#w9O4=lvfYBDKXupz^{4bcF|tJb!ymmylrvlc9Dk* z+1NZ?0v@^US4JZb(Js;pL}(|%E(DoFwW~bB=J#3aIkW6zylu}{Q2cgMBA<)w8R|>> zcrHm2T-0^pFHrf*hqG1^7zB2Appvx$=X+zjs`V(hOc%tD@txg+uX8PsAozBHXFiJ7hf}>i`6zLM`#`6+UL+ zUD&;EEBe$c(Cb<^41=TgvH-e3_DvQuYT5q;W0<%J08`f=^e$L!28f7cTkgzv z?X^5AfNCLjV0=Np%$Qu_!G?3fUETMcwVrqC6K+7>r3&R2G6Z*)aYtwQD1I?G?Fd-e z8`*OlobbL);G5pddS;_K@u6s|ox!DC*4w-@6A{kzi;P50*ggh=iMMQCaLx~jA3Fc~ z(DvK<6O)V{I&?mho)XOG44_`XwN|z3{EjwUkV&JgV3e0&mwj<-%2{!}VW0atY~#=8 z)6PPfKC_)Ho$gJ$f$9-sofC0^QOk;%M)_iaFw3>stQE^I6m0O)ELA=GHADwmRj*u(V(-0sKyJ=Jx&bdwS!G2LYKB~|xsPGxV=eF7%>ICw>7nWJ&r zg>q+P0_Vdv7CtM{QkCOpigiC}pE4eer3bzhB_Xr+EVUanKVAAtx+WdR=FDFz*LeGR zRHb5CW5>{#(}E!B*T6c&d`(yrv}RucSG=L3%iZ(Da|n8@nL)5VG(?-U-@EuO4T?H( zilxQt=Vf%_ZmqU30toW1X9%$eF3OF_+uRsiYf%TkhHRQYr*HzsjQOds zf~mm&UuKf0wMF4u9nBsga`YB$2afK(q(+NEfdla}o}MBhj|Oe3FuSJKzNp%#=Pxp| z3W9^7f;!2jkTY0tMk@*iyA=vziDZ=Er*5M}%(C`X2QwDW95m*#0{Yf)2FxuDzc&qu zY7=qBc4p+M1o=oOU%;7j$two}_co%l_gZoj#!# zO3KG{$GX|U*_}4j2|rIFw#(BzQbR4y2r1=az-j7cAy~()5Gg290$r{Gb9)fo#);lC z>}=|$EaZRt?ZkHcoCWW4cs})6cYUJATUAX3|11Eb0kR@?vQ~D7K}?F;AL;&Zj)+Y>ds_9 zH(hl*TBZMI$`n(vK#}%S}0a-?U0L&VP#*{h~2(Y=D>{ z%Gt&rK7LgsNFHQaq`JU3pTsEKh9e@Y{fRN>`t9vR_t@Yu!PZhM??HzAB+EiT$59Rn z<~1sZ#AcwBPXr+~{4qnD?udPnjl<;^b8>aQuG)<=jWe3nE_V+FjJ0xFLBWU^2h1tE1c-hg^pujc>})s=5^U>&;KPk_45FB6oSqRU@=GYSZ2fK7 zM53DEBqavfm_2W7e*b}1PinJa@%+#B(-nr2&+RXenqkaIi5rsb={%)xh){2zk@+V`bHwuK@-3S>&=PrJ}K#d})>` z8yKn=DkadW!nN%Brq5$uueh;A_AXLeZdx@oH}qvnUl!Bd0=wm8Z%?ocYx*atvUm~1 z%U~LwdfKh#K)MYpJo&Gmx@9-#dqw?#hGx}rgk&l6lJvzf?%xbb*J5fPKU|r;EA%nH zX>g@!0!hN>`9hNIN9VH)FhNp(g2`Aw5fxDtKnJ%g$li5oy#)1qzR;1FBaZd^4kGDO3&Yv0v7G z-sovvxaxS^OkE>pNTx`qq8Vw9+vQI}Pwnh31lYg}qkjIe|3xPO3nlJ7$$Ozn1`!$= zE8p|Gw(waR#6Y28PsmI_l6c%V5mfIyu8ri;124FUwf58P?ht5oVPpf`-w4C2x+J!)pH z=|a@z#Hl1an= z?a*;%cPj0d3Kd~Tgl<};emf5QD=4DoI_7YY5u}-SZ}jIDxTPsClGh?r??(A$*cL~| z0WcnzooqUnV682D+#OR5A0A{gSeXZgAcLYFvPrOevR=i!njX|*w@eNgq>FM+0K8ro z#-Q_GnWYT~ay&`=s3zDp$jOCE!*0Po^wxd=M_7W!fleVu^+utNLvk>3OiR3XG&sGD+@}dUv{zRJ4)3vAYe-~5 zf>3(w!I#23yvGx1AswO8Nq95CXIpfS89j+2Y@R%YzLE5Jk6!Leh!Rx<3Gjs*`DtQ( zKW>R%*;$=pqUp98#;46lWAgWPSo%%}?3R1?Zv30Y zMzm7N-@4Db3ys7Z#J;Hc9pO{=-7wiJy1^&5d%-D(w^bOw-fu zfv8vP^`8$W%x`o{>uXme_P#ghX~TQ-=}drO`g5oy`l+ocg&koEdAg}U1%#k?UURrx zIYvj=8rWRZNKL!PnaFUV6>G`hyBOgUhP~NmBZF=d{5yhBNn6^BDH#a8L^(NX*5Y}5C*>!=qZJzaf0sVYNn6OXDoy&l#vQ#D0P_Ad;)UO`8TJ5f9tIh=n8 z_k8lixiL{$f7UsGoJyQG_s8dEKDn1Rz8_~2iogQLRH92?MVsXV^M?D}4KDAK6?dw2 zuE@s69n^o0TX_iAf5N42ZX+RDi&rj@+n3aNFX`i6TFom)&`zbn5)_ev-sc3#qLvFy<(iCy~j!OfY0+WhVq}bhI3~a`!B4GA&IrsDF10|IJjDQ z+GzcD?0HV!hUE38G%J8rBATYd*WX5p$}z2!RSo#x&D6MqzV35Kj!?}+P%K>24N!elIwce!dtf?I5+?0XoY-T$d_gh_swdkEG#VP_|FB8fV@tvs!YK-h z9Hv@f)J&I2-v-7N^S|K84W#e`!X7z<#2E$Px-Fi5@_S0SWaf8GsI7*JM~nY=1aB+> z_jwT3yaHkCUF?wmf2sfY*V*5`j{wN^-_XB0;QiHL3&Vd7|JBxiEWr}{8wzmHw)gYW za`zVSwsQVAk(-v#*qu8#IJY+cF^&JCfR#Q@{YUsehzNkJt^SRDS^B~84Yo4{mhr!$ zkAIc_V9)OTPX+{l*0%q~zKKt(O~iJ7O@M<#{2%ClV;$*maICG|1wbzUi&>2~5DRBY zd>rLx^#7$F|Bb^k%Z3%e-y_B{YxBSE`0rTh-{59W{Qn8YMo$0D?Z17}zp(!q=@28LF*Dh>b+!;E-cKOIY3Xlg7spz)^apZZG2EW?(0&qpdCC lzzcGC;jboSAmpiU<0T{}_RdXF)k{=eRm@9L*#Ic_{{V@1AQ=Dv diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx b/project_1/project_1.runs/impl_1/hw_wrapper_power_routed.rpx deleted file mode 100644 index 7d86908c0828fd70994e758b35050597c7f20654..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8189 zcmeHMPfR1{6`wKK3=cMVXG4OBD4(cM4XI(qe^|#r{RhLs3NXRIW_Pu52YU?D*dAv* z=1){9NUJDOb7<1dB~6q|wTG(dp-QA&($z}2B+^EW)K-;x$X2RUsfQ{(HB5| z|HBJJ_O?C12>W~Qd-I$3-uJ!t=6imEVHghj9UeiP7!!wJC7N87i>0hyyg$e=!0@oW zo7~l;LP6I0Kj1jV$@DRVab57^jZA=GR#3};KA!R zriRlu-ko++5kDoT=|q^DXDnH%wAY)-{4F%_$DZe=hCjITXG@ln^|Gqh+w1iv!UHOQ zGdS$?CPF4IC6CB@{HOy756~wM(+^XYj*iHR0aFJ$d7>=Ey&F0n_i5-rKd7SD-~0K! z_fHTuou-PO-k-ny_6f3X=tN+2T2fI@p|h#SrY7ag1+iaF1*;6CWW^aMymV6$)dWYq>RY6LpQWX*XJ%d zNgqpkfCp|>-cpLXtT_*E*^t)(J>ZDz+p<=~J6Q51WppHzt-O?_9EPya1#JuCac3Hd z!J&V%um(MQsf#ZOqL9jN`2@i&@{m$i+uGK*ay~y~iVg2W+%G zujb_?Dz?I0^TQJXT@^**3J_f-I9QbS(Tow^nUm8>DMwtQFtmsNBj~bEBZPBt0{261 zyR*=_#~rZTYb^H$%k@7E>=mBf=(iQ-hSOpuc(Rd&tSP^WBCOPIHxz({_YoLr(0$ucw^tsMbLkW!NJersl5j z(0T5D2eRG}KbopQ+mZ9Jekw zmow5Bh(7IKiN8)dJ5cB^6uJjFpY7b4bh5}f2qCB5d`s>5d?;K|yWTd_{!h}Er=5U6 zB!WQHN41oB z26>()L=w-tQ`<^`7_7%<_b@qN@DuuAB`?wB0+wJVV@e_{|4#th{3>vZ8#7Dsgx3q5 zqGwDn{(u#L_0dn;vI=eSOvV_rFUOZ>;jz`&>PC_djSpyB9*+Pg9NyY3di+9}vPTkE zmuCRP3acV|;z)ePa>yy7|Y3f(<*UJ=i{(!eXi zjmCj?8ZJ7Rp}`mqwqY>xAJ(VbF1JVYUGxRK6TX0zaqE*Vw~ATLNW5nHJx#hjF|k@{ zp2~?6NYv(|s#&ufm`^UF@~_tC*;+e{H2dGSL%{dgZ)wLdE66pTGXe%rx06A8ahK-S zGfx6c%tdNiE%a+v=w*xSI*K+TPpiPA0Fl(azu zKb{P7xSx%6ZBDZDxE?yst zmlecD@X^r3u;hPZ=j{CZc4#*`AvHaR>Sjd4{(}{j{57*v2sJNb_DFF*pL(bn)@u%i z9Y9C}1%* z3<@J~s)L6rfz55a@SESn-sSVHsag*W(oJjzKk8>-{k8oc)L&am>n}xXVg03W zEv&y3u7<4A+wSOVL_ae<@n4>MzA=UHzqKEv&y3?pxGf&rR0&O^6xV(d2@v>B)k+ zD{I7^QLv3)`N`d6C(R%8#|T#{H)TC5OUnwy(gRC$1h;eilCgCy4ic?JLqpbWwQ diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt b/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt deleted file mode 100644 index b1094f9..0000000 --- a/project_1/project_1.runs/impl_1/hw_wrapper_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 21 : - # of nets not needing routing.......... : 11 : - # of internally routed nets........ : 11 : - # of routable nets..................... : 10 : - # of fully routed nets............. : 10 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp b/project_1/project_1.runs/impl_1/hw_wrapper_routed.dcp deleted file mode 100644 index 352fe21b25dceabbe0d41b19454a94065071d006..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 215642 zcmaI61C(UXvM=12X=B>Pv~7FZoVIP-cK5Vx+cv9hOxw0?)Yt!W&pr3u@2&Or%8Xw` zWMr&}%B6Uvom&}bF%?W zfUkeleB|=5%A=>&2+LqZar1?;;@bAnw(|v$% z9)b&4m7%OZ@8JTCv@(;?6-R zNQzS?*d048(6~Wc9oVO88ci;PD6r2J!70>P!2bN%d#c^=@jWks`>5J`)}qb2@BlVr z1$Roh>gKyS{1aTfShmTLxpOwhr1z3*Zb+yE0lK%IHi%;^@D(ArjucYmz|XV9Cz#*x z&-h4%%i-ptC7r^Y9zqNm7A;fX5e>3?+(?A5&Np{)rl^owDaiWBmHAq7#tssd6q1=Z zIGrt|igh@QRK)L#LZA(K4MllA`pSI~l-+8(un2JO)Yr9?dQv^9H4UQ_%W*^x=kH!v zCjbuXCzR9^!UW<7VutJD#es1)AA^zi(HdW1{CxltCK1Dco?1}v;|w4r4*;@P)8qFu z`P&dCgWfSPBS7vLT#oG8@5Zx-F&wYQ5cLRDR^gp_+dljWWLn`J;Tm<2091^U4n!ya z8R{BtaM-WphT)xFO;np9$%HC$8kAnn3)C%oH&Jg4O$!&SnPL-J@a>yh_AdO-Oy(Z@ zaArX$az6~pP1IQjnB~G&h~->2O>rp|@eKs2;MfblSZnPO7lD7bX5EoyIwNjEBTcmW zD%h1p2^kQ_eX-IozEWs-3$S>7+4}OIk;-YHc`JJg+N^#v+s@yi>-GOO*Yy|2UQzGh z=)kyZqd06KcVECJtEMyGO8DlMxA>u;5`gFwx56wJw}L&h4gx@YgzPiEN8j*mJBLU3 zEm6?b{bi&B*NFpzfdTy<>=z&?;4LWd>nGvl_(giACWWD==-4N08fZpub~2`|5kz7Y zFDYZOF@)7OPeFr;F*piEZYst@BM9kQQ_`Wt2|wt^%z;0na1=0}ItHDISaMX40RyQi zSaJf7`2%ir%(-~WQpkI06MlUSd&q_&CIZl_Ap_4kCIZB@GGRA?6Mia2n#jaocIg*E z1DHuza(j>U15o2|rCw{vnB^0GA9{df%#aDc?F+QA)a{h^>uqoA1J!;OizwOgkUF#I z*8(n1p~}rHBTY_(5FLGn{b7)DPw_gBmSlXzPgPoA3#DflJ5(C7ks`7Y3cQ0{o7?^9 z58jUd17x+7zMOBtoCAqNE3sq(^+)!pkH#;NVvTDhs*N9{#pzE<*wzxp#p#CSJ{kn; z^ylZ|BDXl_&%u!*eu78 z!vT?7h2OCNKOI+cU1_7NYCC0bHLG2JKExOdR@!}#7ODmf*aGlR&`5vLi6TfGoJ%GN zA;%jam@cE1;>M@2D9U(JB^8tRD=B767O1Zpm18PCgz`B8er0XujZ&Eg$&FCuMFuW+ z^tgIDC901` z^e_B>2r)@5V822teMvW_W=r%qTXX03^Dt4}Qs<&~CBoC~9UhP+S;-t+o>z;2t z*A&hHOC3}yiO50_9AAV?0ZPmEv+7goFA3CjWObvx9~T7<`hfcRo2?5s(WlTHW|cCz zDx*xN;>?XP?lL2~6bV<8N~*y2->^8*XA8CjkPm#XeD~YDBb&;vKH^&?&cXPFc7+Fen;5ub{zZZ*K$q?k~WQyw1Qu zPvphG0-cHte+j(KaQ_mB2kQKY?fUrjBNp$Yfsl~e`5Wj;7Xf#`v>V3lp;gx~mf(tD zTl_>xLeYH#0T95&%eP9w;Yq&4ZsU3KS&1I#o0f9F{>!39?l(yfn(cVZ#i@G=_2wbL z+Cl`K>ie>rm1^7t!swdInYla4+BwlV8CrpZ{O@V+?E#S|Fd!hG z#vmXF|7#kZn~`Bxrnc?s7<$OnQw?UAW8Jg}cFYUZzL_ovSYBf&bDuLtGOLK3Qz#g30_*A!bmuacYzzX z=65GCS(q<$8gAp0N3orQ{LQ@wTfZ|%z!mE?Y@TDb-`FB|$2b3G{T{J-#6AaNe`f|d z%$swOi&7+w?7O!~ZHCq6FyEqD1(-DxNu1~O{~4GD-N}oWzn0W6!k;1{2%lJtrXiu{ zMpuze#zDuzxss~=L!Z|>-X53}moiDDb;l&m2li>hT@STFf7!eCmlJ-u?fKboTpS@;;W|dA1G{%?Ec$G4X|vMoPqZYH6ct#hJL{*5f`=1w zm24$htcYD_w7nv`PLFVP)GP4u49QM5+?won^g(Xj6vj#7NBKC#Ffva6oM9Zcr?#O4g{!HvwZ*>~iCqrCwb8FNi$-Sp z0v_#JaQpS`$`JWPa-@*LjD#{M6yMs#{H#F#ENa^tzzVQI6do}T3dBIkse`oZ@hELm z8uEDX1x4i@;Vol&c<53+a3fG~c}RMfgABYQs)^YVy*b>f`p2|jH)o$?Kvw>>xc1x8 z>0i8y&G+jl&tX`%N__AtrO*p)i&f~cYmr~P!?J?oJsBSQtF)&ujh}EB0f3X>z7PD) zR+?>uuGv$ivBaq9=GcwPhndd155a)hw5HRR-YU>=(X{%Z87V1S7*R%6Nvc$!K~Q#n z+B<_e4q`IH5-5nS$|-b~!m(^5qoi(?&oSdPi)A40QLPxsqDPI$T6nRZGYCntDI#BU zA~%j!K4r~02|ZL~`g>5z+s_h>wthu8VTC2xG-2&DW)(9fI~2`vgUV5)S5O?YDIxN} z$Y9>Q0IT9SO`CB=z}8J4niD4&dC=*tC!x(p~!2zCDUH(~k0C6_t)*j*Ngi7I@ zy_hTb>{-+3`*+JE>f%g?QZ1^EL8=VU1EYhKDL?7(;S0VYqcQT(F%-|E>FBB5u_-2( zbj;{%Wdp-wcMP_W;+VwrZa`#;zQV~3GxZB0pB1YL-53MPhWmIkR!9b)*gT9I3gXQ(6b^aqaqow7MxuK>|Df za{!*B{@SK(x z2+f^U=4>!9YLXRo-_G36Ox50;SofW@I}k#Q%W>MqT!{g_FuDd;&z&{NB#LF;1Wy_VAOcXwAaGbh(zrud#G;Asu_j>99lIDMA{`W#R0%#>r>fR-3Sih!vBP#R}{)r%|1> zA*N#tZ;fEv66D;i+R0d}!2%}COcONp(rE|QK7;p~Ol64MUF_rq%Ai$|1!+tZniS@{ z`iN_*1qfOik4(J4|)BP zJaZd#>u_WLhJrdPs=R;@9%T1isM3g$Fh~`j-^g2kZ=Q~KqDsjzK#LtSOO}4wEm$Sa z@J==&;gm9Fkt%+_1|5sv4?T(hDO8@TKFchKMnWRRq+oCZhMZdDdc6^nePv*1_F8#< zu?#*MLW$C;YBPu7ZhLfAanAA~gX4X^#bE4*2%<2xo9Qy*>fOGJ@Oiu-LnYb0D+ z#4i1^6;!UlB|BS_VF8AeO04+!l-udD%ZYqJ&r6t&lozteaNrXI5@&UM!YSkrH4#U7 zmm#N_Msaj>%OiNvnRnzpD6Hs5Vfv4_k*RT|*EBzSr(yR)#xV&VZ!Nqaner`zVtVzR zGtw#LMh@wkkFRNBC8xaLXnLaXtrrYgW54))I=G(Xb?dWs7Zlpr$s&!Z2g#2_v%MAC zYhO^&cnqQhMAfEjs-vc#odiLzv`cY35$AWFIcXp%L=L17v&k6h)q>0U6IO!sO>115 zeV&diYYnOVj)FICFAABXv8E^(Mrw^~8;r;u9qLzHcS(2va0H7n{Hr9-p6&Uzac^Fy z+B2BaLss%Z4#crHOaD%W{fg*4#Q_8tP0)o-h@#XZQFRk=d83ynt|uIGyz_jpa(5v~ z#xYhuqA^74LRle19< zIzPY>@{i=V3Dbw<+ppLpxYw=JjDwIkiYbi=N-x*D_$E8WPw$_T8G)NES$M&Qew$F| z&n#Cqlw@ZWmscf526L{BRmF-^(fFiJdcYF*87amMcu`k_8o&vztSOn99)GDjK$=kl zLnuL`uTj&M>m4VI2xl25`2nsZ^#Uft#=w&T*VDkOO+xki3rkmZ?3KoYKG>0G{FB5B zr)W0!qhjZ0;GJ`Cb)nUmXsEa~-zc?2H&b)buqHHid5Q<0M&zQHyPP z9Ye#z$_@vV4fl+uJ0c}JJN77umkfW5DQPk(gnIA_7{4KA?&FirSpNEa=KtSC@wI_= zxh6CSh)?eSRTR4#nJi?j#TRhEeHF##U_&a~)*cR}s~K748|B`g3-pAHgvcXo$sQgi zT$pRGw7z_LxX(|IPyD{0pA{9K;$a5uH?q!{-gZRM8O8M;qN zq9DxHt}6oU{CWLn)qt-7kUI@H@cASU412iR|3rD)lK6ytJUYKSp}<-g5g&odLdT@f zyqV3n&ye*3fq>jeSDjO4UD5>1-hy9)B?mkO~#e(|sBr`z_D@>-#)r*4z zkDGKon%17(wOu9i!=L9;b|sPCm}ZZJjB%=6K~(&A&`wz#l)q%-cW8L;&&t4VH5Di& zU3W|^ENFGgAV>LQY63oXVFS-!UOx)|U?33VI?w5m6ceU>)29#!DMD^dWw7!5t1$Do z;SR_OoW5It@mAtUv&3QEk%c4wA*8v-aKgXh`cPFmrjzyzm+hHZt8b|t1+Png->PS& zo#Qy=w%7xVRXz)748zB$ltH6xgf{#%0q%DVVw#vn_F}PXZ#ELXf(##H_e4 zGQSNWI6uU9;!K>$P7ulW5c8M^8ut1jR-=9rnP-%WEn=;7BB;4)^&EP=)Cni4ih3b` zkut?$X_7Y0fx7cutxi3IeY#64)M#;-wtsJ(-&bQQy<#w-BM>L}KyKHO!rOo?V$p-sN5T1>-q(J~Y5of$V{+5q#)q2%Z z6WTJ02TuA1{HsK{Z+T0?>|94Cpp$agOioby>8&4K*D78R*{ZEwqOqg&DAKGsU|4g}F%doD= z*#4fh+xtcfvZratO2?;%H`7)=^@b9RmUZj^sdNB$ArH~nn~sOWk~{tB-JIJ)_#@{u z@w3jQ#9>0^kJ77{t>J@k8#9xmGyY2EvEp&%bNyt2%2wp`t zOo~d^VY(=g#~~lY*ejj+5IPhEJEgs|wv@tAbXOtI79oM;%8~<3E$u@(0rC@78OGC; z{WQ~Y$w5hXeiF4!&!&15#eN(+abo>8M4h_&{6)?Ln$ERi8^SFWR44pDne(ULX2hI&Cr z#&yw0fheu2GPunMSY;5p4p=%*d1+bozRSK{k%Q}cb%)B|H7|^@W3-s)%6G)dr+DfC zOV59&GR_4c8k%qEj(KVMY;6{GE(Tqcrsu%J{N zpRn>?D9&#OfA;gz8Pr4*(FHx>$l^gndZDXOSE8Uj0KxN=hxu>xM>Nss<+=CYq1=2^ zAx?13QOlTBmPU!0IXspE@$NstsjJYHMqp~7kqp%H@^E1Tr%5I35e}C&72uH=p_qtR zLV{cu*Iya0*}49j#jUsDP(lUJLdwpQ`-vjx zf^a;Gs?J<&p&;IHJkDZmXoBF*-4FsnK9XD?is0CsE!p`aX(`S~KDz*AXC+P*;fTRS zY5+DX^onHvd|)Rar&|vwZX2@^K(f-JJ;(N>uzD#4z^$WtTx}KtY_Cy2 z-2awl1n^kdK8#?tvclpn;XG{P*i&0ls6Cvwnk^pN=>g}qdm(enOUnFEW2|O zOKN>|z*Zk&>d;)))TlH2SlA5Pa_V%L`)3nAhKJT7Z9L;Iw62kp1;cN&rX0^KATHBX zoS#20mu`m45?)rd>17M7LFsBAc-o98 zwV(~-Lt{Gg6vP^#u!Hd2Ok*06o1fh!-M=+*%=cAN2!uPcp))}0P&WY;=B`wq%<2j2 z@x^3qu2HV(=gsWJS<@WNg~m%r9swt4Ysrb{%3K%Cq2U$ewph#Y7HU%K)=|jGs5@Cc zgZ65A>$)28wzcQY{O8J>S(ih<;FT7+oySXHk`L&JRk2AWo>?!qLf%-S`n-L zNeh|vVkCV#f815&<&f{Yq`al5pM-lMhd$l*w5ER6koigu{X~o7if?<394clYOXOwy zi3<{<2cBh{Sd-^y6I5c_P}I$h?{>gd?dp-_`-`?S+@<_5(iJM}h5US;?~YT0@F*jXH^VZ076G@Bw#F5Vp)Y8s)re?H*uC|L^Wx#2h>pI^Br7vb-E*SM-@AKkuF zKkddTHVF;^vpJ-nm8LVAg(?-Mm}c9_$^<9c!n)-z5I`jYGiCJ(M8xInhB7|Np1S#wO=o z5-HZB&iE>bgnp+tJ->gDD2zfFz@BDv9Jzcw0h(;S>5x)qgl42EQ;a#leA7cl|MnL| zeEjvhMz;GgAh)TN=Wjjh-yRf1&IdVMcXwJ@j=NjA=&n8CmZuit>c3D{$ffN`H{LUs z%XNlgnSUI+-=l1O_B4UF_P~cLpFKptgWGe8?ngn5*BK(<^Lck|>jgviCEL+->*Lk6 z`zGnjSl9c&bL;uBrTclX#_OoU_dbgM<8_50i*{2iPCS$WCv+RM=8#%YeYFyYMhe$zC4^1UZF1w4^&ap5GJ_8&&< zJx28Jo_qNEikffn;7qaMT7A@@XRBvCqrPm%D?3?-4 zw6}OKA2IOpr@9%eR14PNY|zp^qA^{YaX0#^QuqdM&)+W%T>E7(L-n!O4IJ4j!Cs#| zpE^=vmRi^$kBFsBb?t0AHX_f8?)>jUG3ci$0_ov0CR(%u)o4^BCdI9{w$AZ&H}KOm zUwqQY=o24Ya=9*IYVaeb)ZYrvl5mQnY=uTc>99K)c-qu#*v4sD4Sb(0R&gQno0U$- z+79+Jx_G%e-CDVM_}1muvM??e*==yULae5Aw7OgI1gY3Ft4c0vHQHzw5>xHbFzFNR z+wfa-=%{nHBK9s{uiaYpWUwOW?)%)`?H@k(K6WlYXJ9kj=+h@TWHgTk59hi&+j+ON zH?-poIJRimX^N{<1@Q6dueP{bha;X`*x4Z!5L<4o*KL+69%@$zv>0@SrhLT& z5=kok4&3bz>0BoVA6K5AkGnp@WnKGh5v*k^CPc5ZQpUbd&$rpb5h)`W?{>EzN5G%o zyYAD)Ip9fZm?HFVH4dAe9ei6?y;%^`XQ-28_u0B#*?qoET8-F?7{V@~Y8h+1@N#t{+Sin}?ac zo83F;Ai$pfW{7an9txc|_Ca^y2I+!g5Q$;{#IILtCvn zN&=Qh-Mn|!vQjf1Im&Xj zV675@B^6XeP*(vjg>Mf{hHGwxCJ}9AVY0EfSP|SdqPYg5#y%q|$ImN{uOalUl*305 z6TL#eYq?r|O-EY`AAf^bJqs-@sOj$U&nkoB9`V=To$+BA%@qqSG7y&wp4_^td!$j~ zw$y-H`#FcET_kQ32lUdKEVP{kPm<&$jPYZ%MOnm%xL3pI?Ftzh_>sw4HO~a**n?>+ z8ozHw15l)uqn)1Kciu;6OeuCev7=gV!Wjn^_j6d2zXDCWs@FHy32e=uYqHoEA}(fe zSZaE^Z&Xz!)H{85$j#_Ya=b1=cPcDJyH0LIXQ$(gOvweyR2RpQHyPgDor>_|;?J%zH>>MD*Y zP(`;j_hNscWbp*UVIo~K$2N=Baj*OOU9oAonMzx;>NKGDxs7u5xii%*0D2z+S3>yt z9$zg}t~wuAb3O)TKlkVxnB5;wGjv~0mjI5KS| zJ4QHP+ihW9zl zPb@efO$ZPngnt~S@A|9;IB;j0^FWpSEL+>hB`0OTzeV4#?h<`KOw-fKvZLY+F{Qbt`eJ|>HIO8U!rH{aNkZ3bpq!TT| zjT-$%g=V)(ZD6hLPm3Xv?J&`1v`8mjgc~{f&2O6BEVTjdx<9T4NLK?yn^7X2I1z5_ z=r=YryBlf)C5A{j(IQ@4=rr$$=TE;Q^jJ4^Id2`>+xLu;X+iMruU%mxyoAkY3=cr zUuX>1QW$fLCrq*K)Q7y1|C{9n7JZpdQyn&hzf@)e9o`M6OwsPt2fY^MZ_iSvxegnm zUn&jx{+qSR_T~FzJZcDgu`=MxSgw|tFh#jr8SrXezxqm@Gsk+u6z6Vb$g7$0U+nG6 z{u%Jq_jPOJ_@iN)?pwk%FqZ!Z4NoA;PUs7-^pXA}LV|N@hC7wdkc8PSyrXrhM!z&R z*%X6ph&kg#fc`A3qgLu{AKVbiqNP4MbOOXQ(sU~m3w4kL;qtrQZlDNo`3%ql0E_?t z0|3ATAm?by_lxfX0LB1-Apl?s0O$n(Mgh9pTU)zZz5@W*PrT=)o2wB33Xtx_br4|r zxp94!?EB>a$Ps?uVyO8EJLDVc3zYi&(Ei-Gx#9%sTwi7TBFazEb3DiUZtZP-jqrYY z=lIqEAHXRPTX=wQ`Hu)X{4=nu;us;`O@QPQx?$8!8%84?Rq%D@~x$(>0>^@avZ>KvGl0OZ?*LJKu}@%b_U4P z)@<={ux5SVU$tm;u;w>!YtH#{1zPmwrmyM1ZfDiud9=yf!TN|lTWgJciS7MnfAy2r z&U)+1`^%Hq#cKCWzl-(OdtG~Tj+*(B%gg>M*w>5&yRW#$z8sQjYl2DsJFYK>Utz!P zuTJ^$v^C3CTR-oOHhpMruXaD|uijq8tTuU{g?@Sb*t56xrEF~mN;z3?MLnhA13I70 z)V#B&4jt;x*ZB<9O>~E-RSw3zBHxxfh_av88>AdWd`LrWDRFQ2D6=h@W59E6sWSn< zH^k={+5PlSuhJ91#ssVP-tA*1RT~~Z(7t+~dCH=CzofMy&hr;u>jSU5xLey8Khj zUGBB>!+_RPZb8lzMT=h}gwbz{Z+f%TAV9hhSIp|5!yuq_Zuc6dg5Ch@g$GlwZIw&bKSkIn!79Ce!$l*``S%g+gpFX&X7J@aNmz`?^TX6 zaNjZB-)KKSTq0kFao?|=pSa)Ov_C)afuEzpFb`gjml-+lhf9D**&*N8ch}M!6TD|v z-9)b14^XFV87aukAg-R{1cYnbGVq!uu4{8mNF89eQ_lj|^_8#YanCJe9k{JJ*ERY) zsA!IppRW|2Tf?INQ8Pm4i}y*7+*uFslUo6@m_Pt>v)O6ex)eN;?*s&x%ysQj1)fvq zynS&c1&Q8-@U9D>cG7cdM%bV7IeL**%K8ZF`-%;vhW~Bd^4xcb-#4OToje`wXDLXi z`gfm5Gz5d`@}Nz9K&^ zX&CHj!%r=}X6o|n8`L==+C+n``>Y9hlS z{ArsQb)0x0^W%Rw)WmWk+)Zql*iJP>Kad7}U(V?M>H9*^`V-adDT^O?_jO~=c?nW= zScTdD%wBt;5xMvM7zSc~dOT$T-p7gf0KYASZ13`xn0RzH*XIDCdl4IhJ2&B*{J*Zc zkr?bFEjx_8(Xi52g%DIlWrG5Cx!f5wo^P z@EbabpKzifr5Ht51hJH-A}3NQaF+Cvy6ufN{+8W_YIjw_w^j~jgG+jA<}I|ziyrVU zX7$yBvs5*`&2wzyMGqUZMlG}sb3{wD4huvnv*5+LSns$cm)ys@U^<)cshB@idA@}W> z726zk^`s_st4ld>{vl&N*1$gazM_!3%-<&EUi>N#qY-x{0%aGDe88T3fU}5i4%$AM zd_XS$`U}P~Mb0{W3KcuQ zY3w}^XA#+J9Q^|~{@q$V#|ta9g)L3Cf_5!i1k?OHv%b~G+Bew7fyHucYA{8JPG+bn zLz_i{D;-J{T?je_noKcY+9twOZ+UobxERb3crU#p)jYXO#Q$ooEEH3a|7W9_g8WZ& zKtII$GdAd6iPOz}dBU&SluE1-!I}SWXl>M(K&l$Vo%s)xp*5wVXhm@L{u|_C#51{= z9-xEt7wZ8ia21p7g`|Svka85fd4G|DyNDnph4pPCKr!V@MGW-BoPO!@8ZU1QiZPa^%@`+EERZatbe%Qv)T4k z&mEb0)Sg3%Xq!~QdXfC)EQPCiA~)8-Z_%~{`AegJ*l5wV0(m-1qyhW3$`TOM!4M9* zlu(2(>2P~L1k^w;%mD1{vWH3J8!Q@%=+0s(UZp*sTC#p^?+S{lZNdANyvnMOT5`qn_}k)y6ug_xZ)cJ=kc4KkSZ1tH<8IqFTLuPOWP9m_*I- zHikwMj%yc(gf1eghKi*xZxkdF2dib27&b!Emp=j(0VArm&%2W@{GY)uOFH|1*|*Zo zR_T*LS2Ii0#z|;jeOr2P!O{9>{(qe9qhtKrN%VDG40lh@m>wJN%^#x7OC^X>%$v=DZ#jTu?zgTqZzDN3h zB+Y*YHu&_ZbM)j%*qorzMXwm=l>y5EB(lI_6J8-3OEBp(Nd-F72R~6gRDeAh}l8aod0I27cltl5C1Eimh7h$ z!9Nj!Q}yO=y3NYg#uB5yw8E;5Z061OS1%fVMZ zEXANa<|O|F-CZZnTpmAg%!nqj^F$QjjkPekfkU8%h07qJ5$e4H}a|EN1gXT zhC}p|DN?Vj6N5jj>moBOo#)Vz?L7Y`UNr*7lY2wyP}Q?LbNP^Wn_^F(Q}}SGY6Jv3 z3&+y-5X4gXcztgb_Ii7-H8yTe9DA!>`zBGt17sn_B&PVR#Nq7YY zm4&q;PR1%3C3W~Wa|iW)mK0WL$1eyC-O`KN@Ac5(QIk!Dv^+;#TqdX_KL~tq^W3I2 z629K#Ap7eURYLa{GYl7-I8Mlr#KA6-1UV{BxE-Cdd8AB)2o@O)T)n-J1s*}YedMPU z2B*;p(NZ~iy;Gd!JrTTY!WTu9%dq;Q^8ca=B4ps<3S{+Z$fM3=`am&+2j6|U|3I~} z>^v||=!1asUy!pJ{mLI#3PcI}f+(H+Pt~NT0!(M6eK|1^g#UtSWsG_GxbYHz88Q{R z0Z5f<>L3$b?!#DLzlFxqA~5RDWS zD^ZX}x~k<5ioXUG-~KTmgA+Jw5?{5bS>lAQ#$bVFV=7BgLD6~mXHTkreC>l>Wnj?1 z8Q%brIJX7<9p0U<&2r=8_$8`6T#G8sB!E;U7avesWj1Vp&iqCid|@?@Z1n$TgyLpMx-VBm&(&hKG#$s;ny??!oBpaz<7ls4O*HE9zd)$~J69qOYy+3_@8rLsY&16m1+tyf{(r!9Jg8GQ zqTUnUT2grIlC_Z%sZ139LWj7;6^~-o_~}gBqyQozRkP7@&hV>%yARl;*PDHxg-$rY zP)Vf$oc9^MbU~1fUkNj;l#NEqEV8cTjnd^(Bw+7apuw!`+4lxxv#YId3#Q@FpgZNw z!lS#|8Pz!mC+lvS)fXnwVk4#s?|~*9Gg>oG$8_`Yyo$3wt;l5DymffyaBw@LWqvRQ zgih{#K6?3TYMqzq5xZo$2Bav%WBD}Q0qNkpK%U!>a_p|4rohr~`X4IZVx~gc`c19} z`xlZXwp(*Vg6);#uv{T@Tt$Y+!F5&8j;gtFW6b#({qvZnh#(?LzfMrA{B7a9thcGb zYq)v#nsAwH%Is*5^7ZR`8+KzidI$OlN5uMq;_=RI1LY1QE1D-;E!O@4w<`>nKA{A5_)>rsOyncqW%SAj!WtL)b+@120SEl>O#@Yc>Qb@zDu?XJA~~eO?S%1Eq!7CeZp*wf&RLwA1FH&!4PJUEe9Ip32n^!lb(h=c92SEx9qtw~VYJOsVYJASR(E}F+4M)hD-Xx} zv9|SE*?}_rdl4Cv>L-i&Tf*gN;1)^s`~1KCb)=L9zR0#c%c5$ zf%m%k<>A2vM5X&VbM@*e%Q7s36RK7D9UYdU-9=WQ9Yq?J^;?q-)yCreh!V5B>)2|x*#EeKGfE4r+FUd2>e4IUkB)&hMA^V>P48sVguh;db zL_CV+W4B)r4ek`N2inQFSyn_U#$KTD2`SA3g9pjbRXFQv+ronLC9Nv9%rytdG`qxP zXRPXr81LaG$ugR(Ph4*ln)lAn@O5->rxfGCx;(aApJssMQHSC4=9iZrx*gX9Ng?v7 zhu86QxH|bPp(#fW{fV2AvIoz6@A zpC&OTuu%}*Q}<(ZaY8FQB2$Xk4PTVj#>}p|Hwxay!fqTQ#TLwrZtL4$t#0UCPBT)2 zwY;Q1B#p>%K`KP?O;J(%9|t>K<0)4=Os2@m?wgWW63a0SEe?z`xOS7(RP8FAxzcqKYq8@H?o8V;Bq_A7)`#s^ z=|BDU15;TCvS3UojTTWb>4=y{BaA90T%Pb?rIH9!yp3%I4X#j27V?YYnqd2ZQC{UH zy2_1lQykzW-bNSFxTvf+Zr#{Q$|RFR&zOJ zPR@FbEDKZMcpxn&)7zO(`C7#`&b~5w`GZ5@5*;2{fY-q=s0Rx_3Tz-q%%Le*5n%$v zxL%*+FJp=`abq>N&6!aS<3!VNEb_Su6TL~}@v1hf8`cz4n8^byQAc-^S*`3DmL&__ zj%WfAq-i(cUJ-7}}n zbZ9LMN|sU#o8gjGbV=i;IprJj0jX%;V%3{K(vpy4SHu>KHoyPHZR8mx(*g?AmXVC^eiA@iVzn=9|RK1z_cR0{p&j=hM;fVnu` z1Xh_{`%R5kAG+3~4_WUah_vTaUDj_QFa@NdA>~}OprAIgVi8h}zeHaRnzW~!3RDFR zvDU)GFG&upQkbJfjP368^0R-B*1N2UO^o?Bl^^uMop9f1Lhfcpp}A5ZIGFw|l3$@B zwy=9VpSc_~9YyWwHHe|if&oHpT+3-6NCg67E!X3?B0LuwzTL-P=IsK1Zg5pDraeg} zP)H$vJqA=Li2nkWc<9=AL%*bX@XA*+8^n->_i^yhr?@j_0`qWRhBRvJZ}5V01JU6< zcoEqqkhrci9vb*|>vhNR-}hP#dkcI*?1y0-xsAlsd(RKHrE9Ux`U&(ZAv_e)G-LD+ zidD{Bng&uaE-k_s_Yf&s8uI}b^|dsB?NDR)_h0OPP~Cnu&97O)yecvIo6=p&w8L_h zfa{ZPfK+rt)tQ#Ou})<`2;8*f~qJ(*Ot5aDKmpt z1|jQ__JL6QPhUvTt-)c8XI4OzG=|JL8ux-#KD4bv&%J8ZYvlBcrY#=%y*=l{9Ef)k zeV{>mhY~!s+}FAOp=RdZv*^yUKfs=96jy`cG@Y3%}7bZ#}Wi+4IT=h)8RL z-#-+gryp}@YZBkbPSeAE&6TGtzr#HVvMaz$fcg4bJ{BY#Mian4DzROO5fG_*)VqCy zk+I}^z1&q%F(38{nU{I>Lw6Q-M-5N|l+3uts%MAzdR>9MjOT)bWtw5672f5m6?)Qd zV41#Us~2{5UkR?Mb6e1EsU34)+*dJrAJW$*lOKAYs0|)ookY(mJ4sY594&WyPu5$9 zf1i5H&uB;H`Q2=FIY0%4E?U+Lrt`Q7vHnoobQbX?Ko9QPo8b&o4E;W$i9Q6A_`8DE z0BXnx@owDq(nXoPryAy~+hv8({fR(=1TFlN3{tqD1^PRLBh(0*KwaRnZ+PaISxzP0 zcQIA4zChRRrGOboy4nOI0Rt?C9}&W?bYKZ|Ul;1e#82bY_cb3HId$s}m72C>a|nx~ zVcxTM1#@O4{7-V~v>UB=-eP>=`_Y;@5e-=S3UEx%HB9Gsmx;bD?xqoaJH76F0id-W zQyxD=P|ZHm!MFQez?!oJE&}KRwU2!1Jlc%xsx!jvH$yc9&{y{MliMY7(L-)mT{?-pYvbTp7B z8p{STZ(veMuW}V;UKL}AR0u9$(zY+xVi+qBu=mj(p}hm0v4TV{HXPa;;uEim)3DWU z?aVOhQq=3rw;OF5F|+r7Nr~rhVaZ9w!&CW|t0bm@guPx}Ff*uwqw@LkMr$^s`t>Tn z14c}NMtjV$h;Aa#PfQnR$t8F6$<8Rc21{6*rR&^dbX+N|<9`=!@_tCEdZfPc2#MIb zdhz72M|#Kys*dU-A&k>5nk|P1!Q8U#0_C`Niv!ej(*{k5w^T}$M3zIyZU-?_m25;iNrG|c^+>6}_OR>m^AasU>3ISqWzf>#65pZZ7_VMSb zVD^N0a(lrTc3BQt@n1ET?2S$WQABW=A2D_+qh`{4$vMe4WeqXS7UK$3taRIYiyC_T zrKe<1u~Kv>Gz3RL9iZ~PWqg6(bo2k5B} zk44QPjg%js)|cblKA@X@ohg;LLyJadLUz!&4loVdMeDEm;W2}nr;-h90LP3>LiPs( z@ze&eki8;tdJt!v?$%(%r15=54c%iTv0U2DtRxWjOB>8$k#E3um#}A({t&LV|2s6> zn6A!X$41kF?H*|VFOg4-1*01^^16c6%WA*64Hvp-WjKdUHu#A)ITzQC&OBU<2vwY^ zpay0zFOqfvNACjMkU3`;e>x1#PLPC}*7dBt5}0p7m?l5ZGUVC{q%sGov1A~%K`i>n zg+7}gG{WCa8ud9nnKYWc#WkH3{^-!Ok9Na>lt}=oZzR&2GXQbaw2wEHkLeb5N;#c0 z_Kv3c(|(J=J1uRG?Q9ST;AARbjU6wR#O)jXI}8G5NkTq@+K?v9JMJM)TH%#1T1$hNNIL}6H)mQY$@+k?tm(VmpZz` zK^VVd_+0@PsYo(J)Z}0n1l^{jc3U92RyG(gm!XnKv%+q08?oX+IuU$F!`o|d+(1Dc zcH|J*no#Cu{jokI?-B%pYyq)w;#n)i=6z*Y`W6bfi?#-vdg5aB9 z$qHZZq_!=%>a&YTlo@gzOkMv#>|W5vC>p2?{6qdx1sXtS zICSP88pP^vl?XVrG>-UBfj12AH#`;#4q=wiDKic}Lyy`8S0QY!-VC-++iCNHs|E?Z z*AE;~90XDR3w<>c=P~^sYtQLt&Ho^-Fszldmb${0Qj$%Nnb!xaBO zxBofM|DP2C57EHv(u1GA)7AsI>_}Jx{n7W*wGX&TLtv+z8-xlAE`IpJMgqcQKH#kI z4;=IVwBp0UTdc*0IS-Z5e+Mew8YmPGDtrR7%uO`{rhFB+csgToge_HD#~ohZxw+{Ad!%0MzeuQq)lV~-HC|bw(z(ZJ@=xqxL$tl5UpSaakAvLasfN`sCFUp|GqIwirk^2u=z$A+nw8b}D64JY~cd!g& zOxO{B7QA@%C_?=r`-IhHa0`I=GriC;yYOd=Q`iV(8arCbhy@^iP!MD!e4%)mSHVyj z8DEwG!*r@d9X@^aYbXS1y&M=j0Fv~Env-PSu4t?cVk8xO+?jX5;9GzG;Vr-hV0SwY ztb^lpcI;I((X5S{s1yc$=c3Y+|fn?MwB(E`o zvO!(4d4;keCWPE3NUcI8C}c>m)`eW=e8ocFj6RfxRR1mX!imx}CehZhzNRW7#7&OT zLCHctiTH9wMhx_BsZk;$#uZI@o*^T)7)OcuEm17W!8U()kP`b*-^{d|>R&<&zLtCDAEs)lb^*?{`MZF?X;cn9N*nJ3&#TZ5S++{_oeg9Em1Hc09US=3=mtb;`n zUm~VoG9vJ!2y*2e(H~6slGZ3sd)wf_P^L+cw^E{yQYFYRCpg$NHRc|r6lIj<-vT}I5GRvN{gWpqCRe~~wBld6X$6|G zmvOZk&_9RV?Amgu0(5P3QrfueiG`YPEl1nHnp~d2^f3`u`qHwukz#e`PO*i=+7=u> zr$K|y6rb|YZ(-{^o}dXdAUM%n${-#YX0pe77xBc$P?>X=4Dt;(_@c$FG(nrH4!hM$ zO4_m!%$v#5n2M^FIQ6w<*f7SWd;3W^9<-C8;Nbl78Xo@>2c6_f&5^0V9d~mO-~4Op zt$V>kL5Hr#I?D>8ctzKe)wDRkk~Lp#;A+a6f*v*n>s{?D^#Vd=70cpu%a`8EXaLUb zluy@=#(vU|IOt16f7WZshX=N}Zl9L6L=p#HgYLG!BMSwMqm>F)w(PzK>>Rt|moHVD zOHZ2w#zE5vnebENKjF11;)TuQTo*Mts@v>_G_@yNPAl-HqnhEDujH(Cx*2jz->N-A zAKK^e{*7UgdItVj_y?YqX0b-*P38Z>$KgqTE;J-69IgKxuw_noPrRS^1PjwC&3Y^p zD0+p4_$AI{#t$-RivstaxHs>qMFK1oGjA&Fr(-qG=pJ~6#{wWR1Glmbbs`-6Z|8~ zWrQ-Q;ovBZYlTyD*&5AImAk2i`SY5vyBc{WfCxz~7-Dm?_7Z~A**Dc@itoiu|4NAi+=Ws#k&=?9F2ms4IYl!uE!#x~pyg4(HLjBk#ErwFA!9+x=Q_;WcywepEKGGw3}` zOAi-QjmbGum5ZPzBw6s(Ft+1<#mcrc=xvpz*U3oweSm-~z#s}`?U%8WXACykrcVgg)ujBH>CD0G*w-rdFU^FUQ%QOcfcdT$7oW909m_P0t@fFjhw$TV9ZIqRnQF z2H1&FB)cA9iczGwa^;9qWZtYDTNy++4yCM^wI{ET=MQkVr{C!ahAK&<<`pQ&gC*xk zK}-CoyUP1FWgRL_7K}AkxE|2cEmsWHTUh&J8=D>kTkOkO#~N38SUD_tX<89NrQ|5B z^0=v0KSeVv`wgW$4c0Aevmw>E)k9Qf*MZq*jn}AB>RezTK0?Dn+v^4P!l#=w{^8@1 zW=gj*;G^I`rpBoYh0b0#?lo~E(>de+D#tDWLsg;3o4>Y!Q6Y_xKYm~2C>8bQCxlHW z`3<#9eW&d#L8+_>KG+UHtZXeJPxUBT%ay+EO4;r)wGbz(*VuWoAV za|FC?kb~)iX?dOAGhR6}qzt`(Bs_5blw$_vXki?7&~un?=fzee&`${E1zxLhXK9D~ z@Q$2AuR%5L^VC+r1FMyeKqjKNWV!%BY;{J^S9bTBZR;tnmi%6(Jhdia{O_knhn@-b zD^dGt{A5#}OBc10ZP;-7=&~!?9Ic9Q`-BMYP!`q7vK3Z=$u$|ZET$Y3a9Mb=on4iR z_7SI@KTUvW6;Iy+$>PKonI=AccK7YEW7eMuoPU7@<~*n#WyTfQRO$ zF-A%Kl=mW7gE{nyWun8v+Wj+4Nh9KP6IbkPm{m4*tWR^B(8+_1P}R-f3yK zvMlqm5vo9Jml?!OhUBP&)VWI25dptM5P|q?{zs>9*D!O6)$_Y`|g&;T<%g4Z1&6N^_k-S@FFtG4N?t|GQ)+! z{nhMb^H+db;(;fH;!dS8qlrl7w+Tn6X(wKP%~rIjj`I*}C677oQWhKH*(7f0gB4=` zmwygpWU9-v?|iw4jra|mmi+g6HX8^)=KT6q)2PdeCdOUDDSb=NUO523J0 zn@=35NoB|W+1LbHX7p0iBguvF?4qoelEXc>L4<;i&UY8ofrSnVF=73`ixxhU8dhXz zg#CYu)7s1E{ieCBCp1L6esMHlU4JVo;!CW_j5lOZ7igd->XlObyp8r>*ZyHZmpP$4 z0?{G@@3Fv;=r$Yj8YsO|iGS^?R)O6lrnhP%UnWuH9zQ1O*&CLqSQeqIO%es1qZ?(u zVk~9DXo5o%#u`vYEGs)0%+bv(Ur~v%VKjp1;Et}LgSot;gIT4sqgp1XMDJ>hv;GWr z&cYk1h;FkE&#GC$+}AXzwxeb=eQf|WDjHogi^4SlTqT=jVbu4_z&>fB3!wyhY>*81 zc<}!ieXgjoyvT{DJG?TCgfI~y`=qr@+rc#L(Cdlnt_(==HAA)zeQFp8@;SN&c9i+d zlIW*u{}EY@A#IqlW%3EZ{|H%Fl{EDAQ@s9ZMaG5V0Wmg7Lp6@SojeYI)&;okrdu+f ztqmq$IjXJR@wCI9tPF@Avs^uSL1VmWAKtI&a?A@oodFXxrCfr$U}M7VjqNTUaJj$N zK7L_Ea=eN3yD}BQ4AZmwIQ|>xy-Sjo8#kI zcFgbt2Z}<&k`1~0#UALHd+exCO%{KG zYcc!)12`eqoF0ONmCi2{i0HE_ZC3E1hb4w|u>CJ*SPB7WUr?T169T>#IR=_KS!1wK65DPTt#dZ8ILm6Na zh%a-QApQ+Q18yYqmPZ>(Wjt9VS`WO=^|f;zK0ao@*-RS@AdR%ZKeDD0LdB9S9{cT^ ztsB(!aWyzvkZ>Fg7+O%5DNR6|5n~m-L6p0OacLTn$Xr$-{bxNa+GtBQhukFnP} z-javDXhVnb^Dg#E;sLL8r`%bDpgZrQfZ7Mx=-)NkqeUL~yeTvu=#HAB{_JC&>0uFn zdDfgF4r zdH$~Le>!bGzXl+8UtVV+cXM%)k4irVM4FsfM1pp>GFB;|F_K}UKxB3-jwUqo^WAFE zNOx(A0Fo%2J>7s+O0ggQZFr+t_SJ8rYq?YW0$IYYtn*BvB)FqP|g~0e4&nh2~MdhbR?V#%+l@+z3;E>Set`_HYLq zt_YpGeB)0fhrY)&l7+R2PVJDpCBF>0YF8TDRh?mgOXul6z1D6Ux!N!4)=#3wJ{3iz z(iJeLOM#YpU9Ohi7eNlSDQqe9OD)7EYzXP3FqYabZ14!{8iql zn^Uqvo``eJjGu6sTDxGKpmXLH$gQW z9Ci#%ANoGFRaCYs@r2!*1ZJZS{|?>_pZi%BPTA2n5h&X3z|nR=?Cy(e0Jn0IOjKz` z*Wf(o;@&8d-3{kvlTW5{=4&13nOtW0zIkYCwVfNI8?dsan?zeGu>D{$F zoR49BF70Z)uO*egbKn^L&^w-n)4cr4|KO!HN2SzKWUPY3ax<>(M4C@>;=fy*@dkCe zx;B1RX%S>@!D}E&ZJl%2;L8i;@_Zr?bcW{k*+S&>!I$q?UEcAnujzfdbzY47t@S7T zYP3{SWG}7iQdhWo{k}rcoBik7{YuH|O~XmP zE0?C*;-%YO7Jch!8L*--kwI%z>rM~4cYYoE!rhr3SV5(0nTyXZ4!Uq4|@~B&f{OXy#xKbcB>Qz2wY17c0dLZ>?1s{o^!(5dS$uW4aV*8`Yz5P$*&BV;oEcNjM$6)M@ zU52RAm<8-J{PWb8af=hOxJf5j%1OQEh4kM`tfXCB(c#WW;(PjSBXO=-9`aegd#pem zV*mNK!FKDn(_B@ZFG;5?D1mU;XVB5IPiGQQPDmKaJYORm{n)9yid_eqIb(mP4xw$& z@$TvO?IuAfwk|C`#y^v5st?kbat~;MLKGNlj-3}*vBq0{3Ii?t*W7`Hrzbc!Q zixaI$p-sEyQhl{M5BDzOHLu9uT4@;D8X1bxmOMl$n;sfo^DNz(-}n43oF89H=)Nj9 zzf8?nB1U>fI z?1UuP!k3wrj(@R1guG=`o*2&Auy(RbZQ%wMgmY5d{8FZ@Q!+i~S(}7r@-KcMJE9~{TXCJFhDnxZ5$ox= zt*$nwY4{%lWO<6GrpqSUW)B_5{iwQ5-a=`@6uVMHZmM3;w(n#6leV?)1PYw(H2247u zzC?a9!p6BGdCYk&PQZGFX$OoeVHXk_B&G$aYl$+GP_Z=kQf{9C6PQPJ$X~A?TZ|vc z74G4q$@>llFBkW2nzC-f=lKv(aE&>U2@oR!4j_ti>4$jFR(7 zibX1$HgU6@5X-eSqwnOu)JBuVMePsn`g90oqP=#L^|d%ix3qWo`g-Q^@b=oUcnwQ} zLO(zNwRu#w97-Y5A9?B}hoBo}Gi!0c4zMyzO1X5L96QjMY&z?(tD9S{ z)IBL(a?npy)NL)PG*H*FC?asM&eftJqT0?7lQC25;*e(`k-zPHkq7a);Y9y!`Sdon zAt%J;nAu-p9?%uITV-;xvPN=pw?eYA+D6y68cBHvT9tVRyYwpv@a92NIW zUojs?zPvpUkq-_3c`Ft*=umckTO8Q*OJlio%@p{eYo3!rcp8f+PC{WQ*Eyu4sL8m2>l!p+#q@aB`DbgFj4ewuZ@nrHzZ;>Q+@w?Jv+E)DxDKsnnlFd zBL^EL{X)q$lyD6<{7wFMW@~6IT@j0<_H8Gulg|v-#JSOKWetKy1W}3nxsjon__qk| zP#I`kqi{5wov8M4cUn0o`$hc|yvNrB=#*eh>^+-Mazf|o<9u{iae|$Aij5icFa*J1QAYIg#KXF_t5NJt1XiO8p6dSmj&j&1VUKp3v$B7C=e)x zvs*?2$8+Dy{-&}G`*X%YVja3UJWr8JW+rsTaeFq+sxG$A7oh8oX0535ow&lz0man8 zUY|9dDS)+(kdhdY0>EiPz-RpQ z=zFZ*{7}fI$pW1zs0T{CW1F*8VzB)i{cl*|{TnA^Fu|&&{FM-~Uq1ERQ=@^+3)vv~ zIR*>GaO|d?E%_yR$TZc+$wA(GsZ-+jCD=$6=Du=kL-tSfTYd}g7dvT$Mhy+Q_4LZ| z48)uGJ+1hcBK;akvpzi6Sda|AQo5xXpaesI7mzEONDn-*MH%5K&&sb5yTuk2nr)&XqUCOn`rmNf@?}{duydP2r38uMh?v^Pz+u zSnoX|lc(lysUPTQ|S+sF`Se>r{KmmIITsXs?+JgR=b zT5PtnT`#gYXgW8lC7U6)e821e-E^(0xB(oTh82b3pm@UwyN!H*7SYZwd5pXMO;X9Gwu1tqU?hv0G}7Od zDV&@oJ&^V`kS@Fq9h=gyb#oiEoBkAN-rZ9457<->G*C51p}P9;G9;tgu!B_tak9?|*W7XiK+l?Ie^u9R3~s=_ zgVC;oQ=b%0kMxEWGY*EP5|pXj^oZ<2Icch#KPPhFZ|cmy6x=*$WDlUs<(@?q#)a$0VYHXvkpm0NOsmPcJ} zNB&+lg%7iiwjg)8=&5}~tEho+%J-sMqwY`U!)L5DP2I2&aqxwQy#lw+M@<7e@#;ZI zO#~+uyi_RF`vN`BsOd8^mWt#f&05T{L6<)EZT^!Gn{yQy!_AIbWcVhf_N>#LI^^n8 z6T_H0e!yK@-d!91k*DatN$k*56zBh-umT+anP2)9HBa8-!hnS|JI!DNEPTL>Mo6lr zjb;e4nx$O5O-Kg&v+{Bu7+wooO_5dLsv zRK-}s2rHTzF&fHERikAj-vm5)Go@qfAFPJ~pTm04 zeqcb%)0aTM6xq%+MnnT6;fzSt?{VXzM0?pF5{l+v%`iX5X)8GP{WC zW*Ng=O*xFGrTddfSg~@}2Oit08$#z*J=q0p$)3RCYCFU~P|*!Kn^UwB#q`bAM#sDu zA;t-tljzImOmLx9q$HHXfNSnfRh%t6K%CB}pFYQPDVwUV(0%0Q)kulGlvbYKr z*MwVTFk{1NHPCjv&L5}Oc=AkdWO_$uM1pig1VXwk&S93STz03i_4_9-e;%b~b z#fUGgVvBiQ)Wf;cr9-^0*$*|XSpIYrAz8~;o^1yO#ptNQ-E4Ig89 z(WjMp>HD%NbahamVwVRj=!tQg7jsdENjgIf6n7&!ye0)9aOwU3EsYudqyH`hXxI(I(Q)*jUykDQxNo94r= z4(Ddk-%fv2dKmxU7ys}BYZAZexXNxD=z-C2sc1<`N&9crHTEe`LS zcZyC$Kd&Qum(O|Pq!aF9JLgl&JMcg11&o6TAg2Iqs?WX$)HC1($ORMw6lu{Hl2;2C-hk9Bk z!Hfx7s1~qxJq}%m;#%S4yA$;qMt&G$B9D%z@ia@zZN{qDD`D+UDF_~HOb%ErnwixD zXKW@E4H%N_5BRRzxzW<_#Y@4#l~?r^3X%Yt6w5YLu3CoYHQSET64;S)lF&WTInopkvaJTM3w0Y#i;y z?~@E!M`M&l${(Y)Uh?He=C)mG#XY=$waV$Y;o+;Fx>ISEt)Ch+ah7I1BMfaH&G5{H z=}^q{WDfNg2-H1dJyx8myc0ZkbPI^>Bkw{bLjAOzkP2D;)MpXR8I%#a#So2WUE*q$ zIjg{tX-my9X;Gq^X`7l24a*r0hQTX|3P$Y`IpeRegPDJm-a{ug&-O#TvJ#VlVQ@{Z zwn@#Q5|e;oa7nKA0Mmte4LG|F#2JF!3U;+|Log@TUpHD=d+b}vS(DnTxM)+=->Y1! zKL3aK8)G^&*EI{926P*dw%R0h8=16F6+yK+zOnHEntCUjX&DwvWOW}_LcgSEFFrat z`6V^u*EQO=FLLY*{)uCmA~^{P>Zfr0xkB09)=xf+eWGVkFQ)d5s@2gY3%c3KW!KRu z9q^QD2MRoTAeNh4{KH;wgH*kBi-dwZaCnWlq~FD%21phhy2>PH^)OSqr z91qfWj++lmG`9f_<#RlZA)Fcui-;3qV&K*5s)1TdUK6Ws=>s{*bs z{rby}bHtsm?+?(naYobBZjZ+?&R+(zg}&I5Y#*MZ(f=e^$kqx>Ai=d! zVd$071)Vx#0S~v-n2C3F^ZLDa!wgEaI_b zlrzD~u!4!vY!L_N;icmOEy!N!zk;1E@qVd*0`*;6Er?XPx&&G@*Fl#P_EutPjI4dg zc>@~MhOXxDqxJ|c-skG#K99e7{GrPzYkq-S(`@r~lD zN&QVAv<3v(RO?w>48Yb=k?sDMdx6>2d70T&|KEyH;DW(LS@;kSZ{GGu1>*mUTn5Mi z?4Th zxNPEz(-wcJp}0M^Wb+zi3m)QzKe0wLJ@X5ft9V zy`Wc|{eFg^;<$%Z+Y|90R(g5~s|Rzb81y_1!vZz|S$7ZLawq4Y$A>Izxz=r_4`*q3 zRv!v~E_+Pn4{h;)Z5UM0pb@Ak#mUzztg%Wt$h7)rPZ(&&*~dFgEeeQwjXe}@%3Y0T zwazucRh$_dKF+SbiLLXNNx8307TVvBpSs#Kc)lHE8h7YJ3pSWntmjafvj1Xb?WLHa;S?S@#iP)DAF?N9v! z8oP*F3#$C#7il9Jql}{nf*Qa|)UG{SG7s@fN%EAHT)9KZLfKR@J647oHnsYg0~XVK zY8`1~!ej{ms8Ff;oum>>2^qO#nDwH2Mu}0dB1_=e)L*hFDMXPCfskO>7{<_V z(N2KoMS(AIelqJ44SZ# zjzOZZkob}VvO-}2NdBeB zR5ih-nh`AP`O!LZgF#XL0d78R;t&++p6_R%?G3I0O9j|(810H%i3|REE5J$*W$!Ty zNcl+dze;$(ca9D0U^B$wDW3BQx%S`1Vy9zjYv4EjAIoS{%3=rGg>6TjI(CDxuXz-n4vaNq(7W)(+)4b+-y(s z@NpOxUMY2uZ3CGU5tQOUlR?hM^|AZoAug=`$s=}>0hCru{4TS=w|2Gz-qt9W^Ot@m z4(B98&LNe`-CCLN?0wib06Oa7gZ7^(7t~+9xVGo*9glCuf2L}_POo%jIc!@uU433> zues9muJ$k&BOwBg^MfsvLRKMsegTqoX#^{^?<0^M?-Y2BLShCpa|&*<&VxodLz^1s z^u^d-$u_=w5AnNS^GJ&FHc?tE%zB+IHlTO8#i)CoR>`%_-^)iOxtme9t>HHQLGy)= zVQv7W0OjVYk3o~;G~iMY>39kw=ixKy$Q?|3&b3FYTo8gM@w>EJD||p(?02YY{Z#0% zIE?t&)}`i9yXvkYRd(z6V?_yCG#HQ|`fN7Y>0(Q3ZRX^(Ht2K&DH54bi}^~sVz&%zFzT$-$Z*9d1&I=t2#MR76QPl*yLVe*;9U!j;o^d?V^p%=SwITz6M1l5nQgK%`~>q$e_LqnoQc+Jug0tgHy_(Y_{qN zy#29%3HZ}^ZGMEXwgcBPed2$MCv-UbcO7+Zx@MH8(W2(#e8qNdp{A2^MQ^(Weis3KkHoR(uFje%6B&sc%kEPVzDSXV{ym_|JDtdWzrDY`=OER4)J;T-P?5 zbWW?F*FAj8e&JVUp0Lcj)v8;b4neQq|1HBHaG1X;Vs@y}iLZ@XW1QlvYtc#=WQt@= zm9nU%Ow!}h-RMjhtUy~W(M#aw(GkQ9FE~eP&$MwMLyuvSD5wpQ;cP;U7{Vr>T@jvSNS z6=d^d)D@2)2q*QcGrBH#27nNZp<~vLSczt)MEfklWp2Ju^~B?*(GwlBPN59Xxg`NT zVx8noK*LTgt-ikPvzcmB)1267!NTe3%kKEZ@z);%Wke$b@xpowDoi52aGrU^2ocyA zR`Awng^~)`wGH7ZqVY_sGLW`V zAi!ofoAWSep+NKrL%PK7IXQW)S|*wg1UO5YDU6<6L=L1U&aY!duu+vZGoTk*A>^W2 z@hs*7S)8M*_C~ljV5YeZP^P&zutAa-B&k4>2_!i|vSXaUJO*#|pOv?2ItFvRf9srQ z+$$xO(U!o8x#V>d#VlK0w>r@NGREiG24L!QaXAV`>T`;~6;I5{dlevbH=! zD2EgwwlAExTnE%rit`bw>V6g@y==Jk*@e2ux^sKF;6H!lvq@l(4m9JI%A)KAfg;6u zPQ{Z52GXFr*smLZ5L^_WAnbCwif-6knIy|A!4JfE9W<-KZNwAs8KX9z>WVlk|Hkfr zsDFhl<#Abb`C_b%RNCwZQgG7aSwo3J&)gy4lwf4>N`i_!?7lLVdU}#acg{K`|Fh46 ziYQI#fgzR&X9W&x?Y_{VT{Rm!{Vv45l10K9txeTHBr;XX6}7$R1>KEC;^e8~?5Uzz zXp{DB>lRzKsg9T3$mGpxHh;zlQzSrcc_NN>=4x>C1|rKtji`#3(#V9KZu2#HHnJWF zrPa0Ss-d@@^@LQNZNTsG-$*rL_jKY5x^Rzyk43$O-?+Ww`3uGqF#|>bZ%f;rxo8AQ6p=R_cds}_A z$qWb2HOr^znDDY$E*a()`pAC?N`mS6kq*-_spMw4U|r4Ku^g0h0;Cj{H~wod=TK6h z0u7vQ!g?O=1r+&QD4UBnG*}l|FkqYH#-q!4v>>a9 zJ}vA!h74jA;Bm+UewJU_J5qudO2zJaUb?J*XXHn|P+wXN0J zI5=I3%VjqbsTlKl3#S7mJuHb{j!OqQ%>L^T+kkdbdV48SH=BSX78c9tL`nJq??!Uj zW(x5*D`Z?6#Cu-o_ot2cgY%RAqm!Le*ropvhg1ED?8fqgN=`hkQz%KjTIn!3D4DL2 zbv#)w-BONeg1tDkJVR}KL808zu4I9|(WYK;*Oy}r-&{|zA?Icmo8x&A+mdI`h9}3s zKB{iezd_*0#{1-Z^{49tJa5U=(*0gwa$D)>-Y9RK4|yD;7X*1!>FLN`Ie(o`MJ!`( z1;xM3#^$P%Av3Dkl?x?KuXc=>&C3PV6%Hkjc2l5Klg)Vp4SxG;#N|TArjvOsXttOz zEFE4mW5c$MaFUyE7{T*WKWFb7Fut zjiXt^S0#hJ__I@5?~1L$Xu`B6aax|Hd`o3LXm*mUWHK{HYqX_@HJy^PVr8djHR)5> zW$Z(T*JW4GnIrd$fRon~xu^L1Si?>1DA8nVAi&2`q^w`Jj@@dTaE9G#m!Ji+`gFrc zRde;K8!0t3Rjr$(fe*C;hwwsFE-_TH}mS@l*nL*Q0?th^R4~qxNS{d2w-lL))A<)fJ3p-;57%ML5 zg+Galhrdb%Ck?~ieDP!6e|R()I=g6%US4-^6_$DjUXi_uJZNRhWa>NkW!U@E@q#VD=G{c!>0KbTDp!)A;LNPA2Seg!a9p}{rp5AS}MB}np zw5ckM6boY@7A{x^nkK&}utwL#$3R*)oiw=raUgu=zD0DoQG14%w=SyE$3d^ZB$k=r zFK1!9)9C1#pty$MV6pe0To%}rnNneqx>}%XmF&cQ6TZyhPoZg2jozY@cMJBDlb4qA zQ$4lEp@kcyIva zXtXgajZZ4Ny%GTVb>b8H{Xyg^S|!|jE`n8y&&W&~IcvZ1UsylkjizCiR(J4d^mNLa z-wAbNLQsrD z;fs8T;R}~3fcrhU1-7v0ueOr39!s?4{Ykg&nG}&oT=nHPrQuGpcIN2un zwtXDFNwbvM%Z<}oPe`dKf!NE#cW+XN3ttx1MtLH|jcQH_h9mc6_iQ?T`kN|)N>hK_ z6=Lthj3uB8fVlW^>Muz6cQV%85EuZ0qxf`k>(F6z-lWDW6W-W{b&cx!7(! zbLU?kf9ZI#8i(X?SlP{^ycR5WO4j)QWU@ zwV1j);KU%BY>-1b?z_#V+BZ##WmT0W2-O3KYfVpWJ|aE@@EykVGiLJ0bN706PEk7 zm5WBBIAE_{8m^lbiHlf+H7Hmo!MnzJIj^`NQQR72lEVjR?(Nxthq(PzQ(SGNEO4wY zNC{2Fo`1x4?GjfktXYAws+Gxh=_1#A|4r{$E~9&nlGgDgvo-v?W<`0$y8NPf{!#nP zv)ZLgy#uAPE=N!BUoMF6KM*xEyzNw(!Y%;8?C+M>*$9*oH@Akak5g+S4T)`bfQ{?I z!3E4{A`!tC*!6&&_{@qhv;|@5@jRE&jV7!k^2(;G=)pK86ms1q8E< zB}P6Z;BD?j6|;;0UK=o4E%>RP?`y5t^N2L!*$=}acycRkg&%I@Le46h!nL5NiWv_9 zFCGGHIg6x>IT{C%&@bp){00O1ns848cPP=A46s=hr~so6Re({$9m8=- zzYt)lc}Ydg#Nvt@{XZyPSJIcwH1#VrgZc{JUVNW7kAHhs7QXcr*1QZ`o({EXWEJqe zoEFhb$hskqEf6Q?0F4#>F7{WJQiY6)fKFu5AkSeCd2GF^LeDPqfDvT?N>~Al|lEy|AWErRKTScxHA#6O>3_t(63SE`3$0IzG% z#)b%jd2~3k7{oYIcq-Z5zMBBZ9!UHTeD_^ueY-Llo}OXVD{@@zs>AL+P7vZsw^lQZEerbphY6qj$*%@0V2nAVlpi zJ4WTZ3Hr}Z(xOb+znU=plA)*qx#|s>{Xv%DBu2=wM(Hx{?of`T4-vV_!kBE9#h^e) z;!aqvFra}-LA=p#&WFyIP~x9wdt{hG-dqUzob=d_o5KEuchaSJE=w=jpuv#wkWv5S zH|W!H_!HO5*_@;C&TG*pLnh*6;rRE@%$kIfkXte*=aw@0!qAtdyWeZibSNZ)e<-}dlsuJpJu@ttcF!m0tG0%c&w3E zFri?d3%|eY`Gp-yP{}Xa`%8sJobwIZF&*9@N~jJQhpKP1H5(~9*|69-r!2z)>CV+E zC1jvGegJgGh-I%X#AP*?yJZJTd`l2rbW4!aiAopXed@R<5R0%Qh^d|!J0*w-;~zIp zhzY~dO{~=?ndXrWj0W!)cES_p<21rT6=;N0PjOhcb6A&lRHtx!%iMd%Ojz~x^JU5F z&!2$=4vJqV8euP^S`AHbVbGRLb`)?IZuu(1{Xq_^vX=?mmp$CPYCO3sY?GB~h14UZ ze{{bEyzE=IIb$nfcccvh$tGU8Tx>L2pNkV^69Mf!^528ugEO$R){lQ>Z`QbP zAJW~aBEf`!iY4p(@1EMPXjfp!t9GWHY|Yy-$Oi|EcqUQ;>i^o9w+qu+8*IvLXw_gw zaW&=~MZR0|OrV=EnYT3R0y06!H@BvpnA&oV#z`%BCe(UO!R*Z2!Js?mRwiyJSErrW z5^D#4rOG6Dj{ufTJ+@-aGjU{T_~!|bw*bWPuZ7Tytxr1z*sg47(Jx6mya85Crqh&j zM2uZV;D7*_LKM^%NAUCClga?9hz##Se7oEFPr>8HziOO*SPBN1o7e;Y#TCm!9#9l` zIB{QD52&Dc_lRjzgfq`Xh*d+*QNNcFpfEI2vm@t78NA4-b%X-_<-L=_-n^aG15mTk z@N&RB(qfTTJQLt!7Q29a5bpE5E7~7~1MJ2mU4SLH{BsDtE~cH(o8O-vpACMwG#k8r zKV;ZlQX}cPOJ%ip&s2N9nPpO>%LLIRG(FC=PQ0h-!7VAg;Y-6*WxckcxC*RgKSV6L zf|MvM=U72id2J$Tx{VOkNn?VPuM|nVl8S*vIh;!%G^oeI#na_mK9FQ*6>nYzZfG2vMB!ilE}avaz36`y2d-|Q^F?;!KuzQ zj`WPAigV&*5wngGR$ecRPi~(bjaJW@9o;*4oDAEI%35FOt>P0(uHJ-; zDm6QZf^cuc=dUtiNNB(NGD8sz}T!3t%kl!|2C z-_!upiV`(N(~45smQk!6zJ#*55A8R5`u3d?^aW zs6+@v?;3WYByxD~mVc?H!h`}TNJQ^1cB@-O`Q1>&g-E4P@=t-;b=Awzv`wU(To%uVonc zc#rO__m^qELHWFAZMEv`7LB`}D4HuS?6|>)QD1=iN7hGX(L@^I&_!smNAP)V!Lo2x z2TBpTZ+1-}3Ao)+F@t)1vJDLAk>5T%-$ssfPtqXxdh+~&S7|(UiPRApK&z&L_?8a_ z^dNg~b`kNs@fXYrn0hT$Pq0GuL+jIwb|x4fnQ=X_t^vslOIW;ynuywPD9?8-4Ss}i z;3KiMRg3w6cO{K&rZ7Y(mzlBO6XhdW06+E*_%$zna(pSQkN>v+V{mdka(bLP-p?M8`fv=IoIhN&3h0|uxA7_z0N=xP)pT5_-PLT2 z(r0-_^Z)HQpS`#gQP4%;3*h<7*?x0pbopNpN;gWOgtcrh(i1H5duMf7nliCOYKlGhuUW`95E5S))D)l-} z9?%z#Ryqc_zj*U@F5ZAeFV;atOVO&W@sVs7+=E-lfP#O@-&}GS3+d3YDG_8B-RugSt z_234iR=n{iY=QTCUiDYD*_vA2Ia0QfPqSz(152Ctlg=xIarcM0HT>lU2JK8w#S;q;N?ZqQW3^(EiAu|DVR(R4Ml;O(KyC-HEkv}vI5 zaFeguoqN2~r}GOQesmhl)CQ!16F4L1#Ubb?UkE!p>GnjvROhpH(#uOQUSy5?_Hd1L zp9XTejko-Gq9DD-YOcZ{XQs(q?o9u`l(n%%lkMPqx_#`isIdZ3K3xpfrNx)laYq5u z27Ck!7Qvz z$Fmc?l{{Jy`cI?Gs;?#(YjIy#>l>g-rOQawD%Ro4ajcYG%%%wD!wI76U*Yc(3+gh_TSDBrpmcCcd#3rat z%)4CzF)F>eci;;BKIp20f0<2KB_j%j%i=KFD~jT7Zw$9>Za9y&+{u*g5dLTf$BeqF zL&iiHCi};mDV=}pGD?uGl?V&ST;$^w*>89-Pvp*AZPCFnQsAL56jWu=K~wAp0;Kyk zO75g8y9F6JMx$3g(_GM2Q1Yu6stn&fA3JpB>Fjjh-1hQim6*4=Bw#0_aHP1a>J9|- z2CHm+eo0wRa0Q(VzVt!^85o>#~mQi`{AS4Qai!cbJd&=orqM(9~oz4nyNF+cThOMt@@~ z_X&4Xk91OlnX7R*sr~AvHr5(bH`7y6R`bX-=)*9ds~)ygbNFlFL*m=isixNCzA7+h zId$H`aJv73)>LgIq^0iB9InI4r!gqUEQ@KV(@_i@b?c__nwb8{VmXN^=F{MrPm+}*AznT z3}`@xDX(k{}C2|W}#A9 zmS{bdCDm_)qrTHN-n_sadUmL^g>~xYCGeZ2Le;2c18pa_ql@c({eHdg%No?}QZTEp zEu&U-SyDsD&Wc7~18H&6tNy9F30lS>&p+ z5{Ti|8*!ALrA{vvw8nI)Yh+BxR`Gr7Ad-6*%KMIUFUxVr<&HxsHiA8aiu1q#aHL{M zq~;WOemnYnxxW~~p`q5srb*i~9Vu+4kbw^PjZ&6^5~EEuM|q2#>@b|)j06Lg8<{8z zq^>aZNJ_o5rp- zpdqwst`}b*15af2htUEyF;QDM9c$Lzz>m2$YS-ldn}mvsCFgED`_u^%bz1xam1q8;^jzS%}CbQQtM{C4JwmYV(t8IItec5yN08*oKFmgB=ODu$ljw zK546VOl_2X<=GcPu{wtjwZ3)G?&e8jYJ`=y70AU3t#?R$u5DLR4O zSa2$-9(hyS8FPV|avC&UTZgR0*|M2%R4+ZMw*0S*UsOF*s8l-UMVzp7oex#;bk!K{ zdP9Qf^))24;#~Q5{t4_l5SYQ^bxlRDsu%|DtYMh5An1+Dm6EsjxSF$;hHs?qM_f+1 zu*;il=1enIQy~yBkEGhM`l&E?Pz9C3Q9ZXSWDI(yDZ1W6;$I!S1= z2k>Bg+kzJ)RE$aThCwtCHV#An@HLoqedwUnRFk@4=}WHUWVlh4>GQY zW7T-e^;dC?4ac`#z-P6Z>V*Eg&3q;t6KVd2F>+~>G=wS2@~XlKtv9FUM?yk5- zSUn30VG~IR?LS@qvpCh5JoUarVT`Tu2biMmnM*+-v_BD-I@Y_O4iQ0oVz2ss1S~X& z-z@)B=nA<=`k)4>RHuv4S<<ClxyfGqlud| z6ojj&P>~M|h;D=9^RvCZS#9;P#~1hJ!em6<{+e8)_^1qky_@$rBZ@q-))fK2c< zFzi`ecad28^3ewD^pXrGZX!XJAil z-gRgP-I{&5b_47bh(u=M2oRX0gDdw;FUXPQ`Wqk^pI+7(8pUn@WPIh=d|wh}YROk1 z12-Uk$9BGrS$`*7es+RFbs-}5Bnp)q0)zE0g5Mn)8 zO1`gfTd`fSyGfth zJ7j1)F^)bySLFTa1h-s`2UW!rkEGcOMO0_EAZ)ng1|_>0u+JkF*KmOrBqj1)6F^78 zxMx7&t3NNF3o=A#AD&}g=!#uo-cH0$jyY2WDoZCd3&iXkFMMCmxr7@`DK?GH99eyEW z5@wh+``7?$p9QPc(mE_GI?j(D$Rdzj_ZU$bE7KuEK-tWq|0qD@OoCX-ARr7^p7fU? z32wprV$~H(cL-%gG_N7LV2W*HY_?0nqI<%Ku@cN64s4a71u z3B!FKL_$Rd0)zZzfD8QoZ)0KY4#BnsRlsw;@Eg+Xh`;{ONrsr|tF)*c(U4~KKB&@2 zD;qyPDPk%d=yHu|o>xqlIhW4rV7A^+jogp8PV$H0JnO}vPGjf2Sq(QPQ-R9}S9})E zap0Vz`if4p)qY>{M>G+X{AK~dNP|C%<>#_s>t>ALk&W%fM%QAsldg?$pS1f6PRSuB zJp2=HTAYLK-9b#+gm6riM3!yKy%3aO*@6$|Vj3V#2r}X8D$Ll`%V32|C_-qs4?oJ_ zk#D6d6@=xP7o9|kQgN`iaKtv$ReqnLz&4dI#C)tLp-U*J;GBqawLl8vWbi=;s(xG-`nM*lbw}Tuh)Nu_B{97|d{l zU>_$kB_;)kk45FTToJ7eIi8;dWDJr%g$QO%5)oV+SLe(gRTvLj&&1w4uJ7pH2!e(i zp7$xBEpW%}ma2v1Z#(@U%7!Qbq{t+G8Z|SeJZ5Se*cNYVa?-HW%OA0$s#*)$ETw~5 zmj|epU=J{ze%@P=k&SZAkRw<#2FR)joSDej83O|W{vK~HU-6>SL#?0kmBS4VUyP6A zh-Kfeyr%}(XK1+jCxcc8eLVJX!EnJ#N5K8(_drH`pEH4IJUtRz)X-K}CF0dvi)FT7 zf$Nk{Eei_l{0&$BN!^Vrz;z4I?`1jHG{e}!8i3P&Otb_1kvg!TGf%rsDdSW2Rq45e z^*!T0=}`{lJwx%9A`Kc`-a$AGyKnkWjRC$rZ{NABCS00u1&Q@ z9<+Hs%+RCZ0s@uD6dCOR$2vx^uMKdlIY8tnmg`%P$l$tb705Q>skNqMcPMxBQ)H=M zYopB0C^EpNeEhhECiDRfuJEP%J3F;`W)Sc{j9_J!kG0tx-gX9a5OD;^(_bp~80#wz z8F3IR4Re5<)eBy(6!||(g<~1+$rhbfFD1UO*?*3jg7vXKmUYlgmZ@mSWd;;l6DqpE z4>l-*N(~`K4(Yt^dLfBg?Ulo*LFcCZ9FT_PBgm=;7fbl9K<$uPojNDV;S!=(FX&;X zRMP96Ba6h?`)yT#f|Tt2WuR+rjOC%8z<7nTe&Nyiv=e_GZFb8^#C74G)e=bx5yb}b zmWThatA8l-r$+?lmd$yNdS*u zWhB-YM#g)lD zEkOMg4YSHX^-j@5E5LS#Ml=kqSw%f&T`EevI%VFX4$4~3tcTHzIl}`!W)Ibt7u1V? zySpc^r>E-u1-$o-UJ<h#7YYZcsmvC(?Qty6g_sVg+P~_G7+cB|e(f zQc!uibTf?NDjRx;o{ADc$%V1nVoT4uNFtua z5js3qMQZ0p7p;*8PpDi-_t(t{CDte{U^iU~Ja=V2ZCrI-#bG|m8`=;S6Hxim>ZHfq zjHkLCbt(C1d`X1%NpQ}}$rAsq`+f}g=*|`PJr4GtTrpG$$ zAg}P$0}eopYDOPSwIH$$kb$Y5QVezWw3ie?w#LS;6E_pA;=1@Fhi8p}+Zfs#&35u4 zegv*wT$PJNDUrxx(jxIV} z5@^xyUh#${$BvUJK*LTd!}_PJsNZ49vAk73=NI?Pp?lE78cQ`_)Q{K9Wn5hq(mXzr z-;H46<7UGDOQ|q}iCNV0;S)i*iB^5^j+#u3xrK)Dd5sjd?<#aiRh*MxO{=El(!%0B zyiFh2-#`y6#XWY!4>2)aO77Erz-+-m?4C7|MAetbrCJ0}$TGxO!I4e4`jLi^d=${1H5n?m~p75fp)!_m;KKQNy*B_7!&1}Orj|~Gv z;J|aO!NRDX*yAVLy4PlouijBgydK_10rq1+)Ljw`z-iNPr>U4f(~8=?L6I=g8hD{> zuf>2joBjJs2XvzRNAv%rLEx*02AI8g6k0=f{(#&OJFrr@ZkZ!NVC)G0UDRFywsOKL z4!LsI_B2040!sY0p05ph2SpEe9}T?4N+kX;%8q{6YvF&I)qkgMo3LvfynBsrfakyj z(s>XTR#oKjOQ{%F#}c6iG+f?I#n4Iuq&*wYP+SKEN!8qgle3#F0O_s!?i7VAlFb|+ z{D)vNPlgTqj-EGB%+#?L(hk^B8^)lY8|f^_NSwq>oW$bDY-0hZU+Qp|4L*Iv1gcMj zAkMttU9^M-dcX#KU}fBgAn>_Bo70#pq*$dBY5YV4_YSYIRE_nk6~q)uhv|qbGBRG; znU#Q~OVy4_zK4Rd?s1(hKS3ea^uPwlT#hHMonH0MR1&UF`Cxn69+E^lZXiz-m5^H)Hp0s zdQbiZqy%9bi39X5IR9=(NdkNXmd?<1Mzjqf-qeq9d*XXpAPC+z;4!9>&9dTSG4F}U z0$f}(r{p=MWYvf0sB|N8qig9O-O=-j{|R4UN`L$|8JAY3$Z-E~ z6IaF1|1qM`<&1W;&m`9FF1yAP$)MGqB)iu4heKQvk5H}hF{HvLG~~r^h7Zrt@6(mz z$K$o+g!mFaftnd>nAu6|+@oE?g!r(*FCLwmyvw6j+BeUNK2p9I^TbS0rn5Pv-D+!F z)5Vt$D>StVY_XII@i}R=A`7xFI(uTEK|L3-34NE1^UXPk?}^c!=30h>kM~P+9O;&2 zbjpo1ifJFyJ)4T6w_YWc-=^b)xF<28ZbFQ4Q@>ELDWx98$MLv7Mtp|vI6kRDq)w?Wmn@;OF zh6A~;M`kMjrkJ<-?>^~p3GDY?++jyXbU699 z`|s{BV&hsJygNe<4*p#P=rY_tqdS#{=Iv;6OZn=LPKA$~O!JK$a`FIOpi`TeB0?+F z_#TE3*CsJ#m=4&H1MCQ5tHZ~?m3K$T!2%s_p55My3+zZKU=@IMo?*l)9C#8!n{oF{ zvxMLR-uU%jA6eD>SEk-DYjr-Es=CwM0e^*;0+RsJ9(JMb2e%Rgf~ij56m~%b4p(Y* zKj;>T`=(Xmo9ZJV!X)>Q~};R^{_n5 zL@}2Q+q+O==gck$%#RE?I*f2BFqY$2WAS;usnn9tSYky`A{iU3iv``@3W_+zm?MAg z%uTx|fX7r7PKRle(ekx2_aWMzJ`#G#blUZvf*)kN0#`4+vSAo^>OOwD;5NJDQt~hI zB&D59h{d12b1c{J%;!C^-i}z=>^Hbs!0((6A|G)+Ia_zkt-<@hR3?wYN?28pX1_}F zAlJP7F|G-RgvQ*oXKAJA39p_Bn~d&?=9EXru+{Veo4RvuO?~FWB4;WkqI0Qr#j zm@{aaCH~HobI^Tv-}2^Tff$YxTA3dLd%SdqB=-z*fBxz%x!@ltVep&9D$#%cio7;rTI^Xh? zlgp+~0q&PV1apVUqU zb?zTa(5H`ATTbG1=<{wMx4q0SMw*_4vJEQv_tPR*`%gtmE>auvRz~HyBu|-#4G`8| zA$~93!d*khej*vm(_KYr-;0jq@DT(!uu`aS*q@ZdH0K^4!sT>P>*S6XiY~D4<3B*H z3t4pSlLv09+p|V$K?x#1#yXIRyPT3vUYZt{r7cU{Jji~(Z&oVw$cBxFOPhLexV@$M zz>z5|sk>9I;myAWXZe0C8C=+Z@5*M~iqt&>d;r^z1@esVhBi6eVCV05k`!MPxdy7X z1;|N_O9$hu-pAlsjh`wi3ylrD*2I4dz1k(4nv1yoknIj4PhjN^M-~{L3@4ClVQ~3{ zO;!{di%DiUkKyDi91ns{YIsj--xA(;IQAahKD0U%r+#c@+kBl3mUSQaVFvqq!a#xL z^y60#spthePWUky$Acp8nN#zwLQ_=nHg{X$Z+IB^r;=nD=^*9D?PM`5?@!OSbMG#D z`&`Fyc$(ra3c1`v4i4(tXewXlq8%XU6cW<@sXhlBCRRjW4f8ABt>(c$|H8sL;>JYW zm|VgOs6s(%1M(eR>G(ux(uq>}G`7_I$-Ln@(E|I4x*0?^T~afxl5=jpq9ag*N?uq1 zjvJ8-BYEaZ?><3%j}h#pSkfTm81}moB&Z6IWGKy>e0A~ZFV{j5gM)4e7IiBqg=g{y z0awQ14MuPoM+oD&kyieqNAT)}_qa6ZCu+GSzo}>Hl~YbM%Wr`aa`EUz-Tk5P*#gb_ z4`gohQF&306tZ7bs0@KB`QAgooX%1}$f;1(B(0u9&)oPw@nGR|EGA}cpdRJt%|y!F z0vT{1x{`v{ZoMXxl}?IH@0SzU)WYv|xrLS>72}Q(DfAZkoHJdNo~x|q#d1`d&UAl! z(K1*nabacWOGUXibBiQhpP=7i{++^s{v-eFm)6VRzFC|>t|JNVh=<0(1;PS9Vbe2JsW({S)PgHI|ZxM*ZXQ6TP{ z=B8Jz_QFS(uqnR{%Q`apWXdEQ*}Q3enaw@kfz=7dzs#4*3VceT2>NDy`}PDUD`}qg z31TZ(av>xbbUIn%#l1#Ne5`@zaN{Db(#Hkw_(>)Dp&|?-t(AXV-A~QjFaN44sGss@ z^NJ{>pQ`_(@<;GXM>=>$eriIRsP;y#@LU^(zLMG7r+GT^j5_;hJAV9F2Q(uba}gZlr12Am!%+(7Cpl0fWa zntG#mnxF9p1s(s$$3a1^dZy=q<2n3D`FmvUewJsX{W(>4dFhL%EAL;lor2Pr(+R!Y zf{`q~8qaM0znng&j&HLEfAv@!Rz!4P54!dNlv-_oTbF*@#p8jV0?M^#L(@%{ z@s8IJKP#Pes5~&s`q(ULaQ(k3IJ;oJMln>ZCKY`GlN|Tv@`&zl4 z_Ho@&m0;jTFqG&8F^s6lk+QDAdS+bSPhM}9A`w%3`HQt+|G7>o z{y}D`h%MIogdJnnCiiFi*f9C0z%c#4fzR6n@~#*kH9>$!?_&1-^>+Rrm~BgbISQ4| z0sTzb68Eq!))d)01h28+-+*zGurAXOmZxjywuiqW2skMo3PKF95~b`k`tQr{(PZ<+PK^DlK=KIQek#oJJ<~xju1Q z%&^Id)ppRqm3vAyh$OApoWfOT7N-xPe=yE`TOpoWz?`Rf*u89SVeclKlPuCCKTf1g zafDQzWZ?hwRB6^s+?ZORRXytR(?b8GLltPQ>c{<6WEY)+R+OhsbpyuTaWL2v0K~FO z0DxFiB-Y4bVzRSUVrRcTu5;6?x(-XsEtd5&VN3zTbx^P;jDEdvhaTe1HK=F7{;fm@ z1F|aRoLA@~u8Y=(BeUtJqqifq%KOM9c3l>16`Fz=i`sm6Al1 zc8%2}lQWva34#vOycs5B3HUTb0d*Q}n)nfPmNeN7rOHB>7=ZIUr4@;dAbS@U{x9|_ zy7>RbUX4TLN+|z_y~1P$uva4K|FBnj!hsYPg(4Ffi%3^j8PnQ>96)3i>NMNF_%TS^ z#P~tbr5!kTNz19AKy%g}GA?lB)q7*IVE5U?5U6bZiP%9>Dw^^pj7oE~mQ3l40d{~W zn8htQpj}e7mU8sKjYfT?xlzZOos{}l-(U0}97j8JjA!-e8uFM2V2Brx2+*{{c`ZgH zqZ&u)8X>z?)Dku0(;8w`Ki4X%Dh(^B6&`3-_h~zpIICe|@vH3z<5F8IA~6u(DY*T0 zww}QCaPtCN*k~nd?B=Cw0?3d&TGe099+T2CyM5n-;BFp|lA^Ob`mkpJjcU17wwgIY zE^lr5G^&F-Y~4B92p1YfJPe54^Xs#lbu0D^c}J)E8%Q(h=|NoQ4!p?EeSx#YSU2h>gnRvK^#A9-N)Mkmd-e10l%KipmrkzYfOYf=} z?~xV4Vj6l!z3pR~33P@uD@#`5X4Q&w%~G*MG;Pd-cTQtKR&1@MSV3T7e4C1uL989m zcGtQ@^0X40O-@wUld(o8FsLHsN}plY;q!ox9i_~}aw6#@UN%w7#FJgyd^9|3UIm-U zo=LInDanf6kIjp;=YxsbANEyc7M4}Ip-FgA%ek~e8%MzeS6Btrh#Rf|i9T zk=&`JnSnL=&{vX*Z>ucNj)CV-?t#vn|2vnJ%T1->VGK=UDAC^p6i}c|9wc~qK{`L3 z4E=6FJ(uc1tzuNG^V1*o;!aEFI(ov%HU&z*5^P&*JzuokK3%Eu3AvJDe@Ge{i->OM7@c(x60R9@ymE>`Hs6Eq)FN6W^WS zeX2q8VGx3(ZGhEo%QUasB4(XAM=p9!N}+%6K}CDPHI_V{S>LOgdus+MRL8Mq)l(*v z0xgS2XJl7ri^ro zbf*=!%OhT+92RPWlWZ|*Ddw%oK*S-Yo4W>h5|{xoV_=uH?v zXs2lgc#W#<35nZ@dTdHZf>fWB_r4K92Rx#pfdq_@nR56viB|>0Z5zEJU(4Uu*;a{; zq1GE-{K+9GZRjU@iZ^_Q{*`9vUZMTYJ?LMPaR0+tB=D+}p0hOpq=32Ch5=bsuLVx7 z%M~RK3>-r+f7#PwQ5!!7gpNVcPzTJaIaJiVT=%Jife;>@X>JJBU$ zcE4mII8_S3XziXe7yQ-%Fj^pgWG%SX%qr5iG%$kE7IU^u>HDPhiHiv z@qTwa&d{hz=FS83!N>H|wyx5Dz^pd<(@Zsfe*k|)PuMaCW{e}Z%RPmnFg*9cbE;ZY z`ub~D52%Dj=*Fp5YrZe(ns{+9mjP8CAwuSz5`YyKL&BQ8s|W_2W#xB2wXEsF zr5s%F*7Jve-j6JB%kOBre~B;g!GNPdK-;&xUPH!wM8^C^);vz|f&PcG(t5Zsg8qQO zXG%Q`K|7 zl(wtK2x>(OmPgx+!@)bagYhxF2X#*if`}7Rkt9;)GjeUmmbSY&*xLg-CL=siW~_KFWns^!n$fRk~5ZSAzk(kbz+o}<0a$&elVG?PmJw0)v?a^SaZ>tU-jEaqIv5} z`+uRby6^sHRMz+Z4wcoeMA7GwK+)%Qv;xjzT+8pV10SNkvQDJ^V*@DDAP_)iO^Dz= z3im9fcmP^uR<4AQs&%3WGn*~W9Y`vjZ?HG2;=WXSOW#7E0z5C1HVZCfQqGMNd*~ip z1eF|VJl(#`x}G#3dM4h;dOYvw{}J?(kLi(bQ|~`;Q>%R(KSmHwH0+;ht|s5UXF{eX z(^DXqNFkX4PH=LQRjy=TBQpyZ6DRK%&h_`Dn29p)7?FA0!wPsq@+81g0}M}ekX*xd z2=zt0qrldF^?59`s%!_wlRaIZ*;8jL&kIKYsf2yQsKh%a?Lt=5YW)`# z_V&qpgJ~nxZC@p#z3uo)Us%K3#k|Gh1Datczm(fYa|Q}E!@$8GxwjM<#*>yWk{2rt zmAYWg;UY3+83Dg_x{AumW$SHX8-mi(5#wP@kok#;I~_mpk#CUh{KHZIah((uJ*uf4 ztjLe*WZ>t8W)SNwuRtnvh_A!~QAMMc=z%MvoP>k409`gSFQbG(6L3||)+QkX-y=db zk1DlgO4qD&3UmHTX%L1RGH$OJ1yO^dWC1tiEr6x`>LD0<9G>9=y0dLeefeYZHg*B6$MBl06A7uDv}mE1L8x z$eG+ZfC;|vRRiKlcGz}(eUIqiAP@09BOf~Ll|?lafMY4B0B|giU7)uF$@$hDqA=75 zyj3WPQ+_t<_sJ?p*(prfXwI&oPS<s{;1-f>qBR3>eC8Vm;kpjAreri$#nErz{9=2 zk3_)(kByc&y|sNV7y3gCaWO`?4L%H!_AG|*VzTtoe`D`0!|G^)g+bgsxVyW%6WrYi z?oM!mdvFcz?(P;KxCIGL$idw;>?H4f_wL=jd%r)s|GwvRS6fYYRdx57>Y18uI61?8 zL&T$Lr;LQ=GXoXmjGK3(%|adsqy|`|94c15bGI@xfL+fPi}9B5r|NZM5vA_Ac^D_> zu$!K^4IfAFQ4fr~m2oU#{ z!?M*3c$ucfg6<^$K5(pTm2BB+@gQLf3eZi*kxKmOs481oG#z&rCK9UC!3c{at6kS5II3k2K;IQnbG2 zpE^N9FfE9(Mkx+SQ_WN8^@PtCZdV{Xj>#HM%Inu&%Ez+>oS z80Ckxup@==DlTLE9;ZdS>TLO?O;M3}6Ppa_dd^8mk+tre=mDMH#yi*k&h7?jf4|>P zPnVCNwQG)~yCkd2PVG`%-cK1mH?Ym{e*HJfVM-ps?rLJb^|PIVqSl7Qq|MBzXsZi( zF(!U;keGe*IC_Wml;vF6_$}e;MQI|4wr1OFD1tR?W)85-V0Fkk_o4OiD?)sSXl=bl z)v}$pgUpi^go3#-vZ%yuvJxWd0q=XqtVQ1OC!JHGEsj};d$?w*CEGSjByc$TxT; z?suhuDAj4UF~xM!$UpR<_{^d2*~p$`H?{yv>*pE`%5r4y?hs9+iZ@Y4yHw_I-VaNm zf69ZWw#jsDbYXdojmyo^4d5HL6Ng4w4n;uP@A+$r)!7-Y(fGp2RcsWcpE2SV=QA1Q zH?l=HfC8VEh0Lk~<%1eA*vDkgYpsqPY3?yofiz%B1lA5$kwAI<%a*@iUINr(B53{7 z6X7z2$=hiz^QYA=$R8y;93hQ*=pq`0FN*w3W>k~(2@0)xDgRJlFAxVQM*m|NaIA}SxJa8V{n3G< zbEp6XYdTgGEh1P0vml7&jM+VyQm58;;)dML&QM>L@zecK#2v=1WJUCtSro;4k7XtA zp)6or#IPv(;cQ7+y`k=mqauvPpPM>q-y^5pa!{{LfDO1MmByH%S?9x;-f{Xuuc(8B zzY=4d2oIcLAbH_oL2k+p-@yY`v$)q-?;w`__&=;xht8mGI)?;#zT1DR#(s@M7XR)@ zw-`0i-OEl2Q-;(W^McSlA<9sVfRsjCsZ$YD)Zfa6U8rSa^POJsvz}&^YE>zh59{<~ zqjt#C_tO!t=idRpe>a}Z;)s@FeI#QK{f0~Ke7{VO3{H;iRgD%P5+B?GhE)w+Zo(vb zeINY;T69>FAm8*1T!k#0cmt6QidK-fHQ(_%=G*0qLY~j8HBA?tRm}FOn|4k*MCGjP z&F)pyUWJ9LSeQ7pIg7T940=qX8M)YS0u*gZRy4ve=s~6n%v1%J3l+ibVBjW4T-Qg1 zRvs0>;!v!BQHVCB1Xi6cbsQtV-ijWv-1oq%<+@dPD(-HY(w1v#g}C* z&dm$sZL)|_LKM#%9Ix>YT5zhQ@0TR;Cb{Bwa#VA+4h+a%efQ;+#U}&!Q+0aEi<2ps z%z8LW{#aw-RAGkC!gd(+tKwR}&B4rql5fMgRXL%R3BabHv46KoZj~Kiv)|3Di5T0U zAwP;>my)oGL~frPu?Kdh(9VU!m-FoSvy;Yhb^m}4^&swNB7^MA4mI`vf`9@U;c_Xd zeH`?>GWU`dH2D%AEWM^zv<4P&Q*3_jl#K0~MnxJqy?654(MpfY;c#Whs9>R}f z8PfD)2x6YJUm7gkTEkHs(&aat+Q`wJrj0^ZMf__NJp)MwP6H;3wXLiHEp~iJt;m(< zM_ABGZIs$9aZgUNwMKr=!Bl)L665nRl#xv&2BklxaA@`12gawC$-$xJZm-FWibn($ zcT|OtLDw~q%X$4VY5kwkK&}+(0|NPXQ3rN1WJiKi*Wsqq-e2~)+O4VEr^mD}0&SF1Wdzt>7b&t{)AY`j5|M zq0KNkH&}CzPAK!v8eI$}C6|A((av9zwIhtSP_+k-ULG$ocy0GM^bc${EfjVUJ;?cP zpPvL7hcb^o>l^-tI1yZ&@nmfuvV!t_jqS(S@^eF)-J_U&qNqn1U}8Mz`K{|LfZX|t zwwVOCM?Qe1={suu%j#k(irQF}ojb2-(9b27s^bfiXfehob`y1^@xNf8w-L~fi-)ihq-%phS^ZcH!Uy}p)CFvoTJ*kI)e*- z^8Ijl{cr^cdU_ol^PI)JZn+2guzNcoarr9s9OUpXID))hNmV0&C2az{^Xq%bQ3!X{ zRWO*hT=K(5zgmG=kMaZHAj3KtkN}zBR18c#gzxs(lxLNxCKIEtmkdHvi+t_PQ_FY; z5D{l~Ae}tnJl~JoV+o1#u%soRI9(1RZBKT#Fk+2Nop~e+cT9cGiOvGS3@6vE&B+|q zJJI|J=QJk6I*z9oi`7LBCkXfYAwi^r3(uaP#R0-0)`s>G)@#X7+nZF>x*>dwo)13- z0$gC0g^0j@yLo;#Z}~mpNPajXskHg5EiDw+8B|E@x&2fC+bi*HITWo9U)lPZ~Z(TwcV!D$E4l=QaaM!rUW<^#ya@vE1ABFoOfx5_7l zM;_z8S-ksZV-QXd@Y3Ycp0lj|p8sW6@ z#b_39)RLa=572&PTd=^(XH;Z4%Ep;5ERuY=hO+j+%jb^lwQcP z_?;W{3K%m~fImASZ<64NAZ+FhOfu!)rX;sur2gv#S}BI}Dy5z&i|$ zXvPI(=u;A>u^VUk(oTQw33vIr6Q{$}SH+sH679RT8-yI`Q>)GrZO4jvFZsIIwwrN# zq_o#@)`ewPL#e){c%EP0?PN1d;;x>EF=vj4EnWIPr)OzKT~dyyZ8xhsX+{F;gQY`? z9-S79M2>j!5&AwLXZbop$HUYirXE-M-BNuY3!wT^-$VT$3j50uglB>^OE!WAGd(8l zj!C1=8>aC)E<&ndJKjFIyMLDlo6pBC4PbSn?;r#tJTs#OFFnX20@5n-EVgFUQ0qo? z!voUXPqNcMg#?rV-BQD`ZLx!w*jOB!g{C9=8k1fz(Rk|IHJOgI*6mWmNNwdAJJ`b_ z>+=i%Iqd%iU&{ch+Do);`&%fLg@{6~s+SijZ9zGXWZ!PyFVp-iI(ikX_^k_kc~i`v zFkMT%uJiMdl5cwS`ca67eap_B7fu~K!ZEI^SzZaSs`|Pn<_2f1uGD1IU24FqDp=^l z%rL21lp4+_%zO)hl(O$P#k85qXcT>)JHvF=V%AV+e%W-iyc>!;EmUH6MrY`zeE7vz zZdqvxh^+4F8~?SiQUl*5tVmb+K41n|n~mdJjakKKgKG1rZgb^;&F0ys8~eo4=aIVa zEAb;arp2$%9dpmzJ*U;rVdSpXZ?6+PPWL(r49(BGyloAZDQB2GdJ9f}z4N$UCmrLd zFFQY(@^(yMbrk`MX>C#>q%V+jq?p}!W`dT6wr;G3|BIQGEBom?$uhb7ZuQwYgI*KQ zM&C3Zd+#r6P<(UC1RaPWoBCQO6K%4#GmSn9=;Yqu-ixPj0t?VY6Q&*^#)n=aEP89{ zbG@-2B?*KE@~CaAF;YUdqJ&GB9VKIjpCMNw8YUsK%0eVeY9(d|>V-Zj)l2?+Oezkghr`fyqls;K|?=m zdeiZ`J}N!1H=ur)YiGY;tc}k-u8@LaBjvK|Z)}HWYCeHuhH`FD_64}v8|gW|yMBhD z=3N+5=d@H3(Ns@pZ#lmf7P|Vag#Oi~Ea$S_z~S$%r_<`A)Q>o=rvlEDRJ@%(eVp9gVR(KMWTqDf!A`&_rx;{OziFCSvrk8jJH=|g&c7Fb0cs<`V({-|;lKp?&E zRF^RQ> zAdi|TKXXJO#NT+$pZIhiDgXIOxcemRW(o4)MUR=v8(aC?w`T`ZqTcjo1JTiQE< zQVIS5r|(8;Ws%cea(}A!OcLi0U?Iqrmfojej_e-&g`Nzn5UvO^)67808Xv7#4Z^gA(k8)uV*?t-ou&JHe6#}XJ<=>0dd{gl?ZB^(PaT2p(BpU56!`&HK z1`-H^$;L)O=y)iy!kqEnoMo6*og@ADd;8vai9{k!Un zM!%QUnxh?~$EVVj3Q5-FT#Vz(bUSuu?qUO>`L~j3i|Wx zO0yt!J!%-HcOhv}++gx*6OshoR23G5$S+CXlU7e;Wsmf@^fi(?wWi`yi)%HTf~YF& z7Ei4HWUuKb#g_~4S)!6lsP44GL?U4^O25A_7eV2V{atD`1aG5_|XS+sTJYb*eh@;TCGIdlI=V=5NQT$_@`8;-G9J4m5X=lwAZ7QX zim1iS6vSlf=i^wXQFonwV;G zEY_+2t}?b7N?*MZ`HP7i|H_x7(>W;p1?nk=fu&~IYq@$ZqEJqp;DkNW2we0%CI+!s zdi8}=lQr?_4CYT(TKoHMYPfabA4Fp%l3zfdZ#9gOx_;#r`j@A=Nt{!_%A zr*vMz_}lW9_WiGbf^t02Q>0$3^=pehQ4ZB8gT9(PzM#zw! z+2Vq(w=?Ye*U6t-f3jOXGgv;;SWZLu9l-zUXY~8+$+Z+!ziQw1IgFm%iyc1qdxP|w zMZiEZfB0eFK@9&M01ReO~9Z zoBAH4uQ+$Bv>~aa_PwgK3}QNFMJZar^#@_3_5oyYb@}+DifCc@6s;JN!?9!r@P#f_ ziCm#arYxcSO*-?7aV&?nH0kcQkZJC>?*LDc=H4PE-`RO#7pBljUrYU@DbJ<0Xz`g6 ze}r(W#@202ZP{6q`SNLAnVP9`#%4}XDQ3@2uRdZS*gqTl1k{Wcqeil$gdL2vJ%CW3 z5-eU1!AMnsRt+X^!wALD@A`27itmjU>iAyX%{W`@gC*jC@I19O!+;Xj7n*L26O97z z1qLx*tPu)h_}0>?sz1=dvL3@;aqYyEYUN8S-nd-56O1mSu7*Qt>GXs`SJJUU7iI^8 zl-wg76q`y0DJNK2Sfs07Br6cO=^+Kp!zE)&L@t;{rXplETlBd1APn54xo`c2;D~?X z6n~)<;=p(+r-{1T&%?CYyFNZ;cS!5!t!$dkji%Rh5GPH}*yZD40hH_%l5fLc-y>(JEpj&9Z*~yIg;J77IW9WgVrrutjJVMwd8TOmn>a}9XjLo zshBKtvTL7AWMfjHmHzrnzOqI9(`;NR6vZaSdjnb)flkHdZRP1DUo|2{Ow!{_^Guk{>Xs+_w}H`SYa##SEIO-{Y%; z<+7gU6huoDUsq||U5j*PzL_tIQ~j`1l4f(`M;LO$G-gxWi_Tk`Da>m}^NhqSkTNV^24lpsGLlanxQgHofF&O92K42agoml|s9iKN0?8$Ik9 z^hf^$uisy=pZcDd1a{g^zHEtaZ2WF5tlDa{3z(>VWa`@clldQs8w4^b@pw+y?BDWZ z_D|(}+c$lOb;&xn{85_!O#r{)kJ0%|>3%PJaF#r~K~vDBY$}=kRfaDL-5rDCnDYI) zZ0y%$+ya^(9|w|?w-;qEE-1ZxlaAhZd`+nqerFb=y|le>#HMO`w_stL5RbqAS=K65 z4RvNvU)w0XEx;gGS~8Sx*txE@cw7omzLCmfPx=j-V_5A}mT@un>wg!l67%WFsq+UX z)r8W_Z73IZHMJO@OR$r#Uu@C=NJFUIKiS? zBkYkSdv#)8$rX zY@v`j8{3UsDTZ&3edNeCiYPr*ZPc;k`=ueB1NyIumX6BL1$4;;6&VF|xdjzP1#}e! z6}1I)%|YJ{k_TE%=9|f1(;FGn{Jxeg?y=5($}AfTa1#UuumAkx=_h?6dv4&Uz7XK9 z60Q6&1vB>vxS=!wHx!l{nQG(85_AIvkhpzmBSy5??N?hLs)p6e4-LEIC2S4E3xuKc zn>C-R=!w4s6MtDH{=!ZoGrW8k{hNxW>=j2a<(1(^%dPOBZ~H^b;EnN3j?NE%5K!at*vgwYax3+C}+_kl$itJ0|76SJ#1N+!%lO(P&RgJ)WYCL z7rhm3rK)%|*Ey%m`HZI)tQIPVqh+n{Y0VYJ`eORPgrz*MlBdJLlOJbdXExt08<%>o zv?w)_gb@>~fQHhfZ*D%nY{^rRH26(zev&jSsI-`MD~0pLVaZmO;5SNm-+bG4^G@B3 zBCo>(gFk^%*6;LV+LW|oM&NHD`Iz%B6#NS%|3U=-Rweg{F%HhXao?>L61~<08?1rc-5}xwxLh~!XS+i>f3h`uBox%dAvsK$TQkr>)`%_jP5BUJahF(3 z-u2mBwo=N!W$ii2H{SHwJpD;nWL_DHSB8e!09nS}+B%hgGVP3AS0IFg3+6Skd8c5_ zH9PNKla@f2x;m)^0nHN4#c`p! zLVCYai4qU>2gX>r4 zr=W1|AIR@bv9Rt5;O}nQ9lC1Q%IO>QIC0*xj7DharCS{tD?OAqGtYd_i{Cp2LL@HX zV3q~T^2wdG`@ztaXgC|i{GjrYyQzZbE=>ZrY<^_r>XI$ zeWgE;q!h!3LsKRgF9qa7rCrKGmYpOC{uvbKzx;V+6L1k)4x!`;&rjHiL zr^WsPo)`toVy2os5 zk}`9p0UV{V{fxYtWCOKZTF+PotrE?o784X*8ocRB4U@(q9q-5#zc{Q2nZtea{U$uL z^JKbKc=D3GWG9nn74_iZ?D-5oC3noSUu#mZZgOelP$BA#m}Dw$a&QD?=5*pRd{px2 zgRhc)i6!;Nq-vJk^f`lU%kDl-^F^`@M8geB=Bo!@aW$n2oq|QXe63#;2#7>*iDnuW z-GQO!E;y>PU}Km&cS)$PSmxpVe$)+#3m9~GL^DCr=BvuiALql+Iy)$jWthiSm#K86 zU1g87@$N&+JPw;{J6%M0snZn*fTZ&wFmBsP2w_x9KR*wAK=lCXUPnc7qFop%*>?`- zubJRr7K&iPJi+v7q(s((J+F@cY{rP`EPE8~qDo)GU`pLV*e%y9U*;}UX}hhVN#G_| zuBSAn7RnDwVU(iX1@7LV_-Tn&|8v+sx7kx~f?z2+%HS*1TnqoodXOCJUzPg*dM z0a~;8FOMFID66cU8>3;$Dy^L>|C=CXxk`gb5QJ1MyA+*n?w}>R^gi9(LRMBQJk{L7 zN>?~uNPP3wl3(t)<5^lqN;7aGN2w*^(Cs)cPQhQ0nbyalMWcIZ$GH1GN|n9mt2_vc z-e=yx!p}c%Zh_`r0XB-Uz^t!yTp>HmSuCV!x#IRIB7Ea-zxw*NB!W8QRW?649wtyxER`>)T) zbknPu8|S!=Q8?9uo!~gp&sR`#3Sw7W{jLlXw$ogZhlDT$DBJ+gPryBEa1xdEK-=km^a!E9dIZj2J%aMD#_)gFB-S7- zT-6>}4Ul(+ob?`9vw^$+%?DnG7ns8#=hX*fMf$YnOM+i|EG3{-<}yGUr+o?H9}-(d zGqbBHDk+b>7-ThDfOhGe5K}59fXa@p0Hn@tCKXG?<0}A-sv;Wbk|Q67Aa*Q#`pbki1a~vSQgwxoZ4oCnuegMzT^*`#WOko6Vy`yzodAmB^uPzM`=|LXg`Cj#$0Z%B&DN0W5EIJPsmC-Mz z3`u!(PIq!nXTFZvXoc{DWB6nTw-y|uA!2s`M&>JV93KHGx-SaZzwRq>Xsm=r2p+sG zD}@-cC1tr-R2Dudc%8ic5*WOf;mnd*SlW_1W7nGx5`{Ll*YgC)RUs3?aaCTY>9N?gWvkQcpSApn*r>``xkYf{d;&ic!UP*xsz(QjCYgp19nE0835Y^?sJlZ}f>psl zeRU)~Hof^KY>F!ohYOdxjUE@2-$uL}4%Nu6oKZ-9M!uN*urElf0_{Z{7?hvriQacc z4j!{lLkNKUx|eR zM;wov0f91FE8BreDoQRjMkWkNInPocz!7>j*?*=0ob1*b1J?Qsx2+l#6gXo)h}JKR z1i=gZR@w$cA0$<(WdmsYdbECgL&Cf}LBd^2*7@qW)aLVD@@ z^?>_46M7egypJTWZr?B(#rlg|Z$P)<=9VY$nJEwT$~y3x(D(P{Wx!**UoLQHLEq&1 z9G>u-*Rv01JIl+JAD`jOOnT`Y!QC3jt5-#TDQ?jKjlB|_>>MABUJ6otE|WMKtYC(d zblnBHe^an0;5Fc76y*2#Vj)O+v->cRzrT(YAjA$6)6;7pLUHp*QU(~xPS9ZXMT?X1 zzuw(Exdv>|;9?d+hYYrvT;{*?87CdY#+Agi4uMm&Kr1Li##nNIOZ|pc8BL~vB~eZv zo&YQHErjgX0NRxaoHwaQ~-*z4*3+T{tu_2Xz=`tI|>@yqn?Bdm`xn~MqrBGhoF=n3@0+>;BxTCr+A z3pUh23^e$YsaRmI4%(k2N^bh{3}A(PdH6UFY%Cb=XT#dO#!`}gGMkx`vbCZI19APt zhNCC?Ea#(Pjr%q}FD*LJ<87n6`IX|<^|!^jy#B@gav6D)FG~segdUnt;`f2_vDm&G z;RKT;=3I`4eXWh@nIEfpTU(abpYmzLQM~eCBh+EiNpLVI8!4_M{rvBV?vKk1mha17 z$W4yTBa0%I+C;RU1^>-n4&-6zi`Q5y{Qvh4XqOCWFfKF4$?@xkv zmzxX0nQq$(z#Kwe<Gn-R4YbB_+=gBRF7a{|}0GHuw6uZw5 zV-gIphj5cn=t<|3SBOgYbdXvtDvQB^i;D~oSwyzukFt+6(FFk)C+exfa1{$T9}y@F zbK@_X2f@EIzrWlS7(g;h0>Pibn({G-?mc)o94-Zz7Z`L#x108Rn_nu^Z<}=_f*YIv zScwuw^6auSLCQLYdqyJqy_#gi3I*g+uQZ*XdyLW4VEJWkJ#b9{T-yU z^zz|tyo@9-y?7idhCZaRuV=RMosLEMN`{FyuuK|(mG?KtyM@WD(jcC z2LH<53Da+D2i~8wI7q|_ZI~cHiCU&INZ)$>)MUchxZklP>_ef~W}NV>l<9IFV$lr^ zzx941OpBzoEj8i2w}%fSZlQMk2kFm%GpR8V8ypZG;fm~n&A3!fGQ~$z>COx2CK3_e zR{y#O7YO-LH#8!(E8y|!W4K2KIzLiy#5IKY54x(SA7&CN@_HrTa@Pt9)E(iy-XJwW zejR@P&&cGXSKjoZ+gVDB&D&)MA^H!PDPUKC@!A6(OM6d`vC`J2h|yLZOCN=Rip%yC zBIasBGz5!Se*ZEK?Jq(m0oRu*+z?xrfqW&>lZoT!!@=WBqr>i=06zpRT^c3J3|Ftb zAu|+IzSzgxCqcw18XdLQm)*6|=fljeV~8JuE+jb8t)rIi*GJ>y9}DIo;Sr8y7ZBop zLeWdCU{&&n$IZ+@`Ha0i1o%H<^~R(ZQB=S-9~zeU@I`CC@Lu@9K*wUJX1#NlBqf1=I0@5q}@? z)~6C$6*4H~_dy@6z5IoYjK?oJCw3%IB!*{A%5m|5BVyB>E0>xJB8PEN-AQQy`^Z{M<{HCaY`vmpI!IQaUP`I8~r z;@u2#(e-gDM!(&oNgSI~dnJ)x3~nurf=N8SL-yNp;%s})4+;w>9Fi9aT1AT{>IO|H z%|=6rkK`^#IYezmq@b|wO*FqgxyrECf7E1SEvmzHW~5JBg#I=hd?~rg?l7>I3x-_~ z)KAWdrV|SD^J#Y8|7%w8hfPeR>r#^p9%u;K&N>9lJBS$lnc;Mp4bke^J4j6c zlhsl*@MC2}2yAFs~=YcK&?4y*)kjB0pyi?nkl<6Afw(qH$ig*;nr0HXhTO2MMD?Kv{_e zZZpE6WkZ7#b&v|wNgzP<2H=4C`O<+s+^K=(QzC+;n1craFNapEf?q#(Q`7y}#MV2D$pGasXbRl^s))-!^pgU;a#vkr;zLZ@#vKD!%)9~>_iU;3T2M|S3TFd zdtjiD<67Wr0>6`OUzSQlC5U~J9Gaq^yH?RdmGFcL`8Vm0hAcy~6Rc0FPrz&Z`$!2Q zeJ!q_1Z*%wsAD6U_$xDT(_zFzllcL6R@o2y8Q)on6oNBQs1+4p5e+X{gtP9nTn(Hb?kQ9G|U~J-|f=? zhu6oN%m}LvuUfUk7|Zs^&qUvmD!)7iWVkV6T?;-|b~A2g4PY1>=cQo?y)(Arx#Y{x z!O&Y$@TcfNzvlE$4}$b7dLYlvSD9?zKK6#iz9N9LSAtwH-5tT?hT4UX85j?3ujSyK zhyDazoS*F*ZIe$&7`Lc$qE0xwm@L`-$!nCN*q@5Lyn&?oW zt&AaC30JdcllSJV``Is9N~muUhh*e`hAjzNtbV&p(qvN_c0sd99$s3ms7n-A(TxW2G7w8NEM7ter6$(q ze_)M?^eZ(Gx1`-uB;1|cywy51NaAO50I}9g~8$ zdXaPBjtI7UY2NCK##f_;k*aD}=%_+gr(b679tm_&j@S)U4QgDNLknXqX08Z+u7Zrq zxwz4XbFQ~h1-LC5wIZrh+vP6&@1)wAG4*W_N^R&`fj5_FYwAeDTHgeCldtQj_d67) zv%ai&vSaE?p&ZxJPJc_aD@Gc=+C2O+_OEk=PAz!w{P9$;Zbq9{L+Gb&RalO zBpffghVvLKc~on)0|!J+CUNO)&k?O$$=*h!Y+JRmuM?P( z6Mj-k4|(y@ZzM4C<>?;ROb<5|_>RhA6*|GB6mDvf?i8s))n(c6%Qje(VjaXE!xrm! zH=0xf|DHPAYKEuLI+)1fB)MXbSNoH)7#7nod$toR8|Lxt1rv3+X;|^f$JDVOZ#{13 zGskTE0HmSE@DXsABpdD7}Ke~DmWSL|zV#HD3 z?%tEy>`beY!yKl&arKWHGxKR(pE9K_C7F0-tUL>-Z=y1W2l+4ztbv{wE)_c1$Cl#5 z#H<>yw3-HzhQFQXOBR?nRI9q>CJkdfo4}9sG4i;q{JzJa`dXhN@oGe;HNtqEGt9&z zKo{+l*JK46LCP8XVbU8tq!tT~m#sfmV9PYTQirj>V%dnfZ<(%NfHd4Y$+t;(xTeN9 z*e4PuNEkv>bR^M60<82R-U|hlp#u zi5vs!_>v3flrKT)Nt|M_)#9v%s@P7HVRgBU3LAc2=#t>swfLCwl+(8c?wkfL84*Z zs5a}J1M4Py7t$9Kd5@2z1&X&+zD8m9ZeN!9PkjG>D?)VK{6QsoG# zBQf*63cJ59SyP~}?QA@BR&OXqqJ5yh?#fyc$tcyc4ZRX;A%SB*yI7qb)Ul0*O$~4y0txzI7Q(OZxvX< zjr!8LF)$+YH5ABrPkv|bS?}Ok&xUE_e&y5?;s8f)gc;>;zj2VH!J1T~lLeFk4qB*b zV*vZ+>LV-x3{VFIsr9e_h>}yPrCbiHtr6Ly!8tLnotYpeh|;zRtX$Xuc=-JJ9g`nn z*Ic?nJ~y1Aw8Ei(87CE2CZMcd19CsYX8G@96hfms07U>XmnOKcZe1Zv5oE@|wdQGW z>1QU8s~YPA+z*}1bH&jaBPLJ3GJ>9wve_>Zf;{oO@`6zD9u6L9GQb!!MZwRZ5r80w z>fJ#~AuLNaK+%;gOTR(T|6?83J&yFxD(-^`CnfMvUiJrXZLk6K0~Fg~fDjlYbk_G^ z7hnji-usSSAr60CjcH&D7G!nJ%Cs_c8be~gI#BywCV;In2#~7xKdf11X!WolRb#8d zrqID)PXM8b#sSxoA#_6pK^Pn7C%FAd#Xh!d4D1B~_0h_l0b&UODkX%0UXr`v#kR36`n~%pY@+E_3sL) z2?S7ZAvmi4Fc#><0u8ohgz#S!twu0WS!SkKux-!_Ff76o{vblJY@L}@nXhaB7w_CB zMuX3-o|(Aa09_<-08mCsq`(ho4|EE!$@rxqvS-vkjLZia83Z+C`PR&M<7rG(6OVjl7Pn2 zJOIR+v419mZuE?q@gAX5+>HZWniX&%_uu~xfJ!;wuVCZv&;>>>0h>=($mxi{$_UWf z|6*$O^@TWaIai5QxoA=>U8cUP|JguJcVGQOyGGw6NgH|{m^c)oCwkulS6a%!U5X_k zO+%VszQR}`OTNTgkanZ}VY4d)S=$5v6hTL_FV`2g1pwV}a1V{Z5MjXXq~`2{ODsVHgcP8QJ%o*@hB5c$PX+O#o*#RT=ksxMQUYl#!+z;3vxy5bevaA7Un!O zOBVK~&<|&aOnx6VP^@aoYLn9LwA(tkstC@nB&Iz}ziC!Kl@C0)1QQH|gE?Q{FsuK^ zS-@yy;i))SGW21}kR^)N`XX^B?-Pf7zN-hC>EM;8KUk@ObSM`kpbzW`mOL;)?S|_1 z?&l1!DAS}fu`;0I;sEBa5DWq78gQjtP`ZlB_Aw5o?HN#oX>}cthkC}gvO?Bzk86(t zw8#gQ>J>lmF@6B%dUy)Ccm-$#z;W6Fkt9!&D$W#Yu7+?`c z#356GSOJ9|@dKq-z$I`13M&LdH4w!Mv|>U3!|TTG=eR|o*XP$5vqSN8q8i{?D>%gTJ`v4@FzfH$|G?|&9@w5XNu_dQImzE zb+dYlm2SqmNgQX#Oo-7NCWXik7w^uRzXP<>Ma`9>TD!*&q_LPK zwLj1S0+4kDQcyqyWU=nyum(s>H>xIrLmtRcGQB;b?f|64&=B^K%okvcUSt(^1z?UL zNoT?*en86rL>$5-Yftls-(;Z04x!9{H2qsI>?0Cm-1SrfH z+*<+Y{bwvh6$0XLj2&3oGOMTS95qoNtOTkp4W-*54pD?xp8@y*t|iAmFsFlA5__qPoO_W1=7;L26pBS17Y z3Rfa@Fa-6HQb?_v>0CL~SY31xhKS+V_M2|j10INudKU%IB>ER)$8{SbOmaGqt3&gn7CE;AS->)n&c|=3MlkvV1(?$ zxAP&sRfMEb!eWZLgGX9v@iIX`F1RtD8Yx%shWzE~_1t^1mC>tx^F=`dpOjpg^wM+} zf3N(Ux_)BrL7J^On9gq>VdiX^ETs##gUn9)^JG7>bjnujqhzNV2R^3FZ_96-S`e$5 zW*J;E)?U%L=E%3YpFM)aK8)C^WHjI>xD<@wgSRZ=CS+BWdj1!5AF&4(~rm*@-3yW`igN6DWD?3>(rsE6+GBwrj>51N9N2BkgpTUMEpBwFmJHnf+rrM@-7t9}Z04X#Cs_{W6E5t=pk9tR!2668!FWm0 zAGll~0`vF3#@xCNTU+y80VTAnGz<*d}+ z4>j|8M`#?nyK9twb7-Y@zwIGY)*Ev{Qn-+U9I|_23qtXNlH@6~;Pr(XMp^jRMe@vK zw`@jc-mK*w&0r?-^jfa&Ym|%QqC0!Y{qsT+X@JVypdrDKtcyio;+UkiRF{z9Pq;KE z4TU#MjhsU6n6=vsw(8N?4?_IU1rF3nhs{Fiuv(U-Y4J)mi?VQBK^7|FL`ZD)q1ztb z{+#l3qsR6_FVN$c{6_KVL02sV#sWe{U^1UhW1RL2Z%#Y6jB0HlkDpy%eH^I|O)=Jn zH*1}|QDe!rgk5PR#wBH75w7j}bT;|MG>o>SJTj-GV@(B3wRR&bh&#XW@Z2Wj`+wI* zoNOZe8>lPZ6N|kB49J|kV|t_R_H{c*TmI&I55?U3-bmH}ewT*fy=mQvktWPmbvusM zKo;$R1J?8RSrO-t=Q{&d)>;ut1f5QL^4Z+M3-A5^=+Yy2>iU<|&G=#%31Xlib5{LMzA^!}V9Mb6X7S)&f^ z*FEVmrjkmtho9k4$-(3^@7=k{@7kD}u*%90Rhs*4b>`Mg9^!Apenz0J-!Gm1c>W`) zK3A#rUT75hjL558hm}$m4CP{6KXFSs-KGvIp}VlZPrZlnXi5~@us2unoWT3;{}CqZ z*3W*R_2b(A!QNc}#nHBVgU8(=xVtmB6C8qja1HJj+;wmQ1c$-h0>RxOxVsZ91b0Gq z$n%`{eb0N&*{c0&zpdS>t-9yBd%6dDsDfeU*Z-@VW!6sfqKBx#amKh&3gH zJePv8-3HjdP!G67e7;hYTMWDekCM(sSB|bAw&}*NB`nwK6dj;4wcFd|Igz# zTHqAVeTD6~!D!C9t8~_1wWKe9FkGq%{hNml^HHt#s)?#uU;aYG{%1XhUjw9b;75|V z3-GIw4>ZQ=MnCtH0j=1;UL2QT0%$`Z$TIrc|l1ZBN_UnZi(c6ZL z;@Cxdp$6y8!MxZu9>a&a^XG$xpc!~Jk|EUQV4?5lO@5zKu{^WoP>wZBRZ6gSvD`0t zLK8=!DVdcfF#--;>GsTa#?5>eckeo1B&FjXK-3*Y(6Zk0@Cn>BL~=6~eSzm{ZwDgW z0~Kl*`Kc|l0KLfxZ(CqP%jg1JkP+15vwrk~D>3WSo}nRiPzGtRF}PQR0@cfQ1IaDf&@oZIp?wx z-ibi}f#c0Brtq+oCY@ymc#j!fRxqJutO3&q2of}{>Wg=e7Xl+xw7 zrdxcIDw*+OQqq2ein0vFQU?dZ1N0_H6U}`KRonxx8 zz!sgW5TS&Vq&ucC1wY)79K1LUFR63cLq?i#IVx5QlW=+b916+cn3b)9o1Fz9w@;g- zx(IAA5uqLi?EPE8voKE}X_Aq<@4 zMJsj?_}TtcF&1^h0$*zg*WfKhg7Wu^z{Aj|D7PT2Ns@u|xn1(m2PZex0?Sm6-e-1q zK?Dl>Vq`%SS+nCWXwWlhsM*u=PC_6gEbztT^G^0WFL5apGTpe>z-wg|+ezk2SQ`K! ze%Z_;Bn)VdVfSnxBmr0JqXc(M^xI^qrU3xCgjVVpeuBKUM^B)JWE=Qd>8wy-ObxKW z`8`8ubhrOHS0!%V{YY0$Xy@VatdK{Knlzb81w+s!LbJixeE855_)DjgxTMmycFNc< z1QoT>p%!&!HU8Jfrnk0JOVSGw_qrV>>S;rzZ6l47txpGT%|G5MAGWGP$mN{Q7L+vv z732?nM>eb;@*P7RRZsht@3MOG(IAao0%_J#)`S(6A*P0+0Y<_EAB7<%pCXKbw-8Vl zj$^TmQ4a039r{|Q|J0t)1ewjRmyxhkY@C2g4(NYO^@<_Fo=G^ise{zjkKv2~5-DAI zqnj%GHD#BJ4baur@cOf8&4xp~8a8jT{fVV3NXMgj4H(G%`g!WNxae?Z^*S3?LIb}T zRIgs$+&gOiNsQ_|h=uy9ml}Q;H)m;&3 zKG}M+@TeYDo~GBuA-f3Ys31l<>e@txO6rlXFwykfKtaHGdf@rlS>UbF;PN!@NMxt- zD$aZ(TR2F}b%Dw!2e<$V$Xk zu~;>$_}&7!Lnqr;t$zNgk&7%SOgv`Vbp(A;*3gSDodhNbvl37O8i461&Af9g3h zU#zRn9Y>Ow+K!^XC*|*;bnGgi(K3GVjsPK}b^_yp1a!*!Uq3R`WLA5PXIUwuzJutErS4bAeK}&yf-; ziK(+sK0;87pWn+0Ezpq$e`7(scldh1fi{UwXu(?}vwM|I@Ne`XlV$t0DLi1MvR{qb-vl z4`gB`_DfshtQ}QPAL5Jce9v4Z=PSgV_*}soMf)z&i=V_Y8Iups2d$24I!?}(tI)`+ z7&~?5G%2p%;<}$ICqx~l2N}l(V z+EZu}PNY)Lj788cpKzKDKEah#mkakp=8=!44M!1+_ig)p#^9~nx$_}n%B|PHhQ4cQ z>G$%tWNP_!=N>?@=Q5 ztko^mm7+=>zBT2FhNj zsZ?C4ncxB?G9avex#7VDMt`oB`pRn5YfQO$xV1VJ927?kxr79SV78$I5vg2SV@!y9 z)E9>gLgqCk)+_=Ta>of~Tr!-_;!y0^EZ`dPICsraVun3D4!w80hb2Q?fjvEvopV&7 zbJ}N;TtzX>MWdeleA}%cGv&_f0&@s7Q4{tuwEZVhaVs_yP*{!J)P_CfmQ5lkClg^x zl2X(s5#+Zoc{o4y;!X+rCH-YHAj|*05yNG@D`vK_>4i$Ly>qZ8n-IejgA=B62*vrG z4E+#ih}~Jh&h#oElZ&Hs3-@jAyU>F?M(IgQtUN_v&Sat5?3X+S5lp}`wYXrb5Ikk* z!S-F=dx<_ygV+Tb1!9T@zXX1{(8l;QDuo0SrO?l){LqP@2tfw)d3x{}Q4zY(*@##m zy%{qvr+B0$ZPiIvR(5VN#8>I2o;z93*{x4SnA+kn1pqA-+<;nF{ z-_N+1t@eNi0i(Esz60gA!nni=Ik2ahTwDy=JogSFo; zzXw;Zba8AhdTn6L_2TvwYKj`!Z zQi8k@tC+pVBL#QYumzb~^o|C;5r5IrxUwU*aS?T7_>?s-cODF6v>3A;lJIy>v`IvQ z{gk$^QA_g>fn_^f*dU*}Rm_Z;ywMfki%CR00)A&hlAr}NQ!@dNE0md_gmJMYpJ!F^ zy^+lj_?1H({K_$0=?DNUk1a}$j$km*Nfc(GB)V|)!)ENZJ4YfXle4M>cbo~G4ecIz zJ#h@wiXq_7Am%NkWsrS6?^i)SaF{hN4WkS9UhVI0G`27%VylmUgPx4y+(l0xYAqNX z$KZAdFLmaW>|l|YTjhXRNpCzv0~K*Vv!oDMm5srjohtwE`;A=<$GE|A-u=LbQ~gw* zI}W}a+~!MY%{wJ!B7t}2}*)57BWmv!;cu;;JZu`kZVvkvvNkw^2p@Jl_2Bc54+PKOZ2U*LTfuJ&& znHI?~Qv(kQqJ%)j>FI{>q$P!Xy|J*~3z0+Bnq)B|jOkEzr%^+ps8AYDfhPk>+qVKT zSevSM&`+n{1b7*5U1~>Y;aNM|4UZx$NG^`r-EGT(z%krYEDddu?I^{23ZJ#RY z%lRx4L(f_IwfTd)bQ>A?>@owC2zeG#`SXtkMa(+sB)W&NxXO*Q@|S8MdA@tMlyk!G zcWadqFY%;6>y^3~3u>a-RgDJOYw>#;sq7o8sqm-un09od;6eftFd?A92Uz}_sRw&5 z@+U})-{A=R5>+Ek?~%Gbn1tq{FF$p1Nzkt;R_JHFDwq%~K-SkMRPAsIM8EL^zsEyt z>|Xkd-X7`;4_T`ib4*8Zqq4YLxw3-2%)%uujV&LX$--YjQ0^g5b{&1TO)1k z&DxTO^ne1H;1ZLedjL_g0Myp3(Gcv^E%RpO%UWlMgWkmr)F!i2t=Jo{=jK3;sZ&m9 zrlYZLIf44To^D)q3u9>3dic%c#}PwcS`(iy`QL8EIY!#bK@>NbA~xIi{?O1MBWZ|7 zb8p2!$PdkL$or}w2pnLCotQkKbaDF_SD`0MQ;#g9t}6ng)tvc|kkGUS-<;v`5lg{F z6qIf`;k+Tf{nDZI{M&TqI~ZE=o#?q zDO;F&LGO9)eaw$h+I@4MZmQ?RyFil-GKLy%IwZYg{FP(;&vPwt52r#^_jkDlPsg@~ zCG0)TTQrX*ON_soUOBj|lzXvp7UM@ww|>!H=?uL#?BA=$etsB3P#y@8;k( zB{sEY$I8h=yWk@|hG$YSv7ok(tQ$90d!eKJhWGdin-&9=EZ{S(iC6Xil;@H*3QaN{ z#5`~%iJz=HW?vZ`rWTLSO16eU*Q%`xzA;*N^NUrW9tzK(4tYm;Q0%VGs|m~z*QNV} zEnh{=2V|(t60~*5*_oOQuI?Nrrz6OSz8B0C7-4VZd1rZC2*0=h-0Rn6VjnpAwZ1>6 zn>n~)sI>DHYn8*L(@_(dzi$D-PJP6rhZfkC$d*$xH) zHZ;Xm!NemrG}72&Xx?A33J@9clhSa}yczAYW#byZV(D4&0~Y1%^7io!!N>7F*Gp9O z<33Kilky8q%h_3ePC|)QWUqo2Xd;Glpea7?AilAt`H1liGhfTprnvzrNWdYv|`OtnN)j1UWoljz07_q zwM>D;wstBwAm)m&kef;1`!dY=89d-fj{4IK>KEnGpf2 zLK+(r(?kTVT*1rXC)8?Ibah{+mP@tTj&vqGQCuckQ-lb@ywJ_TfsLyEYZhL=R{o{* zvYv5{2?x}P3g>V;r?}-UI(5gUy*YIzL}~1eW}r(}|7|lLPlcqF(+bC^YO9v1o;=;M z+Du#BLL0Ey16%QoHe=%^WkZoG#A8rD^R^n%$G*;+SWmAat}HQ4&+EO(`Ab0-wqXL_OG?^DJoLp4`3ZI2_l;jwcsmC_IB;3yo==?JIhFb` z?Bs8D_B^=p5ZjTNQP{7vqdR=9MIhu*nKl8U7^v)D(+^400UlMc!|7%Zvq*9`XQbet z7IN}l(W*kP>Hs^^Ie~;9C*>5b+$QQ%Rt16c5z>Wa*!;>`@uf{zdgKR5$M1e= zfo=C0Rk*w3^I-xHR_@?unYQ%Aw3iA<%BueIF@kpdqAyC+6m*sSxYI0p+&CpEOO&l0 zkS!faOP7Z{z{I6XK6rhq5?vrm{3^;6e%06DcIdo|`^x@X*!38WPrZ#teT6e0WyDN= zaKeAdZPv9-SXo`H}vwi*BZ4F2kF@VSF00R8*f#xu#-W>^6Its<|_$Fci+P~6jhj@RYD!#trFr08BU%xDt=|^xwgn0Pd*D1%M6QGvuOGOq5D6#UiV?U%}a zEs1pns=9e6|45wacXr(Emicv5RsC9hUbKW=Gjev^SvC3WTz^)u^?0#~nPJWY*YX*g zr8J#LrJP118}>(n5r5xcPgEK?N)#k75S!}U{V0W;|md#(%0kSl#W=xCLXqh6PHd=5kRi-S7w^eb* zb{M|nBK?wb&xKyh^d!6hBC4a!JS}#3(%Pld1Cr?dYzYhxZM1Ih-&{wdJ}|UCv|*~F zaYIXmGj9AuSMbGD(gI$xeO>Y5ogzWeyZ3l8IGd6994czQqL#83)7caQK{DZBcpN{| zTzagOrA76SLr@Qf6QTigqC^f>?_7bHGNQ@xv{Q5_;HEz?8jvKdPYvE?#`?NV74LOh zGk6<~RiIR9D831%#f)rJ!qoWzNxZazMEc1s78o44l3N_C*n8#1Lzb8S90r~ zlL#p~J_aA&6oKyWoSojYS&Onx$q;py1WY@94y|QWtuJFTkk&o{&lUF4+KTgb;wdh> zr9KETwdF1nC1QSv6z|n7bHQwBpal@0D~QG}B~8}>db=(6ft9f}%QTc;tO@*+%S~;q zg`NxTiL|zV2rbi~a+658#8@YcCkQd^s)rjmkmNojz{jT-p(_`=;8#VBngrUY_$p~Y zn>x8c!qg9IAwo*heOVWW00U#ptMa*Hf^o2wu{ZFLx*7=9JFHh=M5cUYNd8xbWDUnq z$&7?-Nk;QRiS(X#?+z^GohYJ@wsQn4BU9#g7>t|p)JX6HA0xp^S&9cA9Ci6}x(MKyO;V1CSl_5gUgbR#-!7v|u(KAUpfgbQ;ZwcOdQg`5* zB>4L6iaX&jqxnyNjM3qbG2#V5seEkwZ9Pobne<3DIEh-}%cEW6Q}#TZ$Ee+26f0C{ZLHvzCW^0-OA?|W<@!Tw7cf zGB;(&EGSlJGZw8-{edVh29~CxaHyMH1rl1kga2pBxC`A`0OwIpgm-a&i9hzyyH*wO zu!knoGw!_7BQ@Ct`(yF|_k@s{OMBB;BBYAQF60_l8BH1UqxAy(^|I9q1fC3HTtt_= zq^;BXgNDmzRDWD0Wtm)+X|pR>Za9~rE1d6NO0%+zRR5h6>1Sjp!&JEn0sG-Nv!aII4J)KGG*OZyA#mXC%QEmED`?2y! zFklhoLO+Tk!hSF+$wWJ{>^YphyTV)@3!cqVQE+^ivB3sk{IN$Yu7;2l#**oKGa=63 zo)|W(8q%v+Cbqpaw>9!!TKU6>Y6lAo*Bm#4hI+AI655NgWS&d0vAgz4&2SXe3>-x)y_Tr>P7{#H}K47O&MhQJhnGMe<;_8eGZ-weZv3||!!PPcwY^L(t z?csDURhrO1YYt46^P}`q+_le+!DYTbz8JGA!;H}%FeFEHEV~QT9te4V4QTJ6-)WZc zF`9VO`1#1`UYin4OsY7f+0W}hqSvhZgTD^wmH=v%S7Pd|^4k}M2ufX&!YND_)vY)j zH!C#&6)w(LF)S>Ly2>0!y2w}Z^W=R=pO5z@7TxL-D4t8{RlYvT3g|@M+FO~horYU| zP-l3a+FR>T5$Z9|gz%O^=%XvUk?5(ODA+;?^8VIb=oer&6p^uFW zEx1amy%fGRS(h@q6yN={Hh#r^E`q$0Xe)yC0G;R<>8rSBjDuGMwc7wPj=^j2GdI>l zYPD9=x_gtzxqd4dr(n-RXFpXCHWe7u7j9 zVqPT?uzXv65510z;Ks=qh>G8lIta*b5ha_^Pd$~Y@7eiQ*7`RW)=VzvQ4j=WP(eQ7 zU_wN2K~6m$v7$iWu^2?#kc53y^2n1ahJ_~KhpK&p1*ttJDas4l|5q=Rb#ikPb{77S-_z2+WgOgFKqqcf=|P#HCcUO+-cFq5WH41nN3m#4 z5D2ZJtx(=4`eX^}00iPH4LOEX&_Gpxj)R3amxr;U!~}J?P4IRQu0$(^8lg69%HBT3 z&!TVQidR3SItLU6WP+G)^DP$VQUa*EEKr0%^^c~fw} z37jgHoU~LcvtFLU$wf%B1OJU0WNqHMHlI?Bp93L`;uXOFS~p!vCie-cgV z>sNH)8Cpm#(&;sN_!bpOi<0V>`@OG3(Og7(YwUNQJNlQEfX?B1T(s@xw5_RNV|D?F zLk$ItRx0e!8|B2bgwA)*1!*N_#$hYQT<~4Dt6j=QbX{kdo8Mc&VD4D5eu3xtgFnp6 zC~xAnPS|&FqS1QSov6=*!;DYC;%^tcK$?Fze=uvvdmnlzsDypY7cAl8zc}iVJAL&m zhq_DD_$EM%A-W5c0DGqrY34*P4u5PET?(;L9V7fB5~|IDc@YU4-_kH@885MT}`5pNW82A^sQ9!JK3o}OzkUED00 zRPQlk=mK5PSF-!OD0_{aNV{jeHXR#(l>mxUxUa`n^RYx6bTJlKl0a|{;5Z>dQQ*1a z2CLsc3D7y{!`+jVGRH0k9=(`82*zjAYa@PW_UaaP045s)P>3yF#m@cKW*eA?JF#Be zqk^oAa?=P5vhNw|<}tKDM}^CIV>w91F+`t7oc}ihHkn6y>xGL7&f;eGrxc?!Xjp(9 zkf^=sZQb23W|Nm)5Lp!v0jJBJbzF~7$3mxq(n z>l^M&q(MoC&QG zauHK$$N&L$JhX5EW)>h$i`OZ{F|A*=e@b$4=fdkR|Koyx(@-@19{$sypN!{nw#+^H zMN#tZf=a*O6oNIAvK<#Dpdt>}WtngE{YK{60N=GFul^VaJ(e9ZA`1K z0l@8zpcib!&dAHcXNVlZ^7iMbivKvkixbr@?Fuoexf`}X-4{Nr)>M8;bv&-iaokjn+GH^e1kK_h&V=<$_aZ$fkV6k%{UsxARjZ%CnRFaZ#Rqu}Gs z-4G-40(wb<-VQ$m3rvgSNq)SkY3)LlBY`|Ws_5PUt!nvpbn_w;+o?#AzGVfWxIMjc zA7|~S2|Fu5?LacYQs6hRX%zW?!OMNOlv8>5r6WP%vYOFK)-N<(m#=fP8{#k(GtfWX z5Zm}~>k|#vgXCC4$_e~DP&<0t&3SCzs)PB9aVuYgI4(FiB*l5)2^LL8wP%~2@sIp< zFgq^XS56w$3}iYhqG_t12X5GTzJnGD3w5Le$Jd!R@{QyG%rx3;G)v4ho}%6vSTW&f zVD3|ygpDw$-4ccOs;F<#6rera{Yms~Q~AL!7=2x9B3{rh_-=OS>16Zl2Swe+PD!Wf zhxa@TY^%_u+wtx2C|57CURC_6OKL+?T0^yk6YgV<2i5~!zkjT}H@Xr4U)~LtZ91aY zVk4LCGq0ZtqtQq~aEfwKk8u@tpudQwFC&SH!Y(RI(GOIZu-O;V&{;)4HrX?BPxsPl zHIXKFuZ4(n5S23etr+`vJgBYxz+5Uab7gnwCb=_GPsPt{EP+1reQ(y>y%T%=%>1M+ zu@yGuw(k&-kk-DsUR29a(P>Ov^bQ$6@-SK0Z=-2q+0cA6%4dJ1%);S}VUDsg0%kPGHbHvT4mEA9iam4L5H>aq%18sT3>ZElRtaHPvTOrL>?&uJF z+6*uZf%7e6+c~p4-rJ#lhpLe63sJl=V48g2EWLB~GhgWT<(5@e{VbU4hV`)l?sGY8 zW0^jJEnZH!hjVt}cO_F(=o^t4^)pK08`hcGEvS9Ul#Hm5@N>VJu)q@o1U?2=KiAXY zuiZGxyV>(lvj~Kzl^TlY)49RXFF5+wC0qG#Vex)3G#@JWNChCVKB_xS=N3jPndj%e^nO{ zaFuRI#T*-Glo^_-YEsR>R~{Q=Rcs9O9vfgNI7aE?C^(Yq>nk{R>MN9OgtPFs0CtK;L;|@`bQ7YJgnQeJIQrlGQ4E^V1cqOyj+PVdsZQwQbkSloEZ=N-I1bCQNcH}aQWsWOcD(1#$8 z@HY>iIXt?#)^e(cWSVEK6K1{NMOoe=uJ2JU-f(C^$PW=PNTF?a#@^=xo;r(^9tOgd zuoNIO3N(ZALy#F){s-Wb!|uFuVV2!ci56 z=bAQqwpd7%4zQ&qoV^}vR?iHlK_F2t(#DgUsZLB`-BeRzWd47~JsFe{9U7OMN>Wuv znnuwHy7{EXs(!=e2O{r49~S3}4DPM`l%-26UQVpp0B+I36zvrL5WE771)zSiC2G1g zO=F}3ceRNin1-iM>D?TFm8$Yp{hx>Uw+Q*?Ur*952zX640&kd4S>J$ z*d`mTch?go(VpLQhty>FQ)&KGiPt)>Sn~qss5i&9$X$9m?%Wke%k0+w6bCHvPiO`c2+*l--a28$aWV z(%v&X>bW(|V54>OTc)~s72a7{FyxU@O1WX_p`N8%{g4H(b;98}+A$zW#v##n17du|7J*wZwdD>!wE{bQ(Xf*2Ew_F3eT`(}-=##Er)C>=B^y z*wQpkIh-h%)x5`wjj*E;84o!c^^fO1l&&1HXe(RooWCE@G9CKt!wRCvvnOP`@MwY_ zX?aiBx*}(XnB7+dnO3zoQd)_hxC}{9v*sA3Y%@x)*xG7bcrV?b5cFvWT0kNy{wE3p znh-~5>Z7%PP49xG6o+-Qx_BBE*A@eXf-vD_GDa~esyEpD;=}d8u15!_ADYg!L;1SR zH4(fmwpA9qZP0)k94v%p7N_Y)cqKr!(9rO=XP#W9groXRsbKp8<&C*ZL}O~%kd7&Z z?1~RukRN2jwNizaCBsRdSs7o)!Al>gwp;Hxu7CUx$^gEAtHe#eN;e=W{Fw%vB{X}& z>Mbvy_QTxXo^&^g#4LtE{e_%@VfM;aB{KdLHAju zf6VXI`yko*TOopVK2(H47T-P`YL>X5`|#lTl|OcG401yC;u&c!fVr-ufiw)xA4LJ z5kBjG6F$80|C%G*2j>X?622>@ul%JK*Tx0Lmm?%`!I`@aA<;Pj$V=ce>Mn3=gWn^r zjoDe%x`)^&Z0w9|GxV~KKL&~rjgxKd(Dz)NdjPFas|9oACcgAc_8uJ_Vl#T%b%F*( ze|qNh>f@#)T`?hn&kJGlh{7;dL=kqu9r_tU>QS~35EYJ7yq3`0PpFn=E>Vi65}GIZ zua(qqag+)-rhTk?_#4VUCmz(>Ek(6T1Hz={V|qd@N{t8l<3BY@o3a5qpIi($g+`wj z_~XQFmf{yPzTcz2h-s#dQwDa_5sQ(oy}0Ny7vJ!OW?#sb-D^nDG_FF7eP|x!Bw(Y` z%)m`goJlD3FnS1N<}Yt53JY(g4YL^q=ihtCV(2v{l83A6lk@cyFmctdqZ!~f2VAt_ zgnF`XM7d*k%rf_cV8t)J2s8HK@GNtR{! zk>uoYxe@?FQcWzqb~}8eGkUbYbK>-p{axHAbS(MA{mECP9prpXn-5;SR0O2N8{m%Z0|N>6g~HV8c-xfVZ?j}-ZsVKqq=-GN&c3zuE^v^bk;(T{ zln%RLG_jre%6g)tjs53z;E{@CMF@_7I4jaxVlbK_l0|=T@NxqPWR4W2OFLVF3zPFD z%W4ws?HG#ZXgVaiV10_?8SuzN0v*}6zIzebS9|N%UjcHbs6?aPt(XHbo!4L>R=i0t zyvqn%?01UJveQLNpgqQa4F*2*H@Xl$VS47ad5OSnSc(a{jLT34kYA!E)&PlPcJeih zvv6@@E`l3tQ0(Nzcoj#FJ1Jd?S969F%f_W)m^Ce%t--B~`3y9Dov@ijQrHsVAeqsT z^Py+)h?4zG)sF~@B{3!8m(j6q<*m%_L$G~mP-RB(?2T7`cG9)MF%X^zn1Px$FhN0a zkf6#d;z?qK{~!4NzX*KB`&ufs8VvMlQ(;Q%uJq7xau!ULz=LlmhloFJmJ*8uTLy;Z^{)@eDrZ!y6e{3z1SVM8-Sja6Br91g8wk29StssxaJ8)HoD8 zYzRkn-R81gR)$INvC{H2kZZ?GUo@)PBYf>V9{lm1nqRkP zvx_5VKK)Hz)d#x=tJWURu%tdpCL8Zd>;3G|bL;BuS3K7Tozlke(UJ)MmcDjzcM;zg zeEY*U6pwMUp#pJR30dHj^}6M?P?uWYeYpLAk;>=}8~ukKVVoU&;;5;h$3;rUz$PX$ zbisUxxxY#CD__rsN6y{u#dW)% z7`qr949Zccimk$UysoEdX_#x1HDIn6TcX3>)L0L|SsR zZpndO;13h9s}}zJOs&C+Xk%If?>Bf!kiWt^PfB0ZbOi9QzL!9IxpqnC!eb1ooo?t+ zjQI6)^mfh2wAlmnTQZm}Ux?vt;6WTCTh~sx*pR3($kLcRjIw5$xZ8E!Gmm?umcr$8 z&YMy?m*V-j;rA-lvG%L>cta3N;O9n96&?tWiUVl{LJ)OF_wL_8#7m4R(5uBR#>n7kU&&}tl_r1fI3xTSayhXA5+gjP zb|qd~4{h(D_K<%%6eM#U`a@LqwPha|6 z2A1akOX)thu!uli7?i;9%U(2Sfav9?iG{+t9HOU*(zGKpc%OlapN=7FG7!FxjJ14+ zjc<(D&c~tPi8K<2;*sg0*F|1=4+R{rAtFSvV>gH6+2ZgyS1il@F<^<+NXOJ_$3~sh zUV%gizBE$-Zrm9!0G#N90T~r9!r=dHdkNcGfhbMEbRcu~$}hs_G2ZD8T9REz&Gc^~ z3P4-N=K2@@L4B$kPJB^FuhM72_AQfJ%Y4uJcLWXYrNFH4R0)vW>ACorH~YKI9@&P4PMg}f)5|ckO=|bT!NE`-r~2(D)5C} zH`k3~%Nq|$X;gnxU(kgGFfi+B`L&xXSXz)t6WjN{x4pk0kM6G!p9q-yf?7iU8wvab z#Sc8iXPwbMqa42>w61PsD0UY;_;?ZTjb~ftagpYYS6}9lnB$FyTJC{a;EktQzNoD+ zA9)Q~;f;4t?on6kjR!NENr?wLON4-jH=D_Zr(W(sv2ME63l5VMJ3~)NK@jUh^oq4f zrN4XnzyhE$^7+V8d*^&A+x5OjQFMW&hnyX2^EESPKW1nv-yZGQR|DyabaYGH1&#HxEmLHj_{Z4XmIZ-j z6WqZ(p9BxzkllGwS(6@X@F7U)eG#JLnk2uHsZSOdweUKeX$s;f8*U+cVpv%6y=ZXvdik;tzR;z9}T~P6MXv5S7O2y zD=I6%L0HK%17nQ~r_&BDfQ_HI9Y5CDUHE zK@6FM!MeuMEpZjCUt_!Z8;{fGgTJa)IsP*AQO*8lu&6O4)pVM@xg^+C_c!z@Dyo^% zIkSB5u0SE>)l#sW0-0)=I#ipCRrX&m;KNoa?cwGV8jj1^VW>B0&#SoHjJLm=>jvwL z<9CJp@O3Z*3T0o}?cah=#eyl}q6N&NtB{$~1F|Gsy}K#&XR3Su@g3{Bh4fCb%BYyqN z<5&&c8hQR@_2Lf*a2(sTy}C1g`8mGX^K5V*acQ@Xs{*fe<6$7GDfg$od#&>-uho6F zqN`^W_vV{Bs*O**o}m>n0#jYb6bp$!lUt-Wa|wYa__qx#d(T?Gn2=%|x3pRbzjrf& z{JW~edUkJ~9ac5kuoN5{mlYli@o`pWOTMI3=NC?C;-uAS4=BY$apG3YJK`jpv2Qf7 z%C1|fnku||^|sZ3SLHi+E9>M<$3FNLuA~y1|F@WguP(68p73H0oYI>c=X#aA2wBW* zaCXm!mQeUlcF!^&lle8f_rORfHTxRoyTbwxE?iY`@UlEuPXqQq73SbA4DT8I+cWqk zNkj(%>?}u&e~dZaQl!22UOg;mA$lEf2gES{HtzIA;nGDrehobE8nFg#ADsWtnaAH>O2h8z;3t{A(+>d1N zLbeYa235%dkUA>+Z`r#6M|P(iY^D3g!M_6ys{*r2Cn=ItlzKqrE92HLn`Wr3n6_V* z9gZ6vxr4u?EYL*Ck*#g zpr}*9wr5g4d%hM5D6$E35KmL{igbt_iV>^Z)Ct*f%_scdseA4s1Fr$T|M%(MzXbTa zoZVDsr#1hl0N=mzp3?i#P=Ni+d~K&_Kf;wsnTUH?805Ivio#+beu7vvlOoD6Xgkxw zZTNT)AMV5OaXtaG3&SZZenOO0YIh*LydVrzYx@Am(YM=UZp*=6m1RmRI4olHfYiu^ zt=6luJ!Yb3=B%7Jk-XQYU>lUZxC4eUb5(x+u6%$QSn?(ldi4p_@Qoy_5LF7}C6NlO zWKBj<85Alh!gP2-k0GS+<^HwqO?y-cnfClA+5y!!b(Os4a7nk?o{F>nVAfpE;&1(YD|YM;m=FkxGb8dg-KQn2_ZJ(7DAZN z8gu5R|2x8mR8jyJmZ=ufTUXYTR1=dk{HefOPuHr{R;{#H;fa>yxhGP5Ujeu#AkWY` zfMdcg)s+Tr0cMUc@5Wx!LD!h27ioMqp-xVnTf*L^cvU1AxD}gz~#4XqEV0L)qOIi~#d2;(;fJS9)@}GKt!Pa*n zK2SQZ?e)6~VY~P7U)z62P5SNQvvSh13|TwT4mPb>8)SwR{EHO&pST%MdR^Q6_ViKhcaFI2%wn=*ncY6GlOzs~Qh;}Ux}1mz9SR(I z{vvwH3Df8p4hqQee@;h}Y7mgkquR^wCSf?7Dm0d6rcYBuM$#kuXx>jgfo zCwc1gj1}ol=8C`H=OsAj!iTKMfa<5gY*;*xM&a68)Sg^3rjk0cpu3jL1G6nZ+y<&e zU+PPE%1w-ijNu-7Ryo)~YjEc;o7K~3cO#D5Y+>b3CQ8fJQy_8rik)P)ntOOAu6`4F zh8o8Yy;>bzF7^)y-e7VF7^|`O)a`_^0C)b5dcJo4%9fOWQ}fUV#4sd0-r$=FZVp+% zFoAO%5g1~V;LpQm5cDTPL!9vH3tj@>K1NI|$1}Z%-a$dF#~>L)OP>|7$5^pWMQ|;e z`%K%_-n*1Loa7r5kduy|xPG*fl?Hv6?G}-O>84PGXz*`EhVGVE3RWYMm*Q9-Xprw5%5jCm43 zQ(+O47%1zbr&~F(vh$^Z&JTeJgeTdN#mSgW8U$?cbozL1Ki&%wh8;NT`51EyXG z{xti}UaW4}00S$E%zDI@u^=;KVXWA)uM}&-Z}YLY-$S4Be){#Yd)?O*mT>Z7p4HfK zL$>TY!5z^Zl16bZY!N9hAWlr!$XA8?AxU}=9PS6F_RfVg1`Zz-C>t22uhKPaba}W2 z=%8pbC9Pyq4@AK+?q`u}8!OM^is{ElAXR81I)@CnMHkaG6p>>LIQ50Xk{s*WiEclS zP%7RJYx{27ynsej*&N*9TYTtcKPsW8w?-;%^#tQhcCF8c`B&9de_dV?xzu0EtO!tw zIOx-gw`_7)aQ8kp@sN>r+89bWjF`;z_h#lx6GBE>uz*EXMEcAK3ya-4#bAME-mN-f z7sh?z>qR0Q)FC4H#dahy{#%5&=ErnZTKtt}N3+^+2Is{=ZKLb06Q71c5gh~AAs!gl&DJJLOy$$|mZPh)poX#_B7;|%k zIB|#srhv+CT}jyUkLEv2WN^rD1>jjlTdw!9<~|a#P{8$y+c1PM+>h?lqZl%{cEhK! zIZTF7xUHn*arrhNyqh**|AFxZHHwN^K@h~}A|aN?K7m76aJ@9MC=?g4v`qyS&UU&N zx7>~75I&yOy)=Q5c0_6bTOGfE9cKZ(^P&T7u%vus5xttd zH!I6_JE?c4%J&(2*Viyr-zT~}1W}QX>rZbdjT}pA?vUFLf4X=1`2dsJ*_;b!$9{a} zzf6r+O0(BB7kW$;Cxw!)Dvx1U120g-G)#7*Ip8$r^tdS0dlz(5`MhJK)-59IJs^IEy|!zaaaKKLEc*9)iE|psrR)bLCfX$qff3=|s@*hL&UunYxkJ0ol|7eArrU=?w$mMa2%iEVgn2*4`Cr++SKot!>*l;b zc)eLU_Z&cC2BPk2h#5~{K@L)58V6!QhR|XfA7be|P4j=ck834ca$I~&IR4++yZe98 z^%hWZJWcm-aDoI0t_ki0XVDNKxVyVM1h-(p-QC^Y-EDEVpbIRn!N1M#dEW2;>N(wY zdunIT*=2fqn%Y~pn!#&7v>PWMT`J{9Y#o<59}=v!4nm3upCTTzP@qVqrc3-C!VLT2 zms7y|Wk8|?7_CA_{WS=MFL%uE6hh%^gi!c2_J4Z|B?d?c?L(-0x6o|rusvVDze9mA zxBoYglP7NrfZuN^lpEoahh*@^PawG@44{SU3K*T=Y;Zc_z$FSMj-jwe`gjK}{j}I6 zg_(ZF=<(FvL>nQ=EC+F;B1sRq`?wiTmVbI4uprBcu}BQ|tGYm!5B~`@Ew8(5@D5&F zcXlO>ebLEi3m==!`$WImZQ;d6{uuG6oE{tw!bZ~$7_Lj>w|)TK)gK2albkg(c-7fd zzr-J=koY%a(L@itwkcW{?QMMLAL+h3W6|W(Amcb!6(Qkca!;j{jaf~G_9gNBYU24t>0D*x!z)Ms`eL@!^_aF~A^nBPEmQ!D^ZJ#qw&N&3 z@j;RVyTXeO72YcI-OY9Bj?&RjLjt=`G$xRqad-bI!}i!y$OECbT-Z7BswULX*G z*xjQBEsUib{T(yHGtmQ$XBk_YipPDARh^dw)OtBd)$gjgaI7;zFdI+0{-Y{Vw-`jc zw<llSA06*8P!D5B%S`sHuU;Bg^IfJ`hkm4}#pgp|nRN;Xg@*M^|5B>41 zD6AD1j;chwTA}usg34dKIyb+_whWV$yWG{U-UD}{%ymYS!$ZN_>7(^<&?N!;Zz*pK zUo$0YTrLSq{o_5DFSkrM`2)ion7*#K`?dGYu0m-ZxCN!IGsPS=%8WHK``^^tFO)mO z!4w>>m>&sC?*9hTj{e5e;BjeiOXrn|*aOewnP9cgzx7C@g43UDi>+2PR(D}Z&Lg;+|g}e8c>8JRKx|XHmo7+5MZxXOEGJfZJD{O7gG5`VTzWH``T|=wfdWA}tx%!Mt zJne=_q0DGWgH2(4ZE;|)sE_Mhae6>zD#1F#?#bfs4g#|gcu)$e59_VVxF|aD{81VE zc4Ruuu!ME=wU8(RJGTwKj{Hn795%7G{LE%#BP1ucGu_;SYv!h^`o=a7zk}^yRoQ=; zH+nHr!XB#7u=yl6R)%=V2#u1RY6LXEOZv%4%z? zTwBxBfgo98ZMTKqL88cjrmpzfF`ii~zsnJAp*`+Dj!QPbjK_r0o)WcZb4`PzaPKBqt_0 zB2p7mHVN``AZec8l>5syLQxHmamooKtGZITLJ5|Ek`QHNiTN5&Rl~Gmr>x5LO^FHt zLvbKo?6vW2hzETwoTET#*1R3%-579d8fM)pG<>N73Kv4h`(Lti_wx9xMx_77^vWGj z*Z$%0i9wQKjUzQ7Q6D}a)Y3?)s^R!9Ck*>{tIAL3U(H1X=xe=u;oKM9{8pF-4$p&5 zqx}1pMg7JVm(OTu80z_Q7iSM9IWYKAyGbXvAbGiw51ux!BokYm544*q@c@*DK>JBg;3(a8TA_5Za;con~AI_8!^1N-u&-x&e_y=5Vf ze5wy}`H#$9c~DLqcetKbEe*@RQ*(Vx2J?E z+V8Fzn)!7@Qx+x`GEm&mta6%qXSg@d6Dv|%4@v89=E%?0g)lZ(Z6?iIH2!LIYnc&v zcE*|W8AIf^Nu<^8JS&8_3}raiWH#<9hvb?td7B`VLe%#*b8eyC?Zo21&e`7!s)kaB zL`-%rMoBsiZ4xi`jP#`;Fa{;q!?l!8sNtsxabvwCQJN5gywiPb0Nm3{Y80 zK&_YrTC9o01L`-pF}1Js@?GqjepeiGND0hEsySYXjnMn!)z~z8$(3JQp-Xzo++3-l zHQo{3P9_=3DCAnW$8>)VVXx*Onfyvrcy%zpe{tu%(jDvdD67gZY135-Z?em=6O40Q zE|l9vfOT9Bbp)`y`;v=OfZ?0<>oOlhlTe67;sc4NZZh11bHy?{uP6G=I@-?VSxx{} z@dp^5Ow!lsf^;e!uA}J!@A#x}YdPK}=lwM~{!M~01Tr)cU{&|G(>h)OgG+K8L460U zIeNuBjxIb}8VP=VmXjdI2_~%Q4+BFfk^S}DDBll6#aeSPPCp1Pj8>g4^={_Jdrt6D z*K!|?_!|-5&eUB|3XhL16_baP5|Eh1^8&sl(HGz{3DVSB)98N9;QiX6M>P2T6eAKK zI16v zbD-vUuRt@8`Rg^YjNy65G~nAaPWPHy($dA`j>yTievtbh+0aTGWm}ko?C|F>Fxd6* zabI#wS5F)B#|x9rR+D;~hqs_)&wW0u*MEWM0durja|65nFe6+F@=QO8K6G z`GvZ9Pn%DBH_29gZ#)xxNar@k&!=9CU5s8f%LS@fF+G`Ymd7`d`336J_YVuv+c~0i znQFC8&V-b`-Uh{=JG}@ziMvswn~rT#XOg-Z>wSOZOwmRRK;Cg)bMH7M+`*8Qh{dz|0gea7PLe)pZW;OePF?tF8X99s=GdiO7b=3#|+isO7}ea=qDGTO{Te zYWDcf4806Kbb`ng>`Xmwd0>cF zTHQA9-`*B1X=GPrr2%e`bBWZan3378S{-Wyx(~-nV#kwfU0k@W6K0uF!(;ppOz^Tf z^{AFzt-d{y-9BBoC;C2JgYQIv67!u=lIkbS<2xNf?NmCAB^9%TH??{f^^|&98=N#}H}VXr4@M)fmHO->uhH+DV8kE{UD^bwkGYAI&L6?Vn{@KFjzD zr)~dY2NTY&=a}JBN?8nKF&DLN>#{a86t(7_u}(2UfN`K(Lz?X_(gKuJ=&mVy)snGi z02nm|jCue@YcdM-WHFQCe~e4m;<+0h;m)m3TNy9@)!MC&#kYru=HAAwxIq9%mM;Jz znj0Z|sR$9x%`v-7`4?>d3n*~s4p&nP-w&oM|2#g9Qq;j$EGw7%zUz@aYnp1(xs@~6 zF8>q9E)z<#;|R)JNzH*$+CoXKZgGNHj8{`c{7OFg1?Bh`R6yH8PmZnP7j^3e_Yy*K zl;b3%fI*Vf;K@x2KXbx{6>^GCHUXTTfsnGx)27ieJss9kvN z&jM<-5ZTpursWBIR84yI=is5^<&V^s_=K-dwt&y^+m-BDk3x(T z424rAuHK%P&I;RsJjfN^*~hHr3CvQLd=KhYeTa?*>**9T4@>*{M#C#M-10}M(PYu9 zgsQabR>*7*$jLt<7Ooy;DD#%Y9}}|3mK|(Lc+#NCuL>PWZZee@kdiW!`YWag_RTN( zpWJHfK-*ZldH8ODomRzV7NuvLk-6`q>X6Q#PQmv}qacQy$6>s)9)9GKE+L&9(&X!V z3nEkIqaHZP1#pfsKaS+WTIr!VZWkqIZimPDby7p-QgT@Vy*nZE+#Jn=kARQN_BzHt zK@RMcv*#E0QYWmR2PJm8KiiB1eLH<3%3f+aPx9eul$12vwCajY zj+0+Pc%nuIdEA|u!iV1*Qe1(;Ml>G3?(xTh={>(zod-g@N3;jNqc81>K-?ohLV^fT zY70td?K2}-;F2HhgELvHe|cZky)!0`soV=l)-2MaS;`Y*o|Wsini&dT)Geq!Qg)tQ z`b8(f|C36IGi|y}O!lj_%d^M3{2qmkX!?e@d;QO|=jO!t;cBj#(9=jqyqAcF?GuRe zFHP@YVE8LX$SKhhtZ6iqSmpc1acGWcgvgci6h5!TiEg3+w~e65gwFj;UtGk z&U~=T+Vz5t+YTG9_&S(EBe)R^=d8^z?Fgqq2~pD z*8?e8gUKzZhiQzDJa39BZ=vq0uJeA2cH*My!k!S*z}95;^a&U^of?l+kY5W{tBX)c z+CCP@*r~4Fm|O)Y1jymjUU%uOwI^-WvyJC6TmO3;}QY# zX&t;@ic(gnZev0IddK$f}8O4>Y zs_vko?y})`PI>*c%WQ&>-^rW}Hg8GaqPo`8pPl)$4oG&U*M*7FJVS)*gDPgO6?BEn zLK5voDgwz3DTy%Xw?ow55BJq$Mw$D}LzEh@@~JxwZ#xN}5d8jDTR9=0u;K5U5g{sI z7e%8fxUTZ0%@h-+&CI4sn0*+945+sidE}N0e`Kvo9i1R1DDqE^NDTIW-I4A3HfGqU z$8(Nrp@L_Fg*C+_LzzXKBgyxK6~8QH3aOzpfou{>6vdK`J!u7EfX07WxK&~(55&g& z<~;c^7I7v}X&m&vEU6xRNWbYse3QxQ&JC#ImeV^=?gT2EFoLki<5Vm(DWO_Q#Y~0v zJ6IjJs^`46$Og|u-%g{lpUTW6iT6xz4^s+m#nTFIOVSIR(<6@YhZ}EHnJd!S--8#W z`cyWn#rKnIZZv2mIC5z6)18Sf zIG9q9M*>-l-1#px z_R?5RtaUKFg^|NMV^wRpj_9(UeujOY^cw$#jt(TRMDIJPS4asu2el3-&$enM&aV7S zm~~(Hq!Kcu4ACXm`2V}{QuaNYRLvBR4>8;*tHA^W!s+8*UHu2oR!QTlU1H;|iYh_qQ^;k-#A-`6I-jMOH6s1fGq z*BeK*62}GU59XJP1i@4yR3}KqW~Ya1K~No(AP!ISH0rMp*S^_=($8!(RGUPXF9(y_ z*l%0__ZHMw*Op<6z>YV{?7ae!V@8XWf**tVpJ7Zl@V;zK{nUVipFoR8Ng<`e)}LqX z3V!E?2311>tfASiCo#UZdyDJquUXWui!duNF_k|nVCv+@21vR}V166Z z=s0&$q$Bt&xc{u7GdN4uy;C0>VkH%jiHxt9wTx5Il&O;}mrz~c9xWrF)==4{NC#gs zy<9wjADv&Z!~*XSq0LG2I-~BCR_hg-ziyy7i_P08ED7s0D4OQ;uF75t*7K^GSp49h z7kwI)&t8zHzSdhCbEm6rALi?vzglT5sb*`(Y4mbov;=hcWSr-CxriQL^*rf1-$RdS zcneJl29S8e^S_~dAHml_i-319&Om`!LsN}oUzLZr3Oc;~tbH9vRjzQOBH;bsB1BMrj7Fzc*mVHC&B0KDsy&yNJ%bWirhk zI+j@FF-5fT96O5Nb>|b3u|>Aw9!r*t=XR7JzjM&?5Vk!o$>@cNF#Z%(kRinhUazS5cQF|9Ap9)zlGk zDqCyJLDJRww5o@`C2uH6%BpN0LQzxvx;zg5m&QzE%IF|(O#JZt$PM-*;dlYgQWErG zbH0%1*(wR)_&XU$1+X@W^tL#o*j?T4GUG)dXV<8u5Y?o%bj3RU&_&3g>4ca zS+DHG8@p&1?3K#We=y_x{-w-yq|0E@opOAXBof_B;n6}lbC4tg*1|+>rZ~2fz_OK? z--s)*P#fU4oKEa`KX9moEYS2@7*dW*erw&>{_j6x!pJU+Epc}5`H4E+8PQBc>K|V* z+KmGDqUx#{@M*~(hD>5O5lxJLEGLelhKgr5SrrpvayHn#T$X=Ak7^0 z=LgX4^R>ZiYpO5K%C84A!8ep^IY6?&i}uTHjE}&+Ui8C~@N45}_u|7+jPLD(+DLcX zvy|**Z0E1jCzY`*AIX(DIa<$0S`#_J!jq%o1pb$Vy5eld4dplu>i&v&gT>J=VB-{L z)(IYb(@d0W=ciVCzJ1s?0uLoN@(&+HbEn`n;OIY%xx_BLDZ{C)fCm zgo3UcCCq~CIL4QR@WO1z@EaxD3?E5{S-B(H?5D}=SD97**SIcpUx~Mt6XC~O@KTJ= zsS1_%m)E$C%jCVt?t>1p@NNp7XG(X$t@aywU5(j6y*Q@Vw7F7ipn>srb@2`ON$HH} zI)wdIa#pWZHTf|)>2gWA?vIpI`H$Dan>cWBH+%JK*wKzk)@xhFEf9Ayzndfab(Zf@ z>e?JXvB*)5F~@z9d6H#G#CPy&=?4%4qG>sz8F3q-mTGyGs>S@8W>On$OuUct=XpZe z*W$wq_S4vW)TP=9y^`m!UagH`RB`uR(B_U^rz}L>w(ve(DpQN$b=Kz^VDb4ij56X8 z?_BW?Iq_Jh?sb=$(PnYUj7jFX&^1dczuV9^YYg4jLU7Uq@YQ#M(ha?Sy>r36+Q~oJ z;#O(od?$kMpV_iF2Y7~=umkYK(PRYNmEct%8%?3YC$-IC9 zo@rMZ51)(Ke-$hw&5>Ts6uri%&XCT^J_-}4_=@Krqgg1w#1g82IrO%kOBXWU<}HD~ zmZArOjcM1}tj?X@XI;L;z^6;lRC4m4Yax`WSEuBNnLqUSl@#s9E5&06dZTzM-SZ-r zQS5GIM3T%8V~a~w?pRFi)$n<3CRSM5r=&*6HnDi~H0wK6nM)~~Mj9zKarx5vn6l`T{uHI<2l$@Iqw`ZXg0q__wYf^!_21YTT{a+^{v! zGPGN;{g0WoC2h)LBa;<_>_m==tLU5FiMLMLE_c+as^j~`=r}VR?*7NP&{epfeoc;%8W35klfZ*_0ZJ( z#wQAz=9`qSQUpK@VQ|ajq3cgN_0X5^2VH@Fm`}4JQ>=RM!~;~7Ve%r{LA)!_0VCJs zT!ULTS`9b%Oh34nvP!)t+)y$u)vvD-poJfO3E#E`-abxg#JP?s1--5P5e&+^5e%Z7 zz=c%L&UXuZ%lS`zxlr)#GFo6`Q>mceSN`9rTn26WZc34!Cc}t%k76QZ%kR~c78bEz4CC^H7Le*d!(0*DNX9|P^0(gBCpN> zJ^KRzfsc-itp^VlmJ5@BU`29YUYNLgP5@W#H8xMZ!k$+hf+b;24IV2#U?`* z;+VErIqJ5MwCazz!CZRbf9R9>6OG&N9;F7BYn)KEQfnmAk>ik6vuv!yX)(Q=ydThd zy3oUYB?k;-If#iiS`JpX<*w!=%>;=ovS7qk*9V3fcG4~44yK{FmZ_^@S+T8`8QLgR zjN41b?SW&&N{i6cRV4{1rjEi2cwd^CPW`ffn_|ejyfeZ18uhtSXHL&ySp;Vvwku8) z5r&H&b^k-yvk1_Lh7;wn^`O<;LWSo&bG7;cB{HAhmD^}CpY9bUp+%Q5+eET;!9!eP z5;LG;BH43Ra|VSIxRX{{(_-pGUv>M!>)e^RWRsz+&RR#IW$v(1Zq-zsN98PN!aUGu zOIsNbR?*Ypvh?8hj0<+tZ3mqQ0pa$fg@7b`3qC-sJ!fAa+F=IN7EATA6Vs>aJ16*0 zAQVTRPax>4HbD?HWMoEitl`(OIz@k(f&A6#ip=aCE7I+PkM1BSk$;SQ&r29czPJ7j zD226x*f@l?D>!e6leylg@s-9sMhO|P5wrMGn)_VSki-@46~ir#{8r$q%Wft{==ht|U_=`_8iU!v}=A2x&9HrR$(Rue}>0VHC?6XgF1l{EmKdY$UsiNPcqTj8e zpHJ!6X5}0mx@iXNi|^@dX>SX1Ea0%oYCJI9&z2izx|sk!vfFA7nPiEyub8kftr*Ww z>}2aV*t=J=s?G|RP@HR9|Uam=JK)Xf>MQ@=D2J1xQ|IFT*h(h$~*3EtyxNI!j%u_^D~~u9)Bv@ zy7*B*@1J+Qd@_Q+1tOZ3BdXp$bgXZdd@nV%!BV_jEh=bgOp$_{JccO~&ZAE)+JW4r_C4hrE^ZT6q3ccC~<{zxtEGewx;-$0tCYS740 zp7+mLOltlH6*ks{zF#Tw)8FOtt{6^8q6+fD9*>}|uS6~C;U2YM@UEl|*KyjEF4j_} zH`e%=|5qAREUSR=x~6wwW8Vz881Mq_YOK-5aTKv==-T~ExN1($;+sM(QoMAp^iaL$ zD4tiYa2)@bPBIxfoem=ONVF6A9N=fA;lFy|0wnl_HQIE`=$T|^C1w~ERNchK{9%4b#Q zZo#zfivZv|ur~@maG5}FZza4BgyHeKup5Kz>!$rEZWgonNhXDs%@MTp1+#~#;el^+ zh%^|C;QhO$+FmEfie6i3TQyEgWtEJ30jIaL2~oCD)ZReGgPE^)yqd);fCur*_OsAJ zGuVXBC%L&ILre8B>Gz)DN7>-Zo@T_3R0kfakw5V~HHu4-9k$q{KJcT-wYRH8gho-3 zEW<-7Mn)60EC}>ifkKfPBBT0rMOmh`bvS;{Ge5O1Eh#S`hX;`qa(%bl~RvhD}4hoXj zhnW`0>AHO%`Nhn&0gO#!N|ZQ73BrWtaoR!o8MDBSLxuKAmpu);4I9iuIINtYk|%U^ zNa^zEoIVYD;6bw&l8!c#ZE`sv5&6zS!OCj!ExyK9(cCn{m}%}?*hCAKRhvRmm3J#}s(5YJSGL$s{51Ih^i zXm_+NQ16?Fgko>5+P7|{GnLYrCx{CD+#scMsa$|gxvqj!g+*B|B>Y)SPdV94^eB4z zCz)Cjd_q}@2>GB&+c>mQH{}}XbTG>2I0_}i&xXwdtrZh`xGY`NkI;UsHzbD~re;~+fAT&(`!YRRfEVqIbF49SO9 zfES-Oeu1i~m|H|qWXEr`L?LbQe@q!)+{F*Fef-S4_zukW4zTbDXJ)|pCzkN+SGRQ)pm>3cB}t3m=d+!W;OBxwU<+6Po7f*zzltriMz|nj^-5~ z>_FpQq8Bgamh5P=(v^*huNGyr>TPx8E+2z^AJEu=@?2%gR%K0d;ZAk5XrXSdPOa@I z!Iro1Np|J?a8rCIv0zn)c4Bf6Gg7kuepN!Uzlv-TK5P+z^WxHE&JW^{T~8HR{|0Rj1|5 z>{19s*bj_+VT)@%{>*8T!`et6KdIxO3A>l$Tx#D}WB#@!Nn485Cb=!O@d9$Od)0C= zUH_4geO&aqO%xoDE>!w4#-MhE2!`X9JuI20Z)IMxY$l)X{g|X?eH=<;ci5OR!MA-$ zDk}Rz1zovSFVKz30BsYd1$o4j1Y6LQmNZg!;{_ zio1%ma|O98Wvuh+h}53`bIfG6KqR=%6o1bA0Q!9tMU5EYo=+Z@?>FEV&)iK&gCILW zQU3r*Pl7IqZ|W8q0&eRx znCoxzM45MQ>$2BC1z1SlX?&p*B_%-#$0F)mSW7(jMNT$68bxKzQYP+|$%`zf*axjO z%1r9@9azbxP_#@7o$F`l!asVMvimQ!non=(YFpC0dpk@SmLFwppZE_yaGV% zZ|R=|vMWAD&$S7~yJ9Z+~djGVuSji`8G30u8s3lLm3<$8ss19bV@JE039% zu4$JI9nKlvSb+Rl#NP!{!>8AiuR81;2-MTjrLCR`Ni|SE*hK9Moeddgd_zkS=+&g~ z=-b7KWAMFZuwpctp~+IkI=;x&);bW5^q2(fn*7L^GFYExZ#H1s|2ixuT8?ui zCO^h{Z^@)c(bd<=W58?zZ~JgV1LC-;U0SVOHawgJu*bMQnVDSnCl9Jzf>g1Q};wNXjEP$S+6zBq6xP(G0SzmmyCU-gmo{GId0d7;bDoqWWKH~T)1{ysL#q?E9nUT?dc+9)f)y2mY;8bboO7n8)! zwZ3QJ7>w^LqjLH+wkTs_9N!ZCrn#aX>BZtq2Pg6}t8`+$sR-*;wKmE&HoPYjh0iis zSSn4`2Z}Gl<9hFWPEq0N=)%HMEuxfRlufvCnERfxre|;I-x^!!0Pb~(v%#A z7%*EKJjBx9)i%)a3MM|LXOF4n=?c8iRo8l*^lG@_;fzjM7ak^XHs?;fh`e(GDvW%V2p1rAsF0#-K zQ7;rH$2;2hd0Q&ly&QqSm4e1laS^WSDZmS{Fha+P;*+h~x?WN>X^;MB@m6{^<B^3fPt#SRztX3K>7Trm5UG24&xKI#6ukKH?hfs;0G zXBc_sThjHzx%y%PQ74Idp?hB|n3{EC@emgMOQpEIk_pc5wXJG`vFO$u5@`D`U@e2q zp^dk=%pS+Jyry2&HR|gU>-)hGq-c&cX2qIqF#z<#@Eu^n-g>;}f)CextGs)? zx}B|x+2mkrxAa(&u|c@n9hd5*i&t3lv#gi5q0F-xS^rQ^UU1x$?)|8#TI`EZGI+to z^>%ZxJ8PP=={27oV9Ityy3T--?ya{r(NE|ny4JA!3aK$)N~fWHzHrpZ*SS=D51nX! z(FK}c0ldB0h|Xv|N=}Y@KYL|ZXyu-Bu}gpKbwC6aAJLm-^95oI3(tbME066nqMW&9 zcX^14eTi*P9c;w35n8dINFC+Q<@|MQOlc@{8EH>?*)Yr=9gC>5MIe zy6@T7`*o%B8Wv_sU_G@XVUS>SbCx&oT+ar5aL(|9npODLebZR0qlM)o`S6*O?c3_= zwIyr$NL%Sq$>M$5#Il6GZP{FNa$1yS+lI<&U3r6vip6-@EKXX57jQ{wz{ysN z3V15qZ@aXw-6}of7zwtx%xWP889=HG|M>%+u|LE7O)tr*zHY}Ej;@To#g1nG91`;= z99@DpxJU7lWJ3qN@yGefaSEjB!?s}&uXfTh^lL{k+ewSnp)2*2PH{!${5l)*;m1u6 z9IFb71?IV%fuUfU@r0H)JT$u>4ZkN+%P_sWvze-s+0Gvl|BMe`=zmW4APi+-B-rP8#~rV#w$D4N5}aGM@8AVqnN4y zkgnytQ3}@8sUGC$RBuFo#!4fVEjECwf!Am3;raO{W` z+6OoXli?cvj%nS%57{-v;dQ+@=)ox)XVcIvz`$oWF31zb70;GsUEfXAVjfm-$}W4G zix|3fri>9%gZu-X0S|2Eq17Y~7>Y`o+OThcj7pltkbWa!ZhZ3_FY@IZgEKRV8;dJ2d(NC2^Zs)?EIt0nk_fE{C(KKMTN8B-@GKjZF z0GLr3wA;F#G4)hL0N1{U$%uDc{fW&BjL<&kS_ZX@x18$fckj9`h36oM!!0hx1*BF?joWb?x)Xj z@7~A4j)Vl>$8s1K{9sdT!>8SdNU$YjLqHi8yh-8TqKTVd4F`RaJap%qHm$IqpCu8Jwkc-6##AslMcTz~GY{mVz(jUwDMCkPH zrN1=knMLFMYi zbZ^!$f|Kd6=_fk2EHn*jq7?UVKGNrhtmrCiP8cc?)`!3PkTShR69QUqrnax{yl)Pv zW?F~*&n&qFkDdQE#f>0dG&6w;bGP&r{UU8CUL%}(!$M-1aC`;Vuk(y1>x`!7aF%wo z<96ezoURS|<}5cTwS07nMtoyH>C10sZgr+wa0=TS7YUYE?gz zF@KlV>fgol3>F_DEu>s$VWv)Jo^T;{8mmNzs0Ds~RM+VTtcC*eFuws_em5UMd{av;^8(!N| z6aGjw%oTF+y7H5}_sHD4m0%?=`1%+9Z0njT?Vc_@*~^qT7VbaMgN;E{U~0EpgU*SS!?Xu?6Z;N{M{ zEW_0yohs*@g`Q7#&C%f7HOu3>T{pno=RUg^%Z=$IK^VgTk86N5{IR0fJd{$WT&1_= zu98;v>cf22-6o5uCoI!22!SYSikO=yTg|?utMBpwDtNa(^Fwo`{c|i=@S0JqjbL@Q z*B4l&s6_OA_4f+@2iPYQ(;EP5F%O97HPjfQ0mZThmd-p$!x={I6M+8)&6kYPG{aXR zjtu`Nw2Vh3egUb!cltj;bM&{?=x>ctpc?$k)(rcAXl`d^sx`WG)jLXp6_i5Z^lwmq{}Gf%p%5j3xu5cX0VU*b z({2Q;}ZAO+DIBXI@LuDcaw2ni>!nTI&SqbfjZS&reeubY@ne*vKo z9ApUfXRw*4I57^8vQGd4egXdNCkj7#fxO9xQUsv-?cada6o;kfiDm00m0uGKiI`p! zn}S%il2=+LR!yFpoS6cQ;FJ8v^)Ho^d@KeJ72K4IZcD`sHaH6k|I>dvphb(1B@Utx(T*!1}STrdh)Bqori z1ftYe*nxy`eYO%jxjH~}Uzr5X2bvE`wWEbBy9&!yvxv7e*8S2dX|;|bhj6n4zrUv8 z$*Jgml0o@U5Zdc#u6Fjva7+O~K|NI3V1X@Y7*`4S2Z@M8&#!-%-rmg%?n88S&x8Mf zD%-~Xq=t0s?9~B7k+ub#krO~ov$sJ9n5c>;&J^6K@VkZ!i72ZcIv7;ZW6<26)(@nk zSt!16GaFlI<}#z)I#p=tV^?y6T;8+qq5uigsnRTK{w zitaO%k&=VhN`@Z+lmPf;FOP8kqKp2NJ{e;qWICofV)*i8yb4{z48Jkd`}4jsYItxM zxLh7+ERwLR8|t`Duh2!~)ypFgC#vvKsqiw29<)XzWdwBCpIAscYH>W!Ry%`__H){} z?KvYrjHjAdH0g+$BNR!5wHX6@U~$GIh~CjuOeNIc(M)}8{g73fmPb$A56q^S;&lUN zP7MF}STI z{rUyS?U&79KRiYBY+o?jFK0uB@&6juSrq)9=`k8HsTsrws7%1KUK#j3^A&|eXyCVk z7z#<6*R^ zWje6&E%?%^pLPE-oEPgJKs?lqfXrmu&x6v`J{D!SN^?iU$2!}@ZVp$P=NMnzElFMz z1ex7%N^a~dLBpSvmIp%npbPFvxQR`XT*GOpW45>VU|A;seke||pZYUCj(h?AFNglN zg8bcMSc|ku%a|#Bcl}sRJViBV`eUgk|E!e%S}-9i$Nzj#6cl8P16GStM`k$X6b1Ip z(`m%kL;$N)V>6rzQB9$a4KfM&HhDxgPRYbJY#{S=fY{muVAT{j!|4>&l4P>vLJp+0rpP5; z7Kc`AM{hR7C#AfS|plxd2-&z?nNT_z29+>0^@ z!z;cN0q9U6RpZf63~gf-m*&RxYx|=L+D9Q6053mH7=V8Zh1k$V$Yu9InfgrqK`Xl}e@2h1en*?ciQ;6pdzZZ+SnB zdT{9|c6)!10T%(cH7@PD8yf3Y+UM)P_Rn>RBy#P3QS6Drvf~^#d|k8zZg8(EjuO*{ zfJz1`Z6bIre3s?0kY3gAC{3(}HO#wNhT-~1TChsXWGQ_(y;x0%MKz*df*Ad2tudqx zmPm@~34+U|*IY@0PMK|RJh09*R?}{17(|O|PEz{_jF6rVIc=WXiSFe5`d?}7-5Ali z`Qi~iOzrtODUT7|z1t(ILC|mBW?hCs58!{t@;||*ITQ#L5=CogDRt1XkUZ>_m86S5 zm!2shvIhDe@^K~rQ*ZcHj!+Sl&T^x>xr(vvHgu)2jo&bZ= zn6%CY14Ptnw>81cLFr*E9w}$pQgN99NwY&Vlj2>rd$}}TuM*rqV7fQ)tBsa1LzHD@ zzo4r*xSqgD9H4AVD5NZ++Zi$f#$FcvSF1ADjI4owf&(<>C#<|5VMcrBWUkz+Az{sZ zyT<~qKG~=_dvFWJg_HbemNRy=9?I{sJT}G+YjgRY;fYW_K^)$b{2)r9MnN?#b5#_b zA(r8|a7soAqr|V8wsCbDGUb&<`sfyY@e~%(=kjH+$N~Wsuk4&{Zp7dLn<#^DJeIPi9(EdU^EV1pU~G0X%fVpy5i>@AZDlC~iGngUu_Hx&DtZuEsg zLP7-ItgfLB$T%B;PC3HD7c)WzlU=uB$h7crbagMwJE~ut8U`^9k4f`a)ud^mb`S?B z$Zki?2deBFw+|Lc$gvIHcr4alhA8&(h%%+5t4#}$GW|K8Q zVUD0PrVQ;6`+FeU9O2tAwt2NF!X}1>?h#hMnUtF&tOc2KemjXJ%BZqgnqf8Os71)2 zo8e9lS}MOy?O0B;w&jc-BZtl@q!+}L8EqeyHj&0hRo%>)!RSF$h2O)sbdFxf%wI_& zYV+v@tuqHG6X<_xXAZ2$W3{=OHyDt^bYlODoJ&d|<=IBNolPZd@}-YvA0~a2n&JN5 z8M-ST%Q$pmWv^tSZFS=2n={(Gv1lf!$Si7$I?o+QQ7<{WdUfIcCC?^C>e=m&FNyM9 z{*^XzD#u zq!u5WQc#LJ*Jq1TLw^_VpjV|~sn*T~x1l+a*+1^ZW=}d)M#`gAqzx3Li-hUFBGsXP zmf3*`F@X97D!K{7TYAq?acYUS(xFp?g3}sWp{*d=iU3))Oswie0KUA}?lIzW`0?A+ zQUBb#J>K07vXvzYCp4si12h*E`!1i@g9u2<-z5}U_mC*}{@9!;cl&bS_0A+e zrG4rp+2?d8H|!22?>*T?LYND2fQ-o>#I2q@@80B>RQ5O1#Ew7d@J`oo9Xd$j2a?bV~WNVqBX{P+bAX7FOvmBN#Gt}>!(=gG_`?i(|21xYUWLA^N# zf#q#eqinjPc+)lJ!t@IQ9J~oc0*pR#rhp%E4R^zQpEl$>Ik``Yd^ng_4lQM~0v>@dFpQ&kmaq!YPl zF(D)N3L90J8^g&0uYtlFxFuzuJ%L@Nj~irl4*H$s8rIiSOsQFC0}@qcZ|T;r_)G3Ks*|~5%-%5n20|m^r|1~$QoE(*ajR^ds#LbeIYY0MO#TdRZoEsMlI?P|KMX5%r@vu97r>Lq;Pun^CM|W z|*n%3Job$NtO{R*L}~^-pQfkQI`|eME(@pqz{(#DysBcOXOyahCBa z@W_dnM+%xeLUtip^;xEhQQhS93Xa%iQ}JhXO#9eORhyyk4a&0N;32D_YyxC9ZghGh zlq*LoHm$7Ii|EP`J;KDylNx}f-+f~*z57NmE3V&detw@Zw(9+#?)-QI1%JtUN&@WR z5og+%`9v+wZ>fl+wL%79tIv`|oxX)1yNPC!umVI1@1#xJ7c7acaDslWne2ooA`wTj z$PqM0Sds^GQz0c}?~y2ew0`~qw-n;J7rCE+nM@1xp}WN8)DbA)Abh`?N+b9LBv>Fo zg=&j94^yPq09}<~f^>3#8|L>gTwol;Uh!{e%CppT1II<+{=VDYGuO4UJp^ZIH3rY) zJO2(LtjRl{-#c6J3Q7^R?`oHW?Q3B)9n#clwP0Gc@t5?iR2|a9{sVBgkc8-0*H$mD z%-avNIB7rBMpNlGP+2 z)DkV1K%z^O2+K^FyfEYGumX=@FJ5~))jww^TZ`obhRdB)+bwyRLS!{L2-8KWg0hem z$S{JZBB{~fwX6r+&Jcmb`=$i5pWfrf(mZVT}$7iS_ zlJRHbxA+;hkWaq;4n)p_m1`u3s|jD6m*1Dy`M>YhUVZd6c;BtD>hG%rsbyGwJAaO~ zS{1mDINWMa>Z|2eyCL(c2Z)o(aRD)x%@DerUW#6)^3yAHhgPP7g?RwQ(IM_!E#Tn{WtAam+Fmnt+UOl zTc>}Cb4lKvJYZ$TINSupUJSMR2}{L&*B8qFf#X)YFTol6S^KQmSMsf>pX5MMwlip} z8zcZtMtgfC?r4W);cT>_n;!7e^jsQ~d%&zK%R~%6$7ZyZQ2(d4#ga z>)ha@C}>56&_nu4FcY=t`r7>0$W*rjnk33(^=WrsgaYTB6dkd~)jP#q(!0158+!Ib z!d}0POH@W>_ES4bqguyzd^dQy31Z>b@7zSk(s=6on-`BhNQbMFCakMZ7l{wStxTT8 zl@JwAx9(q5zSqr+dCUF3-|J8Ws6;K#{`!gF^F+EkJN&_xhBF6qhyT?(m>~^-jGY z6d_$rUrBCt?M}2!tF5w$zgD}+^E=|+gJJ2Ahr9Uqhp%NrSMJK+9}FvoJRs!0SMzIe z>|*k*YtKpG+=i3P4Bm|==0u&oocWTpy1~LesoiU{OGD;<-R?N=Ki=7s75jeH-xxsT zhW^;Y5zV|vP{Jwe0%{fGfk8Jr#Tr#7q6?9R6bLA@RfPb;=)YlwDg^LjX|+k(rK}$9 z%m}XoQ6~9>O}Z3NIwwCp(KdH{Ikep^q}Y6R!1u76c;$h#-KqZTnI|WIcBc=~L^GX` zrT%XB(5z|5;5hE%-QC^zmJlr=HO0F>vR(Om4XiJk`z&{|*olfug4-;!s#J-?y88!v z4?%E&h&P8Atb+&FULT!KIE9fXc7w{Z;|jHAf+JMCCoX+|V6wnDOks#YYrNOv?ZC<` zL@WkBFt>^zD-%E5bIoz4KCrZiy}x*RxE~#=U{|z|A%7S4vzAdmZSI`tqo-_d@JL4D z>L98bgJ?p8KH__v6Xq72xg{N8U6KPH=HkTFrqNaUpa;gE!^5fxpw+ztp4zN@&+-t$ z$l}-f?|gww3aG+H^@)oq)<^E8f-tf*8Qxr1kG02}-z& zX*xIE{T?0^NjezVMf%&a#HtiM!m9ym-K(T$I^Yg$Z~v zyt`x$D7cvm+0eo0@r$IPh>-Jhs{^dK?yDEAc+pEP5tV~yF8&yA_AqoZog5Xd@$2)& zD?abt`pKI;9wh|c$5N&A#^C07=o~Ai9i}~uvK+F|ekAdJ&`?hjnE z(~AXJ%;QN!38dnsC;t?z?eI$-RO+tB5$$ByLeAq95li|<*O7k-Il?J=7i}I^%umq2 zwnO0Pip;~eK_l7_y==!j07l_MD+=`+Dtae3xErFv0s}3CAG{W3h$9TFaf(c|JykFd z%2Q!{QtplY^u~Vr%Vxf@4sv9KsE}?1`s1Fv%E~b1M%8s%k8b4)iSN>azE)lzDVNH4 zGYEarIG*pe|7P6kQoqrzbGBK1d#3etTNUWP-18=O@F;EVSlBSMbE<3M(cx>N|3L}9 zH&&LJ2o+EH$y}IW*IXEb?W1GtgKQsP5aU~4e$lIv%I*w2WArwFeS_Y%c%rmHC*C2HE4_01egfy zPGxFkXp28aTC!k1iz|ML%VeeS_4+9KafAZ2*9y1KM-OrO@Z7ieGK$qZBR8FD>YppV zd78*rPpTg3)z#KwfaxJNx*PB%KF6r@5BuSkCBCvk>HFK(($>cdH|;s^SMu0M0>oCF z6Q(5tTc^T=h&G%JJ==UvEDsGGFbA*|h#E*;)CTT89$v>Ge1QLNCHr zQQT2zW42pWlLZ~&8+K9PRa};e@l?R2`HvJ_⁢-hyCFxT2^aeU-ql<{}ENn?!pLTQ-Ug;3FIhC}WS41YyqPiG)*>uajYP20EvkjK{ zh9V->n>bnFO&qNe?acWbnNCt9*PD>aoNB~@CgQ04ib0WF-@L0%BRU@HyRLrWIVXupN%hio_R$+vISqvvn;qhfP!?hXyI9aRno zuYAjt4lY#l)EHx@pp_M%KPo^Qry~&kfY-;#EZ+hvr|yLIhw_4RM9)0DgP00&fbj_a z)JWXtUXayD1hQs=mBF6`cOG#k%V{KH!%cw6MV->ia#>eT5m)mjISO z6OKQ&XU*Q(qKG3(=LHu0S-eFjw)Dt+_BWoc`4JHWa_3l0G~g4V)C7$k`UV*Pro7wr z^X=WYDrLI!$tN(hmv%k82m;wKaT}3zV}9NBefO+JQcS<*A-w-x@R10$md{A!iayoK z#Y3OP-H_#ygH@<<%yQxR3m@`<)lZ~@;a^Ax)E7tx5m!hD2RBIEIQnVjftM%;XU|^w zD^ZIa39H0g8S)lx3}e64>8K^V*H3`k53`1LWG0nz^gAF z`0`bLAXPIrEgafQBt5h^67`)JI*AT9ZfL4{F?^}toeHEVA?mk^*^BdFec>nNOL0n| z?)g)a?#g)oGxw(lljp00dw#jy)SoZJxwP~6ZBGd*M2PvsNUDz)!Q&5fx}`aZ5HyFy zZ@j6yFhb^kg?LA>wTRr(jKB%HL0IrP@o!<_->~d&SRG&c^ZuIw-5mw(uDb#UjJj?M z13R9q**wCiDH*m*HFelQo)wb@2c2 z(HHZdFRp>zsJ}h!IZzIQQ9sOgI-1nqj^~y&7N8m0PRsCsA6MuJcbss&8+8+WKa`n;sg9-t=bvV8Cva(@`4OrNaun~cGZ{;qwH+`2Qd z_yg;AdGwB-u=1bY{KRjpt9w3y0fzY+(Mbo|HNAPgN3QyAM>#WrhrYw9OmPRd+2$9i ze7S)u#tbs;oT(N&_!CK&NC!TCk?u=E68t>*g}D*2W_dUF1>&saH{4?Nb==*Y1 z>HCl&+Tp&EquoUR;6p4T!EQE$Y37~Py?w-=Y?c_gWJ+!n+{8kK`74NJZVye7D9DFW zL>E_dv*jz3{81s^H|)PpAzZs@7lB4B_1fC+grJz*ZS=sKId~z{!6!1AU*NRD-Bh1s zl;G%KDU1#-n?V)9mmSZup!3U-zCH{y*KB z!}2e{0Ux$dz4h=xCYaAXH1~l@=bhO*-rW7a?J+i%samS#Y;{uR>Szj$l*Bu;!@aoS zog6SWR;XHPf{(>{-x;u^12QMtHI9nR$)}Y@pQh_;HsB$5MN4D zN0I>{_0XH})DiwDeIeEe5VzcByro5_QYExBaw2-)>bA5zqNYPA1AtZltc<7`5Xv|y z!8uJYws6DG6W#?h(G&tr1{~17|J8l>;UK%JlvMb|XecaX*YQlRHM#uUAbUlpT;hwh zn&z(y{8R74a%c9-yz|qsIqHwsM*-Gy@oCaQC3r`Di+O>3Qkzg)G5ak<^;2Vz{$1$O z7u=GSZnD;2(3LVWa@l11AU{vICK~cRNoc(k&_zRNjog@zuGk8drJ$o60V?BIc6fXg zwxyCd@LER(5#Cao0V&befFp&yJkB6^R#{B{K>(E89L;Gt3vT$6KR8YZ*0u2vjD`Ol zp9FtY=B9n2mak`IL59iRLqO-jG;K^fN?x-h2JWcI58l$bI-gfDkMZbY!QoF@IlL@N z7=xd$MoQ(p@Ni$4UFW|+yb<{DC$=^!-*bie!2fg_&ALYwI84baS<&ap7E=igV9N1|5)G*t&)ruEvDIX+J>kcCEAQ;0zK+y0IF3oy56Q z?}?)x{G4%V#Kg(;Z8+I%bMm@un2!{V=-VS5RyA<(<|N&X-)FU6l8<0^fhRYv7JfoG z;h*^rjP}?}7CYNNu0G?$_-xHITR@QvJ{;`5&&z?57s3=)=y{C+J5wb5>&E-23v%i4 zTcKJwsU^~by`C()Fy@%4!_t6h0l)I?BZ-Z=A@^WXw55y)ISu$Mt0}}dBioShM4m^M zbo2K{8-|qaQKK330%MQgg3^=Y`f9NTroOt4A9X5dIMAxQmy_pd9*u&qExc{Q7kwj# zzU|KKQE(lw7S2-d%qpaiiMn0fy)PcMClvhZy!<{l@^ke_uFAYy$mTj7-8 zZ7pgWD)8<<27E1WN9j1q?7$=#VUZB*Y`2?3Szw^gcmF0%kp!NcaBIPwAr^QKdNJn| z{E|qk{NZmNag~1v@K8vr43Z_v(GJ)Y$#_Gp2xfAi18zBe!)mm2PwF}?IE4)yK#OI` z`dzs&!Q`IA|UPwRLg`*NWK3H zyo92zOFQPCxE||_ITh~Rq75Rsc&fjTo5nJgqA1{($8`O;0QGgIlMnsX?X$76M+sK% zlI(AzPC?oqXWCt8A}L2#Jr9G8m+(&UDa>#RComjqdc7jl{Cr1wF zdL;>?e*mG!kx3E%HS0Xljuh7id2L;VQ$2;aTIzufeG13akebqz6$*pi&?bb6bS=@= z8i*<@Y*ps!V0*m-)JO%rT0ySwpBGF#un-U@Y)+gw{-~oxwNA zu!xhjWQJem>C2NeP;h01TSB%}H9!no*N^>1Z|y+e=g175 zSz8L$+={hB5_}o91wSRk(D$_%0w91k`~m(F&{xk#>VB>EDNoeq{0yNB39P>m_xT0a zg13R=*?&VZ72mF$7T<=)x>POzc|$~WVbbmAijx0^Lhz+k)m4Q^f>t9L36M;|MS;CK#P8T_)9DoUUE-%B}-J7mOy3!lNK$3@;C-F zS}^Q-=q+^~ACU7+d?V}k9Vij|5YrZ;xLcq9w9dYnCCPS0>2scf?|8M%Rs)K3LEd}( zrDm2e0ci`>QCp-FyaX}gV)hCPE|{b`dUEGJ9DK0S5$0$lQGr7!3P{ilf5;eE31G0p zVRxKENtf#ZwCD|!I<8yqdP_-bT%>Lu-=AF#Q`kp*r?1SYsHEnLoaQVLBaYr`n$w6zo8Mz z6_TWst=J>MqMPUu%1e6}ngJee(JO>H$t(MM$*YNmw{fMWRLxk7Y<#?MfMWY&$3bo)5$=5W_jxL2fSws`O2 zbfmk}z*50UU!DhOXW;Z*x=H>Bs+kD@f)W)uf30>m^E2cYs z3*Yw{>^s%BZ<-tjK&7(+=vbHZDq2B&*b==nkNij?pZ0e7pRo=bDBla`{AxdCz3?v; z&2@n(sdqf&MUXnbPT3Z08$CxEGqWYQSM!bg zPE}a3_VAckpEzld1kDHfDE~=-Pc-s^5jt()8%_rDaXvU`EQEmlNZbQTBba}MzE7*; zN+c6gF5VI8KeS%aV39o`DIasibTj=UBRXvU8@X>EeVT;~j2C21#Ul`j+AQjqv7)?yIDkV*s*x;ZZNJ`dC>rE{A zlNc4nf7_@l8ITQzYnKrh-LAYxv93Ol>=f2KgT693i98|T;&5t0G5^TB>!;OOiYFAM z1O0dAtyt|vI=NvD^Lv>rF#f~wFX-RufJTsCyn-=3Zx!=HwnfroNxz7HfLX0urt$qP zUE4OvFwSo^NnHa4U{)z=9qPaR+;%JxyvpZk$RL9mIYH}zTq=dtHr>Jp89Qn32BR70 zaTe`y7UPjHjBmy~1LxpYH@~yF1Vfr+drobLT8Vt4jVcWDHW|a*>{zG*{C_8i39DhO z1%Btyng)R7K`Ychh$E51y3Yzm?r(eJu$)NPUdKXU{i>AC@HNl!OFVcZR2#vXt!ZtD zF=Q^VYR^SLw}FqVJ)Z;gvC+Ib>$l5GoL)$}kY|JKXFIjWOt8SX9iBe}`tDqc+eb49 zpFYqs>b)9Dka&kGm`GpC=`seQoqN5)`(pq`&JU|S>65(}ZHBM!8xJmBzBAwLAv}?a zIQVqW3r9lsmI&xkp>w&Ln;f|}#ce(aET`e7Ld>AI1$%@u z?+}?G^2x0I3z{CF3jEj2J})^jyOZC89X~rSy??Vh*s4CQbea!TUQN9l*Acn$4lD10 z-I|GX^Zj#Q{X`^u96~VhgHys6KBJ&p%nN3GvMYSw%2*swCz1PRWq`V-6yR*%+Y}1w zThr0HS<{vK0W=(-3D&Z)1%JAN}kQa$~5aP_ERfKT2u8!pR8DXEW7zAyooq%9rY!XaLg zhE7o%k4Eq2T$suyp|A1y5I%N@Ns+G-9Ux4uzxU$-$u5DA(MHzct1iEU{@%z0{sIB7 z_6+FOd}Csr38|<%(`zAIHUYDUx{ek~yk*GOoStCIi7gegkWT`FxUya?0Nk* zWm;ci0v5d_08Ok45vq~}t)#Or^8~`83NgNth0Xd)pWg!L7{Wnbq6|?Q5y~#3_tny3 z9%K(KR)q~kKdbalZk~-J6NAb^9u$8DRtDA4*d_`(fe@1iLE(Gw0DK$tNIbuRf$3Cc zb1O85+ah!^bm$VKm#B1nCG1ZTU-LPTAC1^a78Lz7X33B=2!l{e|02?Z;O;q30{Mj9kUv7TW)$B(jD;G{ijUJjR z38#)h{sx%QM>Om4I9D8QrZSJy=HGHl&q8Gx;|pP0`dPgRwdSwLfIB)xcM1d0epy6}>J41>5>BTIbQsixz|?TnG+uFPc>zGmV|n0nf`TNCty zyd28Vk?j7m+MR7~ZOCD^tqz2=lGR3=eB}CP8zb=Z_E9`_%g!_yku4k3k^>;^&MaBH zWDJ#%j>r-W^@=+3N~qX?QVc#Eo@h-7a&>t29i3=RailwJiZryn4-vA|B}XO_>hMT% z)NE8~eIQMUzaWN_ih2f={@D&nq6r;oT$+uFupcNIfCcF4XO{5lXO4t0^Oa0z?(j+W zw31c1Xq8^#B^&<)26W57i+d-b|9xbWy@Z;i%V-`(9)0X}geXe>%0oS|jCn{!|6V`y^eQE#qa*QJ^TV-b%^42P7iC9A28%b`9oeFyxq=_Y=u7-4G zWzc~x-xE*&z)`k8nVHOL@dorb$}Gn-xk}*oLaN9qdAldaK}m$D)0at%1yv(ev1B*0 zp-YtT>WNgcD4db0w{Yqkl(Ke-WYol=sp1`#)_uAW9M&-LT67lHeTMzPjq=u0NSOte zVff5=!&jf1K=Yw$f@0E$e(9!Twqmr!cpUn3;}tMJlX=`!G$pEJyaw~gmnlP69<(A? zMaDp42UQ|am9J=)1TKLr78Buk8Z<+h9OQuJ65l{UMO8Kr86(tQM|vcagJUH1-tLdG zTy*<26BX%(yM$#1MC3%sN$Lrlx;?q<4VYtm(;p7yZMsyl9m#}m?E=GEbgSMp|ZrRxFkTA@K9OomV%sO>OIU)3|3t6^u=aV z@ry+7iA9qPvtL1kXjXF;Jj{ekBMQdla=8td_Y?;|Bcp8fY7WVp(yM{yx1nP_WL`nqe z^Q>a_Wt6hho=}xpAIXZLF%4Zc5^#P>j%Ln_XVM^9x1iA=0R10cIbhmEC>KJI{GxKQ z2qIF_?9L;+wxy7qMfT%DFFJ$~%7&Zw2qRGnKk5)uIsGaw#0!;RCp(KQW-4!d?<-yP?mUiJ><&;~PqP>Fcj@80YQ`zC@vR{$gyHN zr}glg%6r;*^qI=iP3WmJ=&#e;l(+V@#BjAJFqSUOQ|PSI|327IU+~0Nrzj%S>hv)f z6CcUGx!*lW1K*&6l<*5tNW-__RM_*hUN;?CtJ@eF)L8y3|IWEACr1tLw_e2Vag zv4u?kHgM-pV?#2$)46n`p@&$DN92E|BX|j}C!4G^B4i3RBUn zG8-=!CiXV;GSn@|>+%qzuVxDTxa<0o367F8UJ5w4Q;UVUN(>28?Hdq{6d&X;dM+L3 z+qg>x&_g{LLznGjc`4#0k?9i^KsZJC+044}x-z36KML1uGAybJ=DVQaz($)!&`OOO zkAm8U1>xJbiuIcRQ_#kE#dY55kxtA+#p}q`&~Y)(!un*32BI;;S>_Zl(4M zjGf#DPXvZ@i{~!V$C#hd)i%`#%&#VUaRFWPN*D9R_@)GXqVfT4+9ba6kfUq_d740d zpr3zC4TEPnbs0v3&D-8)y0KWih5tm8m7GDE+^k|JlB~Hbl$U{21>_W(w_b61otHT2 zRPy0)_r_K5gE4K_pOs%d^!Kk`{6X6yBZr5rPPq5hAtuWYa#Qbv+WTCNnUjQPzwuw$ zy<%mlTt!UsGH(x7^8C-V{;he(=f*AFvg2{jB}%8r*4rRQ_?r} z!?dG}wADkMxelrHn@!gC(oI&}b!Oak7Tk3vTs>CYan^f}I3|U5gLLQ4=K`;ejx+c2 zO{@9VNd(*8f)Q4{!6rH2>{y3%>^UHjUb=HL)0VZ~m+2XOE(Tc}}uK7hgYYTVcXKw)#0$Vy_pj7R?H$aA$#_pJK^*@x({a}n}Gof#Jk}s^6 z%^7)hFRbUv8TkVcxRmd?2jV7bY{P<+5k2OfSU`rySbe%%J(g?i7+_nl;Sr;K;b)7E zIUNG!#!>e|R`@Fg`-j+2`TzqUMRBpKdk0#*$Ch}DX3hMA#vW*flp*SRpxHO!Y_V(P z*3Q`C>AFW=!@NZLFtJ493rnk)nElO!-A(?2S@5>bx>4Ge_PSEqbp4B@qlr}~!>0Cn z*~H57+Nx4TJ)x-y@3$W3=fEwv$1m_V?+K4jUw)m8d|QrE*2&P<$=C=TJU&rB{SW7G zs{Wtu6U}Pn`(%1f`+U|T4Odu5@cf;uwdI};ACe-fTxI%KP9DT2VQrCcK&;*lJ!U4-pfyN;`K2t$9 z`(VGft!SniageoX#XoVO8@tWGB*V|rS-R@)&(s^bMfr(fePygp`j*?>h?1APX=BzX zp+=#-E~`r0j$h4;AH{RMs&-z#Xu$pFNn?K}IO5^>%}l2fBD9Z8oqDkMHG|;qV(t4g zh}n1c*m>Uj06fz2*+#E9?<&^a^_6KFXr`<=$6{VZY@n>c)?FYUo?3>$;$B5`jn-uB z6Vt8*i?VBlI4yv454uxf#$$*VT~$hCC0dJv?l zKA9HEzGq~{s?2lRp_rtu>U`N{kE53@)- z>U?u}sq|%CkztHjrcatLSPFDaHNlVc>8h4`Uv5$;3&)xuHdqC1J39UXT**6VXr}7M zpd%WflFCQ$0@d)u*?{EL0+MkD)x;X%lz(#j+!Zpv7^$R)gvYBr6Kmw6i;yx( zxC>P3exMfVxtr{IVLKb_@?bj~?LH!`YJF}S;Kr^iql;Y+XtLJEs(!GXPPHRGPT}4w zxG7dyd6YYq&aXj+&}V%FRv5K-#T+E_fg)ETg@F}D=61uTTDjP=Y0AC0ACWKzH-iU94b3t;s zCLoiwt&D)iBr*O!1Y1kTZOVV@zf#Te5`WbeHBM#t`{bpS_4Om2PELe2Z2el}zek^UNfUGD5Gp!+SPrg(ebj6P8bEZ)G zcKkZ7BPqr__Qk2Vm|B^ig66-`u+&Wd9z-FKcrGA&flbqur@<5jUw??jD;`>;r0645 zK8Ay7JWC%t629sYyT-nI({<;!?Js2Vf85~#3i@u`ap3D*pqs}25laSWmKE;dITV!4 z3P=C&FETdoCbmC29M%~`RF{ECa(=x6H`)>I-O-6PyYL|QxVR-a`gA?;7IMvA2%qsS zoDU$&M(C`5*5u7kFE|uRjM{wg^66NBt?&r$;|mOUzO7}9ckOD6I^27CxCqE`Dc^Gy zHX7NxyShLv9I0HgVByO7bG`Y!f~spDMty&Ym^ezuyF(M$bOw6&frN6xDbm4SvSZry z1|Kl{Q&;93_!M9`VJGe529jvxGMCMg*^d>T4)RqVygc&~2G5s*7R+zG48Xx0vtbYU zUr4RJumg5rIy$lMmAa=?$lmFuFW$)M-u>qw5mM#D!nH1Mgnee|a?v1u2<*&Wb(e-` z^N9F^Et&GmVTc7E(GcfK(N4_*J%$E-I?;YZVDRJ61=rxNO~DR$glq<0KHQNW?yfqa zJaQ_W9$p>8FbL;Fsh)Ca#z}}Ohb#w-LF0}^1+(R&f}7=GQex*}G6J3xyT@dQzk}`S zaPj`~)Xhhj9#(bx#v(hNrQEL7WU8|~gE#w^w*F&R6Nxa%o{~>nGQUxJP1V{n(A4P< z<$ViBMXsNXP3=6fVN-J;5>nUB`Ery8aY7!>7|^Nk@#%WytRgQzSz6Qey4XfuBC1;3 zstGFyoLo+-{gA(6)CA;c){&w%SDW_kHdhMf9O>VJQW~}KE|%sNA4U(BBs2*E#NCi{ zt~luOei{UoC#&##4^zdk#U7m*aCs5r-`y366m{XA;qWo$*+-E# z_fQzaue)t_+>A7DQ9d+C(W;Wu*Sx4IMWVBhb*mQxGuWpELt&rfH>^G$_7yz3nU-hg zAFQC1$i1t!q&;5-Vc&|~dq`|2bUGnVr~^sdz8jK|cTmnZ*Z5uI1cO46?~vN@epvQI z@Qb+Y2uXUQ9S}o@UH%FaTV;D9*fuz1Pnc|BS?o|m%{s5*NbF}OgJ~!0f?Toa!tvpN zb9uPItB1}*itcBIW39Wz`wC(1iueXxGKS-6!!o;E|3;g0z_PJJ?z8#i?YJQC@W+Vw zN7^)B^a_pCBew_ReYH1PQ4>Q6GeA>%n_7H0qSH^m6ZVl?!mOk-<*t_oUc@9yv{^0 z-!R*qh(_$%MK*_Ch@$!v;yU?3Zp-^B4sIWo%?t~*>19sccqBFB&xIyB80)A7C)8vN zTYXX&<39@zV2yWA;eH%+Dp{_Iv_wcEPZLMVR3wLC>J4~j;hs-x`sN?DcJNc4q`@ay zmuGZ?4*W($MfB%TC#1+jhKF#<3<+;h^M>o4JAha2k~xZLa&*wg{SnM7VIWf(qDa>S7 zk)YxfXn&~TpHcLpoH+5wPq|uTOhuRkTsz1{K5z9TaJ30=zoIf z%kf{ahOy2kna|t*n_Lq;&0WK_uthL_+;J(1p0sQCsnzt6dW;ty*n8vEZe=~=>Mv!b^6*CGqa+ z!TML6qQEU>I~s&Puyt|)et>~hgaJd?u9)222?Bv+OtcKELjkfX^$S0S;#`V(J!1%k2!L#ctcY@wU_?XRhWoLTqi1%Xj4@EmkD1C}W=~3uf zSx-+-FC)~9TYP`G7wWc-A5Oh$T7EvMoWQl8dHQPS$$wC$K6)-`ODtM)1q?0Ob4GVv zJJ3U!{oJkHnHF+N&>!?@UNb+D3~+(lm#|0o#Njd_B$)`rl73(WH8>Qa`|7MA&qq6| zz(Ge5NB5NSsfBymUG6i8c6U+iT-%sZhZrAm8Ff0@3Zl!C@s@OX&^-Qb}(;74i(a*wKkRvoZrCUbl_h^4Y*_Nz&x$~h8z9^4! zCf=E4z7o!iULA}+T-T^{!`IT%AUE95A>S zh}t0J7z^k9jym)-xW9?~=xbj29b}KBUQ(jo=wBn1*OR>hQ?Z(}7PA;mj=U9~Pg+pIj&+Glj+91n|wpKJ<+*WMP zrWW->-3RKC^|3BMfCmlYL~m0HB)Wdu^ck8Ux6O@#uMbODMSVmTq3QauaO|ZxrsYPn z|H!sS6UUZw6rVG46m7^(K(e|xxtVyS#_+(Nf7Cg-6G*WT6VzBzLK+nRp_??aeNu^q zM}dacl)D*2=32bmHaoOPOhmvE;w2~v{V(|RA!@-{BmgPCY$ASF_s3%Z8Akixd!~G8k-_7xDW8#!jrrQc3 z%%@it7uyONUw^5r->g1x6`mZN(~P34K(|%1u(tdP!Bxl5{M4W?593 z7MjR_d7+po4zL5EUelQn?86FGwKh*0w>|#dmNHD&?(g&7(JYygIyZmM^>H}{^XM4f zY_zP>wMUmXsF=40;my4^uk;|S{>#OAr{PWLkiUH228x}dI#ui8@@sZs&uJs$SkDdw z!E-)QV_M&8(Y$RbWi!p+gfS(P-v|zJ6cynqASIkjL_QjYxeg0rFaxZFALYVFZVQ2wt#(XgnU^G3Hm82;#ELu@X&p6 zUrh@+-azw8G$nd6;`&udln0Td-DQ$Z80zBsBS+jqGF)K;6R{(B#q*Y&_`z>w42BQh z$*K?ZcRpxaq7^z((o)gvAw}fa`jJ@j_(4Ns>f{^^F>a;emZq2=rR62d69>d(-^MT- z>+&oG9!a_XuXUt@*ICt%ARF0{`C}O$cZrjK85BP>Bv=b%TsWjSbSLW_J5KWvInNFz z1@ZB|`eiyQiD^JN1Uc3ETsb%l-ysIH_q8JiH1|!8Yg|5#t|i{>-dphf@#T93=dq_{ zc~SjM6ZDa89VX=>BkHm})^6xMniDxfH==Km_#}Fo$ZTA}v#&AK6>9Rj``&9}q@U+0 zOo#*2z~(!sHn>!WO1LU=+7Cam4@6KS5+-H6@m1;xWcj5#X-Pgjo01&}*YYZhP|a1( zhbS2?<27#+sH4U_cpz5_4=HvCj z#+<2#b-?juxrKh&N=L(>c5+ zjtoq`GWm^LwXc{+w@MS-8N>rjy1Oh=ETNRF7fzA@7Kt=SC zG07FKPI93C6NVmP3Gd(g1QNtN|9I!O49%(Dmj(xmLy;A*!9iicgan5cL6uPVG-G^g z9P)<3E;rVBRt*LYR+VT8vqe<<8i}Sz&jqD{fYhG~SY9KUB|}JLmh_Q?5JMP1@xU!v zH@5#*XR`&j_%%DEksH#&0cK(Z$yq~vp_q*cl zT(#db72Y;WovkNZ`ZvzCe(l=b2G!fOTEADSyd9SRiemsPl6ES$v#K&5)X$Uf(7)Wp zLppO*cUWk#{t0!Si?Czbm_9)L1`I0CVF1g@JG@_S+vEDr5w5$bg}||nt4h%!R7?7C z>>DBoewq>btwoZw6-GH70c<()SgfC|lu%0%iN0D?ZN;GWk{RPk1NQs1SOG^V;5@a>UOgOsUGzg2?g4>7h*Fq}>t7Gmqbmnu1BWf2Tt# z7+c^+fuw8l{5S*_%am)u9vs>O4Gn>H&0L{UoZ>CY>|i#jzt)8Fu^(nrJItxNziruc z)4supd##PY(Ez!v_8;Pqwq?;x`vNEKxi$h@1LT%k82g400>=UvLQL2jL3CSLSRo-5 zaDoLfmDw2+34x>I^)rVz*}Lb2;L!H}kFd9Zi*o56$LVhAW)s^(SZjJLXm+BKN+JLvRV}vGpDh_?vf%D>ITFY*Abq-Q0)<$%L;zplKma|_SO@P z^_z=khn}@R+vmf{CDyPfPLvMir~X2=O<@c93UYGF?V{OAHLJ86Q6!KcG4gcwktbG;UNrmNKR|OHb6f;a$moorRRauNi@Le4XguUZUHi zr5|4!_kt~^0adna@zS|rIdA8p4pIF#@G9@r$VIb$&)T*2`TgacAn&8@j#FFf^M?A! zAyflLy?xOlP3h6g)-IJcFr7C~et7-WCnC`yMJ_2)n2m;}HzVq4dge+FY;sAL)III! z(b`<*f`yOxBl$P^iEYE79a3nnmrskvz&Z(xIT$J($AHh8I_aQJJn$L4A@!{UPu!aj zVGxJth_|U8(6LsLnsXS2s3@4EAg+`$iqQ^)k)Uz+CH{rR&F!=U)}$>OOb(PxB?+Wc zgLrO^LsnDWa-WNYUPGFc*T)-phq6gZWRq{Bk5n?2%B#vIqpt$#YGK*Dm5&=lumbUo zQ!1e+qZcA*d!by08`JT6$eKc~@Vp0iV0P(^HH8ApYi)vZ3?i?q@x~aq9aORW(OA}m zk7<-*>f8&*QUGIY5WPAh39%Fo_M7!U_`-l8ofW15m-F=< zl`9x2E+iVZ7IIkBWAy1Vb}CjBsWNuzmss11;)kZ49>AltKQ-aHJj6H5W|bon#IIxE z<`eSV!61^jGP!|4?Z*8Gf2weU6O$Gv|6SZA-nhg!u+W?`fH!#Sv@nUjQFqE_pd{$EP+(2;Rda%BOkw0t0vAeMK{_a%*sim$HLu zk7@@y6k^A1O+im7k}E`z$}5hz3LAfY*R_E2n<}L$Lk9WB9r+h@ZG9LIx^w#8qfq_mui|0 zkWr>e&PQf%e<3g?jm^56;6ODnnyqsEo`Z`PM~N!5g^P?2Gez)T zoOU!s%g=()z(tGM0*l&`D(NAH8smx+X`(dYfaYySGco-S?t~?pw|$*FuIJ$xa9x|^ z#-tugJJbRn)Q!~a?Yo+M9Q2lvn*5ho;1UaR(7Qv+A5x@-GHhPODSH@?ARMT^>UdiP z+H#dl6Enr@-VLK@i1qst>XOli*9CETTPjG~uBPkg=G|~had5fGaa|4CQbAD!0mjIrM1GO z2T>9UQ>@_)m2}d9Y7UN<|kjN!-)SDGAoF zS_L}eaNRt?p~>R?0Zh4R;r%ph053*Ki8>hzlad5S6-q%dprnL@{qM-L=Y)9NdQj*= zVyiv|F88YM1!2jv=S-7InAGs#A!*)d$d#m|W$WPT-h{sgV+dtxEtZCjp($+MPuBFo z7`PF{Lu4f-K8{nE)Zgo4Ea{3Og@C^{EpXg!n0Jg_&zEd#omx;~B0i5gUKKKb`0;7W z7ovj9i&505eu`5K4rMlbOT$iN^Y7lLZ%|?nHBi!zlrSr-alc}mO5Z3Dc9O{zA0;oP>zu7rVjb-uU(~LQnjxKf)8L z1-DvGWy2@l`1TPOyV>3zUJ8h;>se(@RmeHm&orwZ`@3yz~7*3zpjV_P-YQ@fM9b>1~BmoNF4ua!E$ z362b)626WDDLRpE`<~B{2Q9x|yxjZmNYRL3icW%zgD8xDZaPKO_%WI3Wg<@KM5sYF zFU2~4z1Z5LEAF-r--|r>GlI@%f{dFK5TtBH3+A8&b6kMn4K5(K_GUZMr|MU4;oeDL z*MCQVN`WA|82T48(SiwRL1rKr9808c{6EDRiA+%2^3u!=3$7@8hGlr#x zRFTVn{I`nxPkoBhgy&8D6Hs^uxbQ1=K;ShPODPVOSS|uUxtaAqub0gApR=3)1eZFM z@&s17+yE5mF$4oe6Dj~Xmhv8sToO$=f2aTZ8*u_&kS}krKrml0Kb$BrwxNVC`0PVE z)!b{&zu7Njb>hw@+4FAc;gy)+Ya@BG6#JX6@8Z9I%WS@<6z1G%o^?EYw+Zqk?W2?0 zGSkbkl(uUR3ux+JU!P6^|9SoO^|5~lez=!X-rHhSjMtP~e9fWyzH^wAgX)zej36tx z;Xox>T`M<-e}=cl$w-ays;N3sv#gv*lg2&AWV;%|)aoe2h8p&=c!}RC%Czupzzdbw z9uED3ZSu7}{P3TkZ9WTVF#)YbIyg56&w!AZH68(Ab$?@D}#(c(VM2#_9h|#|%4BC|3+R{G(!=;_{i36FR zlc0njWaB^y_enqr0drZj#2#<91LrT0Ous6Ubn^1u`Q6}t=KqkX9WrA2DwUjYbya9u zGFn+OXk~4ql?Avp>xlGs{|#>=gP*Xien|@Y_3rQF)R#EK=zkFQ#cK2#OP@Ha^aBAg z=Ll11IJk%=K{T92EWBMTTvt5&qxjtjmsNHe!5l{l5}0k-@uy*wT2Ua&KSHOqd3k+f zvu0LDpE^Ws`IIq<+I`oP+6XX3HK%XPkV|6`N~iXu^`y$B>2MBdUYkj+9!YZb{R5my z0$n)l8R(s*FidEN;iPi8_e5dX`nQ}@EzN6FQ$_uZH+}0*mWpe`3q(EbZtbTcI>~)s zVy~QhO&32CU2H;S@$FVw4!!D0EKVg=RAh=Am+G+-TU1tQBmTgznH(5ngH1Rsj3K~Y zokR%JOALiOa5K&g;k2?x1CrLnfcy$-?kE)g?g%=x>zKGWMADeVU`H(IJy&Y#y!-S)wmT_dRMr%a8!kxN#ojc;5LS2HtUvc3J_?7LRvDVJhIcCo^k z{;QF#O||3`CvR%+hvD4y^?49t!QyVu{wht0kLIKFDLUI73 zh4`~SNGcB)bT-7{!>-V@R}PHD0}={x?%{aG*GT_(>3^_>H4DgG4H4Qf_<`XekmwvE z(K!apK&40p_&MH(t+*v0rO3$Oe+C6$?s6tSfBsTDjB9*1;ygq?oygWss+h?X>2>YQ z*XILeNqg?H55IDeBD{ud3vWQs=p8czu67|Cra4K#V?~^;7OC> z3TxQsSJW`>#oYcTZ76(K_%@w1-IM!|uIxd!-Unv+-3fDlObONvkkmEx?Uh{@HCD6) zS?UXNJgSVA;*}Hu&K-uyG7DA~#=R5)UWv&7;T52%FY>g4*U4~wa7A$Sag7HIrfA%@ zYDAT@y22wI?obB&JayWJ?0h?VF{3v3arb`3{py!~5nQUyon{&-5)VDS%QZ)$tr+-b z4$2qQQvAJ;rdg1qA8487(f7QJwZA-5L{r|5@538=dwT*)RcnNX zm`YpjZhcr^hIts~+>`%Sy zq>sk!`DUKge9nac(iTmka)FBYIc!zs`?NLbrXSi*1`2ChM@qlxWcU90(Q7NV?c=VO zE2Z~OnKzcI&bJD`2$nXtOa{_UTUffv-gm2;Te=TP%asW+EN5->qXVjv2|$zLd7c|d zU$_$g1s-4NAY$`VBC0OPA5;)AQ-8uNDRVEvkQMW<43?n3%q&z*oM#!;gT(ESUG|w% zxsORR0(v-A7h|O47TmKb=GPKOWO}Gdca_*!vA1QWl17xe$iBZ5&1}Gq2qxj;VAC{T zRgfcNVof5=7)l&z=KoA*n9=C`OXQ~=sTo&gxCovAm2ux~P7r@&|BLD!Va2Z#q%)0E%RMAo|*Pw&VBVqjkbE`A!%1)Z@AgYa;cq zyOuZT%OvQu<3+XozPw;AlQ8#30kUuuO%|gJdpqyVbQ%Uk*xi64^KbeLx_R!shnJDx z^%-T3$duLF{1mr55_-5;Wr+ir`$0ETR$g(9+HdfDX{+3%HPkdx&d4>r`E>dDj^~xy-8hq2QM1;fXy~wq z885}K#Y8^-AbqW+nldPn1eu>nd-jcsE%A36^aVwHs)Mh;Yig?)i$#nv7Zhn3ZqjEW zJZ`09A_#2&nW3wsAcfhfz{)|7E!MgiFUl^?rUz0Xk##f)h@jX?S==JpYvTY%l4tIH zzxO@ids-G|7B&@T3%(Ri&-p^h<7!nb$n^yxL0bx5EiSyHp4 zs5|8oTzGyalE$1sF9UbpLL{+&%RRV`nqJW%71i()fA^euVnTw}PvVJp>{IX9;D`<_ zDBhjTuLRB%u4b8Nl7SGAo7tdR#tb&AJ=Ut1{cQR+OYZVitKN0$>^6Z&H?K7FAFO3R zve?VZmD|JL4ynF_pA`EfHZQg+w#I??6Hz|a*y_Zx41e}#TlTS4Ty)^>2MgI#%7ptL!Uv8IqL*vA^X_dgW>C`JEc_wt85P zVd^3ZH zcd*DmErIxEr~b7n6Nx|0%p-wdPzLy!54v(D`7T(SykD#+x4CFe9n6{u#?vO zx34?`6>FEy>(G(j`Wa!d!s5K2_tLMNsg4jvmTurEPTz?w{&amn-~Z9G$YWPd-`L`` zXM~YIYwQO!E`DYHj(i!_|DnJ5+nVu3*l1MH&wcLSy3W?#-TUWCf!BX3_E=c)1PA%% zvA@E>UAa1Htd1E(_-)1LnQd$(l|(Lk?W)i3h&*;rwcF@GK>spGihC2ac6Ide`tcf< zGWhq0ldg{rL<5I&cz5_{{j^ayRjPWv+Gijz^wk!`tx`4d)wThFx$pN|+IsNHoyiv} zg*WfZ&_M!(t#@ob%yr%^^($1{LZ(hiG8YOcgw7>J6SqE!mrfk6w7Gg6U}o;?iRwk} z;v-Y9A0hBZrbewb;zcEXJni?pqqJodJDGrgBpo=kWJ+m_-C72z#DiT^(;-FarN9S; zyLp|Kd0p^vwV4t=7b&@yWforH^`>s|#HvVB8A=<3aczZ;(AUvB_oJ?o`F+moTz5=A z7t2#bHQR4GB}*T_v|$w0sJiphX-j5h(zLtKGhEgj=fvhFWo6U8jRgHMMne}JFB@DX-3^Kdv6c}@aB9@D!t?U=^%zgz zM`kS8zz9uWIgp|9@k*%iEZ<-N^YdhmHllZlAu%9|<4MF^gDkH|BL^jb@tKyY!v{-J z<_V1HO_mrP#l+|^l*Z1b&#|wbY}-}&91Vu@M^GNB6k-b)iOemvK#o)j&C%pE z0+1{t_mJeA6Pk2R(kIKCgjs7l57!#iswsZfTP+Y}(KjZvP#8XWxT;b<5fPESF{5I5 zEwh?QbB7tD@K)J)istnioT!M%j%byL4409nND};O;AK7H>FH5D%lyLU66BjQ zHYPZ~wQuyLOVQNaF-v1TF;A<$V>Z81XH{((;NMEL12bKi;BS6&`fa!(L1uJL`Gj=d z$uw)(=jeSvj9v1wPsXNHSWwl{8@Y)cM8Uk=wc7i)cg554Xp!gbU~nGi#Ud{-lh~Ni zF5;wUp)sEF9e)>Ym6g5|@V#F1M$e8DbT zpTOOMx2Lct|4UA*6heA^@MidCe!csCx6;|5x$t{(7ZBE%mub>lpw40aaqjVSCmM zk&FW?8UEO)iaVCI8fuJreUT*PT@s5Gox;Z!cOMNi7}|bL>yx>DrL^mHSabj^$kVCF zo!*H2gVsHcAJ#iDNnz|Kyef0pW)dbzFF<%4?f*ohvRhvD?U&}A+di1;#O>3^W_Gv6 z<3D9Ab%*Dccb{8S98$YSn~&RN;RRQ+lGgMfrXv$F?FqqxsD5l0G-i=syYR25 zr>>#nr>WHJHQ1I^+ey$~;xiKQKT!!1dCl`|&{SPw^o#e4BE+lRj2ABgZD&GLQ+7`YBKO9IFcCUXo!J6*1;Fuo(hp|*zCb=&-mL^c~$8ecoZF3LG>aZU@4T3A} z+}VeM8PF>Fwbuqrh8b@>+LizWzxI;zl46x&A}>fwG90X7J(0ei8M?By4kU`>uxmyl z$jHh8^XU>ZGs{47=*s3g%+I$K9bftUb`>_{*!m7?Rn2l9$lqD=t}A{iWhiPO2C%qY}`?8 zHA^#acjLnf(WaqxhLQa^$#2#Ae|5jKu;XXy+H zv}kc%IRFd&JUS44xM~sfo}439Nc0T3!6~M;qS7`#?>R;VTgi9qoX9_!jbYw&F50dw zH?#N(w}sUz{cnGzM$B}+Fm~ae<9-G7_(l%wFnE6lOZV;b;$SHs_6m-iG8R-jZB#c) z=M}4O2~^E;Z|^G&RIZ#qwfTsPFtb!H9c@E0QaM+enr6|9*YgW`q1uqon?z@kMnl8T z$n5Rp96}DFKfb`;MB<}N$>FuEP;+^QhR|m=roVih7y6d(F|{6>Ccc8VH{J~&u8!E; zB8%Kx|2s;LJVulb=}bFTeu5hN!}(-T)GV+RGI6yeL@6Gdv(#zb{)SDa<+M^e9#Ybv zKE{L8#IwW-d!Dg+;s1-Z%V|O9;-_9oMYHrMYfM#5%GE{2Fl&MVVPN9IZ=&7Y;>7c7 zELC_W)t_@{RN|Wi*tn9qOD{@e7JCq@laTAs!*1+&m0o1j#DZ{`Sd7L@_%ycXXu5(X zWLN%W&bejQ6NS)Lh)=}#TWYJPpT=TKIoAwn@2qjwBcG;OMm5UZc3xPk&3*$vIzfJv zf}QswJJVvoo#&AbXXnp;{E9g^!QR-%A6_F*?PsHpQiT5hJ{UVV8Ro9TigW)J`!`Vj zg?cqG_iEr7*`Xh_Y3PiZL|q)G8h5sjLzRyMrw)tzE(reyWi{MXUJh}}=FNN%djDKJ z+loI)ngU3qXaOX)h9xUM zp+fMG`jmHRHKzk6=MKn7sPSX|_h|?s(<_arIdZ(f*UxE4J~!PHpnv_&v#V|vbi(6Y zFO787U>-D{jN-<%HnVVq)z!ga)EqyR6fD~m(FB_R)vy>Bk<8Dy*}Y0FbehNC+SP%M z78rrHtUYmnlIkfznt<6_wuiykdd#xEg?$ztT}=M<5HnKY*(>}XKQR>9{+Fqw_$&U- zvp*s0i5SgNeAdY&z7|Wf^yxv+C4LgSKM@sY9*FLf&a-jpdp*pve$RKrZIdfNi!IuqA6?4hL!oxQ8Ye;b}EQYclrENYs-Va|Ef^ zz+8uehc&ca2)<0K<4s)Ifr~9$R|Sgpf1ueSql(3pwknf@cE}gLyl=b2GetAK>b0)? zhpT3qrTAr0u7N64^0!stcA2W=uux?xdH6Q2)^`Voq+N7Sna4BT%`?sE#DcIZQr-V6 zWK`x8`Lff4%^&OmurAI_fZjn8Fjy3&Mg;Zdw}nZ%pLopd&6mHe)#^5X5ZK85#ZH$| zS8`uP(j9szZ1Mn4{6AzXeUirv*?c*us$$L(9CWq&;p8}g;)SDXsOq{^?Od08CnXZC zTRYNSQW5O|yw0e)dS?b_m^TZGUfgrnO&w8dmLv|oROa=QuAm2Kuwt2}2jZ2{GP9sK zuc&k1NZm2m(1tspynY`s*@tVTQ7dhiXP zkBwoG5=FN%r%#y@?`&{0;63_6hAS@T5b!-WgAgcHTgY5m-%K;u<;L~ru#$9&L zja@j?*K!&(4yc!sH$Ivj2^T?_M0!_{MZ?|JI3LZ{Mh^B!gtgFL0FQyI+YXhqHrZsb zf0KKWT3x@cZYP^-R6exN{xi0hSKfUYkwNz+Xdx`L5dSkza>vS`rvED$!_p@g9XkyQqEBT2WhD9hRSRpp z4w#dIR*&QBkfEl-M8_@CAu^LRJdoRCm3cR4D;*M~)@vZS{MbVRzC>(V2F!4g6fl7r zn81tJvNqSM8G)WNZ!6d;0g0xes{3?0bRux!o-_Hbg0hSA&9RRUc!cQil$eYzh_Sa- zPgPGs)Id|;k$D!Q4>pUR=rWfnr>IMbfuLhzu$l>Y|Yzdn{>&?QV5D<-?4lNv|6 ztKn7OTfXfs1)iX)^4(LNw;+LbRW2Q(1(hr)pT$cxu*fCENcbSO-5)Svfy}(_xzcw_ z%1vS8G1+Da5qxc{TMH|xwa=v;XOJ^n@mjUorB}G?`Q_E%ZAdPfclKs|G#ajcuL%NU zJj+Ji0zKXk;G_;>X{k^nQ#)-m3LnYu@$)9TjoIZK;0t;a9 z)p`_lz902tw*Tyi{P(xTsEftcBRc<%i`dBpdkURLOZ&`&E{}RAIWBbJsjV-ig@ zkMF6%%_y{Kteod=iqZ4_wl?ZE8;sZbf*j$}@h~^#b~Nht5GQ=FZ2DLWJ~ugJTT&j# z`VH%9`=aake$Jd-v0}fm5%j)Ebat$1oeG?~u9OC-o~99~zBV}liXunw+#z_N4%3=r z$mPN$$~H^E;nlPvq$*)|uh58&Ezf1fJiQYq{^c`8fBin(!W^lw~2f#1*(5kQ?K zcJ$3KDJjQk-^Rct+cz$1H!cKj=ML=1L+e(thyEUXEfD?Ok9A|@)6?H6dHk6wXkzDo zfJi`7c{K``8`tx9MZd*e?2l2zeZ2KCu_D^;CF}RoCWCpW#AOMoz3zv(X%2LxCt!Eb~foylt z4Y+sf#?q?|FYC8tytqt(<>Mh36%Iq`CWPcA)Lz%$z#^A8P#p-{K!R59&4=i6TY_@m zvReXbw!8(@{4ocuW>* ze>tU3!O>F(7#wvaF)eM}I@q^rbm7!1pX4nD28hVad>zOqXwq(cdfZubhd_RAjkh4O z#^JOg)^g0inbZPbDq7b;-$BH|#{nOFkv*!w=h{q`1&l|Q(f!!G9+=701m_KvdE0VX2nM2q^Ipn(D%MuWAyQza@OPQd80 zJ7~}Z<42ooPa){3ME=RPc{!|7h$cW|h>}EGUqeJ=A@Zp)1?r4Ah$t2j^-fP2dML8O z2mEuze-9SMZU+&;xWNED>O67oJCo6@kEU)vhvdH_YR0+=+D5?hj9(e;()S?;c%D%i z19voSZN5W8{DvUC{#EHisBTtGF1~w;ju42Qhi-k}Eu3vcKVs086xdxy!D~#DV8c4~ zGEi}t$Gjs#)VP+aZ`?-cDILi2S81$0HS`ELJvz(~tq!#Ua7lcUOO+y*>^aBMHu;!d zl;$zrH-b+Tm@JTz8#r^qqwtW1W5r>VU;CjbUSKfJ+z>Sw^kqbc77T)c8>PW3WAuj7-bjyK@fDR8IREFdlLEq1!TJ8FRkn2AbdF*UgCPD-lj z5>4|4O3cq8UC;`haRCa}&O}$0B-%-^94=POgNM3;y?GDw@OgBI(~k|~_IiVItGP;* zEOjGqK5BQa`3J-)64^TgxL_s_CR1x?jUMv1yUVwij9UAgaP1VLA9V8^9EVZ)^#2h= zMe_-e#7l6#9fgXe$)&lqdhV7GT;wvE|H4z_*S)1cT_cfuVo*L~vTy@TBg$)XlEAD& z>%mVl4t`yjY<#r@Vwa+H#-;Mc=$lbT;6q|b2K+b1?|{90^TZoqOTLK6w7d@$E5)(% zQt;N5(moXAlC(#YgOY&DZ)sfKSLXy4AYbwuqe(1DHO5}k{7R7JxX|9#s>@Km1s!r~ z^fpy#+7@5cRXJO$jxqgR1AM2(_0>Ea=EM1ouxpo%vluM`iok%DTVEav2_(MF5tpE& zuikxQR%l{VXj=UB0>q`77B~J3U%mi-x9x4_<^2}KVQQ!M+w081r0N3M3Q?{METrc9 zwotGfpi>Ug@>pcZ0)?-!#a9JMfQMv%Jd{8`G}bR9eyg4?M@v;|tj|jHc5$U0OA1u^ z!q;{JsXta112I~AHH8v@2^J+)&!Z>)K^^VvQeaR$NxSeIkw{URa*IkOS(sJ~mqV3~ z7!gfT+G<$I79Y&kyi|Rc{F;fza*D+1u99_@dK8PRfRX*CXePzcEmkZ-L;Fq2OA-T3 z9%KM6%LT8I{latxgvDoPT?14^dIWXN$nKN|sKwz^nFB*$8O?p^VYm0jhdekgd`$A? zYG^@4&ZkjGHhA2ouDN4+r%W@gHk1jNf$1AwBX{J2`lSV^FaaZaP>J+H&mR~YsL;`Z z#9{?f#R$g8Q;9RwWBHpstS4RdbQ?SyW5I_{WH*L?90;{_Z;;PmZ!>KZZ(rF~mB|b& zC*9r%>L1i|-=spYFFT?9TE;kSRh1`ZnDLu_0J$b9N>D7W+?CuWc~q;Uj)FGqE< z0^5K}IuD{W_Gz5%3U`?Ru`ayJedj(vNHp>QXd4;cXS`Ecw~GX0AtT@U(^W9I@ko9_ zQeN&*_~{BaZmS-~scMnA_(&goYaP`r(xGebRnYfT_!gmh*|#n_N1~TSbYld@7RNp4 zji?r37m8s@sr^vs2&I%yHX85O0LP3&S`t5#qh><@0k-RusmRTF_Q7h8V}n87z+*!+ zG6Lwkv9ETZ$tLe{L+xg1vUEz}OUNc~pl~X@>~*`u-D`E$A+d&^ZCEJPP5mNNftLjh zm7|H|qGr6|ydcUk9SIvC_iJhU))GH7jl>f1C=B&qVtj9RNnEX-kVac3M!>kt=hZSama_=_X2SZ?dH}nuVhqGr! z@_=U_J^`{gRf>^jlP;dX-IHwm5r5%=BKG0jjGeT2_C2f#H+)pk* zYcl!>s3Wdf^mJt`TlQnrO^-%$h84b=UWH8Gs`9{x=@?hrTd7~H7>~IQmIq#K10&R2 zE*>yqeP0NzId^KeuR9L4`l1ICiOG~j57RaFa;Q;<6kx}am!$k71e0=6LU^?+VUS}elbay2JFF@(T_STVo@EMQi$*c z2D3RxSoRu0%9D!JGn1rx?+^idjwnMSuDAkYFnc78%n!Mf&a>ax%BSum zcQ0pwY4>fVY{k)VDEW?_dv6vM)>T|*q+@Dtz=OzI*J^~b&%}2ozt8mrep#V+3Z#!0 zCOS2JzmpZ;mr$T{4;P;KVN-t4skz~oHM|8$d!;tWI$Z4$=nR}|0$tGP78=3O$aNiY z?3f;Qiievx#_K@4Ei(>9SMK#ax48u^4K`@ zKIN=xe_vK$mBe%-kN$oR_vum2PT`Ddf00|SasDU29Q>5GN3Gip#kxV~UR}Gcv$l!_ zd-(|V&DTQWbkCVN{UR1jq3W>BI09!Em^#QHY%?BBnk7S#PhJw=;xCd$=8Xk!R@+r> zpmUoEa%-l&`nE}dkRN2WP7Y-D@Ed5(D3t7^vF>0&RGMfeenGq_-Z!@g(!)xux5Y;2 zM>ljg>9IqejWIj3XIw`(leknCL5Gz#cL)L5Q3QOJeujci3+yu?_=Q%qJ{G{w=7)rB z8aLRrAyuWo-W85|ln=)4GsdQ*R{OrYff(4bjISwgk~=$S2+DwE#pV_0apt$(&0F6m z?4No`Bl$w5LuK;Q6U+9hrNwA=v~xv`IXGV<_#R~KqH-Q5Ps}HX8*`h}OzJT7hs~Tt zv}`uQC8Kuhe%^PRSkv{q=(2iA69+NoCeM^uut9Xupbu!ss)Hdng@w+o4jRPlc}V^^OhBy4)$*j*@#uECsGm&F&=u4pvi(yW@hWoPQ;a{* zkgPfwHx_f@=};Z1-vj+54`DFVRWO=+pjyOx9gF~Zk+K!TsE)439w@JZl4+bYZZ`>K z&ft1U_>$SRFwns^7~1lHj`gYf6^Z;3!=jJU*s_{sc!3h=PdfYS1!iR(kYEnWU_YAXiZ+3e2TmwA9*nWMa_e$O zJVHny?gRm5u1DX5JLdOz=HG>DP&pIgiR0%93kFzc+49#%gw7;9tI<5a}R z?qfdZ%>%mNGhEiFfwSMSe5FNmVxZ*IhWo(`K#3{U1)snun=Q!>N_K1JhRe&)i(iVh zRqVi{{%e~mRGh8|NdVg%oTVE;ty~)g|Al4UJsr$;UgGJ;g5^>hso)eYP$ezB${i>r z8Ia!TXk8glQdI^@;xbzO`MuB_Yh>~7cTXGXsMrCL@lCqv;r=(j9~XU}gw zu*l5)m1en~i!m$_X{BAFxlvU?kOIi@OOgVRmj|rKqwXHR)1Q<(bIeatv_Jf+ahvsm zdUB}ve&`QRT5|gaxwBiTN&UqKUvp-L*4_vIxX(f!wYc%FF-q?4_g=f?aqKs;nb9I_ z#97fIIC+!x6E#aEnI~8j3{|PrO^7}uZk8I+6b4T> zo>F-FwMt#{^do_wpG4bei3{bsPwU(d{c4*`3P-BRi;6tQ)0qeV6`an=+YCH2d~p@L ziBvOvK+p^XTRjYOInkmyYqEP91CQ71*DKa*9Qd0spI5T}n7aYBzA;e689Tk!T2nV< z1>Lv1-rDZ4+UX1P9O&_U2PR^C();G<=Au0*OOxVn<#sWjy}2gkeu0~_xu)eF5~Px) z=W%&tPAC(-<*ErzPq{Gkf`A_JljA9)^7{CAP?!ZeJtg;95+rFSLeg4Q(nA+ws z^CxP=8^53azsIbU7+NZnNZ7sKoy4ro!9k>1w49TB&d_7YMN3}-|i&mxTfAZoBryBE1gQeIJUaJ;y>CQ zWbfkr8Ou-oi?cglG_MXwsQo9c}0U~!BP;}Df`}ZFSoT*LP zKFdqZC9_gpJ_E-YUi=K&u`rxwsHWpmTwLTKq&1|g*QXLJ6}BqovQV{cQ_yNk?sALB zcF6Km^LKyOdAqBmHOW3Rv_|g(b)oSgZsCog%fFft#l3@!knj(g5LGW$=@OgYQ`HBm zS+AKjy~wzv@Tm&0?@h!!-&At)V{ewuT=rtQ<6=((F_dZ)|O-Ez~&k;NTV*|YJ~hwPjWhcEn@)XQ7}7;DH6*pE1lm|zgANt7ddHKUN$}} z$ErDUa5VT6B9rcVuY!VQWRZC5{-^7GcGvB}VF5IL(_>d@uNvjqtJ9x+u@H)gq%=J- z8ueF3-p*CyGYY63)y_g%U$ss#dAq%%XC4&M>qu#O?Pftjb=PjzcW`o{cIA{SK&D3X zOMR=Jnukx}BYvZ>#gk{WhGDImn#s%EefS;8%LNHHRU`%%@`7on3A#;;Z8Oy^=3#s@ z1hv{CV73a}>G}5i*u7P0?hDMQn{0PN0NEm7v}7+FmV0C6QCFX2NAh^lN2rR)@lk!V z+F$WY!(X^yVzq9Ur58k-GNf|Hf|rc=#kDKY*B>;EBrEvRv3Uc`B8CH`Na zw$hZ$M0;lla@*oL>HVjUl-K0mW7TxA-ia}>Wn0Fs=~8IJHwuVwBQM`so?_*2P@Qjo z9PxTe!ON|2rHA6&eo3!S4?DhEuj2t5Y`d-S3;?RIhr<1^&3ojppwVXFB#`;sjQw7{ z5|wofR|i(j6Yns#UfYc~PflVa`Xa*EH2<6I?l@V@F=kC!LHnPPfP*#012+e(2OcYh zIdxekAFBr44d7SX`LJV_GB-BgOkMM!*E8+NyH2fbd-sjS{ZGCQvYC#51-HkE?fXht zz|RMtN>hGMHgmN3lZY9XN!Eo^g%ZuSh#8ehmWPeIff`bjOQn^E&@io41dXntks=yt z0932b2i6)IyUzLE^pXD`kP)EueMUuc;e;_pq9?qOQgi6#U&x_j#%2rAQr#2X2zKun zpB-#>VlQLGzrjM@D_X+|AuTCEEm|Ry33)AAO_nXK7A0gS&lo5KIxfGb)dF*0>mYKZ zH6+HqmjGOfm7E;eo1vK&^qPVsT(o#p#Mm_nQf_AN5-IN0@MBU!L``3Csc=;y1=WCq z6W)Z|W2xnqo&YHU)hN`Y;f}-cv2$elH~ELA<=;-F$4_+3vc`9}c-OEk)%Y8W%Oqqq z?$JX_zb#8^xBBJ4wsb)+cWTqa=1+fA$Plv0sm z;wVVVoKPQyak{h_V52lfl^7iqRPbgn4k^WeBbCPJr(4Se_HXfL)PL+KWJ(R1pTv|< z@^?4x71Zx>N~KnR?&$LQ7c|~~sbUgn{Z@n6G!TdwW&GMvm}*QsQLW`VK3+Th9W{>j z%3b@mmUMQqwIb-Ty-)5&)D`>Kfj^iZoNg7kP8~k^@b?q@4~xI$KlB%z1?Bx#i2HDG zbj-+1_w(o4hYT3&*72HR|G~M>`AJZ_Sd`zd{cbBncjx(uZ9VGE%Ehk+vyWbT>i~OR zVh?~9Zp?>PTalaY-Z2zVW+h&`__e1cOO?JO!?`>mq_`mX7oar_TAq0MCZ(+)Z-i$1 znU$S+IksnrT^3p0$MXXDKtVQ763(4FvJZWBEh3K)hx>xxuH@jhFufhn&na5x(boTZ zrGn?jy&2%Aybb)6zvCzxhQ=22%E$buQKf}M^508HF4lJ7ph8@2q_~HoGEesKT-qdv z4%3{w#z3m|95*|en2VB56C#}1#;>Sdqbg@v+(`{7sv~zLqSOz31M&YCs1jFX;DaR{ zl4{M9O zb(5~WP29gd6x3!=5%IK*Jnz`CuR+9*$k5Z<*_I8LrgUL>xJv;?NVUE_EuhVy{>WB| zy?r4FGe#maf{sEniEIrQXXf!x5a!!JOhbBS@ablimeopl0`bPcdFtJ<+ghm7hS{;y zAd*M~9OGJT*#u}xv#pQ$t*W!bj`=gS_m*mv_&Z%2v1&dP^%4P}zR#v7pL0LDY}~`+ zlp$Gm4{x3Q0joNg(Ay{|9KI%-*00d+tNi|8e~2{2U+q)XLCjz9yhGK&(Ns3Y(T~uU zZLXpy((-;-74rdi3gx+^>_@l2@#)VwWlMY-t;XqqKcOdGnt*I9zKdfNah}7OxwBiv z9Ajdy_z|4PI=Ot~$R&rOeMx`4Kf{F2sNft&A#?dN&)1kam|_fhxzsPhaAE2F624DF ziC9anb)GL3m5v#9cMi#~Q&-D$ymB*}pQ%GuSms)^@;AdwohFdaORH+f?XJ>lnjZ5v zDMrudd{3kU6{_KR zz0ofEpbIb3dGqLqdJPw0v&+{~ub4_dJr26KjmP8<#kj(g5Kph|ld z*oVF9LIx}jW1C?@8N$F3O(elSbIIV!eNoR5%r6!XmT)a)7X&KkT&A1s_yhEWgNHK4F*16eZX%0VWR;5Z^rasN{7o8XN8X=v^HSd)al zRpe84)5ncZ_=Po1s|!C)lKlwO=eBgWRkLJ80$$}X7W$O;>{M5E(5N|Yvpx}J= z?vl=xXBaei)lFiNio85dYMas8b4=p+Cd*)2SOP9bL16RW_dqclNQ3Qw=-l;QcH*I< zmUUc9`i4AQk|%-_i8y5gxZHtZFTUcWr{QhLY8f zo*ch|t2;uE%ny0JSQ947nnI|hG6rRW%*!v3SHjhH8aHPLpEx~(r{fNwJS(1xvJl5^ zTTtkX#5z=}jNSfxpxY`hti}~IMO|mmNsR>euHWSgqWrJhG$61B{t`Cd|J+=Fb+C7% zw|g={KWkz83*@Cfk7cCH*tc1&hsAm=XZ}>v9o*MBO1*V&tEj%oe;uFPAz4F6>Fvo& z>BJ$p++g#KoWk2&;S@i)L!jy$+v}4>u|_vL)Boe_Ex@Yiwuf;Q6htMYTR<8~=@gYN zDQN_xOS(A_xeg`38)L*9Dhf$_xMe3uoJh?4|rGnurv}M z5$R%I;W7b1)Y3oid76;~@OM#G;1_+G2e!urBGX=2Ea@LR^iAIZe{sxg`c99gIk9b4sn(Y7LU|m(vXlQfifk+|` zb9n_4984fRu+~Yx{@6Umg}kDxc2sxO0QZ?QCzwPyQ7b&v1ej}(+mX74dA|S_Yz%?j z{4;K;UgSGma-g5NxUa7Q`3YFnLv8gmvL8ztE<+UU?(z{}$!MCgCxNxO`HJ6J=r#9M z27s;a^1*N{etZFfc8%(g`y=B9k^b_WY=3o_0WP7}7z3{l@Prb(B^M3k&>vm$kr=Py zaaie0jqk?czsYHl56M$+e)>u?U+pmvT_shAv5VmrmM!XyH>9eBS!FT zqsNmY_|_%vt9gSj3!l1D3NJ;6R4k9i;V(kmMPh5J*`+IC;0D-g+TOh0(JU&4xNyKg z{Qj_ve%V%~>6mqU0W3@lLR_fAuAQb`MDc7i=0`#i5Z+yK_a{AdN&Qak`&fFUc)bFF$-7s?)rCZ`5_P3|1)g2D_R4<=1kW_Tu%k2O zh)de5=(T<&Y~2K*9=y$ttO@@P;|x%##}?V8-)MMG%qgc_a{Er`3ui1Vli%u=|+zwAS|KRH=8X#_&t`cMI!Mk<`EEe;fTy z|IPICb`gf{?|~=3e)4H@Zp5tys4M~GJfIJ3R5spdTI|!Vca!Zv?YbYx0H8j!m-Bs2 z@x=hB~O;S$R?-_pjfVa$J9UyapO|iHcB0D6e{*{(mP+S z+-tWM4~Om97bAmsDjLr?JNnM1vF1SI$9Z#qAn|Q5&`F<**@!zAbKo0HgopHwqgy!W8{Sv?bXyJj zex?t{mR#8IZyHAWG63Hm{SWsM;PUBsV1K?%FlY`0AM(fI0#XA6-vLk9N<5}N_U^Zr zes5Ijr5;k0Z8Jbj&z@gXT(gis*Ol{|`uSbMW;Jf&5D%A#Mj({1qldby^nKslCxk-B z9`$5tNYI&>zZ(ZllAMHGb# zNVj#>y?g?&*Vxi_n3LR;#EVR==Nh~nc6OZM5mS=}^E?)2$53oq{ZhxUK( zUCYY!BYXnDWup#!<0k_5najhkh^9Z-ffL}H?*AuNNVwHdy2s_3T1$_HnJE0AZJ8SaQj!aWc5{o_#Pi2Uql(7EZgB1Q& z$MJ_yT|R_G|38GTWLLl(i>PX67eiB(jlWh)1pWrl%igcmO{H0d4@Axh9;Eq1z8*+} z(Xa2>#JFHpchxHDznT$mSan%Vo(1ng12<8kN&lPZ{(?(%fWLeQ#6R1XZY_QA2+H{! zQ8#q(mr#01>u<;cGM=E8~ia}gv z3)TAk!xwO&a|2dl7qf#lNa!<~|Q$y;I{SR3~Z@_FMBV&+B*A z(n<6OF&H$91KRG@8H-5A*PS`(PmA7I26+`i;C;Ee&`cCD`zQ<`~%9oCso~U zjRLhL6HF7Q)2nqxGjw4thNa3S+y9|Y*-=Q>;^~COu50%VJ9-7RQy~-9b-P5bb|>4q z%R*z#2$?&XRHBqqsZxZ51)QAimRJ8vLA6th#$FlNIl4d2$1ZnPtm(=%=ht$*Ant*7uVqy-EpY*t!!mF<-aO6dnCH#XlSB8FdCNvZ z1G^O2+_##0*jf7Uj%O|_2}OkOqnguCgZp?UD@tO7@A-@?0w3y(;MvhApP0)O&j^gWdhd;XopB!kw`%UFWPHEU7lUO!vZs+>%tiGY= zH}VvD3p*xo8TfsN#eWrkUEW5Eh3kW}X3|r*y&PIrYwyRdGb#Q55z3j8xmD_PMHR`I z=ZdlzBVrv!N2OcWW%6nXY#cr(Q)a^dC)>0A@bjCz2KpCdUwUjwZ`hs}WN&4?#6JTa zh=&Rgk?xiFMY!N#YWU`uxk|2{+&-|-41;^LmW$xmwyGW<} z&1gkskb%wBiaLxoF&Xu1^rSN6gg^@ZXYweYN&2n&Q{}SP&-IQI zlJFzP>+Evn#M5Q{gMhR+U#;ZC{eN|`_m%+JcEZhGUr83_l+nlAG?rF}C{)n|>AuR* z!|UVt0qkwCA$gPUy!H5p`dC|zTuyWxGtH_6LJH@qyX8h92Kp#Y_L} zD$N~kDaVXi;aM^a1Liv`5TF&wr~&EwAS}Uu!TRH%|1;cMeH;Mx{i=v}NMA*{W|+vQ6^>OIX&uK=#H4@-4Yyq{OU^ z5`Zymm5Lwbj^ER;4a~1<9-V$?W6xbN?)X*(YAB3!UptwJhyA+%tf@_VcUft*v5t(t zZ2-{A%Gc9c`aFI*sS+G2v?LI`a9D8rX6y2aiPP0jGT=#K9wu@?_u&*3azTm-3!UDP zVCJQ7Yv*6ynUQpV=ytwh?A=V{fEbsj`v1G|p?ky_Mukd-A51)#g?}T;_suGo@6c>| z$7akO&z00esOUso&tmnK(|(dTAdSo5$Z+#H!n(LeBA+wB2YVDK`9Ru6(@C%0%yT1kHQze? zVIcr}-D}%wY($AVnm$OJkb&pz0y`!!KKg&3&Q*W-nQ~>olRG^kBf>ahAp)<%&fJpy zFx`TLjo#u9O`*$$rsY_K2!c|?lH4ppx&w91gDwJxkEQ+|U-UR3rLXQK=f4DY?1LjP z6`%>B*xyH2c&kisOP*qzge58z1KacSKR>!*F$i)!y_}+b?0u+^@5eXjb2IvI4BHT~ z7nLs)*6Eo#Eu1(_o$V6fz>k+PSQv7eZiRrSfepnmw$8TUm}H$@99Ob^Aj;0Jq6=B2 zyDTx9lBU&<1D|dq2w)fA!fC!gcZbvCutZwavS27=>2e-@9I;9W zm*K{ht_g@u*zuLHv5>$@;Hd!G%TmVI5MfYO{Zt| zfm&FO()z6&ogPer=Xb`6U2#m#Hf0Q{F4#_ACi#V7EHvMMv4q@5;QUWq#tc$@+RMKa zRSBLju}dOiX;IyH=+$7*Q3X=oc_+Aj_5TJeCm5}N_s`x}qxir_i4o@)W?1h0;`0<_ zl98SKHSk-8<>e-T7+KuLdAW20V8_>VOym{Iw^<^KbYI?%lNm4Sxux(T){RDr)vDYX zlclyI>!>K z9Xk>47Vu)7KKmSEpDz<|8Z*C8k_0=(J^`9VqC9@A*|y>1@D;K7TfmFOQ~Idx*vCNj zeU$Q84GVa^VoY}wUL3;@E2G|FSBO&9R~)LEI`*B9;A^Bh7%BFW`r=o_Pf{LV(g0Nk zOlHpih5=R38Hd3;m6}h1F#E+tS4ttFxqG<(OY9UCnmfe(Gqq~(Bnfcb`5XW0R`q?7 zo79Kr`(z$rAJ*-gK*Q6%3V(AofVUDfLmnHSM%%HA;C5IKb`q70n7K5W1q$3zcCXh5 zwNE%~pF~J@)85`f5PVNRCGF#pswfE*zGpQa&fSR%c4O%)ds(d~`60@o zsjY_!blx1T|7yqHpOm%+JIa4Run3%$aZGFrr}Q~=3SXr3sck)yHI^pnm^8`a=mhc6 zG&5w}h0xkJVA<80JXM-2SksQENZx%dTUZwC|8EiU~jCyt>O%nT>aYaS`VJTDVv~U|kcv`&H zQdc-lcWfJ+2e?Y<;O;9u!jkntK)Z~0@ppN|qaVt?-od_JyBTQTqEK=67iR?t*E4zD7@2j1$L~o=zThDl||7xBe6&&n86$`LXIl2cKW)eCb zUrae$w4zFtiC!eqZe}eC(9kjW<59yYEYi8BU=q(iBqb=YtVHX(qEB@{(9t)X!Tuo9 z(dj8()1m!LoBBfAg9fDE{`CzBsi(#cpwYpmm9_% ziGNAJ(f0gml$yK6j&UHvjXZ)|KXh}cj`&_$sLV=3)vY)HW4(~9ImGhek}@N5_DB1d?bp?4s(cEY8SF+zFCanB6}H(LKs@X?H)Cs@ulDCFG^~(w zL~k&1?!p|;A7I7?xIupxT^iTU9nL&r?o7cAqx^<`CYXwHtY0=cJn+!lKGuJM0rbjQ zjv7M;P2ns4mD=U76DVpl9NYB2IV^j?A%P#o@GSK$9|xmAw@*rLe;fMtd}CkrY4PcPp zg8^3xeOqOs=81e-`x;AuLEd5L(~+FCZ5n#5{-Z_5!YO~{!rlR8&g34ryL-7(!rs2;t@)WO+xBC^{z7Xh{pJ#!r0LJZ6z{krE^tOe=@T8<2zX-vze-uz zc?AI0XiJ!XR0hf6%cV1k`q0IS6zm()zwp0>cY92o!erPISQyQAYt7m;|3v|;P5fpxWHYfdg!~d^^mkSZNW_;lh{T#dk6?PR2+st4SC%U zY%d=YzZ@c?v(rvnFbP(C7^NSMbMiOQLT{xp3(3zNaznh68yC>@-n3xf` zvfxMwa=^(9%#sxRGSobrks`gZxG|_q=L%f9^EcIzqXKS0@)!%a#h2!0>xXu}&z{sh zFr&a=@FatgO(vVA)#i`y+}zkey>4jgPB`AJv+KXja z`r*5ryw%3$>5TfuFWu!O(OpLiPdPjG{gxxy*L``3jb}O{nz8soi9u81cVN;F24nIU zO2aXZ5l?dS21sRYUSMbc`s=@F&0lm*jAP`25%>qsxB30=Z;&#vQ$jIEj~Ks`ku89b zy|idVA_xu3@V74oAwf;8^+WpyoF}3U=phht1%$c+Z5Xo@fHGN>@i!lSf8L(W{&9pT zHtLpwhLS`GW?XI(mI}}18n26w-%12GYZ~4cI;NasFn1f(;IF}c!4`ZMF+nO=1p{v< z4^KV43l(7nAHa&&Gq|YdAHI})mMHvLK1b&kA5%PqWbD`lxTs_BJ-+)3_4{ zZd8E-ZyVGTXfkF_KwAJp3h~ShgafR_&jGq zM(pO;z&Kh|zx%MJdl7R1=@T)b+`%k#HVEJgrlwku1FuAL87N8_J^MZc80yTOsv6na z@!r_WBRw;_aSF4F@yXTJK)}>g$-r9yQ`4^0=fJ!Aw1+FgFgBLu@PyrLCm9_-$a>uI zwC@Fv0A=hVONWKoW2*K^JEZ`#1A76cW}XW`-87Yi2{@V4>??TgtBk!z}v5Y5k5)~PXy@OlhF35 z=sB*{T0sYOmgcg*u|*fpLKUHpnGChdP9(Vp;6gkaP0Z{Bszue0-0v^(cGq8G;EgyU z-im+0)cBYRJ#d4O)$*k$%HE7ZSN5^oxKaKyPa}Z8+Z<~DxZ~z^Oa~HnK)D}j5ZFgp zCvBy2@BI^SUDU>^t0hTiIq?MVOFVk)OY!vLx!i&E*G1Tq=5>|Run7$c5AQwA{gc`J z`OR95Mwko48v+!l45n?RrHhVFvF((oMU) zSuFY*WRO1p*w_6{^J-%+Oh2I8ClOME8GFvD>Uf`NzqoUCFOJ?@oXG=1G`!7IE1DBx z6x}@sMBLEC)@!IAwBt1CG{0rD0*Rn`)KE36VWSi*we<1#6iVdMJ_YpoQO7K>PLgOm zSN~aD%5Wrlcjiij9;)%T0B*(E!1|O)i^+sl%v&YOh_z<-kcet@{8@tN-I^-5@HLfY zd;2-lT8$6-`ZYRxL_VEscf#ILBy*CkVg^3_^y1s^C-S|DT8=pnDVtS3;HP3{U>ajC zVB!V5x7>Q?szo)=8dj~VI>!Avi7AI74?FgVUz31o&Gsj~5{)j_9S@wevMs+)>NWZR zuQ2C8jXlfiR`2!%2s>F^lak_Q^%Ta(2jbs9edNas-V1u^(VAJmG&J{N!$HVZkg84} z&O{K)$IBO*lp5nD-$pb5j(?@J)aRo34#zT8pZ+XHUFdkWT;V$qHEzH-%?YU&<9wP#zjyTO>$|XDy(`iItjnCy5ANsw zUEm;|;08RHH^=r(tc%V#5HNH3w4DFKS%~#!$U&aiD&M>sacTp^?emr6VzLOEHR^dl z{?e!mm3r{+$F&HnsuO4ktqZf6;;f6kehmbPle3wsbE~Qm#0FeWgE@1Z1-J0WJ!(na zu(#`HLq$HH8t%QMmfp4{#UWabKK~w1f`N1RR-WfOJ}Cb24SS!5U+CBb*MvWPiW`Vv zhPO}wk-Ya^um#3+9>k%aO&VhqqjaJwaGOz7=F|a5;SLeZYnRV%*u%+QT*3Io@uzoi zhV_KGz60#x4SUH6g#Mb>e+2Hb?Tno;#ze+aSzY~GDzmEU_C1{9_FYE_)@6KC_R>Ak z&yzjj*SK`mN7GF&Ly8+JOWnuQ{Jwvenw!MJDbqSX1;U5j^l52)Y5(M6fRsk3%<8-d{Y5F^BYzbjz3z! zL9V8@EmehUV5p_cVyeTX^_s=h%B7Ro5rEMTbYHNye=YC+6CSa@Yo{dBZun%{|P!T-VExt{w6w&=N~mL!o5p`(4M=`f;K#u`F)uuLZfz| z?-Q9F=w!~DMZDT-!;td!pi<)wdv4?*hu-(D_zYbNBtg<(ekv0u?Y{YH}(tH%m5b1Wr ze8Ln-f%iKSYq104!^-VnhqqE`8EBKVrsn0I zeKTzCE?GP|4~#_z#x^x~~&Me@x+(trs}9UKh?irh<96Jmdr)DY66Jzt6!R40zYxYU3Z{M=9na+Hg$M^8_lM zbArn=ZpPQ$qn_Pyet3Y&@40zp;Wl41kTT{~A>ydL-oMqLnK=Nw6F_aOZWX8p?z`%?{;Pd=`&wS@ACS;ku{>c{o1WoLLB4B|tTRE5I=T zt2vsseUuUN`y*>vk*_M*s@YuGj@ej%QCw<={>u9p7qHd3gj^CreV_sfMM+Zq%rN}D zyn40NecBqk9n3s|r&>arZXhXE>+k7|JUBouEnT;^o(Bi--$(@p!MSX8 z{d!Hhy@Ixv7S9%n)>5i`D-!;x6x(m`n)fM z9XTP1MuAYrAoTeeE&Adbia^zG*R}4Wc5tDhwW0_IdJ;q{6d4UnKRjIMPwHa`uI5g# zkq%!!`wMDFLn*m37a}8uN;^rA$V+By&|Jv{UHU~Zdi@-9wGJ9xP?Xi1`368T7i@c> zKV@iNMm6tJJpbQ-b=ut_>PtcIH-Bu?xTgC}*b?`{w4%}3*q7>|Upqkw@P+x}i2{R%?Gq%whd#NMM6Y>3U7_V5ROjE>mt8|H zu&fN4JCUGSR5Qh6xqm^P@W}k>H5w5ui9*q$jdRe=C($6(iZM#7K?$@-`D%m!swuLZ zs340_$JN~oF)qj=?NrUWuqKkc%ji$hrh0sFKfBxufvG;l=1-JwH+JkK6=sO5M)h^M zVQ7;OYi8ZaQTyC#i%gk1E8pxn{}slgtW8BXoldJOb?R}RWZCU$L7G=r@$bN*|LFz!AUVeCndQv)->vk;njaF`hj*r> zNgPh3U)ZW5s+dvJv7krdm#hG|-0xH`02xzc{V;}mq|K{kOg z{mZ{)<`<1x=jl7%64JWJ?Jo{1-kCGMj5Y)S@jelYQoTnwZ5SH(-)R0D%6+ungvY`2 zZHP<}+7WLY09+C|hUICuTNIqT^`j^-0X*rht#*OEKG43;!%=eaE{--5SUGUkG*o zZLOryuSiwN69Eb2(9Ffj%d-0U^D^efeKQb?`$W*(X;U;Zvu5w=<#6@2#98nU`*Xh? zys?jRS9G2;o#|@lckjEYU#e3Ef7@#Mp11cPtS9>@N8lUdm_(XpqLwimAw2PieO=L& zdZjWThE;EtZz&E}CrLWIOj40tmmIZkPh3{rtX@_;+}{H5aAaNXmAY$INq!U2T%K(K z*XtQq<42XB*q+=>Gl;eT zwVa2IIZVtMa%P<@yEiJKeB70N!`P@Fcctkm+tAbk&jybXttz+v!{Vj`Y`ZFbalC&+ z1E|68`fP1Idcg=(s!_RiDGLAuBqnxsF0HdcK-nki?1HZ7{f-w!!hGD=g50fqWqovH(ASRiq-lNvn?JFII2XK_c^*JK|) z$6hW#{VwB64lh<|qdi9?Jbgf<8sa;sm9@cOgAR=&j1|_)7pHN4t5cE}XUDxzlGZR2 z=EJoGBcmpN)XADL9=|P~kZ-p5;=!B!xWhMs+WWr={lvto%b1a_rMTB*3g`=x^z+r& z-A(3gm}&wfBMy>bCGfjNSxV4+GG9ino~BYG@~gYJ6@-Fr*Lz6CZ!q-J=u%4O+h{JD z^EUeqai>-sNDOwmYBb8W zRm@iv3m6svGLwI?qtb5a!6s3!aX6J0Hn;~=Y3+hav0d`@?!Q=(DfxofG@uo1zPAKw zSnuU*{#Z8LU$iQDbk-55{eGl7Q2YO2%hux3mMIPFW?3s&OjlHV?CeGd$SD(q&02XC zI1IjGTfrmls~%%=y7P7+xWD${VRZjRUL2@3sd%{K@W1^FwlZ=l3N*KZ?&mlpsR6|2 zo5yGIZqb(XRcaH}qo|+EUK-ofuWAa?KbELl(>{$YneV0`VYb!LAXtB2=u-Z9`8|6Y z$5$cUCr;&3%kMwo#8_GJ7aF~o3s|)wc}hF&YW(wL$M)Nlu#U9T&(CLr?ahzF0vU<0 zW-N1Y0Y)=W7)!r6a96WJiBjI9KsPrsTXc*ACNq*|Lu4k;{xygrq4G|s;9Y4@AxU|R zyrQ0HRgAptFCU_^)~xXl9$^y3`P4L3LG>DxZZ{bCh(DaGWZM6XjZU+n+*vh)Oy3i| zoXp4l*;GFBA>&EkFI9YtqO8i&9f1g*F3h2JEqB6nc+Z|GTm2Gb-Iw+HYGS(-1bqg5 zP4CkXMdV)B)P1T|!sYyIftKOSwXx@ve&Tfr?QXBPw9&$P?~Jck8W-2`npe{-yw|NM z)prAyShLj`<4o(wc5E)#>`1#HNi#tUH3IFI93nM}HZmlTS0|_2r93&QPUlJF9?@W@ z=tqQmWhOtw1(Yf~gF?^zg@@<4n5VDTUim;%ttK`(f^wDgwu8q zeW)XmOojN(p$x<8zd)OOQ7h*$8Y-3N>kPXuQ3PjnXzc5+pwE6?k}VRev?jDi(LeDn zWha9X`KP#NI^+j6YtInZutboXak|s_8o7sY`r-2de!syL8A!F`z{(p4?AP$-^A1;$ zNZB+a_Kk%Ozb)!^0jZ>LXCo|qgP8TiAt4<{KF-mLf!3^D{b9>%;6ALl}9>v z$8_kJ<;6eipnh3wXSOHwhJ8lNV@Ke8x5{5ITWF+KYBc|Jz4l-<-+szqXlwN9*nnl* zwFgTy&AAi!B3w*LHP~kixPe|zAkjpms=R+K?M6M7r@^itm&JQqX|N9)LdE%{ym9;~@)+lI5N3dTmLwUvx%k}8?nCl>0U>2s%2cM3 zM|zBdg}rHdSl%O>MHu~SnJUE?{qf@f7XJ!2HkRAvOIp_SJ-t|V%Ao82HTczH=Xfr}7uScCA(z6SA+E!U*oU=KdN`sn zZhjbk#{)9{X}!e@g7PWGkUdO~DG2_^u+zMZZBHBFcBl6A)Yet0MMKd8_rPJY|7W^7 z^F*LKE@Vq5{)DOM(Z^M$#u6&z6vto!iD-3&x-(kRQ?ur`QiMsTzs7Pa}Gm z(J0vW>pY13e4cw{{vZnKix#o@CVN%UExuR-s`_#@Ab~zaWn{QfPlMFb{iIlFX&aD; zk6{&GUK?Eh51JUh~&F3dJ-Ch%yY)xg2UniE!fD@^^I zhw3k=)WLeBFdP|}V2L{|@iDL(kHy?YZIeW1$wxJ)#bdrz>0n{Oh&Cv)?{QIZGsd>b z!EbLev7a>e@ctzlT>nuFV1=LD?&~55#Yl=_P`}5`Ap7*eJDDgs!ru{8?Og;@w*fWV z#k<^RZyK@q>_dCRldOC5M5vO6tu=XnN2p|p+_6{<343sJR_4uhEWmX!D<8>)=^gLz zIltn(SDkT*c!&17JUj$UT<63f`ue!0tIx&ztrv3LQZ`x=eZ1Ytz<{2r7P)t|f^@l5 z#f*^zH9~!TE=OuOtML~6QCFVG!_(f*nx;pQv}vpCs_2Qg>FJ=gr7Su{-;>P=*~^Q4 zo@MQm?G-@W1ig*pmxl95Qp`*0V0^h$Nt_B_tjNOjIp ze5zErz&OP<3`Gl-@bd~C+TA8TrqF}9Q;3%KyV*cJjE=W8A3Oj@v$4IJTchk5OPBYv z*A4l}eDY|oJ=j-)Y9dAcgX6r^gEs(zXe%#7qYT%)W47rhRK2&h<;*NltEi+fTB;x5 zCRHT!w52ZLE?@bS7e`uJ`J06x)?DqYjfT#>bGiGyq9ff$D3ps5U>Rmku&^={`Vak-r@&wMtBBdXX-NLD?by`ROt-&%Jq5d6lQX5T|vqO76f#b>CCP4qUgYx~1P$AKKd?x4gQQazE3Fle7 zd}avRbKRNiHb0|J~)T{oowfy@C_m|QnlL}L=9L~Jwf+y-ET;_-BQADO4 zMm;goeUpm9>LKJlthAYakW$HswF9*C1$rqxIHnWr7>qW?Lqn-hAPEFW6af-Ppbuu( z{(!TmgRoc)Rk}Xz;IrWMgjSc{rCOlG*>i7F`}_=r+Mvonzi~#LoT1K+C)SZ2HF6i7 zv}t(8z7oENmj~_Zfl@OszVAL6a>ut4#jd2roW{LlAH44H7sxWurq|Q_11L{NQ`>h? zareLe_>zZjUD-=ulx3*yvp*Tm^M<LbxKO?KqyXDd*VA60R-LHoex zv@Kfx-Hpmw5{snp9BYprX}`>gQc_Co#>Zg7GY6Rf=FyLjJ4kG=;wiZ#HIpj2$HIhsD#>k za!kO5&pBM;gUm}txEUvHKeH95ZA7l&sQGQ7zdm_`8Vt9lw+zSy2}J? zZKkYBA*1ZD8+9O={)0kcvN}2eS$cP-r_mxR9?wf|KuI9!cD@2nOlXYAD zTK&l6eS3DaV(xwG8+64~wAmliJyqc+l`p83Q}2KM&4%+^pk6DtP2P;Z^WeQmAl z3~xEW);-4SL)s@If7k7#&DHNj-Pa=Uk+LIw z+cpmDu6%9hXGaXM(Llz-$t$@mqO#K%DOS^M1~>J#aargMD!oA&kBOl73PK`7jLEdx zWZFs-*i0Fg6V-^{d^y}M2+M1^Xx9dAg}^DIulC;&r4A{MPAdZ5!6aoNz#?~U*!~iL zZT!P_11#>5xqZqxEOw)m>@5Za&@)~h>>++0REf$Rk$yH-v-w=Nnk*d z4Z0av+-e2}7Iy-GfrWn{fC6q%&hx@0W#E0< z@JN5wsIvuKvdEA3h+}55SxbxV+8FH-CDpwd49mWEwJ}fmqYba4a7&k1YBRm+(qQSbs)AL;}LnJ94o)Yo*V16d`yBp2Xq249j zUkT`x1Wx7CM~2_M3DFQqwg(2yhtvjC2Gp}uVxpc-Lps8~NrGW!=RHovy_~gl76{P7 z8!a?+Kd%72;GsE&-WM&{LZ4G>ouaQ*O0Lj;%x{tCrr1Ihy3$cuA9Zzg3iu8(q7%`V zrvk>PNn@&lM5sigss|czbr`K~99NiV?2L==us7lw^tBq1UmWclK^JXE3~r>`i`3#l=i+Q|Hu> z+El>AS?|2;oWxWTWW8+jU&z)9gnd(bsSdL zc!({sA>R!ewJ2)XyCA!fR+2L5Gm{*+pLh&e(&+5XjYF2RnnUdrrnpkn&;DIp*Z#sz z&Dk0omFQB~68#5<-(YXPQ+e=OIw|;r_O4xnZ%K;$;UteUJK&hFJUHIt4 ziQGn7wV1`T0b;}~{@i_})sk7f&E5HB{kb2xvoWooO#L+(zZ&g>ds_@0Qho;HdC?LW zr#v>_CB8ix?X3TFl_!L+4~@X!YJ!lfEG4 ztI-ujtF)d#Evb{?_f!0O5wR24TY*=iD&cu~xD#l+Wx5s`u7yil7{^J%vsD{TEtwMo z*$~+oyFxYeGon}+9@L-ea8iZLd2@*4wgDRyc(6=sXcT{7l<7yWjR7{HOj8tJ&v6K2E^aIPB0e%n_|NL+91V@enza9H|2g)vADANJ{~-B6 z^uoR>E(hDDu$}ugJXB4;xQ6uyMx@P^lpS(%hX-F@QXuLfV0x5U0Gny{viC+!#do8g z{-YMXQDY3w`K%K6ndgR^oC0+fl7O8I9NEd*$SPy^c2h-YeseMCJP;pQjzg zZ>)eE%j$hHR{hYGr~+pG&1d$UBvvu{38-hXm6C<%F?keQ)Ln3{nW|mEl>F+UOMuw?BLn$j+wLOyfuxFz`d;(rTO>wUt)?>rEcUY`O%s}&Wvub zUpoJAVGgO{V1h$JNdjkr-qcBd@Eq*MMNY!U2l#RTdOsK#Tg#a~tSbK!T;4iM-5~7g zcCfrS_2OY+>2XYvSJ$E~-sy_K!2HrQGk*=nnN6tI5_f9)y#at@0Xwcf* z0arC-3&!Bq240w#xx@*Du0{|#@7~z?^Qc7gCIh(QDo1HwuMxPhk+;*woav&+_b^^} zjooE%A|`XsLeim&EF^M~Xx%Lxdn~X9?Mn#RgH^vN4_%>#LXT!9CzGP7sZ1mt<`A3X zxsYqTs|ebxWZG*7ls5AEJu=0m=k0))aVN=WRFXoIOp9<;A(3KJM2CjIC1y=^siiNZb!ldOX)AlfgSi(LA@(gL+YH+=YEPZDz z*+`>TRjIA)@#QQ^L1%J=`c&x$+8l{mv4_<^b<#&&G$(>${QSf%R$14GLf5{eCx^W_ zL6q$8@75cEkk>V9_6;x9cd4+ZK$llpr`=u1t!;Z)uPfm$#^kH(TLQxpAC)V&ONZGO z>16v?N>zj0#A$W7Zc*v%mt&1Txb^1{SRd<#H;7E7zGdESJt*t<`z_J3{BAHg`ln^T z>?FiT)>C4jCfg--l33N{t z;`*+tlc;N7uN1Br!Zdnjk$ERD*WmG*fbqbTylV=@V06vNqL_n|b{MVcX5cu@BOwTt zKKN19`BtiWjfScw+)y;$YeL0*zDfh^vHLHv*iHnZRN&GBtktxvJpx*6I0fK97hVIr ziA!}sXLMU~<|<${c-;NJ$#u&ZgB_^YrE-F{o(73kHnJ(X(XN5~PO5quXe2gX>#|ym}eEW7j*euP|Do(Q49P~ zr}_PFoTnJtPso4X>Hb&+-7c29SA>kme(jenSy{FDls8z`wTN8PW1C^e?;881=1U)F zvekYd;V#ncN28-^W#^~Rvn0TIV9YdaHvFpCj-f*taE+R-->^)oH!Koh%^rgD;_V}p z33mq?V92*A&9i=faHn^MC^D_>0I8xtsEuTV|E$Xe!_E}o9`EU(&k*|Oa0KI4FPReTcxPcohDFL z>Y7JG)eI8bhi)7D8sGb~-_J*Q;R`BZk5wHv2srETY~I5TznG1?iuB=32(VKvyag?7 zh9jpS$+m31(aO0Dto_E36pR(VU7GUbHONE{Hl4p!F&Ip-NlOxhHc$iT+ zng;4%tno;Q(%bmEeoz4(boF!wBH5%|H#>p!H!&XGT!Yf;wx>h$k$pP;v3plxV;x+Q z5L(=;<84_IBwR@Id?iBt9XhAtEjkT4AHATP7Cb|4RJ*wpug_p)cI(Y^aMKU6Z$zi> zc<>9;c_`RSKgFh;7}dt_^UK%)bB@mD{}%w72DGd47OD z*CMCTUPmwN29hYN_Ve;0j}&|h&MYe`kL(i8HX`>n>lN$*Gj{fzyd7zOs{_48wcjmA zE82GqR@d*cagDdQw%X++aofdOVpgaYyPR+jtdC0ys{HWsho|>UX9HoWN0QfyWxxk} zb`6;*T`<+amHrKhmYWpYhZ3+fpr4l?pn99IP>i^O4kplc_{$pn8Yks$qB)m|xWy9I z<{IV()$eEVao!QjEd9!rsuN7pu<_8d&FNQ2*cCp|eHo@$Wt7|$L_HU{LuO+hUVInu z&zPpc)Y$boAi#GS&ZrMqm@;fTz=4wx4}7idY&C$X(NP+tk*JzzPWPqCL>^1<3%3~Q z2}>6yzR?5cjrFn=D~)cM$7wU!oboEL6IKaujl;7lowLK^?aRvhA!#>LB~{T);2X(s zAIXomm)OnLV5d|OjbYGZ7OBdFaRj@MbdC#8F`MatG=i6D<)U6ld=sAJ7y~c zDyjt^M3g{3?6zH#{2{Q?RHe%3sX!Y4wN-4^=EDy=3}RK6j=|2U@Bx8V?be$WNr<-p zMiI4)G9;|=WsqJ?+dkjh|BJD=0ITYG9>!H9q(QnQR2nYbBHi760jWzj5+WcWB_JSm z5hO3&DRDu%yFo-ix>3M*_xkz%zVENz=l_46Wp-xHIm_;x+1c6MIeVkm?9^ecG%wzZ zIJ~D9G`7*4W{yHrqq_I(#rtO30~KM)a^%2AJoWf-`VW3jWlvs4eYs84vvT=)`7zW# z>nL(J;_N6>wNX9wo7ZWgI@ zk91?({vp(GRf_tl>mqWROE&^5USh%U)YmJKteS%MXmRmfoT^hJTUk{)dr9lhA{Gw? zx2xbN*bBeD1bM?fnw=G?kyf@>cz3!mZd-;e8qfk1_BgrRSMyPZ#q_-&6t_6Pz88v` z5XUA6z)C+9HQc@3ejT=ye^U!pj z51a8C!afM>!Cn-DhX4a+qw{7Fk)kF6``?+w5=#W}>WLMKg%UNXJR&TfcUE8IoeM8& zbdZZCJp4SRagP7+-jDP9ZD>X+4tLshN*}3p`ocO4?jOUA3C8aR7R{pZ-v$l2g<{)G z0fxTdUo`Xn@j9_@O!o#&cFlT7(em@ptW$J;gF~3vH9ta%vVx)9>gW52qPpa+l|8vP zPrpFq-UmB7_I?cb{iu?Xd}lDJu1PX?(B!q<`Ej&GUPp*pBGfL%^6*Bm+sTz>Rx;*d z%<3lFc0=q!qc%vR_5{AzUbOH-ohT?wSuk6(tx|fR_dW`%IceJvWm)h8^!HgYMWM3l zmrwMnk9yC}{h@1d+lZUacIwg?j$e`Nco*Mc{%N{X-T4`Gk7v#yh{8xE0g2_{;3;jj zpv~`2V)c*werG!yWQ-DQuhmLOyC=W;!g6mx-z4;X@R-kspBN^gmAazFnmVEHU%WrX zVwFn$92th5yf&A8LehY_^$yZcFx*@$DW^bl35zfreN5w+j$2Q&>@|IdgU z0#!Wy{erBs*YPo^t%YqUPt`l^(onnr)_S)2e^43a`(H8STh(sSQXB{(pF``!coyMl zaDGUaa{*Rk3gfbVw3`X*zlp(Hx;<6r57}>(*^(KI42zKUy6B9kMpZBA^4+)EUoB04 zrIVzO&N~#Dk#OLoyzC?R$WP33%rA*cdM59J%@%Q ztA^x`%=Pbq?LTcn{=u$O@Rt@Af6lHiHs>C=zWH>%xv_oZ+_AV``>Sfg`}*@lT=4Ic zlgqu$?WK2X7B2#?X~gF7;oo#VV!XfVj>5jjHv{pCrcYrE-N2)srw_+!T~t6kCWRn`WdLBYFlE1!aZhqPPFR_r%UnM?c&GN%xtz< z6luOkJoan9$muSBo$cN9^%XU~vCv`|T4JWdeA49l{B*O8Ps4)v=r%P?0HaPdZSbEf zZ!YxM%0sll)xH|``li*EXNPrJf+{(}RkaSP^OO>2KQ@jO6#FXf-PB^aKffNh+F|Cc zsBo!LV+nJXrgXZmKH{PYD=VEgwA-!}`Rq)mVW4AWW@Lp|M^rA0qs5RR8kl43neYr& zl%#s#g^dra;tcVg`XjAn#KUN@6j}G8B$h*6;vUe=>pr#U+---6+#ML+p)T(pbwzEv z1;<)SF0xZgNp=K3znZwQebmtb_EIDEMktF3q0Y@`Kr{*Xkp? zb$zWlNDtGWfw)fZ4+XM6{yDp7e6#Ux|L5F;)k+=?-Dt~PZCsob_1S%|n!uu80x8x* zj5xv(ui%ZdgU^El*Fk8{-1dVN5RMakJ<&~}A;~r-X`CHAZQ-8w#Q%nW^>m!z+Jx>} zDtJgn((gL<;KAPU%@2CBZ&Q6YOG86*4Nf@dpRI^fUm=cQ-|lN)At#Vs9l139T*3P_^S){QV5!l9`y_gZN%Qg@Zy|ws z@Nf5FL$)`+UqorT9v#huS_ISH&&CY8a{X!NZyt8Ew$?tdQYm?QD8sb6w%1LEn6rBh zjiW#5v^>I;`0C8*v{_d&_Vp4R-<3&i`rsmJgg{}yvelsq+u+ZYgt*h)mfw~v4IQcx z8ihvl=O8Fp?Y(Mk+YTzwN5@<+hbqd?vf*&>bXzC6F1;{}DW4K0$Mh{!fc zr%Pg`#|!+32fc8{@rk_mFCZf<*O+gPYJLtaJvfzSSr}Xuvd%U=L#;JI{w8YTPIeyAk*1K>=Wy@*8Wp@yVU)V!mw8%agXX< ztU(RIbJdQZU~Dw-%^!^+_)Ej7E49>aG9S6&0OM5&uK@_bjpjnrsJ4f`5OS17J3G|O z*UzTD^mW{9wnT^vainZV6r3(s>1I=>4tjP^({G%0nk$!!A2k8X>23q%-W0dR+b@_F zsEH6!soxw^78&~o_K=)PEwKwvIVB!#PH$zvZwN;TeRVaGu2A$-i;)f2&vS@3)Q@*C3-8N-X>}>C2Kc7g zMk0ZZ;!b|WyxtaCzFCGN#mW-bNO?un6Ub3KSO2Z_`L&T-=@hw4Jkkn7jU4jdAWB=E z)6gD}%#|_vX@VGR2j^r^Fk#Jsu5F5Wrv)W|VrKy({fWDQb20Ft4qK!ORsvmwW7)DAxZ1 zO=7F_c|M`0x#ZTUXfXhziR04|c(G2c@$bG{uj;s_dXK)?uES_uGs4aE*Ryd^qjs(}i9-%J_)2Bq;j*g!tHrT#=-g(^) zVdHlQNOqEW_oxw{i;=57LM}mzV9NrV=bhESDSq-|wN~4``$$$U$ z*>(4EYQi!`OJww%lddCnmYt&EO`!86<`62Q5WX14D56{ZoE&(UUm^=R4^7ba9#W5mf6D!=v$q zr(s3S909y?+k^ixMcIE?alQmGn@V{>z2(anNtNas*(%$>?-0YP6qd9J|NW-6+Ze-Z z`9}-0a&(~=+eEV0qYUj@*>FX88HU4(L934UYW{A5(!uxL_C+`hSFwbL9?|U6&A#797+X2X*5ahIhK@|R4+~+@#6DyY&`8oW$<##9Va}M?;@{e^7fyc zcqze(zMzSbKA1=R=v42)^kI8arE?PUIHI7anf*W~O5_gofIny9MW)+e5_R%`a~JEG zi)L6*Ch^2g*L&RhUuuqvV*eH5b$b^0VisM=gpK<=wHKqWGZQOCp|r-e7x?PLzn<8J zPr=W|!uWsepI5HkJQyB-@`iKIs5J~kVr;DYBQ=w^L__i z5p`3F+R!qpx#OxqoA?lV?Szi{=p0MiVwydyb zMtf+FXFT1Y_E@yv`Kp_8eI#JR=4q-LR%G3!ZDL{qc_f3Tftc#lo~>c#s}CqLb^gp+ zOYJv^CYD`_iAMhBUyS*fCv%Dz*&f3oZONYXw!og7-lIy>_J|gQ9BrMuerER733FzQ zE)L}$P5;oBEY5SDOku2sOZ_mShq$}_^*Y{bhUZq_SgTQ<^v>C9pt??=kVj%}AmSt& ze+H$+qhi?+8|nr0EmID{hh9|iVsJnftv6{?C+@XTO&U$Z2$}9g8{?fSvB10s{ai3b z$?8RW(jq?Shb4E$t+BxLqUwtW6GZK`9PJe4>Wb_6E{VwMF$(Rjg>9mZNpC3Z0W@$; zKYa1**^6$QZXGmCpjuN*?6zo_TPqq=hSeRJIGDC9R1}ZA`G)a03X&rVk|!YohCBj> z)$fvUFiFX|H)*UeFSTLFVoUA%zUCj9spGk=0+p z^*HL$g)Lqoo_cPv)XlaNN2o&)3KU=!!qt)d2qT70k|HVP9Auqzt#r;=6IvmKLQ=-12ir+zU>{&a z?lM;8epp1$cdFD+IhIPeJ~ZoHAo~~f9~lsr)i`+7Vyp258wa|gtLpzVix}^^QVipL zMK-M9^H|2-hWC~JzcTp__njBrb*U=ffdy*y>abijyBI_TG)eARt*!~IxX$0S7T(}> zGpa(_!Y=tjM0#KARKi9HYIGnba4HJ_T97kSr}+kCKD_judi>h*?WZawtNDjKPDvCz zEZ`;oeb3tOIDCW>kX}Vib0ad*@W}mSx=?K2ws%trucDsXQY9rg3rr=zYszh@wD-5@Lj4iu8iGV}smyzq4NZef==f_6n#Q5{ z@zFF4e-tt&E* z%f1t7@_E@c+~smmh(Xt}WanP`V`;M#>3X>KYcco+L-bEP#w_WV7(}B+UyqWV!V_sTENPgA3}Xzf#{c;;arm3&*Ws4n%DCdc;5efqxv1MKss zY~yH%rv&+TBoh87(cMvZAq2aSFJCR5p|1DO(|4WTjWcf3DfNY2sF$xIX2k0Ki}a7C zcj14TCC8)Y0d{Nce0P>9nO#V3a1s*qm^)fCo0V!v zBcxo2z3-Id!nuav@7EO1bi$k<{TTl%$eN+I6X-X>^Ux1Np)-d@^#N0TtLI`a*R(<} zA`QP4lC>bhU8=_MTH2-FG?w&jkgr`#eD^y)aXs{#q}6DdV^#}U@A~Ft>D2-eHKTxY z35e2~Jl8@u)0HCUzq#}M2OMyX9}W;re7|@7L~4!s+fDxU6GXd4$0|AxlpTx>3N7?Y<- zxjLY=72ut7mw(pPqm69#?L4Gc9_A)uROSFbz3{&QbrYD(F4i1YP^s<%LdF+?q2J$s z{>AL~=ici3$0A918@>9GWDKVxCbT)Pjx*&Z%i~{3^Vffj(0{|Wwlb#HZV?1pVGG@kv<>uDEy%Ki+@`@dRoKt zGGFo0@bfgr6gL^wmECErD4#XF6lwYuvCK^1ggEOGY;y4D zI9jZ`Kh2`3g!b-4Pd6D^YUcNj7>6?oxadoO-*X-FTC4T6z?1g&XP5OhU>=a>r{gH| z`Gy1?VGb;=^D^^}w5BGJp2=?yvlSN$W?uL$xV2ht0yqop5d2b7=Z zrM#${e4~Fop)6^lzTm+>%$kM{O$(fhdQB0Wlv+0dOUr@hxf5iC4{)a5gzqSb zeqpHi#ysn1)GE95Hp(+WkEr^g-UnokZX1q`}H|KqKduo+XHNX;b5RR-P$C$#> z;Y~!FTBX{Dr8)5VyFuzi-c@uS$C_fHb@O=`;opG$>M7=oMpa<}VY^Y}X)i6@v$a)FH3hVh2cbsc1Y-EKj_;Bl<;X_o16 zIuY+Rv6r(gRXDN<@{Angvg_DFSdj$(Q8=3VdX=uQA1S_jIGUy9qF!1SX*^aqQ&;+; zq|S|9Jhk18+4U#BC2!lkvE&m~wJby>U%h|f;aJ^xx{vtOqTThU^lZ<X}OOG{=d;Wi-qqqrn5fgl22k9-6 zQ_^;eC#sI`ckbKykEZ|jE+o(1DYf%IPk#|?dn8;-0C&{L?0w1d$zhf7%xd|a1!m!f zDr7`0be(cx52-twg0w7vviDdZ@@Il1NZKbk*y9=}Ke5wV7POXmm84WOPD&ZHoG9B_ zEIiVWH)dBTPpP)E**BsL(C^I#sP&#u!;Ak3=KN(x;}Siszb;dV2+5C@%d4NOoae>t zxV|&yza?YQmi9aKh6j1P?08@fwI7N=wp zs^Jte-qq0xYql$ku)Ovu2@OH~WQOg08uzuD`1Z-(&x&81hbo%_Pa`&hS-zX2gLRTF z9bH7uiTuO*>xtt}ejZlh1H9Rc4Rj|D_xz*h{YO1LAK%7c?tgwS?XoZF{sV}x%V=5W z=X?qm;Jh_a+5 zhF!q-NrC>&rqr;{ZoV!!cp629(;RwSISPgr?3$M(32&1qRKjyc*3YEJx4xk%B=P7* z{hSF?%$y1i|D358xsxa@dr1@V>T|%gW)-zSs<{b`SkBGIF{>$BAMXiwjF?cpkk{_G zeToS+bJ)_M$&>6>!t$ZXOjV46q=={Z9iTNuC$mv#p_nDyDOC4tiQS)K`OAK~#qiB& z;!k)^WX+MnE7H*Hg4OIEJqG*Q%M8;r;!r^*M-Gu944J#bl%f=ju+i@+JkoX-w{VFh zRRTd{;`xm>LDZ<*vk^8@ip6){Y>+x`c0H)Q#cWAu&UV%$YhJdeHVC`S$@Vn=CxaEm zQfjqhVn!SdHSTuiyepkhY1hEQX!}YX4jor%*TDieo1e}ILd1nElF_<7Yj0shbc_&F zq&eW+NY9ER>0R|Tk>jb$(FC@O(yf~=fsh}_o*zR!KW2JSU=T0_2x^!eM=nZ$pGCNT8{ zLYOdbOyYlnwp}*T{IRpWyp-LS&8&6etL4ZE&E+(bMh|l`l0^@zsENhKSg~W!qjXL$ z&;?Hgv6LeChE`~y_MV4Fx5Dr}A~7izlLEv$=`)u$69YUu=@kyoZ_8wQ77?dine=9H zD9kz4>^tpC(&lgGgdJBJuZk=|Hh6VPBv-|c7hT-btpjuQISoYlRX z5CuOr3T+pyaWW|1?0>SYcUZo?!(=^`nbWtd-LV&-pWA}@BG;R13aijW+r-4>9PWg%eDJjR)c9sCg)Dub^YKLHV zlpenhKVWkT$_>IUH?-fAe#3H}DSgCtj+E92;@9Ime+ui9-u%i1PDuXL9lwb-Is3pz z@<9U33Q_r$WwO#@*HI+84$;hPZY}MFUnLkwV?=EmUxufkFdI?MBgY>18mn>x^F|G&t1jNijcJ8rsQXn<1YFzWQP!|b; zpw9=!co3oz#HX5AZRCXo*+3(b?p*#(`5=nr4n|xSOjeI9gk06TM$Z80EMI3O8$*X} zD;x70zwp=PG1t&-2SeeH-!1o73WyKj1>pc|Ui?oStliJfs9 zOz;LuWU4&uSnd_Nkf^S(q_s?hAtgi8w?By8)p>uf@WlF~l5kY-n-lpj^stsdZ~%11 z;qjgM+lU=58c}WKUXc*e68GX{ zh8<_trx+Ac8o;nFqO;qtVzqRWFZHRp~Z#}TEH7>_aqr-Ay_b zw^v%Nz?~y$NdFlI$;s>EgDyJFc@69U9n1N#4J?xMLaLBu!o4pw_XGt`OEn{fRtXfn zeR7q!>NdlJesnzvwAA=mMPQNEVLETYeV&bDwyAAqbE;;08ELTJr?xw(dU0kG)cl$= z5{)MQjxar2p(c;p@Q|Uw1LyH4rp(mR{AANcm4wPgu#%z*tci}2?F!Y=re|qrIz@Pe z6XI$3Gf0R+zam~C95%pm*LE>9@lo1yt|E1#+B-UbM$mjo91Mn-d}Vt?Wy_A&NPoAw zahM3Fa?%Wu^(KW|FLYHqtsCl({U-YG+eG6J(VZpRAQIdLw=*3f@BjpJSQz~%wzl`w-|6&PHZ*jx*u@TGY_c&c)qJKKdcu>Y zbx%fZwgVaKKnbh)h9B{m?{Hg6D|&vd3l{)F&C^%xQWT@)_}e&=K7s(p9Cc+CHua@jMVS^@<{4-cbj!VqDq2CV%=Y!Z^(fLlFk59kOSWI9)yxU)1B2 zajcRJ=hZf_VB!XT!x7h0cY^MooY z#u&-X(qg!V<;c}d$ka{r@hjLPKc}Ew46X;-5E>ucuQy{i=@6wHnUfJI-RY&A=p47< zdlJg9NaacpAvJJ8JJk&n(#`kate0Wemf;lOXF7(3x~>cG|nmCpZvi1&FgNB=7!~O>;3`q3FG>MJK1B_C)q2 zl*Nv-x|Zh&%5%etBgw|%9L>kW6U0aq-0*Sy}lc~Z-LD%U!i1a~>-V4A2R z5I1#=htamVJM}Zl_>tzTty$3!M*haxGLl@r^b$RN?oQp8P&Rvro+3ne&PwdQjI`|x z6cz<_%U3N+ai1TYroyeU@xa4a;B5onT2#rD6{6C#avGyolrc_bd)e1R2*lYGLduwi zO|6i6N8;LkeHn(y=aIwwuEnQ7F~9;*Y7kuqh!+Kl zdC~}W!)Si`-k7_xRniqCFOk?r7notBsv*1-?cAkG(8C+3o=y)oMrnrfQ;6Y=?j2>$ zUe-`OqaGY`hETn|LTkCIl7*9@Q0sMrXEK*4JD1m}285HpreajZG#pml&L?8h!xcI+Bf@_5xs?eQt2u>kEFPs{tb4XqSQW~vJP0*(+vHiL2`6k*y3MCdj?R^SM94D**MN}_Il{V*ZmbajU* zt$|N4gvHa&+os`G(W-`B$C^Shth`!S#A(_Z5c~P$_)w|tLK0G3D#3zsXkpMfiH!NBZF5kbL{BmyDBv5bt@(YRIT{03(@uk;2ikWv)=4VdJ{Snoa%A!MX6nmQ;EKFv z@h;&}d4?^Cq;F<@NUGz8je(PKM?dgE88q4g352bRv(-Mltn?`J^;M)7;zJf0XFB$Tq&kO)`baJaZBO=}{#wEH6W#282SZrt2p z9All((7Hc$S?9HuWeTE-OwX{It-eB_k#WcZS{2G#gdV0_7)uUbPr##4Bz7jHyCC0} zv^E;>nF@{jFkKSLbrZHdQU(p&n6dq$r*F|oABoty8%9$oN`mW=2GfD`S5pO)_0knm zk%49hq_LTTdk-uJCqWT)eXs-MNq6#Go=_gA?F2(wVx09G)(gz;@970$P#mG}aO0!G+RTM=H0 zuVb5v4s^I$hctADG=oPJFHXZ5+Hf{zX?w0ByAb)A-qbB~BTegZ0bI+}>OvbkLQ_mG zh1<(bq#L8Oq`rTgHD5m87eE& z99#80D1&k9EZz#VtB%L&y77`U8N*kY!2r;g1kN7c(>hM?pqIf zoA9FYGmdVq%=*U=Yf7JmGM5kp?qhzty9W8!{U=WocLf#5Iltn1+-?qCep>8%{()LZW3`FsPjB4I ztGtEi;#TPuN--$)MqDPX>oW0k>!3fqSH|sf#r?|#ycxdRr{N}kWGx#jcL#r7xI(rk zu%dkz2RaCFakfi8-S=NE91NltkV160Rjh&E)4-8T@OK+AdPS0eE*qetR{rYPXwjsE zUb?PP^O63$UhHq24mxt@`NxEEB`Wvp5BE8JFH-~6d@kend!cTF`~A@4hW$Y(R@*{) zO7kU8>A-LC^yofX_-9pS;i-G0y6?_k74yw>XQ7}>+^b>{b+5wv@Bx|)sCqzD{he=o zYebk~PtG{qA!oQ-mxprhwFSHsKf9a|xP|^~7o2W#B}K>Bj0au?@#WEVQz@b?Y?JB+ zyFW^>^qbOK#z(%^3fbA?3W_*_UpE{PiDGni9<{&AfH!oN2qrd}2Wlnhln5|kL+BPF zqw6jTB5s2pU`it;a@dP8TG>u_4rgv9%{JHf>or20Krdh7VnL5d8p+=CNRhmH^>{lf zdiX&Jl_zhpqMW4r@W4VYgcjx_mfDAn^&hp+bv6PeQlUu>2j z2lc{IN-@}d!z)Y~44b8^Ji?)y*vLWB&=mH&6S@~g&|M8=j(e>%A?SbXcac!^|3FKP z7=S17RPP}LNA;wzDV13>e~X8M*XHE6!GehtmAq0TE-Z}PVGk(?MIQ(G-7xomqkJCY z!nV!yX^CjSNXg?5SAlQMH2r~{dRkMLu^9?j)YLIvUV>dVqRV8C zn6E+$N2c2rhNwYDUde3x2zv0p$aSx=^{)L*Jln6I-7GIpF}mH5Z6DdcpH?gnwR&(L z4YqxBTp1E;?Bejj@cb^NT{};So0QQrIBeSVvQ z1I~vAG0fP0TTwPxS+-JHc3f$SY1nLB<)LP|IoLgT@w&dkYM6M_3X`G?N~Qr!n=Q{{ zfToIr@_J$oL27;|_S(^>tvsvmpA^3N8p<)cq5q%K2LvQFy$?OCTGg4EA~xlW4MM@r z>;i@8tFI*Uv_VQ^frM1KYqD+wxj<7$@qmTEWUnRQq*%1O%te>E8Gcd+wO_M3|41Q` zDba|`v8&w{1VZo^3~&KLDE=2I6TaXHM2^hu+HXclSkv-y8H`MjYqv4y;lL>RR|~I* zhgUEyM^f{kgtJc0co>#3JjOiod`nI!QS#RopbC}eGlBh!^w~^Z#0;HiDi9QZi6w*4 zI9;)<-RhxoFvwZY-Z(9RFEb||uZ*eU|_obZ{lXDn8GS|7)FQDo0enI)F=$*La2gXsS7N+jL;y)@{z zljfv*5p?ez(@|&!BDT9wgjN{Tk4X64B@kC^XY&Vq+po~OqY^KGGRi}1DY^b${cZs? zl_JCwr|{FA6e~U@D8$+9zoeN{+pTJ(?hq=)(u{imVb`I28&1rrC++TZt^e;ttrku? zEG|e=gMX`YekC4YWB-l+#D`ol4C0}5?&MzSrHDwlYBHe>zdW+@?R4W*dx9W zJPh{qdrpukgXkUho^#SzLZqq%66*v?!2s$lES)wd7PjLVhPW_jUu{0U7)`14tq5%M z+}wk+2jf9EsrT8}pcZ@7`@rH)=*}2JK(ZhCSVgB={@|Ykl%2Z_cxav1pmlZa&m|;4 zA7(NDt@ISl?yf$iiBH*fD-(}oN~NSP6^Ue`cqXvzb~mhSOYQ=iF!QmP4p)$myQC6D z%HuB%0|w~Dnd#&+Kq(TO@A7%jFQH6I0}=2EotQw`3%#(0#d6zHGq4oXxEPWfB$T(E zIdL}f?aKB%kk7xJQX-RF60{Adsi!zfYe(@ugQDXpnlS?pC%yT~7-K2C#ZWMC&c0mC zij?8n>rGv(s^fR7~Yrd<&NCVP5@k#3yJ~>Oukq?kq1gaTwCrRt7bYmiOSjW*2%G z(LszfUQTH8kohwBmr?PTu;M4xhoJ7pwrzET7$Tj>@iExb4!V%j*kkH!dJEZEfzeHu z`w#U}IMW8nRBdnyYtVHTKwZamzRZg;Vfv%|mH!R~yR`kYv=#CtY}V<@!vpHb4kwJ8 z6xcNybzW^{GG5nqt6FmD$OijFu?^cqe?vk6L4e_z&gc)y8tGxY?qc*8<{%pWivi-_ z$sbge4`;>1T?uiG3rf|0(o%!ly7PLM$uNG@IC|6ZKh#oJcw;z@D-QYU z2gL3Sa=J7OVSpLt!}t4dPU?YmD~!~GP_vhxKJqpY!3WiU07Y1-8;-#`Sw$D8X}C!f zHu-I`4;gw*P{NtAF7&WsTT(6xRa^b_P~9>S!A%Ux$jtN|4Te^RkOqggjfCFqheXVVK)&Dcmp$ryR z8F0`S!byQ)XsrSR7$^md0*>jRaKjjZvv7g4V*|#2VSxB|0y8ih@V}v)I(!5e|J;t8 z9tN5zbU$XK#R4?ky4vVF@Cc#|#S8rZxc-UFWAwwaD>7=*xl6FV@AWtFlhc8Z^3&j3 zC1JqQ*G`#wXaY6x$=JgSg@}#JV8}U1*cLTU=R}qF?eou3k|4`RQYWB?Pn!O${3~^& z=V651WQxEbUe?OA{cc7O4dd%d>+a6gg zp`R0Z;hE)RE)B*_3$S(DQq*iz&;&h?g1 zP<_}uxC;6>izQ%!DQI#(ULIh_6WeC$f!DJUzhFxW6h9cye++bJJlzcb*OO#tzAZ*E$s36+Gt4XumEFwvQ?;eqUep_1*j) zauMngh=lxe&OnQif5W-e&dg+HfiIJXEd@UL8}c`B(b{*S;MCABCo>zFeWRf>8o1tM zWvf=jwNTpIcmUnV$sG;#=I5Ut(EmP7v0DZgbENT^9sMPMFXp(-MI8yR_pFTT9$jqS z=(Q|L%#fqnEi>~}l7ZJd$~zR-`i!vSdCyiX6o5j~twr}a($WjN0qTlEjfzlcfONmH zywEgd0ofFuw>;vJw+vhhX1SudW^R{URwcF{o8;{i3D>GwJgnkVo?WK(ksq*1?IvKY zjLtJ4BEuxdG{6+X9K$!K`6%k=IgTC;6O@6^cX-60v4nZ*$%6o|Ld>LmNqW z0yUet1Asr+MvO1UJxF^W1%psf`AlU0BMaWQs#+-CWrQfsteYzkHr|hjY@5*3A^d3U z#L<0^5vd9JXW4!XEyOEPhkp!rE4?d&gZ|?*$E6OsP`I?{AFb!VC%!znJ~`dq(76e} zO8nLJlcj@D#_Aydm92QCS@L-7c6}Ie#>qz?4QamYxltwikAkg*xxJ3H)>N>Mmr9Mp zq^6N(3PUNTzjn1POn9gHMP3AqYfpXEwy@-#4j;T`e4ERqJ3E(->z&JMf#o(IJ{ZW^ zX-Up@ws|Ykta#YqE=o}3=qR}yEmG`q7_K$(OdD0T`&xq*1>N*&+95NPsCSQm1`O~| zzg?(9>B-HMrQN|Y+HO^?&*5F~c_Xp!VWRtGX5>jIMZp=25Otgjw*mUIcc&Jmd6KaJ zHgora_ptn60|@Q#E$VH2AF>~>oVhQ}CqBLSS{Yt&UncIL#?7j@+ALKKSD<0&!|U8$ zpY~@S4R6nkKRo6Sd(NqOKLhzOwdmdm)I4VDRj+Y9znXnnO1OheTzw6Pb8)pkSplBF zEcjF=x7S$Y6UFY4KP1}Z6~FO4f8*e@ED z+lyg@&NDqv??@j(MLms;m0K-U&UYW6YOmA!N*g38gxc|p^< zyo`eyR+i#zBNOM;oL~p4VAjjTpIRQ{2dd|N?+vIa*JmP7=}j?drXc+kRP{1ya(iox zv_L_Edjkkw8{^l_Uil{{E?8uNl9@|h4PT!YbGL}V2 z;n81#JO$|BX*KG#ilbhDN?$}v{M$lr5IR3Uv%+yudSJ4zjMV0IDxQ$1sjxy! z^jaB!ifUKQr-b%YkZ|}E(C52_@H!t<;Uqga1GDmeKH!4Pv<=QuzNJZ3>S4A0C4IL=D^@O{{oDQ|Nl{3k7qWx!^;Y- z>0#rcQs$n7#*ltTd}$mo;7Hkn;_6K3USa$lWgPWUWaje`HZXMi@KqW)2ado>1(fA* zCX}Bsj;DjuupUXDjhNg+=9r)9OGAq1KBf@j?h3d*y6brm=6|K%r5~dkowI73qkZ?{ zl(sbP$j~{k54ItuPrX(hUD!2HOOKAh{)`73SHEAH1bEPLED%Em9L+%rFL1XJdZ3I~ zDUMw5Nq}e^#|%T!j&Hp-+F~)h1waY)WVaS6)`XzH}6nVl%>R2L#y<;VndL| zM$3Bgj_E?BD1TQr?Z4%wP43PcmUYwwc`JSt2ff|)LUi&sPrX8VnmVmap*&m(I&_d? zE@m;PrkT1Y@UFc=#24-W*N?ovoAV!X?H`hf3y?Iur^z^A_>QdR*bZsFp?4)a?F8T? zdBSBYrw)wXG|8wH($-BW2h@OFPSLuFOh;jyW23-*6mI4D)qlnZq^v6GS9hszmmUqt znV~FXjDp7J<3$vvGdT!(K6Hi@&(kPT^@&DQjg88`n6y7p4AIwSJDEP(&Gjl2-bwV6Rghr+y<-jsWIuBuO)!nX6VQ> z`$YrIson_)w*;L}u8J&}3c3L}KOJ6{>-UoYNm8SQ)z`2A8QVzU;?52#Q-HDrAgBhv zp259eO8HoyPqoZ8&2R)#eR^J!rO%>m{WV{jaCDVdCtAE-DzQa7dm%^Bj8(%4xRM+D z<>D1mgdS7kWl~@+@s8~@ALUb;mo%LgM6+9f46CRG{#5a{5jau?54HR`p}##WmDsFe zGvp9PZ}=GJLG(1Q(WPd7>cEFc;G(7uL`O2#tCss>X$?)oA_)QNjY5?p6WIIlWCgPI z@a0NQ%G_DBN8O3I`XZfD`z%wt%C3gS$ z-5SuCM2Rs;eGo=ev%TI2rdYCF*%oCq~*kykgO@e6m$Wus zaO0@O!o%ity7wk7BnYqOb@@c)cT3JB2xpJlIL3N4mwNC}x8T;T@nzdQAX;aSH*r85 zd2TLM;DR;D6VLxhbK~Z|e()`46V&(1l2=JH8$BCeP8`BuD6#dg%2*!?N$l+9OU7fSkIZG&~u%<b*}4WT4Q(#m0y3$X5)oGxwrKygS2;$xznAC-UnX59F(ox6 z$s%>a)<=&C{m^N|Vo(Yk&OuU0Bs|eVqY*yu zAg8R`5-4I}5)k_?H-=_S;r&J~?(^wvD#G|;IyOfAmUbl^0wVjW-j{_`+pv-8bXb=6 z6CJw}_lRp%IAYg7EO%7b&atFr^md{r&md|p@Yw@+pX4K;3d!<$NbY*zUbBc&71!6`Nw=T!1Ay40dJUXkaz|u~AX=j1LdF%0j(fNHCZl_yAQaA& zZ2Zc7UPSuD^W&Fozn-y^==U4p;&iPGPqT{c>o1YM>?c#D_qo%EQH+;>=3dfv&i$mS_GrTYXSmtk06!hO@|cKfkO; zHwK2uX9oElWfwMNm$J~F*Yy)j>y-V$g{gDIf%&Q z;}h17P4}tnrB&l0R{*b{nLX5)1wCw*d5;lU?(?%n@nny&r!t15gG>8A6U3)}K)qY5 zQPpNbRk*bVh4TGHYuD@dH=2L_HvVz)bK$#5C1pp^qj`U|^54QvZH2SJG!5oD>`(Dc zDiH$ok>zvK#?9NajfULlxt=1w;_PWQ2lf!8{Ge@;&=^8K+t7mHFeJoqW9Z-XAt_V1u z=a?a$2{?uv-cNVU1P-LsMjhnrbr*FMG#2V8Xu_(uP6J%a&K9sb9j`|%g#QYeV*`N> z?S2Bay9>{%R4?p#4GaBwDLFiOQkyA;Ok-`hdU*pC3XUmiyShiQ-^j9y3zr}J)pyCv zD~~XeAbGvDl!D??2O1-RBNa$~4|uHK@1an!+hB?4Os~OBEiELn=NZz3$ZK@Np{Bna zOSH1bS+9KmrgW`9H3%9t!1+{K@&bxSgOn4 zL*>;OJRi7t6TsHU>r+l0i0^~1BL*f&`Xk%@2Fh0FUYE@3Opz_ra+=SO5NgP-Tl&9O zOw3M!OoUrWnG|?D-0mV&0-k|YCi>>AB8IM4T#AX6<6% z8)Cm{TNc1K+eW!JdxtSSF>JP6PKFn(*qh*lgU5}9V!~=!p9{(%tCgJQ?p@OjSlLO2S z_E2Zof*FyM&d@=0g2HrL>aO!J(`hUYaQrof!Gi7SPdpGkV%wXS)jVc(totFWnmx4l z`LgHeB&pPfw@(EYRb{P*+_)dzU384N?P_f(K(zsC5!=fxzd_C?Z#oR*c!FALqj2Z3 zmTmU~J5Qe}5?x!to(LoU$m0@HUbzIpH;K2x>b49)Fz76eClT&shBaTP)M9D%;*8-4 z%^uh;#>O5_E_tV^O4RM;! zvgGA4+fS*EcauaCFg~_u;^*J4OZQ?OFrzSTp~(P6-hS;!iRRLvB~HfJ!>?TB9yS(IoiG zKpiukn&+3>g3NTDo?k45nd!*Azqpez)A_r9nV?{%%W=0-+xgTjtS(-&@hMC~UHtdP zCmCsVfk`@xq>`8>KEg;1S{$NC4LlV1g$=*~HYL0+aYBh&Dw81cS|C&~(i}t{N20k> zNoC3uf%xO7O%(Ww0viB?3X<1}ghmn8iQMT=B$@xvNrv9gZ@nH)4=v$5rT1sRN`u9S zUz=ksmNl?c$+-vo;+!~r0S{fP?S{tf%`wmtF z-B}nRGJ!k&K^oRpJAurC)oJ_n6AVfK>Hfms=-@5Lcm!>Sf@NWb=61VW#mMlkH9^*& z=*eAcN~}MFTDvS*S$=Yc*|QUsCEOzhlNTibG#h8%f)1@BLCK-hI0?|wYSo}hB_fV) z>m3||N^bEl?Z@;#yEaZ$f_wp2XY7rZMV`&@^FJWy=IrJ+*s?$CW&_bqJ;OTWd>UrM z;xj*=qV^WH5jZ+U%V%X+u*wj1qG|J~qN~L8ry;q`>9QeG2kD9^po#*T;9%L}OVv^^ zf#e`~=7A0r6q3kF20C=N>|VnC>4UwW`_yW|iroD6AVi zS!eRt8r(`Es;nkUk{%!P)Z{IloczzI1E@TlhMm^}jql48C$G$1K3I_$*+xU;u(v|` zdn|{mO?u7_n*7aoVRb)i-lkgkjGqqS{~ylY11gGTYXb$zNroIn7@|Z8k|Z-AL2??9 zoI#QTN|x*lLE?}l=bRA~5E#ih2gy+~ND>5J`TJ3(?6x>`c9W?wHZ{4@5j2swvG?hl!V!CNJG4L*tiJ5 zj>b>m#dQVTjo2C-5gD|UkW+rYm!=>I<=<&^LNBesG;unbz0r67Sz!+;$6B#W!F)RP zoiVKO%M;W(316u)wfmqnqJ0BWPTbsy=b;#K*#S}jHaUv9nT=u$#HZHDfa^h+#JqDp975bsDSXGe zw4ookzm*Xn@Fw#O=IGCW+swB|k8Oi&Z~PT|!u|loTJ=Ziak1YHmf##>FG5($50Qv; zN`*aTHT>MOJXTE2t5FiPBHxPI1H_pel8_Ze;|jb|0lVM;--N+he^dQ>itNP>KUj~i zQ=w!mLEP0JP!mJ&8@5><&*+cprB)mRkaKt74H(4EcuWiA3eAyPKc%)8(^jxFe{GOT zMtf#o3Kd+q%UNK`wPl;?R6Y>q_`vpo{lkOdbSMD_#2dU>tI*@B_*_%@6{TG9&@NwC zEn>By9CJkX-C`3pXkVf`c%opoc_8Z=W*j1Wh|$L~OOFZM)b#yji21DQ7G8o7dl zcXJgU?SG7}r;WQH`(HrBT}ZL>upR|y8xIQKDSG>&lSn~4g8!Y=wpr2(i2a>xx=^aF zFsd%mvqhnHk?XMkH~7}76AX&#(?1g4u?!erDviU?460EjR{%0+!bJ5wFkk}Wf>8=% zXo~+0SFK=>otIMu2s{`|^C%crvz9=}u)>KkQb|uOkM~9Y4!j0kGm@Vg6L7f*q=6Ep z&%S8LT|&5nb~$o>*MTACjGi1>QDhZ9@jH}k!A?Gfw*Ljdc3RX|@g5EOCv`DmYy2Pr zt-gN^Lfl8KqNgWEM+W*sL7tRJTC=jK1=?aa*9Q6FJ1wEa>qw732s z2MmaUTTyZ=D!`E?^46slPrOjGUy$wWVEhy7ApBTuigfAMQJ78M0>kq;0sC|WZs)dA z+K5-=;9?~XJR+UE$PifZXuAwV$IgVo-Z&oCfh9HmiH(RN9)_ocwE9Hb5GQ=7ZTK*{ zw(7<$m)OqYhB6NX$WMS=y+dKR6o_Z&vP>RD8$+sCK*;o7BHHazYV;c#pyX~394P`G zxUND)I#r6LfFHsPv--;c8~TTz#W3|?1{8EjtcSQ7JLWOw(h4S^QHtOb+bjd2t0r)r z)7dLI`}y62u<@qdqaRusGTP=(KYZVOA5w@+bHUPti!9&GC3bDUr@*|iMjw62&x#c= z-t|xf7Wt5V+aigU^KV!qbif@cusD9-y7vH*@vi6fO=%&hPKaf3M5a!NbunOkfzu8{ z)4L{@Okr;zR+qj`NZH`@+y+tDsWEDxK576RZ4ZqKv^x7Ygi)l&Alx+vPgvPT1!DSk zuRICD1XR}eyy;a)8vD~({jo>H?)2??+Qxz=gcD})eq(is801Tyz>oV+WM5=WuD_J0 zuj5YSzff*0&xZWpBi7L&|9rjOSn2S(T>IsVE)kqVFr|tQ7M@7LnAq9iVN_ zU4j++0HPvdqYezGx)`az3@SXZr#`xk`wjX^4BD0TJ-%!bL zxgIAnjPw12ez|J)&)jAE!C}mbiEj0z#{?eRO3eI*S?NY8{-+f)1a4xu(t>C2jz*P= z&Jk$UHhw+XCFsCq7^GVzWUHeZq)R4ftK$}=>uNh}FF;_RQo_ZNBR_jlupV>g8dcyFSkih|zaK`-m$nFyhKG_J-%$zO%ciCvg-%BxL$hV(=rh&K%hufro;oqU0ruVY; zt+NeM_-{9A#BG`ZLmxX&yJQk+p$%hGQM0(>3euqcOpWVm$dOW zJj+!BF|(F8FEJBa@G zLwr#+g9<^X7z#9Dnjl6km_83LUr5q9F+h`l(<@?GvkmHRb|9RZmY^JIOWadmIs!1) zc*DK`tahnp$+*X%4qhf$H6i$-3DZo2apf&`ICYgQB)gDpYfBVl_AO^sDmX9735_U0 zLX-dkz1-ph?U`X{T67@N;F(#^EbkGFQBvpg#_K`ah_XI`)8ApQlf`&K*eYO0j5413 z`c!7;rh)6zPS(~`$?MTU zeaZBao{#7Ogf9kyUaYU>A?PCSBI5#ak$T9$hAq|P9q&ZIT%lBqx~K$1Of=VPW7!K3 z+9GASy>`r*bClox{hcg6q1|bUth$T6CD&~E<1sBV?*24;2lV_s^3S-(!NJJx zdkr%P+Jc`l+7o26(*3w4G+}8L=DFwHrY!PaQ9ib}v>c$4*vh!ZZn750QnO?K73T9~tDy8PW*g?|;MB z7yeJ^>dIRZ>Dw~kaam@C80vB3rL@0&XIrKngd_z1F4UIHB4`q)H;ipmQX}_Gw!$w+ z%ne+STQy#UUt^;mlFUzHHTX1M#Ddvypb&V=26Ziaopi}IO)xPbg%CL2!|fb)2