From 2f9ced4d88b54d72ed32445cb86ace9578244454 Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Sun, 24 Sep 2017 12:32:42 -0400 Subject: [PATCH 1/5] initial working code for Lab 0 --- adder.t.v | 50 ++++++++++++++++++++++++++++++++++++++++++++++++++ adder.v | 26 ++++++++++++++++++++++++++ adder1.v | 25 +++++++++++++++++++++++++ 3 files changed, 101 insertions(+) create mode 100644 adder.t.v create mode 100644 adder.v create mode 100644 adder1.v diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..f271a53 --- /dev/null +++ b/adder.t.v @@ -0,0 +1,50 @@ +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder(); + reg[3:0] a, b; + wire[3:0] sum; + wire carryout, overflow; + + FullAdder4bit adder (sum, carryout, overflow, a, b); + + initial begin + $dumpfile("adder.vcd"); + $dumpvars(); + + $display("| input || expected || actual |"); + $display("| a | b || sum |cout|over|| sum |cout|over|"); + $display("positive numbers, no overflow:"); + a=4'b0000; b=4'b0000; #1000 + $display("| %b | %b || 0000 | 0 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + a=4'b0010; b=4'b0001; #1000 + $display("| %b | %b || 0011 | 0 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + a=4'b0011; b=4'b0011; #1000 + $display("| %b | %b || 0110 | 0 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + $display("negative numbers, no overflow"); + a=4'b1111; b=4'b1111; #1000 + $display("| %b | %b || 1110 | 1 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + a=4'b1110; b=4'b1011; #1000 + $display("| %b | %b || 1001 | 1 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + $display("numbers with overflow"); + a=4'b0101; b=4'b0011; #1000 + $display("| %b | %b || 1000 | 0 | 1 || %b | %b | %b |", + a, b, sum, carryout, overflow); + a=4'b1011; b=4'b1100; #1000 + $display("| %b | %b || 1000 | 1 | 1 || %b | %b | %b |", + a, b, sum, carryout, overflow); + $display("positive plus negative"); + a=4'b1011; b=4'b0100; #1000 + $display("| %b | %b || 1111 | 0 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + a=4'b1101; b=4'b0101; #1000 + $display("| %b | %b || 0010 | 1 | 0 || %b | %b | %b |", + a, b, sum, carryout, overflow); + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..9bbf544 --- /dev/null +++ b/adder.v @@ -0,0 +1,26 @@ +`include "adder1.v" +`define XOR xor #50 +`define XNOR xnor #50 +`define AND and #50 + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if the calculation resulted in an overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire carry1, carry2, carry3; + wire finalbit, c; + oneBitAdder first (sum[0], carry1, a[0], b[0], 0); + oneBitAdder second (sum[1], carry2, a[1], b[1], carry1); + oneBitAdder third (sum[2], carry3, a[2], b[2], carry2); + oneBitAdder fourth (sum[3], carryout, a[3], b[3], carry3); + + wire samesign, samecarry; + `XNOR signtest(samesign, a[3], b[3]); + `XOR carrytest(samecarry, carryout, sum[3]); + `AND overflowtest(overflow, samesign, samecarry); + +endmodule diff --git a/adder1.v b/adder1.v new file mode 100644 index 0000000..db5e603 --- /dev/null +++ b/adder1.v @@ -0,0 +1,25 @@ +// Adder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 + +module oneBitAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire ab; + `AND aANDb(ab, a, b); + wire bc; + wire ac; + `AND bANDc(bc, b, carryin); + `AND aANDc(ac, a, carryin); + + `OR cout(carryout, ab, bc, ac); + `XOR sumout(sum, a, b, carryin); + +endmodule From 1fbf7af2906bfdc2062c99056577d4c452928d04 Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Mon, 25 Sep 2017 21:51:05 -0400 Subject: [PATCH 2/5] added waveform picture --- adderWave.png | Bin 0 -> 10068 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 adderWave.png diff --git a/adderWave.png b/adderWave.png new file mode 100644 index 0000000000000000000000000000000000000000..f12e1c83eea5cabf8768c447bbf4f0c43ae99942 GIT binary patch literal 10068 zcmZ{KWmua**JgkgDDJMs9g39(YjAhBQV8xAoFaunaf(}UDDDm|?i6=-cL|nFzuk9t zuWP@ZAIW4g&ogu8%-rWb=S;Y&vJ55~85#fpz?74fR0jYMHQ;q0R7Cjanne64{0qqy zB&Ugrin_F+vJU@A>L#V@rr~Je1~GL311udJ?ZF(bW-ef`gR7OJ+Zj@)C;&hMkdyqV z>6vk|0@2l&gQ1+e){+Q@;ArdO{h*bQlYxJh|1?u2DuW0kC;8z;Fjpx|Jb>*=USiY9BK zT!E~+fGqK^{l-G6c~`n%)_>Gd{6#WiUst5E8WA$!Tp>*OnTRu-Wk2V1_;y0lV&e zH%4=0@0q0UjPAvUqn!n&1G-n!IWzAk*Jg6pWdp*RVVY0%MQ&?ad`az|_X|a0Hj5pl z+0izlc2k3XwJ7tvCEznI$Bc`em;RtWRRfYHBi`InTLbh5REYv!`RAe(m&}_=oNnnRx1v&q=5|sEM76 zyMmqyzReK_iwAxvwV51sf0kzZW^KWPbNxxp2ecs=-W9<5DyP(<^2|Hr!y%2eCLKW{ z$Hj|mY5PA;FAt#oFP%l_x{BIG6OYEprL7X9(@wAgYKM~y=tOb%lfLthv(|_{>Jn<} zBZCh5WVmtYtCmzA=jHr83%i4A!mNjH zk_R{-RNh;o#yH}1O_9e!Pz!u~-syM{Dfl2HCfUnLmz`*M*;2t*2=uGaw#W}9vGVKZ z+8x;}SFyLLf)3vZF%R5HdGR_QHOy&)ll-m*=!TuUPxcd|_C62U2nvksZz*`m?L}vI zdhD^ljCv50BUY4ZF@^6{@Wd`gTYGeLlAApCACt$(sqOrZT1BwYwq@120us11T3Ak9 zSguz0c1b`3^xW_E9%P9?hbO}7iviYs&thh4#IiQelnVnLrB;z25(=#!EB?4$w(Xnv z2c)slqHa9;R73l)C|b}C9H_j^Z>zuW7D?1}<^Om~3L^R1_fzBG!7Wnp0H-VRp>p9f z#QfyO&}%2@SAH#p;IjOJS47&63Q@wT`l*iKp*fJm@eOyVpLlFhoVBF}S#CP8`n50L zp}ha?!pF^RJbWfsZ>>C7#$uHGL~6XrUi9r9so&zk5`zc^F6f$b>c#Q6bs;O7Q+aIF zBtyx5ELlZgX?$_2PV)WRRD<1Rk6^squp9mOMJFpgNj#2;Yn-X zl-m1_tG+x1nJiQ-SwYzhc0Pf*Xt#%zxfK;QJO-*y)EONI;olSwxGl2JAP`{trJ=V{ zi7JCpcz8kyTxL|#+hvM$y_E3)CpQm4#zOBFk3|SasqZGS0n(F>@N~1rXPZ>_EBDRX zDTJra_}+-23nXcRr|M4wf5CSOxZ3TM(gZ85s*Tkjb0Ljc1h~ad-NS}$_+gJhScJ`?r^nu&A(W@&xwgW|q9BM_$+#AcRpd zLwt^F{PD_bUdoBD;b)noz4)%=H>$)jI@*eC1X+82e4u(bRGw4ap+j@<-7qrwJ*K0j(cpHpan(s> zS*dq5Oj(+pAVI9Nwu7w{>0)9b7t42Ngj-r$LJ!@@bNkwiRC{h8T~{+98E?|6#sZ?% z!9k@B5>EtXFhBSNhAq`~WA{3If4j-4*l?I)MVJqj6B4=`mj(}_ZltF-UIA~aHAJ~U zG$E#_w2`0!%?{lo_YOVx+8fQY0J_JDnmDt?2fw+7i^Re_NE)7p*UO_da&+ zkc4Q@My4eKg}CE^pS{;|l>|s;Jvq_*j12KG@dmQcoh$@)NIy%M(G@PJYsvMq`=(bO z9N?(`$S>GdK&2m!!U7UFidK=Q=T3ImcHS9DBw}x2U`Iv6OGkiLJ$bL^^+soI9=rsY zXp30O^PdQL7zPaQ24H3hU-L-r`9D1uW{r2f3~B$oywj;j#!7pRd;Qgd*QNq;TOc-B zkQkMK%xxu4I4kv?>t)+@b5mbn%#my%%ZHo zzb_4D`Xka51>pm)mJ6n3M?1_oRsrF=YjP_p?Nmuli8CpIG4uMl1Hpo#A}T7%=uWDd zVZ!w>8kowd!(arT?7Kwjpt(r5Z#J8o4rX6yLpB@&{}`del$af^d25x;eDZCZJk|Cw zkU_G4eww1@y(7Vpo;iDQy9JL)`*YiX?WIgS zIdN8Qpl$ToeNS2_K~VCZhdm4$6M~p(TJ(o^t|EQ4I|T!Uxb{_no7Y&d#8hFXVgg-= zq>6wt&{N%@rt|4NZ_A$27^pk>(7xL?6 zGCvom%Xz!xmiUtYF}30c<^dsFFqtm1$*Jc zDEfF)%jq!AW-B`INr+7Kv8}zmw6kq3=*Xica)DJ8C#ErE9NXGGfS>sPrVitHCl7rUUgX~2ruW1Mp|BUKO7L13oWY2*+ItjZ z<^5$wRkPA0iwdGe!=y7u18M)zriVHCPcY4~Ss3i>All5ShX=h{E3}$@?Y@2qTEs%M z;dd#Lz^Q^R-q911EzPEEykmRXE;20Va=+n!52zI5c62JP@XiZ$TrLAn#EMG%CRz&* zy>8A7vT_Mq+E(VvA5X)n@QMMU#~oH>V))>89AC8pWD(IvHvz#LwjvcXkpnx74H5b^&KTX&_D&xG}oe_Y%9`&p88Zy;py3Y@NQ=%6AYz zM_E4VwCt~jL6LX-$sbh;eo!MM2UMb-;nTF(FXqYaI4a!FZwl@hi3pI_7#q8Bt+m*A zA8p_rtw;IC(T0#Qm;Utc_3G^VXxEl#L)SbD9%=7AuQ~j+Eb`&Q^+Xjml3THOrGc-A zf#Ng+QFrBCpYj;W^RV9glIXxY=nEILXs!D3X!u_Thh#A@I{Sx|Cm6zFMbw2N0*L*V@5nqDT{(PaN)Y&X`(&j7?Y;L}i_egMIEX zPY=v(9lAC=Y8ty3o{Fe#B0~2U7-1F0ycNWnYhmZk^Sy}KXGu^4(kkJgx!0*Zp+*XG zKPHQoo^W8E0|A8}ctUZP#MSiQ(w+%%0RZK*+KDl-QzE;R-{sg?St7A-!u3SGj$EDb zJJqwQy64im-<|TkrC+f-AR6Q-@ zy|fZQhU|e5SIr{?#Rw%_RlRUUNT>PFn*^)+9_;MAYd( zPQc<)%w+rhd##C0jZ$HEXfu4XS2g_djlU*O4@PcYSel=oce`CnrB6y4PWz?ES4cWH zTMb!{UkD9jFX2GQ7y{I`O!$Hcbkct+Ch`??ezk38h6M4V~okij%a$$Dnpn) zMBdbgAo&3RO49<_QxRp~YlCAZi6nc9z~<)k&-EjL6Omj3W&~t$uqU-b$pa!jS8N?& zrcjK=Z@4WjU>GGQY zjzo57Z31r`54KS&kO%}5WeD?h8zZ4nvj#Mej@zWS_~hW`hqnq>86BR!1_1cVqlQp= zo6at;oQG}68fG78r6-PKS_2Uez-7wb5dD1r~ zW+(uwsA#gQgI0$KakSvJE#YuBDyA9C8goSR+FGiFg5$$W&Q^>b7WEVGY45f{0*zR)eNL$-e z&`5unjNblr0s`Q#q0NI+E(s*PW%2R8wh0Q6E?`3;v z83o*Uy4RWRXb|+DKK0CC^eY)kSF~oXSefS5h&&#M zUX0o;3@i0YKiMVV0tz8Y0z0bO?8@6RC`8C1Oi{A5_Hx|GAKBt$k*AvRxomdE{I zKasf1D0)AH?{Tm1s@s<-9g^=enmLD5`SON#$Upm*vp(Ou99i#l_*G7`4)KB*?eJXY zc-^6G%ss5oW*`OB`PlMkEtT7YbR0Y-C^E626>)9nqnF&_t?|nC5&^(xM6NB$>ezQ# zjrE{u(Rjcn+Lx;=X1!@gBvSM`IZHSIkF>*McBF*P=sayAK4(0TAm@CH{^}Rw*Ct&> zlB!~%JAWbn%SD6m90W#AJnI4L>rG2?l*Q`QQ-vBt9p&wbnH9?ViRKATfVe@u=}um{ z+q)dCmuwD&_+%!V&wpji(wyxneNZ@4?LDnFyV73|v5`ux=~Ck-|Ewtee3=k~Ey#+G z`(THp^ia$YQt6MWaFHt5r>xUStC#h%jWjj-wLA$w3Vsqu|1BK420AzqmdyFLmRnHu zVvGkZY9Qc+Sp)jv^uL8zr+05weyx3iU}4hc_mlIlq{pWjmf6<&#eEYrdlMmf8Cm*B z^}gc8|9x^a&exO0@vpD<;Eab)o!tNZAhiF^aO3+<0aq8~DG;i1G^p89!hW@Ty3)C< zAj?It-TvshHqVKA^_D0gtgE0)2!548PJ zjvQH0T!_>GJ+W7_4zJo@uoxTH*fSZQixrxWW*UFb>;8m0eBzwy<<pnR~2d9`gS} zw9Zgo;f7Q^9YmYz+V=Tot;|7W1>wQSy6TpGXy|Bjagx6krKrgaLmn#=(jYpb4bbHu z{Jugkcx3^E_uD5b_J#9Vx9^O;EXuYe9k}Jh`;D450JW!G?pAsaI5H8slT2$om;J!Kbu9rPA9K} zwrgCdB*nD2F`wUNWt~PA3Zg8IV&}+);K+5pKa)9xM?evkCe+MCOK9w->QgtG6Nl&2 zFvG?uTn_u+G{zvWu8R3C6$vaC78>y&-QIJB8GRu0e02IfCeAi>7(M*8^Q?o&Z9Q0( z+{KHba+rlyjnQg3o`o`5BP?PZSI~l@Yb~I7b=O&3@wpr?2k1QOg69ZdD zP}AOTHd+Z#Lu6~S?fNoH@Yq-6EQ6jc0rfP3`23LNM!np(Ir%i5>?WX%Me^yg19?jo z6GEqBh0-)z-J{gVTZWSBPDmcCn+p5DLTde zLFIgC`%K{dV@kf{Hvgpo!76iSd)|T~S*W>`WdJMQ+%j>ZnW@$H{h5L&o1U6EwwU2Q z;ZUC&7Eg$f+_wkkCbu72s~Ke{;&HClw@(`ZJp}9=MWp9cpr=9$sQ9K_*7+{V*mC?JGe1Q~NL{)>4wb=1j;0sdtK@>^|gd zn0&SSn>HuYzFR1AB$Rtg6rC9Ivd}->Gw(Ye6{8XwJ@YND*dexULCjw1p)9=;KRXj^ z9hS(h9c!kl8HKZqb!gKKCja2CRd+wls)DH-(OjOmis`cM^qwL*6<=tW3glhEyXWF~ z7Bjt)2;`5i8MlTm4f8yjY$OmxHIaP~unSa3D009-0|mx{lm~WR1)>TCPNe-pxV*d! z3YwgYSvBT>Eb?UztG}RzXsRo#V#cjc_kA0WHc#X!h9_tyzKG?VXQ3C?-5e4Dg{rB93x=6o+by9{HLZsw{b>)8I4MEAd)5Bfjm6=Q$ei4|vB z-I%3+o<@IxsfzBhu{C)={COh8&C?O{Ha+WbbLu5!4p9s&=98C-o8--J=tT{qA@~OF z=h5;vR5gAp%QEL$Q>ObRX6VH3+a+2}zWw=pIX#;qNA0r}stPr&ABvdClwG|4z0Hq- zUlJ@SqH}SjF|;4ou z>}?1=pi>#1Uii1Khoq`+YmR!se|hNHlI2iAhCGS+vH9RZ4xG-U0hM}HW0G6WM@GG1Axq?z1MVS{6DFV&_;^KVJpLUKZx2zk8hXiteK`{ z)ktkVm6`5Aq0rY*M{Hnb_SH-|D1~e49l>{8g)ob-Oj*^tH*bD&f)mkss}Aa%S{f-6 zKm$EzRDCDDG(YG!DHo581HN`|+#Ct!%mw)!R7RT3iq+<-@7;jle5fV)^rNooJGW?TyVTug@U_ zL1lkM^m2wiFbuA>9&fI~8gO&F6mlB5W?+=8qTX?5d_E!(+-wmcxiJ_Q5T2m!g(Og9%UPvta(dbz zDackWaXz=`G?N8%yS$!F$!oG?swek|3lD1T$jRX{p)p&r z_XM@;*D>v6P{0MrUcPjVpRIIe%%=vLA6Qx_Vis_Qj}Q?shTO#Hku_b!sd}B=v~afH zB*6}six`u5>5Q+SPmnmt}Zcpo$78w>d7fZe*(mCxQkSuknQO24NI8Ce5YOuK}+UM@`566rKw zc1KDS&!A@Z*58;TMG1#9nhsqB852!+Iz9F7%D#Pflsb8$fG@;f26@(O!`Lhae%I;} zLI3#twRsA@fzj2zzP`d**cg3vouRe{l!R!^u)U>o@)K~zd-}1Zvn@Usrg?q!9Ri3eTU@?exfO+=Y*L(QeV?*MHWWWn92VRcv4%r#X23p<&@0smC zO8V+cOG{6h3{#N6j3SWo_ov>1X|aa6o)?6>qc$xQd&C z8gjQKqC>!=xo<;?-B{zb(oZoh0RZHse|G_h^u8ABUa#HE^I)*J?Z_{|ht`)_uq$$g zNd+S7bUt@}>rsClPuSk(a_U@-$kOw**CpBq;=}lQ@aptyrjmoF5t7!YV}z?doKFAt zdtrfF1!^-4+7NDK*iTUg;)ZM`W-Zy8)2Ak;vCku~h>=39D2R!Jrw58&qvbP4iuj2X z-EK|J3ykC@kJsq062nJtke#e$>_TI<(W^Z+H86thFWPgYIlr=nUlX62_S1cnAiYS}!>oQBV-Qf@>CaXYQm2Ba`W* zO~86DfIRAvQ;fQ?tp7k{K?UI6uE}wZ7WZc%aBd1$qpy(PF(=;7Dr)2M$d) zZ)a8z0OIND8ar$O_4cYTCC4U&bgmJnpMve}$oiFsp;Zp~4Ol!A={N ziN&Hr6XCSDucj^jb4dc#uo@m-`{EEdgz_)^Q+%aR44E13ZO ze6w+6>li_rNT|O24*1LqOF?r@m)sFY^~h1}&|eVY1h3%6QT#?*RBEIBrkipe9w^5G zCNats^SLC2l}9n4_xr^Jd|}gqdwfsLjk&4%?VK@smFdIY@dFN!TiUI9 z$WLiT&C;>^gF_WbW@CHIIG~8!0PImRT^`p1e(Sm5pkMAG-~Kz)jAK99ex;LjH4tpg zAXwCV`2po6oT zJ1cfoVxgSWK0{fnO67WzvCQExwo!`|K@{a>xU2b7vYR33{{vm92SQ3&7UXwR<%&X} z0u>cQB4~inw_sYm#k0pe#m?pW`Q%cWUL(eel&(nOi{ku;7w*}9+fFJgd5Ldbhnp6w z$LQ{?2Qg}mfUvS+OR337d2i;pv?jj4zhXFS^mM!rCw`Y{XIMSjcXMO^UzxY>8Wc{G zq^Y2~=3&ohF4v3wC>Kyn?kJ{y}C9uJfq26h04>RIx zrPY?h`2=B>kFpW*r`ck6RX+>X`twqoTlSgOBF26QEKo}{0*a>Td`!HeD7oD*F0RIO zw?2v{IT^u^C18k!G-Bd7C^|EPVL@bIa-awL-KQO5H2)W+@`-fD<~}1!@6NayDY#W@ zlx_0E>+EP<2OQCfucPKJ8KHhYJk=ESp8MeKR_FeKJ9MM@_fziHc6xNrIVsm=tdm*PZe{w2s( z{Hz4?*ZgcyNfvUrQf^UEd4mD_ZL%S=rLEW}(oCH1SO2^PJ|HYnz)6xD<=OjG87b~v zq??({6Z&t_Mgbr{ZVcN|yCTcG8_G!~xQO;;rzw?Xzev9HmAuFh9l+iOe_4!PMi|V* z`uLkDaM%~F=f52P#gYCKPx%l1`k#yn@xKy_4$y$w{SAU_p@`q`cLL<3lqD-bpMw4e D75LCL literal 0 HcmV?d00001 From ac703beba5e682c08f36e1d645bca7a5c384152a Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Tue, 26 Sep 2017 23:54:08 -0400 Subject: [PATCH 3/5] added comments --- adder.v | 9 ++++++--- adder1.v | 5 ++++- 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/adder.v b/adder.v index 9bbf544..e21427c 100644 --- a/adder.v +++ b/adder.v @@ -13,14 +13,17 @@ module FullAdder4bit ); wire carry1, carry2, carry3; wire finalbit, c; + // add the first bit with no carryin oneBitAdder first (sum[0], carry1, a[0], b[0], 0); + // add second bit with carryout of first bit as carryin oneBitAdder second (sum[1], carry2, a[1], b[1], carry1); - oneBitAdder third (sum[2], carry3, a[2], b[2], carry2); + oneBitAdder third (sum[2], carry3, a[2], b[2], carry2); // repeat oneBitAdder fourth (sum[3], carryout, a[3], b[3], carry3); wire samesign, samecarry; - `XNOR signtest(samesign, a[3], b[3]); - `XOR carrytest(samecarry, carryout, sum[3]); + `XNOR signtest(samesign, a[3], b[3]); // test if inputs have same sign + `XOR carrytest(samecarry, carryout, sum[3]); // test if carryout=signbit + // overflow if signs are equal and carryout != signbit `AND overflowtest(overflow, samesign, samecarry); endmodule diff --git a/adder1.v b/adder1.v index db5e603..ee04cb5 100644 --- a/adder1.v +++ b/adder1.v @@ -13,13 +13,16 @@ module oneBitAdder input carryin ); wire ab; - `AND aANDb(ab, a, b); wire bc; wire ac; + // and together pairs of the three inputs + `AND aANDb(ab, a, b); `AND bANDc(bc, b, carryin); `AND aANDc(ac, a, carryin); + // if any set of two is true, there is a carryout `OR cout(carryout, ab, bc, ac); + // the sum is just the three inputs XORed `XOR sumout(sum, a, b, carryin); endmodule From 972ba6deaf14d2f0f29602acbaeb89440c96f0c0 Mon Sep 17 00:00:00 2001 From: xiaozhengxu Date: Wed, 27 Sep 2017 21:52:40 -0400 Subject: [PATCH 4/5] Added report as pdf --- Lab 0.pdf | Bin 0 -> 147667 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Lab 0.pdf diff --git a/Lab 0.pdf b/Lab 0.pdf new file mode 100644 index 0000000000000000000000000000000000000000..dbbff7909ab42f5c284d72b0c52357e824bced41 GIT binary patch literal 147667 zcmeFZ1yq&owkW*lmTpkGyBj5C(JZ>VJ4BFDy1To(LqJ05R$6HgkWfHSK=LjGtnb@r z@BjQ~pK-2?q(Y z@)a;UJ3EPx5Tc2#@fAgq|IH5~E88!MD7)F4kT9z&nV5prFtIgrHYefYC1IAZuy!_a z1Rt#poK3_`jO>iTP}fHV14kPtk}D&K+F85U*gBDbRa9^^F}5&rwsRx_Qx_5$7x1}#`;TU`iA=YJP2gTw$I-6ki<9$B^g6U-z_E?8zc||Dg&f?!hH4L(UK@R z@+FmzyzDx@^niT}4J)xC1=vnPkh^l|4ZZ(;*CKwm;cbK}7?_zjk+5-IoAuhwq6W?e z)^=vUL>}vv4{lNZ*6AP;E^yG^ga!}m?-tY7*EiMIcZVc9*T#d!%K{9Un(9NaY20BE zm_oN-wKLL3d$E+VfT6mavH-w*0GXyR^s=w-T)5dfdF<;|m<4V8|cZE15Xi zxi}hu?Qs>h;*XsrLC)Z~{(dFNLc+%No!(X0N`QHMzbyg2&3(;A0vyLz^p(B`wt}Ob z5y%8Ag%&tQ#U#M(+2pY^IK*wh_7=H0iryThu3}wX-q6y-=$fiJxM#R##;ndt!g|dB z%=e1*wTrIY_N#xcpl|&nV&UwhVB#ohXJc7&?FN3cf3HE6uI!%xV_K zS3Ls@*N;$O$DH=GqWY>{%av3>3t$&3}!OlHFDdJp1Wg`0QJ(wy!nBCRqmLvEG z2?3@Ge#DCoJ_R5^K|&%xgXOw{05e3#z*JO<&K@N-h{0OU8G|NcWqTk3a(HF*w0aK) z+mO8D^W_Wx843cd7Zf@`81N~%{#21_Ty1%*K+&+X5NOM85i93%gzaIf!$}Zxg4Dbj z|4y)jbiZk8w2Kz0I+IZI0#?Pt9SR+2+1= z7)WnqiRRAp_``X6^>qtEn-5QTKblwY zl_K39`7%FG&c>$b3Y!Me@e2ydPfFFp063(Fvv&ONUK%n62v=VBu#;zuJYgx0^Muh8(`Ef1=o*%V> z4r(M?^>{8u1ClZZALZDDeza1l9GeOI*cT!R8%(Q|D$s=RU_pvaXeF|9{;t*Wn^}3z zcMG-`$F98{QdDiP8iFYu@QWihqj5c-FS9OdPrk-aui+Dyz=+uhv)*M6N2xo|NnhXH z4QLC0H!`hK<}XpzWocx4-$G8ncI>VUf8W6RfP~XUc64-%Bj*wGUL^B+#A-~X<$M01 zV`b-Xag1vD+|hM1M%wPld@)DD7~2$Qzm98u}4Mrd%7h%>4jCGG( zb9F&fR;pLgigDNun9E)SN5J=L-RrZjeohk){-<+VVpVfg#ffdTfcPaldg3@sWI+ zvNWmHMVD@u^F{bBS&M$QjF^P?EP>ie!3}d#q`2wdXs74s`)nrmSUD$l+J8ij?|V@j zfoU_h7pKdkO{E#^{Kn3a<3XF~_^DzNYKfbQm7kG{m%7a!pPAbfrhMGx_VxgG-WKaG z_=gu4?}QI)e@>ny&+{rFFILQ#7{w>P*cX(D}l> zgU)U!Fctb@r>}j^Zpg5zZJ9sc^Z3Dzk0*!E*i>RP8vDbEvgMiNy5)zkk$1$`Jbt!- zU-fvG+eA@1(>SssgiTC-!0FUuW*rgLoPn(Bn%EOC<5n?p;Cl4xC$Ek7zv+7~`PvNr z6MKfW9sS*KChUg+lUhYa@9nL|H|09xFBI=)6?u^GlY=%LJ`Ck)NF;mGI@A#iEpQZ7 z0>g8nJ1}JGqf=~mJSq5Uk}Z>iMNP@S)U|R6@~N;EBNUOsQ!Q|o(#-a=bD}p^o(6@r z+(kz|6-$1^h{YDLJ<=dMPi69;aDbQUv_EbYBNZ6-HM(5<>tnHj2=92|uk9=4!}9lz zJ|6L-{)nlipFNth?X}~`k2qW@;-9GD&q;RV=6(13`=7q}#qZqLj*C8VASNScHxC-v zKd0lo_^)E?Hhw3?TQ7%y~QI<0$b|=C`=zA|d=k!E) zrcQ%S#T3e!mRUNk(Y8m2cfG$5BaVKxl1HHCo3Ywl#?tu26RO#_U*XYKszuzUEp5gY zxi@3*M6@-Ef^Fyn)!^qpHEXC*YoaO~IMoLsRI{4ffGFw}Lm5GcnkC@`JSrF%m814z zuG-HUYj(+}-Hu>p56`FRK2w1#hQ(ru;sU{HimDE)5Cp90(38ikX2db0Ts&tj87k4=FP0%n-j(C8Fh( zoEujxBZlC1;MP#OIL6B6zVlx%`+!G+ z!Q#!-cE8Q$M$}50{dk<|i`b%Icq#0epce%^9K03dB&zRY8TnN3Ot2=D(6n(kQ=RBL zy-!?qNsV^1G>kfU=JQVh5QwZb}x9e`A`4itX6uB(N5_LZd1K`*ggXfryQP(n;Cb5pVOUutQlzf4skhpwkSB3qAHH5T-K3_&QexV7nxMH-01 zF2{$qYzAy{z52^-`9Lz4hACqYUgLbKEtl<5&@=*k{OmtB6dL=DFEKQJ~_o_KFEVQY3NSR%K1rPZ6Ff^2Wm_PpI?phYTf#z~Q)qHJE*pox>2a(dje z%P$%|8@If(zg2SoMYBI0t!7DOujO>RcU8)Xx2;L6FUyjSWRe z*_f?j`uQ^E26LHX?psr`QIJ$fP9zM4aa=9s`c&#7*0c=DM1)6!pZ5^cwT?dkYkjM(&2>&95Xvteam+ zYT~>PA-7J*kG49&$|pWwtW-o<0;2&2{*Xgy76(=&LoY!ytdB#9QamEk7&?wvJ(pT6`k2JZ$Z3TXezZd} z8f5P8z|aYfqxG86LS#$Bg~}u;2*k?8;uGS z2?_JENhMRAVUTgSVVlEwF7w;nub7#hlkI{ume>c8pYSXuy%F>dnMN-GnD^4GAK!m6 zy^KjG#?+DUOpJOyk`&R5aw@@G$u8j;e^`A&F56x5PbF`@9hSZ(+C-=3JW}#rs9a7f zCMNS%q6>2SQQCi&uk9ZjN=XHt|I4)(Q|P_*e6aiWqFU&)$*fBD!6&s0-Z82`OGd~7mUuO~ z0*RG}eQQmXdNWMy1x|7Urw$%S%3nPvS1*)&fMfmeZq%OJ5>k#qmw>9eA5z|#DM!t; zZ23GAjp#hMU#6OJnltFaW7qdTBrh;#LnAj1{>D}9{Jl9GYRJx0)) zuKXI^j0Pzx#cr#4Ka=9ULv*B!TP>r0C=U1C%ug*d>Gr*8Re#f#)ai%R#J7w{KFC}G z=zh?j|5e?8PG9Xeb^q!_2J24+mHg(k;V-m`%j*X$=z#f+#yOnvt0rDG_;i-$Y7bYM zT~74|#3?BSNY+f8H8KZG#L5|>0%Kek>WmXCq{v~RPb!FbX^S0~6mwCL6~_K%6! zE$X@tp$B|QFHJ$ZntoJ{PdPw-(Ln;Rt@=GAzTesEH#ed5+>nsk2d_>v1_21mF#0w4 zNvAR0Fs3Z&FKX{8JUJ9DlW)0C?YmfgZs@tPw4GvyDGUkm2X(Y`iKlc4lEf(6t@KKf z-=dx2uLPwprOb|tKg!0Z9$>#%A%Wfk{2n%9S8_6##3~Uus3nsc=f2otMFXgfW&IKC z-^Kc);ay4ET%(CErRRvQQ^5UWoKxdfE;PTW0@+{WBRLs&Zc z=&hdlxRGx?wd?iEKO0jJG__*ZAI5>+g8TBUmty42Y--T!i<-qR$3~#2O044m)!vIy zt;{y9i%euoSg37MUE0sEA}yemdnAC9KD7{!2Uk#meE^{v?3GNk{00tOM(m7O85#d> zZdtevV}da^dP?JB3X?d0otT`KN^-UqZNxz-jYzZ2I}@g)lT|ab5At)!Rkk%1bjHbc zidqC;mb5)<4xvXd2pO29XV+4Oqzh(~B*>Jbv97a;3Hg#2SGqee?Y8LV>H$-8C4lHE z>~nQC&xlKa;)|nst%I?E?5kCs#E-5g!LQr47Bk{F5x?~w26JpJ`o&;<(4Q5HqnOAA z;i6-IShR^mT#vEebwqyhVi}`o<0Gv(HfNzu1kj8Yg-5pN5-^;z9cQ74^P&Y-Kr&5Z zmvhoHZ(+$OJtINuJmo@;BQ7SiSiF6vaxLdMM{MAeD1#KK&elFwlOLe$p@Qqpm366e z$ZZ#C^^p{M@?RD^53rl^()j0mF-1d3+3}Q;oP*M&l2cGstA|Dyj#9ST#_a5=0?A%~ z2s0k1%kvoT_&G8*-@ zeK!Za(?2nXp0}K4Z?vcIxHsyM>b29^zoRhJ-Z}~PhdkfCMRwv{aB(lG{Mu$86f_@k zI;Nct2_&S%%uKk!6$Oi#Qv48{H_oVb-wI7#maoLuA-p;LZ-tY7(qNwo_v!xv)o7*qW_S?2zRn(qWBu=V-gskb%?;!q}kdS#J=9c*QM zq`)Ck&1jvmg;<3|kNX_5t5&d)@#Yq6kSb+)?EC{&2ouA@CG`Ze=P(7=x9*Q3cGcHB zDWZGHP^LSFgfVouRCjZWfK)x9LEZ&M9VR|>_Nk#p#vD${^)09yVM+lt)lcjhq}gYm zjsGbhjw-V)Ot9$_d(9h>QP9eywWyn)l|c@4e|lNX@Y)th_U4v)Ts|3yj+aq8KJOAR z_P9k@6NV)N)vNbw@KK1LO8(@hR}oi&t#4c_|AP|rl?}N5a}<4g<0us$4Y1(9`A!-m zE)QrTNm&|Gmw6(O8@PSH0Q1%LTu33LuDP|5wFB$`qWNo!{UnqMb~WtV|JtD!k#8>n zGxSbJgWluI;rEBs-;>pGeNeo2ZqmNF*HcK-qI7nD!^7uffElYD?fVy8A8J|7XX($# zS6={+Fg;TqM>^t&lIR?L8cg;(YEms{cD^qtrzLl7m2KdWcA_} zwa{s)m%G9Q{fB!qZ0CRW)BeP2d-0b5!%z1>B?_Q?0%45*AQ^mH2pNx%a5S})XS-z>^*H&_QT7` z@AcFf%2dxF0hoK&S3KAJa8&8Nz-gi1xg*hIw*!2j&#q;;;SLiYvgmXF4^Gbpy6s+) zKnP#+^$oB?eob)l>PmPtK<3R=CwG8ZxTk(iwbmXyOnTCJKDY&~)q>MLckW%I1v15$ zo~shFzUPqV*+=uGEUHi}3aU@3VDx?%Jldn^;0SD=`yf-U*e{fhuL-4pfS5-Uq4`K{cD*C|7^IOFL${S?ZTXu2ksZQ_mx#&qlWL1 zQ~V9O-sp#jw$3S04v(-X6k9vZ9#CuJ)G@pJ6kGbIp69Q=6GzoR9^GfV&)L*!g)evb zUTbN1TYbRwIl&^#`Q69cAOHQVMIH;D-kYLc;COcZi~nk12(B4j0?f-_FEU;NF4nh!$ggiQpDBM#H7A{sg|tkE&e{(C@A91Y`U@D*Mv(zVJ88BA6`8k zb7$JD5?PzC%~YD~rlw2<8ym64Cp|k5C!42Zu$kFVr3#IO3q=IX`f^Lan|$JTbdvD- zJg&*;#>oU>JB|Lth;z!u%ox&T%snVg+{vY8u~|cqv9lZ#7z^ zR4a3B#?Wrrf=2Z?2tvd*QE7SM+}UvIQ=((s2Pp>L@1Qnv@dYDbE13jhxkv;jm%4*S z%D==Y$B-*cSnkja?DHQ7QBLwzZIFyv))c_#zIkqFqKTLrm(Q>n$eA?yzA*#A1HY0| znj4kjMS91>_r$i7JyoT3j)<|OL!-q_vFK^!BAm1nYpH<=WnpEp{c<&o5?s>xB06}R zzz0N7Mx0zV@fR6#a!rIb;!N~a8q;xNXAxoV7_fP%w#iUWtNbA@zBuqhzZ->xt_FeQl$$WU z#_GIxz@7`Wf_(O8lTvJ2&XZ4ftBP#f<(7yL&>L1XzveSKr@yVIqLDEC(+ z@F)t;52vhg$72fd`?*@gvHHWU8OAanqfP*Jr$eMo!V&b$hk*>`E|Qfl6Co z6;ARs3s_m^qn4$k2g+6Rj?8z}o>QtL$y@{bQYD@)*gwg-k^wi8em15Irr-wnMi~_b zZtc+TYC?|R0N)5nmq1t{{(j(awG`)((P2XDB_QGT#j3F5wd_PGT5%hbJdrhtC{r0C zZzR4Yejq{n|GjjEZWCGMf6vza=G;yIdxm5s4~V!vWC@$|hZ=1*Y+ z@xa$op<)S&)C_Md?5AuNVl)V%6JppUlpc=9iJ7V`=)9V)e8gALDpwyQ`XYQoJ(12vVw(^n}M zzO}6Sd@*CsMFdpz!(RSArPg@dM}pBQ( zQLQFtr?Y`~K_vcT(Ti#sh|P@}MzlXz@*AbE(&`R`zQB6zaT26sgb9|I=NQ z;tq)68_N2($Cdz^?`WhquWp#;(`S#k}J*4&|bp8LGboR@&R)O=QD1h*&u z*P-3Mw@}@>fP?Qzf6}Xzl{qVHwTgPAl0x6Uo05FU2U!>2o8z;6yzD2E$(G(?A05NWQJNZu+PA;Yzd3m=KSzd{SpU)$YrHubR15Pk zNT|d+?!8*soqT@}&!n_Rh;<);T2}wKw+H_U$Mgm1gd(s8GoLS6;#uE=G_zrUYFZ09 z#b(V}@G5oulI%KPN2EEuRF2jpcVAub8l0@iwB=U&s>Zimi2--i9ZWL@u+* zNH>)SFEDU>{kg!v`^!QwC9&3CjArUbLi0F(0%f4#d-BH9Pu0uXJ94Bu8j;Q(l-_S& zNS?`fIaDu0D8|KX3>kl$OB0=|Mg9&D1W;N1Y^p4u-rprS$jZU1gw5(#d?XXz6&+pn zb(`omaKYh8FI{AA=IDUxIK6WC-*0)ZRb9TI%-{R00xNi=}2JPsZ8$m+`7wWMp< z`w(sd>P4CSu|ZD~46c~~U{*gH=6HN(AK*%jcEr#H_#Yq@oIMa#97ZYMY-~#r7H(Fl zzI;2pH}gqp>WGT|CIfs+2E&ckEZ6e-K<+=TRuuX!Q0I48LjY3$O1IeW=3=G}#m4;b zs~rIU1Egf{DErG8(0PuNJ5U%~3A*>f%fq!BophackB$Mywg|56d+Rdse~SVCyS^|q zcg&+RLICX~69$u$Yiv*P+%|J?V^&R6c=KC4K>h;*dOu`vnXj5n?YjhMKVQBCY%hn) zZl0k2-f|xlPF~$(mVe`6v!57iqEzm1CoLG!eWfQ*Jt=E5dS;c`mZ9*r>_`fg#l<=yCKK&1Q(p60-rCgw-I77~vSGkltS-E8m zy6pgpXquQy>a_7T%=dFs^DzUg3`ah4v%z-Nn_W-aWyQ1 zo+K(2fAyThP0`{{(;()?n>XVAhdhzS$cqP>P!i{`YXUD2(lsv%x_{(ko`uGofR&60 zh@|6f{-#lTBIWdJ8H^;d_ef#1>; z#HeMlCyjk<@>}mouO<>dFUX@#6_XskF=ZEMNtN63ZRppd-TzLEUN;%%KXlZaYF${>z0>k=(sdD&(cG)JsM#X?D6Xj{)goCyL zZ8Qclwnda9$@Ji`E{JNq88R>;Ugd1X*5?A}=3a4qPbwyL^ziptxl;z;AuwUfN!Uzg zq<$po{`FiA(cotKjSXKaT{`PabOk8|G*3)w*aP%?{zBtC$#kUdVbL5-Q3h&-qBM)2S z85k(PnDS1kBKyM!Oi8{QYxEZM9t4J{xpC!r<$Hs64E4cWn~sA^tD!drxzR?f`4+|^ zNGL+7A?Eojo3(^$oc}iLUk#1I3O72SI;MIod#4h9okiTNSj=?AB{s&z>T5?fw}q#M z71{^s1U)!v%zq|YhfPb(6xlqJh6hpfA1+V4HPH`ljQW zsrjgdR&UVL0+JJ6;0$mPA$xL}s0Y66D^1v(T#ch#xmTky)hFgsMnj_S5wY@5%`W>q zL9LY>LhFSsySzE*I)1eE4?+r7%Dz+)eSOn5h*TB_k5hMO(S`+TO4=ObT2nr_Xv z62)lrW8eYV1RwPeMB!Unu)@d9YGd{75S~m3(;)8GiZ&{$T{Czf&6wvn2TY)pB04q` zM#dBz3kMmmP>sBPYR@B&_vnLJ)1}xa^$)hltMQ_RqPAt*PU$onIs*!2#o~)Xws)Hk z+Eu1Avi-qDoHnlM(kZnQsGqr}-6on!1s}A3jjJ!zJYzkh`}Fp;3Svp|1%G<%+nyoi z4Ngey4XLn^FfpfoP1G;`lwao^!8L%N9H_mW^k(>p+}i&tKGa1`B6d4$d;Y72E$^+O z9XaqUJ?)q5NXH>|+?R#kFPn~u38!BXLo4W381m_~ec2o9accKa#;z7D*ZY;X1Zk%y zws!rV$O*p{8s!?aa_9tcQ$m}XUD&ikprV+U1VHL`>p;!x!5dSKbWDEXB@OE5WPehb z4;1kp&TWZ&v(L}BkJmfb0_R*zLyGh%KdQVT zpv(42tX8{UJ7jnwDz;v^19gjq`GiQ%cXe&+o;Lz^$_-QsF`K(7Oa6m6F*%B%;e5;K z!#lP{xMKv=oZj}7!LYOg;2%LoDax-EDj5k$xSzqjMD*vdVXAz!e{T#-2J7U8%#8++ zuWxxF_b}&i$XQ-2$$oV3LXG~7=RXE!HVWPIh*UT|epoiDf(+dn(hMc8%z|-9w}Imp zruWc1ZSwr3DXS-Gj+5FE#CL7n0~b5Q|GDZ&Z&%LlkxvL+V9;}@h(a(MR`}d7fXTR3 z7yp)nZZGcAx4YR#d(j#>C3px=u$^IVY|C(f*A_L(TYBnqYh1`fo_4stuc+;QA;Z`T zFQwmt%bc$nJT*(|{t^&8tTzXH%K^8#`&dY_$n%Us^~MGeHw=&jZtcZ>%b_)b`PIIl z=dronAo_|ZMC(;Wj)(<4_M#7M5S|E&LvI>CEI+Cd6NfA#E|AKd#;~^8K6$`*#$Wi`u`g^cF{;_GsgOzL_85^4^BfDyTS2 zSRrIw<%Dj|VIrGW#F#&o2${N+^iYy;r3}5S~A6 zXFr1W2YYB0WZjG+F8^+t?Ym;u)bN)R6tsnk*z)#3igHT#$65)RamMaD zlSOJn;=J3+lU$sfMW3UEpK@YW(AttSQ?20_4K{s2zcUc~w!pcNz)`j$=^&h*v7VP0 z$Z@ya*mNg%LR*aI60kIL5OJ?T?XgE$8z%cV4YftjGs>gIWnzOb&DbhVy?5c`QOGOu z4=;KUI&_I(4Oz^Wt(jb@t`fEXj7PTAY$<6^&FZlv6?xdDjD1 z`$bfZ^D(3(X2rkgMSHHQoz)ONJP&xwTkM{#<0WmH3ksGo6q&vRe6&&v++yu7J7n-G z)^aZ>6>G@U?0?u7BBRhf~-KxyoSc|#^r2n0%l-rT&`*@|BKd%O8}{QP<57)^_Q<5Hq#UW-+1I{jS~^5 zp2-g@x-tu`E$Y0l$vdP;r8A@)s2{%@7g}@IkuR=pey&O4)2cA_BdSD!F{g6lVyakn zc@(^9hxFkGo{C5{dNIiR(;G_m9C0+n2+f)AEG8*0?uJZ&$*tV zDb^o)o#FElNJ%xfzjAv^eqNJ%30Rjun|S*6sysSO&yYrwtGnB`!@j9psh}4jHa0$% z4QSVV(0uwLF+AsLpkI=mrW*Z1R`PJG-LLW#*ZdL?967=zKqB~lFNPvhe^xxfRBFKR zfUM>8Q#)Xs3g46l{BFFP3WonZP{@%Xudy-jeef@w=K@i$MKc)4FE9Ga6UnyS zLT^WE(VEk|uZXX=?>7Hv1jT9eqHQlijrGtbU)A?Hx#Ld?!%mY9Z~R(tazhFE2K#5l34}#DXwSxx zXd&PR8(SJ>n(U^C<_|f3t)ekgJ|ol)^*jG}SkQBGKH97}6Lr zm~s7N2|?pMNBqaP}kN!;rc2{i`2jD6k#=ashY$d%?u_ zFDUB2D|7mFBL9~%r+M(^9>4xh%#sC6>2^Wi06YzNPM zikGB`?@LH{J~GqnSW-zq-203V1~Lw@3XEd95gWcI^ePfm9BoEH_Jxd&Np(!EbC|o+i;um&5;1T`d7`I4bKbt@V%yqt0c%){)Q^Q{xh=J^pS-T z>anJ+v1$BVsu3lXRMF>q1@?-xJ_E&1jPKvSFY0G7Y4?aVbFBSnSmi87@37M7zSevg zPLcGo$_0kEvzM#%3lzi86U9;n6azp#?9uMdV5LpE3~O!RI}%5Iu26&Ox}di<29cvM zdnMp*Sh!gjrmb?GmNOAgi&w{2EG?@9gkQz$*vKT4(wKme(CLQ=Q_Y}Fn&rHxIra(C zyD2KZId@*Jnd7TOj|Bq`g+V4DL9XpFw;CCO>9=0Lfw>%QFH*t|PmNFnYo=a#6Zk-@Rft6QeTS07|oNQ&j5MRd@*s|8cN z#ze-$XnFS!8dgc~hRfy)r{g!Y5n z0y%NA(Vr0wi@QQ-0M=v_4@UqW0K~YoVjQSZMid2GD#qoj;zKdoHN`QNUGLr@#SNgd zEiDmo_3NJ4d7+?h=_tnM_FE^hy{BHqs$DxO-K zF0(3c>iyrMAc@}xh$#Wk8oiuTycZzMivaK;_aSjnM`GnqJBm*cWxXf8SQ+mqPLe>Hm)IpqahEE)d8TgY?2J@ z!MC~B%nnp_$FQ*KH}21gNaC^geM#>2l#r+-KNPTnkp`UuN9bSql7!Sezlex8P2Lof zo5D8cwHkjcT1XtCBCp>RiU5KBhJ1#;$t56T-RdNim&wi9y*6J1vB z8;0QkVdq9w)CrHo48U2;3AF>NnWIg0{wXt&wSg>-U zg2H_ulq@a*j}b>fC?T})Gbh;8+OnWS>{_kJHBn8=X4llp2H*Yca!wuo;ptfkBGr&2 zYsH5W({GCTmS97I;C=E)ARNv%IlNo0TsytFMg79xL9r%DNJ)ngHf$(GM9Mk4b8^J# zsUH9n4hES7nkCrpl?@Shgd6oTgt9CpCsY`lm@e=QE`=>yw_hn094Q?7LDWlb9`g=c zh%jJGhF^~Qp%#mIZom5u+G9!^7ILF#3r?-REx27~~AziBBL)-z*kZJK#%F>&0^V!U#(2#jRRr)Ne<6UG1ZNvZqBN^(UK78-p z6+J(T+0)#`{W@B`WlB9+Q(4N76koO~=IN9b<;jX2h&m1ZyY&HMq@6eeNA^28X|F;_ zqsHNMb_9a*3>18y9q%|cVkDvj;*cW2M%U?c48|L)V3pX#NZA{2asx8#Mb=pGz)w@X zPEnDy*^88IPouBEh4Mu6?bp_FRRG{t3``N#h>NIHWVn8c-WZiB2rCh}9SW3-t z+SGbo2Z%4?gEISG+t-_PT)X>Tlu_c(Xi?J`63I%#-e-t1mI=nJs)&v#iesQ7>ZV0B zg5L|m;t^;z3>wC!dD{_%Qkk#h(iJ2>HC7j0Y%3g(Vo|cWVB0psRJ7ZH*j!xLH>i*} zUTjDfQk2DRpB3jvlGXtOQ(;go(R~NKO7ep!hjOkF;(e~Rc&TYz<*?yyPC>Y=6w5@4 zQj-`sN|_#65qx$ZLOD$JPJ^nqHd&9!{HU!g)Pai`7ihJcE@CebN@!TEQ}p$*R}rJ% zq}eQlK<)vcWOpNdyK#q4OI2qp`K|;IK!I?q5u*3dV@HsD@=1}Y34;=* zs(R2yN)z)Hr01APR804KctfEj%oB7l-?Kgi5h-D$y`<*!1pr)|IV34^^2|pqVomZR zbO-P9i*KSUS!dWIp&=9RXQfBL4iF*Z0VJ05pqZ#ToL3*bh_vg#Oy%CO-TuN6+3e!+ z)g`sfhWtUF3{FCx>i*=EjPgSu2w#P5Iff)%1P#SVTlOV-YU*BAInV$iemsjb%X@ix zRwOQ=O+B)2$AVdQ_RG4J%nV)ZSb=;6+FnMKZVgldLqOFt!hx=GNqQ6Y?E%bQ_g-?GHuU1ZF?n@DJXRRy= z;psa#DwO>Pk-l;anXsXT%Y+sellACk`;V++O)C_uyF~>&S)>Mz?VsNFu_uLw$5~=Y z?KVKg>+s6*On}OHBB=TgM;}Zbdca%B>(M8;BHqWE{C4zNPh1w%qRYmlC`rdmx}SyL zJR|0P_U65Yt8M9~WH>>)dEXrqe`=(=63ynW4NxI)?3u6CZHb^;TUo!kP~bk?EEA=G z1Zfux#QJf3^L7?gj(5kLNQ7CV!-_?SHU*(`ya^a6QcB8EmV{gPZRftjdc01u7DRt#5F!u%>mAH#?;| zwqxPld9`fx7_ATt$qtXXwMb)zYcrAJo5UJFWl3DnyaJU*8P;Xf8fSsx*~Q1G#3%Yf z%9@C<9%h-`_lNX>*?XG+(HOqNLQqZ9#D*x1+9;WjpsD(bjf4rBu1O!n`0VX|7P$w` z7^(R{;TGJXSHJLV>r}S77g~Kizp$=OGAIIGgrdaCv^0@M9Fumy%A`&knLt1rog(u^ zrt`P1y2`bEIs=Iw6|sj@m}mnuB2R}z&_)0~T$q5j05Q9q&;F|NlbI7pGHegIW%|id z9zI(mN-=(zM`}xkTMZ=e@L)BQov@OUA`@kmH5yn4wlzFb3dwP#(#ENpc_&0HAV5H_ zgK)qGV|@@guQOG5&kxSorjucAKSYsBvWqsP5Q8QQqADnwoChim;H;gG_D1MINajF5 z-K6s_x1J6DYE3S^=7XWIp~*)%t8B)DLl}z9RIEM@1wpas@;TGA9TzNj;T7q{Ww>ba z$gMV%(;ec$W4n@D!r#wNz#}FhmJ)jX7jRHPz4>JC?IIR_1pm0oU+z== z3wKKV(_-fq@eacpN*ikXQb)8q6v#E-UP2m@fz$y7OGq=H@s8{&LPep^RVUsNrH|22 z^|I@s$0}oWWTi6atVH`j&WOtS)PF9(e)^{Hjb$l>#wo8;u;Cp@{rh4?3`Pjm`F;*~ zYynm80Y}^rb&qwM(_ZA?1?rMBC~q@NtUXdDC~zJKmQ#uo%Y(wRrmXgx2G9gT1aK9P z^M=*x2wVc(yi}o*z5G*-WGiO{j7L*2u(jz~Das8T80?>!D6i;46<9Z}VRWTrhjbH0 zIg3-8%@5QR<_|4Cx1iQ3%}0)AZ!-L(LK^}wXkzzu%>fk{rkvcLgTN=;*|>xJ4d1Uq zJywmJQ_gm8ppC9e#c`$jAr6x)fAWJ)CsYqUXK_wNKA;Vi1ycg+kjW=pzHYMDK}+k3 z^3G&WuowU$0GK+6$C5IH2nQp=CJT;Qtfyav1~sF-&{{{S3!OErGm?ZXBkY^vp>mYR zyOdTiiS3cJyYJj1dXzuk+w8U|Y;HkJ7ZL`YwX}oPm-m3X>1=rp0uC2m9o(NXp+A$l zTS}ie64jSiBr{{0bnpz9+5`l`jkvfoHM&!Yg0VHuSu`h`#_C9+gVVoWBr`?^d`3ab zP%M}HN+c-euu4lVlZY-|5XShxYwt)d@Qixfkf@uZBCScH(-`O8(V*nP&vB3D8zm9H z#!ISqkY!FZ%Hs-IB0fPIOc{WsZ)BLij8qt;Z5lJGG1SSw40wd*89UhB5(E0*q@3#r z*x4))D8~X()p$o>(Eu_;SS&th9aNYwb@mIwEXg_fgm_92^-@&C z)}EXeV=yWSUKAoh$XPq;4!=FY)Lp#fK$nxvc^at-D+>C2jgoH^BI-+h#<7@l1zOAt zMI?o%qO=jGPZp|(w2$PU{Q)uu> zlB;%w#zTm2vr;n46k1L8QG>W-Bq>dT2<3@HW=t9k;vG0W1QHne-hT0(oXH%CRk7nG zFPw`N#RWq0yPQx$aIt-$9tI+tjaWfEVZ`ayS6*j&V>vuqc3en0c3&zGQng8jm*evt za=kk~NFCiwYZ{DF^eOQaX-K%V&HYf%aPLAvlzVb5Em!pdbE`H#X2nW!B{P20-65U; zC9xW_5$JEX_C{lc(V^Mv5s#=rDlJ#7bdlr3Z>Lk}DyU>^*0=VtM9Z}8# zWu36R9)z`qi{#Ks1<_O_NfR|W(z7Q;V@uNe@Q*KZAt8v$1{~Gr22>~ci}T_03EaxrOg^kul=tI&#zJG>0W5T=6m-RLUHU z!foJ#vka5Nj|Lf}7*I^>Wg0`c?jaR01Izv(S~lk_8XPnLtBe6e75vO{ZZ`(!|6%Se z!{S=Dwb8~K@5bHTEqKtzJxB-;+=4ps+CiX zQ;nJEvQw80Oa+AMm8ngZ!~0}3M6k9|$HxJ7UupA8!&w$}SF-urL$SB;xS8kl%9z31A>8SqvgCCq8$AnvIvy}vOXs#)TkR!2&$|hrMyE3YDIB&&# z8=OR55j^?uZ1eL=X7s+$HRQa+hGe##SL~?`lK143&1Iz7keMODOkqA9S2^I*PCHzK zSA0=9Gt-k&Hbf!dIHf_C#YOz{`1_!Px+Wqm>90|mzO+ftt1oPSUmtna?c$`}QA)6k zBACp%Lv-n8gjRkOca?V(MJ4B09LP3iCi;|LMO#B5LeZZ;_mx7vG+wtvH}@oZSPjlB z`f8YKCW&>}&Bg_5y3{wnHtdOyM>;Oj0p&^RbpRo4E~7C`2s0T_CS0JQFGwr~dx22J zCfu(-8B;VBYCa_Vxa3G~9CTQkGhJ=yRb+r6Kb8S5f3(-|AllrOjO9%cz`^ZwzLz<0 z9d6g}_lpRXuUf)qw!?V4fzP$ET2fECg;#B99}#BuDc+AAa4M}PLu$YscS-M|j7KO% z`2B)iU{ZZ|rd(9B4D+swU~^lVlNn~YDxsJ7IwfuEkQ|?wWVs#S%@K=}5SYnuodJ9) zCH_p$@lH+B&&BS0)0xcEXdk9zwyOSA_HBE+w10#T9NJ^C0KexWf`Uv=+>XZ7N<|~; z*p2@h^?rfQgaV5U`u&u!a4KU2VWB{|ctktuZK6&bp{#!1W5EaU&sE5J(Mq4LL>$9j zuO;N(Gbn0~hIYvj-a73YY^>Zx4>FxgoOXWLn_Jjpcx z2-K5IkI`moX*TSY`SKV?NegQ*lxaaiwL41E+cbfIFo=W3XqzawQ1rphyIjbC)!Aw; znQo=N%3GXO*YAMNY8yQ}Cxs40l2t@OBKvJ3(sW$G>BEp zHff#=bx1&f&}^o(w`uA{7wmY;>|IeWdZsfu?v4^=CxUe~m3~*E7wTBW_=056cup5w z;0-n9`+o)S7i_}bM421<{MoW}@^@hr6eKKU_B}*qw@Wm*bD6?BKefLv4K*Bmx|-p{ zO-nZMPskAxWLeQhcS1;4COnP&8_*%(aQ^_x{a5imw8<;l-&_t}8g>S@jaC3xcRbVWtWMNy^W! zNmDgz>0e1|{mghoS~jC76tRHf!=|9No*X>bH0r>`1Unz$Tq3qDNH@B~NySoQ|4;04l7d1=T(&d)T$Ex+e5*LN}0|iY9+`zMfCodL39Adb) zN5}2vtw??TH}*Qy(3xj=^1JGq;gu z+!x@66^a1w)$u$2T>j-a9oZ_*J9JqHBDfY6ZVD+=Qehi-Gd3q&bUc@hV85fzum~+J z;?%;0zPIgC04$H9n8oD6_uS%L*22RSsDDzi=I`u_q>4gAk3JvNAuca>_&<^OyWfTV z|3a^Shb#>F-h1Gud+~pAkdu@8vgu-*RFYbDHl-VuDq6j^)I4Ad7wLxf?>ML{(&|g( zwc1aVyfA3WOR8AzU#ojL-7NcQIW8#vUKh}bYi@-5Z%|@y_jCw4m;aN8bSlqxeIq%i zDfIsmFhb?e;eNv)=At+aIQ48Qj*0Q2^DdD)DkY&L;scEqJy~i0ISu`uMk91i)C5e6 zTMVE}330c-VFcXYXi!s<@K>*hDonL^EW^4jI)La?BF_ddp0jD>&_m)xx*R=-Hm)`m zANWo50|a?ldsR=idG7!vKHgduRyKTxQkOQBj|1j73+}6N>lq+`fE@vGsVQJont0GxASo(yY~hz(EAysV z-<12KpK?yH^y(qR4S>g4$ZnyH=E{7!s2j)_jB3*VYA8AmG13)O)S*wNN*lD2uL##! zNRy!b2(v5CXt+oUsz?r+Ts#;!ttqx{SDy3dXQ3K2R(ll z(ROt9-4`%1FU>LJ@f4>wLs}dCC4T%xHCerh$ymW<+e79rQ zW#FC79PxLQ-vLSq@5ExYxgz&NUXh6+loLZl2c%oN-p#khcveQQJ;b}!no3lWaIsoR zE;^Ds17kU>*))_ZFjhe6CuFS_hsX$%dQMK6&+8MLlD=S_aXC7(dvdOm@0nt(W=^^q zzEU$mzH1SdRx5Vqp+-79Qna|LcVP5E1 zSm9iFK9W_WVlvc}TVI6B&#Mc8hLBRGL&)`RG#`a-ewG;g#KHa_GM1>x5~8MXG3e2` zD?~~KIp@_?osT)sw^iR1eaO^XX{`E2MYUp{Ao3`j69qL6A>5KVZ3Pa%(xZmjtPm1m zjnpvT@@QgZZ}9rez|)a{=X3Jg3;=4R04cJx#FLk2r!h>q(6T-&RWJj65?ddEN-PzY ze0{ubVizOz9z3}f~ zzi^_wPzei<*W!y{v2&bhms`oj9?Uyke`!WF#JvCT-VWUv=>H$=?LASWlao)jdT@oe zK?&FveGzZUey5Z#G0f^Nu8$1aLQCd1|3Ys3@0|N9`j4ZS z*P%PO7@v(y)AN?eQKH8pe~YI8-mO;%vH1iFlRj`jlajIvB6LUHOi3EVSw4bJ|1sAy z{5yLi{ws-tex>>;#gogi7+=Pe=S@Ubk>!myieD1mGKY?xrj?dPG62IKG6&V-6Gxo_ zpBzdNdaX1kk#X2Or@hL-qj4DORa{uM> zc&I9IF_g(KL4p}0#}7^^SraE75t2=Y1Uo(bt|Q5dwX9=eHHNY=;ppR0|4a*%Rb5Ej zSG?m&Tko%hkEuPd$MgjdLNt+W{7gGLZMR#IR&!$L`3^FeQa{pb1CvYYQNmSTxxB&z zbOA8Jv?~Ft#sIQpph+{^3&E{xSZjd?=agYd_Y;yVblEKcw>wkLBBj%UVk(6s@N)Ig9 z(x(#tTFmraC2Ezkloq~)eb{SMEMhZ;;=?DS8;i8Rk0rl<)KdYgcaFPELRP1rpf?$i zG2qev+|DEB>&cb;aoqu%J6W}0KbDL9-YoH}a8V&Hpl@d>Dv-gXiyDf@Sh$ia=66>u zZT4*_VvHbHn?Gl6$YZ)T6~7!G`1W-`YYaTyuuY3c^c%=rTbC^+=qyHb$)x!6KrUssHW!yrKBim2 zh5U&0(4B4=cOKyR!!gZu5kfbw_&}dGEwlx!tK(E6bLIVrzM(E^b;EHHT*y~Ml^J7U zllidDR`eCRsHBMwK%+n(V7X zzUIM*qFX=Xx_oDJ*T&xm@GLI1L0djmGiWHM3++K-4pmr?7XX1X-y|Mgc~el6Be52y zh#+-e&}_-GaUofo958OUvBefm)BpgU3g9jw+h)A{rR&=r9>ytTWd=6|b+RJadHAeu zez@!;bJBaCQa7wF@D2dPfAp>M2iW3tufDeS5bi>$zgG9>8_*$V6qpDCX0xd-u2Y_K zQ{07v=~^OJ%+i&DLhBzPcr5fMoEF)(&VG+14PXBo)>Gnx)E;fO$V!YyZ7JN;&IT@i zWuRIFr|58aL18i&3au|X+z3vt=<2Dr?$rD*IJMO8PQUFV0Y1HRY5SdFA?obB$t%i# zK*V+!!ze=S5}qn_8k;3X&?KY44vG989KtpAl~?p#&v-EYLF(OvVIgqspEBydnDaU% zL2Ds*NQIv#j0Rw~&iGUl53x;ug{FhQ!B0@sd9U22lObhL0I^*PUn=3z5rZ8AfD0J^ z(J#17!Yzokt4INs-SZXwu6C`hRdRnr04u-6BsSN@r_U&oal+c=&epErr&^m^4#auxX8?n?oF;GmL!AM!|E?D8>Ag1V)^xn_}p8txJ zUpcS(TR?vsHQ*;<{b*-Y)CxSj3Wxn{2=)}+Z}N9$TKnz9uU&lP@`;!QeR?z>X$U@h`ui}8f~#5(sVS& z^=m?Cf;CSu_}}Hd_fhJb<9%VtkPN@yUmvfd6~eTh$YSOM57?UN{z8e3|1gNQW)9PiRo* zYBS8I4PVW_rOcM|y~0PrKvpk~qmKtIEv}lB;Z>$hCCa$?zmgb@v!6 zf%nLWg6v_(-a$iFfnEc?;xbVoE4L*Cb}JU!PbnU2~PS|}&OkI`w^n584#BkXb|LW5^$kXsH#3Sfo(!aMHvKJy0tOn3Eaw*Pg=I|kf= zYEGlT!yCh@?*K^eWrplFtj>UyBARNJl)1Wb<^Vx$XhHAsjaC@X-QaS z1%G5h`W>*=rCaizm1_sIH(HYgc@}bZbi$#12tyd}_yT7;2GP%lBc_EHZ#wg(t09AD znEPD`hYOkM3NL`h-73eALghm(1MvmAEy-!fQ*oaM`Z&}3oLd#)7zGeR*9Dz>)bvO@OJ-nS+tbVSwOJbC%sG|9Y z<+q{0iJu`YcfbnqUTEddo^vy|#>A;x>Jx#(|D+OwV}+vw{ktD3D}|?x3p=FL(3R0? z3$)2^;G|C<>*ku&Z=)Ny$!4+6KRpdFPKz(tpU-3U-nm3c0t&Nsq;Jt=*T=P}wZ~y` zjW4c{F7mFpGB^aRvCDL*PAK<*wHGZECHMn9v;7@tG`PLoOk077kIEm^d9o2;ldCF^ zO2fatr)X!&FWk(aj)R8?fK1Uc947{@8Ug6))?0=6M{E;lcGI7 z7PNWC?95Km$SjWG1HupyzPm7tj{RZFRLfQMco=8b`uVF?BDLaC*YLE}YOGkSL7e!B zO`B{4g%C2>{~dro`m}W8yM)&(=G(SAwU9Mq68XdLiP`_|_gu>>A z>d3w?!AyQ(nA{_d5;OIftxiGAV(l=O{}Cs4hD>KpMFrmHVv=-`*vWKgktrx!*V}>` z=54Qm#u(Y@x%7vD0Hh!ZGtM%ipsMQ3N>;Zt{HDn`7G^S4NE;Rv5&{qaMM3JKIZ)AU zOsdlo&~pe3*5Z!WdBh{arJifTg}1>XgnA6UD1k@jtjyll#y0dXO}$w5Hz< zm{!3rjoAKDqFDfTAUgOC`!a-L&~I2R_A8r@{>@W&2>y#FSJoOnp@-s3eXOGy)Jm;` zY^W!PyG>|wKUfw<1U!Iw13(ZJ_8-DHkwJC0 zqB3{b#5)&@{RV4QA^T$QbXDo-Z$iTRgFM*Fe>^wPT1**t8_c11rYU(3FUJ(W*&5!B zi`grox0Xw;JGkJ;U;JDsso&0QlRZ4Yq@L{|OS-D71tdj3CRiM`4BoV(tszc8(m64A zh0%t=99nwFQ$R&3*)$?i2WCoc7Unhzf)_Rzv`|xLrX(=-N!L*i8-SFc^#G9Yn33S% z!-BGDi$0J6z(bLWG824ZPaL0TJuQ{)P6D76?cW)e#52tyY?3|>a(-Q2@jN5Wt0tj=`J#9_=w zUrharPJc0E%KIM~H~oVd=`a4~`5yuKe}k4wlJDLC5^_q~+@P`fZ7PwqH&lkO$)f0A zv>O4NEJ6)yk51VBwDo@o=kMVL{oy0lrKbCWNh7GgHVm1S8tEu(;%a`J;b`2&+mhF! zl?lc$e@_Gd$;H&TVjeI(!rjMrWBoxqZs7ewq>F~jldpm^LQILeZ&DCSnMIL?un-Hm zBOj!&5jnuyqE_zI0Swu_@3AUa7%`-%pmW$Y1vCkg_2GNiQfd3W-`3;_f-iBT02AHv zzFr@;e65sHw&5vX&#PUfJk9fj#SkY$f&uzp|=|$-%R_<2p!I z#sS$$_A{k%39#OwbTI-QZI7+UDGDc#pp+iQWQdc#tV~Zy-^IDw>~^nY{U8mttM>>` z)y{;KmBFW!N`q_r-A7}lCH%LxSQ+49xjxuf?is|m=rgH@^32emV-?9}QZAw1AF_Dz z{;dt+z(W2(rg3R;{}6PQTFZ%Edk7cVzrz*}QINy<7{hq-H0#~BnBBw~-}&!=_%325xQtKxIqaTN^ST35FOYltm`wfg>Y@QrxgH6SjMWDPq$2p}e7z|%w6~scke*R{ z0{Cdm7Eqq@Xk4w*IL4-;lGH<03to$~Vpq1$J1IP7R55TOcpbE6Bx?@96IG4zZ*Cn4 z3Zol7DbyO1@hTP=r5oy@%!u-I0HzSCdQ(dFYmL^{mRQ5Fgigh9N4})|9@F`~`EVrR z0RvDGKsmG_(ybpg`Wbalr*j2%By}x*K2FM;!s1NUIMbR!%WVqynfCrh*$;)v#G>$; zNaOF>Ogh^!xdSCG(Z3c}#mC&3X)S%&ShBk%nL0N^gTAONbzFBjto6mT^{EV)M1986 z+M#w3oeVy`{#mLGEDRd0)NCpEXwbJeDkj~3dn>C*@PcTGpy(7slE9vR7rqcIVub88 zvP1nH0Q?R}rJkR>B~^7xdWUDq10A||i>K3B!)c%{=df9RH0zq*QY>)KH)K46ejlR4 zYpwXnBX~C)xPR9M`A+D6`Rp^{UFk&Nu(69)0D`F~F}6bmQ_=Td8WQ5rGLx+!>i&Zc z;XU@K`dA|^&XVL!sjZz)hF+az-zkdrpFERU&smK$*F=g{D48H+?{lAHc;}~B5#_f5 z!U8ORk^5!rXCA59ERWPsh-zLwhPujwED5mwRV=Ab_Dg3a!&zD}=kI`rOb6z&qshFq z0`ssH*XNt`kMX9hkS~^=hCP(IH`C1rZV+XKU#_F`H#Wc@y1U)}USQEwdsM~4Sp|{D zO9!c)N1gzw-QY0lJUPKl^Km-qT}OB$(u~2z2Dtx8bEE3w%#>9Clj0i|JU+!al<3t} z^5gd>IA!6s)#1P1c&m>_tfOOWCj=Q3;M?k1Gwvh;pE)*nz0OVDTTKNP`yWT{|LVXa{vJ>xc?MJJ1w}~{&;w&CtvE| zlG=KqkBB9%W_Z(^_9tT>IUG(G4lK?6ps31774Z^m2>H{^$j?bmat6iFoqHUE#6`7& zW!uJ2`r;n-!_H12m&%{fEQ|pH{m3PlVgjfL0o;CaT_h%o0jBx=R^rJ644T@L_QEc4 zZoyv)Wa#>-0LsZkXvSk=+sKQodNeua_9W*LZ<17=guM*R2V}qL?g%DRfzmC4L8;FGthOy(8rA$Z~<|kT##Aw3G`tfZvWCTPCg+`63Z)vQD zeEIZpl4*8?u1vggs;T=ZdioXzyGobgSK#MSdOL|l$5+JFmU0)Keh2tI*V+9eTz~kQ zD2i22&E`oIC##DLNq$wn)nsG1VbliJW)lp<2vF3~u91o~b0E33-%hSEuoVm{NV6MBd1Ie-s zRlkLaKseh`Wfn!otF%PCyqyX$T%JD_*`(VC-N^-CP%}04dV45_I%2EhwZBv=XMEO` zk^4)o6_K9M>rk~%eSc-ABgG(96%F7pvE8zQ+}4$a$amotaW~Fy?;1tWnrP^u>PJMB zf9g{?_mF&N#{M5o!ii}1EHW?lI67TXCi>|VsyoxskEH&vI00*sBAxk{p^BOcC)y|; zMS583?@g6L0c)xo3dIi|sa@9izM-kY2*VSsX^u@hsXz>x=BG{F z!cBgXMDE9YQYUKSSjc7N;MK9DYzm}(XLgpbsQ7Gum`HyolK9Vl0)RT=PZa*ED7EfQ z0bSA1{BiL6`>(XLL&GpUbPUn(|y?Lp%m|5O{Dp~^`FnU5kYTWjJ;B3F6?7qOY{{? zrK^tbHUQpZ31)&DP;+BRTw62>?4=y|iGFZ5|9Mc-4fXp4>o?K74Uf^ zA!p1BuMm3jHd54QuZN~6gj?`;0Go7JU8;Tpwk7gi zIZm!L2tJ<>WP6o`>&REfLNP#;_Ozfu{mc|d!<_drNu zKO1uYX-^jj@<#{%c3UcC#Yutrr`7F0ZK4Xm8%7UMQ2Tkwz?`;ebNfW!0@bM>C1z>k zCDYxPNcL#nR`pLowEy2(yu_hRw~46*i2y$+)x?{T7@?vzIp^m1Oc+7`A+P!+!`(+7 zVVUe04m4%{`hl?5DifRpO0jZQ$UmjR>uKobXjWz{ltrlZ9CEy>48lbO1=O1#ambLT zYqz8Ff2j9RO!knfS&%w5Z@}|#x|4YwfMXx)w3ooO7yP6#c(u=kX>a+bjPpapKl)@b znL*na_)wq@(9gfWg3?ZVBz@*gaHk&Q9hu-AjjVl%2(<{n_*)fl8DXZf68{TLscYu)3CQk+vE&3B>yFxT)BXEs5sk5av&3;X6V4ehf7GKR9B3e}^2+ zw8{p^V1Njp91I`aWd}RoX$4G~Nc9WCc;wVnNbTy@)-jr^_M3?t(ldcS5h96b&)czF ztMo1m<}P8AkU~kdfb{K5m|jlJTrO-J&GF zQG0Ux<-Da!9e)2>ki7i*WM^%w#L(9;N@?iZ z(ea7ykZlsc76+HgNq-~~liyMXR}9y*S*h33H|eDh z)8JSBBx6%dnbFOZwgi1c^-=)Pn?DV;}ZbOOQ-;JMg6HRz6 zMRnMp@oOsf^Dh#Pc#&TF*Q(97%sMPNXOkaeZwER8@OM8;3F;Z_&#%LUbf zH&d#f{mUl;H)7==wK+9KW!lF`EDxeV8~iU^p5K0nbT`SXz4F7T>)Q(`p}sKiNKM#M zWTM72c@A76*$%uX#>klZ6jUh|ghG=F3b#f?fS-7)zC-~bd26k(F#8I3a3`J)jYGD= zB9(M-=M~))DrAqb!QQ7*=d}GrQGeTjAa_UMOEWgKx+xUB3DdHo*+Wgpl`f%c&fAS| zo9((J{2uenG*22_dy^bpa0iK?x|F7*0eFULx>MDX01-pHQfVDMg0vzGZn9z#pSb`!~5yG<<=ptx7t-%RwdOD78v8j`# znwbdOPy^=BYx-hLjVNY9pLLl4;|84w#~yMKf9gRRl4<*K zcxjZ`ntk0}8mxBGwCWGh(ct-)Sk$D1rMX#*QnOM5brUZi2a($^>V7Jon_^!Y{V;DW zStps;5dg9?NiwebYM9F+*@cUrG1@r07ND`t(*>-JBuRNDv)(NkB;c?(M@xGnC9lJq z1haZn=Ap`|7%R+bT{O%g;Wc}EkG?G5igWwdq9IC|_*b-_IH%UsrNt6zr%ve7ih{U8 zhhl}>tsfc(4LJ*XnxKdkGViH`z3P8b#4fvqJ!{xfe!I&(paK;0(Oi3qyu=HUpQdYb zE9F~o@qVn#@Yq1*e%^HNh^E&L(qTi(+;ExlQ5Wz9Yt@c$oq3IEm)g9JmZQ|L^TQfb7#C<% zhxO^JL@NX9nz=C`c}5kIHQdIC`UG!>+vxfu1A(Da6jtpXJ{5s6X4Y93fW78UgtJ=4 zQ&InF`|AM}y9JJ>QhtBrRO@#&g~{}cz=IFj3bNiUnruY8D^?z)sXL}R0~5H2`Z(+{ zFV|kn;_=dCVT+>hd!M}@Cl}&3FV{6v1?}m0Ii|lY)foUDyqCT#DH+o47W|PkU@~^B z9HySpNZsLJ3aE97=GvlAk3)B)@kbij&l7BDA`*yhLFIyVI-=ZLmAk@$A=z7?YWEOlDqYi+i4 z-qlHkdnbgSIvBYrsBT_oVj?P>DeRdE%rTEmzEqvW617th zzIDr!!VB*Lma$d27eX{@`DjE(4^O>~&St!9?}_Z91}uahRI?f8IS)dugoqQ{OYi4ml)%mDC1lmqxuSn#N~!NoVcI8%p`w>qq-`Dj$kLs zA>i$=OaGclmJR#FKl=b%ECP#G0#VEvoA=Zhv|ahM;x>2jYe5N8-&h3Lz}ZL65$DVj znvI_Yu1D*l_A=U&ios6WF-8}~hwW-5@gD}(wpHiltU*vdQN#E2dqi}Oe!uuMCHlI$ z=1si)Ti7?RNirFCIj#WK1LM9osE_b2LZS8*JbEjyb{hJ^Wt9#PTEMtm4p+uAAFv|mVvr-fc<^(bjjZ@rQ+P* z30w@v3N}N0n7@!mnnsv05e!sGS4aX!wdSZ|Q#dMpcEvQTTpvXADAHa!iMXec&gz>_CRP0y!&<}?2IH3qlP(uLCn_nfDg9U@4BAgs!0r(u z(6?@?)jqGMfl1bU!2^x+kUpiDHU|V^clznlW4a(H>12_rAXh;jfi)+AsH1_TfA;WzRAy{S8uzI-cL4h|1brT~UbMY`QpaAK49`+6CbQn>3_YY%{#MUhL`5&ttT*}k^6a-sD0m>(xxuYd=W25uxug} z@hcSM{5t(o^H14TdDxoW@B=D zL+xt8Az(b*YtEPa6gwFTD(_NZ6HV1g-#VFvDq)b{*P58}^!v8b13mp%ebVsyZha(r zWmTp}!@%Ux5S;Q@PcL?z=A%UVw5jm%<_%c3HVT`$y3!;eNL@47a`sQ`x-0Z}vFEas z!i9q8ZzK7xnpFo=!1IB}hs=WYT6CAwP9#fRi3U8CAHpfj5bM6j*)nv~340-eJ4$6E zb$U&o0doTtiP{q%yD+G);oGi6E?Y6@JX>~m{4$j|My@2|hJ!`>N$TxF~{tHExJp2Xc1qpi+wAAc`vvQQ-CwHfH-BUtuBJ1 z?F=I(#a7WSHTVj#{b1Y1$ED74B@^tF2I;pq5vv*jo(oyje-@LP=vzU#ktqLwH&2md zR!+19=?$RZn!57gDy`omgUIuKCt8%y#X`{$ZI99uBdcktIG9|5BmdCS*^apSqu;sSS7l1Wu)H2R(fc^bZOTE_#Sp zSLAa_OAjF#6?_kaf ziXJ&vFxinyq0FRSeRjS>-n7;RH*V1SlIkmK8xrn!IJ2iW-;DF;m^s3vad|8Dk+9iY%J-J68Fucm=d&qp z`?MKHhz1{&;?0DBRuT_;iJ^Pec+)grrtce96pS9CV%9=&Qn?YXtgVunm z?-S&*u1gnIq@40RIpLe44;O^ARic%CG+XNKAR_P%uk8uV44)NGYW@DBW^Hk^E_nBV zo4_(?>~-tN=a>?+S4>%CFlwRQ;IE+(zC{C%Nr`(0MlPYZ09wy;^H^bA7fhlMq~WH7 z1ZmEG-)CGmad?cI5Ca=9<`Vx^mAnW|8=r!QbKFKXp}uDBVW?NyLu)Sykw1iP+?+$lHw{MI%aU*ur1ls@nQ2;S5b6MBny zt&=L5_tV24#Y$zbBaP3cS}5LXM_r({bDjhj0x=>38_XIGat(bs@>y8wBf;~A+h4a# zD{`}iA(bOh0bh%@iCA52>2u4?w%q*OA;5wr;PBmK?KM&X3UYodSoZFD}?i z?9G+{3fX1+`{LlcvtY9N$xZNqsXTW%WdzN7L{OF)2A7E(tEi5aFePoh6eeG`X+$o95~y9=?3zv``B<#wrEd*Q0tM}-2L=0+ z!kjFUKyYM~J5{RlBQ0GZ&L{irZrcDhtRV2N&0NTbp?CtBSmhse&^SqQ^+Pse-T-kH zv_U0CO$xC8QizRR0=`)=Fr?}owY+A3W%w62*tCN_79Uqk&Q{}+)vS|kwM=HJRzZTl3S&>ivDvt< zxg=5`IDFq^$C~)C;jkiJG-BH5bC+$;IB9I3*atIsxuTLzA~n4&WGbk^4+LoqV?aEg z8jPs-WVUQz%ID9QgI?xdJ3^PS70Mo^vFumpgPHj|VRfZfR7mLFlqtlIS`4p1YSiIo z!$%+2Rqc=wSEW)YgQ`Av=)L=JvZX=_xV7LlmK?2S`IGUgKTAKMWC{_@Pi(yKoEdi1 zu}`+`$E@vPlp6Da|Fq!4vv0uTac%F5X3;-jPlMwL1pGF2TEE75UhYrC?=Z_y|2!GnZR~LDTaZ8QjJoV6F1K9Hk&* zuklx6FhRRV)>28pcI?L;P3kn&+@&KM9>~3C?>eRbPJ8zOA)mv*tpHaWH9nR0PsNrFx9-t5hK(g^c}cmB)i%?#6)6ma9K&nV8{$>X(_ zx?-g6y@k5$Qv1)PnRAhn25x6#K&UjT12wW#)7fh132_ThPwdZxOI|BrZl|TI?yCA1 zdJ0Q+U1pO4gU3;6rZQAj4&4G^`HiQ_D4~wUl`>wklc5S~Pb}%#2?AjhX^x9zP{BYS zHI7QH!;x>`ChVMK6*XSccrKKI>~rWQW!3*8%G)yUc}uYeKE9oQ&<3>-@P?=Lb`@5x z0;NkPM1IyHXx-CdlbEOzSaQ9_CK7k@EoE$0P+PlJ11U%Eh9OhyL z^7ru^*)0oL70I2Ju3xi1*$RGDITi9KZ81TIpvMWty%N*<_eaP6D zhD&3-O2k4`kMneT;p3N2{!JX^Af;9w_4t8s7+<6JjW%jP`rd&2z4!YTLgy3$t??7; z&(O-1hSkL1geKJ0O?Dk|1Y_*f}f|;Uer2fjWkv&&x)hz)XE>B z?n0Mx3Cyt8L*Zm$2m{+uTTI&nN84SXUhW2aeUELgzCNRN-1wC1-g$Ob8^#bHM^c;j zOjOXEp3hFazi6rTTB_6IIRwAO1RZ>>!-Sy^)fKRlOK=Z{UE4i^T}ycJ8!VcBBKRR- zmtupVA7o5aORMz2QXH2cvLAwk3?GKh+E8W z%npYn_IxFDqwR2!%V&@FK3cNnu9|@SRch(wx^w8@t1@P)o$h~kZ+@uCu*FbqNgc2t z*^q*hv2Bh5A#;6)Tm1ZJOlyBMaTkeDBVUXfUO#{Oiru{3Q^G4aE_eUU0!w2J9&J61 z;(IGX-O+*q5S)^N?K8Y9H`8Vd*Vh29biBDa0-K?BxW+eawQ;k<+b-SilkVzCE{_Uo zH#+=mE@y{{Dn;LjgIp+)WZABmkfm1g+F;4CE`|oVxaaanP9IMU4K`h9t>pPZ-6^{z zn4aPNKvahu9GLS|!)e+oDmpi6cG&Sq1LN$lTaJ5C4%PwmtEA|dIIIt--*gVGMbOhX zmq`Lo=2|A4@E2mCfce|chLN-4(={u+tB3RH_D!El_=xFP?#nufFwNTOq37;lKriqI zW=0c17^CK;WRQyBS?TllJFo?^<0cH|=sNo$Jkq1SSvhE&_d}neJhpt%VkPPg0gC%} zm);Ly8B+H3YZ;g6g2DK7Q^$`?-y|vZq*qaq_$;ty&$pTSesK~-%A{;(_c3Nr&krFI zU{pg-nP>NK!e*JVfa}|*-9bW@%TMhsV)slq?l>)T})n zu=o6?Pm!F=VR$;w<+599e9awu2munL!Xh@~3IFRK>zW9b<;e{j7bnI^)+Fo!;q-ey zUf`dE7%Ji+g#yo9C~UZy1vD0HVEzr@n`e9dEeaUA;-c&I0x{yuTP9{dW%R7E=NsST ziQ4JbcR0rbC)|JkmkmPy*>?wSDn0=LKE7X{A$a)t1bF`P9b&J=^O@G^x^baLKw{(SNL+uBpHffb*rGONRuGd7du1H z#`D|)a25@>nuwLf-`(W0dNmHv6NXzK@L_yO@?D~3uoa$<2$L!ys_`(#C+R?M5;gk} z8}OKd{JzUJ6t`hIX$=>fCN;VTao6i30fv!u{!0q+jdonp2x&k*lAry}bKDSY`g6}0 zs8nu@Jw`<0r|n^>o?q2W#cEL&uR>o^eiJ56K&fz`k;pY!U5~|WT@u?t_rn;Np7Prk zxtYes9&W1fhB6;Mbk8N}vRX^}I)=F5D)&v__n?^A!lC3KL<2LCQ4y~BwO;69fQRLU ztDNA+d7ebW^VrW3cqL+sUrYq$CE78FM+p3OD<30kz0}TWaA(S+Y`@=3vb zjE&Iuj0jTKh5?s|Nc|p@!EA<|$T85ZwK!tqikgG!3+M)1rmN@Kr4FG4_f}m&9zW}W z9FqkTbW*)TVUWL}z4vKRaffI=LN{V1>RRa&-ByQJWUJp-EN4G54dmT7@pWx1%Dx0A zp=Pss`3T(a;W`5=4K3N;Xt4VuN`iR`1=_aQ!lUXae8^KzRzGYBCc;0nBAjT{pMNNB;`Wf`n zjdcA1DH$Zye~l7EF0e`zNnln+ihCwLRrEdFnzCsTXm@fiC~H%egLZO$q)z|%6Z`f+ zRW{;|AcQ8D@ILC=Qvs?E<&$F;#_&uj0cu-d&m*uCVGrtAb#x8s6jU&`DPUC|)0beX z+I9r~r0^rFGZ}5nk_u>=I`9?{wGJ4N`eqY7oE4=TF?=l>8wwsFoO6uii!jnLx27=V zu5~X+R0|Lw%8ELfC7mlJAPM|>MJ2q_98YzCd((c#i#Xif9Cy27z~+Pc5rd%3Efk)t zDMmpS4aUXb z6VDX-B4if(va|NtLZ^hx)KW#h#|gP7KZ$V)nX03xd;ELoB>JG}Aj(!P6?%t#7W%M~ z_JajT*Pt9fCS+Fhua*kF2w78jf;thSc{hwTQ_&Hm48R|g^~Y*yEidZmXm|miStJib zo3bA6$r7O{*!VaH=K{35f ziJ=Sj@r#)5qaDWi9uSGh9V0KxyCa*JHL^)=is6qDQsiYE_k(q7>cB`iww^YqT}z|s zpsQU+r7Rd9vu7_T_U31JN?AwyEB({RJ7AVnh4YR!Q0edbm<}R8vESo(5%PQuEXHvp zu=%Kun2s1Mc%sY&fK#jsVohW#kk4W*S^|%;v*<5~x>;aR){A@?fPFu%q~V$>s7R9r zz()CdXv+Jctvw7Q#9WDSi2jJVS5F0l+KzVOG&JFxv5XzGSZ=+-gAlx|$c3mo4*eqJ zg=#pcP-gGKGU9m~lsX}>#`y7q!V9H7`XWNU1TXNJvK@LW{>1mNPU;5(P=_|CN??QB z4|UECoA4PgV!eK%FIdl|tk)~xZq%;@Xqy(G%>hOJ2SuvbRvgcRXx9;}1bMU-oKgw$ zaGWys_B?81=L`K|TOcT8L|%b*Sojkwpp>UW2YDPU!L%h3+DUA85vI*S{mn$(U5NEP zF}n@e_Cib-b@PQUBYXs*FRBp4$t8*(07@T(pMvePZ(#%L0t--%+o)F{ALc?MTrUX9 zUNWLd6iR$%42z>s(#%mxe9R1wDCt@7KHb7}D7Rt_-N$Fc7~UV6vL=wgEzpSn3w8Am zc!rr^2tNVO@CsNg;ye!Ovoak2ZuBih`YGrs(&_scUj>7B9@bv~_wyl8%yy#eeF$29 zFU~dAla`{Kq+ICyCVYx_?^&5sdodEzSiQFKGUwq zw=OuR*k2L8slV9Qx_;L7@o)O4j8i-p>xnY_8NfY5QOB~y2%J;z7f7hRX2XYEB6&^+rx^axP^MN$}Kft*gs8`U~ z+VKCWfB!4M`JII*RQUTN*kdweBxfK6X@8{s*;IH?0OO4?UQo+Vg1cV@o8U<$y>9-Z z=_o6>rGz_fO}{mM>%9KEINb#=-iTT`sFQ~$V2%LK$MT3<*}u|Qq?6zVcUlvU~*V2OaDy9l})#t78qH$(f4 zxPhcG z>I}q7XovbD{Rq==^$s^c7%*(Xv{6W(1E~5H!;>-nbQBTF6JdSKuS~$Sy|KFL*z@{C z>sP%6@Fz;tpF5)UtF|DFY>WVfpW{-W;SyqWLvLFg^`&5aZut{654$ z3@=A&N7@h(hp=M(l>)*a`7is>|KI7~??3)iiayJ5?59VZc_E!~>mK4irnU3=Z|JRi zjoSJDcl3rcgIj2n54dOg0{y{;Tkvh5w3l1JVVur1Yns*yoFWfwH71a4QM{n%)@0kai$&O>=Pu zD~>^-1v<18m=EDk@~8Q8 z{CU2MAK;(xZ>4?G8`5{uHCZnw${x9;+*=+ekC!LOGv#^mD)|L@v%EuoM?Nk8kvuo~ z53k8<_a=K&yg_ecZ>BfP+tQooZS8IEo#372eZl*(cbiZ0#rfiWDZZeuv9GW19^VSz zrWBeYrk?5oGVHh zp>WRo-*B#H9p{z@)(5uKaqa|i?qlR!%R0`D437PVD4Zi#c@;sWVm z4X20G!VSXpF*pOJ!!)=LMngAfUHw`0x$5_-->$w`{eJZ+QL=h<^{VP^)f@17vU*na zoa#rb$5dwld|3$a#kuII{>8b76`$XIan8j*KHqfl0gSzhK)ZUe^x`9*PrWeq!b2DL zf7$Tj(hHj|tUSN+{KoT(0M5T8>Rxc1pL8BA^ZCs4q4QbiQ_pog*Wp~=x!iNj&t;v< zJlEh{%DKdIwsYj{k7vI>`_0+QXTK1&&c1o}(Aj^S#a7P#^X!Xfcbx5Tw(Z$AXH(Cn zob{beb{%&8>H6IK5A^i@p?XR6oa$NCGpaSJ)v8shtc2_u|HPzBXw6(Hj^!6E7?Z2lULAYm64sK zoa}<%;WQ~Fi^y)WhwLS4k#lD#6{j9M@b@alO&Q%{z=}1Kj2UJ0=^_3;w3(kLXMHQ$Z>LlyiMLg zoB1yBlT;EQL2`<`NB%|LCm)ax(L<<5>XQZ}4KBfDavILS1^5I$g|l!TK7!B46>^pQ zglpB$ZVCFnR=*~E~Y-3LYk1K)K9W#Dh<#etw-zA z1~iSP(*<;)WR@)S3Y*OyV{_Sjwva7h%h;1_1zXLY!9VV|+j*=6=Et7KQ$PxL4HGyR2LqrcMY^f&rD{e%8Vt7tXTG9A<7 z>Oyc(FYp)nOZ;WNiErjx_*TA+Z^u<-2QTA0c{#4kyZIizm%obZ%s##!*Xe`&HU1BN zh#%&!^CSEXew6={zsZmBxA<{>g1^n*;V1dK{1ktW|BJuRKj0to)BFto2v@03_^13V zKgU1g=lKPGk$=v=;9v4f{4)QFf6c$)-}3MH_xuN5$$#Wm_*MQB|C#^7ukl~Wm*f(^ z&VS>-^FR2Xyb5+gIV~mGum|?S8@M~#1-s!WJPNPFe6&S9SZ~&o^4e>Q*(WPMm) z_?3K35A&ID5LQDaYUYdZ1j&bGqz#!y9>?|KN%8oc+SY9#ICUeNjv(-sml62QwL$WR+T@)#(jJli6a8v)SVv&IDJY zJ1N=Y^`-bz1HpRr8>FQ-Y}7a-vq{se>}Jh#TI9BDm3K$${7{>=?b>(f*y+yBUAlJb zepip4y?XcQ+pqtCfdzx^9$a|OkfNb5Z1{+g_l_DpX6(58#@|0-;-tyNQ>IRP;K7Hc zKRn}+nX^h}Kl<35x%1{PSXjDf@sg#>mOuW)lTWQ!`ShyQYo2-bxwY%oZ`k>@A#kjj-fVfLiw%l z$ZORyw?$5~?5w6uGBX-CYM7qZpnknzAl0AZ^Lmn#+=;FPrz75Oi?dqHCZj>G(`wWz zS>lWmXxPEuvB+B%EGpwc|DAU>5^?`fOc;7YLQ$C)lRDm9rp#NU6!YF(GK3}Xy`^L* zS~65ul9;`Dkk_c8w}anXcCx+STR{f(8i?T~?fnJbvPvb~T?sE&!Uhccd|1KT!8y9U zw~Q2dJCt>tHoCM!QG0ycPMx-$zuib}qlU0ktHYoULuC&C#GS;^nkWJ4=+JT}1+@V? zRp#=y?@*TDZ!daK#sVFNjwtKdYhZ`=i9TOJqlRUq-EjY~GVr%8Go>p8$BV7exdj8u$XsmF zD@Gzl6&>G5e+Q9LG}c?D@wfGlE*)EhQ{yTvgWeDM%3ZF|zQ{#zb?}z<9q9L!6^igZ!GObVcnSo$m4_9B1f z4>zX_jitx|^RFO+LQd*L4fAWmW$EccQ>P3E( zP??u_0Gv_9W|Sg9g% zRn$_*U)5sWENhv5bG+3}@teA*FJ;(a9;99S4k|6x-js);7HzO|jG)9r-+?}FyE5p9 zni;@<6_LX&1PT(%Ldddqq5#TYG$|InsbFF(T!0@TosAlHL_=3v+R^XrSXxv%v?5Y6 z%L;sWH=XwtG#8$Xzs1Fj$<2nHU!XCQhYh9H(9 z)*)o2oJg5~n1wimxT@rYn4|oOtWX7#MGDz9_P(Y{bZ9g>xKN4i8c-0W-Fro8`_9o~ zEu+PnWJi-5w~f+z4WrZ=Xj&qu*3k5Dn|O5H-$PKCh<8Gdf{76Dzdg|-BM5FFeBv#OVc)58lhLf3Lg3+t&HZ-%3VfF({*jS&@W&I;t+z- zFYx-Deh#zfMbrQj-t!Ub5Qh+_5LXZ~y@=NZyw20}Sn4y#K;$EaAl4xcA+8`)^fSDh z={ccK%3Xx>5tN?8yP2NFVV%XhiGGTqPwA)FwGYa3a+~f`0_hpCfF}?OI1*z4YkboR z`hNKz4LlX}%U#}d&-yl*^g}2^P;Bf&eE5gpMf5}zAtoYZ44uKy87M(4N32JbA!Mv` z2J4)`IwuhCAkIK0B82FPP}BFyv6%{bsyx`%(Mp818l*}Y0vq?!F zDY=J~q>~aiDM=O-;iq1qq9`zb_3iG{F`Hzis)Az9FrU1|Bzc;l8$ zC$zbfzJV3rz-f2`E+9Bg&>JX5Z{S1Tzz3M{o{t!UIE=W0h#+Jvoq`=-rrb?<&p_lO zh9G7kt{`NkJ68}CCd9h9L+MyXtkXS2jJ|xs`B6gPa8XV8j?9m9m$0t z2_S6&#Y(&xxYdGYgPU$e+O*u=AFG+lgAG0ViBZ(r>-ocd$@8tdf@1I+_W{pG-U?32 zJ*P2c>t4@??uDM?85L?wIT)-UNcMY`qWjz}JljtwMQ3BinsU!fLH2qcad+~Jb1NAm zqZ#)UV=QFy^bQX4+=KB62a5 zE)IZgvRM%EodE(|37e_7i?d>3*NB=z7buHkU1`@oZAsU%!^5HLFmKuQKK=@##RXLI zwSyK^+Bo%Zm zds6ta`*8n!wL|-TxRpjyFmNAhO@8jsTcoqr?F$OJR*?QmIq;I7upCOvPf9s;GI&Kf z@T!xe<<>;Y1+YGrOBDpmX*3W}$^|qUr5q=s+|J_E4()fQrYdC|UMN<|6g#{(mN^l? zGJ$|nCcXqtC}mE>mxwZDt(78fHx@~DD@BM4+)5EQaVbUm|5YR-R%Bsak%dZ2jQmx` z9W7(HSX;($5zD0ir=O8+)6>bWRt3Wci+wsFTtbC^Fu2;7&EPEkL(Z zp}VL~i}G5O=qqR&Z=Tp%5#Cll=gh?YTzs)lhhDfIcXS4X$ZFK4Q5%tkIwi7^ za?Wh!OWaR3#j?zpZ1J~+^eIz|r-HM?nD)_s#rVObDN_Z*qxbaU|L%i%9dO^)zIX~i z*Rr%eUCZ*(&)um~VQP^WQd!H|G@Y&kx|`9&#+cYrBr;Z4P^9IFG>s-!@W0Og)EI3i zw4j6@*hNCgWC|1)u(IT?eJPrPzA>+QKe|g|c_=Qx0Tz>VQe68CrP~kUIH~}JGBfb$dJSOsu$QP7;hlML*0N4cE z$r#uUhu{dg3gTpMAM6I<@wA6$VFo+}^Klg$geeQ5H(nB^KSdHEyCDNM;5xPePGZ>s zFcbDeJaI<8g;_9{eSo#*f&o&X4fKQwu!MArOohR4fjz}X0Uenl;g=_$nHHI+ir^vH)`gC@`qd;2oh{EGZWXW})By~#U9+JX`J^0?qO{1ZMWE|Nidkp8p* zoj}*J$)Ls-o8UD9#vs2};nUBf)a<2tdWt>IxAH1EDSR~Gw>X|P7F9wFDWLE zk~8E>+KvvP&(JT}Q+zXjUo{j*eGiO>C9oBKBUaLa^dfhY(PRdhPaY?$$w~4a`G&Tk zed#!Qg^gyD*lWBkUVV5me@vPuEt0 zqR-M(c)dq|pnot2OJV7(8Ovh@Yy$RbK3k609`-qR@l!m4d~PbOl-5a`q^;5s@jgxU zC@$&mR6k#nR&zcK;RWH9;qvhA$md|kImE>Rw@`W5`=NM^#ra-|61M|BAbRATi=>g( zq#JT(2pLNzkq42JbI2O@)TSyUwcdif}FW@Dv{8>AVvk!l&}J{A>QT zG+26Dx-4tu@$x*mLjDPznAWPEs$Qx>)iTvy)raaLaf%9i;ME&nb&!i}HtWFlz*3sU z6L81!F3R%|7{R(zlvKKjETE5&-85BtP;NzAk-Ok3Ztb6j1|9B z6(8Bg#V^0}_#05k58~L~#V0=~>&Z-dMb<+(QGPc~{>d_VI(r*FWfzEwZ-BF0OB|$< zzRY@}6uib;O9R2jo`qM~B=QLCp&bCURqDkk$9It}XczjDrsQ`PL9h2Nl%gE=B|HY> z=*Lirdb|LhCL{PLSPEHW27C=Kp;k1I?w8YKJ2_6r@KPE_b_3;`#qXI(Dq)fh=8!_R zM!rHnfvIqcYvDZGhP^*UUt!((RjD@_jT-O>%!5gh+3=7wkiSnx0VDk(z%Qb8o57lL zAJSQ9RR*I?+l$)0AMHRJ)*VxvC^_9wF8ZN`ScBIpv=ba9XbkH30JLK7!fv@Qt$rJq^G2g zkw&zXenR`ul{e2Xayvkr@Eu;SKu2gT9e`5)5%hul$l}OplbT>u))1W0=UARYVHC{`B?1X* zS-M2oLD~c~WHo6F&UEwjyqdhb%-8a|*W^JyhRxM@Ym(`+_$&du5#UhmWrwRn;!E~k zepviA%pUog7f2sKB6vuX(ER#IEt05)Ym(>y)2nf>x{t*jbN`+s6KV$yXExACvIR71 zl^HZTl{rzXSDBp#lgjKcT4jsF7{_c5V?4Dxj0x24G`OfeQR`;5L~RnYISt9O#cA-! zmPD;KF);ud8-T{(bOszoo5NwW(}0aJFslL4sS72*D5OSnJHC*yDsGs3-S5zdeq?g(k?nFyGzDz({M zL9%wiI-?qC$f8c^PBdEYB@gMqG2f(tN!VhnJrxdP{=TlAY5$Crei0Wj7OY zVa2=M2OXUKP`x|+=_Ebe>md1^7=QelhI|Fu^)@kICr4iP&cS`}X7fV9Bl*#49V_5pOrsn%N{hJ>`yi(`O$X)csVr7r972 zKe%sY>7e(kYCioj{Bu~1t%ulfdKz1I!n{xy9nonMNuri(HG1*GMU|{0)G5A+t^&@~ z4y!?>k|puobmgn*2EES4RZLB^vZMnrdu_yaNR~kNA_~}wkU@G8LYBB}NJyB7Jx0w* z?|!Z3l2Dz(JQM+|BNzV>OOy)IBohkba^f901X9r=A0SgMCCCh?>XQ3oKi7eYfmW3x(k|#C^x+#1=wzDOCo;(I8K?`^7(V}75iY(|E z3KEt_DbbQV&{BpGkXxu)_JAQU0cOE^G(zij8&=_LUMswI$y}*ui@2LB%{7(C8RSS- zvn;z!aG-h4-jh8CG|g?!PM(~!DA+w==-t?E8&W~X((!1^8io=k(us_AC*840KTwx6 z5ep>niA%)DE)|-;f{gB!$m2;UEX{oOHnah$*t17)X+PfPWA7ORLQX0=n-}fk4&duC ze?3=vdA+a@<*hQ>sr@HUo>acg6#1Iwq69JMvkzG0e7P-`Rz%K+ytdq@8KG<)+rcQC z2E-C8Pr&r*)4k$%72Af zTsM1{gm#I2;|ALYC-hDnry8dl9(SL8TtZReL-YZ8nr^yjzPw7c(tO2LByG@&9j=u$6HZJWq(S&V4OKVt7&tKIp|MO$^FKCuzp(kl(u6(*zn;)kMG+& zW5(vqGiP)uq#qDY?$|bDS2*%%I2?Xs`>I#TbK$42TqUE)*dNEt6LWC^8B~S5(L!;^ z%R&ZA_BcL^E~Bf}d>bbkkR{4A646s~LaX%MDnkw}Z z7$W+Yhx4t%{w2fLq2{fmR`LPqkbFROOnuy~>Z~u&_ce~wk1$TRPLEq?J!rk`x}12` zr9Y&5HI62l-R2~7vRVE|s|B z!mb_`VyPg`J%(hP1j!ZjK*&U>UR&(=0Q)26lN_M4!3$>6BBZzM$)`i;1Uidyx}T=v zlD%wabV5-&ucr$kRTlpI%9_GUmRjRA-`F_ahyokcSE!xk7EnkECkO1lU=DJmdGlu3 zIK7G@HqTIMTbTHwK`VKg_=2KN{3xBPh~Jmp5`&kQU_$ACUdxueqb-=>AfM zh85(AowfRKEv6CI3ZqIIm~-{~vPWlZ zu&t#JJ~I5#Idi;wj*TiGG343C$*(Ov9RBqy?6LT3b7pBjYL5Y~g_>Ij>PPF>=r`++ z>!oh2o8c+WtSDQcmzhe^>X-`jdV}Evi(NKVM03Z?k7RVBV=s^umsG|phKWdk)+FJ*L(v4SjCIQK&#axXpo z8j9XmW<}r7r@D>~l;Buy?)=8-JnB0k@072O8PNV(a}5=d4@0`FT$a)(m+_>eJn@yT z0^}@~3EA`^U9P^QXRbaJ%+;s3kv7UzzVuaqPHZ!hWkD~?!YqWYteHcfd-BaUcZZvi zAuqDM)m>f;Z$Q;pQ6u~&VTXOvOQ_4=g_63u9!e@rS{e6p+#C8c`m>2@O`Ox1=3<&m zDO0x})s>;>nd7u}Yh2t3qseBBvl&eWl)q4%QJZWJ8P}s{V>E^A#BO(6QB7Ypaq@wX zO;p~HpC`KwmLcW|=2_-tW^P6~bSiS_B;YhVsdKs4dXO{&6Ip>$+@jpL=kMgvbF)1D zC6Qv$MLEo`M2Rd!=d=))-%In=jngG$E+|^9C^VT=c%xvVG{y;M6eSYuHWga(V87Sw ztM7Ys_x8mD7T4drlzvk4YL7XOA13N4ORl|DLrTo0i;iwwQ{E##p8m8gJZ*6J`oE4n zUVc%mYTc2WcGTx2NQ3fFy>SVoy((mHpU~br$lBLCj*U=_P>;2a@J>-rbYY} zm}aw?nwK}!3T7pf+>kckF~l*!G0VXn6*P5MdTceTtmRZpbrqG(D6Fhyi%?l~)0EW< z{Wj!>RoFLw3RuiJLSKpP2ClKHUCxG`$MtX1Zy0TJaMbRa2i}|WdHB+E3%}X^Sxrul zrFTt!VdKNox9~p3v6SU>N2T|HQz%IGK37FEFZ}P zG7A^j1Y=A}gfBQLulu#iyUf?cYA3uCVf%9}xluzeqyfDs8JMi{H@8}IhO#}2!$@Z<>t7oDBb43J)h#~czdL?_|6X=q{CL+W z|8&41i@10zF0yQYFVCs>{fGKd82C7PB;__ z4^q*D*0fo6Ju%ft0cRSw1;V8X5@o9GHZkoJx5v*I+UJp;%}MhEi;$N~AgeS~&f_eP$Q(V_49Ev6Jem#am~TBv%pLldiqXV>O)}%q zfn|t&=)|&ulP9ZRMrW2ry?Eu>MwlDQDAqluds6qj?y3$qH6*Cb(RS4K*N)Wg(SD&- z>9j_bXj7FZ%aW1nwrYjP@0aqp(v8^wlB~+(+7`N&QU=fG)XNFqV5;p>9yK5!R0d~)( znrFlxUX9e?5DRd}a_@OEi`!M>6A zyp&b%V9BVRMNL?5OZ>gvJ5Oj(wO*xfF|K3phnficesAP!X&UY?lb|A0G@Oo2Lfvj^ z7!DI*N>T~TNm>qTq^;~l!#=j#aLn)?TuS;S$zrr7S(1`inq1$K=Jt9z8T#7>*!w4p zmc}JLY+Yns!&V#DxHpj(=qAf)V;tDPWwx1JTx?v+>*o?-7wgr}HJgC&#JFTVOHAe( zbI{ZUf?h(1%i{=o)kK|;JbZBM)Icl~h23kHNQ=U<^z=fp@gtLoL+1XJROF5|HLEFi zsDi>eQoGG6>?7ZO$djAD96bK3dCz}AX_G5euao=V zzfAh=y!dvb^-pXJUwQmM_}kKhsPk)42M3{yn2qa5=XoPH@7y5A`w zIXAqM;di9{_M~pZ!l#bD91d?D+H`yKCLO+g>8sz;l7$p(L|qWS zH_*Y|A-gOktJNwMFfInF)g^&J33Kf+p0{} zwM*%@3Vf5y=pj!O8+mH=T9#gYn$3~+Zx82h3mdkJo}lUDbFn8H=o(5>da_JK>N9rKmF@R@GZu-o!quzD&z%dWu$CZm+o)pD-SEU?1v006q?N zNVFx|X;D3Lk2;Q6S!ycytPUCgY@NuCWFzw>%Njz0^#ZA0w4l^muL%8}$tCqjy`-R5 zOSB2W;dj?cZFh4aib8knf^g{+*|jRCvlxx;%W{RUgQC%%`xD(RcY>SA`k*;r4|;;? z01x^DPD7Fp;!SZrENqMOsxX!!1$@MV9h?qz1L5 zS-^6Wmc%<$jVY>$I83!!IVwtyg>|FjmxbS3|8aQT?p>tk*>!|G5!~S$ws*qZBM;^g9ez$R1=4H9$WuN!RwE{ev+(r zZko}qcqaR~I-%mk*z1SB6_9b$3HsqjnWt%~q;bsyDHhRkD?ujK)lf+9Zjpai^Xs zsWGV5_crw=A#@+q;xF{+3bwAyNTgWEml2=_pnuN4^jn*5|&(aay ze%c@X93AG+3UoR&CIVj5L^Cm0(EhJ_r6Q?BVp0X&w9C>8d7U7RbPMyGICRCYz_6<> za;Xr#jQqUl8N1?tEd^t;X|UPae3J?7QNJhAQn zeWy_?Qe&q8AMW+#8XNkQlYqTBxyGhBN^nmjOpWdK(+kiqfk}mm$tLlR<~hV?@mq+W zSXPl#a(8BY0&e?>bRgV+M|hyLzv}15@9g;utNybif4iy~zgXoJvVhwf$)i}q?{->s zLJyk7*|XKccT=g+i&Ik-V`>ejRHIUJ=0#t*(5oX}T~A$+ZlbP4C+XB^CY8fTJ=Tob z%cy#!3&}zEEKfQ6KvQiM2OsEv@y1aG5%Gh$-Re-sT!s(W+c8%iY8nkT%~ho&CS4BAET_nr0_uY}Q3epjb34n>%t6eFje zJ;vz%W7T0~&ul&mSyNI~f~)dywAY_WAL1?|5oU#oTqa^O+iZ!B#6-@`+@^Er68UDw zUgMia=5RO@sW&NP=@Hk%5poTb25JVF`&ov>4RQ=|_IC|PT;y0y%?ZhjS(9}dd(exE zjjJSyB$)k8sRz}4ssr5S@$>=UopU;BfI&XqDc%u7#PZazZJ|12`9zM9Xuv?Qk;f2zE zqjluo@dv^+HQN|jJZtb{b_4cw14=5ooY>D4(k*1N>WswN+&##1uX?%A6}&0;ZGOnQwqk@{TmS{e7v2EF9eXyP5Ngk*;Ud?^ag zoKBO;s7?+VpOp)}sS{I6Qdw$>GsZiAt4)8o6*%_^mziVbFNhH_9HJ*SrH zUaZ7E(KA7UWs+r2Navth5T6wQAIPx|B9{rz82#1~>&W7`1Hih#*y=E-e z-HvrubxZ2tX`kA$ULRJV8k{ts{=zt8eM2ynrm}j0=B8|a`#^_`LEirUeu4XRV-4es z_u57}AJR=XOgBAZo|;-5n8!+W3k{{FCFZ%Qj|HAEtTe5(CkIlE2A$+XZ#q$}k~yO? z38bcA8ajQ6jh3MlSH?plGx3t1q=-x;%ZZFDaakzPC^bKf6 zZR)AwDc|B4GEwjMD|`PQA%jGdkv*XNkupsME#BpgZoyxby@X~k!Z5stkmy$iig&5^ zu8!)w5M6W4rNXbw%A3#p+OJbIQ(m>kg_C$`I8ice-~Q(>LJBsZRZYP*Z7?aM4Vnh> zf$HOG9xs-Tcw9QNc`J2C-bFpl^pfvB5eI;7Sz`;*LT^0r#`lb;Me!5kOX69) zLGgt}T@BXN7TU#{Bi>OL3a1#|q^KcPOb}`bLH8(XKow6(ahfc==*Wn0)raqf|D1TF z)AmQs?3MOc@BA!W{rpm5_?GpkEUuaY3~a0!~S-PPRL3cDvK6*JzUMRt#D#dXvd(w%E*Oi&djn zJMEImVn%LBcD=-$W)r%RYBfdcoi zCo9g1BrXfxA8|lK$lCD3$1bG0T4;&myZ3we-HpC_BYgjX@Z0rN4qNy*PIUg$E51)< z=WATyAAVW1o4taIf8k>9$WB$yD}Va~SDTJF-+Ge0SFLHucq>gs{HtHp9qAlhN{M-FcZyoH1Fg(#Wz#W*V<31T_<>klLNEF>%H zlcJ|ZTh+Y%1M&6j(DokU{-WkpI-Ye8cbqY!csbcoy{kt2SJAL2Jcc?Id(gPt+`Wv<1PO3fsh{J7(-;!ltM3#K=NqCH^*NQ(rSs|WX zFFc0qR-T<5a&swNC7T&d2V#{aiO^R#3#ee5=9xj_K=E&$OMK1HS8V1ZyTiqwd_tCl z$E=X+tw4{YOXM5w=B=SVLmEtIKpVI-jQPf8#&yPsQCer*VZ35w zMx&cKD(IF_ymN)k?N&jDdfKLLrfV?NJQM=H)Czic$XqWZUIBZ9nZX@FDcB@eSW-{2 zKRYw`a4ub+OL83nXG%uuA^DU{J#xNGR_A^}vEK{qGl{xQ!Z{dP1J8hN|l;P9V98=Q|6%_Pl`Ls-PS$Pv)m)MjLVD9b9IaF<|PNN^5r;bA^D^S zVI(2BQ%>v!*JN?_Bi=+P??@{1@t<<&8&%UulVOq%Rl-Q}q)K zC5D&udo+8sd-VEv{XG4blo?Zom?oHJnV5+PVeOo$oU|0dL|6{%;UZkcO{vMGLr2Bx z)~TFsu5+7+Db<*gh`mVFrF+oe;ri9tZBIR=A|6$~imI9{2q<@#-m(M6}xooxNj2;<)?X_~6WuM^UmmjGa@EX2I5`%hlyB+qU_Y zZJS*-x@_CFZQHhO+cu_WVq#)WoSXkDBX{oD7b`P%X5_op`w*qj4Nia01U6exvV*~{ z1wTWSrB$VQ09{pFSzjFBiHM04vkq8`Tn}U;6fOMCA=QrVu#xP%%umFvHo2XJd9O!iB}P&e{TP{DLchO*yJL_#s(|G%+w0%~}hLFH+I~5{Wp= z)BpoPQ|h(yo)Mf(jx8EhG}ud~TwW9^S1N}o4-JReev)TY5wv%nN&VwgBOIsLJ(BDq zaaRELjYONb*li+MrchA;E5u0LjIE2@g5l=4d{$=2=UHiHJY0=+(_FToyZLw-sz_xI`1pwCA&t6&S6>nVtv{bMH1Ucvs3(Ej}(p866Zt zrN?nKVNMQ8Uuf{feK+d3l%=a{k+J-3sdIK|`ukE3FME20XMuZzb?zyl2G zoeB#tHsiX-QSaJf-saYomOjNjSEb_jn;T98F{J`Un;-}607{)Z9!rB7od*lDqoHkW(U$?E`9n?p z2%BSTs+|2;q?~&+U6Yqf+t-6`+X1!Et4(tQlBFH9i4%A|?FY)MBHKBiy~wG;o`bio z!GLCdx$}^jkRJvQmrHyZ)k#bCchb83p}pm9uoo@(RaD7l^H54cVnSxZLS>%W;}xjLrA&Endj01zAuFMo;>?vC`v zQB_%wMjN?jd#ejK(|&<^d{4V9Gl5pzR!&r#;CsCKdWLi7&>QU|&3y7Xwg!>qQX^J6 zN|R0g01-CQc*po`HV-xlvA%Q~)s0G%HBJN5KzEA8S-S28#cms&%!@knEeP^&zA7&$ zSDc|FyC+7k8R?lkPRHixI3uZKBZ+1j!dVPG48yTzs{jPQk%DBFXPo&-@%mn0D6oV; zUm>=LsT`VP^=Q&CdQ7Wd0#GAlIF=3kNV~+&yqRF);++~He1mb1J>}P!QH&DKFM1OT zU+wMZDqRiR7v4_{2{l{Uu(N) z8qKYiN4_6aZebb75uYMW_C+1dohsQk-HN*Bx-)vj2%|p9->Ie*)HPM@m7n$H73I&1 z*EL5cDbqPi3LVP2n(ao*>+?+wM4qiI+?G;T)$1rL6}3O>L1eQMflU{~yMj{sc{-Yks-@!DoyGhKD7SC2?vzW|k2ZJL_x0P2SF<>jtlyYZ%2a>zq?6}RvI4s$|(TQOmRE`!jvX{99jVcDi^v(d_+;*bCm zNT=4>JD#%+%R&h5&mQpZ#XOZE&XAF&5pMEtG}~3>z@~D zdsh|R>+lP^_}6D{uNes|a|oB+c^}o-)8RjIDXn6g^mr)bgG&1v zabgBx92D0`H|!Cz5exasME%-B4J@F4e`I2H)Hzi5Uwy&jYB2dmWot_`Z2Wm9=0lfO z6YPfSvjl_tjR0!^h(v|7PMCF?vgum?A*qc@k^_3r?siZV7PQSxLXUP3ZoSVLOFHehHD{qO3&%5HF7dlfu&#xyr+f`F7Z-kDw&u?_qXM-Dv zPt)(f6{Iq?fH&@z>ke=afi%U4vMr3I`_u0B>3ngpwHVziTbu zUl$wumv*0N7oJ_LT@=eWxX2~-h4ULRn(Bn=&3AU3_BWtXXBqAi;u_04z?B$avPRxL z_yzbtzJ`4v{PAg%3*s&z(ZC2U;*1Vi#HJ(ofxpenIN=O721OgQuHz?zLceymp6L!qrfOvJSMJi|!kt-ZsI(mzsg^UnZdoE=Q8&VQ# z7@^TmQGkv6z8$3-gU@P!93Nk0v;A{c-Bo_;_frQt&IzSlfOa^ z{=@EI2ak%OT!{x;yf%Ca@dk~)#8uwxT#q+%U6kkLp$yU{*yzh+v9By{Ot<~;owC#N zTU9;)Eq}`ePYf+JQ4f;U7i*AjB79t@pu1+)YSdI%$TTWXw9}$>RI7nN_x7(duU?@fpF@Xmx}o@ zpdcTQ5O`nObr6qH(bMdL?%r&Wa-iH?{5hE;;B%6EyH4p8f=XK0do{3V1wiy92G!xO z1k+n)Uk9Fq!!-Cpa93G~5JBa!y(t~?W=b2iBCWqD^0Jn?!#eR-d#;9*Zt7>GfZVVd zk_3n97th?gIBVjUzORY6`>7PT?}1m=F14-sVO8&fhxV!!Zm-?3F{*d1xr_Dg zyW7LA$30wt>efrsSj&{#p;|93f;;PJJws-Mw=_?2_rD7Tr{uT6p}JL*6A;i5Ws4g3 zd6#weD?`>kYX^@^hCKPq5h@Mq@G3#FhH&XVpSA@turO299SwY*(~TRzX~u0GZ?Mhy zLn?B_Lw?v$Mw;?;6LuP%H1#kt@a2B}LwJZoXgt9k(m+`f{In|n8fnQGLnjIRu#h8{ zZFk!KF@x1hz`%{Q+n*$=sF`hVk#mLS`)yDu2sO5Cj z)VDbVe;!%gd+Lc+>cFQ>h`gMLUxE$m$5s!M53~wu9BQt~uWVXu5tfU1rZJ{b^d@0p zT^5s=50CGnW!!NM>g#qT`U&-G9c_OyYIVX&8E>Bbp|0RwJi1@*cPMxdc$GXgGnToJtfDj%*ki1f zQtH*pv6uA5dnnTtjYHs=ATui+@Whwnru6$pFTmMU&Cw?AeV-)gj%*xa(+UYpQ$-7b<+e4szu4XFAvA-u~r}O#K=QnTm$g2?KC6%#d|j_Ll4ixq49o& zTP6k{3CqWVninL+RmK&*!{>BC?DFM?_>i@t>+!9(^EuYDZ?+%to30CN^KY(JK+0kV zMN-lDnu#;w#?*72c``DtKSii&iclkwXs%H{gg8>g%dbTF;x-C&o#WREg>r{Am^F&0 z$aM$0Fc*0Jd`)J0V1lQS4r`+pemSI?BkX(jdptbPA$0ssn)$l3^$EJ3&v?tNA*&-$ zA+<&x&8A92Df@dF?(gU`gj6AhZ;11&T{x?>j!KzMMQ!c6ZfW`r%5BnZ)w|G(uyuSU z)wztD2e!)6udo$G{+a^wrnq>|jG(D~6Z3{njWw$+GAra3iH$?+akdNBBiAI{8hMq; zbiF!-b%GbwXVsF41=J3zZaOcewvzYlbz2s08lGxy)#g;Hn= zq>LJs<2rjC1zy=mXsJ)!Z@M3+Z_saK@9As$JMzzxPphyW8@?-_U|mQ|zW%p_@E51j zMTyXOilqEIwr*k@Ip(!#H7f@eCFQN-d^1EH<2GN3nxy(RPI*igFNh)aQDowOtDvGCzFHupNCVXQYxC zhgS#I(1lTsclYQs@6J-i#lsZNmW>AH{09}zSMlM zEj5Q8@lV&1y+$LPamA3fB~`)#&cb#Dft=q62NWuih6rM)mRCvbk|M3tCgd@Vogh#w z($SMcrJ8R8KIyy>W{6S^pC2IKpuS0F?&KCWVq?;MN@p0*?wLr9oJ?!cUt0q@9WDo< zz}M8al$4Nfv1YPw6F|gZ+zx^&&*m(?Rj#xOnp(D;4^I`;WSs6mUn)}05a#y)l)PL| zO91ko?s_)-1$%1sDf9cR(HT6kx7RiV;n2q8``s!a(}0G|>tif*6~b0-=%f45KezA% zkgRSXy!23vor{4f_s+-24I_l2-)o-k3_d>JeV=EZZ20#Zl|sF?I2QX7qhK0!3pp}c zeAB|{R|$uRT4Ej*Zx$e>%DffpmCn?r zlgMwUP5qG`nQp1}DwRqaF&6WDI`5#v{5gI_t*dsUe9s;u*30U!a(dZvnXQVYQGD&9 zJOt{8XM(AxOe#yhg5*uQGcXPZi1UT~zp^CAA_TQXB7 zrV@`y(K68;IFX_iMB(buQ(~(25#DY_aYx9j50%~uqPv5WJ zZ_5+T>luT*1VX9ZPLV_s0aX+UCyw53Rh&A45@#!Sw_3USjm8t)&fGirl7``aq4LQM z5)mkMYnzHCGLFkhNyIsn8zb-zp*juHkU=Kdiu_&NA@xdGz;**k8=pee zA{w=>>z~SzQn7&eWl{yGGm}nl$r_k&9eAp})-^wN%u1N?@}PCWdjSZrpHqc%F$ysE|_aHJB|H;i5ja?=1Pd zh8et^(O8%1537&n_3x6Zf7EcSu7eY`Q+eG&M{XYY1mcYg6R>3kai|EASLIzzK?%$Ee_99mF9VPJixVDI2gIfaXCwXEFR8r^IVn_IQyBw z9GP(Rw7;RQne#f?$#cQ`nt=M8pxll525rtwhXRR-IVtvX39nzx(c9BMkC*icUrXsEHo)Pcc>)wnJ%cNDJX|EEI3PeL3NSL zm%mlvz*>8aNos&XAE=^;A`yC(a4tb>h8EJ1A8FfkNl+$S63TW`Quq=7tA4NAcaB5< zg9dJm<$$cS3?4}5a_QOU$&>bqW=DHYzvO_QFxAXVCAwY8j#@h6SyZ=VvqSrPh%wr( ze?8G_oZ039wQ1a_z(}D&@z~mUH=qU~rMdj@&P$hCMRlDD0)`p8uNH-HzZb!%mygx0 zuEe5m#xRv?Ty~4C=>{EP33VAF`qS~;a#3yDqs01Ls69RX-BDxUNamy@am=TndXr%( zpiAv+(c;*JpvTkV1<`}hc%;C!wYF)!YulUOz<-&5LAk=lO!dxBO}J#_+(C6rMKZ~$ zn`wt@rg?@?4N#$@=E}yw-pY0;l8LBBVjGEF7tLAiqL=d`a*=}T!gb^5HP)r(F8!VT z9(iE?A~s*M<fodmd(^^Zt%K%b;m~1?Dh< zkPMhFVyH+o4^qt?j&le6mU4+iHv{y!9eylHd|DuhquOFUmz_+%-od=$pL{IFKm~eo zw2p7$+8-XA zb&*l>j;~fh4c)vEv3fq{HPCX2lh=~-Gv_zK?s(8uur=v3k4|9H&4 z5lrdrH!G`Dnx%hTOu2r8?atEBgkeK(C4O{ZXQW)_OY z^rOI|tjnnzZgFRiqn8epO#|Z34;XB_(Nm>y_C>oX!6i@rWXNJspxW7=Bka!)Zm&?E?2;b> zc|CNz-=~SU;C{N~r@#9JzTt2fpylYF4KgU~!>&O2nvZj5I(ozsR)4XUS zIeTxLMcs_*jXTEgBn|%*Pwu1+7o?OAfG9I|`Sd{t_s|G=ks!2Fs_ zxTgYv0ka{jH5(uGg~P>`n^lF0AHMzA5a;xv7@h;7HnNmEc zTI~A{!L1btpYSdx7;m6Hq3Nffte&K7TYR1|EtXJ#xR@73V87k+?7^)@1jMgSBvN@C zUh{_JV6;9Jq(7dp0&anev$-VDR~JB<(&IuuIjQFj#0&E5n_=-gmh9(+xmKK+QQyb; zs;`#(4$`G@iFAQz3LG_lY+nq)EapuRw?(@N!o+r$q=umbJh(&k#1eu%Sc}kUJeW_! zy@YU@yU!SgI7{)mw^_f>D6RIP1;XZ-L>UaAA^tov`ZI#K%ojcboxwQpSU<-Sp#)28 zu(aWmtKVYAPzntHW;DknX}t^WH&UjY$Low`P~Ae7pO&*%}Anz-))!EV|*3(3mJR^S+1gP70(l@R}{j8D4Sq%fTb3!Ajy z!!v7CU6x7;rW>93)x?e@FX!smOo;4fK)8fe>4us6g4F9JL9yVAVlyn2Y0xR3z(Yt3 z$?h`5gP5!Z4wktYhp3*Z@t?W`oAD?Xx|m-$O;E=ygndw0Bp^}kELNXh)c1v3Y-C`> zqRtN2RwOMIZ8CyLtj4^a@g3PC;T7CnyEdZgub*ny{sOYKIQKizlmj5`okt_2k3VM} zb=nKxzkxE>!4fCxqk8Uo8Qf*4RX(MuG`?7m>0`7Rg%}n%`&8J)Xc5USeLZcKKIcKd zw@gqMUq^$Q*8TTG!Jbc;BaY>xm(9qIQD)s#G_#La+>t`m)6B%m3H@!U8KyA!ONVdH zhh~edar=_~z_PAJ8%PXJ1(b{?fTRv`All?;R};#e$KgpQ|3qTp+7RsHxia#ngm))a zs^<*KX`yH8l@j!VD;0sIF}!g_p7hL?6sIHihBvog^9aPDv%9@z)oYC)D;y=NK>HNyDqN5eDRYTpDfakmNh308qie`h?{qhHMH5t)nhrXg?CqiK_l z3^`%jiUfnRh-_t&F2wqYgpJSHdx15P*7JA$)?KKHj>WJ-ITHQrqxX*K87y;dQu%i; zGPBR64hPO=N_be`|G@w-El%@@sr&NPA_^EdituP`yqD>KtEs7TwEpfx4-K)~LIAL->g zND?rs$MYE#-^0b!qGxuezyJ2V&h3{sG{_~^;I2REkGQb0&sar>x1K5lnBt(;7rkBO zjY?yYxE2ltYv1Eww*6DppN1NZ{Oxg2P5xv!tHy(~Fevm#V=1BSge#v^lv^RC>aAyE zfFcYyvil0MDjDQ9kk=DNu+z+I1`(lW-<64KGym?&FZD6W|z4o8|q=uDib8cuY; zX?(Pa3$6mD9el6%goFNW_I0}8+^~H?DH9ZVXtEHyB#BEDEC48*m z09<&(Z%Z#I0!#)Fg)>Kz?HCEUbAlJgaX>2(p#TP!(s>vmX)#3%#%kVF=|LngEgey{4cPhR)31R!`Fd-f#sSR@ z^_E+4CHYI=IOn5lR&X9b}0Rn-laSNBB|=(O4$ofS$Kn&mo8o^$cj*QD$H#+J!68f}FR zRVww8mXQ1?n6id-e+Kz+^WN24KW=;v}^;ou|Vyld|bd9865hLHwZjWH}KB5gRf zr5X_&K~Ta^Sx<$*8Zpa5-V@rRn_6TyfTkb>bGgE*Rv&YX-~Idk*hCqiE()x2CCe%z zlnAiD`@S$A^c%=MUKBzrL|6fSlbd}m@3G_t>y!0DJNzn_G==~l&oLHhW?YuHCPBf4 zw+63*E#j}R*IF^Z*cYA|VNwQ?DYok+5!AGhM-Y1_+KZrE@s0SKpVw+#+QRN{44a-n z5?z6YP7Y-oCiXg+n;)kRh76V!>s}Cc_?(!xDjXuAZ-C-x;%dnfkJbAHL>I8dC~t$o z7iwWA&5W{rI2(kE=uLBzK?v{BnWxVq(hjVLxt#Df!M~8xMv;>>79;xEzdBm@QDI<6 zN0_%7GJdho@^QL#h$f1GWtr`gHhW zqeU(Z&s-^rS4I?9f@*V02ZDZvAPU@3B%gYA!1R_fJV}}eF(hMIFdzy3BAp6Ci)-jJ z?Le&H$IBBq^kjfVaFFy1NYOjsJA}F=yTS90q?M%Ep6o)$^%6LI!T4+SuD)lRHR?X5yL36Y3gvJS)npA$R*1&D`+;P;bAxCZ|vc>DqC#q(SJFMtmd!~YE6 zL%_`ZpS&KOcs+yl^s$75`sL)w=`V3@r$M5-iClG4j1`H|USWVUSV0 z$2ecIU6GTQ%I3EQ`w;-6>14~!ni^Ok8A*e5j#rMo;7VDUs`q+p>}0>P+OkK&-X&Xv zVvQq%a%@~OFDKc=ShY_nf9+HeZJbeWc&0QRyqiqYKNXUrfxAtkG*!=A8g8d&tDjnL zNVa|1q_<2z$a_u&r`}G+iNc4AE{NAhbt}e-T#souJImq4s{TB`*y(7#EvVN-XK{rK zCwi>=8ORacqvUW8iOHQYmxAH))93apf#^Z&)h(hR^1X6fo_IuyUG`^iw1;-R*gNh~ z;1{Hc6ZkRhsRt@%*0xg3-0nq6gjG_az5Js5jmfH{yq0GItQWTnbmR^stowas4|yGs zz;3xU`6+TYpgFu~-o8W1oI2Fh>664zdG+6O!uUU%6Gj3?Hg*n%{|8lKV`56y!;K#wFb6%5;CIi706HAVG?e2= zOV90N6Q6JOk#LYlfPRjw<0-oELSE19wvCs^AP+lv(38P!MC`V8rasRa+?T*B0g(c+ z{Xz3dG%{+7Z%KUN&Gib`PG!MW4#4^dk!p!g1)2_EuXA8if#d9aWKNHy#nJRAaRCP za{#{tZAH;yFGUIU2lLu=!R-@jGbayitj5Tuz~ULqaZ41v7P4&J3$m%!;SYNIVb&HL z&e{?4pC34`93QNi0ACVMkS#C5PcJM_Bh@&Sz2p}El`aSW_O0kVgQ=ttx*1R=`H}dt0)agX72Gw3- z`pmX?)^s*soTFNaFc{;0J@F;daRGz#OzYcbJAW+wL21{)@M;qZQLuY-dI@xouIg z5&7~r+M@@-3Z!Qo?#fSabRN6tz}-;6UKdY+z%o6YSaeiy9zb;9{buwWNt(|K&|n1d$yi^c(x9- zzs8f8dmsd0a-Wuv{SbFwhRd0mu6rUtz~r%dx)oIgbV_`P+!N%u<;REZ1zlDQyqL?8 zz^oPt{X_H(fUm=hbgXR*2wl$JYOUuTdYy49@c@_tEWVi>9{L_sdFCpk(in##FqImz zn+e@UP74~d6Dp~9<%(v>h4>&piT6j|2u|4ED80E+lL-E16rUPBh-*S%)#vC4j9$rH zDc@>a#P~-qt}uLT%mxfO_l#|GwxhbnRjJJf>-v5YcmDePw-^jYlmK_0SO`VV5_lUk zhf1YuE_Px&@F%weWfLUgIE^1T92O7a>#lZ9g2jAJE&f}zqyiy6E+yaRhu@^uJK=;4 zJWf#u8(+5%Hk&W9ry1aYWwAS%;~SFiEubA6yZaZhR~P%Xu(M9`CddzK#%I^I8-LSN zkzOA`bQ7{S$@lASLMI|@d(AU@DDV^og>MJtL*7t_3Sh2hLx_4pFO#jHCzrDJ-=>A8 z1QqlyhG-;}$|u@QA334{m*!Bl4Y1D1sIo4Hm{-ZWScMZLtp&Nj_ZEy&wMXsC5H3mc z)TW`sZd&4_7_zaEFJ{n!EMZzV4Elz{l;J?USpo%`7PnW~BxoNFCC} zU3Wl|eV2?&dy72wu54IG^}qx0N19CW&8?VuS^i8ez~Pumx2gIN-srHI-=;g@6jcRv6 zpBdq03<+}5#Ff}iU(IOZ%p>$KDSZL`LANN#2V>Rv(i!Z^jTy`~)P_Ca_sG-XlBRh8 z*r{4?%Iwo6!+V}DRbm&UIk|x*?bAf6I^h|tEvEctPIf~-;=0I{q^1G-fx1b zk#*Y9Hzk|=pIDY`N!+-09Rvjwt`g9|gbd5qk1~`E$*x=-gjWBqGRK{G=A3(Sz@AtxW?E*zs} z4j#95Rn3@g^2dQv_-lMPKWbJX45a0 zpq=~Lx|D7S`u={CDqOVc;2?Z1G&Y%g50;n><~8Oe=ADK~2fvc-hT(>xg>&;4NB8Ck z5Ooe6ln6{g3K(j#M*h{JUt7U3%}DaUNHX2&R9r7}V!S+VH~T~ak^)czU?A_L4DF_O zFZ<^Ea)2`2A9q5%nV;BUt{Oj&C)EyGk2~M@EArH~-Hwvgl5yIvcC$`Hov*A;Gl5&R zVfN2^h4C+&%`cgptXpc*@q4yN(NaqzKVfwzXNogkMxd8u&deP_ zTjSk>&JFYpJeTxq)|bxCkR1tIL*4z|-HXzMb_#wn9a%N`Sa-X8mIgG~x*W_);Aesl2#-*n$>)08 zdXH7&OWRf6{PS`d8Ep1Sy%uK~!-1hErzX}4H5EO_J#gxo8qK;-<`deAN_BN!m*+}{ zPG+fy5*bRas#*dcMO~ZdjiEHrBd6_mXZ6;8Sw{3iT$d77xmP zUL`D2el>?YHBFVyqQ(=CpI`g4=IMr7tlmKt<2`!vLiZ;~E_iQc+x950^>t9X;Ob_3 zxmV;pbdg5HO!>l>>O%2y`+9tRJ)1}YR?)k<*Cfd{94}L1QnMsZ2UK~dA~ar`6~nhqMkY*&(IeAw1-FHB9nXI-yJ5bCboS)pc- z_v=V8rar$yEnKxKaNeqR-%i{r5s&*;gB8v`OlmGYIKIA_>Ei*IE{TJ;%~ZopqdlB| z^wnsCv&@pM#nCLgl-Z&I2d8N9isRHQV^xmKVGko%IGe^)$USVP|ImLpS2WHDH6lnv zCV$D&b))k%@4Q$QGh?!;{AS(cW?g1OQ>7`3&K&c}sD^%~lJg(>0ph;XG6Rw%W<`UD zan=kmy#~v$+4Ed6Lo>$9;!o~2^*Q7^VAwF?_?M}PGf zR+DFBwfO-=N;f&3?;;ekb`=QOTKiJ9Mp%LbZ%WE|@l-;|(_>zn|gP;RuvR zC_T>|6{~p&?;6Z*&iR3j9edwJ%5Ad?f|sAJ7%W=RzboVSl})w3q~B8C$6;V^Iys;t zUyn}hIbD~bHN4;@yC|9dN_Nn)eUu#kw{zgn6$?`=fL!U9e!y}%AwQtziv30_7@pSOvgAM>h z!Dg4s9h34Y>J&H-dv;c=`HU3E6I7}+^CNZa!JeoYPu2WHg(IK_G8||OLz`%03YMa9 zdfYf!YIJPQdU;|Z4!ytLq8go<$sS`@F&G8IJD73850FsrfD%JKi{%DA6_}CqRt>-ut}^v|kCzGV)T*!pQzXQZqv#r(y}=UPbsrV)X+9cS6&2 z2!BaYhoutM=0?y)jXR1E;Klq)>3e>DR&@k0raOnCcH6leh0w5z415RD<5`M^%j6(d zBziBdLljK;+0`J}*siY5tM8~clo$OyfT*j}t+cEx+nCiRSJmlktG4ml3Py{|*+Jru zRP-phW;W_%!i_WIFc3Zf2)8Ops{^5yh7nEFayf_M?gb`31$jhLfXEVDIU5{^HUh|& zPKkc;2{FOwC=8sk#e&R1)cI})fH{vw3NrDIhB8*zQ$vNn{+%^v_u@KZ z#5kZ6Y;YAc7}~iLIaFXGMg)&+$Bx5PC^s)~lr+O&fIOrbCo3-+XGJtu0Ez4_CXdEq zGDwcLi3E*Rmns<<{`;gUSGLz|=YaWY}25QRGQpJDAwmsb?oKp{Qh}Ts!{C zSrIYvFP)il29r330u5nY=xB&6GVi1go7`h?f!g4{g{F!gmG$0sY$yqrKUpwTFroQM zvDOaZZ;W%SHNpl!{P0||aW)n}%zsG;Fqi~IOjb2o&F^18NM2~>?i0IN$IpTIXjpN| z7_}Ye9yuOzD6|GAyxTHC^~NMHK+782{rVal3w$2^l7(E6Qmtq8$1GN#8O+ZnDWlwz z)6HJq(0=>=;UbTU){4Ce$4^-LhKVUD3=B>>>y*)utQ{oo84DMLV{*tk2wBeErB)G% z8utq|K0c>s`jT1D3pW@)GE5$w4J9&ZH8%8Rk1{wCEVp%Gurx@x0SY@z*6z=Y$cxYN zX{f$QWQ=W-#5*n&nL$4vIW>M7RX#g7m)2{6KIhLjXIN`kcOCY4?TTm;FP?}wlIU-k zqx=!^)ZX_)K^nwJ&;ZL)gUbZY3NfcPm(lm4iWBA=SSTwzg`8+yb+Ca|Ih!cw3_^nM z$IguQ)x6|dIl{PSMIto1mI%|(SXe@=WbZV6*ct|Zrm|jMzZ5(83~<5c3@`DBvqDll zxl;k9Q5k`2D-&*;aZi)Z*}V`)FtmK=%F29#ZV*SMFIFCS$~U83o89ez z3j9oTAv!;mtoFV(%tbnG$bZCbkuuuICEQwl{%%BVE)MD0j@!=P44MHL1%?&%Xb#-S zjj(KL1VmrNX10S{kl$0`SiaM-;Y_|wtW*fV#((LWj2svJi3%S5Fq}e|XIBT1!E32p!FGDkv`{OiNQyWfL$`L6IrfA8p5t|H) z8X@m)SWYe&edI_3brfMo;&>!usJe(+9$Q3Wlfxa`BHWNjIXh#+|$N3Z*+P z#Z>FKL^}qqddQ8th&3=R{tnub7vmCm^O3CjZ5dASnX`*<;tk?a)FG;c_s~eHZ(Ml# zG)YOtQr_d0JWp&hwZn2j0A&tnpe4fg~5>bSPQ0+V)O{eVShgQm%R=?uxld~rovLk7#x}u}P zEIQfA?I3l&nA#*BRpE_?|9@+RMh&e!C#@Enhan`g6@*j09=bKK65 zS(7<;3bWluD(aFOT+SaU2|Og#J~m#{!b&MuaL@3Tn2Q_KNHVi#`SqYeY{by45 z7drH7m@o{UKhERGN0r=~R*)28`MO`MM0 z-n)o-d<;$HvQ>TLG3T->-xCve(r#-XyzlkGca*z_>zBo*U6jVIM&s`RTSrQOy-;Pr zE2X0XvKjd{Nj1Xq=?wMbOJrFDY>SuIO6H8=RGtI56sdtVr%36fFAK>l8^#ewDQ%~S zYRB-&48ixTDdh{3V!n|RL6>{|a~Uzn8L?2HNM zqDcW@+N`_C8-Da+Vy zFu-=*sNJsO5nX68$%Bb!G{FUX=bkMK#RX)Tr7@1cTS2OSe^JneEz%Cv>OwSz)5Lkk z>=U191cY6TvqvHL{kyv4y-LIDFGrhPGyRs{A3T~PMn00dliTcc&PS?(FV zchS^;+>ur`as=%$XzQ_Lv_nFzmDtbqF*5{(BJ}oC4OsMZ1zi5^aK@-rZ@0Pe_6A+E zUZ@U-u6OgZ`FO~8NP!cb7PZz;W{-)D(qVUb$}jcs*{frS&^e5G>eNVlLaHC@U-DYB zgS5oCx?J@);awXcxInK3 zXeI0H*z6fKn(1zqjp)@-w+Q|t8Nv${jvQMsbyF_KQJOplzW4>r)X}bZExfOTg@=&L zu4TB6f1*BILhbnP_bBkv(zG%TLPrNZ>PedSqo5<&j2c4lv*|wuo0wvu zpcc0&s+9;e!R4D0}Ou zID%(wc<~T|`?BcbE{l6`x8M@ULSS)sC%C&4Jh(d{xVw9BcS(Zt@w@lE-?`_!f4#Hk z>}*f>RMpIEKQmL+UC-7RZ^j&BA$c;!V*As-0CG1G^{0sN2>@ZHr~clFC;PjY!A*;Y z_gq72*aIQZul77T8J7JI^4ERdO?Daa7h`0OW$$=DZbMq=7Z$4wd{4UBrI>r_d{F+e zZ$`y~=%S^OwEOFBZh95&pMKs45Fu33sJ&M+p=hBF0kR22!Ni_>r z>UL$>QL&9oR`7J6A=Kn2pC28$H_H6N>jxJ;=3B}M`%#GWN`$K2U(BYpSF20C)NYRb z`p7H*c>S(ReJ46eRh=c|l|J6)H68~o{_a9qy-uk>5^uML*yh;5ZN&gY{-=LuSw(Eaj^6lX5zF5Bh z-{ATY=Io?u<|tujV{d2spF`rKV3V-3wsX|5H-Wyvgru1(3~Ht>^QQT~Hh*$7v32@t z=VN;;`M*Hvzij^>WB$inHf@-xvjqj@e?^r! zcpzLH{|nDwmtHpkSn|?x(f~L(008do19)8pNC1!#k&uxPk&%&*QBaWIy~le09u@UH z9tP$IEJ8dYB0@X@0w5_3IgkVlA|Rk(rU28@F)%U^le4h1(6iIfGtmD>2pkFu%KLZk zao@kkr3VrK>HnXX*De6|J2(uuO$0b906aDv0yf-h9{}`bClcI$%>ADQ0RRX8W-rRS zw_0&5037055fKpq1pxs8@vRO3kARIx$$^9;u7*ox;(#0we~HJLhY$W(+daL7qJG8o z#gRZFff~XM)yVI869I$+AiT-{UkcvjBfKeitE0yTz{A7CA^qnY;lK66!DAy(;vnL3 zh^tX?el$S}0IOfVt^htDyeY;;zy^o{?&GO9sXyjY;WQlK0fuK}qg4^`GBgU|0ci|+ zrlkN`e5N7*ZeklC&dq38=nzldFb;uqwlF~vMfts@vVWZkiw(Q_JA}MD(~zA#QoHo# zGnuDK{_zAs+#fb>FOhUxoev%eN~@h?ewsyW`*S|h>!SGw-%rO2HgTizaiJ2c&RMQ= z{}iVyZ43o%o)d^Ey<*BNcXcX)0~PZqovj`JmBn?<_Ct5 zmTWk^Aa=My4j*2*pHkcRMBS(GnP#dW_kHxHKY}h=-u#C^2a#y?*f6qRYKuyL<`oZy z^@MWTVt`OAI{9PAYWA#Y<1gZ^Hs= z_NN8m)$P!-2Q^4Mk1s17;n7n`&NZ57V}c$F;v5*s2=-q*w#X*lqN7W^LuL=UdMw7Z zd+(x*J4YB;B??4XNpPdx>wadVP-`{hZ(%j|a0T?y#t&p5@k|=iS5N7gnimGCR`>~u=T=Sc?o;IC9y)xmdBE zbTL<1F2gr zxr_mbR0Tk5OEyT}2KafN?md3zANf&uH#OG9y*3ZoA}w8hsM(5zXo6%U@JR2d4vVfty#X)*;ZdW0#LIG7W(O8Cl0B9rC^seB-O6UG z(uS0;bD%mi2%Jd13wo}g&rVuzJCphBmI85AI0_xfL1T_hHSMP-WrOA%$8U*{G`oE5!5ad z>|WycHLR3!LBWr)+as4EU%tY=N2&bwSYjCB1fAzY%k{&Elm|XiOFiuKMiY_gPyq^F*pZt5)jN%F1#jp{Zd&o^WF?EsYhhYjH&ORkI zp&||?&nh0^y5`WP@^Kfa=OQJ*fRy{7;*O6g7J*VES=TFzHlFx|&B+4;WkVmJVs<({5qbHSp#c^27>RJi7asJ1Q{K!N?Y^m|Fjf9O2vSfa2|E|X-O)GSKcH8^d4%# zy7oY+fS15?pJk`F#89uZV}0~Oyp#4sX7EEL0SwKx-u7C&72NU{P}2BpT(kaJ%u=>ucm@5m;iWb5r5b5CwjE5{d|(}!ffmS4Xt zEIjcel#&AO8P6mqJHX`;Uq|(@7|(#g7Fwe3viN`DX77`bZNY9x3~pixWfbX#b?I!= z=xBS43#aVae225pj|(9i&aq_xe_%0H;$hm+aiA>ri|YE^OG(VagI6BuNTC9i>hNjd zg$ul9K5^dgVf;7jI(p#rdz+*D(fDa8x}C@dLNVDzjq`?~S>tbda00Y-oCequJ+#Mv zP96%0#I+@m9t!mY5bq-cWZy1xuV#+gc}7Tf!Y(U7LO#yU*;u6X-pX$t6q5fA;-db+ z#>OHbwMHEqcd8)nE`UN4D}DUD!d-5R(F4D)g19OyI^@q`%#fTwSf4l~382$tw0$%LxvhXFTP@k?k%;O^1UvaarRoN@ghGL>xOWWFPk% ztn&V9>D;X?-lTGO>CBu~qIAtpYx}Yb0;=1670vuhw)rizZPcz}FOdF<4vSx6iL(e# z=f?~!#JU(vr<%oC%$3*lT>t*suyDv0HV}!p)<%du-a>EN-`$ru8uCq(S`I;-TlrvV z;m=`uLG~~l4~C7(uaP;$GT~1|13|?dHilu`f64RC;Zp|UX>rAg+idfp6zjnA!YOM6 zpA?&Dis*Shpx)PSh2-r%=pHK9s(Mn$Eh27-jfePK^cJ~De}!L0hG7m)9@cG#+M0e5 z-FXg~=F@7i9M6!>=B7nZ+Yz6u*ho;O zIp&zKHpgj$yU+`PEMkt#z5FDzjcyb1T*+?%i|o=Y?qxwYgHU@h7O4?KJoFVYsg;wu z@Z+3DHQGd6svD>z=chHdkFLivl<|(ZS)y%}+$G|1ZzQhb?V43>rM&YTh~%c5_%=M!a50F_5;GYb*9DFGKYa=x4}Vwh4SFN4K2BUCht&5I8R? z)=Pl+t9a@a4|mO-XV1Q$nn_8Q%O7n#4h6H-1)1TuneTEpnrl21DY9VbSm`q&v-p*P z&0yt_`1oH(rx3*c!}Mu@>l~Q4z_^(YAdoDIAqT|>p_9cJ6cZ^D{NgQ-^WOG2*Pi-; zX>uz|f%0}}2Yu<~l6*H06E*E;Z6cKa6>w&qo6V~)t+2}f^`eVt&CaokV{Oi-QS-XS zyr20>?8XpFjYHfiQhHO*rZ@_gs(T}4+>A)SZjFc~lh4b-2WhPo`nmG=yxw|RD%B+E zRI2F@>HH+3V$UIW^_W0e`dK87wd+j?Do0-0jX>puZQ~KFmd-SGFJSE)c+U+!%)iyf zda5FHvxG{N2}af998o1^Mc*@}YmK-Mej8;nAYvRU_?10VTNt$*WbfXDp^-yO;z)Ee zQ`+@?xBV6H=f^fH`jwUn%FveX6~RtFwIgBe&J97lo+tkP{gvsJ_9yHF6KE0Dab{7r zCaiW3#ByVSsDkd=cHi_O>uQmk*?f2`f^t{J_U+n{O||Y z2~wo*kJ&$tf0_jksvaXu8xHhmQt`f%*!9iP;u)FHfznwk%jE6(m8~hA(;`dYC%`ID z?pL<&Hh_^)4hB&#G-0Pv;fJj~8bniY^)ki;($bI`!4x$nQtgpVaq%Fc$>dlx#8~IL z#(>JXOm2yJt5`gf2F2p>_`b`xrzU#?-fMIr7>)a)VQbF;74p~C33QaS!)Y9EloA;b7mgjk=q?V$b*$MPl1WL|(8!J0%|l+{KNm z%R*Ra^C#ZZ44ObX&yNmsGl@+n*Ai}ddIhxN2R}ZcqB9J+6{5r`|B%X;Bz3TDE9PD% z9?LT~#kHD{abPVI$9RzqUVrO%GtlFJc=Z{RDyZDsWUL!#FK$ z@i@))V0B_Ty8O0YrN#cUX7+w&XHF%W56puC<7bvU1SfcE zV>iQ@;3y&IhF3rnwE%K(v~Owm;-^Q4I~7kqrRr5K$A{u4Ta6&oJyuvp~C`?#EKl4{kxIHbD%go>P8{&iN?1mHg#ty`KwIw%v z68s2;e`&=DOP8ha2lp8H%4QwFk5Y%6l>AYOCwiM`)wqBVlk0h!YDlE8|d$3I`LgMfWxJ5_tAxs&vl)fQ_81?qBaczYeVo}bBd5CjY0-v=-KeZG|x0vp( zC;8&`r4nA*56uknNZ%VCvtWkUr{`{8nF@Ed4RHZ{QhxOEWql;7``pj6jMHLiI3^3< ztP<2yzjGJzmZuA&r#WqT8+UX}(3;mE!G^cK2+Xv-v;ne-PPH{*Mkoj%@C|m__|SSW zoMb9=y}`hGh#q^~RvnuL_C*R(3DN%}h&1}d6l*t}p}zm6KE7_WGxTdv*xFM{oZnR) z`FZqMR+M$?$`dQ-Hz}S&6B}wZ+dkE>OSTJ*uB}=u`qK24IMWXc=r!4f5QV_gkHxZT zp|rGnOjGfDH3X&P>JL_Lk@|8XT^&b7l2lNQ#E6`;BTD9>06i?DIAVj?vG0wi&+oV$ zo&wG$#s~&<`-AXDCkyDoLG1_DlUM?tkf2SS3;bie0FHnZa8Mb3www`7UtbJ?{&{qG zuj6*a?1fKQpi_S1gGXJReF!deE{&USXNuuSrhrjV=mGFXbHne(!moB?WSWy#{kzyo zp0%89@)mkpLzsnpPE}V}IRr%g?U&R0>nP%%iWS`);g9!SqPg#@Qe(XZLwkJ@wyU!* zK~=6i?86A9XOxBSUl=i{T@B3Py>fRzB0621TvWUe{1 zs~hq(Z#o?2S*y?KznZ5V&64G;kNcyC1%#HPr>15WYoF*MCh&WVW8T4Wa@5JOka&fm z_^wpb1bhop`ePJuIoJ*=d|%?L>e*mdIz#^_j!k@@L4OHdZ^ zYyMp)QGN8Pz<=j0=|`0m{6!0ljYX^|K1mPcvEQBRvgUbEmR7knn|2 zE=A?r<>nMZuvU|>uTKhr{VU*T|JT4vc>yTzXE%zbtEYuh zM=-c*GscE@Rag0yU{9txo+*QFJ-g#lcCJDga9Ye>VCu0b4-7Z086RYr5^u^aMJ#ip zhLKnG>lNS|F&1w$lI7o!NlsItxQoWPVa&`Q%N+Ahe;sw&c-L=^d)--VcMP5Bo_?Jg z^RTfXXE-pqBrqGk&iJIPg){4Kic?EdS+)EpIH@R&Kp^mIilE+_9A=2DU(%bCMr{nR zdlw(-C~LdEQO5d?Q|xeTdSJp9I=NU!9XF?9vg>2{PLQme=db}mkU$4P;U1HnJh|%? zKyxYz7~Fnh^51z`#GI>U4zHDnw5i4l*t72txH#;vdgcYa0??pG^}iwi3=H+3M3-l0 zUk1gNAX65aVOs<)^S~gPFEXDyCAW1X{GHu^B6X!?SFmZk0@NeZ$6C2N+TDR?QNERxcl(p;I5y=^) z(fB?^#}}l0d^a`kMZdi*I~JaHC+-FgsakigG65$EruX+9zJaz#`>m!!mm6M{CG@PQ z&yDnx0^9aj-Oj><@RD6z0#WzISjCq8sjvRf-W8kJOw}kT`ub;#svLY{eeo-R^0Z72 zj)QNWvr(iYRnl~$KH;l>cMtlFIPpJer%=`mOtHGcVHWeO1S!K;Kr(h8Y?puB3b2Nz zRdL{~^Idz$8Q#D1Q0uEZc}Q9Yb;J3O!9Mc=R4vI9JjJIF4fha`Ydau#}MXWuE&+4 zj%B0s7Ey(ozI$3p=K{%J4B6Vs%ft2iL1*!MsFBiTW*8EAaJqEP-yOtg)os7I7@6e+ zA^xR>`ka9l!jVto5cUd7$&>t?*HY^Ma3p!H*_t_@crm^)!Y2-tV45YnN>g;?WRxia z>qWG*j+4f2|IXSsnwjU9LwwL#pkM|tqY_YyR<$(ySzAKT)7t8XR8S71^rGI2RW(U{ ztPl)ueVSca`nzv<1W5A7_`>_lKe538a-^2(go>W!OA1DvBI zvT>vPU{&RQp;KqobTdp-8-bKQxED(t$d>WDt@zEl91ye1vSwzO={QDod+p{n7Z-P{5(^HTk=7L0Ch({erC*QeC9gAGZJ$M@B=0GC5NF4_D-1Kvne z-;=8}jD7PkSa)C3zg4T_h&AsCo%@yvVIe}^9%yuAzIWxKQP~F8_~!E4)a0+w+G*_Z zEsi-=+iDf(xys){J0iS^KrMJ=Z7X znaatQ9zy&%h}TgS;WVKM%MhvJ@R^DqJ!9QbeNT#68`uGxt#LfDTon<5&f1?-F=RP- zgqFVxW*q$V2kA}c0$_R-w96YIB+^1yp_-AadirY^IAbZ-^H|ay&YBLnRC<6wUKEM8 zvtQ_&>JGmsmcZ^|{zgVyo*2D{Ev9=6^n=UC-4b|Ya!23<4rCG920lj@f#`Xi4Bcds zkY83+CsQI^cZO@IV{c4|z^j6c8Kmb|fM$4Ci|n>;Wz5PbRhHLn^^ZesLXEd1x={-g zzU8!ZK^-U-+jZSmy%vr)iN$R}FR1tAIbTiBn6oQt$m!VeSAJ#S-Wp}UqjkP=oBE;T(xxSxDsvr7kq^PtJ87NEx!5u1IGmBc__$F~ZxfE9K)CM^YoZ}L zj1@s{G*V@|ah|7nYdD=;FrH;# zh`~z`HV!xc&IK2jX`zzWt#)!t2!XGWLGdLWvR?) zzOA~eU@-0^w~JzYB9RGKWgZUBxksz)pG3(RPY81|t`N||%kXrO zH=^`3;~|O=?ob*`D0{k*RSe$8<`-uJ5id#D9Yl znCOFs41>m2$iEZBu=`5{*^F5wA z1ZWf&m<#VeQwN{tZ(HBa>vD3O!t-VHdIegSDJ_#`i++N9>X63N~ka_v@M@4JE~>FEmehvDC~S87%|dgY2e9a_90}|w76~in#jA#bxnR3 zQWF;P5TsCkr?Sm($D@`?MpPKzd$*#5(YX4=DuEJb%wG||AXg*HdpiX-*&U2HXbWeH z9c#=ZP00m2m{(Ume-M{%5d!CG?N~bWjAOfcb?n zzV<2yh7wgU&IAh=~_gZ9+mEW%ISuN|+ zM^z~;y^r^4GhzoWhBoFGQj0I5I5C1EzJ@{$mNcNygtKZxw$J$>X>)(bU_sVY0fkol|mDLP5d_y?l#UJBR*s*BCBD^w$)CO|%qv7$l z^w5winLue!hBMf2-czHC&RBU>kCZp#5J$!8?B6SZv0$!oixe~W^IxGsCISOFY?y}OnbGBE9T?(!@*vqp zGBtwdAwZE)8`~%%abkbE~LbD^+D0WRcBu? z&GYd6hsv0bMzj`)*)D}5_;H6j_-q(4;fu5)=6>LOzy6fk-VBtun+oe(>)TngN#*6H zQux_4ji9zbk>}}y4|`#Qqxa%8&3UI@WyK#4ZZhb6k|rdp$;@$h%L-RavsK=ij&EV* zki-&bY3$mkCqP8wo0TX?t4nXix3_tIeceegvC0sqy%2x4F|+@dhl&3uk9V>2R)_C&SwTiAVy)SD@R4S^o+<0@+yn0C_ule`3#d=r z4|{VgFB6Pt|G0(rQ9X>!{{)*1JD1?WS$vC$8Q{7wGR>;r_&CGp~ zX)lS9Cwa&>Pvu3RsfHnDvl)hcteb0s-Y!wq9CdzVyVxxc^hB4*XF`~~6g>3tYg3nz z)V1aH{c&+0T-%f5he?B7DwuI(g&webIO}zG^Ah&`r!izubxm9T4IucIXFp`Qx-6wD zd3*nSy0yA7wDp8r`d-F^Rxp{_W!goiJz_L#mRCtviSCS++B42K9tZi%PSVyMd!7TT zMz2QZ+ubK=u{o`Ep9oIR*6w4&xwIwEo>)!IU^OyqCUqeyF9dFTdsC zBtfw@ z_MY0Q6_Z!uJ>msbfK4o`K-|Y z*}J78?-gKj@j@s8O(JIjpx>TU{#|k-+tFIeQH7&Mud0AOOxL>dECnlo2(M7)&HRS; zueltZbO!fyTr3%r)n|Pp{RG|}AUy!4$LKfc=^iY&b*h9X$1Rgth=0cDn`ysixRBTI zvOU9GR;P?^V|LN^ddPKZ&ors_!4K0j!W1iw5RvY6x7E+^JS&@rA$5rO`MK7C{a=`e zr&#!`nK?ZKu7r{4HdH#V^LNU8o;9$cQW+3qTE{%W@kgfmv;6TP*TTx&sIMbcLNfqg z`7s*`*o}Df&q-?E4*ZnnqZGnPtM4^JfVV0gX zQ-!^tb?YhUihb7s?or#N9QV`~a@t~!9CJ9XV9~Z8m+ONAJ+G7vjyK(jJIk*bEOV>G zDqi%Y=3J4?a=Su?MY=1taI^v2E&ZXpaBEOhcs?Qp#8;XVB-SIqb|trk`VR37=d~ z%pB__6_NuDamo0_e|?>{$?3Npj3-bQG{YO%$V@}4jMyu1t`m~JC*3c@Shm`%(=oh* zDSqXx9ULtsVMqX)9qk876Rl3{rveP%K5Udq*y{9C#&s0(E&nhi+b!PaI|~gS0zUmuf>=V-r?BBsjr4KhRfB*JUz>cNI_JL8`^yTz0uzx(>~OBDAK1$lBWo z8FI`lq;jtS``g3TROrhayQTD;3Odzz=E;L^qy#url;kps3xXO3>Muk-D}8T(3(>GR za`&}XyF}*n4wxj$TH~bVGrrJI9^Q~aL6r+~ zt(h}7uvrsdKUa)WT}A7?Etz#i(9w1)NwAsX(}Y&IIW;+(sCcPB#ev&G1eb0;<)*tIa7sxIF7sVGR$w+*HA#LaQoHQS{o?72u zZE^HmE@V=x3Lg69ulA;mhb)`9rM*BuCC$4tl8}yf;0bXS2$oa+tV6z6xq9EOVO{B* z6+FH#sMf9;N#W-z?ri6C3TSXA&7&f$X@6d!fe1}lSmn%kHtL0NPEBpgohz4_LE;NJ zR&j~td-cVzo9kTb;xiz(I<2~M1!6F%fzUtF_CTxrN~T^^gin<0#I%89-^IMjXGQw8 zj?<$?oFQy3KQTOPBJosN!G4;&888~9adfy`YhF!9!zYTf<uhb6 zM0IQ~o(P(4`HGtl6hR8w->&@sy*+AIw^=S)}?_LomCJJ{{p{_Cl8U0N=6(pH%mjYaf04q1D&c?PqycM&}#?Ek-H4Rs#hDTL*tfmmQp-kCHRKiZPf!?%%ZK&Pe?~6bU#eZwN z9>(cjh2ir2Oq zV$v7VfTOLt#;#mYsl8FFLF90vU-`NT58}B4>vdpN{kL=oC!fo*jTDw$^N)?r zdEFSE=DFC#^G@~JRMlrv_9O7=!PhO^z6lG%ZEdB9f^h!u?zP-S88oz}eIUfe;5%L) z%eWUbcadbaIOwswH#NHyd(Qa;s2)zcOH8*9s)R^!i8a+aLcOONe|fYb7rLB0r63E@ zB=~brWmZcS^%zl2K(j2=2v_Du7%TRb3Y@|r7$p4Y@IwxOKaBw{5=B)(G~>g)L#9$O zaZO#YMTfRQJIqnu$FgIaZZmYS0z`zwkGT~+U)*Bqv0O+|FoBNU51U88L%yATby#WlBf(#bT(I<1Z!ts4lb9;PER^};}rv}}qD$7TbZj4H2Qu2Uys z9Ls(?x_*9)9d=)mLx{}K(oN1{?>JNJRtEW)extzOqx`W%{#KSiVwGUfCxwdkv;Z-T zg;au34Yuw6jKgc-smCayY0I6dcBwAW`SZ{FgQ5aM`Zxl(AJew-4 zoqq!=t6&u-i5VL7gD6QImGx)mS;qfN_>V}Gx9)d1x}f8dgaMj1J*w&TtE{AzrnwxAO`4Q1QynD>-P*fE18SHPmFeDv<CIQm4kjC+a^d- z##%_2APZRnfj^(_+-=;2Kk&Df`6}^cyEsSTe`Uul4#xl1;L&I>=Uj>mj8Jp(#OLvM zRWDzsswf2`xqaG)0WBLr{aWtlw@Rq~LHtv3%R*xpb1Y%Brehe<-Q%|gyW{zJh}U0+ zCs9Qz4>fka?8#^)M<;(E1Cz-jTn~wUD>pq_mrw%IrWKOT^DqC3SYHy4Gt5KyYC1mm zP<8=4>fG3vHyi?z;>$#fygZhDG&bsjbSn9NUcD8iPr*KFd9m1he17xhLK?wOFQpN2 z6e9xgqCeJsziWY0i#L{D0d}*;ACcQ&uK>}tl9tRrzt)`}?{gtCR=1re&xx&1Z5dOs z2QMN=sMpB;mcfS4kC+`NlY(F?w9~*%H0k0Bs|QA%vxI9^zrJ^RWPUuoi*~0P9~YHB zY|7M51T$2#%EHqAp$2o(ylK zlweC|C`mczJ6&R7(1Zfr?1<~FdRFJZ2QEwI6f#i2^{0KhCJ{$8dVkkWC7C%&nZ9d7 zYHRx_3=>mcqrp#dEvw4X)=}T$MG)N!(vCq31E?Z7QgMsAb=(3o4%)lQsX@iw($*m! zodN$wx?q)viMMDXWFSXBL`H2;6_mtpeYEq$0z?-s*IO_2ReG6~A54)keai9*c-E^8 z>c4hSK`xfQSRRl8*cKk}R$FQeD7?i-v2?OHT2WAXqtA(*h`u!Yn!m??1^gxdBve7< z2Yq2B_=(N?O^!AI{$x>Jx@VhM$Kf)MIC?O2SCBFWe3Lj_&><9bTsE1`zs1^16M`Fe zn(yJVPgTCGx92O9-}%A$!?459-rn9+3vSi-J&XN*w9C7swA!1UuEPx_0gvp_X1~iC zv{;^O{59|N5Hce!(#Nd0fgygWxY;qJ$8v|}FDjq(GSMqCIid%Ro%t$92q1ebu!s9j zDZ-s_y6EWe%B#x>2~3u2RgZ#=>yqKbg!`}Yvt%%1qH_v#C;%YhZ~5(>6KLX|zBS$V z#r(N~7y5@@F5&P;zfNzjz2i=p)DB^DYK@JLbw$g4WRTv&KG;dza2%89YT)4ed#1}3 z<>>lK=9{V$cSdQPYiFb}Z~Lq$p2nb&WoIk9`E}_Gz5Z>So_}*3?#{{+H8N7Z1})^h zLgd)L*_h;z+T&0D=o_E}O2v1Fc(dZB$W!HT5OOUU!2;R?mH0{<@vZ&p3mtXb6U;h# zsHTUQm-U}`_&FT1W38P=tCfOdN@YH{-`{+2<*sz%7bDF3RrUgct3=U1_9_`ol#vGM z*IpT8FBJMSPB!0bBiM=IHg+WSG7jFL#Wy`=UqvWL0@R<{sGSL?wNuo2{(jVA5cu%# zI#}MLi{xY)-XOJOEf>dAJ&UL>2NwHRuVngLk@3Tx9#mu=|KaB)UQDb!TA5vp!r(W8wIsLBCNm)x?_zw-1)#$V8YFk>Z z(F4<0SV(M=oE9^X{@j6?#HORdy7fDO{=JG}(rTY~Tf>ThuBFwo=7Epq7ngY*hg*@6 z&MTG)lNAN@=;S6LEN)HRU!}S*L<+ObU0?J*(x5a_^B&rq4JoBq3_=Tum-4q4uacxs z$(%`9h6S@%h1PGqsHt65MzsQh`)f4n&MCqjgXpFu1b5fr(2I3~4i$`Hqh@4epo~-O z*;2`13h$pm7r=1+BPPr$Hub;-{k{9Lr$AJIMi%%tU4KZ?A(SSbrNjGf2) zlm|`iw{;)meaKp9rRR%kks0zR5Ue@XYT_jx7zViKki=}Qi&)Y`D3}d08%3k8F|D@c zF+ErrPF-{E0NtZIT|U~$aDG?qAgMD8B{32F zy~=pu5}b~g;ORZTAhN=2*{E8LjLG!H*kgzS-dQVSFreJhH60m3cu>7?vv)SB|AXJwMdkt9h~_qVB#&>)W>juT{tFuTZW_; zioh^#m5JM`+%YVpb9JT&)qEK7EjH(ZR*0M3T8KV?2AGx$k1IPSwGGuu1C zZS(OwFZkan7&?kdmEWa6?%BaG5>hyn!@?IDJ~D%^8|8@yY}-mQ%L%@CP?={pAI%9; zWOI0@y{#+>CL9?IZ2?p?v<`@r8}{hY2jj=wc3KZ5*@Y0hT)7e7k#A5>BxDY_bL!T& z@qeVlGGQTgv68Zdpa$f2SJm{Gs2l$o;RWT@^E8Fv8Y1A|kWvClTa}RQ*HEGB-wSW2U09Rvibf?) z_KVdU!_u@+D|Uk|TbsMTyMxQr5+g`5T0%j(B%+C7Gy)+p3zdRzzT(Icv?C$yNjgXN zj@mGfwWJ#y<7A!r9jdR0u~Ll0F2F)R(c1^>Rch3j0=OWFXFrs>lBy$!hHq@IcPh;* z%N#>gy=X-BSJz+)v}upgDaEzhxlSw=BCwbPwKB=S#)hw>@jJ-{ zbLl1ce-!l}ZgrnX!Ui)V78_GAqX zQs7pib~%3b@1KI#Wv^Z%LInTME;s6e7S`!>Jf!W3h&3JUspNtWl$92HfTP*Z?NSzi zlcr-bm5@jrDY$QfXsB10KMg_#bL&g>kXEK_67%bOwyqo%Vk(X2f`yjWunW*6^ngeJ zdZaHr*}Ut@d_dM=Eu8_#F}ha;aT;ykwJ2+ZQuKQALReS5%zoH3S$Ai?n13;pN-CMcm-ZUE>VCe&0%pLd>q{@NJNOD1!Xv!;Akm~Cde6Asw}K&Mo2O@6 zCK&cb`^{g)w3aK^=ODd|u&OElK^_LgXi_tS&kmln$E0eT)>U_4cHDUAsjihA+-6rW zscRa1Y>=@@{_TrqhmK-{70UqJ*jU!w=yQOhn5;bv<;CuY>Pd`NKex>k5lCdGgux^n z>;-^u2!CUEL&vv27g+{BD&P;gVLkyFn=!;b~n0 zGgYT=ak$#=Qsje*JI)(%%4wB^UDt;qZqq1?Cb$Mbj~wSiO@7Y(IL)b3h&Xkk88> zNPao+uy9Zvu)1X+bw^COGuB&>xyE{d#+^8@IIyXA7Q0b)gWLiuTiVQ0+#Un|BS&3* z1*{&oI&JM&dI&stulW&f-cp^s%LZ;NSeQvq;Hv(IpY+!avEp`qmG`ZZ&1ojhGk>X*=TTYhr00a;q@0| zc9Agng4Ao?y~l8p-b1aOVM;q(M?$GVSUb&uE&VQ7Rvnsv!qIeTkLxL=w^HlX@VpHj zQ(x7FdJT(D~+_7L#FCe2IE^^{-VmCY~lE)&s`dkKvU9K3YsOOM}a~hjd zI}A*hcGxapa-6H{GppS>jY7WH`)uGIVDE9n8mDhyo40?)4q7fp^kfKBTZ!!vOn9*C zzM}Plc#SsxEfl}v#eM~NyR0TVRk~22fE&pJCO#`IJW0KgW;<6=ya%WG`=}0*ZKIoU zx*>EVnm%i>@j4b`IzQUqf%b0a-9VFW2U$N|DH!X_Mwzk>gm)9o$29c|E4hiP-x;KR zCSK9cvYO{eKXCGyQb=gHi&)a&33vLvNkQtS;xg-e$nuSvGIhpWP)Sr1Ud6djv15Lk z)iD%Su~3prYvl8Z`IaF|gMwLdM!PMH0Vhz-39qjNC%Kr@;CZHF4u?)s6+^{2w#_tN zj7g3<4qlczP7EVnF)ayj6d^}}+IGaw;H-A+PiH1e>MWs-c|5H z17x0+PvpfUNhx8+QGEqiPkM$h`@=ZgWh}{&ZzhjQUf4LmBYl)_=(UDY^9iR7_3zGQ z%;~QTgz#PTtO&jd1u;NiT~I>1jIAijdg|=wzx&F`Z~uCt5qA;GU!$CV7%E9yo={Tk zmd)-HJ8y*h9&=m!GEdeqW_U`eB@%sQ8j@7Xw9+b)OX+DF-~^T52Rb}Zz&8~6L=Y{@ zPZ8kEHm;xMeXE)he6Qr9FKGi>8k3kp6U73alWNC z7!7Au36m3V8Cb_8btwXCr>t+rn{I7a5oaiSq*qI>`m<#0S? zQOdo%J1uy3eX^*|H+Zb-PqI^x!Jw@fV7%V)@xmBXtWH?q!Whz-DsLkqEd8ui9AH#C4kk^Tm|IU*FN|!dErSKN#UjHL zp$zv{j*&sB912a@s2G5ue^kx_2O&J;iVk#0ABL1QJPflO82&^vUSX|5#Ucy^EwFGX zF)wq`3YHTaaj#s|()0_0I&)4&025i6)vZ9cz)jv24(;ADbT~rJbU+}uZzj^a2u}F8 z2)T>~4qRI!g_@TX7MPc{TaXCmy-XgzR6+|5b(AQKk~&O7inzk?m{$Ue)_v8NnN2-$dKc(4&JZ#M|&hiDaAMceef zUxC1h|B(7e=zGSm6jN7CBA}vp19zrYoNdg|d2Ipt1q}u3uODaroldWRWUnXvpKp&0 zly+#AA4gIBo}Xt8{@lDwzNxCt?EP5u_iON35r`A=e>{48O55=Be0rjJei`lJ>swCF zs~lbIa+wmELOt7~nSS)y3Kd_Ez3IvXIcsR5O zr5PF{OPJlKc0bo*B?0I~%mxK0iV%CXlB{kTI<(XIFAdjg2M-Sr!@dKX^+ypdi?z1fxV&6Jug(g!J;8X{cgV?RR;xU!p5Tzl>5Ja6HDS%iQN{6WFM!6&JGP9h9CqV8Vygx-05L-Ny2f;dJSf@^F3~=dv~P zp@RJ%sufLuye^TnCEc{PE%ED&-U*d1rj#a@_B?wH5saRAU0ZRpYZ~o$lV0hKD%ji` zt4)Ppla={zC3U9HEA-n!`mM?6=BtX8 z0aC1G=|HSEj8H|eAbGOQj|$yJq;(Qs@v`nO>Ll$(o3YR_#CLpmUVMjsZJmPr{j}CWK?dQwyuTF zsS4tszB0FDN*-j3kA4^;g=rtQsN-Uw$s#p|xrozH7!%QCA{fZeY;R(?HdpUNpBMb@ z9p@{mD~C`Z17SX8_CeKPVh}?-5zLe@scJC?>R2rnabY%ng=`{{IuNb9&DCaJz4mym z32BM)+$|OrH{HhmoBpqT-=IO`t!$_QZ0gXJ#sQ z2h0(3&%>_&B2U<~AIM&0SsB(9+PG|+H6;?Yg z@CA6)xHag{Rd_!p_RSI=(!0GIpE^5FJAMqrBJU*o20*W`>Q3}qJ=K9UyUetM&e!jo zC4$bt1>VNRnS$L4#XWfHqQWn~BhH^3GKTZiy))X+2;?_(5?A+%JZkBhRnB5E(OjMp z;+Dp6D%CjBc}2G@WRzMRLGf9+6;+ao>D1tw!d!Ttxi&~$lKZEyqKP7lZLkK=)-O8j zRx(4Dt#HXN`3$_}j%LwTO-*1;F9lC$=djv8UZJs)uVA$dZ?p}U+#Y_Qkq=Id23)kZ z0cPe>L7X~WsJd%L0B>=PfATx1VL4z#h0+*AlKq~E;u+S>*N)6V1)oCJ7clQp z{k^J?;Q^ho1zky9qnFD50p+WkVJjT2LfI=BOlm>=mkq%U>t|23q^n`(?=bL7fh}o0SxpF58z)d$!I)=HYvpM#f@p+xQbEC7cTMJO8m$9-G5X|aYpAe0wIuI%XEDZfhWzYsboU~qP;T{1lpj|#H zAjFmPsilra@YZCUe#OM04n=FQ3Vbkhk`sl-XIa`;63 z`ads2XOR->vyIV8HrGbP*w`_(zoarXf3bR`4O)r*?rC8*HiYF}`N=Pc9zq8f-_1wA zn4dIqLlWR|F(h7u_Q`yaQw@-E&k48g$%quAhN~Kh+sUYp|K%oBed>`{lq*HuCEj2g zIXi*^!6PKHiia%Nk*S6jjeN;WS@%|AqqZcy=ks2K-ho&TdxKQ2OEYf4NEm2}ZMX=@ zA9_DXz(~p;4(kKiXrzyHFxn!Gg5WN@WD;rwUb_LuV|=_w@PT3|H3NM4J)@48gqj8$%jmlI(Px(!0Wz~U@yDO|v0nH;5Ui*Nl~$~%EMF~P zlJbZ6gQMey_>r#HASL$6%%@`2&4@(@@_nksrWx{#3*o4;*5`iMsDR`7EUQ~*N3nt< zu?lXFHGXoQaLyBk`#=LH(#CP8c|SY?VNVJf2b)P)lm&;^Pejp#gZ^W1&()cbWfKU= z2AW%1b*0dnZDyfZgV8ynf7ue|&IDr$3~jzPb$paG929_DXLRK^H#UlMsM;=cNI}K} znH0CiQE%*kk`4^f?+8VzK20m%raw@e^gB$fTSKcj3_<|@zH072y>D5cempkM?+fi# z_4K3VaH8Ug@8u%Jfbw8*Or@!Pvak1Hl3B2DBEBfA9Zme=v!&+5hF6fhLGeVFy0 zASUu>_R)88ysk-Y{fK1>r}xRk48ugTq~OZNYbRlKQ9Gk8y7<|tcWj3;@#}gwUrTvP z(bx{rjo^MYbj914ffQPZ{Qyf8M(}gu=Qzeo3@PoT(^UDfO(OLbY3oFZ4H1}){xDFG zR|U6!%qbQVH!=z4eXFE)72^t0H;Pgv(FH3XM#WiDH(Z|<4tr56pefwYL;Ca2-uB$~%)^dT3*)hGdaGUb z#!)>TxP1?W>#%-(M!x+v&d$JcGSf?F%^b&R9)-MCGs1*pdv6}mN`o05Th{V^S{(&Z zn6sazS;IWA889)p^vF+=R-xNBuohFJtL7UWZv!Gu_N?X$b0eB31N1p13)OJ1Ea2Oh z_}))uF68X(1Nw$xlEI;iOV@5?;ua2Z9Y3RYz_-KbS2(?*JxNmFknte+*vZ+AKOsQ3 z59Bt9QHd5>pF+E3lZtj>@+h_^C z6a^#|HSq25x;2iTrF;amu=j}-%&~8i&qgnR+w}4+9ya^}f-v2VZRN7PO)!=SMT^kg zXP5Ce<{NaM)|zJbI(=Ppe!qunRcjfxCGPSHOqO#RA1VQHtz zY@22I+Qs+!0G@PRo|$g??ijW35wRUk%U~x(ziYgEsTfSYrc&tsxyu;Hgg_TrL9UUj zJMX*Ow3dT{*K9&(ctd(~%B?zD$sfWZ_*?P@-r#6Cwv6<8Rr6xFgeYD_Eq=H;mzYjR zLkwm*pD9{RKCb|dfC(g=!yKmyk%Ks7F=ZhqP8O-8ZK+M0>a;T#&ht)SB~o?<>-YVFKsm`|2@ae`3-N31!7?;Tm|fkZ1`#xHw70O zG`_1FV;O%M4fP3nKNC^|o&EH>9{Os%BM&zlt`bl7U5q#l4&BG;AJh-3 z@G9{lac~v89`9X*QGm7+z54*aNI3|S3@xaN>7`yfJr6Nxx}Z(mK^KiD^ba*;zb3$b zXDjC#t=qypxC(+)XmR)9u_hBlgw*O01G`ctHY^%7A|P7^KJuL~QKfXORQMz-k6&jM zHHg@Q(=6qn*uWs=AoG4%;toh>t3hqB3J!v(0N<%>A}S^kqT$XgB`0GS&fdHql-qm-fCAMtSs_QBw2il7IU{A@q6 z7!+jmcI?am>9GLtgu$H^YTYD~IK1{kV}rciHMPA4vni8!dZG7jG5unbM3qklrNR7Y zRS5q+^D1OINDpm>VX1RdzAIX=nCJj}Q%mt8D6)dVhwvccSqf&8tV?E*-rS3d>F+FG z%j)%OjX(x$u{4Vt6B>ipZi0=^De7a%^K!(Y(NSw>wuPPE{lW4gJ1U9DBM>bw6vb61 z!_b7khK#9}z~nG*2$I2 z7s^W|kF(J@a;%7V)4itd$7k<-O1(-)gzXlLY4U5>ay)#AFiUy8|u)r(Z%m*(|G{|3^+OMx_a=y<`fyMkYoNeZs!|4;q0)2-Q#Ri2bpHTw`HY#w8$2&!aZS2hCX` z*leIK5MpPUjVCK3>Cfz3N2@3R&4*&e8Wb=aNnytdH5`>AW}pRYKA;kPC))cbbvuWu zod)KZ%S*z2fWpHEGR!;&R1kj+cfsC2Nq59dw=vJbdy&@b@H23KLEaS)_dOPCCXe>T zcYi3Cq=pHfRHvSx8S0A{Ny}EGmW&=8>ChWo#>*eO<_x*-nhK)Vf*glLiHs{`IGtaj_*I92}-oKC_bb)Etg6q6J zp-;bk)xCB`mp`wL=xlL~rK#fG8jV4_iB7Osm?8&$tv}t{=@75+_hdxTo!j~Oq%vp8 zoP9R=I@y&g`{{`1Y0i4ZIsDC2`ljpk^{Ce0>+Q=Q+vXyCB;Avw@lgsUgQ1#e=9ja@ z(prDuWY^2{(a9g+R^G38A#N;g%}UaJ5u%Q4T&C+Gwh4npJ)r`!?D$Xliwmg!Znw;f+gn2*Vd2)~hpJ zHow>9xR?w;He{IRe6%58dq}h}%4VZ!F+0Y9s;E;MYL*Bk`L$j23Y)6zT3#l>EX?(3z6xK4`(kh7W1o zpW?`4td<@04yR9Y0kKfYH~4WDt=qfMT{$0SIVU2$o9h%3`C(`>&8@?iHNTCi7F&#M zUPr-ugZvNz|H;6%(*nU_v{?^!PCd`(Fn+oL1A=O8=Lp|Kw{7mZr8vJ@5J zIW-~8^%7?Up4nKTT_lA{Z$?`Aw)cK}&1`4u0BsIzrug}aFDjaIh$ipUy5HHmnS(WA zk))ye*ck1O(G}<3Mr$2)uBRF?@a31a9a?*n90%D$*^ypTv=Y#LoGC_6ueN*>w1V7Q zZm6rS)V8q4^Z1&2&g6{yyFn3o+2}GBVY+Cuprm(=m3RZ_jGsn73UNRhbgy6GyZ5@! z859uc9zSJiZ6EhEhB(hl{R|vs{`A%TlzXTO0g{~zvPOt)l&NuOHZZGgVIN;9FOg)IPtffx;I*iFVS$9nkJGl5eV>1{XI)?>CK^wxU4mZhDf`Jw`!HqV z|1c9?+&1PFtQsqoT#cHGq{c(*erCQa_qkG6ootF`JO7<^xt{$MJ69F7$|>|RL7^ID z#~Awg<6!660#m=8j^j|)X%yt^I_1Go&QCR&UAkXS6;8rgpZ8YFS9gQv779?XplNDC zQo{zAnlzR4`6f?wqs6xPK~3-3p-$1`!ukrMi%%ZzVOCyQYAcSD!oR^$%D?Wsc57B2oX^H0n|s zCBPg94Q)SDsOPjCoE;=>_7ka=K{pNeBC|ixkyu5E%w>SOW6I#f$ zal9j~fl*E>se@rGb*v2_2K0jA;-{{H4Wnf$uMTLBZKmRuCm)JTp*_>U7ajRV1vf+_ z^C{?r9JUtT`5D{M_z8yyLvP9y&P&rJy}ZR{r#fnJqcJ@5OEYaRNY|cpwxOvS?O6VL z2~Rg$#QO>ctGkOM)i7OO-%KW(7i2hzvb7ol~COpOu7s5uLu2;TWBrJU12(DBa`bM<(Oq1;j z9H$=onT7aCF9cU5wKQ}cF^%$M2tDZD;1IN3AaWww8wROuFz~4?&#=TGil-cZm0fQy z^k*AKoT(xMVpu2=IlB+*KyokQ*Hq97RH`L=p$1`3xVeCKyYJDzRTUlfP%9WA^-RV25?+V^oW&TP9zxXYh!E{*$&Tc6&Yu|Z|SjV-1 z6~Z?g-<>8-C>mtt^<4yw>;^NTU8WdH!Ft8$a$S1IC5F1K<^$`JhOhVu4S-KgcK9}@ zfQSa$OUnt|d}{}G=;8a=`FPYIOx8rc;tTd4l&<7dhs&ULEel~r? zk9XA8>&!|aW+`cXi}<)!AuZKJKf#|34J%M6tY2cJZ&2>6K-W2ZOkj$3Yio4F%NKi# z6}f{35%<$@?kYsT%2CCGS-||V1|Qx*(c=TR=?hs?3|wuDH@++)5E2XIt_TQCa1jwD zyuS|YutJFoF#1RmI94;lR1fl?zEZ@u#B~8%pp3H}nRbHHSRusBN-sfkO_|_sN_Oxl zM;|v(zSWgfO>wKP2GxLOI_D47P^0wXDQFJ24DUFiS z2=;`G?`~^|J^M~QMj#v=Cd+DxE?Z5f(FzNTR}cBzFcmD5-l0x zL!U?ZU);a?=jqpe1|hYcG99#;GOVqON3$tLWL%=jSBcCH+M%~+4LmZ*WV#06h8Z)d z5Gyq(HmWv%W}65+i*i%AXzbk-;F}1nmcXS6!bRoRwHLg0`VeX5utaWgu{WwftM9fC zWBP*PTzcwFRinl6xMp;TaIo(TQy7=2nCAVVsvCFelBKjFVe$EIJ6l> zey`L=xz-~REoNK?3rsuB478N1j5JXh={RO==16^mVT;y9cIh^#F~oKY#uZh|gC8yt zKT^oUB}dU)I9iVq;K4HxtpT=hgkGK#nfS{0B*3r`6MX38Z{VCg0%mD~oVZPC6AMMn zWP*XZVqU>O-2)we9#=-VAI+p+@6O=Xlmv%kTZ5z7YR1wp)8*Y89Uu`|J*4^<7NggP z7gWO?*@jlsGv7*qpEr^!K+5uUt|LY73KrdEIpkc@0Ql(@i)FLe_>xpW4Q0@NC1*gK z>-;6**FYf1WA^Sn-b-TdSW0zNO<3#>3WfoGS3MF)`g9LX=D}>{E<>Z7QD^kxze|Gm z2x2TM`AksAC-vC$O9HgE2LS7>EGQ|AapeLYfDM_y_zv2%;ZE z8ASE3hQZOvE?GpTjp|ea6Y{&aARMnS$p0h!{9DQ|1Mf41`TNfKl$~7qKVVVh12thY zU6`#bwy$~w-Wj(~v5v7dT4xoppKiiq@wW+L>PAfxF;NEE22QM180egZ?M0Of!1+g> z0){ETGE-ulru9b^fY*u_B7alfKbS!%b?8FWCxyGa=>O6md9BGkBPmusSHvm`Q|zuw zVY7K%vE-M)l!(-E@Nt^cqkbu&bN0YBx9@QN#pIL*?yTP`t;BYW?Q^lSAJvIY<%Mr~ zYv=t6&>e;UyN+Gm*&^(h{v>MU&~C3~I%E*iExcSv2g0O<2FoOOc`d)C$q%K&CLZSR z-*#-ucaV388fx(YO+kp3GyqRJu`0K@sKZwF)xU^-Zxj@YbJoR%JBi|>W1S|z2d6x11?jSz~1-8Eyp58<|;-Rc*|nS)&dC6 zr_LU)@(-Q5Sz29xcSL-~5o*ZR<&E{~y}XX8^kGaj`Y-MK77#*NV{%Hde)+C2hgomC zlGXMH>IQ4yc_Da9J2}(=H@<3YWSP0u2-yINbnfnN9e>j!qNV)Lk5#VJ28|2W@RR6S ziIW<)x4#oRE3V83GD?wae*s;<5l4dw)` zYejlzGO=@1;-Dz%gtH6)#guPWqCqI%Ab`9-$_{YLYq=B#7?= zDf0LOgy{Bib<5cK{$lJ$<7$~~A!oVbxY@nNknjFoj^)qnVD5nA&1{BT%vTCnp0dJ? zb4&wOw`Uy&@B~uEBZ=?I#np7jB0OYxrw5BGS;&IN&l^^DwHF0cau1%f%r<_=hhMmyyw|I1Uk-cWP~$jW+7$Axl}R z>@=URvi9Uz6p^U+(UJ6OGW8>U%?m$Vd}piVoxh2ix1tS+HY--oZ^%a?O*wOH<&7f_ z^>LPSJRwed6Hh_!mO1w>W0jeIJ66|##X0?;c0AVr?*u@IRfIeN^EU(|x&168+b%;t zK0rtS`s*se58Sf3;&T?mAFyl_F-zLpb(qR>lyxs zY=ql^{S^INx4Sll0#N`6ggSz<7P(nkF*$AwAu-#lLwvq|Qf8!a*`wtoC&jYOVSPh7 z3}5oZuJ|XSwOj~1ujg)JoEqfNET1#X&Df7wuD`UxW0KHIy!{W@L+7>U*8N9|40Icm z6uVY(Z`+V62%dVOh%t!7_)k?K7%cjsHI!i%O`^_G2g|3kl#s;@Ynb_;&l&p~)rU$2 z%Le?UroOOvkNOTNUx8J{O9R91dhf&t~88jMtHsbr8cz` z{xf4sM_rTtp{oi(!>%t(YW0&;vnRo67z+z<_=(aCM1CuQx)vVx(H*pFb~9$f4J#Q< z&3(#80A-Eo-Qc9)V->u?dkzMx{k3Xl`Gq)>zfEF=tM8)ip-%B;gA28Hlj?a^5{;$J(xZXly*Dt&+xAR%nnOAfl zX4&_N=l>SuD06P=mZ*A2dbBWxUE{P1Nu;hSbm|JlyyBKD5aF z03^3=m+M5!Q1#+9Nfll!7eSnZt)3Ie!>{jP^TTL6h?=|qTY_QePjcJv8Yi-+@r643 z4gK(Sla@~IXbq5$pa4&|IH(*)2#P$And^@3ANYD58DAOR1p9`O%dPEpywC}t$#~?f zRm~I$D-)&?0w?jn_8V&~4N}%Kss?+%{LOnm_7U~7U;7hZQqR>6mt7~<$1V)D7=l># zM68gH?7-xr=n$IgFHn@~*|D54z1a#!0KK&vrwgh`E8zIzI9C~M-UVC3an#2*Y-g05!ciiz_#tK~vJ7j$n4^eLhZI42#Tk}`7MpHFMmn9+YN+DKFf57y8n?o(_ulF`GJY5vg zw>1T*hqiTYygBAm*H#*>a!>rj1}8bFazdyS6UK5(@<@d%Py}ym2XrMj&?P=_1DBiS z<)-zM+*3y=J|RedDIkL`MpJ!^;5ixa>gfHOee$`(d3)CGr3d2+i<`K<56N(-D;JEC zvctX>o*}H$Qk1sIRTxqNGJ{4{9dXmeccZ;87$#jd37%WSfE-mO{%w=a)nk2O{bhg} zI4&=@7UE#mdpthlExND!cjmi^0~#7MOtRe68PGsrO{%2z$su! zL~ucmMzZ|*bC4yo;cr%;*}V}}qB&UTRqX~@c7NFXHhH0$_@l2PmH@hX0(V~r$WgTx)pxac!PvmB`CMstQ z&8=NmT1II|B{Vxpi$vBcGU?kEH`-g19IeB@gcVeS zU7Ft>GqFJFu-ai~` zrew@ffow)+AchRN7!r4K@8E3QLT^h)_W_;a3d`a}cvnxyi7DZ;qX|tegmQB1Hy%n? zr2X2Pza`*38;)m))>p$mmfs88yeXyc#d zc7NY1-I!5|eyDJLKh|y^>ia>6^9wdHZGxlmFW$G^8=WlA#Jf72|kh+Reb2>5NBWjhlF2PjJR99r5Lh(zC(;eCOW+Q0Rf&dPiZyTe%<7{2*B zDt_WR@dwPig@qn}%UpI@#fp=EH*%r0 z3qPf~hg%;8_rFy^;db0Jk+HgKwv53|b z@=*lJso%A&`};<_!|jaxYSgt&@h$sKO|j01C$EO?t^X<1k@PWWMK$;Lz*23mRs@K~ z%9F%#FOW0Cw6ke`J-OQL3~AID%liYST!eM>K+EvFsJ9b{+q`b9kM7mh)6N35y2#05 z$haRdJcl(CkK*JMTw42VV)i$~CxOE4kU|(m{0gQB?xKPgyaUnV@wr(r3@4G1N01qQ6vA zJ?mKF$9P!OAt=g#o;vT2OY>MW=r;~T-C;Rv*Rlr+QFbyqBF|wN%G)G88waYo2!6^Z zl1$CskBs4l)!dHsHk@*~wQK>r_1D#Qe+_o@3%BEs$%XqVw}0~=`Sj&hRZo3vM2!$C z2==Zf6Pix;zmph34;;nOX2h7K$Vn7Y}PHJa*3j9J#t6TQ(lphzJ|W(wTm?i3+B`m zi*U9hfFO*Kb!jb?ZZI02NtV%VTE23%!)-YD7TFM1wa>NnPg=H2oyp~=`3NH--6#5= z*yc5{jxsvr4ec=X+_jFa( zpQ$7Ka)hzm+o(gd&iB0rr!8l=493J6BUM}W*2?`eG$oD9(9qpAZfz&aO`t9C5_&MQ zYC^2DKfH(novJ8b7BCaUg@FZ*-#tM0GO6mtnOqT-hM~pC)Ng*_-nhCe0|uNcm$p>& z7EmsL-*+6TK1|@ClU0!gBqRK{67~l-(eLycvpH? ziGLG6+%3b}iy%%ak)mL4MtN+Q;G8v(NCuC}3iO{kPXLxwDN42FrG^@VkYntf^Q&y_b^FZ9lhEFZ3yw2o?26;busies>1IZ9J?W2 zEpzN&Ck517YJ=HVtsNB_gUkD^w4}kxHj#2?17KefBtq?M3&i3*s%c|Wg!s|AN1XO4 z^63svZ-ZL@fOS|Z!-56nX zYvrXyI=VyXQ(o1gjXP$|tqS~JACoAU*(I_KrjgBZkR?o%nZsHJ?T<66)!J%iXmq#* zLKaI5)n%DU*qvt=lRE1sAM>}~MBgC*i^-?uM~m5JgOZD}is^*klLi^M7!Aj5qaet{ zD$eSzN|qj`KF?G(4i03Q?1-4i)~u4?+s4H}$I!Rg_*niY)w<9E@{@9bf;Tv7|MmMd z0umB(zYE?z|0jh1PudrS0rG5u`H%aZ{lBsJpHQ;CvVa#2pAa60PGQLXa72>XN{dze zo)|J;N}m@quPWA^qt6-B36&h9fk08!XsR#s1H6GZC0%rH zw+N&IcDpw>ToLx{p*Z`6<8btJxW~I1wIpW%<4WCY&$-S|ZT)dy0&(O3RBu>g!UMykW`pQGz19&t59d_$8U-=7)%3K(peSIHFknAxE7Rb#>=eGQ zrC)?GjOI@!-(7 z6oizjZ)@$QbUAZ;w}1obAsPTx?8$Rn(-wv?8fUqqrBL6km;7-6LH2vQgzZ*so{*NQ z)8I#cFJOy9b?%;{{BlCJ>Q7Qe+V~yYb~LAe6H8^WbOG(|e&-Et`hK4Ts*_|(MAmq8 zvc`SES*3tah%xy_h@`F<@VJDJND?u|6%AL|do9^=9KH59I=EEw#UT~q(Gp^P&?oSc z2+tKmdx6mzb{{Hl!-Dtq?DjV}ey4izDI2%5GxkG4l|eHqf~h4X2dJ?H$Euga*@O|P zM#JthLnL z6nTHOu&;Lpwo0^AL(f55$FIiN)(CgY1S{#0zHQ-eV%N1fna1}j*ZFBldTH{K@Ct8 z8i|f4CJ8D(`?Cnqjm$EJ(D%5@%Pm=ny`0b6I~uS#-PM5K0g56}=%cXlHG7wP)eEMa zFJ^)n=sLkyzI)B3_#!IO^eU9`8ikd-KqnSlV+ix*cCcM_?1pDT5&WV7fUtr*Ct=zh`=j~QnE5XT)~%gqhF zV%(4KS)23BhnAoq(v6(oc`b|01SD&Yb|w4tzC;Eca75X*svTKP6SK*B_PbG$Ci*}t zUhI*4`U6JZa-RCoB&{fxJLSa|LS>sMtO2JCOJqW7>PlQVQgmb%WA|GTV@C@~yp1vH zSLZXMql&io3+R*DQEU!9ugkYm;p1WcN=>&NJiavORg$I>NF_G(40@v@YsWp( z=8i_K+ngRbzY6KWngWMxB9~QD9$>*xa*wVTotC9|LMcF2jmI?zRb}W{z))RI7u(Rd zmv|f>W2Zaz$Tz+(P1~^vo(G^O8`OOpzFSimTciGk?It7FW-lv>T92^t2P4>!-S1|m zX7ZsRLM1WB-Abc=YbEl8Vy`epu_x)OMn}TuFEE$we7fn?ydSUDX)I}LmJMbJU=Tnt8hx6q}?!O<VrO~uvf(s2;Ow@<$!bAzgDX~xgC`9Un&z(5S5jgX(=+V) zRdlL=Mo|$#v7w#Oj}F%nv8V8nsz%~eRQg8FV=ff~}t8}?x z4Xhoue8gf2zibk|77xs&AO(qlUEj@mMI*21y{?}8I@wcIg18ULIo4diNcqGF0J)fdi^>a7Sk zv(o6~)`&Q&*V%XteZ)0-GI0#`L=;pe^#vQwS+3&r<%Ah8^NxPMnu&?3FC?YMJC&=8 z6F*q2q{0YgxNZ(oS-U;Ad7>SD2C~jI?u*CKi))g#??itBxEgGDX+(bp3Ph1jI6~tp+|Gk-b5Jm?;M!th* zTU->(=8_ybM<6W=k%eNR3@=UCJ=w&=@oc~8jRb#1Eg3`OMxNYU?qq$=MFNnOwr%*B z4~ak_D~vnHB!>S|`x);XqxskBmLH_vx``?%7?ojbaO+GE5*H2!2%B9eYQ!_eiDx2S zn4)#CuPFj$X@3IeR{J8vm<_4EzJuVw$`yY>Lo7oUj$8JV^hxE3JCb(wnYa}j^I4zI zP;3|#@1~g!pSTTM^!OJ$$tqoz}I2^uk#}FKxWA)}zESyiv(7dWKdS3{)Z^GLe zT5pNZmz(`Qpd-~f@pm9|6wm0RG*o?%i%HH0qw>&n=c!J@;d4f}1^t0voTUYW?^<|0fR~*lmC%Z{NU-Ez1s4 zT;b|9ut++@#m=K;$ng=ub-q|-BuiVzu|&XFRGW&HN7j|F>*2Rp$h*ix-Z)%(G zy21pdYk_Hu_ME<>41PGEp0unOKO9kUxXDvTWPs-x;7MH^<=K0!++TcY?X-WvPRet& z8a%?-A$Q>e?hH4I+m;%w?2d{6h~m`}wQWTxp^G+mYO<1wCah*Eo^2H}ZRdvnfNcgF zptj}fmy9H*@tDv+BOJqW?`4*y4K_-r3H7eq-I+b@(b^62{QRB>ksOpN4G;nN8cN@W z2^s?zVe{g8vgLY0mtCDs9K4*!%bQUd!!W7(s}Y%l&%S|ORF@0K(4=X&&TM!60TZYb zOx0C$tNuZtlTRb6rW;d)IR`&eg=&XtM)7&PLP@bpftCksCixrSucQWwf+zoS42s?i z__sq5s(=4dz--G=H?21QEQ#Cr-d^|HcKr3ZxHg0`tD2a7wXn1FL~aA6x3!Aa^GA3) zN1~Mr7ZXd=Itjl;1Sa)|3g0#k3P}{S*Kfed$-0>(bW{8I_@b9sFbELMNis zpEmihmnbpqcJyN@Hii2)IS6QQ1)x@()Bg<(>=-K7C$Rkd9v;nzT%1q-q+sqFCplem z6$Jd8yRN9?>B*#EMa50x+7Umd`&X+*nHISm?4>fxkop*C+cId{=6Yn(9d63&V~%NR z+h6PGZW66CFWw`WwC03O_;Vo#(tr`1B1@9=E%BY5kWw2uHaCk~NipV}4QL}O2ubnX`QR5$W+W4v$-1+fnfGwM7 z(-iBUV7t-y<=cuVM!GB}LBG1LJnk~!<4tMJImUY%CX~5j~nz<*_B964*TrL&8uEi zPLm)5O&Np*#}UIBwS}-v(xXA+$H`YSqd1^sDUwY1P{1nxaw_c)~!+vH&p3gvk1; z1AMXUW1D+KQ!!2nphL28abLbYY2%J8JUtn2fJ+%P8cMe57j~jG9&htU^aGtZYKp6M zHBWRiDzXJaMU)gvdYN5-hzw~61hRTAM<_E9=H+vmCs=wKFqs&n~AXeDa{2CEzA8g*H_+xhUJtHHW%9#iG+pm!Fa0 zkiO%M=Aq_Uhb%W~Cep=fZEgP8L^98=7Gzz&A8JPwGZv4^S{-!}-LbVjeEX!`TnANT zEWz^QF^VC!hGr{mjksZ{=ubJ^X&%4$iM=sQ6ko<+u=U?PCwr#=)zsJ3c}3JaWIP)i ziEQZ@HhO{p-vWyvGaJx+ZJs{n?d3e8J``E}s0{tbBKJS8bt#%Y7KebXq@1`$yP?eL z=|tW$d6Z7HocWJd?*9SnR&$p-J9Xr{i^48gWiIS9SFM$AuHian>RyF5+_S+sq*YIb(4z_*Mh#lK+gBI@9QdNcKg4C}Fu;6208mv;l z2KJQ#fqw5ae$@koe`Wwc(0{)`<@Se00nYWbX!=i)~$<5?M?HxPv|936?#U*Bd zf*}Z$?i`S2nC9ZOWaJ2u8tzC@U*Clry0A~ErGQN%ud*>T7KF4u#YzC6c9f}mLBH%^ zZA%Yp8Y_qqYI*s=ihj9lsu^vkpBcMh-wfYR9j$cXs@1H(*hYX1TNJ?$tLtE6veg~s zYWt@;W&JbzzVSP1GuBoDXSR?0lu=Z2<%ttffWq5ezjo#=#y=~y%DM6!y;U(gDqHSn zv>oTNIYUjqZ2auEd=xTE*~mzzG|c1_1}I|l1Yb5q6MD;xtyG=je*3C3=HI2MAfeCw ztC@&5GkWMIHsu=nL<`7B1$MalY))4$3#O%uK~wD zu3Q<(93)zkkF)Xc+ioKS_u2Z0(%!}QY~0IV^NHP0-|NRnR-ebqA25ZAwR48GmhC*= zSh2{D+?=Tbs$&VuuO~$A+XNl85yt&qTSmSBQ!->NTuXDu*iv@;b312vJngQKBB*lE z;8b1pFN@cwx0gaN8k)u#H(!J>| zvmMoceqvECpZPMdE0(xx%6$zl#z+cQOhZRzp@J(o<#nQ`vUUFKFLGT%e9?46!fPu+ zMb9s=VSgy-();V~?d!pR{roTU{^$KF--)Ii>ix|8Mb3oqQ6kkmygN6he2)IfW{~WE z^}O%%19G#E%EMl_TWN7)F=G>%_B8sS#y5a&{P*Gyn#JM}>@@T$vtyRa|KYJLIXLqv zZU!gv?y#wxJBg-P>-|jsfQg)u;QtTy-ZH$7om5y?n zmk%DDSx+nJV?%0V z)kx)|^c3uu6^MosXUXQ*65kNxN6h8x?;Z>{G?@0Id`OG`1E5)xRIWi>=>8#VMQH@V zFE3XxS#g+JLNX!Nzc8j*FKoW?uL>~yRU2Mtp?5rnm63wW$S2sQcdD6Qxy?X9wz|`k z@%4q`aM$*h+VKi!ozc7O;LvnNW-I1AfI_Aax41%GJ{U1TUQ!Un&s!;Xge+~Y;a>}0 zkemUQxvzRn`C5`!VISzUaFWZV_y$w=Y9{^H!-J-f`&aw_N9W4y80zV5qCrK9kTiZ& zu<@98tSx?JP-On3qzaNMbx|0|e8RZ(|0WN>%O~WChTmX-l@^_33fqEJE#Yr|;!x#v z4Sw;T8{+)xeT&6r_hoanV0?}6ewttTc@2aQTnRzsc;PweoTr~TudLl&<*L@IaH@3v z8zH%-Gt-S~b2wf(W{fz&&x$OmC$07}gnA}(T7K^(YHw1^`wTu*B`L}rqhx>WmEg3? zzn%2wV|>gBz81(-hnjcrkvDTa_1k)dnr@z_*ye8AtHkIiWArM7p9_@vt%>SbgXrgmxwr(D3ZfAD!3KBNM zD~6v&OPJk`$%`JNhU&4)P?Kd0iD^DBudO&R-cGuAi{F;nORh?bTbWKVY{b=+vZRr_ zjPi>s;)-Enf|FzV*xyiOfzL*3r4(w}CRu3u zQt563)Cy`jU5rB{?Bc`vf!VM&j==G&_ao3AHVR6%e-Hhwp9OWb zh8|Rm&0XvRQzRC~TfbZt>3S1R9rAnGa$=O0W5g$J(|pe`Z~8-B?wwtigBA2^Z8MUt z2_lY6*Q!P$;_^*MV6rmNQRn8D2P*1 zQNq+@y9LnNexY63%~8Bu`DO#>YB0{@?+@}oUMFb5!4&xe9T4FBZq9$jk06x>h)nNI z^6!$sNE}HWk#Gi2J3ic0-aMz&n@`)M-IU9HNK^yup=+*H%#^!gg@?KtbDZ&mtw6&` zG9gE)oV*WANumoti8;%`H}D8sdnkE;K z@TQX;$r=sH>4@twVhUzsM7{EMKbe%$+#>guWy9O^5Q2=lf^FRrOdYiEkR5C_F#nM#U!o2SYi&2eL! zm4f|C{{mV=>L@U$)eRMk0kEvHuiE?r%K_A#9bwv-~zKE_Yjzb__8eAVmKm0mx>brovmUba6! z1sQGww5|^BX6JI();>#k4qu$64cH#=4@#IhwU?oBFG{X|qIgPj3?MGx!)Oae2 z1XVb=W(>P&z4f`w81hzlZnvFG@Z$0KuWf%Ga(R#bg*8`q`ZIsPN9jEuHFjb_)FsUf ze?r?((E__QJ^XXk;>8)q-o22;TH55eFK106nq&G|Z+lv<o# z0@Kavzq^aEhQ3yle=6(ogsDr?ywO^t`npPy$Yp;18c{AJkwqSjHI?Kz8Y`*>y;x-S zIgTZ*W>NzMPcW*q_(k!43T<1%prr`a9aHSjJTL|@FhxFu`%;0Lj0Juh9s9pYBPLGC zjq1$q0rNm6?!NgYI9Ri~qopP|Vs1-Tb*)eZ zPDM^lL}-xMU-sN`0(JNjbegC`wF6D-lxne%mJv9)YAS9cX(1BfQ-vn0fB|PW7ah?e zvY!rXscT9Mk@6di$*b%>GF;+sRgZo)IFNgRi}B(8tI7p`)%Op(=C9^G`dfX;Ka>7V zOcsBY7o?cq|5fB>x?0QmAUc^=StA6ttMVr%$5dHaXr&ymAtF@|MH{6T%bNAzM0LkU zsQQd>Hdk;X?mjqJg&A@wVXPv zBvYYN{97?8io)gStxhbOmH(372C>A~20!7IN7MDSe0=$3!YMhF9lwC2AkC@s7 zS8Knein(bflF2m1%Xj6=B}G>sYlw=EY3WzT$8WqEEzNfhcBKGBGKQ_;C$hV;doS0U z2-;SST^-tcbHnk;{m@)^*8xB|^Mha1cE;;~B+M}lt?LjRSJyYzBip}}sA%Vs@wy*> z`ECD=`xesiKvM_~UPHmb0X_DQ{le$o<=PL^6y=<{ZZE+ zyoo-Oe+}Er@WMUv3u4e`#)2~t4H*{1HH?ZQh9wZ7!uNiJJNUB{Tz}*wu+}lrZhefg zVZ7dW2eX!Cn4qB9^`FIcvaY?*eD-HwdMJMrZ2EsV!h!Eb1OkcZ zdFVZRnOf%Fql3ocXg^2v$yC=HIjbUy6`$DZfkaHIQ@xNi6SwUQ7I+Z&XbD zz?3f>5J6;ln@~u@d;feIzWP6l?N@|6npQ3UNZa8&(6#@+HYe;qPxa~FLBjsAsPga2 z4*xSGEGH8S!@n#7bWU4K*Nprk7Co>rQJ8cPFMjYwQm(R%vob*>b;=DdPSXu{^Ohfe zT9HzGT;}EQM(Sqai60JR}ti9d}zqO%@J3Ngo#c?0e z9|qpv#~ymL{oZ}JzGxsAf4r?J$crpoem{EA-JQ|(dc7WdxE~XVRgCpIUWxR2+UA4Y z)&6MB|9&RrDF40@`TOQRbN+ebZDA$y_e*}#X6en*+d=WVr%t%k_2K3Fjar0PMo0VG zYwM?r@*mh5e{f+vd8S(kwueIzg1Q=W0C*Ooiet{e-bZ5c)VIL6)ncXOKe@1mC2OR< ziMmu2`AR)X+=Kw)HJowWWZaI1NGu9uGLQqG@%gETSVKMS))s!xq%NFUwd26DU1lkv z+_it0|7{5m+;3xD1YH`j`{0_fNxyy71%AF+Un9KLsb$@bGV0`)iNCWokQZ@itPbec z>*yGx6nv~kI5N!cw5_WDpK*x8OT82p{Y0)|7`P^Nmr-;vBCzXqFXMzk4iuTHbwknq2$Y21X0h!$I08JTnIUGk~| zq16tIj8+F1spznG2du-YS&!;D*ssN)X*+?w2#q|fpkT~~tiuwL+WM_{qh0X45nBla zG<}yRb@W0$0`bp)_Y~?Tg$(BdhF>|H<^F}NU zphSx?VPA9zWu7b4%gV$lVp=8BBXxh@}tL}c$Fo$;$^}Ts zMR;}^ANM;hjPL;o2F#9$QG~y?IwFkHGr;a_)zZ=A5k6l*yiM6ACo2GFQ+|(%l+Tr# z4nUMB>#_Nrm#5h8U?}47+b_({1w**4HKTV4NI=R;eV(66*s53kJGMT1>U61jct_zM0W(sry zh9%#jY3;1rhXjlcgt$BnY&mJbatx; zwNzX?i4Cyb8+nynZ9n(0@Q5k;jAkbXL?Y;Tt1C$wzs;@!aDG^H^vKlk)kC98B`w2& z+8)xJk*a_v*D4|`DMD;nws^J3qLsGHQt5M*D~jh-ez-gzs>iJVs~$A%#ph=^i{`OG zH(*{L1};S7mH^W=P8ZY*&0C4YXtvhy=q``mCCP+7qJKeXd|=q4CXw3iX90P_x*n8N zbWL|`imuLy!Ogwpn8h1`gDsyhY{=>_7Ec|peFK2fBX5Bbs%D^mnngbZi3gO}{p}Z- zZd~KDS?C{KoB0?yHhm|r?nze;xh&_eye3KMVli6xnnL8K>K5B~l#>IrOCcR7y|PIt zSKz+q#}*>GKbGyZd$V%4qb@K-@280J+9#o<63!_1agA=zAfPwwa%u}r3Xx7l`)PU{ zRGm>hh!c2f*$Ek(1(c_-wNh&s#QKc`tjm!tuY++0tY4h!1 zUgfDH{P}Ez^Y97YdCd$;JI1&}HVSM~69nRCH~%0R^jcfFuLwzjgRS(LWabQ&%dQ>gDJ(o{-f{m}<0#a3lgL?`Nj51Nxia^e+>l0-|b!{Hj-~PfY zS4Y#ZHCL`%MIMTm<-t;ri9_V*><2shZ4uXbnYW zNq2@!ckX8D!>`)-Ykt!{_rZ@I@0Clko4BXMj1F$P6)BN&pNtl85b-g8b@3=TJStbo zwH#)rg^xbH!iJ%^hk)pSPH~OZ}k^D}r!O{WCrn7on)3RD3vNv3fz?P!+4q~mOM~F`Xd;Q^dudfO zqw(lXqTO~-cChWCa_YbO)gI09e(IbAra`ytB0g^_&^fTW+c7$v?%`laWd5GTz?^%;)HH$e|0 zSKO$2TGbn8Qr$m*DI~-NEyRuUK60pBM~C_$W^WiL`ViV9`RQI@nnS@Q7(3KpnuBfv z&I@(C)5h?y9FeLS881B(gSkTPXS{qlDmPb21K#MP6{?ctn3X<5ChVo6$U5dKZVDYG zGP6mvTc)&~tSSjQa|3EGHzr;RH-o@)<01C9s{$Cd7d%hZ5-pmQa3P&;_+FZ49$>t%6*7R)Pa z)zhL)t`u^(%N%P+bjhooE>Uq5vq}WvxT@+1N%RI6KtE$g=bB94aLgh%n&l>#z@u2A z3~>4QGXgEE$>pezBC049x*tKuRifW#!a>p<0%ACr1?ERr-@IWxDj1vax6rKe!AdD! z-|_^8{2)SxL%uUA3mGoM0y(jM;J4rLjt@CU6-N>9j370yPPA;WSlb=A$-)|E_TeUA zi-hl!Nh4b)8M=q!Q!BC0MbNY~8oEpWDga=AzwBWBa6M|#mr^EwVAPMG;mI^CEuo(H z4hG1qX}Z{E+mx2CI1d|CU?x`6R>3p6)K2sbR#9h%VmdsLJ#uVI&8EnIK3L_%pNv-5x#m<_BYv_Ur-K{$7Vyd+W%!ho4e0SG; z!#fha-uZF+JEIH6{j);UaV6lOw4voM^$sQAU$usa`tuc?sCu`!fgO8089`X?TiIRX z@egJ>F8Nud)`SCJ_p1WJ>O2JsxE)ipSa?6~nSi`&6em`4qLBJ!<$h!V;=kXdX$S#o zoL`qAJ(id{Zg*p1Jqx|svJ{?4;6A0arDPt@f)vzpK4^3eB|=7`ej4ih%F8(6V)~Yq zP6l7>xI>0!wmltxoK{1_L|*7Q*g7O;aANaGJM>h(qmZcY(Dch#(v~7e5`tmnlt&ub zU~FCoLj=o;#N1~dPDm;Mk_do3vOgJf8&_d%2p3__RZmkKckUQ$T3MG|I-vWu zOxFps6e@cDAzf4-f{y1LKzBKAn3YLK^~uz@!O}jSQU#CrCmCC-n!KX@o|3!ap#aB%Fx}q3WUr0_(mCXNHR$J;Hp9vm9@7$G#ogpL21aQ8E+dYI43%eCs=b zFn;YzvhmO=3j=sD0$*RALuXtw*Zn$im=DiWud#)oFWR=;f-ku%&M->zF!u~)lDbED zlno3f35JBA*TbrE@ns7xynVVy+U^dA%4m%I0d=Fkn6(8eg9uL zj&3POH=z#GB{++2DgC^IC%(C>PJg4*l7Zys&-AYEDE&;vhq)G?m(=Z~i8?pIt_?sP z^qw2jD;tl!VcrhU!DKeF72(vIj5&j0>rh$q$B(ixlzfFluZ^qEQDMA=Pl;h_0Wd$( zjlFf48rm}h+q$sZYot)cr=D^^oq!^L^d;8=k>DizVU?=$8`@IS5vf0!SfX;FOOn8Y zrW`R9-EMNe9Z=d5diYm#J!5mys$8j&jtR(GIvYUlJ1OB5?C)HSbM97&@YoPt}(GzPOSzA2+@?;VxY_cv0-B#$}YRyeW2_Q7Z6T7O4w}5dDdNX-BejTc73#QI% zUqWVfhGk>CNt@Azg#&P(=}~_E+)YC<)=eNhDk4w+?JU7Q6aZ5obk!1%EEKfWAn5AtMyzkb&mv@nohWJVvy zFmoH-JyHcdW3kv4nnM5~WTU+ln{;_)q~4>ZQJIOZ*#=#ynNH-3)to2?@*Ie;6B|^v zCUTU;O2Z2=6szwIfi@h7f$Q7lS@<3qB(?BE8$KZLZf0_*$K56BuH0xF1U|mVQV}*b z`CbwT-EW*AE}MF?q(2#-)QzCw-R*|1J+0jd0Z@%esti|C`w%$!Em4KSTA`#x(;C#| z&chTirSOXZVa5+;;nZ7q7JGNueRz!OHnJmB2BRwq?ryQC?r8OQ^ciPq^Rww09oYy! zdlB^8Dv}vJlINF|f&_rvm0@2>r9)bh>_#{DqH9s{jARK(4JcNUJ6ntrvu%h`4Xn$1 z4#5N?)tKbm<7msM%27Z~pscedT#2|M5Jqz}e(apf>R+T02s}%nv?}wyMnsLYueMu&@bVZo}6M zHgT}_AmjX)6SJL*0PZW>9cIHzf-vpQ(FfB)*hUQj;NYsjhIRV(ZvzS855uz{plE(d z*{FX)Jkr0y!F?3}jzmoO4P7 zH|>_lz;yW6)vne=(&FBUMmG)Tw0k>_ugQsoJZLw%>2YE;%i8nxNlUt8w8J=rD zT$8OSS4P>KvGL}cdwH1E^~=Eh_zLRir<{#zC3k*?4Plh8E#ci-lQ@wpKu_%W;v};g z>qnG~VUj#%n798>n)e5O?XNs$a4)88riT(RH|$5We*6Bll#j_;Beg6}0Q)Hj(AJxZP?B;Qrohfr zvBk8Zsm5)E>{=`D3cZ(c5F6$Jw+P?Re$Q8U`4U;vIC?|HIkUS>v0?Rs8N z@3xn6sBJiG1_1ov6v1T-3+wc}Y-6J^Lid=BkNSn6Q#F+G+1FXJ3IgJ#m0tN^ggFrJ zNQFkxg$B{+GKQA@qJl0Qmg#bP@eq1GqYbpoa|e$Dq^#flaQkFW{1SDQEH5}Bw1*t4 zF{S-E08j@eqR!6AuHA$UoE(}QtdfcF%wB|WiJ{X)V0P{v{*daC%w>xUxYT#y8cExj z$Pbl47~WJE-6YraLCy6vvcyNZL_%^k#Nb_y(Jr6s#uU?{-AsTc>)9hlI#5PEsXkjJzqfXK`ON|9w7J5bPF47~$ zlKBSE^xeq{M^WG>F6dhdG;Pj`ZHio-M84QcL!$Ey&BXi+hgmZHK(*3J%o)jf%fpWX zp>nfmq~Qx9sRI4z^dm}JZ+F?eiQO+{ii5WGjngN{!T zt$n_0ror~0?HjDELUg3{1%WUKEhko1A#tmtD7b*mpidm`O;kCl%NHQ0gI;TDkAHD9 zCzH;0xz@=7)JzTybIA%gNW_(x7d>&jEKGcJn$0|QN>iE#NX>tEs9w^KuY;3~cu1-O zPt^&$wu}4jL+#>360g;KP(HQ(nsKnWq`buBE6?z%VTfcoKN(V{Z`!kWf^@9z)r&AVQ!^k^ z7|nq{wsqRR2%ZIfOfheW{~taW3X7`cT(#aLIM1KO^T)Z1^~bsih@aKg=3xPCgNAg0 zQTFTzUju$S))JoH|LF14-I^0NoQEa46l}pHt&!w~VZ47HjZfN0T)dF7a}CbciMQF0 z7X>MHF)|yB_V?WSo356Z_KLPGvggU-R)on7W~50N&atXz7RJ|#>%iYm}IB>jcU zcMpNXgl1abRCYk_B=4gF6<5PseEm_)d({*|*Rdal=`^F8A~-44b5uKCC=e~b=`hVg z9GTDLcdz69T~yD)_zc8pA3`RFKl2P`wSHb9Tq==X{O~c!M0YTA0y|1Ob+PuJ380r{!k+gYzk_h8n-nFzE}rtoqP3Pyd*V^0OQBL znE`5qzA%*bt@F{5KE5YLZiP~c#Fk$TXhs}>Ux0=a_=Q_7DcbuK)_pEUx>KdL&I&<0 z)a`h+y=i;4zg|YfZLIQV_;2Q+8gWqLa748p*ZgS z_rfl-RfddC$tz^$X)k1zyl;)JFKh;sTB?c(2Sc0Kt#bSA!veM+nmYvAgj)6%QmhJl zqKqGO7-SII2|aGPtfyi9uvJbmk7^h87QTOs=BgEpLHMQRGhXlTVaqUP7hT}iF#Z#S z5Jvsv6#XXe{bb*$R5qrfM?G~b1oNSzy|w+>rzDes!LAU-HanD-JNZUIFMjz%Bafex ziMd%cD**s^-kiMh02B-e!eFBgNBgC_~eEm4tbk#+GEvXYX#QHfVI)bd69^ z=zDGLMtE#zIX+vq!*NOmUo54n=1+T|AkofHyI0~HNpJJSGFEa0t)X@pj?txU{Mg!a zNvk6Q6aMwojk!To`aET2D=}za90BsF0ryFN9f5#O!u}Sf8un}|>lBblPRKj+Mb2~! z!slVD3kZoJ_e$`Vk+UmYU0tYS51hO{cly+;;t-!lEOf$ZpensDVyFy1bQH1!ddq*v z19ME2nbAFgld31s4p7(WJiQbuikQl2dqCx~6eIb1Q7})%_-gUeADA`{Kp3 z1}A`Cqib~8v1_xnD3f^Q*jOba8XSt_6Kw-u?HyN`EcT}mkZ}ZLmEdejZnp)#mRt`d zsu#eOUxb-Snz8Z8E5U7u*#SN^JP~FC1cILcO^WHvqHrH$W;^|8V z7St(V#Dey|DT2^9EGRD*GLgZwyh(2+^^4Ft>!DY#RWbeKA)K7*3tDj+^6-c4Srl%C$@M#26 z+_~&aF8SJsfVNS$dDn1>LT=)IiF`y?s`F&8DXL$wAQf4O{&gj8;ZhK~qw>+G)gZG- z=#hJQ+s&pwk)a;p6NAanIvR?>wDMLU!oyj0%|KLDS6efVM12*7n;Ir9ec4-HR+CW0P9) zC8Lu21eVdPy_9G0_(cn5h#$Z#1pd#e9D12yyUCjR^Yeu(l&fLao?V-6B+8@)ih%k+ z90mYlU({s3TuCJrQ`JPP`vJYwm|j!4rW4cQfqCT|WPOL? zTPd%-g?Vx_@y*;d^Dy5*=&(Czcyw* z63DySS0XD?LXqxl?>qRPl?3ZJ2`N-r{m9I=r}4T_XS|k*iN0i{^biQ_!L}2Xpv&h%(lAIw~qVG#GK8JSgQOWyOQBJR6iiZe2Qs(_s_8+>F z1yxB_9GqU;*bfr0fXYzyayWGs7p4L_n7{o23yK@-0HzzuScAGZpHX;?(df#N_{B9>J9)HqpJAfO$nqFn#_G~%?&EiYAQ+P{DcgK!}s9o zLc3*-+{%bBRlk9@89U?-iIUB7bZGiw{qWP@)DvJvRei&cb6gFxYYruLx1fm5`LId> zhlmJ3M1ok2p$0s+-sH9TTSuA%tp)IPn=oUZG|ou9s^h(uUcsZh7t3gksZQKMncu&a%9EVS zN6%m|nKD|C9&51|9DQ_0Cl-?@&`#q*=g(NG8gntH;+55@$b942RKBDHK zhv|b3=RHV0j{kC|ye?nZMcO~~El>w4=~)Q5H(5FY9hz3tT7=k9p!fq(KRitKL!%vG z{J^VUH~jF%xQh~|2ZQg`$d|Av8M}7zKS$!|BXv47vG<`-e+*=`vqnLhVK8-^#oGOHUdcFqp945afa?CB z<*XcpWz?4Sd7YalWbZiSnhX&qsHxh4#;~RHgH0{t^BHqAdr3|vM&ZLj<`ioZ zhVL| zYoJG^Zc)n+9`lbMEag`ZWf1DxN~XP(2s+=+9X)!ay%0a@Y{>BebYn_(|9D{ntSNd| zjc!My!Oa<_`qH9=9(ZCSQNNzc?s7^!d3QSrqZ;y(?S1Feud>S2ev8l^hj@k3G>d2) z0_{s!!$`fu39_DYPM5xrsZpYPnvtvks+3l^sjYfu2$IDbAdQHR^D{ zYe||N%bF9*+%M3qhQKeMISZI-lOqw9fr95mY9iMuDh}CKA}r@+w;_4mG#`Au2p;`& za4>|&Hb$#Drpqf-%J)v^KV$mgWWwiR&kyUP9bqATe8OcTHP;-pFec+{tuDk247zkg zbp8ahp)3=I!M&tN>U&Y7BUj6^w*;>L6}CqjSqmHC{evr_d^LAJnZ(G?=Fb(ZRC3Os zT9DH-3#c3yPcbp^)oN|NC>qv|WGk-)fK+!#!&;hgsvRa2121x`rMw_P%+uGlH0Rt& zcuTB8X)PZFjhgO$Pun7D4_|<|qI1qN;T`0L*r6XfZlT|ezRTA3?pVllJtDyUARZ`a zUJ^5!bakVvRNIiB3Da6qqTq{Kr<8lL@Yz+_RAJN`>pm9kRQi_(rXp=A-6p8p_<*1z zT@TU=PNHpw#@vr4k5)%U+e~B&sHHeE7@U6|5K`o}ekWwkXbK5R($}yHEl--wDZ$u) z1vw9D#&^p`3&4Z0m3&=|9}w;`n0RJ7qPs(jv1`X$hJ%y9XNnr0Ed{8wG=pIZ*CY z$0z}q`JCN5`xWH${4l}m+rgsTM>6Vty#fGC$v-F~jcSMGC>!}&896~8Bi zva?N2rn<;fJ{)~Ldm;MU>q{3y$Nz!k&i*g+i~d1!=LB6;Ao|~vVxBzGwg$sH2> z!v{zhczDPU;7}m^Itb?u2_m^e6Qg4=Dq)fcDCkGWGRfQ7$HZmVOijbyIwt-0zYXk&Q{1IW=-tptE$mIX)5oWw6^`8YP+Ku3*&>P*Sq1koa6ntjf+_z3-&TazB zzxtSz2@YjN$Mjw`R~q=HY+d+ptDZrQ{Sn9BI8IoPh$6kd!QuL6if>c@K?DdgBBH@a zcD~$mFN);%IR3+2jNUy8TM7M-Eb9J9Kkr1}yNFMlbh2;fJn9jQ*Bq_Uh%f8BAoJA* zGTem*_|JdNvTCMGY+cF(FSRxB<1R^{rY5L=bRlT5BGqR7NZ!Qt+qy&3AR?4AvqKi^t({ouiD$tlv+m?}+&xJOdryS{+u-n@(ghP_m#ribOe1@7|O{B6;Ft!!k1DQ69H;E(5cB} zR^}=8e1f^mzExkg%<;Ka?Rb#&IAp-xhGK2z#_jIbwd8nvPhRH=jI6o$ULsp)d)O!L z-gzcqp47(;ukCH742t@!N#jFHU>FY^nM4P-81dQ{QMogX8Hb!YpT14BvZmo!@FY@g z+migu$D&@nQAZ>aztZO)6BTU$2^g8VC_@IR^v!Nq+ldx;%gYCnSxVxG;&kTxD{i@NpQv$A@(gr_~O^QXa|$c zhUUAovtS^0ue(^%YvC0ZQeIO?lRHDn0l-XxJlL-ci560FzOj1sKAqE+W>VP@0g3%n z%8+64{NXhK6{nu=fiOyRt?Wu-(gZ2Z``v6z_(u?DP>^>}(8vGr4_sg{0hF7Pl|)Qw zWHm~3^pGv@WD~bc*W=jKYCr}9m({Ll$rB2&#K<5*T6tx|Kx%I<07bu%`w0Zk^qdST{|sd4nrCNM#c<*Ohwam z=b+IqqqoBSoJ)MZUt>R_AGPfz3L`9Co%+!rXAoFd8fiHAvypgOcie1eBIyby^xVyb zwuLIzQ;vW8w6%1p%03X2=tx;$Cf^KUN%8E*DaCK}1bH!#U zzzD7!i*Pa5NeHjG3I!jQr+eXu>sXb>hR^jPf(C8gt(828rMc}j^(SGKA9a)CY%;t0 zU~4{*$ufjPM;vjP$5ZG1dO!Bi-@a+OoPaA@HPCUe-@EBpu0!HF#-(BysC-3v`sm52 z`WiHs^E!k_ZemepzKo9#5s>@M0p{5U{5P2Y^EVy?@R**DotJK+9bx89sM%YtjJIh&3K->1sk z>#QAq;w=}MsdeKv1?>E9Ft72~)(qZme1YEEOMDruPXO}};{h9j6chntGLjgs%@43C z+ER6tvcL?lA&*~sOMb7Vd)IC5L_HEQ{Yp4TbZVvnSoJLv+O@VuTf~r&^rVaJKhank zp48Hf@H!ckODMV_99VOVXg7*M6tvjF<^JSIWRK#H zVYZ`jRJ7P5tuF&ne-}|Vu9}c)?8i(T5ZEC^h2&gYdBz_TNUhXVZ{si@7j1Oh2rAf=8 zfxJ|!t@<8+f#=-CW!4+UJTh8`X7rkK^dc-MC`&Si_IyE`({1Ex(p6XRJcz@dFmW;+ zCjXZ1&^J)v$OHEOzx;nwCLddKK9CSie2X|cJV;)0i}pI5z*EN~UY@T}tphIu-m^Hy zQx<8xvqwE){sx0}-}((UYxKBRc}=DwHEytfRoquXQch2IC9SSKOXr0*=pOPL%r9N= z;HoWfu<}u|51T%0;C(uym!`#kV#ftJTK6)JXO#U&pI#%B)p-aKO>**Zb26bWx^n-8 zlV(o6?^CO~b%@LzTnC{wu31n@Udng-pwQ{sppt9Wt?Kxq5mYhIoVTcjIu7#|KKF$U zsGXN^UHy$}y;0+%uF7gghohV;hmEPOyx5+By3@Ics5+F7dxN%+ z)K7LU@1HV?w~=xa${i{#C;{?w`M|Q^>(eE&N@OH+g6!3`j}Stw@(n3D`o~KRA~B;j z`!skO`9WK)o_NYT^?-bwsM8p=oyh1PT+P#nU<&HxD z_%(w|u;%!`(ARt5Q?`nKtkfl1;?G4*=M0VvV4f(n(}^J`x5K{}m&Czc16$Q$B{Ko%7CFN&H&2jJ zxvgHcbS@jxQNik96W79P9jYjEo zY^Qa&M7Y)~fE-DDwafz5@_#$}ncus*Qrg+jm_Qw6(Woea9UYkY_F=cMi%TLHr^ z$;EPfe47d#r_7n*-rHO8k>z4!yPv=P`-HYQzr`z&D~kSALj z7ki+y*)*ARctGb@lFCHd}NX^z9KwUhOc-z3-J+2g#k?vvTykFcNrap|qk ztZjS+;Y$3%r4lmh^xs!BsG7X)03Dp>ufdox<^S3f3>$gYdl-)uWT23cY)7luh@H=C zZpV5EmdzPsc%B({P_fTaKYH;vQ2HF9VpkZdZdiXFcAN?%ZO&!Bt{hy-=BNY zXGCyr;u0pQn@vQ3^t0=z^0f%%`?ZaxFIDf88vQ_+!Ctv8LAW~+zd?%5{%uT$*clxn z;>;SMESYJ!NC{~zmcOwMJ?B;h#>ryo4a`b0R9YDGjA6-MWJnnnr`eil+mkt}OwRm`fZApaNQmwFbWRCYHQ`yZu?Z!QwFR8BYvK3;t z8mEV@$moq3?J(A~?2ZJSM^o&3M;~hmeuD+A6wxg98WQ_b>WmE~(}o0?q!T1dM}|)Y zN8Y7$gz?TJA>?`U#a=XNI^;l(lt=nZ|;#b(vZi zTwYDykc7Klt*;Il@J*}x#4y%}9ds+}o8A9!^XG_%`AAM;qH`VYV~cvMmvPMZ#BrbGEG@ zj!JYy8uSjNh+ey3oHbeR!+X;UK6c)~C+^`i&YnxX8_v}*MJ};DN{gTVSs!u1xnVls z*GTUkHeTyBFvMp(cwraZF0k<&mdwiL_GSlY5Ugg_Nd;R;;50c#R2dygmpuUFVWvdY zx@Dr*oG>O*;!cU{xX}~!_EsOF$~DkuPQV2|z@ z-Ye9rTV_R$Ijg?&bkM0=?cjE-31LIAsn3}b7X8O_KtuZU+f9*>v z+V445eEH=!SXSvZK*|QVA)C%Yu>?{D`{mpHvU5URf^ZeuHh@c{*PFQhppQd~lx#8GZD`&OjgWLdv~act>;oduqwZ zU#h>s0_UDqIuUtTy&gSu(_1fRc9=3(AIl~Jw_N^_In|?i<={7q>)u7+5n1@C`GdFG z1H+*`?n}Dchhb+B0{`;4liO*l^c>MGzpF;9j&;2HdtcTuICE!03!;al!6z7WY3hoF zxW2yLzClERd|8OPZl9j&CnTfXkHHhiSo%lJHd&IM+vLOK-W97^}4se)UWh^0$7 z)MM;Y@@shTw}<$wljaA-OKpAlM{dxyS0^}tPJ5Nv=XBX z>MaBLaO@nbDiP(-3HhO4`0-p*YgFDf8g?RN)`)9)VQxaXS1vx6;Bzf*+2tjH@~G~V zU*+0j*=9XNV4z|HS^aZkOO^mSy9m{IKrjy0F_8a@RLAo=N$ldn((I$tOR@8JsQyql2Qc`<6XujeCZ6{?I z%Y94z;?lg`E5nRda7p_cY#YVCw3YwxY2;(E4!K?np$a0o7e;KAK3 zxI^&90*yD`xFi7*ym5DeyEZOKkVYDJcXxL(+&A}r@BO`dXXgDg@2y$$`mC;1U8lNE zuR4A9uKn4&_UAz+N9IJ>823qvy```z^FMZwKJ=8kMg6a*br(osGXCa{PT(}NPNlCS zcnn`ed4;^E5B*jhXW4EhvyOY)lWHw5wGIQ7r3Z5yivA!x1WB!?Jr*!`6WaMPZE&f4 zY>gF$4u|Gt-BSCNygwKmPyTg%;m&R$rg>#C?Ehtw`5dOM6Gs97i4j63%lNFz&9b?N z_3sTA*Ept=0?74bVUV|Q>sN$NuVEgqGrK#?QVa3g=b8)~I=i}$J<`mUQ~H#?Ca!bw zmFf)1gXWu*N{8+qRX@?Da!egOtcN4ASmxS{XNfGm`%daB_shBCl|utQ-^J6L);q@t zy|_}frXI7A*=yk*hiPH!&9y6bdo8G^6_GCAL7lVee&OeFx?=OlcW3Bu=cewMxI(Te zh}ZolIJrG-*+-3O`AaG4Z$mm0bjU;2V$Qd{6d*K(X&%vTGqRWoZ0Vj>e-Xf$+w_7I zB^9AqKqr6eG!tckSn@;XR!RyDA<6_-br6Y&!m$!HVXMJTyzNAP}}BorGs()ryiL};Jue+1Pl9szS9(B!2=9bq}yX=0;-73_#XiAlQ{8kLI+ z2BXVMGtLyfyoVwSz4sQWeKxu!eH%Uc=4`P{EQ0I#oIy6Z^SRKGJs!G`CY`4|zFrZ1 zYT-R|k%m1-4HUadYbA5%)ez1LQVxV`;l*h4Nm4EE$>@2>@xVnYpTY z`nz;N7Zhw_hCV4WgXX`sz`#$)L6E~2A75d<{I)mgaVuSFF+;dfosb1$3QcL)mm;1# zBiwsSCUM9P8RNnB`(=wOQ`fMt?Gf+v_LWJuGaZ2ceIt-jdZ8#uszzyCdL|QQ+vp>dD0J)D3N44bEZKnATAD5qU?} zxg9Uu`I0DBw6ZlTXbUxK15v0Hb2EdgF)2D-5IdPfc`ox!^o5XXy) zcH%z>_IrwdRd;BAynD9x-~IQ+YlnZ4I;EcfT;-poWR;ufpW76ELknrl(@xj8hbG?S zEvuLE{)?&pc9=q8rCC~LKSiVZ@9O`3_}kWNF$R-;c=_qSHPu+Pe>ZXDcPxZ#okl!UU3vRDeT}ZWCTK;3$YHnVY((^iE-bPb*wsxJ=`bu6P?q z1m-p!|3OfoXifSVW@&bCW&8t{DKKLd=0Gth<080T)}J>C-im>{J|~fWCU*iVMQ-!Q zP&9wH30?yThC4|{+MV+OG?utgzV^;C?93f`2RGVEjGWm_s{-JwlgM4aPyanD+hF1SwK<-ejNi< zx6T;Up7_dB_V#mM-}q`IrxyRXIHe!hNI_p>S11L@PYFEjO`6w4uO9+I@LBTvD@^Nf z1S$d9TV4;bK^hOs)?Pg&{4P*Ga&;`g6|r*(z{d9suBdfhJI~Au(#TO+CB7aGjkV+5 ziqaTsgNSj@Kjjb1-XtfCbBBI@Z(&}v^`I42KoukoTz{H&wg>8W$rv(%G{5>cyz zr{ff}ar|)9N$x*54NUiyT)Q8!f-S8EO}d3)4)L~%!ChOdLNjo~jbn~>4VMRn!5)Nr zvOPD2+86ZpM9bo8!+}PXe2|mN2hRp|%c5c0Hi{4mV@@OzqOeLm)BoCsRW= zw6O}*Lg$EstPXiL>(9!wLBsV{@HC0l34Tc%dHQT>o54TmA^0EcroZz^eRSLD^uW*c z-z;QS23Qh=wwm~onoFz4;NobXV%d_@32eop6BeZE{Ca6Sh@zk80W@d~ij;^olt93f zAN5^5m=J7^Q=;(UWeBj+t=1U;&;?26%pK;{ov~8YMN1+RphoN&B{YWL^cNi54Ez`^ znI(lOcap~l@H7S;z4)+NjBq%vQBlH<(VbLjwz;pD^x>dKevk#b>i3;feG3qjRhQV* z^?MO8=DI7aIu- zD4O>$V7}HvVa(x_XTD*erMKN+m5nAmy!w{(mfXIi5-xNYaNv{1Wqhr?FbF8r)cw(%)F4Lavl4iEIX8%aJD5RRC8rQWr zdN@5$$m{0AY@Db`A?w~t+iq^oK4Q1jb2OvcyayncW539{J#RR9PPI!D(z&qDz`=$Q zWfb-6(IgLhVru!$A?kSyhvjw0v+^X$bq8}#7hThJ;Q5=Sfq1E2Yi?OL%!xC^PVlSi z^pAfKdKi2=EIQ(QZkxu|l~wj|<_dN#?PeL)a)C%n8QjKOjaT>4#M}Gxb)do+o1}Cn zN92Xy&eHVmT?aBk5s5F*CZ|ov-X+{&{U#%9zV){H!n?C)Z@u&kg0*e?Jcfj?ZoQ%V zcrXN4ZlWw^fu?RJUa;9XLz-_k1R9cPdhuMxpM)*AMuFcfYn;svUK5d0 z8neaQGbL~x80tV|WcoP3T&;j~u^d%45qj796(Ad&*w)co>?Kr46J{3$8NK@x2SJeD{KMSJ0k~#wh!K#isJoB;9_f>CN{8+`%`I zVX~+Wb1Qz2DA^FS%^7VrVG{R9WBR%oKlc{!)~*EPkVV)(%EaAV7&<>YVDhf^H`fP8 zKtg0x*$g|7d~6ye2>LbJ3*rv?gTTl#0s2yye*(LA{HXPhnj{@A4lXX@zZIHJe-QS# zeO;z+@z_!#+WFDd0q_l`^}Qq%*Qsqg59MD^N`rOE|mTM1aJ}ppxax$t=ofu6yiO)7|r)_=?p9Poe4TSpH7ae-dqLY} zCl2rOTM6dt?B}7jm$sIS=~Uc;CqMOt*Qq119C8~#O7dip@so@*;&DfK1JjTp#80hO8Vvo~4_%A8pN3=9xlQ)Crf% zqslW&YZ^{#L^Q$~? zy#0=1+L>LgM;wqen%1Hg%Nn}r@4o9W9++ufvG)+khqP&O@o`_-tNB|!zSIC-XKr`x zKYAs!|65a{^7TmR;Z^}dzkhf9;KR$Jm-^)b2R^_6oo+_3F*yZU##(UQ*R3NeJOo-S z+rV#}Ufw#~g00cLvkjJLs{zniP}<9C*Keqi(R@d#W($UBf&M(dN$ag65fc8eA#0^IF}Xv4Df@%)S*B$+i&r(-P-iDBz>=hfzhUqbiu_a^mq#7qXw}sVR2$~qb)aUTnnNL= zZrATEFP7OnmH%ij?yN5@#R=RCAZC zRx3AnE7eX_^pzz8U7hUhYDMqK0VC&C2-A4(SGKOupWCya8M>p*wYW6&-I#1s0%6J| z9r6nj>@UBGxS%>(li~>XG?xpp-3t><{2g}JG6Z(HH3IS2^moEHqNUI+QmU$21KfNg zH+m4J1&6k?ZAS33MFrH@*}ypSHMMV{N7S+F$LI;0)_)K*Y3Ihg_OQxHaeU;sAPS={ z;rK)pFmSk0P){upM;H(}B8{=PytOX+TE@hN9VkzgBpBTN`RfjELd=jeCix<1WvAKO zkDKk47!mz_GiTHPxgO5H8TDhp$IsU?u3w`a1PWr%(B3 zkNo9PhaGpD(TjHamTNEfol34If5?E@dll?07p``c;TDzHAR=g<%~SUD`2-jNJV|3D zQ^TVAHfnEkM=KSgD+bcH;dK6OEJ+>d%(dT8`@>P8AXA-pyJVh$IsM?>d%c?R_U}Fz zL4veRoc4NsoRCyJ^u^&vR<;e1Y@1C<*RXow`mF%Tm$q9QT&`g0`oVH8D9rVKHT z(e5R?0zq(Xc&x_P#ES}Hme_G}04ibZui}Xvlt3e4b?ea&xgHg2)^e25AC4lFS{7S< zvQaX=o=qDs`fZ*QI4H4H4IuvL_R4sZCW$HfJ@17YNJ-5x0eO>jd3V>B^J#t};UBdT zg@1TY{+kQsuj0+ZKO|mQpU%l&LXw$olUp3nUb{7}hK7slEMF>+dktbeRcO!Av8@dg zcduD~leS0TE1oG7_v_gUHqDg_6t|qXA^b9;O(^blWBp}yE2 zx?s_Kv#^%GnneEUwK%x|&|&_U=g?pku6C*@E?_lRCLk-T^c_!ntDS$gW~-@CT{2Sz zjd|CHFD0y`RcKYVG(nOZE=V@NS|zmsO4UbNK--xSmY}I4_#D0q{4@?xGb;oXAIhYJ zpQTvOMhdVdwe$1lmMXxb{Ro!Bov-a%@WG_Ln`va0N`B}WbpwA8DtYWdZkxrfkV7h) zO5SW4WpbzhC(k%{u?nXWwn0!$W0@}IOxGpTIq}?aP?vk2tA;+_p)1S>a5hasOo=t^ zC7XVUUv;Al@k((&@a$s;^fq7qS{H@FB+NefcfBw~0@^rZP7s}ueuc`{EgK|`{eH(N z|2I@MAUvFt+k6!^lTTse2(+)?^eh*sXQCwCvff5e^3`pTLvVfeKBO`l@`n!smqpWP zNXTc&!^;-~h}up&-+myT>ROvR@&eO<)3@p7@=o}wSY7rc}W}sM+rw0 z*61OhHY@4f@m$i~=Td*N3+J=u{pTJhNl6H-L}L4YkLoa9uGiI@40yF~`)&Np0i z>@OdA*-xi-tQ*QiY-1V}Mx`X2(b!GWe{KP0L}Ei=s@@LP(`xi3mv0P|=n9lUBqgy z zX0vBI?VE1s+tktXq>nJj98jXG6^!qZ7bZmj$*}0;%tBuO11SHW3z?Ums60dF zc|}LL8bfSudap$DdWeQ{)S7j#fCrLvZyf$!RsG*h|06RY+i=&-{#{&ScY^6!@d@r1 zeoX*4X>sL{A#1y;dJ$OjAFz#QyrbY>e3gm~|AMx%Go{>3US{#7dP;X2ek#T1$2H$`s{h=LMb$SysMFmCD&9IR|FM%kIwb#vV{y*@I-}YB9%T^>DXQvHeu4;~sMK@Eb>02Rl`4%!vc4IuT*b2FWsT4SW7l zWH`P_SfvU%fpffp50lyE&dfmKH_n>(=S`8|%-&F>G$aXAF^-80^vF!etyK+3FW0OU zUioI&8FAFX%ZU@Zz9`rtcy<6*OAy$LzxYcnBFiMLdDRfs-bB#z)3LGEn^xO`)aA%F z=W!u9kX_O(h!G=gVy4Q7O+^teT9GFMwIfaE!DmHTC6`y*#Vlo(znF7UP95hr7Hf@! zQA)*w)-WnG&jJx6orXxEio;sLxi;KFB-D<2iv=x)=1$hrAEtu8l*VNQ-4fD&w<{xe z#H4qYHK7KydFVG06xpKnJR0EhMxqGdNi80=kPI)f!|^sxA1oqZ>5u7MiKMqK1SSAN znUAa$^EBwBl!NAo<)Edr6{9(TA&zR21FpG1ONgD*ly;(BqcA=%85zKpjHPRwk#x5* z@)tvr+F+EQ_K7&IW$njLjEg?{-sSq{gNZ0O7QjU${a%-aEBQYNL~5hEGaq@EP1N4G zF01M0JY#i?O-l5MT#E&Df+JnG2x3Nv?=ugknWQ3NQ*KRVN@Q8I)powY4vVf_6uBRd z*{K8(XS1zi%=7yVBc_t|>TIiCTnn|h3du|V_(+zE4iNdCo#8rNt#8VOMAf%i%M#G_ zeQ2j%Fauu@v)mKOnH)+L`|8X^(mkvNk*5|&%p&YtEqWsOlD9utJ^W$SPDK0?hKeda%Yi8Wz_c5 zCBza{?V*3&S|sP$IGQY#mZ_`d2B*V5`oNHLo%K}R zVG*4)?M2}Qp2=go7gB|+BA+F*-7yK9@cp|rx*o*kLODmr`s$@Hz}=>8Wc3+vCnwT- z*oX1sVBAe*aqQ79^Rw&SdPyJ?w^e%6+*iQQ5wc?}nK_>$=d)7Rzq$LVaB)%BJX1ut zWSFILWAZC(&H{VC8!s5#CFo_i=;&1}M%3a1$PH$5u&_|oQJN!1E9oplm|JmCH3D*c z3y3%HI&@!Hy63~Z#xpB~GI%asOp-ZSDjh%^Dh%=~PmoxIEooz^bO{9f(yt7fk32fo z0c?C})*3;zU=L8ulg?zMT7E^e%yCK#$ih^aj)^t9l-m&&nK;+aV? z_@yQCw)77Iu88on)!{*LuKVR3%r%8|^H(^gQ$?N?O&5kf$To@t-3B*vQ)WPiOD*>q`-dsqDi%ddA_I-aMd(%L6TPi*7z=LrD|?ixbb+!xi-Q3tg%{EUk@o-EX(e z5C;+P*YVdIx(}HPT^^q>f3rjihjP`N*4+pv>m%c8RGMC`#PUnSI`S9XxKI;qe@^XE z9!fzGaC9YmP|IP+$ruxuRkK3zwKar%^K-wSAmv<+!1Sp`e`fs|w~?ZhI>Qs&7&A|k z@FNQ+5mpbHs3Zy?D-94#*}F9BR&ndWQLkAYY&z1S>oQUYYShzMC#|S9S8(U8{ZqWzeU-klo^U+TztouA6}X%uPt8QpttUCfG|5aZLq+L%|7UR!2Y* z$cYXD&s>n_(r($4eN8X%$qLOFe}f9((Wvs1WQz>p<=zD6_;M3tSQ#!!Ud-~YHEGPE5!HFuE> z%PqWxghG436p13>^qADO0<2s^6ttg>I5-IhD>yde-RLsMRj0tsT*lR#SP{`7%^YIH z*Yf1bs=&-g$`R7_^$cmqhDc7K%yzmGEb)Y=CcsuX@scH79c`vFcTZdaBirFjde%*7 zx0!oDRY@aoKqY=)E7#nP_)e3n?4!MKUOc~Rp^+==%RxsgkYP+k%jQ1vZhL!po%U_$ zCL|%J;+%ZS((Z!<;tUU)^Y(yIxzHEhTRv8~jIi2e^pZjJ4Qt%!tHeYshsB&}=QCtN z`Jx&U=4yrL)T;7H=R4Q3mAvlA4_kH?I=1J1*+}|>5Tt#(-hrttcjwbVUj4XTIUWgNXppFb zRi7n{A2S!~Pq`(&K2NTj;&!c4}M@+`vEgww++#<-28>neR+7O^H|x zr5~}tr3c0}aLxVR{1yAb(3RDC_MrN<^8M65;36%-2eA)RpXT%43-L?zhNS9p1Wb$E z5+4~0T(Zo^baG=I72ERZfZ^!>2 zV2ZqaRtO-9^TH?6o9bA27l7&P(>3t|>&AeY(=_8QOA0k>%3<^5bbTYp3PltG1Sqh8 zT%)9l#TdBZ#nqGTOO+io9Tq8cap#y6w5rQB?3)c>5mWeu@8hU$=6}3QXrHAOL`-_~ z)G8?!Z`{e`=-~@C@P1fp>7Tu=8yzBM4y4@G}Ud~z3toms^aQ`uK&)UNx^Qjk~^!ze1mGD zRbPXOn&l(!*={9Uw{>_uCAsPNoAKX71Jd>9>Q+1cmNT~WERUGF^B zd&_sxCg{i@-jKV68V6Ne6LELh1v}k(^HL?!ys0*Xk*S>Bz{lh-XerR#S6s=1MIB=R z)8VW>Dzk>{uayG(M&n5QGGxhJiDz@F5q)d8C?3husK8QKH56_j{}CIgmDAhU)$rl9 z8Z~H$&*`}WgBl8TwP8aue^nYGMkKpORAV&hE998j!h&}xQ;v-FiOXQ>;8kX=(+5%2 zCB;{3zx9?4VOl*VX#OYAs3|$y+h{{EQm|R+JzNK2MkP{ zcFBzm=znD+@TU3?YnMtZtxIAeWT>VOx3~YGVsY>ZJTiB%AXDS$PcHlUsdviFI96_F z1m^nD@rCFpv|I@Km zCNEW!CS5hZE3hIju4MEb^fKj`H9y7o7-bxO%IXU(HiE|I7qa?g*Bh-sD;SnR=E+zl z55Y^@;(;gjtL4OSLx( z5gl3aA;|2I7-;L<_KUGcw=MS!R5n1?mv~*%gLM1U;Y_BtF6wsjQ^Y?lAvTx}6Ojy{S*d8FQQkZC#wV9BNTjR2ODiE`0G3kmbfSB_aD#Z z8aUlRGRAEs&Yk#3#6A6sq$4~C;Oivv3fZ_*58{D@^y?2Nq;xj4haxSyW$#jJCzX}; zA~HDn8$-g;%`kNbjq5m?^M*L9xWR^lSb=KHNyfG8F4bqb_x*}gkSU8J9WkvhUPAD; zepr9hF%x3|zkX7a-mS*t#y;0I^V|30t$9Dt7A=i8ftzyIab*JwWxhpEXR#qPZYe$q zQ3-FCRR8}z+~-$`Ui)#z@@ZrPeO`{@1f9KV?FIjRkkfw)d_v3c?(i-?{Oz{)xL4SK z7DDmAKmLDhHpDLX9=8hX(Lw_M18>dWQb_zG$-@5~Zw;5Az<=efnKT-$AZx<(>o8f} zeo-o)C#@i%LAC2})g<%v%CDhC!oP0k>W{z6f%DN!DiE8gK?3Z*VJRXeMWOgcK_k^Q z?C#uXti!f&T+L+p>9XE1MtU2)sxk;LbZz|f7}?nG;v>W={^jY^^>(wt zWLfNiSgqs9Ktn)57)o3CCY(jSBRl-mmkxh(AVGY0SSRvLQLA$N+0#Vhg5Ryo@zcfT zVdu7|qM-clU13K1!&&0etF?){-GOO-1^qwQo7>~Zz`Wx>PIqV54W!9{A7_W&HrxBG zd9iq>4f{<;ChT8CO#ZA6$b`8{gr!TdA3nx#E=rKw^dkInXYvjquT>6pj*2-KR?^tX z$iIlz4qr%+a!`V$MEi7Ao$G=a^R+T#*C_)BNNBp%0R>abbS%ik@sFP)Dk=p~m_Q#{ zJt}dMeG)Lu3gH;?08_y}sz7iwOk1KRy>*_MrtLQaTky;-CDsVVh3NYzW+opT|k zx>>3r>>Un-=tQ|+`%D2jn85o2!x9BV&@r9#+F^EC#owzR**%7i7k0GLM8ne z-DllHH2M|2K^iWI7!E4;utD>=QN1;fER@K;@@Ahozmq{E2kG+P*J>P2eHsB`;IIJ- zj9OK}e4NRfq2#Z)>p}XV$0fa4UscbaE?pLIn_qX*#n1R*k@r;L4;VpY`nkdDA&6ey zTp=t0;gRYZ>>$|_+)mYOYmgqJTAy>2Gk30|Fx5^nh#Vh2g8 z7dn>v`n6$h-m5SV4tU9sGPTv5awC=?3Wjn#ucfD5x_jBe`ls37%7;0!TTGY`Vs}hl zEGS{>*vMD^`^H(gWY$l+KZ>#0PY3av z*&3)QF^kjhAuotFO@sPyJ1a)%spFJyeQ8iO$Oc{IdZr-YaGnf!Oax9ahVhXYuq|G z$lI^`Sj^}!&uP>Y1k7fNOMZAnbI(W+3j{}|d!-v5qXrzM#e4cKOk!@}Y^pQ$!5J5S zaWIGSELCJ!6x2o#)6w*sekOBy@|5f!(^|y=f-S#N1!cGtQ`gIjH4vCCl)bt}Qi>mv zc$Hs)fn23}JO;jIm5{)wedp?puAMhbT!f3niZp}Sgh$~$PG-45#(5x8?e4AMXL&}! znaPrI%#!pqa$t6%A~&@qsp#zSJl4!dWf{33ZxjZGc)lC&GlOi?+{hz zPi1;o%$mx zBtwwoo2kms2hpDivAX5_YrbMUdW@B!1%6bcsj`$=4@?FLjMd-aqQl7t6c}JDJU90N z^Fm6_-m0HI-O=jw!k5pt96QWg&bh*u3PaDw?jSh}`O>MDH}1onSDp&5YgZM%v6fBs zB*|ObuBxO<5oh-v_T)I^G27mc884M_I)^;fa!&a8oO?MxiRcS|2=@%K%GA6rK1}2k z?_QZW(!8!5xLWjd4bJ9*n^CBJs#H;=3;KA@S8Xw}jTF63u}>DV6mtoi?BwjNZXO^b zG)ba$6?yO^Z>mo*!^~H5SNTlbStg;#6cY5J!t;gM3a!_Neu;^mb%pp+0l}b&CRJXW zt}4wmFcs6Jb}16)r&R&tB#|RV!>sq-sMmblMIIan7>b(bS-u+dU0)Ms+%rqQC2JRv z+{$7ItEu>aQunMp(Du{w#@UPG5@-%Y#UU~q-5T#E(=Ry;VSlAi&#mHFudc5a ziHwd@xT}mtfnPsSF4?_yv70L)w2D~53euO-S20&xY)E~{S*Gy92Yc8MN%zWr{x`Av zlgn#CA`^YoF3(HCtc;7d$^*$#{$-RB75PJOdSPOB?ILV-mrK?J35@&Hg+ce8LwDPv zJlOmvj5lxI``7kx;lDZfl&qaI?$BF&yJt;>0v{j-@nh^W>gv-L(~yiSPtX0TI)6{6 zPPe?r+V%CEhG)H-<>uq6Nd~I=r@#I7?_VnHdkmw9r;JE~2F>@mWd=igy4rugjxgO) zyNJ~KQCaoE?B~lC`*+Lo9Xi$9#21j5xYi@N0!n14#pqNw<4K z*B?HR5r~u;h)u|`MJd&#|BTBZxWSgdXuEvQn3vmePh!9q zau@=etq19EoHnxQD2=`oy?&WqenSovpmAnRUzjZoeL-y4a}kF3b-yoIg-pNr?j0tE z*^c9M95z#k0BD*DJ%N$9YbYl#+M7+29E-TIANDzD^-s1E`!D_B zjSG3IAbpZIbY1a&WG|_EYFU?qfFCg3Ds-KbrBo7pxp|)-uhK}6y`O4?Fxmpr;TkI} z&2_ulhq#kExL$Tt{q+rH<~oDKzC?h8VqJalIn^D z$-f`U`AKYPn=~V^tz~I<5mKFD^seMn$K#5=^yh~QekH{H%TK))Q8KA}ThBB1Op*%= z$aq zcfyxzcKP#}f<3>U+<9?ZZ5UZFarS=P;~&p_{*ROjt!f9)M8v%1JZNIma&cVru)o$& z1m%ET2x}xTTIlawzTpWsAX8xo3{u0^`l2~_&hoLaGYx3ZB#YlN$ zO@5&e%H1wdeHDq8XJcM_z<`^ru$h}MOsctJK`&+N7czQ`KYV2$d2`6dk!D+Y;nnJE zj?=#ucf~th(oW7y(ti6gkXUw^ATNkgA$C|Yh)OR|{Vhi&n)ZEw2ZE8oh=41u)gwt) zRjHI!N$*gJF2bs(U)-aNc%tHZc)AjzD*Kvn!z*Ai6GH(`@vZUlOHQmoiQvS*Pe+)E zzwOR_>3!tO9g}SMWJ(x;F zgo<6t(az1@0ZjFr5>4I3!rTVv>gYnn$@R=@NyV;9WkAJA#r^y?qGH#y@wTAiA=is%p5H#m7=jY(%;I_2jx8N`p6#IYfVf0_PGl6CZi1f0u zal;tO7#I?5C{m+mS6wdbWr_|zFvb}O Date: Wed, 27 Sep 2017 21:55:57 -0400 Subject: [PATCH 5/5] link to google doc report with gif --- report_with_gif.md | 1 + 1 file changed, 1 insertion(+) create mode 100644 report_with_gif.md diff --git a/report_with_gif.md b/report_with_gif.md new file mode 100644 index 0000000..7b32ac0 --- /dev/null +++ b/report_with_gif.md @@ -0,0 +1 @@ +Here is the [link](https://docs.google.com/document/d/1BfObaH6k-D7BwtsVbR20D-WiawnhSjlH96COcq8jHgg/edit#heading=h.8s35myyhfxui) to the google docs with a video of FPGA working (gif instead of image).