From ec7c34767cf87c0427abaf14980c864e18c587d3 Mon Sep 17 00:00:00 2001 From: Henry Rachootin Date: Tue, 26 Sep 2017 23:06:41 -0400 Subject: [PATCH 1/7] completed tests and easy part of lab --- adder.t.v | 24 +++++ adder.v | 54 +++++++++++ full.png | Bin 0 -> 21206 bytes lab0_wrapper.v | 18 ++-- makeTest.py | 60 ++++++++++++ output.txt | 258 +++++++++++++++++++++++++++++++++++++++++++++++++ prop_delay.png | Bin 0 -> 19547 bytes 7 files changed, 405 insertions(+), 9 deletions(-) create mode 100644 adder.t.v create mode 100644 adder.v create mode 100644 full.png create mode 100644 makeTest.py create mode 100644 output.txt create mode 100644 prop_delay.png diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..b4a5d7b --- /dev/null +++ b/adder.t.v @@ -0,0 +1,24 @@ +// define gates with delays +`define AND and #50 +`define OR or #50 +`define XOR xor #50 +`define XNOR xnor #50 + +// Adder testbench +`timescale 1 ns / 1 ps +`include "adder.v" + +module testFullAdder(); + reg[3:0] a; + reg[3:0] b; + wire[3:0] sum; + wire carryout, overflow; + + FullAdder4bit dut(sum, carryout, overflow, a, b); + + initial begin + $dumpfile("adder.vcd"); + $dumpvars(0, testFullAdder); + `include "test.v" + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..50551f6 --- /dev/null +++ b/adder.v @@ -0,0 +1,54 @@ +// define gates with delays +`define AND and #50 +`define OR or #50 +`define XOR xor #50 +`define XNOR xnor #50 +// Adder circuit + +module myHalfAdder( + output sum, + output carryout, + input a, + input b +); + `XOR axorb(sum,a,b); + `AND aandb(carryout,a,b); +endmodule + +module myFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire s1; + wire c1; + wire c2; + myHalfAdder a1(s1,c1,a,b); + myHalfAdder a2(sum, c2, s1, carryin); + `OR (carryout, c1, c2); +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, // Carry out of the summation of a and b + output overflow, // True if the calculation resulted in an overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + wire c0; + wire c1; + wire c2; + wire w0; + wire w1; + myHalfAdder a0(sum[0], c0, a[0], b[0]); + myFullAdder a1(sum[1], c1, a[1], b[1], c0); + myFullAdder a2(sum[2], c2, a[2], b[2], c1); + myFullAdder a3(sum[3], carryout, a[3], b[3], c2); + `XOR(w0, sum[3], carryout); + `XNOR(w1, a[3], b[3]); + `AND(overflow, w0, w1); +endmodule diff --git a/full.png b/full.png new file mode 100644 index 0000000000000000000000000000000000000000..511e6dfb2c04021ca049504217e52afd38c4ed68 GIT binary patch literal 21206 zcmcG$1z1&0|1OMyC8y`t)fd3WX@R={S*&je_h})sfCc5z?)_t_jHbDr@4jWbJL%z)HN!V%`fZ~ z^$RTvF-0qj)Gf6fE2g>3D$Vd6gWc2b_sD-Cxc`K#iT?|+_)GjJwVwtrI*{6;>i0Yk zu{qAxc3Xeg*+2RIHth=@_w9gx6_aLWr@QZPaJ~$~eJZ-@qbaFgywHMXjzomJYtWpw zzBnGI!H=?_Ni^D#Wg{eey&|1(nTY%&j#qb;-r!s^RV$TEDm2~LLd>Mx_#(1=Mc-Xa zfZb>(eT-M-2j}KG##>E@``^56ZRwDdtqId&DE0d?R3o$->Ih{sK1pQF2*Be){;R)l z2wBWJJq5Y_{E*t^S*L{!OhTugq?Jhui8PGxz;(3imi0xEJ`s?MBaXU|PFH8N6PO;CqVuN2W-2}_LX za{jLGHNt_u*x8CWw1!oBRVO_xCVcwzbPYPxAtJpKf(*}=HCZ5 zMth|EEEg`;wRSqL)KzpnxVb8YrslfjeWua+igNwlA}}B3h;b+C`+%rDMCq~WJWeln zM$Zek9%mfSx^ganI&X!Qmhoc-nk2?zY z=rpCH5!g%04iK#tg@wott0ZgAj=fJ6Flcuk8g|n3Nk>IW+q@I>Cc^XgKt4AK?Jlz- zMVqWvx4gRd&&lJv~BGGw9^&$2fafr~Vv`ku62~tNU{_nA?*m zI$F-t1>v{$+-W*^I`h_YLsMx4_z4XZa-|Z*6L^yndzbcn-0$1F9;kCO2ptC)&#OA@ zUyfYD1s`danc`!wsDQr`6D{*O-0j?q1!E(lmG$mJ=;7AIxvPWQX)xOZA}wC;wWCGW z9F)LwsU(({?^KOUg|~b^-LE(5-+EGkA|_<8xv?tbio=8v!LzyrZXyC*Zm5Z*_*G^l z(Y%0PogW(YsnE5}o#C8`${X}f8>T`mR_+EBt^e(`a0rgx?9 zi$>M%JoCc%wUQ3M=}4{lgbeNWjM_7DidP%8q;~?r?;MPh(3+hsu(ZJOr+oJsn|k8I zmwWdJ+&U<5Sgr}~L@gOBq;vBE@<&OxRSbV;D=ku;B&#U_%kIr5m3xAD@iZ~(R=LdIG%48ws zNB0~ZVSN{fDbLRjefMKojpGrkt#WdGRJ5V@fq$R17&-!|#FQe{(>uj=wzzjr$Z&4= z`s67Z1{s0IP4FkI!?pT0&z;RF@5E|tYTSrY>&eo4))%mggwUNqF#WT}`F0;soJ^AK zwG4`5C>^Iqxbdn%mnzpM*{|TEdHC*9eT_JRn4_;uwZ*9H^|z|-w!DAoAxos=pIcJ$ zE{n>Z0aJemZT2VZ8yR2um!3Y4gJj_%hm)a<5CJ(Yts=ab8=7-YSQyUFyr2hy64cH! zD!WQC7Llbz6PXveodh#G+7+61OX7p2Z$r}0!=?8M3JSJr-O0#1*ViO0E&VX)X?kNA zL;M25DFj0_iB&My)au|75M^iU_jLvcl%g1scd+&F6CIw+Ud$XYE1T91(E5HZx=Ovd z)J24a?BWgo@FC7pY8>8SI0>y|E1h*Xmye#~HC)Jj6~;q?rNh2v;lWf};YjcOl2Bi% z+IC_wbh;Y0T(6WP%e#3{rBtK{roH$3so@sr`0^Ct{rrTYiJVPlHt#pR0oR2o_dwbc zyJWJM`FOX~Vzs`mPZl^!ZX4ggaj#4s?h)O?n9Qtv8Aa^>0dl3_7?5s5Ju zC8u3WQD_`5J+G3o(Q*}XT~(RZ@hRW)s)QG027y2LYMc?eD!bUN){b{xEa)Yq#wIP# z^R-VfU3E^muPm-wWQn_=e9d}EVy@Dp zY%N7NTNwtA5v`U~YR>1j^>}+u^hW(gC#AV#CWe?We2D9w!RFk>Ra(Lf>Yk>(h`7*w zT>^e~4MH@vQPUfdYI6;l9nPsk=!t9*A>VhrwUhf-4xgC3WQM(@t-hf7W>cMIYuEMj%7OuP2w=Fjxv8*>)@>&J2(aSiP3 zvdemx_dg=OKqQfWy@DGjE+e&%^f+?yq2OOD366A81@wdZ#UNb@XwW`?+oHEuy20tp zS&C(&zZU5{!OJoxDVDohAIx%>`mhE; zQ$G^=MQ~ps{W*Va1G9W_H(Iz!YTmW&lVom@KHcXZ6IpZ{t)Q4olas4%PanX+HB*ud zu|PI~FAciJ2=71J^r;}%+Sp!q5Cx1^vpAsuePUwgl#q83W#%b<&lTsx?34kTeKW>9 zKBwh9;NWFvXXm~?IwaH^Ui%SZ}BFO?es&EAHyBNGwZN^(uprKzJQ*PCgO2F1}YV=l`ecyc*E?XoKF0a=cZ&pr0kIuLyb z2WO+W2xYEEnU|pd)>@I@MU*?s3mFZwsj^_W>RD~d(UV1ZZ^r;t5-hqN=_Mte>+G8O zHQJ{_F6V8M;nyeoNUS8pzXxcDp7(zY)Ba2xG_Lnww zx>y%H_^J(&GfbHa<|X*h-2%uE2RgLr4(mh#X5sytp1x0g4Sg#|qh}QbSX1}e>svpS z#}$IrDz9f`yPS#>lZn}#-oU|qKx7OfhB^&I4iJ=|L z?|=*Kve(?mpi1BE#=lB7lwArX0G_n@ouWjRgj%j529=TodE0uP{59@KW?RMFP*r1W zO8vex9-ck|Q19nJ_Q6Urck3xCQ8}mbasw7xo+1@6_fjZL9&ck@kFE&Ii}Gl(dG_|R z-#WSowU;Ap&C70@YoQ{-mJA)!c{;ZJl9JhHKYd@gmXY8KcI_bfV-Q<9h*c{B zhZ`MuidV6G8Q$}!1EGO@IyyzIoWZw`aKAEvzLU@3`h=F#PpHfsEPcu6-BU+DJ@s7e zabuDWA(7GIxML5NmN{2$UcJMKZ~Pqk{3UhAubZhpb5i$&EpT7UIDLHUJhFa$VHM1N zlC69ma1Y#g6UonKNv^22pM{0lcx5djO$Hcpxm<~{%pg1*MfUaLNz}mCH}jGEbV%09 zUbVQl&wG!wx1YAo@nRrZWiRrYy|SyK!8)vX&q_zLhm$q$;z*K;#Ej?SC%xd-VPIgU zG5_X`u#wPJhQNQz|Di?PEwtmg)ob%p+3W$(@CW#5MK zvNa-E4QFn2j?`c`efW0Y?_=N##C{5U3W`@}@DID~vV?Wmtck-ywX~TzffZtwBF2L3 z&IJd@U_Ci?FFyUd)_QNz_E*Wc!JX^ooY^BKAH}hq{7Qv}bhe$c#L~@ex^8!8a4)IS zZeXJ9<-(aK+s3% z=~&}Wh1r&>W*7aaP_TBK;QhEq zJLh4=8Py}ye(mY- zyri4D?bS8~_1&F6?Aq+O-W@Q=#>4JhQ8;Kj;FSkksm)Acvm>6ac57>=-k55J=)8I7 zBk)!T(NA-^wL9pE*S)u8nx;c>C%v)EdbXkMz|=6@k1$cUfY|Bh0R1w&gh1e=bb)HxP~g9DH#7zR*bU7EC5I7n1B_E)kZlfWr(gn#MW(jTz&OeZ^a2 zs&6@K?U7T-S3Wt?@IUb_edBO%K=rQ*vA_j~YAZs{DvCd*@?|4Mo)*}V>xrO!#bZI^3Z(y=&-}*Zyoha&Cp>%{ z2~>?7Q^gyP%Uf3-Fke(2**-_~Yvl^P@F=?iRg{tL9O|F;ZA>-Gy>{5&=Cf3h^0H0d zV?Ib?IqrKwpHC$+%=Yqb!sC(jH5awBR>roOY&Wd~u6dnojv1y;u0PPzNl>f5^|}c_ zLu+E%+u`%J1;3afSPl@L&zo0_nMW9v#zs@CIX*iVl9JaO9tq}0DYRC5puvh3qA(e` zj5@4Hr8G)LgruLdh#Bm56`SIcl#-a3NZ{=Tdq(6_`oQ+l@~rtz?aAXlCU<$9StDD!H$<23AgY_EC)=9kg z!ftu=Xa!dIO$u7b?u*jBW6>%q{vFpIXjjfen7LVibzT|%IYD$DVwN!XL0>%W;z_4W zJ4UQe>KtGrmj|muAuFSB<$&@sT$3cMx9>DB^wjz!ke2b9Melf3%1}5M%6s9>U^*XhB% za?v(K=V=&$`a0u>cj^iSQcw9uvC)?Z(I2C{AsBM>ZV0_SQI zsO&KuB(Pjgy%TWSfmWqBdb$_eYrB}Ju_IhoxC-yabcZJ24j&)?suO>Z%?Tex?$sV- zeR1KoyeppR3HaPI!Jy>^<1S^m;N&+r?vXiJgPP;w zV5L%Q;Kj)AW?1~~+y34j)=ge^UxPiJ_GEfuDh66abG`yzKqj=Bnd<7T%CqWMLb=(F z1`d3^_l}#nHjejOeKm^2Xpu5bExhNw44~6q_#&#^oSlD}l}BE*9O#%QWt@J4gAgtk z>c$U3J@GMz)Nqy`HwuO=%5Z>-l&wW3n?ZIl664FNj+z zud4anR^5B*7QgJzT1J?sR^W``2D~?!6hE*?N}@5`taW*kp2_I}CXUk3(x`znIgsZW z$kJ(wF>N=EI6hO}HO+|RoozI+zy2n^!=U?F=duDZKP!2tw=Yh*oHBU5VXoX#Fv7cc z!q{V)@AUGZrzlD3Sv8&FYX=)^*hx!lK8oi^=|}5<)`HBZWv3<5(~Oy*(`}6?3toIm z=F&r)=b)~A+Vz2G`;%%$zNfdsAEy1u2o0L68KJitI1m%7J~*gqW2E}V3^JLFHmdgK zd!aLPllxi{yY5^jd#NMAxya*eu>~uBk+Ors2_u+5#e-73_&5q{3&K?liiy0w+y#T5 z9x-h%*X2tr42`nJiCBcYJ%es+?UmQn>0v2_S#AeqxYo0453)Kvqm#=fjOV#pJkm(O znUYn0f+;)sA~;zwqG1wgP{_B=_0ZKxT8C)#r`C!;b9v9RGh|2?|H0tu@&&ViC`()B zdmPN&j1v(GzCkyylI@7_raDdL^{n`Z=6mD2IPCg%``g;^E8W$pGi)XciZePC-Z7Sm z80-qex8=F4jCCM&SM*YCILAHKO$wa7fT-^u;ztT<2nv9Xi(PFwi+!^cHA1bhnk!=O)hG95%}duQ~EDXvF~N5 z;?BSRw%m{uUPWUO-Xx;4IJucIk)`3?7-bB3nbdmf>I{`XwNB*Xt^xQmB zF<%9rTlFnn%F2rHb5#F;_8s>LT-ItoYVrcN3}Bsr2i?y1n&1}&@n*2Op9Q>lwTF$r zp*AsJyIfqTT!{P0JR@4q21RF8QRpeIlFV*Qcw%jB4NzR1f*a`Be?tkrD!AjeP+ub4 zN|v5khtrr3k84|EW^aJUHG($g)$Y@B5~ZvQCrRU*g*D4Iksx+@Q%8GO1Ne1hRSp*p zIj6``$L4V3!E276!e67s!w69>d#c_&t7aROldd-$l8Ak<I({w90os*!~H~IA`E`XDu~I(&ciG z!Y4flL58*kzf-cOfI@Z{BeN&?!uV=0&nH>_Rtd5S&d zz4)ZrKcqr}CHrV#(3JH_vUUx(Wkm}7ru`(R%;_yxhA^eSZCc zaR=X(E!01>$k}uvblNLOQ~V&I^P7zPEWTvw5dWV0x=8!CVtV6cczvp!kSybzqR=Fo zK$jC~gr?04h#Ai{A+1%|Qp+j9c8!~ht1IkO6O*9c>it9lmN^Wj78xgthqUe=I#-BI zf;4eL`e-z$asLIvLM)5u$@?2`sjgjQ3+&D`HCgY?xp;{B^OO_fLV6CWK}NRFHVBSm_gp7HnWrO3AC@C)jVReR+0&R$xiLR^I?pfxCdr^ayCa> zB|^auGv4>hi@IsW!->6hx7m4ZZ}6-vmFJ{o&+HlI;qpF7_u_yTO%1Kr^7|GQ-1gD0EDyEXHCzOr1JG7?5!OA;dk`U0lOm@7- z-RbG}+&c02`CwjeHI{;1nZjWIj|;OO5Tweh)qyeru+{lWcsYHvkkFJ|eUUrz_Ocdw zj!yhTq|+gURFF%=HKZ?dg}$rM^~2Q3b9hGnQ0(%i{=w7K9FQ2tu_2Z*{(JS-?A1tf zN>kZzk1wp=&0Q2s2kJ0tifNNRIa);b_my{Kvo_J>_#7uS2=EiJ>}l@!`(5X=Y@#34E=eCZ#@87$&&RdhVUL-AcjlXkRb_3;1-O za3GYK_jx)4$@eT{Tor+jYbL~RjVq$QajJ{`#MvP;3B!EuAj19RYlh#$l&$pN>iEMNwbsZ~`~w^7$agR-rHfwX*Lz~RS+J8=MF zf+r>A4;VOsDzzOsydT-fjk(L(YOxR)#l@8FxB!4~j{<_}eSvW61_ls%$8l61V?{)0mICJjPx= zK@)T+lUmKBROG;TgzAH&+sDK}cpr-@3DGy8*^j{p2OBtvA>-E~xFCRC{xk$QiI~&6 zKx{)CqV{O*TJR-_nw8a1Ky+naeMEmDE?q-x+QED#?;@s<(2IsMCSZr52Z(dH z#d|Gd(MMY?b2Es3=^IYUM*f}Q>4p*@#h?x{%3Tn!;G=SFsGimX-IwIsP=(L|chyx{ zRK#`yz6@ox<}pBkQMDDdIz5Xp`DzNk_U^BT7m53;$*;B>@_#kaRbh0Br`m&8|ENkY4k4n6MLX#=c} zpn{rVxrchTlwOgJv2yB-jdnl{o!^CGol2L^F?y-4ByUM8ZF;_$`!v?fcGrs;_l35% zLH^-LO3sG)nq*es94BSF*6Rwa<104~;T8L3pQgUzi}UG3suj!w zo3qimd||&kVgzq?=BU?FjkR^l^Ud9j7M@R44$ioZk4-p&0$qIetgrVvR~v84tt~Om z+vXxA-N<*IR3Drz7<|uWEsrd=B%m+b|GK|d9;rUPI)xl4#7v*rNMpc%o%ev$!}F$B zIE8?4^M`r;iLO&OZk}_e;MQD z==E|LHnhpK3?yyiSgyJ&?Tvdl-C5aabIR^j4$U}%09}+Q^go*9D&Ih%5h-ehfd9{a z{kK{ET-v7SYOGRz@bqrC{{;}Uj}<(U=|!v&xVo=ldW%H!QNF3xsg<<(3I@e)>hy<7 z&zdgEi>=sq38p7!WKI^|t@J_1=h!h)x5c7wY?Q!NI#>e@&&aLJgoT8DSzbp0bz}tzA*?@$l^Tcyif= zGH_PqT+TgQN04(_W?NBx;^SI%tjVlGbH%{KSO2AeXQr`sWg#+c7ef;{^&xW3?rb!R z)!LU6q-e+KP;iaA8+!g$*4XKXza|T=8DmN`V3JwrymkN!Y`j!^6eJ|1b6L=~^jotJ z*&tt#;1=6h|5ob;j`FxJZ|>SI;PPs&=j(|#otBZ1l$lf>Ic0-@L{NP?ZEE0;^j@~g zZI4YYV2_d$lPsrhs;~YRR0ZHw>u!D^RAbmeWM7T;UAAIyXTB`no&STg|2A)k2(5~i z#wyDPM>_uw?1DlT?;fPU42#|`ZIgQLexo5KW>})2{79e4LtV%z0~aSD+TP* zd7Jh9UEV+YH!I`8X)X%}jwek<+}n`)rzXBYdZ70Mo6EFf$^Y&^0V#!zV-2ZhoeT}S z)g}JBIq0?pata&Gf+_HcTx-V}u&xu8EG1Lzbmc$mu@-9zIv_M7Y(QvEw55#i<{+{`?*NpcxXsA06)J z8-Q*|A+CjR>2d-If1s5qp0Kj9_>L(Kh|m5NU_m4yiKNi3i9By%VWS+ z;8+VTMY2$!zxt8j;+o@ch;p&S$@b(R_S%t(jlUreqZ@rttJ`jGZZyw;uJ~g)L6AYU zN{B}E^HSr~v2{|?*dt!f;+T?b!aqxS^sz!bj3hA|J-cd#!MSf;o0<=@l;XVia{xhW zUCPR6)lkObN|C6 z3VaArY0XUnyyed5K-?dzZp0ARm>co_t1GXK-UUhfi%N@G7K=l^gdFD7z+Z5EROQkC z90SRBEe3SJFDG({L$8$dbnL4cMBg* zF(wZmYKYn1iSnL`>?_rh8U5`(1~ww;kRqCjJ5J+My(7anctP2pYo>cQ44-AtD>#-` zG}H;kKm5nJ2h(CKO6_CXBJ{r4o;W{6((_1)PMF+q+BbT!Q74?8o=aocR>lqWz7)}U zR1snFJuts9MElXu2-9D9?YFI|^s%d<;nDR!UAWp#zbJHcfInkUJDg6x6Gv;@zJwbD zz|;GOw@9pNb;AqD;vMg=4EeA=$imVxG`@{&rQ2XkO^fVnb}<=n8UGV60UTUB?`YzpEn{+p$4rHMNkOG6g3l9EYne6PxDm8y#1N!No7~^6s${>vk4o~}ToUvv2 zY&k4r`9fY1H~Uo=<2&qe>!GwbTfm0&#Lq>!tjK#GqtY>KcUi%B#sT0oABrM^7l4Km zN(gz2w)-R5Yh6BD&9aGz%6VHaS$R;rrb`GAx;%VLxy0KW&TWUqe6gMJkCjIG9iAxY zFJse%(3#_y-~;|kY48Na$Hfa<&zW;hAg@_PO-LE?4=io3Fb2IC*1Qtt!=AHnw)~0^*LUCB*1+9kM>wuo4Tf7LsNlCAVQ+ zu~6AAesUaw9g5;n&<5f)Sc!wVvWM=tiEuyBz?1hJi0do8R>{FrDj@g#v=4zo3hJ9> zm|*?0SbJhlzv36J0@g%)j51nSRxZ>A81aOMN($J1o|>lE?u*FWcx0U-O8mlx?R^(lpT+sjsq)3Y?*zo zZl}6tCs*sR@3Uvz6GdwrZtRE-n7aBAisze|;>E@+)ez{bPUH`?}+R#JeD)4Sfnklnw`~HyQ-Y6yX zFzOh+wSd5_2?5x{)(LD7QBRD}UPT@;=`aA%Si&-T)gR%C0{G+rY*GNaCb56-GZs39 zgO{wFVE`O)g#FrT0Ob9&{l*2Lp`QLzy;<8)m7yA`4&Tug4mTX3WsbT6!*8}nOo4B^ z7*kZ$tssxbUI9>vr+*6ypwFF#RD_wYx&Wxd?HV$qeGm%V+yOfPpa){k$$z&=&X?Ga zkDF-r#>HC3dZzg8-LnV*;)xm?JH3xOZ@$o|s2^nkk*W|?O;Scc^dWD^zGC8;pL^fN zcuWG42|iF#@vilbkBBC351q}YZ8IstciEI}P zxX_InN4kI^Ny70&wk8I*S+2M?#jm0PXnOjw6Por8eo=ICKv#D)=b=CDP(P#*t)IV=g8JqN} z5$GEx_b)Uot6Gx-SDcH|KFR1Euf-S9ah_=4idbqR%__4Wo~=2X&OB3pbmu8(eO7w zXDCT89G~p4?rs~BCh4PGT__gys&?@q7T|%X)MbRoylc2-`>ik26tmKZfT-W^GD0FyEXTsb1PS9>@bg`)4?Ql4*B^6NMLAO<*j%&B`NzC57*=xYziB?{X`%w=aiMx3$ z3fWp#=JM|N6T3h<{4~$!p_f!gH@mO=<7a7kin>)WUX`bcELNZUUBB@-fR!b`Dx~AO zhCe)|@eOv}8tu)8cdgYD%^!DUL&xnyejBRDrK)DoZ!r!GwB4Z5=C5;19rN3RfU$N% zT>n`5<@$#Td_8^TI-u);reyDPXz(+HYzQx4GYb-oRcK%qz9b=WUCN@)6QWP2!=gnS{Q8Bydyws77By{VBfZry8CGeuUP7e&!!UbKo*f+ z7iL^&`m30eKQvT5@DqQ#`}gdNMMY?2yiW(=Jq29{&{0mpRcCMdK2|w}bo$-NteGsK zb1LlP2k|DNbEdQ5Sua{VcI3nc*|p6+ipcp%PZ|xF3-nAoIr!7b#Yr}bF`Yz4tPo8< zVZE+b?t`{T$M|wxftDWIV7is)CNk{1!N)U20XB3XjV71OoP*I;b{Xq>i)f}irv4L+ z!ElLGol6XTtizqc5bONpXLoICI#|N~CZ*+4iNq#qI7sHTPI<*FFwz%4JYk{hq<@+F zChaAOpFolA;!69&rVlUGc6&31A%MLm2H;p4RXc{48ua#0RH9Mo2STN~LJ2H}jq&{2 zhBO4@($9;X09u~o1{}Y1o2mnK8Z_!12NYW1{0gfdZW#|9Gj7$WvYO6H^joNR?U>Pw zKP9!orFvPk-}{x~_RlO!F#J*Ou%%Mnhqu+*{j12l9KlLY*>4ejf};RosCWFB_0={; zK7WA^Tfdti7oD;+ZnZpf;<#-+Zt$3ILNQhDZeQFV$hH1u4{7@SLfgiHxWd9hH2e5Z zJP8F{`N2XXM=^k9|G~E;2iT6~w~*XTnGEZp@bcV51Zxdmi7n zs`V3>LX_u%i+#vEqM(C_9%dnfkiElZ$Pm#h=M**(Z%usDu$0L5P8xPE_U}_T8OCd3 z_92Af+{uh?gl*pM*N{Fi%RFZ+_DW)LBFlKU=L_KJW(ZwjE^@!_1VT9^1f;I}=oUkz z@|ju35q8;IPEsF6 z(Be`+Quq0%vHXf3N?y;C;Ufutpw>BXx2WOp^$-6xHQ{hg6aSOPO%mC)n{=RVu7j2K zZsRp#sH!KYf?9*e3BT{0N7qf2p$MwCXxh&K=jQMsJKAFiw+^ebMdOOk|kd z?B`flC_TW>+bReU2>s@&$f$eypG$}D{PyrM$fNl+33k`muit$~F~7r<51T)E{)?UZ zGQAbDe)(k+FI;F2)LfDnUDMYsH1#lhJvkp*)(tF;ZyIN zmSszC9=fmRJ#{s(+_H;{IZYg;@y&!{S^hbb#ZvPLa&Q&L%@iIpS;E#H_dBVdCgYBI zEXOMdyUJQluf&1(K#o?hPlpi{|Br}|5YTitA@LZX>~zTZc}$##u?}pv(EmQkb7@m0 z#cESFL)-GW-asRdX|J86Wk%Q{Z9y=V#36q5C6o{Op%6-%uZN_FLh%I7^C6QVe_mAn zgc+ePu3k{a>fP$z{~bE$Gx_=t?eT6G#jvx3m6=bgYEMF5Tdswc zq4)^@El4mpOnX&jNVWRN)zgFd;Iq~c^SXN~*yAJE*d0MMy|p4B+|aQeo^wAi5c{H8 zc^4+S+w}T9H&pnSA-@`qqfc}86paxjxnoXNna#Zc*b^JEAEQ>Y#HfR%7DD<|-V@YjFEsBRiM_edV zELf8IH8=29T8?}V(rC3@%T$<9*$j0jtwhjd`QR2b0!{KfC28XGPK4ndXf;dYhQogQ z7&XOR9-tk~qp0{qQRP7`M+Fg&iEodTZAEW_G>;z%gixBTVLAYs%%8(}`(m96umhH8^ zwlUvGYk+n&4JDK{@W80|24CACTg-n?!hCC`{B-rNpo>4er~7~wKe4xK`%*7w9f8>2 z9)$q(ziH3TEZLQ>a;D`PweDw?DK?`idpew%w&Or|xl| z=2PYxg`rl=8PlHS&T6O>{(%L)Idm7zUeYSE;S21}uHj~KC+WJF+SXpYQ1I(3r0%{?K0&gNn(w{=NR}$eRLsQeNzl+?^kPtu^3MOEGlIdP&Fw)a zE`C9~jT#pHG>isz9)&~BzHFBNx%Uun3mpL6%-1l2FX~PB4b+=)1o6z7UJ%|9PG3LM$WBgBGL*bj{TJJYR zLmWy*Q7l@}8oRBazPbJ%yB4mz>lgE!($S;0eJhIptjIGT9+lV4tJZvmqHyQCZI1!+ zG9!ICUuf_F(qpQ3uLH%>zL;xra5A>gzZ8q5rad3_nzHk6XMK(?l~B`4Z;onPiN%1o zgsbLv(VhfEgxX)R7Q7AWH=!OQE{aJU7XzF&^7cx;H}LI7b%k5#iI4@>H+^Zg0AHcB zwD*|di*}&7hcG(H_z2UQXo3Au2)6!OuYr>Dnj}q8L_DxYMVbrwZ>Rn#95*+df}&eh z#{aX%J_rFqMt_y&m;a`+-&;J-2+NSaSa=~WjhsG@5K1&l%s9!xRrRqvnV6R~K#PcD z9{)*x<^)0G)#6*(A;1lto!W#y ze|N7!Ao1(g1Hk!l0-T>{7>6l@r&GQN6_?*k+}^=d*m6Vd$u%<^+;h3x{|oRmm@nSk z{%8!5c|*#u@EJ@xAETe~r$XyfE`3drOYgw0CjBS7m{c)yp>)D@eQAAdFYo*jJ9WSKD329|qW0VUYiuV`Rc zTps``L8qLd#j@rr?||1koNy0UQZ9ZB+K)C(8DjNv@)mhr1u9^m2n+Qb=@Y?^BkN$$ zQjkRcQT1h!V}Aykd8>pBlT%>lMB?}eMX(}C-pT*CHzf=HETqvD>b#MgPxJLL?9@p&FVPELaQ zAugEO%L8IrI1^1~24i*|S2RG*v?4D!`6L7vs*2!bt!lG=Il?sYK!HrFE(L3n`A5}8 z@BcXzRx`=}*AskD8*-@1I66FUOwdxM^U4v_B82z2IvxO16gHiCy3hxRv_0aop10$2 zY%i&kI-e?3ft(Lm(vc}mAMAPc<271OxfA#at<;M((4Hmo>u0mxx`rg=u3;M2uBngi zlLY*H3sM@)yb9 zex|5Iy<1L5b_-}|j3+*Yv(WHaZ@HhnEo?4A-WP8*ZY1%}{X1ZVSQc)3rgUUIk~Nnv zDPSgpb^LK*aulx%KjfOM1@HY#&xrfrDMah)Lcm>F1%vf1L$WEnr^^a|n)l2+xDH-p zPlagMd3yK+d!vgg)ed1J@d*lr2pS)p4;5Y%(0Lf&fNYHa;nNa?D)4&92?$UUAkP0a4yfxT2gkdpw$jSdkimCDPT6Bk2l%IzdT%dVJtft z;toC-O+a9$&pbP}P%NAKSW}r6W;RV5to-bjHaQ%ln2sffm9nNp{RfF`BK`G2G)WJx z3R87FzhqgM_LC~f9E}-J%YC6v4HTR7P_#~5)CeP}=Ih@p&59vFi->Wqf7yF1TAbaq zml0rY$cTAgQiQIbzHz>xiianEO>2vnbN>RAR8%gaer0{V+oU%upivv!AZGw?%>BIE zsu;WaHM!m(U;ZHAv2MECX;bS~X8bW#;!NrW;y4M$a9vq&e z=@7woc&`Ue1ryoL*=@GyZBuAxX{TP5nen(DOEq0tSDIrl@SBLr>%zNQ;GH}s3tsd6 zifD<4YSKb+v)@_QS0(=6TyYcbF5q3r-$g1STOZ)eoD}lUdMvi}f88xdor!)ur&wgN z3Z0H~*SU~y8}>ZOZa1CvH*BU*8Ri?RuwAEvqI3<65QqDno-q#}2v=WR#*&QH5IDAu zLoMmo*3`?ko&A1s`@+z+L@a{(7a1vJ#X*+R(1AodSjZH}9Qv=#TgQ zxn13Af(^(Ey=QBGq&=?~$jKZy6eMlXhx@We!rSsu5<+t|Yb738m%*#3V^@Lcv_-Q$%*V-(&aX^y6hxaG3>YYBVab4)_~o#FQx zv!(hUk>yHKR|VexX$DXL{tyEIqQEb{fGRdVRH+<0J<1ToV~PmW7+My5T_#)B{5y$GwJX5p`Gwk1!xm~w1wfL}kM%itR`U+%6YBCys z5(x>Swg$>EagtUVUlY~fmB|Y2CU_pQ~ zDxLm|k${W!8phzpb4xVHkQ83NPfjEfo^!{p`X@zd<}F~KWn=tJg!s)(NVoNgM9t_L zxux3GDYDSLJJdaL`OW0$nAH8=P@X^K^5~AA^@nDcm-a7j*3I_(r#I_9lKKY)zUFrt z3`s%8_Y**9+8uG~Sizcr@u3fjD-22Pr&9-0mJ2sR zLn4AL?Iuz1dx|t?FpTS;`fEGOwu%*a}F?i}b0pbY4wuB6WX` ztVb$KB`4$QrK4S$yE@L%;3E=|*_)%`@UUAsdUVQmWv9`39YH-3?)gHM-9xD&DNyX% z#<3<5d?uJDaYA|*k$`jF_at?KVB1}JhEBjFnobP$kxnDFWv`kV>ruadmsy6pPC}aP zEROB>x}^+tD4fQIHc|22qMB<%~r zsYj)L_ZWIC5r_GV+E~#P;XQLu?wAHgeqPr7S;Ase2^DGN21;PxzPM^kgrsT=+Fzgl z`MU#xkkJ`gK~ErA-2)P4kLSvUE5NVNU!Q+}e`KiHMo5`=!?H@%p#VI&=5OH@k1%&VKK`@Ar1+n{Q`L&Qv>^pl|fw()?f)M-4+g ziAF4uC#Y8^dmq7N%O-`)?}19rxB&g2`zH#?f;i zqB(74mf(_Rj?sWj>rEM7^M3Hcqv^LoHs5-0iOErd_|@kpL#yHx#avqP^Xrn*m{0Eh z*?WCyf<64<(CVY?;C^mJb6`)P5nArEXhYvX+Z3zG(76;;>Qz?pulYF=Wm7=cnsj7@ z6s!FBd9%7F=2jek=XAa7I*1>|EBAMq6u$JWr+I5Js~v*7;g*%2n}a71;|oK~C`m=; zr(~d6lEUsTdwBoF_%%=Sc8_5lx;1JbYj!sGeC+H^;mv5r0a635`r+yd!NFtVxNgKn zqGCy}CMkdi^E$sZE8Hiuui>N9YWy2lo4SHBYo)=Vp&>?YoK~hp6vZ~Zu(_cCi(K}O z&u*VYAxJR>3RC3yAP0&s?u&{Yr?P1d(Ji?A^V(1-aWHptj&C~8zqhaw_^KC}S6wFq zN*Hb~d3&Ts!;PcmBoaJcWzJ2XoOk^lJ_q?G2i!EO{t*Dv?=$i+5%<=JGynv&&TwP zw=#=2=GKYg(gGAs>zUSOp2wCuc{6v~MMT${gSSR)HI@Q$e${vfma5X8i7i3p0n^z! zk56-#poUk%TgHVCtlYP7NIjo4==~y0hR`9upEbZX2oXRR!9tF8Io37nK5N8IL=sX} zYtAa&``Al@O$!ki`byQ;zp>9bayrI9t5 z)W@*h*MDBiLLIuQR>hNh1Jgc@}7#Kn&d54I_;zOWh%uXn238@|tGa#tG zwOrgWc0o9#&Jq!jn&LAOh~N6l9}*d)PTQy(Y4rNY%j*nR;O36?p9|bZ__+*ZKzp9= z`y?R*gZKZ57?A0Ku$O zHn)Q#$Y0pw3~P{!ZsM+AhwI0%(<_Fh!AxA+!rG_ze+CI8l`AoIxrBtaJD;c&trOyS zF3S6LvTJUS+a;)$HE$-blQp{O#JK8Gl8gnzW~s%1v0=1leQKoTV(}J}vR#2R2lM&r z*=$a@1y#v)iFhx#K3@N5e4|&o(n(}jDr%cbEy%lRvp4Zf2jA@4fBa0?4;j1h*(AM% zAW}Ea{;h_2wlqE~v7X-j62)5bq5}fNZMadW)Tymqw*QEqn_CuUR$6v&LZDqVtI_iB z(y)5UG)j6rrAM-w-N=(MwK%_)oM<@y7Q3mx9s^_uV*3n1`6w-}DU7Jv-Zr5bmPAH$ zh>DR%=rfak1C6#3-l9UD@98m4X+`!bZQcz}F_NWJ)V6HK6&5i7NmPit9W3^>F@LPK zZxhb9=_Tzbz#YlCxGT$TPvMYt)aYCkk%R#o?G^(2yVq@c?%FtI9)@grqPV!Aa~ST$@5c*0c`2bJi;2 zazh%{Vs@YQW%TH0F<=$YZO7p5_usvZvdNl+mY>N}^b9RGsL647j;jioA4&f2A<` zbSR3+ZiUu0W_e48^glE!-usw0E{H&tAAlmkLAl{%=e zDadDG8D3INi7y7$5PsGf=m_GDx=vt2tMU~ElvBsIPBV+AC=%JgYg8ozChiWk-|II0 zX8vH8zr+u=K3e);?6jx5jteHPi(CCT)gx0i6u$MEG^$Fdu&nRuz_kmt>|Xr~JM3eh literal 0 HcmV?d00001 diff --git a/lab0_wrapper.v b/lab0_wrapper.v index 3270bd2..144477a 100644 --- a/lab0_wrapper.v +++ b/lab0_wrapper.v @@ -1,7 +1,7 @@ //-------------------------------------------------------------------------------- // Wrapper for Lab 0: Full Adder -// -// Rationale: +// +// Rationale: // The ZYBO board has 4 buttons, 4 switches, and 4 LEDs. But if we want to // show the results of a 4-bit add operation, we will need at least 6 LEDs! // @@ -21,10 +21,11 @@ // btn3 - show carryout on led0, overflow on led1 // // Note: Buttons, switches, and LEDs have the least-significant (0) position -// on the right. +// on the right. //-------------------------------------------------------------------------------- `timescale 1ns / 1ps +`include "adder.v" //-------------------------------------------------------------------------------- @@ -43,7 +44,7 @@ module dff #( parameter W = 1 ) always @(posedge trigger) begin if(enable) begin q <= d; - end + end end endmodule @@ -90,7 +91,6 @@ endmodule // You must write the FullAdder4bit (in your adder.v) to complete this module. // Challenge: write your own interface module instead of using this one. //-------------------------------------------------------------------------------- - module lab0_wrapper ( input clk, @@ -104,15 +104,15 @@ module lab0_wrapper wire res_sel; // Select between display options wire cout; // Carry out from adder wire ovf; // Overflow from adder - + // Memory for stored operands (parametric width set to 4 bits) dff #(4) opA_mem(.trigger(clk), .enable(btn[0]), .d(sw), .q(opA)); dff #(4) opB_mem(.trigger(clk), .enable(btn[1]), .d(sw), .q(opB)); - + // Capture button input to switch which MUX input to LEDs jkff1 src_sel(.trigger(clk), .j(btn[3]), .k(btn[2]), .q(res_sel)); mux2 #(4) output_select(.in0(res0), .in1(res1), .sel(res_sel), .out(led)); - + // TODO: You write this in your adder.v FullAdder4bit adder(.sum(res0), .carryout(cout), .overflow(ovf), .a(opA), .b(opB)); @@ -121,5 +121,5 @@ module lab0_wrapper assign res1[1] = ovf; assign res1[2] = 1'b0; assign res1[3] = 1'b0; - + endmodule diff --git a/makeTest.py b/makeTest.py new file mode 100644 index 0000000..44ebdd7 --- /dev/null +++ b/makeTest.py @@ -0,0 +1,60 @@ +from itertools import product +from bitstring import Bits + +def expected(args): + args = ''.join(args) + a = Bits(bin = args[0:4]).uint + b = Bits(bin = args[4:]).uint + s = Bits(uint = a+b, length = 5).bin; + overflow = s[0] != s[1] and args[0] == args[4]; + return [s[1], s[2], s[3], s[4], s[0], "1" if overflow else "0"] + + + + +inputs = ["a[3]","a[2]","a[1]","a[0]","b[3]","b[2]","b[1]","b[0]"] +outputs = ["sum[3]", "sum[2]", "sum[1]", "sum[0]", "carryout", "overflow"] + +lengths = [] +delay = 10000; + +numInputs = len(inputs) +numOutputs = len(outputs) +print("$display(\"", end="") +for eachInput in inputs: + string = eachInput+" | " + lengths.append(len(string)) + print(string, end="") +for eachOutput in outputs: + string = eachOutput+" | " + lengths.append(len(string)) + print(string, end="") + string = eachOutput+" exp | " + lengths.append(len(string)) + print(string, end="") +print("\");") + +for inputVals in product("01",repeat=numInputs): + for i, eachInput in enumerate(inputs): + print(eachInput+"="+inputVals[i]+";",end="") + print("#"+str(delay)) + print("$display(\"", end="") + i = 0 + for eachInput in inputs: + print("%b"+" "*(lengths[i]-3)+"| ", end="") + i=i+1 + for eachOutput in outputs: + print("%b"+" "*(lengths[i]-3)+"| ", end="") + i=i+1 + print("%s"+" "*(lengths[i]-3)+"| ", end="") + i=i+1 + print("\", ", end="") + expectedOutputs = expected(inputVals) + for eachInput in inputs: + print(eachInput+", ", end="") + for i, eachOutput in enumerate(outputs): + print(eachOutput+", ", end="") + if i < numOutputs-1: + print("\""+expectedOutputs[i]+"\", ", end="") + else: + print("\""+expectedOutputs[i]+"\");") diff --git a/output.txt b/output.txt new file mode 100644 index 0000000..81f172e --- /dev/null +++ b/output.txt @@ -0,0 +1,258 @@ +VCD info: dumpfile adder.vcd opened for output. +a[3] | a[2] | a[1] | a[0] | b[3] | b[2] | b[1] | b[0] | sum[3] | sum[3] exp | sum[2] | sum[2] exp | sum[1] | sum[1] exp | sum[0] | sum[0] exp | carryout | carryout exp | overflow | overflow exp | +0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | +0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | +1 | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | +1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | +1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 | diff --git a/prop_delay.png b/prop_delay.png new file mode 100644 index 0000000000000000000000000000000000000000..492cebd5b22bdb67fb725870c2cd2bc2b6e47dd6 GIT binary patch literal 19547 zcmc$`WmH?+`aKM#Emoj`;spw{P~4$-3&9H%cPQ>q+zD+d1b26LcXyZIE-miCUH;+R zL(jSLyW@`Wet6f1urrdq_S$PdHs>>g&nGDnG!z09I5;>oF;So_9Nbf7*zpL`BiL(> zv1%gh4}y(=m^=~^((EtkpRl+1wnECba+U_R4%*iGaE2C^=K6Fty4L#o7B)tfwors7 zJ~+5naALp@@{aL4^A75AlUIoQ>lCE$^shgXdb|%Nou%7rC{Q%jC@4rPFb8c#an010 zRN5=caH|)j&D5%y=S|3*HPfNX1D}Kk^!CDGhAxsI2_Xpr1uXcWlWrAXYR=YNF&VwR zZ3gw_#ov6;_>_l=Hvbs5vOh-l3rKU2*73^WU=l~7_#|F6(ZeUrRuDNPnvYX8KhtW| zmgMcu&xH=p^OTmEQSIy;B_F=!f%5p$eoG#i(*16EKjJ+95gAL#Sk=H!4o&12M?ib2 z!9n}T>r0E*QAVL>?l-$KS0$(vUZwsEPZWq|KRfh- zIxLi%vwgA69uudLmT*kg7vdpHEWf%+vMst}xAtw8TBCgz|1v29S$_ZwMhUyUFz(Zs z&OW=Uiva*~l3we*h;eZe{qzLk&v~;YoKdv5jtS3Dr;BCCuUG*9z>_K?t?MU}y^Bd8 zCw8OGYwbM&vXQlg+q1KIk+iTC)g_gw8b=358#9uerICpfmm*5TZd4yU31cH@NSd>w z3Uj&`f92&>lcgapCi1Qucf;ufO$+;~I4{?DTNt8G|fxR{&r#>mrS-LoIw17rQMwiWmM2IyZimmO`M zZ*AOuWp^DfKuanR+hV;Ly)Ar1He!&Vc{{B&vAiycgrl!9dv}#MTE&9um@i>eG^w?{ zqusJ`7$$XBvfI4+`bS{@&Nr`me)j%E7YOyA+ws2Q_(khuOeOAG$8EFzw9Ww{&&w=m zNa3qr&{h8HIFdQg@%tFy)%nP2!8+8y^y*!X>|XnN^|Sb)Q4Qr_E4RDC`MmRyCzgn+ z`yG55TA-|;c7Z6n?VoFIgq4o;j!iKN(|M+{=tc8Z1C@8@L66MsYVc7InJBl}Tz#?- zB_@}c$IaUXWDV78B5CYPdK=uC%#-Wt@N-D!dtSvcazu&%T}`2Zf}N+~${VFR7?z7o z#&uos4uVsi13VX#7W0tZZIdqY1m<6=)J0a57=GXC>fF^q!-ZYjBu{7GT98atx(rBl zOPzVDT(Us_Yzqs;RtWYl{N-5Iu$&q!{=k8_wbo1x^1Z)w9gS`Hb30C8DNp6tP z-h`>GUs)b{k_7R_UJpdfG5baB)l?h+z=T5&Pf8C25*M0n{pgT!AT|}qS5}e0SeWRu zaw3`$kG@8X!YVKP9;ZxgLHsUmop%X@pbExM?QF9tbSpXAX?tYp9vMn|?dGZ6zMd7v^V(V8^jeX+sp zgTOYuMxDLMFN+RJ=V&}FZ{uwgtyjUKX38|%u}Nf*J{sD72HLl__Ez>s4(YsAB)QI0 zdWj9WW^?@AZ6fQ3lV7vjm3Y#7O7Q*5^TNPj@K{hG@s!>E;f;U%qsE%#;Ky5JL;&(r zv@j+$hR&YZa!kHz$ExfD8hFh4uyg;^)VTrFObhza!5x7zoOx&G#q%p~Aq-Xfow*vf zvQ9ewspDNpqukx(qTt%DnuGSXzW_bN{jfSYxp{CQW#+q`s=4BXu13h<#KOWi zeJ7sAc8+F6Tss!F$;)fD2s0xO<>sdq4vRPIIafDa^WwwoTWk6Q&qF9q_G7gI4_Y-{ zT&lgGab@lBiTB^~1+oonZ6Nde=I=;+Un-p1ML5i?(YcelvskWB3E^ku?w zxclrrv}@CaPI7CvnWEc3A-%P|z?h`)=caf>iN6Pm*Ti=%bJwsNfPH;^ZEKkYEAVWL zlaqEAbj3J@mBO>OsMDnyum zQkrMuAX79adpqkPA10?@J(rO>#mb4}-n5NPB_pF2ojaQq3+V4k3jwANNYuEW196rY z+IsSLjCsVqKn>RTZz}BTzI>LTq&O0mCy)v2B@y0uRhC9Ba_duSvP-eX+tbf*@n}(9 zM{2r?VP4$k8KWPsouB&r4sffhN-kWFwB%<){*;}E_RhcU4DtU(D-qOd=N8BDbGmixajk?DVUKut<(d9OAeu!-C8!sk9@d2`P>SBoF-Pl4qFimk6j@?V$5O+}KNmp%iiAf8&#sMzW4KGj@2U9dNJB0aJ)Xx_@xUs{+@ zBPpw-7IN8>Apa)Dk1m&}3-M1wXcmIynk`#_luv2H&jBG)ePB8psh2bMZ zAc^h0Y0G7U>i6xM*^ZXk!E)EoopUBt(z{dI+mZ=KGeOliP1+PeRXnMa-Da25U39Nf zu&Ibs?mB(ig)36A1V~IY%NfrrKUP_me=haZ|HtA`4_3azjg7(j45*@AS0fe!-F&+R z;)dt8(O$S@IL_v{uhyVWcv^N}>D`b0P)6#ELv5Y<{#m88!kgDX$*o2PoCFIl^IIfH zt`cNDwp2PnRU`W>x;$Jjrm6^~4De9WJQM$PLwcDr3Q6)`$Mmq5&p;D3q|r6QxhC6vYuQS12_6Z`rorU};-6HxqrdATxUqy8oL0w)7IMSK zLHVPV4`;*Gv!Y!z`u~1wCkz1>N5^q&HxYHdVSJT5vF`hZ-EJz>t9g`#hg3TzMeP97 zitiOEIpr6=S26E}2N7TEtvrUkVs&?4czF89H(z+@J;LWC2@W2fT3OS>688MS)F{_v z8MFYArH*hCql`kc!^KpwyV>=TqJs>;hUBH22O29n_v!id&;crHs2oZBja77AOp302AqD)&OF`EzV!y!7 ztVC}9yK7(VfEwK5e$&fLiSM`Th4%1$d(%t|?G5mY#p(9&__LaMsiW2_C_i{^M!b+0 zaQstjw_l|v?!ru!gTXlzHjGuQ11(R<)o2!9#3xLgc`|k;Is1P6)Ufz`C5tzvGVWp_l~w9K~tz2nr$OYL=h>^ddNw3U{e z{9FeRt-`m@64GQVP>k02oG+fmb(r&3kAzWy{DsP5Ogl5Ak*$}7rG?LS;#z%`2oJ=a znJr4)UvBhlAa@re`Zszw!}d2_^@$#}FuQG_`1l_7>m^xfVbFW@6TRcdu>H-{d9VK? z@#8@U!Jc$#PVh6H;L@z5XfW_Yl19_5N-)^7lw(5EIXL_aKi}{j2KC~W6aK*%s+ zej*cM`7sgS$7-jE8A22yY`)sF_k~an1zmJyXaDZI-IArIN%i3EnsO#Yy_Ft})kidn z%>jx2iIy^x&#%0_8Tf~-(E`Lj{!q-1%4{0rUvz11g>CL&1XM94dazx3<#!_?pzvssY)2`Mc6VY2@9r-TygXT!v(&i!vNtSVrwn0C_3%%( z0eb3P73_RhJijEA_;Y|->31r^yo#;_FYQEg=;UOIIcj3jq;kR0KVSek$#`B}c zkUqBQq%F26GiZ0>Ekj-LEpbeU=fEtx9Ft*xu8WDRX5#c*N=R5*`AHUX8;AMJRoRlC z)L%d@ZB>IbSN-K7j*^j}(%sQyf|Ejj%FitUmYuE|`+Jqs4ylb_IPKW7vJLoup>h9Y z>3R+@4y_C%IRa5yPP#Idg*z9i6u?%RoA;+Q4>F{p0yjU_oQ3Uo- zq@}~k@0wbCwKx{~i+;7?NjEPg_A7m0SKsOh=gq99WVvyC>EO()zwpAHK;@-MSh<~R z(XU0Dbjmc!pGPc}9*}t_mO_sTN67$+B%7ZHzU$Lm6i&D*)pw&yH2cMGJR7+O z!XPOl{U8aPnM1@V9ZT<%<|$q~6X7G`rLjk&yGCT|n{#9RP(bq$R_XChdsL!dHm3M< zyfU^KWFX?q?h}CiR8m@3U73@bxZ?I;dg6MDcam1eiOE3NQP5YVfXSdX{{8ijMiRqi zG-bg~qGCOW2zQb^&U7^bCLm-@W~{RyNWhtE(>!nZ>5J-e=*GD7ZBF~j)t&pwGN;vi z;vBdyXpJJ9dW?oaW(Ey7$3@@FxX zjQJ-W5pd4*PUjV@vMA+lqvMYxL@G_lmZhYW6 zAhdjBdAEs}*X{c+l?(gr$)2=dh14c5#-xeYxG&xzfuU2Sy1Jx*gEx}()8$8?qMN1V z3?}peg2_HCGgx$=->WJNAS~a|IcOGcEJ$i91CuVzR^c->lm41(^932ue5{LE9WGKJ z=R4R@#n|r&lISj&x?o)MXjc|(csT%OIwP*w=k?=lQlDg@Y6m}3d`H253eo)rud6EI zxE7#EvTLh-#m1ZWiqNK+fN9G^|5TpKcqkenwx%39H|8bXUWQI^7~7SG=LY)1yyUUP zEiuD6C843A(cnxUAfe3b-mbMyb4#P!4y!xb3Y3E79d7vs%C{%lziGF(@HV`tS~~K- zbo&HtO64(bqS}QPE#PZSTV{8@EUu;;l`-iIf#q?f;F4fxPL!IFuwlNd%wYF7R8{4a zy2IfJyfMD;rmX#~*$5NqM+W`yc5F_P0q;Jy4j8&HBXC0)x9(3a&ZcN$F$wP^WXv+) zjTw7HLyo2_8gZ;AFTR?f#$-~Vnjw#4iJQa)vYlL$jAJ*SDK}7M6l|1Jw45n79?cv8 zz2tNl9*f{p(od?Li#KafJ7CI4Qgq~{d&GaazR-Z`eLGirFw_Z#Mk#KOW1 z4b^eSu(VJhp@FEBYbK_TVy|H@DzhG4&wUo_jt?{LbLb6VD}!=@piFBL}bzW0xF#qkJ4QRTe-BEuy9Rce;IxN z=PNBPR~Oenb-P9-}dMnq#Rx*2>pu0L1*Igb+gb zlZH&l{_!)8Esu;W+%vryMLcwa9r%Hh>p^9v{D(UvCIBiKzlJEqfG-Th?Q{T&?L!$j(YWxtacO=0d zUy-jPU@}`jT1N;))?0CXFCeTd$MY^H($rJMPSfd^&RF2te4j!YD~d)GL`l-Vq29f2 zy!^zED`R)YiaW`+cLPc>$hUSrF|rG~Em`A@S_X`|H)zf3=RZIpIFk?1?y4CU8;kMU zoFAVGxynn!n0m1 zTy%FF9sGCDB)Pb*+HjEatkPtn^E8c90}n%^u(JN5u=5#5@b{!`GPLvoq$x(VZ~hF{ z`!*WsU(4|smi*NJ_^b2X9Jx`()a^@~F|ua6D#_dz)&JvbJrV=T>XA9i0BvCVb3hx< z4Sz@=SX9JyG05SLOI@`uCi*v!W60*CmNSt0koYYY0o>sv;Ks% zd^KMNXO^o-DCX;&ZI32dn(8uhy+xireA6*kQH~r{Hwwc|S5EbS((kfL1-Nq3q!H(> zXwTEej&ZlC*2mD4bhl>0iM$(IzQ^5?vPY?Z-_rPF$mde5zO8I?Fk}dyCq2GeO!T|U zbNsxVAu@vn7*5wf^Z{ot)?(cxCX(%PZPpcrX=WEZoC;UrHNO4u^qXHNKmWa^%nupz z3pLnjig^m+`Ujccx5EE9gkgrzleG>pxM&>0yv-I%lm5RT)W2IVLEKWQeUJI9y@JcDf+D&-^|OrYzsw$53Pg7 zym6w+inQA}jl7M?dQHu4I^j#hIX#7`>n5LC27a{cun;tXgb(Iw9yTDkWz=S}`!a3x zFrG{D`V<5oU~Y?X>c)975C1VNFT{LWT>7p5jeC1_z>*60AUfvDOUE(K$%JoGkY|pK zGfF~%Sdk$b?rmbPv8w<^foef{NxYW<(N|lF7-&BRGki-5r!PNP?dIdCkwU zTb0ihql?YgR*D=F1Ks3beKBLV?L5>cDG!zB+xYl%1az67MN)-Y!F8urg|$91W*!%f z4INp@$XXAr4hcIMCAz3$zjbZ9X)BX+LG^bDHP(_WM!rd2o7j% zkX58e&Q3rKR{%~8{EUBI-h(Q4HYY^L3IAJhjwyy_V2!)0)8tf*U~ACo#0E~dkdxIF z#~viy-fUM-v9##bUY%xR<9t+mWIt$ZZO&g@N3gCN2m}gDp3-&X80wZ*jBBWeRWth+ zBn#=ieERvp%%cNqi2w!wo{R0DStKq}aSI0HJLCsX=JQ^fWr(TIzxiy!3gB$2J#3EJ z&8Oxsf6KuZmmjB=X@NiECOjM^vM%<_S8WZh_av|S z!h*Y#Se{{g-All6Y8aiV*E)|5q;27Xi%jA8n%=Fkt6%itZlkg-P_<`vj%czZwQ8?q zP23OAMUt*fo|+-6ds%WV?ui-nYiU8Ex$KR*zs3wC76K>^liVbk>Xz{RKkdv-C71y} zb`)(=mLH)6hm*u~?mu~k{hYT<7!M-5rD3N~fNnfmiPk>$`*O~*Sw9#ra)P`E>RHX& zax`vkb_R}4p9M>fC~&ik^iR+XP523@p38+>I$Xc22vNYf1|Kc=!WcQt$JU9T;OFs z97Z5M;Zhlpo`f;^t@X_0-D8|oRMUFPOJr1<9R8HZNH%iUc4R5ZF2P_Fxm5a9t~2z~ z9Q&9ulT6`f{X;xevXU3w4gsEKR;Fp6+s$1=2+Px<+wNRJ|v#CibEUKJjnQO!C^zagfRiay;Bn zv*+0E&ik@XL9!q;AKIJiG-Cy#fwFBLmp&@qzF)Ybb;^z1Hp(j@+9A%;*-vDe=e7@P z?CjVcmS)y{1<6_MW|XGwp(~x87ap0xAxH2b!`+o5&L2Af+G{v$)qphPKBXLA z{_GBLaqtlMyo9|JZTe(X{^6FY?b*H^(?=G`Q*YPNb!OU{=+V7?O-t@83X7ZGyG*pw zkT3KdIp8CBWPHxANANw5nXUf|L)uCCjgbxWVeXHQQM4b9KVyEG?4D`XApa^C9okZO zK73E7qrYE-9R~V-fRarz^nza@#j1d;CRUSQeRP=WjRNI@FdR zj{RFw`J$|pstW|#`e*0C&)z?}Pq?+Imatr-kn>MjW--6?tvSTsePGU9IZ~hK`pUJO zQ&DDqfAyPZ!l*NQNO8XM1Rbn!{?Oz8^{V}CN19xih7e?w_@c*3w$k&vR?wXqNH7q7 z>|sCR^@egnxac4`qwSVedsk=s3nW@uiwi`IXZ5MMSSY$pBup0MM}vW7>kiVDbIiX^ zy5pWG5NVM&ChhTpHg(3DOuJ&C@y9dkO7aY@9R$yx_WO-*TCX~hNf#23=yk}0V66TZ%>>+5 z+bvIX5>L*u{0hUMpgZvieu+b>uM&5gWqf!GRT6c@h2#eAOr4xJD>dbJgF`DBl$2TP zH&x_!6P-zx)gV013WqPB&%{FH;^s8(B>Md(3h*Ry97Z#5Nq3)0-)83t9o9_o?4oLx zc=?X7V}x>Ya`t$MoT%0oHz?fjP`}51OuSrahVOhbpDBfYYN0_c#ha5wa$g~NLozK2VvmUBr| zyHhhg`FdI(<{TPa-Rc;8=3I_}-Xv_b z)Y#-GD3;#a5J7rqqa<>|)?Oe3`-^9n$!xc$ux$U0t0~n93R%Z6HRu~EFIrGvbeV4% zt6DVfFS`CBxwJ(OFPG-ieK8(^*l4EI5ho{OVZyG$QT1`2x%|pMl_6*_OngIDywEGX zm0ImJ3|PLvrT|rrwCm2#JqZ_1_q$C>ItKxPuQ%)WlcopyO=FLr93+VB`fg|9NDz%o zplyCB(KOI|B;PSt@WbZx#E~}q-UZd=qH)5sr?Y&}?!FM;-ado-JhN5*++b}c6}j&@ zAf~R$Hmyl_1SO|L2pjDSe&eQV9c2s?$cV=@@_uy z7b@NpKnOI;1>`oL^Y-et1bdWhA$s!iI8-Y15|33Qyiy+wA?ylgH(w{7zGzEkX>-um zAtu1X`M!A{2b(3i$ewDz7iCQ#1qs ztnycf11(zU6(DNYK~j0+IBTnS9Ms|oVWH8{p>nn20BOwUYnj#dWGNotY{oZRvV}IY zui{L|kh5;X}#7%v9?Cta`+tC-JnaEmCqgXJ@O6W_tEw{9v%PfOS=;M zQ`cV3s*MOzTv@bv3fOIU%#;~bzT>iKw@6T7<`>b zIq|IE&U^~itnP4VBp6zwAzPZgJbAWQYU`^d>0R6aZjDR2U`iTqLxAVOm7KY)xw&2= zsKtk^2#$dsI`qku_5yYY$sHAlh5-io2_RW&&9=#xcaXxP9lESzT5qk7P@M}hh<1pG zmr$c9O<(a=IiEsFV<7!+Acv-M)BlLJbH5@dul607yYS=C*hz&S@%5b0($K+`9vTMd z1l|$73tWo{kWr#83;!d&S#fzaqQSw*|B#`Iz`$CDRR#nnqe8jmT>S|}Pm5LzqVR&y1;hm@Ty;WM!^%ly%blz@OJd#v~-*O*}~dL1uW8xWR7VC)}|)99%Nle<~sv z%B>D&OP4w?CSe7GBq$d8ad@(0t_rAI3t79gfB|IS@(8`<&hA}L5Q^ak~ zT!-;>Fj6J>@8I;yQw&FWuQstgTxogD!WJCb%_4)hejyZ`upL?acxXhp%am)f2NwwCIsRPFB` zJYnOJjB>H)Id3e`!;I8dqif1?&oO_{O~4^636thCp2Bo6?99-ecP{Q{dVO?QnnCp{ zWwOyy1)o%_@OW*cEDt0s{#eBQRq!H*v0KYkWEKOUEBbAv`Es9|r0pqld=4$muP?l= zyhC6R579p91!qOi?nzU7wuv=cqT5+bAFd?P=s;g+&aKh?Ecb&^Q&*ShCs*}smoIIjFYPEDvo<_5k2EJ341PTFD=xKlMF^tq3qxsy zN{;_n6fBSfgcVRndY0)kX1(lev9_+L$zO1D*i#b9{16Ki;4XsmDZKvW3U46zy9`Dr zk0Z#z)uOFPsh9t8tD>s3zjiR{OKhka)x6=oyzFF7>c z47b~tm(_M@V*cLh80x{u(V<0&iBgN`^JiFwzKRkH|1DC8sO-?5_P&%yEo}RHc>c zbWJ?64RGZ(eKy7&Owj>=0=#LvCl~Z!K8|@2D4E{FmVR#c&wno5US-Omf0IMC-M5S5 zgzm?~OnX?vU^s4U?yF-y&k74H{}=>}^t6!}5AQzFr4a(2!ZA!Ur6LJd{dyys45LC@ z`(Sk9pF)SfJXN;Fzw6lqtd24A_=JSuVJL)G3(w%4W#}mIDC+74=CTy`=;QA&Ax2mj zR-X|GXUOw?^pA47G8x-i(pba6QAk3#pjJ3QypLzKpP(&&#WQ13BjB|%;k4JzrSXF- z6HdL3;DfV>Csjo6rG;O~FQ$(L5J^#G;TJ6#O`oi8F)Z$*MK|()TEIUkIav7so*jq( zIiSG%txj?i4L>4FNQskicn4Z09YjpeWtng%zK7WF1@*5RtOn0yV9Jj_`Cx(sbuxb> z7P!onCiQ6^{a;Tl5gs+>`*)#TFW=kkzU)g5SHvCtlf~-NzgVuu05ELl9=0BRr@RR6(PisWo5 zzMZt@f6;Dt;hhkFWC@+M&pO%>Yr#p6;IFqklhHI2{wft?%W~PRNLE}hHNQE-_N7~9^ zx`#66!2qpo%8-92?EjtoIASOSvL-t9G#`%XX^<}2@h?lIJ5+`LikXB zZx4Icw}GNPlb;RkbT};4R+*qGUZkuG8v`mPMD8x0j<1B=aM^9Jxet#3Di5x1-LgTe zm)4G_^Xv3ltacwc7$h<;(;l{{*%4obG=G23H|1u1-l*owVnnp*748}C8$;t>BH8rt zya58XNF?zyqyLz%vu}f+0{`7_Z^74b{2+S|qLPN7WzZYGueRrz*^ExZEQp8791hDU zzjFdZYqrS;E~mK++u7nk3xOLghF+figMCZZusij+w#uDP94QG(9zdnN&t448W`7k5 z+In*2dC3EuN7Fy6Zgq}mK6zZC)J6?2{;K9af@K1bbn{(Rx%7#6BIKtQ zpwl0;xtxwiCcP|<{dq3uX$DtWv`rpj5geWpsXy(vg}9N+EKXfMD~(%i%Ju8ntIGLJ z9v4~0y9G$vm2i5~>8K&R3X@VP7%wDET8^VquR89(=4D?-*q*gw!bIlVi3y>$hi@i- zSRT~52Oa+y3h)1Bz>ogUq5bdf?ON-r@_!~%+&(4hh?997-8S85)ug!KV*j^fq}nP( zm0iU=ylpivvdI3|#!?be>u<6f?*B(GcRH5`LN#Scbv#W9CvZl~#DSg@FahRutakWqh} zV&9FxA-1RO6X)UfzdFzftXw&-M-Y6;OJO$8THqb!sb9eJG#^ZpuLoKffC#>(!&#(K z(d9eqR#wU)ts~h4+aBiSbyb;~b(H3t##Mpp>tEX3 zoF5jJ*m!%A^xZ%e!-Rrv6RW1zmIX0LvbxL?@yt5%^qPL0?N`Ol-R%Zlbwm`;9TB1% z*EP&`Bo418#xpr?^<<)Ah-mSiDr`&#X(iaxW*w@jpu`Q<=YHbhx@|1W9<7xB0aHc4 zBw4=JVwPO$Wvu*$6JiyO{80RU=6bB)uJG@HseX~n@o=(5I_Mytyds2}KHA2{r*l z#-mYtjp4Cc^=-qHcTG9qM^;AMlZROw^OkFTjsjoW4|lCt*6I@GzsL_6+NdGR42RX7 zDFoD>`#J%E{uR)*bY1hG}nd4}BAKC<^*LV>5eP=i*R~1S8HVXPhI8 zm}gM#t!$+oc1YpJ1Rp@DfEybH@70sSn?>WqM&0e*Z14i zqlEm(AV}1yQ_+dP6U~GscMJN=_?#~oY`MLxpJ=rzRahCr83ZffXpG6EwOrs2fQoDK zZyAO#?|(hUHyqyZA_y{SjLL>hg78-`PmTp><9vWh8Yfg6^;0$pb?}0l-1oaU`PG#| zez%SUMyM<-;>!+i{aBM_&dz4|FYSi4?f2tIBRj70RU289U9C^E;szHR91f~f%7RqU zU9$q%0J`I>{hUtFB#u=syO|J@iFqm)L(!D9Bzc#kAkeb4{Kdr&$Q+BT5fp2rKK_%I z2tW{40{Z(jTC=gIz0dksi|@9&mC*k!Hh|^#Gf$Hp7!yr7Lu`Ux(eAg#yAND{ua%l9 zur9%Vt~*I-y_!u~7RxQ6Pq)B5YplwBgsW`sR{}k>aFDQevXf61>Y*2ldQ*qv0FD`_ zV@>b#?<;7Ut0?zI69le(m5Xe&Y$z@BW8JUVwMY}_qkq@w;ATGTKXe3Tv#~0!f}W_w zDnfJ>((5sY^8~wyp*JByKCs-eJLTTafWfHyI~je?O(5!iKyyjc#uJXBDC6ZNdp8=#O=&!!M@+ahhjcK`rV+u=<(=vJK~U`lXuaS805e3RtPQ`A4{ z$WFAr)4-Ay%d@I%ry7^4eC4|S5Dq;6%sb2~Lb*Hr=Ym?UM09cIVNEq3{)&im2177< zy#ZRsuJuJ}=BR9!;xB)|>e~vN47p)J+|D?d6fdBEksmh3qmhwDP zPW{f^F`1~ZG~aekj5FkVUlcJC7L?IcpUZvTSbM10;BZ+zU}$c8KOQ3GeeK9e%K7HB z%U%(v$Xm%L+l@8p?W1{{hWmOaexl6BQ@^9s;@9l5jQxXE{>*;*329WXgA&)t7P_%( zL=I3x**Ymf{ZCz>w?7`P`9+rP2V=tZ*4Du;fm#Xe_RQKY3B$UH&s%$I-=hqvgb!n2{BSc*SIe z#c!Q7L?SDYW9;&NnmEc?ZH{%Xn*=d$-RaS%-44*WL|?C*cLsDNWFN``fe*@q?wp42 z2zAoF@iQx@ms&dV;|UL(Ae?Y^?>)|4@o$lnX4i0hcezzkBmi;0-YVDSD!V`yatjec)_!(^Ta;=O0ZTzwYX9@o_R@ zW=6O%V6f=MOk>8o6SI$%l@kz(2iss(R={a}fjha=yLsF(X<2b{U~#jSDm1?WD&$NO z(HlNK`C6&Op5U7PW<)>X;v`gIQHGRuvoz4Pj0tp{n*p^wjk@q`I1vIKUB%t`GZc6l z_vl}jN?)=9;?$gM@y5h1=gMB4REp4}@2!Q}UskFseQ`OtbE{rbzR^Ldss--DYRs2nOSZJQpg8hS4yl+!dV9MR-nZL>v z)^P3MAtURY$*QqwX_@MZ)zs;02HX@N-Z)?O6f0dAf_M#wT?~;V!joJN0(o{nL4>z& z>%6{0vCu+_&r8}o7P7sak$mdiO;WkF7RCI7u^W{O#6T{zZqAPSMZSS(oF+`S8n-@j4s#Iw-UTBQ zl|wsNUndZLv?z+Z&r;iVj8K-aS3+S5L)ppzF64Tp@MWlI$4Q+g|HIYC{BnKyT-8Vz zj{IpHtSbikozla)G{mr+E@x1|;HhAl20EDZ!?pc7J-I7$O%VtrtD0yuFh$qsah{z2 z)d3am+5chiF(vh96LuZ7DTefmk)F+##6^cbZpcfjOWWJPrX?b7)+z?NPUQOz&yOCz z#2TI;I~!b8nzp$Ypajvk8^o_uTf?&@Y6cs=n-Mf8us%Gj{veM@fs=2iHXv!}P8=O(Xzv>|xna|D_# z#<1(Gu4|hW#2Rdlwy>Q{o*;Izo0wV}FB=)Q%Q(WDk6duvQEn)2qI;OQA*!A*U`Q*$x8+*IC3cV1U83G-WU#WBfq>Q_a3w`??x$Tyj0 zYIg>|dQ;42>Pu-YS7wOh5P;*e&tApC!J$sv|6hQl-|?k0EB2(=ae|I-?#o^q+GV4` zyq%k`j$2Iv*_jgG>`AYobKqVX4T}s^H`)ngyV)ahNjz6MT7QFlWHLnDIO{3ri`+qS zHXWNYboK7ihsRrp7$4{2rQw71crdj(-~T&GXGWB@l%R7LU&c$ z?SD_5NPepiiOR$0pP=a;feImm?WCwr06p2a7!nx|MRK#>aaw;z#PAMTP%|+ylJwU*#T)x%Ils>9n&(E4T6M z{J&LA{+=JQgty9hvZSz@=4+vgZ7v|!1a}vQ=D8!^T&X|xg#FyygNcj0)7E{SljN2- z@^-EPZwsOCQcg~nlje!(K)?KWaVtk_KWg0a3lc?d1wAo>^MpUrv8$cyKR)*F_cl}t zI?WaOb>GHJ{<_4m#RP&B9QTVz6EEHws=nglf`f~fmn8j28KlWcpI?IAS6aD8=k4aA zAq12UuP;o~C@a!I^#R)kIUJXpa&4RNtr8Ke%~gvmz9*b0fL^a+#m$xmCh*t2EpiQx zYRNo;Vs9zAF9XJ9{0u8AFr$&*WcN%EY6%Cp`BrnXPu&>@9g)pEod8WYaNyyZ`##y-b7or( ze4K#u@q_3oLP}7=Ldndx01D|@&~D?8#H>b*H!7~Sf=!6qlfcRJYWZ;7D$w%nN8_#u zDT6mxC`*motJ||~VrD(b4CaFa*{|8l{XW7J6}IM!vhmLB6m>HWZ-3)j7%NbHHp#Ct zpb4FEQbxUK0(GuUCYRW?&siEdtXhWT5tqLlZ>_y0#z_{}=*th0xwM)@K{ec`H8bm% zSLsc4Hh&4bpJtn_+#<^~DBQnmNlai?ijFCNpvjoXcS=eAjV>rY zXL8sGuA<$6xPqoIPLL#c*6Ogufpw!jb45H=o;IBb zhoO?NT28}A2Ao^l4b2ME>7#yS(zfUrzkU_cHkLBRGyw(e2+BX?m?Utz#LEAY0gi0TCs;KM44+#hnx`EgNst&5S51`5+}X z!4wz{B(BQRpbG%&9(Vic++s-$#@&t5s9SWC&zLR6p427?;#_eul(bAU;bY6CF)fQL*v{BL zdWW@{o0kwX?fk^6e2a@(r)_C%GD=7@+qK2HQQ1V$DF@{Wo@Ov!g=t7CBvueJr+Vvy zIWS#Jef)#$hwTijt<+;(?!wi@cx;ol($M6fBHXzdr`HN{^Cw%67BkknhdRGkby6(O za0^QwQ zG~+AXzMHL^jjqgPME@zRCgR8Zz7MZlFU_iT>TR2zS^KYM;7Ui887BUQtqnbsyYf)C zz_-zvjs9;x*9`XC9vaZD+$*w1Mk(Ngk1--3#QY;aPIw7I(b&z1s*%1;5Px@H}(btF75{=Wmi{c4en69HzvzQPQu&E&3&d&R)WKh}~w% zsg#pYL0(5iSl03{jEye1@m~0hlaVcT6bwk??_Fm$Nzo^5a7{4(OfH2hG$+}by4xdB z2{P{y_bYqmIw^k@wh=Nh=c1mKb;qSxd+_t)?geUL9l4MS!(I>H%zkIcx6ZC7hT8;p zgZa}H?f@)Vopy{Ba03Q+S0ir*z|4Jjc&?%>4^EW&C`zH?BtXGZ8s!A^t&%6nZ8LAd z6rC*f05yw2d|P~k0P$%XGa=efbR^kaZiXnSkY+wx#hN-NI0Zdeo#}yg+OizMol!ss zx+TX6KDch7th!;w++>G`d%u4{g0$?XBLaC#vp5=OaK04pdnP@x-iZnDnSfsHN9@h3 zy&;iUS!GZ%2Xo?gS6nz0y&w#7-8UH>I)8@z#tFh|%PyaebX`wIVWZ`;-7Qfu%h9kb z+B+_mi>JMFG+Q|sWY@qMmAPgi|V&RR|Ks;JXE!-td@SuWyrj{4OgNa2l6M3W%` zE!u>;K7};?rXtLe;XFNWde0DhnK6L(vYh9#w}`Et_%3Q=E^hJ9Uq`*%Vt;APJ9mcz z0AS~N`T2lFbH)Jx8U0D4)q=$Y(Bj0U-1?TK(?P!T?#gvp>#=WUp)W;KfoA^s5`(a$ z4MDi<&G#Ixx6t1$afy%bqFk4eUy>)ipyp5u06?#oll%7+09)uWC$Wf!IHpDHQZEb3Htx=MZu1jTnb z&=u}nWR*?3Mxiz7;6)bn6h~7Ul5|qO=E6oY5!k?R(pU zz1g8T`T)pxSb88Pj`8PsThG$kj1ctyHdi+`O!%XjLc#^3sybKO{i`qj-<$fcIK@su z+{g&Hq2lI^8T=<&d(N<{{I8u|89Qsm+xf=-Esf+Sa7)hQ@8}8KwzK~I-t?BvB=L;` z%G-S-bv9cwp5ZusbAiO2sT#lExK$t~0dIA4eTvk%pJUd(TleCz+UyjDl3j;gxaPhq z5V$QI`SPWy&e4y@KzpIo4CE(ROqdATedLp1r%QOG@~jW9 q!RLq`aPYwA0U6X26yWo877y(Y6jmvlBrj+!XKbLh*2~7alC5P+) literal 0 HcmV?d00001 From 368099577d2a037a838c7a54128fb91986325e19 Mon Sep 17 00:00:00 2001 From: Tobias Shapinsky Date: Tue, 26 Sep 2017 23:12:59 -0400 Subject: [PATCH 2/7] preliminary writeup --- WRITEUP.md | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 WRITEUP.md diff --git a/WRITEUP.md b/WRITEUP.md new file mode 100644 index 0000000..138ef2e --- /dev/null +++ b/WRITEUP.md @@ -0,0 +1,7 @@ +#Process +We began by adapting verilog code for the full adder from homework 2 to create a four bit adder. After adding logic for overflow (XORing the MSB of the sum and the final carryout). Next we created a test suite to check that the implementation we had created was valid. +After debugging the code used to generate the test suite for a while we were able to confirm that the output from the 4 bit adder matched the desired results. + +## Test Cases +Instead of picking 16 test cases by hand we wrote a python program to exhaustively generate test cases. However, if we were to pick specific test cases we would probably pick ones that would overflow, and with positive and negative numbers, along with test cases that involve simpler operations to make sure normal operation is not overlooked. + From ef3447a1cb4c9a34df7ada30e5bfb1751f8d6113 Mon Sep 17 00:00:00 2001 From: TShapinsky Date: Tue, 26 Sep 2017 23:41:03 -0400 Subject: [PATCH 3/7] Begin hard part of lab --- WRITEUP.md | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/WRITEUP.md b/WRITEUP.md index 138ef2e..5fe5a32 100644 --- a/WRITEUP.md +++ b/WRITEUP.md @@ -1,7 +1,14 @@ -#Process +# Process We began by adapting verilog code for the full adder from homework 2 to create a four bit adder. After adding logic for overflow (XORing the MSB of the sum and the final carryout). Next we created a test suite to check that the implementation we had created was valid. After debugging the code used to generate the test suite for a while we were able to confirm that the output from the 4 bit adder matched the desired results. + ## Test Cases Instead of picking 16 test cases by hand we wrote a python program to exhaustively generate test cases. However, if we were to pick specific test cases we would probably pick ones that would overflow, and with positive and negative numbers, along with test cases that involve simpler operations to make sure normal operation is not overlooked. +# Waveforms + +![full_waveform](https://github.com/TShapinsky/Lab0/blob/master/full.png?raw=true) +Waveform of all of our tests (all 2^8). +![prop_delay](https://github.com/TShapinsky/Lab0/blob/master/prop_delay.png?raw=true) +Waveform of a subsection of the tests showing the fluctuation between states after an input change. From e30e1e7e0a6214075b561a5232095d4e4041b6c3 Mon Sep 17 00:00:00 2001 From: Henry Rachootin Date: Wed, 27 Sep 2017 22:08:34 -0400 Subject: [PATCH 4/7] added photos --- DRC.png | Bin 0 -> 10428 bytes Power.png | Bin 0 -> 20756 bytes Timing.png | Bin 0 -> 9265 bytes Utilization.png | Bin 0 -> 11832 bytes 4 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 DRC.png create mode 100644 Power.png create mode 100644 Timing.png create mode 100644 Utilization.png diff --git a/DRC.png b/DRC.png new file mode 100644 index 0000000000000000000000000000000000000000..d701dc9102b07b7b650bba623c219e787c304665 GIT binary patch literal 10428 zcma)i1z1#F*Y;S1NGV82NJt|s(n?7W5+V)K49(Cnh@>D$_n>qm-5?t6R-dqP!J-r(P-ybl6_@a5&C)j*&-q`-9n z&TZho2HvR=P~CBsl-Iz)!I@bCF9Np|P?`5ob$fHDo3WD_$imLv){N8H#L3Le&e_r) zx__rd90Ym_l9zt1;hwrX=aWdK*~ENcnClh&RZub->){eT*TX&5S|Ukl|K}?+y~}~P z-K-1nFrrd>=UZvx!J<2lc1NFRrMqhdYuewP6bUiV*!sqOl)+I?;1v2J^Yv4Njek$C zE)#BAO%iUqlDTjb4C>~h>89y2t~3AzAE88%nGIWGLM_6YbErO{T(5^(#S2H>L8Nzq zse_jKEul9xXjG|S_!gBq`Whk8ayAWlRJ2+-s?xBL5%wVzJ7<1CaA9_Xh-uEPmK0?ct`=liLfRl zZUMFQC#1*$x9i1F=AD$|c35^M1D|gQ91rcw~=%+oxYmr$y2T@vhgM-`VGFKFi+zU{hjwWq1y?soif% z!0eLQc^mQyx!iHuZ`m~5>55!Hfz>DMeq47K2D?GS&oIr_UVZ+Gh%{93Dy}_5P6K$e z@-q!IKfgEQm4q)cWdM^9vObj7w6hxMQ%ZY@9`eN;cU60%6eo*CG2yitb(c+K&qd6Z z5?W3d=TUbw8^o_$H4U#Tm7uaB?`zI`~LB}i0?&8uIwe`eU6B7~ITWQN{{sm_Di<)-qll2BLY_6yCZ^1kPVHf-VeHkhn5 zQR3mElT$wPbnX81kUZ`QiCR<)9@nK0z0l;_82(2&jP%RzT9;{*t&AO_6}TH3S6zDw z(pFYWj>*aTdZy#3wDhq-L@Ae}nvr7ppL<62F}p!-#hx?$Nv!lbwMG%Or^!zwpvd0` zQTv!8&k_s&$Ila;yDlTI+p7|Y-|`Br^a)~6ri@?-ZQnYc9XM-Vx1w1fEMyPxG0u%bydl z(;*KHpo5~K0cBS}U2h^HQK2E?=Z9`XNn#b&T321VU_g(D;ba8(4}Q^10s6V%#!GuO zS-McWjylA6Bx$+Pl6#w6#9XJPqq|{wmu@RAg@6V%olG}})*PWz(7(`vhtnU1$Hz~9 z-XY|8|Kn*~0gWfs6vkmZzHN9ZGxMtO1&z=_Vcr+s81;7~>bGp=mFrVg4b~5UAI~nW!i}vLg=I77G@L=$pq(k2(@atQAL2Cq<&)gFuPjE|1+jyY`UI z7G5e=&tmwVf5>gAzxt_Iy4w;m5GqzXPL@vXl_rzRrGJtXX}}{N7tDVO>GblvDf8&F z8rb3;+PpcDv(AYE&Npui(|L|p>wj&TFj)k}b_lT+3nA3l>1EXvI!PJmt(fkr2i|6& zA8F%O(pI4{eWx2JxmgAkk2CkjtMJ?#YVdn33VDC;S6GVjva*E1TB`PF8+UnfNQfD4 zP=hM#BU6esK72Nr_}bowf&nC>&{P7@x1InU48H}se~~L^Et2NTvt(V&HW*WRy5@JA zeMn+u#t^yD@#OO3>FW93E-uSKU1SY96Il`}XqvK2m$z(_=G<3U+B`iz9;cEkahxfL5n?S%|MuLF1AmIiYe4Vs{A)&>sjScwXmslt;Hk3S;xbHv?pk~c+V}JzJsrb04u~|jv(wW#hjx5Ks&j;4 zSyEUDmB_UPBEHUk?rUmQk)i%Ro9oDhuZ+L5okD9Uo^1arV?b=GZg$fMKcm(?gxg8y z_-EQrJm2{bN7UG}Lz2zObF9+UqS^Dj~UgwjZJ@di3P+}I4-^;O~ zbaB^#Yzdf6pHz#_-p=Ugul){Ev5Sj}h3i%feMTf2HN(tWi%knV+a-fjtVA;WF_`mN z1Z?s4eeXs6PF*nGB^pNFdPgPZ@+v7%aw$S&&wVM7?0Ma4bWP(LCs+b?(i_KK+IZCK zXVvg1-q(Ef^y;V`R;i3CyN0Df3iI+ zVbGxhX0q6zZg6Y97A8im1TUPPHuzTUVs??UUAiU@*wZC0tM_`h0D{u=Wu`sRL%wGf zp+Dt&q@DJzo%gr2CLi~e2g!(l5v|8H7Xi@~+W2^ql`FWFXcOB|%NZ$n&$8KVi z*Bi^pD6tgq$gb&hLWwD62w`8h7GLL#n9%2gC%X-|h55qVyNWYWV9i}?ZHLXq3bc?A zqA~eXEvY%HXFlV(=Z@x=1CI6c%CnDekP3J@q(YtHnOi zD_mDJla_T*3^UgOVS%{u15sCQxf}*h?V8qs71SNC%|;EEWizMO0z3kFJ-oNT!HHJA z0JQXZ{IwOZqO;O#T}SQuU9a;qo2knq7>3Jy*Irfmu874Zc<*8?L4=kA-qxR#UkW>{ zpK#-dEDL2D^r&Z*%Nl&S68^-#@$g`&-QG+0Pm69ss>@|)=N*$zFiL87Hi_$pq@Cvd z!cAtaTk-c2)IR87s;pQ8=vSlZ!-s@5qWQskSS&wnN z4SK~gEKZE*hkLXh)%*d+^iV7`&XFvj*D}SpymyinnQ4?4wu7fzoc0SF#G3gq{mwAd z0jHY}^YL&fm!ea$gxi^*yl zZFDYZSxr|Oya0?~MZbl#a}|}^T8Dr)*v?b~fA?aO1RxVfYcVfJCIW5;TLZj~K5>GN zcX2l>M#TwbYy>h*xkT;K%k7I`s>Y|3g+#|TbXpgeWJp93`y>&iiqv; z`oN=7#(1M|Z|$0q>o>#~6vOT~e#seW^L}`P`(|(sei7^@Gzh^$J_4#&{C~@oCmx22 zc~Meh0S|vxemrCbYClUgX_5ms)1{Y=8>*PLK;{?1K%C*1V-C~%aE%LecuKhn1}f0- z)Bi5>+fx1HbAy?=ji*3!8(w$%&26LmY3FVU=S8Z>JhW7Qhh!ZK$0L2w^)|?Flg<4) zzj(LN-lpTt>|seU1g1?^?1C73R9Ice8J`Tu$a$BBY^Zjrv7OmKI@bBDY)$io?p1|N z+Hvf^pV4PsrLxF-VA*l{`hj{5Fgk%fx1=ul*W2u6^Q-c!dCu{kI+IE~6LlaGsN5=s z=``ETc`VfC3lD7?G+Z#%H}Er-A**d6?CCCZbHAwdZQ~^MZRge)ZLT`UA^H5NWp%|~ z0^*FhY1P%$dwa`f$Mt{7{;KKd&bnxqKhos7TRrn4L801ZWgYlHOqwv1yRMej0HcBK zBzj;wJ^{{QSTl%z^~_pVYj${IV%@vZ=jsK0#x9}jsO|Q2BSC-_^XmA6T3yjCj(Ha8 zv5CMbF%Za7&A1tc5C}!2ehuXwEw{Zo+g&(F?ESdOax(tFtESRrcR!=5RtN<8HkI8* z^4FTe?5AtZ^*yA{r^n}PQ_d5xNrCT9fYf%IKU%ZZPvI%yPIGZ{tEqA!4s1@2+KaC) zL0yH0RNLz{dD;p-PP_wprG6iI1vZFrH=9FmYg=;|j;`yV9|9P8dk#WYI`v6 zGSDrkg21#Y{fxI(v%B(2K$0MM{x z_2q(7UEf(p+01r7ED>lPY^m+h6Y6__;;L6jJU=|w1ylo+@fv*-91`Il{Yz`V{vEvi zOGlGQh&gpC^M;LIeOjUcy5&LK-D@vGL;qIse|G-2Li0PL83zJb^$C}Yt65h)UziD= zt}?y9c}tFJDsr^DyW42$_ixpX%qs4OxKbNmWt!BUS}{Es7Fs&VV{1(w^Hw|;jauI= zwxXx!tdJR*!`+;4h5KeOD-8i6ev*W6!YC;z)f8;m)fIMUYPi}Cr=y{$Q^sN=ThTF+Wwf)s7ggJEIjChX>OMVLEwMDqwg|W2O?qHKb1$hCN*MGFkd`cEgB%6i zee@8bu-e8MM_lhS$DvKU`nv#%?In73K$N1Iti!oO6#t`V^HOp;K61T@#R`8il@^; zaUMu1^wjnw_9Vp`KV)GFSW>9U>ZX*e?X;`pRw}+%zyl`G+ zZ5rFC|r+9Rn4ndG)*bA4QA<-)y*`l_!6opG@d7z1Wh``OyWM_NRYbO(BBUl zk8=kHRp`qfOgguL8l zE2_@l7`%~Vo!kB_$)tKl1@D@rCZ-5yHH&;{l}*k!yPF)ex`wQpo~oyGVK4f{dA{>a zS2%5gZFO?1a%2;lmklI&K-@iBMYQ`nJK?dS`1tr!EqdIQh>y-g$!7b)$M)s~JHAC{ zM4SN}xfE;CIcI$?^R?Y9t#zsbpTN=`N?q1a*7A-4zbe@ghL$ANK)M2@Cmc4nv*T3w z?%a5VS9~GJb3Q^EQA-Jxs{WGcnUN7S=YX!Ulu4auwEe443`#uo!J}Td1YeKZID^J) zdAN13#pkJ$(F)-ar09dLk9`x@A2zjV zQ{8pDqVUbeZJYo)$Q$V-D(?(Ux^ZH$H=m>GDJFTzV7e<;zsMfIUhe3 zE*Sh~(7>_p+5XJS!ysS;h_Y=kUCnVk5ujQMyww6ue%+9I>J2EvK_vwvb;|giA>b2qNP?heVAevZ zRT)CZXo5u?)tX2`?_j6=xu_L_ogU&;N5$6|lp@OgY0Zh;?`8^izW@PKQ`$<2!XhG} zd5J=#v{&*>G1Ab(Uu0yoeWKffu)sr5G;dr%A#-?ZJ|k|gxws*L4};%Hux zuTxPN+a8Jba?bvu`m~YpBbH)lGR0pkEkYOn3avlf`U!itD?ffp(@R%JCqtu?Ge2Bf zat4fdwwm@>^5|z>0~EsE$EF-kOl+l%USm!hc*tXbyIA>Y2JtOBDI!$SmaBs+r|?7U z2``NOiDZBUEs~E2Ce>->O&motWm;WZHQS=$hf`>qF z&{IFL)c~XjaOG$DpMCjLnE!hqnbeCj)1{T7SYn~>st&gEzga>?ipqca@{N_Oq&$HL z!c$oa!}|~Qz8h*;8vmKW|1Q=iD8Ii6XC7&65>S@TGOL!e)=GjxM8FcmnPTjK6!KE5 z7zqG`S+lF-ShLS|XUO(c?MU@Bg37`dW1iTD11h#0Q9Z)Umf5eClND$zSJLanc=!Rn zy7wwfP-=h8)6+?-vV4TElP^grh%eH=->4p23P>&!6#{abj(XXV-p$s1FM@xN%8&k* z#Ff<{FL5LR$j0~VByJfqqE4DmbcjFUbODozUyuKc%=iBOQo*!eP|%rVI&k*;R0J!0 zD}scFu6E*ch@90xpi!Jy!3+CQ$*-B=VNR)Wh_B#h&)wdixkj#h*4J!e$b~-lajGAz zBL%k`2^D{Rbqn+=oH9nj1$tBN+UN6H45k)vBE5Zo(`mHpko(7#-)1tE#l3RYR?t=e zGGbvz@57?jvLEUk6uqSkAr{__iT~53lPZVTo;5=ESurz}KcLWh_Ed3HBk73cVS)=U z3ul07|5JKi@|ZS}Vk_=!E>cD@-?)}ea_lO&nIG79+vR$J&*G(Hj?b58h^yItT#P*)G6L*Ms4LP zcxLhTQX$;dsJ1f{K4KAw6GvTTDLDHNT8iP-)@V5t8c|Gbk(6)%h*z4~Xqi2_sj7Gb zz-?9smxn<1Pp)V5_wTQ$zI#$$G%wGKw9iWTFy`w&_qs$Q3*a@*ADEbvdd3vf^_+be zWnOex2=djjdqrh4`)X2zYnm^bq8htO(L}+XF*yvnjsP}dE6hHi#2*=qDPYz0nOM7B zva5shX5$vC#y`OFscgP1HqpR8u(FXLS}i&@Gysx`$^c zE}gHB_#R=oTjBcH;aqmylB(u@d=mFV-ppBChx)2GvpA;CkdR6a%u&psc_?}5b^OP7 z$yMfG#R0=g{rAz<7lO>@|4$&6EzwC1I(h;>l3=3q5M@s>DcZV--kz$IuK-edmJu~L z0Fqkz`1th2b7orcqoG^*xzDxBSCNSHnwr!f8%Nhxg0|?X;>Z1GLV!s|8kox$f;&K)(X<@#TgPW4H~sGZ2u|gOVFOqHQ_yB20i&G1TJb&o zey3^Y71y@bB>q0?Z6*U{B*6c6a^SOf!+_DRs3H!p^I?#gr8GmTUD(maMl*1-AbW*D z9Sm}v+BZ#OaIBcDlSWLm98IDg2Q|$RO_#DE=rql&-Gu%(NcX#->O-ox)Vo3#34e9v zdywU>mvV^HP`l^Awgtqo9SavTc*6lkHC4#l%VDOVLmsqR(D>#d7n9Up0moimZh=st zd4pm}F0-2q1ZhvjcSE;kx4|@gpEhY8yat$~Qn|?sn6T60B#_jb0+XTVN`a7u{c3aL z=V-gvUcO-%KR##dLAPciksd@wI;(O$LoEnbGlkHKPR@P&k)+C|-sLJZF{A%)j0Pzc zY)1VTNCWBh2LElUsq zV4=z04c*Pj1>POS5O_aEbeythP9nNtp=mb{=GgL`84=BdFktHKOaC{1ISkGP>hax- zQnsF61+R7|wK0Nwg!FX%zdoXyDk2zOG}?%o$tM@2S8ez5x&mB)@Pq$juI~Ra&BGLT2hoa_uRz)b}k;o|L!9=Dfe}mJD8^x5YAw_NufNU?r@)K6+GieE+#aI%$-u2dzwL<75{2IMF(o(exSa8Idga;J5Fg)y&iNg50 zBN8ra40d7Cn=c$IB;XLi$5ww4G*rQ7&*Le94wp%+RDC@cmDe@=zo9VaND^3g+tPMw z_>BEghf{INb&%E3LGrtBR8F8Og(eFL$2`fQyAzhsl&$8tM0O3!QSN77m)(t@w4Wo@ zkDsdy7;1Zq3Lhw8CLrDfz=5!g8F?R$L4}rCthNGfCHI3KO^--MgU0!P8GQWXAlCdX zJJt#AsL8m6Dec+QmnGIF`;SA&YL*+*GA1alm#trvos648Us5RoXIrh5)H2kAPjfHg z;Unu`CE}=r4z`EUxjVUdn7v6nu8TMn6uP(x?D<%!Omfg;1^3xGC7hBfdU`b-=eMlw z0R>I-IyesvPGiq?qZ40e#Vt4T?AH|VzBi2|B^JWQ*Gp;o^@r27VbGl6p1OD&$(lN! znVC{iYR)Cbt&2x*x!X2Ow+W-Du_@)|e%eMrE9DS4J=}b>jqg>Wexc|1re`bWv|fJe z&Gvw=qm{8ndMX|CRlwjVi8w~=boc&#$#KZ6$6Ha|qM2Zl>~_fQ{M7j4Kg$zx7Fu4l zeAQcuJEVTFuk*zh2BifGCd3}$Cguqrm#*57s!)^z)6FO-dcPUV% z=;SRpniVovSEZ26Zi)!@)eD~3Ia=hPcKA^W_|kiOr3ItQt2C)>>5;wMUJb`(hMJBp zVGVQPq$J_`VHPfrbDhW^Gb2`d8HVOvPb9w^9r`h`;ZKRAVZYgJ_vE>Fx8c3hoBbd*NoHT6!rlIOau5-Zg&M%<0`rGApP#cb<(4 zjXF;;O`Hrgtkgu&ZklFxQhh`@hF<5JVlc>=#cQhtJ_Ob~Rj)Vi%!CJ<*wiU3@|)bp zLla>pk4rH;j}=a?dycI%SDSGaX6Kgc`nVG7kueKJW+*K$WtUcDjD;*wIbnO(qL#6; zf*LcdASE-nQQklERAV%?YsRA1YvhU_)q8hV^48>Z>0c}QVW_69=}u)q<}ivip@%Vh zvU#_#7dzc1kxV5-@cx3`15vjHZvUad!c+2+LFuF1_x0V!X?$DT^cSCs_Ie`r%Y!}u zrv&K18M!@l)GTVx_6;fw0=Occ1r3)p8>K%U{0Xw-I2k0`43>K(yb$JWo05Eo?5e@b z@FtOtc}_Uwwj|agGCNX^&((el#C&|=y`t;2?PuYwrIK#BJnbRBRXHTj5+}pLa9guu zNj_kS^jlrV9d6ezcIOtEKq%SfBL z@4EfX$yO3s8pSz?pw#9ZkZyw`ZIeqo$iwduwgr-w=|1R;Er54Ma3=m{%bf3h;H*r= z0|8QcAxu=Rwhw$s>qV3c|19WrlG>6kkdcv5JPVkJ=l3TA1qms)@ZOrFe|p5a{UdoL)`8o?~ehvPp#QUpuS%^G+)*hbK@hM-Ue)TenqTJNjr$GGz;JI5wPQNecPmv zK}~aNY7$yUunK;5;~Kn$_jl|EM6PuAZclp9Y_yopM)FfLuMY&%XGkxPD7NcHJbQS$vy5*fSzL?f)oeg7 zuzD^_obv=ySb!;K6C~CHi)wYf{ke~#3ny=2$usXtieR25`jycs3a1r+h1TG`ihZK< zxUt<=IJ&`}QERh59$9Ff?in-bs1~n9_4>;Q z2j8{tJnd>qEWZNgh@*qSyG&!8N-SQIfiXSIlu95VP>n0CHA?FByPFWP5oYW7mTlXE z(>;d@E+03FPwbjS?#&K$P0oyV#1q$!Jc17k-)*T)BJ!?2m#B=?PCH1B~bSYidX-9>52P*Y^P$Ao=qz;{WsIP4xYL z}YQ5bo8v19|U>_ zk`Vr+?3TLUEEhy1mVR_2)mt+%XKotx6p4@5Xg)N=Z|>V9@}TK1{lMC;!Jr_V5j|+J zPrm(+#fpd!0Sm_b2=eL3`NuD?RMB@V#V=}2W`F-)Rc1UiAKkS;6E8}qkBKnV`41)}RGd*X;M4};>f+ypxBId=}t!};ti#Vt(Drdc`vT?guXS0g|M*d!OgqAGy2N<)cxoL zt51)ktB)>C#AvVDVl4Ij)BriliMmIWrL_vJ!QR@mk1VC1G?4-*)b^xPYTRj(n;sRC z8%E#VpKkv+@!H71(l;Yool%|UNWi6?zPrifEBcUm?3YG=EB)NC8(agv04=|BOx(18 z{L8NVi_T+JKj$Ydk99!s7t@brLF%~r36G!pI)4ADK!Do))WfwN1pe4tkRRgOKjlfv zm*^gEz+Z&@Hx>WKU;ck904F4JOW%6oQKJRCAtYcXwh>oIdSENghy>5#etXYqf|JJt z(*H>)1I)CWsh@oU9#(T-=7uX7qwhveGh^>Q8pNF$8*|CB8rDRmRuxTsl2OJ#ogmj( ze}X=TnNNvj{66^dsUK`54sVUKWuI{PR0M3d-8Rl!n5#;InXDWfXI04$mES6b-l!x? z7y$2qnl1k!iPQMPSYc7K+w5+TbBWjeWc}#=@Tl)i37J%feGyeRv*(wHSXJiQnXlQ9 zN~bs5$<(Xh(uK<=B+{hYeId4}DZir_`H-BCRwcnzg{l&GGx?<&=J#`h)h;=#?w1LU zuCBFuLLgMU0@*Zf&x`$?@d6&B+$wZdm1aE5R~T7I3LW_Jbe&J4ny9aCN>;KRw1d)L zlRh&UiiRNt)H#Sq-?1j_i~NYy*2M#cUjpD>~j>T_};mI9w zbYH9C#^I!7G@Zr{d38k}6&{})7YrwsBW%9yHA@#a~MnWHJL9* zCHBtX4SSreuUY|h?M2;v0rJ^VkBz^@rc`=k7=7@_dSs{i9L<-cU?{t$6$YUQy||SP z^g~H9Z+*D7l#lFt6u+E)LYLri#&Bb8>Ef?mRC z&dG#@G((paaEWO?PYe@o4w&yac=tdspA@~GB$|Wo`bd^qTg0L)`&KQVg0*oV1%{TYHUBrMWx!P02?tMFP}oV0<0i8V?=GG_TBB2BO~AwfZ*#tB^G z1E@`Yvs?-v^8&AHHaq5%uwdW5)$qVo8Yoa9t5rKRsQV)il zr%G7B{6Yum`siX@LF7i<*Tr%2Asyp_Dx)ytMNev8Jd4J<1eQ>*^+m z)hE+|9ZPD5F;5te#hsL5kVC=Ct+!&mX0n!!v_eHP3)15Hrj_tbUyT827qf0|9QF8l zqU{b0CXlJA!k&NL8xg8+K~QFQs&5**>LwZ5rf|(pO;g`4#UKqH9PS^o%vr1OcUuuQxhrJSaT0?#=5CYH&htf~mRg^c9=K>m+-cLse|mG*$-yk(6EQW&#m(65 z$f%$mjp-Ik!6b)|yqU!*WKVI}A1*A_m8p&VPm0q!-?+Zka1n_`#6{Pmrf{WChk=Inw zm8tbwaY}FU^+TjpNe}kf0$`LDO%UJ=MLZs3(}AHvL=nac?`kpP2e=RWUR?AQFHJ5i zEDR0~s%-GTc5P$@wOx-rP$W6GPPGTB^p*1)iz0zzmvs&Tjm|1T;6H>WjpUaEZ?c&G zOnigAJfa0H7uv-hM7J+Au7W%DFS1tbT7kyo?b(JgUjpZB8+WBxqw!VaOXu~(qjbLy zVVik*PtiK!<>?epGF5RwASjO%G2={uZLJC|&&GP&)yl9MsglwlExe@7q^3-&2NKmO z=%B6Q`~=jtV%B?4akGA=4~_HkzkPzM8~*tP!#K0al`Io-uf59T3lJzUgR4u58!g9{ zr)iNdu?5`ReCKw_faJ{;uK^D1;dFq8I?J{=XS;tXJ=xy9h0B#@P&)*!YdUS+PJEQJ zoSJkHUE03gh+5`~n$Jk7!5!gx6g?7W^mCo`1V*S+!Th2$DN|zWCJ=!* zVahU_!jh}L1#kL?HuaDEim*DShOgGY1!Tr!o$H?{8-24>yOJ!cKD~|aZPKJQCfmDz zwNKY_YJT0xah{6f{=IvYp*64cI)r0wO-t1Iwfs)opz>2toAm&9q>+(KmJ4dmlnaYC zb!R#}mc)v^LdWx<1)i)z+f(dZR-dM8kFT)XofJj>P@Xnz@O%jx&zs^cq3W{3N?{ z-ZMcg5r}FQ8A`hf@b2ICL0u#kVuZvcY7lERJ%$PjFRhtPQ7a>1@MNyTsFAp}rdM+s zDM?7Aj*^?;@MpuPeI!Eo_>f#Dx$=~wj+*+)(rphm*5HL4)Mtq$r8p;sP7>*54Ht5G zlW)G8sg^uNSHR-afxQCx;Uzi~7wj=zYoH}(@Gdq>393b$($b%-g>B)ow616S5h<}? zZhy4ocm{E*&}cm4olojG6bURE&{RWqXKo-LR@1pD073)8x27(?3-awX9;`=ocoRfisIC5Dag!9xWE8h?1)(h-)%GZw9$&`}C^ zH|Gyx0K^0{4eVdeI=}UvS~*XXCOlfC$fE1!!a}7^Kca`%#O#^H4KVK+hKrIr`hMxH z+TW8f#KV>nGNthpvbKV=jvSktVl;{o`H#6raTjMpO1Z7vNEt-~OC43G?u!5fkMM%A zvz|ad3P5;aChr4d@5g&}vZ*ym9x(niKP^{1^OdmUM^&f4Ssi!8X6_VK3 z5ElUUM4c}_dMqg$jfc##{LHYDbb~jH6d6~{SW~=CfwxQK%DCk9U@7=wxQts6b;p59!s>jbj zyN|&YH2!p;HrAtW7X@9zS?)A1aR}djSl!UWumev7O<6dLs}^ilx`Ya+c=>%SMWfaqi;EwSR%Y zKpY$?Jx7`#wC3dBXx34a9s>slj!Vq*M!FnLAD0emy>ID?I)) zNfrM(2_D4Q9yK3;Q=0WU_6@%lWOCS#{bBE)QWD|fX&4pGX3lhZR1lC-uGv>|VQiGy z$PjKa?Ht%gi_E-gFBvpWeH~1`R)IYaW)l%N=ti*YG3IE@{fwr1VQ^D)C|jpob1-t{ zF>8R(IBfUz_RMI5Zf`nx%yG=y>h>gpAp2Y8$l&vcIKJg&PY^1PS%6!nvQJ33%4IcJ z$)>H$_QfVq$SKy6bV zv{!jT-MWeR1$5e0I;q5xh45t z(%d#Y6}jiRH|c+_d~zqPQd?KVQ<~f?WiGUR` z6@o3@Bl4*Ar8d8?jeyUDCql%T_k#1CR(YfY4dc7j=}ZoONbxZH1Mf#%ldNy}p_KF~ z18N5ooW+q?#Oec%r?v3Ho1#ef$Kh7|adgwmiKK8MvwFinbIhRfo}L}X%Cp(VH0MEh zIp9*l4pIK0a!}B~Dd%YmDbYZ4$vA(0b#Ju6<$^;5&x55qEn#L*v*zWE(VEiANx+pN zYmSgaU~j6a7cmY@Sda7b`OV&2wUO%tUk$c^=ZgK{|Gq1$h7U65cvHVp+cy4{d zxWnmuH>Vj7O|Ff`s;z3Ka7hhF?2s$^F85@!kGUsL74bg*9@5EOcVDBd>>yGOM}D^% zHhvy79Ja38S&Y@}`UDjCq9oMTbT2TQZ!m1BP~y8+AR3v_Y(y!T&mw@lFY#)o}QpTic(l@07Sw7DT>mvtK-N^O< z)xfNp&Trd5Ph89!RfE^9zUM7-#- zot{+h)fCgQck7|v>MWk>o^gyAKRcgn$W7O^Aj3}v4Xmo8%RX9Vmh6#OU&Fq)6nu<5 zN8J|M{s~oBWNi7;`|cai0D?fUY{8(9%X%j>!smCcFX56H-LrJ20o-B-rlE|n(dif> z8)th=Dng*PpCSlX1>r$p+3-_@#Xs^+%zK>00X0Xz4L(w1&Sih*ejGcZl|zL3 zem-9NX8GGuapA7$H#21)WLfku$jE+XRh0$Td=(OqQ+7{{MGwQy`3*{w5C`Xg+YjvpNXbv9k{a9<_7W@5#=|yVfBU$#}5JMls`O-0-f~Nmx=I?)` z2EnyxAdu<%kZcu*Jo@*QVik~n)ZgY4IC91uR%=DpPQoFqUAz?Mw$ zl>~2lrE1U~YjkEvI0sYm%7Vbz1C|MPsiwyF8sQl?VJc%WQO+!|sMOQF(r?Lyq%V5N zh84zmaWP+2Ms@i0vjD5k96cF&?>u6e?8G-yYDeUjth6;*5oO)-T~Lw?;6a6jHLbP; z2&|-p6+>RczJYQnrtUPB9EqGo7_wXx#hL{TiC;}5o6sP%;a4uZ6S^X1|B+9y`Q$i} z%c0BM)-Xa_DNLAfAnaoCJ})%vdxY%vyAgH;*j_^+oZ(1V_Zjqc7M9WshqH=W4(oyG z?7m4YmF+Ih_IuuEc`!N|3Eb*s@*9p&Ax-;SDy$N+068~ zP}yP`a0zKxNvhg*)(La?SNtxsoth&L=sD6f3Nn7vvu_svk-qNuiB zHscZ&(fpW~1XA|*?%rPrV}AtPcUwiaklIq|M;Llv7Fca9E zy~2>pkdo+yf;}doenj8P-;usIgKL;uqQ z44UUr>|GdUxD%|ZCC~6QFbwWL9Q_u9MtN_*;L6^k1#&$oIP7T8kms(GFH~_^V>l#% zGVlDOgefC>X)NQLZjO-NV52qY_9Am4I-KToQV$R-q8Efo0t5MNIO!bx;b^BN{oS8G zfwlbN0!@yqEa>P9%~y8|$Yr{V-M8_9DlAM{5^?V!xv`enTBAe-?|JtMx7+qC`-Iy% zDh2}wpv=a?7Zzld6_jvf>zkNk@QhPfuyIqfO{ePHYd{aMq7z*Tiy17j6JE37lkMm! zFy^iK+TMUK_=<1nkTLYd(AvZ%ndLajcn=jgKdL_8lmQ|8+4(u1I18+jNxJ}ZCk*&z5J+Pjdll-_iG&C?trOsmgX9_m1XaIR&aI75;raY3Rs2}Uw|wxy+fYZy&0CB{Yn4#wnr zW5wkOi&z@&vxU;7l)MJ5^Zl|AAsMM1gcjO`bNDI#h1A>RH-6_-cjw;MSK&>Lo>zUx zk)K=K+&1FEJ^pmXsd4mSUA79iv))~GQ}OevA-Vra+$KaH-5@Aqkh`3G7i%bIWoUs? zWf0wkK~SQRcQdb25r3kQ3QW!txj_1V&~fndK(<9$jwDPXn<+k7)h9y-07hU!*lF)x zY|IO=Kb-Db1J*PL{t7l@*+OnOT^W~XZ^fLeZnMkzT#T^tDMV*^*Ps1c(zBA1QSztJ z-<7TNCI^mv%;-fs=_3U2gL~951nmwf;=*cS0)1gI$=$t{>g}hGN)2BAMt8-+GQSDKxo6lCqX=J28Q+;(nha#epat~j6YtcxwELj<1DS>4oV^(V_l%CVSou)YbLiFj8dg@n; z5NNtu5DN&fueIQGJJQY6+h0E{b#>Jp30Nb*TtRd7u~TH1j!Br>U95a@bD&4|G~cjY z8dB%P?R6Q&V5u?NX#I-K+L)fu{4HwXN^6t<&>-eAoJ{YHfWyPiWE6b==Ocux(kq zfLp$W6n&eYTX@_b{*dtdY+M8!$?4vuzq*pr@~4jtE=jO`=&+yNtyx4bc;8fMQN4BU zPQt>9t)*Nyg`AfR?TycFQHT~RD>dXxg& z25w0>2*u;nD#$@~(iy{_U9LZXne(#?0#1tj0 z=#6c{7YAH%68^08BhPDJl;s*$b1g0s+hRk$-2s5qGPvtdmiJsQX-%TC9%hWI{wP1% z^^E#@KX+y1-gSCQCY8X<^9UlBnZB{2wf%hRQwB_j|4N^{2Wm30-n6`V>6i2BQJGFm za{a{$QT-CMUA4XQh%0|WPkD!k(Q*Ln2S}(!sEx)M0|DMJL6(&mLc|<|iy7TQ0gqao zlIZaze-DQuYuDI@pkyarK$(Br!yTDG{~IM)TA8Ng7?V)dY1v{Z!`V_$!3Nvr5m@N? zUvOr{^M)r`lc(edqdvt7qT)yi}n{kIpuv38wTrX)12n^=*RZ*q~$T`*i|KCX;N zfi%{TY)li=0$T$kYqu76mrC2XQAxupf1~)@M@)M{9#5HemM3&VXr`0NPd`@sA7h{= zXO~%qvcB!5-FeYKSfgK3&k;R(frAB`TCio3tiveV_@^%w^%)$&}_- z-fC)k1WKju@CF%3lB#yE(j=Hx-6%*LF=>X<`2CC_)H#~M@-VH}p8oxgJ4jq;Lo(@H7o!cRN*wqbV={hkx4p^z?e*2?njV^n=fwsmMBBcHb zD)i*uS=xcU_ll7q`s9SEQXgN}Nl8Nf=wp{^zQwuY=+Ga`Jaos5%y5jTSk_bpbvc%* zV`deH8E4cp-e@iC6or#_oC93y;!n`PS0J7CQvJ)q6+97#>X_i!_@ma8mp>nZKRz(5qR-8Ff* zPxc+4LyR}e)9azN$R?G>tWp$sktO=25YpW8wGGsy{ZWRZBxKbhgN#+LhWE7DXyWQAq(y_$ z`*G5hdkl)4MhK(D)3?zDDm~JZi#c6cm!8fDlJjVy9BC?vsBuC){zCR8o;mEVE5BE{ zOK?`8qB>H16Oz3CeO!T7=GG~9)E>#|*g?ct^ip|o_9_d}*ZpAklH|aPlX*n&{7GWX zr-Yj&T-VlS7vG;76_Pl<3mkB)&C^Z6ogbF0`|f8EV4<;^_fPY)XR0b{COcYGN52kY z7-2p*)l*a~msfHCkv6%6phUFDvD}SB^cxDJ<~`053^EKwayb1s6R--&Vo^ny#opy) zJ$omZ#J1@$A4;Qdh#it1uSgveo@0g=Jn|NnSdd7w_UB`~Y#pmStteqa$RJsg(YQ)X z3_Gh9j4{^_0khL_xt^MJK z7>|Wq7yv9DR_7rzetm(FdSI8Xem!0v3KH}BmAlnVG_+tA&w$UyIgC{Ib`;GnrzSd! z{Z^dg8NR7vZkIk5bpxYnQ0gtUL?`BS1E@10l7H-nwM-rR@3{vbpVOZl{-}sgI?dJG zdvRWc98Hd%mW}~eU%KqQyjxH4r@o>*_RiRMODINutrM!F%iZbWvDKCSAX%MF-vF4L|jZtK*!;enM}~NZO=(!|stvVku)94O zd_)D?(+50dalxFWO%7MPp^Z*Zeu`LrFKMTU#~48EGSjODC5l=vwXTQ57Y#9QdOTSj zzuh`9x1vMTO0{2+8P9gl*fG>Qd$cFA26&4-DE%XzFyH_^TEArt+@pKjF@uc+oWo0EW<5{rCeh z5jy5^+(>lQVw*xI1&z?o>1d9Tdt~53=4P6PPt>=(x6z)9St7pywT-T9z|efCWx@k_ z1m^j+Cb#UHTp33gT^vZF0F0kML&1JnlSsr!#VgBlv970BDl*ZL7pmK)Y~5;sogiEA zS@e-uN7!qJ!iKb!{bM}@1~5+Y3h^j`J#MVSAXGu{KhbOec3o%%gp{_Gt?2^-#-)bq zgZ7iHwC}!1Hrnn77lXEPx`U;Wdig%SFr!*qH!6WY7q}DH57P(8OBqeq-WO{Cgb39M z-@o=kGBMnfU?d}31>2-N+YT8=*PUUTJ@rUKO$weLjJYr>)A41)h^mOS3D)m!L{iAk zDWun4`Dh6HH=Ty{J&0X3>{p)b&R;v)NLRhuy`5QQIN0SzmVLzMsw;SFW^#>Wu_5Di z;RM-)k1hbEh_;5}EYKY;I$LuG=~|K$61Kd=X20|!0*GbUSu~8Jdv0#6q$Em@E4o@3 zvS2AX&}^KrU;pIM2Zze#+pITt+k9)@-G2eDZ#@0V&{V_B{z4t+-kgrG z(jBkR$#mn{LN9~{eYAefw{Ary6s_$qxB?}{0AQJ~wbGKJZRP3SUu)L?#Z3nY@E6r2 zOHT%H{jMq`8$1n3W_h20^j!e8t?zw~4t$VhKsl zz~f+~?|?uPR*vRydH748h-OLgQUoRm?B78&=6DiznE4h1WXhPsItX8oWRjCdo_`s7 zE(Hk@hH8Z)npkFQvRji!Y4B^vV)ljjNpy>3vVSjj?6ii4p7JEt-(q0frQhiIs2XbdL8?(swK$g8lk!D4GPEjZpJ1L@ z+#x7%0;7_uDJ)hktLs&*Jn`3H5iN*#S4CClS#FwvkxkY6#+Q%U?NBAIWMIVWXyEKH zqz9Zn(I2wSHwfvv23*KKfX}vnLN%2@K{%EP+lBkoU6tU^6>wH|sELU}>~WQCEkNbz zasRGs8oF^+QQtk2_5AUKK!xy1eJ}GOsJO@&Ta1N4wl6|6@OmyJEI1vOJxE&`NvNKe zPq;l(Z6yVcFd^rYGu`a@5%Xz}Qla2`IC%uc``py(Y#>gwRjouhq1LooNp;~~c&bHt zp}X9CiFwqV;kFyE^jl2wjvURGQC_a9jqxk}IviJ|n(^zU4+gJ#%@9J|!|L!T z(UTsHOr2FHRLF2fIC~t&7x@snl%~<7^(KM7fkS78z_p3kyUB)BRDZ=8rv_jcZyNZr zwc{+4t6t4{)Rl<5-228OVyNCfX1ESk;UL+IRy~&;l#y<#-e5YK*Dd(Ys@T#4f4iAD z^HwSswn@6i3t9d-y#B3ru%J~=;LiJ+*xtZyTkA{n*$o@AnynRfVS(|{0?SdyPJ#WO zbyd@%Y0uiTE4{8QHI1hrc(QR5kxgVwTz_KPoi3F%6gG--%D7PH$k@KlRHZr)leY3H zo07Xo2s_|ep9fiNFfzde?T$t1{Ksq4m1LI#2ewoqU_YEwkNH9VVrLo^uT=y4`X{c} zj)!iESt(uLYt)weo4#4FqfVNyamEk#Yw>jNZ`-fkFQU8!&(3gsFGRiW4kinIjNKlA zhpQUB0m;reMFvK}hbELg49*S#?=SxdmKi}kyVOEcmDFD$@{m1yfM~jDT%(Y?KdjXu z3n;un8=5iQ12k~fR|eQ)L#XW64bMa*NNKk|RlYPL4Gdbjr)d60A;U7BDG-5Ws5)-6 zs)Ch0C~5j10cbH&m9W)9y31=f;|%GSK3OD9q(jlXr{CRAg0`dFPriett`|ReY+Wbg zYqzcZJ)d-87$wrD6i1=s=;<&gKAZ864`-b}!n%7m3sc`NGoc9K#3d9nBE>>@)qX0Du&8YVgu_M()keSv6_Mr`;A5)GA@6#gP5 zUBGZ_$r1Kv3OU;%9Jwf9z78~^}#ka+(HY=xZ)F-t2FFw$VQz+xD5 ztg^i_dG*GbCKH-?hJI8Zpq$C+JrAx3_MJV7j4@WY9ruYQ7w%O*x|V_X2l-$d^)T{4P@z(6G>_c$gBGLU!mpD>;9L z<({jYIo!QDMj$MF=9223s_iS}?IESxAPWTdd!4vnuD_~mQk_a&S2KZ!9$4^}#U*!cx7BEM287R4=}TPpDJ_g2{y~r0#P=&}xG|2L z=l&-CCTFXCSJ;#~VP+`5DUQMbwhiIg-8k;YXmZ2ZN`a-z7+Z|&^^}fF@5(iuVo`NV zOQ|UG{%R@RBu>(98nj!QSc%Es>qJw81*K6Xnj0v{g!IKB=5Z5(liAMLS?sRmWcb4# zec@eIn0*6_6;_@L1oegT5=GLcdev6bUZLFmqe;c2q$HjZ1;`rstBR?*eGILLi=HWE z39c=Oi#JK`1#FUp#PcEB4P0`YKi|9Gzb1<|BiW%6HjB?YVB_97{cY#v zJTX3NyPFs-slX)rtYfwODP^WQ2yrDV3fL>yzRxg87zKyJq48yaDt0yMQY}Re&OLUi z>`mP^pTme+-h>Xb{JaA5DGS{plbAdc!^@I>I9ny{twQsLq*nKATD|g(DyUue6v)|Y zwPlvv4qb-l{BGX+noLY(73qClc)B>l*%2ca-^1@tKGYPIq-)8ihM$K<;<>7QyfFh_L<6{=N_1rIp{KOsnD0x6nu6cQ_;#(4EfPP<)A;;a}B>+ z%M)nn$Q`+92pN1l9C zWi)3oL^WJedmS|-0gDUy5oda9-+J7htZ>=pur~>;u)HZ&pO-&Cl;^5NJ*EJ7*%7qn z&?omh$ZeP2Rxf!(aQ@AEwJaS$D%CgBySXr=Num)z1Ko4S1+5t<8+)xs$>qgMmU1l& zO+*ke#XE8URloPzve`ZVLL+5*^y25t9?e3uTxp72*?6;`C#7F}K+XvC z(SKxijI{5=VRoXc7wV-Nd;Oj&)B(?Bo5b^BN*Q z`qv4~hqO5}Hi=oU;c|a&84+@?1ieMGJW|qcp@;UB+>PrSFvt?lRQKm4_w$pusn1Kd z7wakj~+|s-KcDdal zcx+v6-Lp0*b~@t8P1iQsV==82^Vh}$sE_2DI@^H{%a(7F z&umnt52Sn06jdj_VtVa=2e=2m5z8Y_A5n6f?o8du>?s{Y^(o=2a@n^)koJGcA1Rk_ zBp0Y<9tq!@G*oFpKE~hvo1{PtICuM$av*Cn?C4P{eZZ<8Pqdn# z@@j5YY?lN8MV_61|F zr_bzZ(Ea_<&eDB}kLbrqW~?lGSuMtP5%VyXh#&M>z={y1rqs!+l-z7>?0_?OVIY%{ zn%HY7>4x73jU*#!gObv=>eV*yxVf5EOJ_8=1DSz?;|slpRAyyO#+Q?hQ`T!Yhusg<^A{Gm&Zo^>je$iJKitHqwGQ6vG`5l*Tu4tgAAaU* zSOt7+d>0Z~uZJ&0>YL~OTG#|0lEP|pP`cC0xzWa9^dZZ%6T2RSzSW)ghi<(s2~yLo z!mmaxyTwUq3tBAzEZe7<7lP)NFfB?;g={4~{$@;bYKbW<(eKKheh&Y2)wd*=YCQN2 zu72%-2VU zNvYYVxoc7wLatptSipKu#FE{cg~ci;O8`2mH}q%koJ5l`zfEN_Qn8#e{XM z+PzQy@hc*M$GGx&qwsUEi0a?K1=KE!6S_0jq4XQPiW@2WbNS@nTD`Ez_fUZgNMj{rbF5@a%J4gp; zaD^wysYxBv9RfOGaX)}KJ|qj%mo1zB8<|99?!AS81V*+o)b%c3MNn8Uq$Ak`pHk{K zBk3c;3oGFwnSRsP5i4c*s;{%@6XdT;TbY3(&2X>CuwBz5eM@36pEzeTOVQ-(`L93( zohGSnwzR6rZUi&jt=EH+~=$fJf*CHPqEpE?W4kUWiui=0c7)KE_-qz22|0cV(S&!0 zBsc7UzF+v)-@ZuVcx~zl{507KC+CZER7XTqfPjFQMlXCK!vKvPugCWa3B z=Owsn^#ScrzB{dv>*6pVq19z-dEVFl^4D=c-Kdq%E`$Nfj48$5xaJffcgaZenSSJ8 z0TAo-_*WwxYNzb>oD4mw+$BS3QuDhdG(`7q`l}Tpk{>hG^l=Vb>pX|%sC{%=9BvI@ zFSj;ez+x(kJ?Qy#%!vLZrjb0`j@$YC2oUEOfG{qhdYW4p*~RlR&+av*R%u(7aZ^c* zi*MA+zx>v{f47AFlDb}x$t;DK&7*0k4TaY$^|Q8HUbWQrJe_ad@m()k%iRk=k!!Kf zR!?#K0Zc)7jIOXSAi8n*FJc3t8_qmU?8(ACQLXE2=v?DJGH23YhoYE*4;VR6Yh&fZ z{|+4(p#K3Kq|(OzvPb&S0M}3WUQ(bzF2U9f#)GTt?Nh+s`{{(y;?&A^S0HEW_M_TW zXG3%7=%$;eXH%R_iE2aG#9HO*y@U9e z@W>pf6I~m!f%H4DB3M&=ys_4-sHW?+%CGl|w3(7P;|QUtj#SlcQDHdb}AQCZJmEN+-*6PY`OU z&}AYf<^rx&GQdHlx}{JZ67+fiV`Ru|bR4ktx2+hLxdf|7BCB4(P^e|c=M{ed7R9HO zaY-M#G%IWBD2EPWSb|j)UshT-k);GqjegP>jKR4O$*gaM6|Zl%8DV3|=&JoOelo{i z9r7NpfHL6?TcqwPID8MT=y`W$tkz)>Wck)WrMO!!4 zGSt^80YnaoPF8mV#AZLdvO?%;qZ8|ko1%*zI|T15;u9@lpX#F0jN5mAZR!C(RWLOV zH;70IulSG*Y!&J+J^d<#V6R=Rgz0#bHc+a9y{O8Sca=1ky^wvqQ7y3NZKu+URZ~Gp zD)DNpB5eZj7`CyfFLN8v2yd{8!{3sUqoY?%RP?o+4nSccuz{80fNww?``I5_+9?+CPYStJIGl`SDQ>@Z%YJf2PEbW}tDD~4xiQ+e{A|S9S6;fb7`pv zkv1&*8pLkeL+G$|2RT7+uPL!XJkYYF`ug9rscF8gwp|C_X?PGSpOs6RMi-gEQFRW$MQK1jO*{!R0!~fW!j? zDzx97C^8#PFVRE>p}qxt&^&-qdvRmm`2h$|z{aV76M5M8o>dwXWV$+0qy&^)XiROl zNwAx*WYv=UgZ^CQ$;X)S0>Ibp?J=ndvJ?Kvi~1Or{Ld-S|HU@=PZITt-4O&x&hpIW zF7m<$@1y$#lq&*}OlA7okmFjsZ}I$7$BrmB5aG-F)9@YN4&OWT!mFpCZL>vpLLj4o z)|+W-Dd%5t4Knfzzkm}GdE-G4=uPC~{{=Xiojf`!)da%aZPI_^;YH5PN-1dcSTS4R zxff+Xg{owf&Lv6`@M^yZA*AYjU2R_Md#o3H*clL4Nx6vp5n>bvc4fVM6zEc|E(EOxy6iAFb$LFfi%;I_4p{>hv1 zz1ejd8m(AGb2if9$xII~d}a}cQu*X^!k^L{G7r7i!a5X(JS%8TqvbI$3wjh664}3p z(fD>IgWpu)lK<7blSX!J=xTm3p|t;yz@_{?IoC@)FoWUZ>6vOQQTq>D8ZXI0to2h{ zP3T|QAgGN1Gkdsf5{E*~@PLwLR-AKU-_2rZa(dag(Ody+vbc<*xpV#m@UaR{N`KBZ ztB`XnQl*d>F`Oq#xy7j}!h}IZhEtFj`wn+Neq{GJze>X#K1!{VS!#vFV7LP=qQLqO z(fqm9P5H>(YDIKlHoMfWu`3sp{nH74B1h-yFc?&MMi$AW&;7JgOMP?t?Ltx*hfaox z!K04xiZT|J$kX7k+8Gj>RKk89`x#jua8}^V;DrAiAn&5Q-!X*xLn{oGQPTe8pl`ek z_+Mpliu3-v&yfd}U;^(Lz1+LvpVD5S?uHSAe3ndvEQlj35D#9xR!V z9vI*QR+Su}#DT`2O!gajA2C0jGB%;=_e=MN7^gmqcd^V+NYQb`rxy`Q zN}HUSj+4d1E17R!j_oT;NN8~WqmIm-G;QW{Y(%CXuBmIx5B()u50p=~#|sEGdi`#^~57 zP9a;F6e6c6q7;)o%b0A*)~JKAubGk%vWpno%$RxJTjxFRAMbU&f4%=b&vQM`ecku( zx}NKM-=E*_`*Rd130??fWZ9A2%ToP*ysAh?2>|6SYm7WBri!hUDhEj0kzz)yu-mN4 zuqxJRbq)G<5H8XrY1KcGb3A*E6K6Ev?!?eq%lx&Ii3a6wNI9O}N`41;WE5*9vy57- z-iQ@eOt)LyZMh^Aave+>8(mR;@&4~!#WD^@K}qxp`%xzj7uDMg;9&m-wgvpVqL^zF zt`qM|_pG1@KMsC`9futXiA0_~0KyCp2(GB8g}qZA7(dmUweTUrw&-m8!mYSl1rC!g z`%;I$9?=fWC!b({FD}}aT@~>Qi&rWdQ{QV?T&~`UAW@K8#-LUyC+@HP*-^z^i0c3_ z|11O)O~jAqde`_I0=~pMe|w0JsAVf@Gpyc>vV_NGJ#D1BC~@?#uMw2B=7QdHn$udv zkIJM)u7kv`yHRFqcu=KYHaae=ZWNg>k3g7|7z6%zyM8)N#TnW16l7 z&4lw_@t#M1!TGfgcDg6zzScfj=H%xZG$u1%@~*&OcU!H!Nm|=WVJU6Tdf^Q#2 zq=gn9p%eiFjOqL1;2G7jjdM^c@AUc(*f}{ZHvhu0e;+8oCD7p+-t5D$<4^e(3EK(@ zdxcPS2DT9?py*^AAF$H!0Bq~BywEft3Hu}Y{}3XsmyYOFmcc8CcrF;ZUTIA#Xotr1|(n zSw|S^v-WVj*Ng88k{+HB<||4D8SXr_rTFyHy&_SMz=CW=x|v#}tz?wASTE^qPCPZU z8+th6gd6Fe8TX&z7({jxO-R%X0_C1{L`~Id_e?+*WWGA&xymiboqh}Zv+|Mg_0Fp` z872dAf^d+;FF1brm+7R@3So>ot8=m&%#Cyd+XQ{X?we+ePDV;mi+57zV!yOK)6a|V zo+;WDsn5da`k=lYz;8Vczzd7onmymagPCr2X_zMTC2%uO8lkj5CRK0jgXWc0Wdd7$ z7H%T?%`{lPZ#5`prF+gok7j~cMCW`WvuwZf)MNca`F&q%$Qf*-IDY&1Y&*@wM9UCc zc_AjRDO=W5-t3HICdkg4??>jAxr;mUquoCj=Ip1n2KhZDo8#c=JF#$lC@A2RH1(V& zK^mOzMlD1(I)XDG*MB;A$TdYDViJn9W+98wt)*Fvgg2Enk=%k;zPU!b9!VXxgy!WK z4MZ*}x`Ie9X|F92@xv->M=$$M`4a6$2aoMvJ5B^=57JPvU&u8MnopEA%}5 zG(m!xa1xQMp4kGo#mxT|qU*eEede)-Qr*-h;H0gJgWrh^THx~h>>?j&%kb)2m`2_5 z5M`D`TxglS|K&xXTJ@&fs+n5cgizh4YZhhJ+Q^@~?hTm*l$vv8y+o69JDrHMwXmjHYIlCMmj3OjM(BJH-%2>jH?Yu=Q8HbJ7^N*()r zVLYg2Q-}3@=J%9Wehw8WUUZ+Q4MFXP#V_El#IE<;wie9M)S)RO<)`^KSa*bz2Ki43 zL?$<06)NHdz}=xEq?Jw0jE77K9Che)aR25MlI4@eZrR#N>ctrQhAmTOst8jf1yY3k zZfZ_9q>N#AUR-UpE-Dj7b{Tt~zht_o_YDil-(0Kx6^+|($3TI&Z9q!RE@@3jl*(Hx z(hV~rt892ExXdWP7JUdArRILj|Gt<(m*SK(M574%I3z7;ZtqjFL#{f_`{2b} zeA=LHi#EQY!u;ZWepGi-ctzC93-YJ+u2>ULF64q^s*K4F)}+3+F|;DLI#sa6VnBzr z?ckxKSDR&lb4#utLoND(X;;8k2aiqZ2pHO?n|nCF@fbu<`Vji<{RfhPT6JDAX!k?Nmo89vab26M^Y=u&CvSv0gn`Hti6pU^p~=_kTV6$tNA%Y zYY8f>;eN|sZPo8dxL2KU8bS|vM-Y=xT*ak5wPW}?rYq}P@M<<;a(QEZVPWujS9S>1?*M|AN{+zF#%1Grv7?1Z|< z(M${3kc`yfKl}BeJd)$fn{ip!WXnd)f!$jGE!u&;t+ z|D|)Y%P`oBXIz!mjtRIvYhYCiwvbM-r=F1kbjbZwIsP{Nr;e~2cl`7X!eA!6|1_+! z_f56XGYn$hEgpBk0IhLx2m7oAllFQF{oMCYuR{Z{)zKfa8w`X1oq`o-oLN^HOyC*Z zSWDc979=p$R`rC6olb9mr?%i;m-9VpW2$vwa*2Lw9O2&gLSnkeaIxIYs>I%`yE>)K zUZAa()^-|RjeC~jIvBD#v{ChD29gH1z#vPZ1fmf1M!9^%c@h0=pwnDJT+B1_zg z;f~HaI?0}n(c06)KhrmiK1r!iOGzJ}g1f#WHlh+~6<+8MpT5+U3WV#$o&P-C?Bv@i z#Et=BatK)WO0~%N;jFXct=FFLu2_H7AAy_iSCrKEUhp_tN$+rSMi2H&eq0eZe+>85 zdHt69fk$Jh@GiVN-u>+UDiQt z1D6-5c))0|53c6j(#WHpD4DLr#CVO^iI#iy;p)k@njIes!4NR|ZH@z?qXUQZeXRVs zbIh|*RQFKE#FUfZHebQ{fRdgj+X=xP9RJ2HYzZ zcB*Rmz@?X0d}{~8f7?pz9~dzs&n_$#^7f=%t%`L$uunqTJgPoPH1B!NY-}s&9`W5=VK+fk?A76i zp^-HogDU^5{e{*UkNo7?uV>155a!jNQ*ebWTRHdr_~D1=%@-XOmmRj7pwR_>LX1D8 zOU+Mum-8QU2|RosCt%!gWlm3=R{p zjan+ZwnR``Wd}@na$&HX$Yqd7df~7CehGN7)4>g5XEQKQ{A|v*v>nF(m393ARXNgr z{#$pp$oZw&O1F1|aZz==us1)%VPD^)VYmMCK+th3SQS4!RwnX#2oiGj=Qe*r11 B6|(>U literal 0 HcmV?d00001 diff --git a/Timing.png b/Timing.png new file mode 100644 index 0000000000000000000000000000000000000000..b3a3ddb78df76723eaf496b846eca1d02e818d09 GIT binary patch literal 9265 zcmd6NcT`hbw=cp`umFk*NRa~;1f)xEiXt5p2)!en1gW8e(o~vAF9D?m2#8V=NJ4MY z5+U>uKoDZ+y_dW7eD~gQ$9QAB?~V8Vco|9N-pSf)&pq33&bijxXF96%7uYUPQBl#W zt3A=9qM~U7j@#*|fp5-$vUGsZcqywJ(9zKmf9OsFr#HM+jJ@^U9lW77p7vCZ5O=V> zpqHJey*TfFbCyxyLvX@DkxSzU%>l4>7WS793e_V**>9U4R}P+|(u z!RhQaUsY~d*p!(X@cZagrW~QPthBV0Kk!3QZJDz%3#fF9>F#rh!u?Q4xqWAG#O0Q2 z`(s^6%gAw^IY3s^IJX<~+%7WG}CqFS2)bBLEGGl9LH z)4?}aZk8#iLvh58biR$Mt0a=iEraP0B*EY232Ltz>EIvup#?UivMdkU@$t@$i%-2# z79eV^J0ra$;UUyFtATKXW=+C2ZLTd_em%8%3z0Em6K^ir4d%5}c=Ma{cYE6(!BQ?e7z9m+|sb~tmdejr!n3(`|jz5g3gx-cv{xWJBX z9X_xKtPQ)hF!jR!Xd7T!db0Txwx_0)jK1;y*OK4g}M_@&hhKlModK=~(8n*s; zf+M&#jO?91%@w2oTmtzy9On$sX&aJnIxTRp*5srGjHi10Z;;9T-Nw`4MOI*VSacig z_dI`JIi=wN==(Qv1b{r`Vf+7)G$o0uq}rRDhjdx__JJo`#tI?v!n5xz177DdA4hh)CMpY8883=go+^)EGor;Q9 z-yRC~A|HK^D(>~-ju0=rs!V4WyPF^ocNK8crSSVhubR)Kqe?_Aczu(;E31KN_m`$> zAeiq(P5~Vu!v2GHIUzOclTvFukzztkn*0OjjqlbN=DGKG2pc~Rr$vRwnkSWN@Nq|Y zAxlg`Hr~1^f5G{PYthi%@muSb%Q#*mt~OO^u!?gkrbxq}(DG$98I*tT-w5-l80Or7Z69vfVu>t{xE*kcxDqujCZANb}4mYWj2tI%qgaQrRqP z=N&L_B5k@fato#o!G{ft!ts3%6TCcpw;c-&HXY0Cbzjp!xwql#1~S;{5ys-9i>G(o z)r^S~t4PDP9LO1A6PQO#22&>e!*uBRs(?j1j*{|Fint0Xd-A16nd9|5SJ_>`U*GKC z|3$mff@HaT@$``w45eK;f=`QG>9nGEyvh1Abn_wjqd%Rb-|MpmlrJ;KH5Eoz^^+BGFJta)};TmiLm5oO+_*7|IPYb(O;=M3xlRx>1@*Rp?;aH!`Tr=T>Zn+o}@ zw3IFP4y0tI66((L+i7=WPIWi{`k!Ft?cOR6oQ6d=|Kptfa*K|~WTjL8dg(Cu)}qy^ zEcnp?{pdSynlJg=@M}^2z+kGktn)xnr@Ead3WS^g8*=p$DIJ zL-8nZE_A&Q;cyUGG5OcUpjD_+h?le9?ktibdEm0^joDmEH#tT)bmDmUX8n%|(G~|m zCj@Bts&a{})ahf)_E0%=Im*-YLTmx-@{0T-JkVzD`MMf8BIZ84F;wQJRiYg{e~vKt z9N@c0`Mf?-HMPpnn0O3g`*gv-eLO{WtI5C~fc$nC&MV(-NJQCU_Zp=d$y**_T%To2 z^t$U=nB1S1O>K3$^UU|P8G0B`iqXBqVhxhho=vNxY^H7tIleKcy(-gtP(m|Gum z$YpiBpk#Htp<5B^MjRVT%RRk!^8MOguF@NP4w*WX=#42o#K%W`6UN2YtLSy?&R5|P z!VNA1WG=4y{V$riFH0HbPw~7%(FH_BgRz@>J1=4uk>Vp|l*qKkkB9gJiluSY^exy` zp(?TCsRJ`9fTz7$7@HhtxwJNCv23hD*G^a!FJHua_yH?FX!q+z;gmJjU@I}c`RTqwPSdn114nH4k9}y=q zv2v1m;P|?b^q@+?E|Kw~+Qzok>EYoiEO(AP6?)J*a*`W*)DJ}2`u$C=#?#Hl@vhT@ zb*a9suA^|J!?&T5Q;mm|(5d6C#?_F8l+8w^>Z>d?m`GxgS&&9q2j!JQFD7Gye4$R; zH-ZLZ>$@bFDkgrgWs+EWonp96@YO<%HZx}`es*Gdu@*LwN=@))uoBV@?l|WfTvq0O zswHD_X|^HXtEC~2qLg9WuB+;*qu&YBW8e@Mym%*0RGH2OrmrF67b{&ybLZ~a@EBg79P$Xsekc@kgD-cif6}Y^y-5MqJK2Sn;_#<<8r1I!xQQg$gs5J004f`Yry;qJ8IzE#KAM zW&9L2H~8=XaKr_)=@GT-VLE*yUEKT8(hA0Twz}*7T&>iO0^!kYhK6D-@NB*Bh}}IH~#tc z?8xE%Ztlsh)V%g_v^Zc4Be`(#;Ny`W^4e0+6^5;mQ1C%fGxcoG$Bn7Jv-u<(nI|+I*&Q!_M3} zqE|&Ik`E?Yv`B4A-oPq-*}zg#<23mYde_bio8JqrY_6IuENSs-V*;jbrNOq4A;Di>Dl zTF~VR+Q4;Aoyae1gd9a%g&cvsG?&EJ4Sz{iRUB+=t~Q<&bAHo0a0=$zo6CK3(8@ z@hO6E;*p{`mhhbgH~#A?q5(Cfgfp=bljKel^P9_hG7uydd5Ub>SSMj0Pgg^X#0_W7 zdtHLY<03^b5ijPKbsupA!sXMJ^Y1Aw?LpYun1k-J#80FTCHxJqWSq3hQGc@(v(wzC zG+8lj!#+m4YP8}b*Rv=!CBc|px@y_DO-vZ4aMd5L&r~hF+QF`+p&A2yLh-Q3T7R_m z{bAM~OmQGC9-L^E%!!F9>}^9SHAq3!D>Oj^%L^1F$67&NN*X+c?~4q~D4@7(>dSO+ z?p5C!!D9dghTtV%V7h#&r#NqtE&}sT3?Cg_-F$D>H+DU_Zu(MwcQYgIn=(Dl2;4ub z_WC^PE<<6mI6R5+)Vke;gL$cNZ$?DABI41g&S4`;)N5t!oUgx4CzN2_@na`DW`f;1 zRfPKf;mp-_lS87^6!zdN_+I0F+36XW6zS5;wk}sg>B*LQu%Bb&v0C-5!ct+YdW!!h+Mu zuiPfJkE4gU*)v*6b!Df@-qjtDjvoj#L6)|HD))7G{t=~hoY(G6wB4sXcTQR|9ptkb zYwH(YccBrha~*HBp>4g8Oj6E|Z{&;SJwB^HcZ8Q2RG)0GlH)sdR63rb9@i?z{MEgC zirvg*ahi}Pb?7s@ULwO*6D#ec=Q07ueb&84nI_|;!vW-0%Ye2jF)E`iavl?~HHzqy%Lt~_#KY4b(ST{1t`zo*BGnvzX5xg+?*1ZB#ATuEdH zS58xFR(jb#6)08T^O_BOI0uw|tk5Fh&#)(|rNGQz(4Df{B$G(Sxi7zK*piIa zxer0&Dyj6l`zvE|{A(KgPVm96SaZ*}>iC5k{MLmCo$LFEgBpIC%ImeoQ!rZrzpnsLFb9|&aa?FHcW&z%ysin>;d%DlEvK9iT=eY%$vxQJH9+B% z7Tx0;=S|cjy~%}2K4^tGsJ4|?+ChP=>vJYV&vh<)Ic6IHOTIc5wwcCja<3ohY~h^! zi@_!zuY6r>$GAwFJbiG1eWLxbdD~&6kfOFvboV>XfWoBFq+C77RJyOM@DoBXzY6JV z@!=aEc8;LwcPJwM85Z@;2$gXQ4F>2wd_+OhiXvNqSU&PWVCj}Yq3eO^pt7TMVN@35W z3F}rq8(_WlB#V8S+b!0hUBn+q7O>{$pzD9JtRL&>AAhREKCbX)3f$1|?qer>evs#H z%pEb%93OdEIc2i{c8y_QvxsU6VO=470qfae^X+pi{We2`RL8w?`|6G#uZQ`QI_2PB zMeasIHPd+nmbxqt_5`cxS@MGwOP7##q5M~rw{o#ED`P1Gf|BuGU(v>s`+zywB95r5 zek)|$yC>^;mFsMVTa!;3+@Z3{utbl~)gts&mf4h_^;Zjy^n{o_AvoXaGn0u=cqo(H zOtR-@$5wZI``Y=g@ssacqKN>2(Y@qA&nwLjjy!dn};8M>Auz+R|m0XWl&xddc+Ew!UvI2<{X3qQfOS#(zLR;lBY^ z{Y;`}-AqRVi|&!k#BEbMLnYq{m%dL-S&oZaDdP%(U21P0TS$?RMl8SKvL_zgZz(G0 ztBST*nf5k&KnfEO*45y%tG-(LUYS`#n$tzoU6(9T`zG3@cI`opXLQu?o#Wd(KjFz) zQbn|O$>=j{o4rv(Hx=%Fh-7oCMizUpCZ^pq#O@XUfyZ+X{=lPs?|;E#LaT#bKf8EM zw-Y-~Wic+F7;I2{KiNsQR0py0Y+c}8UzN`Rn;AoEpvST|(Ug?=JHs2jye#oY&vWrw zs7H(ZK`H02Vdpn)KM545Y6$1wl=rg=n6vkm6Pm%~7R^I{rRdn=Lo`a_=T8HAY7^!| z?XtLamv5imc}3_7^szP{e_?C!;gntUc$h))?0R>?klY0zq0cF}yfFxUG%FEBraKwX zz8z~b>RWkG$TVxMoaH2I@5>&Q4>RPn9MOzmNbyi(^yzf8r-Aai*i|uyf^1L=i+%~YVN`HEPpZbkdCcx zkVaQ&DHE%QtWk@b-`Cmo2aUJu$g-B7)TWugF-(8;xDxvM0@sB&N_@X;g_VPZO3@uv zpfQ~AIz}2Y4>O~Esbs*tao#p#{V{EBvhTjknkdKzsE52t;J= zgb@m_Q5Y*N)sRS^%#JYK6cDo@SPTbWYqcb|No+$brbzsX-YQ(I6iKB!XDCsu1K<#i z*DPre;pVHkdJGNXT?8C7vDc)_}z@g+Oqz_X2U1pnu` z`vQTL?bF?nR0CiK4YmP#(E?&`@&n;}B+J)i#X=5+-p7=rA%eE_!h%m|CXYZh4wDnR zsR(tG^YF84TBO+~98=RM!shX74?Kl=>xS5cVYD#vj z`J`$aE8+tmyq2+`xJ$n8<%_1E0`ADcSn?>truLq~pz;0Adfm`Oy+KkDI>~f5VPwnj zkTnZ8QaZ6<)NDojyTF|3U%`s1qf+azH;CX$<9_e_jJ)OdhM+>N(9-crz1FOIVt81U z*Jil)MPU_m`9m~V6&;z%L;5?y??Ats-twV=echaM`hbt_Q@**-6==A;^AK))+sEAb ziIjy)d91Umf&Cs<)VNK+B}%Cnf#U!f8ls|mzD(BnEInQ@0(0o@nV5UOBo2$EN!h)~ z`b!{lq4CW!l{pPX(n58B-bfj(J(B3%s`)a>YV(aez2>#0MPu@W%-Tbjr^+b42c^BC z48G5&yqWNxmtv$?9lB$|9Tw;hrDYn=VlAH4+MO7fl7FLv0zkwK2eFryj%LZ+iR@7dR_Uk!69^MjOrpKN zJCFa_ar~bl;NLj=SCsJ2d-dE$W5Gn#>xzUuTUftyv>036SU1r@&Fet zBW8%vRSQvBn&PsyZ#q9z%z8EAeojg!6 z{DpkovX!#$MHG7dV{&D76@Ga3GbQ@0k^ki1^EO(zn87G=Lb#btcyLDuQL0y@1oD`#<0@dML;>0@U{Af2Yx+$dio^AV!XcCC zzJ*tKNk7vDzUsW?7eEmE1ZxaC?n9?~JbMTtg^L%G^-jnkjor_(!}p?Jm52pR8rO9< z^fy)VJX7IXs0~^j8{go6GIQSWnsLoa%xL#)k($&1Jz#fgQrK%!?phTq$$R+bVPD!? zx~)uSXRC2_o2k6s>c?NS^SkfP30JfT9V>$j3t1a&o4!|LlmRJ8U!puk|0t~Wx06j9 z7+;B)E=v((>~(lIaStOl6_Ax1)px!lL$hxC_-zUz+f6Uz6y$b&=N+7M4RI4Rn5VT%2v zg8RTm^^dW41H43-O@X9#T~^PPh1=V=b+~J4mbdQr#F{o#TsE1z@%6cV&m7~3DEb2X ztg{CD>Mi?Bs!gwk)8fh%(t@^oq+OWzEz&SI$@d{33nvv_K0Tr&&4yP)2p1{sA2+v4 z=MjG=YT0x}O=?=CfE}{5^G`KO`>*BhHW5a^ZU4UVuNw8iKc(vb8-jUIqzyQ;Vfs3m zSJJ%ipu$08oY}Z>aCpP&+f53#diyLD6{@;MlqA9KT;>h*wSdq9RDGqSi=iH7hv}e@ zO!~tI0@PGZRk~YZ!1jUK-+KWb2RIU!I#^ee#xZky(gr4=Rz80rJ1Yy!LNGbhTNoUC zhN?*rj1ecH3ZrvJ?#p6BC65Rc`Nf~(+cEo7epXwv{4J=i*0b@cY{D!hu2?6VqdjfW zn^R&9`qKJKwS!GhvlwzRLKxWNAHD5UdgEk6)7McC(WP&^v{aM~|@%RrB|WOe0He#?KS412ukhiDYr7NO9$c;nBmZj5G9_tewV6p^M9NTeTAIT>tS*4|5pF3Mp9!n2jST-pO%;Y9dOD&T zSb!CO4Zg4YlUuJL=@ElS2v_ZZGn#aeG(#-+tyn0#3bubK<1O5D#{mP`lR%Qu%_F_y%_sb97G>dU+Jii0 z#^KDf85JXpp6gUM|B}I6mAVe-HNL7rbh#Qr9Iy~j?#zjB&)ZUu54rM~jyu9fhwcZi zPRjq&od;Idt3`Lho0&0}+>KfAQ2|Me z(+Fl@?wxA1sKpf9;y9a+fGs@BP7j4|(7ZEl)d?WIo>q=k1zLcE?~4PNv#QFFj@!QB znb9!_Gbo9ODG#qJl+7`GkTX3@SFTxQL+)KE@(0#GKXX0!iccsmd*i5IPoZ6thygZY z1ey}lA>#v+Ls$b_P1k_$lP)WsQd<4>86f;nb?d@9^~v(;iVwp7D|HsA#O|iNbS?sM z1Njp2_~tn!_c~*x^enarwglc<3F^fG$moqtjB~hP;0wH8>VmdnnDG5~X;;orNq{fP5deb)25Lg4aqZ z{Z^TG7=!t}31ic8@K2<$*8LaW_ox3U5B?2u%;n&vq1B$-_xl1Wbrqc_pOvj&{|EPv B5xW2Y literal 0 HcmV?d00001 diff --git a/Utilization.png b/Utilization.png new file mode 100644 index 0000000000000000000000000000000000000000..c0254f68f9f71827b12c6c5d4c64b9fe81745f44 GIT binary patch literal 11832 zcma)ibwE_zxAuq#z90=Et$=iQBPAu$ozl|XB?6KnIW*GU%>bh!NW)0S&<(@T9pAzC z{_efsd++_e%O9NCd-gf|nRV8B*0c8B5h`zGu`oz6Kp+s7yqvTe2=q`H_}uXr1^933 zlV=B99=b}(Ydn7Zcy>v75onUQ$>_MLJ6gJVzH_kvSvfe`Td=yCxmZ{@xLP~9As<4; zK%nO!d1(m^uM7k{FlBZum3@Cwo>Wrjx%u`n-Ru|3Age+(#(LU*_&ZnbmvwGi4z|fI zV`>WEH#2je<_d_}yt%gK8qctvJ}ofco^@qfU&A2}tl@OS@P1gX6!a4$k(UK*7O0m{Py$xVZVHoF!s^vG;2-d|}S7;#2yl zxsl&ZqoYXMUL?-EkNUz~3#Dwka6pIWZ0s%KEWwf8fa9)841Of@KBnp7ey(O7?PLqc zS)p>q^|mMFP0^=p@3jUR%ptE~-{Gr|lM7z9!?z2fr^i*Hm|#}@+MYqQ&*Xx&UI(Td z7Og^eqp~4Kfi1``vtJ9W-aFiZ9hZR)mq&uG)LC|NXJrd*ZaWk>%9Q?Af$LumXCwOA zbzAlaF4!h3nsa{1p7k%ly-otYUKTO$-NZ4UZ6*(NL zSn#r5-dDxxcb@*Fb-lmGUZLwB$9a&du;+PZRQ3o)D%4#&u!Y#WdaIX*Cl@ zNK@lG?pvZXz6bDe)L`Xj`MsXb7Nj+3KBl^xB%Ubmu)e+amagB-2t5RgyN?!CrHDDZ z%u3y@+p9j!tlQtK3OMbCUYk*;340Dr-d?ghTpkn6I|PRZG`pTn?#*!eFXwYQANB}0 z%^!?(ihEBaD7E<>9_P9aWf*TZ?Fb-oEG;b~HdlK`ANC@)F{^rwZ#9Nx+4oJ=*CdF3 zu>1iqtzz-j}8k;(bjopKu6n4mLupBqOYMLKAlch59KGnr! z+q;xbE#`#eWJs+ywxguGsz@h=Nl2 z?tLrx;X-{mV<_x_^gTGm()Ra@(b=Gzd&em96bA(QikLn{l(4sX023US4MwjIWxAGB z`&n9^dRD#V%Y7qC)&3PPhZ2v~xb+-r1f5tiz5!Y{C&ZO)*YQaoX9HUIx{-F5mmiTk z0von>2zIol8#nXaKQC!R%-#DY-KnTPTREvq?gK;FdY9Y9S_~#xa--2yiruC*4;xEg zY1aF9cnD=F)q6*BZS?o$=B~pW&O-VP&JFCA(}$iPF5GTvL%n<7edrgfUxpY%J8rSy z=|1be;?LZ57T3vqcA$YCOTiasKgG|cMz7`t4u=j4q9)5b7)}zbsBU}fvNzkkMzPx6 zEseM}pLT^X{Unlks-a6rkSiBSjd_VOqkp-~7|JN9`<$9hCO?EBqJ^-|K&L-dM?pVG z5#MT{K7}dDl={25e4It{7Xh$EQg3kDGE>~QeA5^AkZl{k&!NxX%`Yf-R4nwVNcu1F zQv2NfJ`!R4*{fcp+~(W2w9uNo&`Ah5W~t2PP6j5rNW3)1E*5lx{jIyMgoY0CaTo5oW3pD&RmHCo0@9;SNms?kv>Tr|7BwC_ijk=4DW~o$G&nQ z&M)T0Tg?mlFRn`u`)nP>#99~LeTc2X6K!!*b_Gy31v0zOPh%n@jPE)#A)bIslQkLE zS)Rz;v&9NB@OoJIwmqVbEh_j`KIw`ix&c0cjdT43TQJXhIRg`?wsZOyszD{%@X~O6 zfaJgOHNxhntyrJUxxf(%3h7NCUt=NLa zHIpeeTw%gH%^9XMhmpHpqnrsVD3dT*8SwrWx69PT%bq8Mu93lV) z7e5^nvj?5c8pl!IOwaeyAm`G3ES+YR+nM@~BNFt{nvmxgM$`alns#baHuIlETj*%T z+TI@1;5<16dy)sNtt-zRhBCLO^?Cy=10WaT;`LX)b|o&?k(9O?$mVz7HMI8x=&k5; zyKmu6k*AmBmn4J8lD}@=>VHy+El6yBbz#B$dDBL4M;nJLo=L_jI1z~^U5yT;T&K8< zD8^|ZuZirdovxOQDuOd0cD=cPMQ5Q&KI_v9_^=<3j&IDbz}a6?8FU~ov;i9A6m&8)9!B4pQz`phiRmU-{RHq(cPAo5QhI7? z5)^WD0J||xoDjfSZ~aS`4x0*od_vWBIFp`?WYe$R%sM|IVlOJ=;{#qP{B#5=dOFtO zcpYoYb~S==#ymkDa+584cO!NiRbobepGyFWs=BJ{C#}!<#++LB=sp;VyRC=Y3qx6^ zRHAwojy|Z|6S1I3>I2koq9VgsK%=Csh4Eg5fPzbwXj<++EYch!?t4N=az%kl5QkJf zU{MgRdR_?B;W%K3%gt4Eg1~!D>{$X#iD(ImyO$jAKKl z{Uj=Sm7xTXK6o2_4L-G08U zUkD9a{QltqXb}}giKt4;Xn^B}I?tSmP>J1%5^p79|O zC0Q2v=L-#ieH`p3pdh{HGams$F*kkq&@faSg4V6j@k7Z_U@IW1l2R0w`|z9v&O7`NNNe{mMd&_oHSJ7z-RvSB;$#A7yAWVP2Dsy9T`z6IR%NBb z&PzyT1k8lX(10sjVBT@FS^f+?pBVk<;}~N(I+|C1Cl0At-AP#^w(17fJy-@lKkPmg~xA}4>M#64+& zjp~!sWKKkkV``N7dGe+**eVxl2KILU)!RmDgYtE$6|I{Ny-&@oGlBCc>Y6HnjoUi@ zN4?X93T?vfmU8+!24@mfE39Sh_YH+;FXz?BFV2Ky(g8cfLn(IERwxYx=A3}b2sRpc ze2t^mw83|*`GPb`5O`OjL}C?Ud?6R*wPM~%tX4^A{j|Q9znWHut6px1RrMK5iD{w7 zib+{(*KA%OgWuE^(Q|X8I&QfI1`6@nxqd4eHOfH1n$QU5jVrE2L@ zd9G<$6Z4NZYHI?!a_hqeMsFx?dj0kapsEkY`KPF(DLRR+xS1F#&Gm|Ye>ZW}X(Tn9 zj0y;!=up&3(sdtN-%(#TsM@_P`Rr1o*RC7i|v6!3?(-0tPHdq)1w4JB+K@)-f62o;88lfHFfp!`<~zB zE6Zw@Uv7bS=gB`1l{IdGOOyE?`cgIeKMGB@z7M=w>iBe6{;l0D@Rv_3)M?@1>5XKk zp3V4_QKv@RW(|PWU#C&v1~-#@kJ7-{zs*WJb$s2fd48<2f;9?$teiZk+vTtjBkbrI zH16ZU#Hht$869oPxl@w(WUWdOagj(gKCU0bgagpaFt3%KK zIK!(0^t?eFrBA_0<*J;_)dXZ&EFNen%q=r|toCjS$R3>nFvXMPPZlO5RW>eVdM~PxaZ*o;xYppKQn+mId;Z$&%!sH|)bDJ^H_0U-i`^3{W3MM+m4j3k8 zB;@_laj$fDBcY>sgZ^Y&PUT*0c391l{7oeqM5&csr>p#1ma|ipP9X1?jc(osG@6<6 z?|H4pogW9Wl>I%e@z8`lqPi&N)|{kI@C#!`Gx;ez9{xb@@{3!B6vV)rVwI+*O7sH?>N+)J6}WTJCmhm6yRYpY}CxQ69ebXv){pP zP!HWE87yu6GK-ijcB!t9-w&(pGJHz=Mf*#ghAX*tdZ%+L5In017Ilp6RYUI;=c^vBi)HpE?t zOo1M~zoQR6Ai7a&f|b@?xf^MfE4d(damw=Beownvsp?}%V?(a`ZW^gj{}WAJsfuJi zpPVN|IB5Nyway}CY_cR}f8!b!9F}>=?-|^)eDV(a%At1;RCcjzj!!nlHSd^0R1oMF zwbp<(Z``DP^1+6!bX0$w$37;`)Y{U7WV+R6M{c8A!^X^t(<0w-IMcu5DRchi-kj^k zgeDf|X({diBdclWS?rmc8zH-Ws$0g>N@!l*$M9m?{waW$Sf)o|u&OF?1LuSqoj|VC z2&}0J+xd~?XGs|!Ogn1=R`fWjbEm!~n=8wH5^D*91nXLAR=wd8>{z?~Jy?+Nam+%s z$zm%^p6m^`$y9+hBfM&yMYW^yNkgWxYr4IimjE0#$|)yZnCzln_PL8AG3QS}`26bJ zc42QUU{0<2mUf7&Eg2AUX|%PyS|i)<<>Ka3noO>FXK%H822TS#V`sWVaMpsixgKtNc<6i#hrPIsqQdRC8}qOr)To{ zj^R(nUzT5vUMe)COHs2|&n8k3kqhMq+C(jw{X3?(DYfz{i5X_+T zUX7Eb^!|xd8+(`#l*s%RV>BhMHVjCj3RQ#hXJ@gFEl?4;8U;^(XfQqTWqnYx*fSs# z=aBTiq|P0RArscNhfipe551%&1sp-&%4Rt0Ep_h4S=#qIayiO2<{@gnr*6M{CN%Z6 zDdu#%+U;k*cf@2$qc_RxZw-n1UScqNG)fugP;Q7G_Rvfk`Bp$fOQh(y-zH{neDkOB z4)3bW`LXXJDnl5QN$uxQ!b2dKJJxuQEu1}m=|h&CbqHz@NsVpm9|+T)2W9%LJ_DwTb)arAfG(|CkM5Ja+$qJm1D zTWM?B?Nnt>t==Bk#n!%)_Kb*fCsDqVe^|yeZr0k4Upeih0N zgL9`RUkEJg#L3}%kJcGnHPhc8Jtj)K&S3{;3W>)&VIfG*J!a&Y$%yZwWlHxRq~#-5 z+#p79iu$z{q*$eJzlAtv6!kh(O6;r+?r3CrSK68k?3Ju2S=^xtg3DsDJZ;+pM7tFl z6dgIt`e#JdO8nU5S5PMGry^NrpA|3BxvDP}p8e4I;4n1hv4yoTt@7G;#>wGexlI_k zJBDuF*o(^j? ztnkYB_*X1gWZ>6fglOSfjIty100)?Ab)v3Dagy%n&E*~DtV5w11hYtCG-}-3GoNSY z6=zd9oF-`?J9tUw;C*>2z#p|`yU9n%_PWTNu=D}L&`)hB@XQ+f;enX>>5+jZ^bdCYk^PfA zM;Y468K}7!thS7RUYnSdD;lk-Jjkl0lkMMD=~P;;{n2UoVQ+ZqbSq{~7s!3A`M7{~ z3yt88&Qmq3af?0SHmlfp5teL1`J9?sp=j&YG~v^77_D(mSdK*B@D$phC^rp|x?Y4% zu_ZTd|JnhRAX|IV3qX6x|3cx4N9C2HM~9#f3=)stRUWMqJ(t9iTA9kONe{4mU5_4s z@r~m20Yh*@Jjwcifya5#m9T;`1Mi@S75@`FgAevvr6aWkeUQvnNI8^pCf@@73X4Cr z9|Onpk(O(BqX4(<7S=uJMVf*5#joaJf}>A@Q_X9g)Hn)?uC7Ky6=eYp|2cq^jY7QG zV!g#p2tIBatA=G)r>5I4RGXqxYikm8{F=YsE4{v)?B17jmzFADfvxNy+}x@kJ)fSf z27^mm>6=z3n5j$M7RUj;Xyx}D8recG0$)(>?BrCheg7B4t@QSFu_Zh}#{-faaJe~S z%G91^l;Qp}#pW){I~hOS4cv6&^KCZrjWtA5;WNc~KVxP9rxr(5Fa6 zWly)nq4+Ovekr_mj$q&u;hP8YuyQ`U0FT*Rm@i{%bL-hyaw^N`Q@tYF*e;e~Nhei|J8qAs{VfqM&RcEvCjR+&3wq(ezqgX6I@CH z0)i-F_EQKUmgn-%2#=}3bxfBov=%#wpv%9+>f=>Ho7LUbaWq6RP9(xN zOR&Cd`CVvdzilbY`i@4TCKS_5A{R$zis9IXkoNWX;=W5f<}yv$G6Sn3RZWI85C%cn$iTP?JdJ zCWIzD*Ige2Kku3>vNXOFN=Nrs;edMiHrG^PSuKO=?XT(|&DL?skQ)?RC4;x&Pgutp zz6;&y-Msqfa<1~Ke<=eqc+-;+QCMa{)!qCID(uPY-gpQSBH~Gm*5m$zlen`;lO`zdpBHhww zp5rQL{cgNn^2+=hW^?4I8BF~cXyt94&sJOxh~vof_v}~X3Vt+)4|$RSNH7_%Lska% z;q!Y6vMwhBP(Zk7Ufb4#z}biTf8*}{;NA|7-pXEaL3~1*_%6;^`B&M z;px`HUJpEbUlrwUR^&4&bckyaqV^xVL`R6cbRBv|)BSA2B~m|DT0)zcX?S(*5QyA3_zYeHi*X{mJ774*mj?v}~Gi}UL< zBk#isVrtj969kD>Tv1)C8-CjoyQSc79^91t+r`>qyEy!TOM&BRdFj6t84 zS``C+V6mU`SO7uF8$oPb{}9s7=btMxl=v#sQAAxaPu4|{|4ePlCphq`!T63NK3&kq z&w~wS;m@BP2;0sU*U79iH zPiOBTB3rF}^kAF*eB||Odo{94hl$xHPu|%!r!M+=5k9gPeRzWdBZrvUfrpBn^sZLf zB1%@Q`JV7Mo%cJ#pcqx8t4qXYopIa8O>DD1s>;m{cc=2(48s+*_sVpgU+$MwLEiX? zM#|8tcxB_(CV$b|Nue*en?rS0i%Upl{IW%EE2^aeqfn14~5CnZ%{{a)R%{+J?( zo{)1074cF#0`;kt` z-z`zyo>V7W`ytwVg2;v3dXUs(<*TZ`EEZ5}W@KS(Vl!@AMcjCt#cCTgEOpk9v30a68(hI;ksX(ErxqKU z&4j%P%`cSVEjY6s=FQmX!(ZY@<8w9j3^AccB-nV_*K94*8C2+e(bQ1ieVs@_p(&I_8)+A z1Oc;<+^b?gk-<6F+9+#HRX%BY~&-tyv)ly%<<5A=Oi?--= zt!KnoHHAl!Xw!CDxL9z~Y1IzqzU2ci5lZCXo?67=6;l9iO z;jq2&MnES5@ut=2+rLsdZ(!x z`H(GnE5r53J)j{wUs{5pWu+iYF)0sLtj9txlLy;<=dUuc|2v|z7{;(HY0cZcpomY; z_NejW<4J_Xj`O*TofP2Z4ZDTFG5=LxOLS# zulLM5nVl-&_;jH`{@3RNW1FQcE3Km3ehk6NU!DS$Y7UjGY&Wu2AwgnPhlGD5gW z`He{C-PKqe%`|v8D$B8{?Kv|eBYp%f9-iV_sj2asw!2I;$&M~_-eKN>VXfAue|Ew!LTb$L1`BEp)O z+n&DMu4GIEkk*WTU7o0M_6Pj{Z-Liz_hh*02XPptVM%hOs;JR${Zw&JZGIc~1uF12 zV*QWpA{lH7p;b?k`|rN_UXbX_yz3ybPV-3r{Dt?uu-A!u`d&dIycso8aLwwdi`_v`e;Yv7R z?5~yd3CoKS>&E@h&oQ>G4*;<&Z1Tg`VV?p_K#R(fybRE8=uyazW`;|@+{e%S81#ae zqT=zjU0Mv@Mlh?TQ~dw}f%i`!IC|cBb!n1`kwcc2%dUPlQ28J4mBpGHdl-c(#fbh(n+nSBHi(#suJkyS0@Z!L(D zCb%kA*k}4D;!B@(wwEs{2Z0vj^MAImTpD6?kH(rxBqNjwLErQ5Rrv%xYmdlV5uzc` zucZGS>2@@+$#lyl(_@Ga*+cdPHiAv=csm815yUKD>NkO`8%JCYdhZN)ex?mElbYA* zO7OVZn#*2PGDQ7aIq+)ZhbX>edh!c{g);URza2wIBY6Vv*|iKUr;T*;d@;htmIQ8&PI*-8N9-w>zlx7>6ck0Hd^>d*D}LZn^~xbiD_%4 zRT8N;r}p4oVV}#snW()r?PdWgs5tb$a;stMQmd$L#fl5LjOKVqvFv9pfLfV%QjeZ1 z2bri@bnAZ0W6*pmGvpMD1`0|1e-P?HS}8-<17e8VL+u-T>~ucV&%8!;4$k6#Dk8x+AT}A?ZHY3M{|%=`riMwWgJ2Vg^`G45pW>)IdQqd_ zh|Cb^;hHx^^}>3|;sB|^R&t)#RxOA>Qdx~{<&8oUygOvQ_W2tY=aq@^2t z0nXeUrH||_mx(1Xm2{}tza6fOG z0ouLt6M(qz4*yMXrRl~Ck@2<#=$~Iu7B01q}$}K(Om{KOrbkB_S9bp^j@cTui zp7VVctPPV&nXKHh&wG)z%}?W|QN6vVVX)m9rJ3CB$;+b=1!|}@k}g>(y0qPkPcLB$ zXTmmOW2@oIb8WjX{ZCPgvlpevl9&hY8#tdOo+9{-2+p zT3pM(==>7gzXoX7h*~}G%%>XvZw*^S)J(|O^Q-P>K{#!HE~k=l-}?>LS}uC+;+FE< zr+Iu*?3vDSupDV>&Yx6ooRT!MS zF!f07gd}IfP-oRz-SlvV^g)c=PJyyM0x3u?3+2L3F)Ay`4l+kTd+sRY)dw?}*Zp~! z2I?A`ECIrpxy7ZC*=z5=0E+n2&Z(PKhPT$<@obA{0Jjx(g@OM{Esnu%b8{(^Gzy?z z3NRvAGKD*LH32+9f^4c7juG|sp`9kR$RZe=1Udb2*D53wpb zZP7_CaAAyR2{XnTfH}D9GM1LoN%udPFD=d}gEc(Kxj*lU8uH%}o3`FZqHf)lNOO{y zh~Tdbm0_Fxb@-2RzNBn8!EAX*HSPIms|BL=NJ&eNC@}%mrYao`JgYLb6Qrq%DsfyV zf~D4fUyM+wdK$G}hEC_1#XYWwRf4uULRJh(=Y+m-BR zIj}QfG%jV)Kgb4%N<#X66>%}`Ii~^#LQGN95@5Y&8(d`;{QeM!sw1-|y9Ggi)8(z} zg`&^oy&Zl=$A0Nux{>m6;Tq>OR?`P`Jqiku($vz@k_I&`)-WMv2`xfhp2DJXZF=g+ z(^HJUWL|zR40!a^N`x~}Wzu1${wH1Ra%P(i^}DQY8BL8G{?8^=y^(dq2XeHl+`PP+ z|9P?V&A-@)+O#C2^U-xhc^Eu;)ukm2+;4KUK1gJxjs3fh$Bu;3dr1W1LP65>H6kLy zqCfeL!f> z!P~S@In`yj=*`~L_sIh`qr^ImcmK}qzYSlUlExO0ioIp6_GndSB>=Oy8lu*UNd|I|&ZF@0XW(D_tpR H68wJvfI$?) literal 0 HcmV?d00001 From b0d21711a5c061a09af28f7b33314137fdac6082 Mon Sep 17 00:00:00 2001 From: TShapinsky Date: Wed, 27 Sep 2017 22:10:05 -0400 Subject: [PATCH 5/7] Added to writeup --- WRITEUP.md | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/WRITEUP.md b/WRITEUP.md index 5fe5a32..f7d88a8 100644 --- a/WRITEUP.md +++ b/WRITEUP.md @@ -1,11 +1,16 @@ # Process -We began by adapting verilog code for the full adder from homework 2 to create a four bit adder. After adding logic for overflow (XORing the MSB of the sum and the final carryout). Next we created a test suite to check that the implementation we had created was valid. +We began by adapting verilog code for the full adder from homework 2 to create a four bit adder. After adding logic for overflow (XORing the MSB of the sum and the final carryout, then anding that with the xnor of the sign bits of both addends). Next we created a test suite to check that the implementation we had created was valid. After debugging the code used to generate the test suite for a while we were able to confirm that the output from the 4 bit adder matched the desired results. - ## Test Cases Instead of picking 16 test cases by hand we wrote a python program to exhaustively generate test cases. However, if we were to pick specific test cases we would probably pick ones that would overflow, and with positive and negative numbers, along with test cases that involve simpler operations to make sure normal operation is not overlooked. +## Test Case Failures +At first we had a faulty way of checking for overflow, which caused us to often identify overflow when adding a positive and negative number. When we anded our previous implementation with the xnor of the sign bits of the addend, that problem went away. + +# FPGA +After fighting with Vivado for a while we were able to successfully upload the bitstream to the device. After that we tested the sum and flags by cycling through values on the FPGA and found that everything was operating as it was supposed to. + # Waveforms ![full_waveform](https://github.com/TShapinsky/Lab0/blob/master/full.png?raw=true) From 60cace1f9c53141bf6bbc12d08c686340244e2fa Mon Sep 17 00:00:00 2001 From: Henry Rachootin Date: Wed, 27 Sep 2017 22:10:41 -0400 Subject: [PATCH 6/7] added the stuff --- adder | 8920 +++++++++++++++++++++++++++++++++++++++++++++++++++++ adder.vcd | 5305 +++++++++++++++++++++++++++++++ test.v | 513 +++ 3 files changed, 14738 insertions(+) create mode 100755 adder create mode 100644 adder.vcd create mode 100644 test.v diff --git a/adder b/adder new file mode 100755 index 0000000..677db02 --- /dev/null +++ b/adder @@ -0,0 +1,8920 @@ +#! /usr/local/bin/vvp +:ivl_version "0.10.0 (devel)" "(s20150513)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "vhdl_sys"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x17186b0 .scope module, "testFullAdder" "testFullAdder" 2 11; + .timescale -9 -12; +v0x1e42370_0 .var "a", 3 0; +v0x1e42450_0 .var "b", 3 0; +v0x1e42520_0 .net "carryout", 0 0, L_0x1e448d0; 1 drivers +v0x1e425f0_0 .net "overflow", 0 0, L_0x1e45310; 1 drivers +v0x1e42690_0 .net "sum", 3 0, L_0x1e44a30; 1 drivers +S_0x171a0c0 .scope module, "dut" "FullAdder4bit" 2 17, 3 29 0, S_0x17186b0; + .timescale -9 -12; + .port_info 0 /OUTPUT 4 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "overflow" + .port_info 3 /INPUT 4 "a" + .port_info 4 /INPUT 4 "b" +L_0x1e44e40/d .functor XOR 1, L_0x1e44f40, L_0x1e448d0, C4<0>, C4<0>; +L_0x1e44e40 .delay 1 (50000,50000,50000) L_0x1e44e40/d; +L_0x1e44fe0/d .functor XNOR 1, L_0x1e45050, L_0x1e451b0, C4<0>, C4<0>; +L_0x1e44fe0 .delay 1 (50000,50000,50000) L_0x1e44fe0/d; +L_0x1e45310/d .functor AND 1, L_0x1e44e40, L_0x1e44fe0, C4<1>, C4<1>; +L_0x1e45310 .delay 1 (50000,50000,50000) L_0x1e45310/d; +v0x1e41810_0 .net *"_s27", 0 0, L_0x1e44f40; 1 drivers +v0x1e41910_0 .net *"_s30", 0 0, L_0x1e45050; 1 drivers +v0x1e419f0_0 .net *"_s32", 0 0, L_0x1e451b0; 1 drivers +v0x1e41ab0_0 .net "a", 3 0, v0x1e42370_0; 1 drivers +v0x1e41b90_0 .net "b", 3 0, v0x1e42450_0; 1 drivers +v0x1e41cc0_0 .net "c0", 0 0, L_0x1e428d0; 1 drivers +v0x1e41d60_0 .net "c1", 0 0, L_0x1e43380; 1 drivers +v0x1e41e00_0 .net "c2", 0 0, L_0x1e43de0; 1 drivers +v0x1e41ea0_0 .net "carryout", 0 0, L_0x1e448d0; alias, 1 drivers +v0x1e41fd0_0 .net "overflow", 0 0, L_0x1e45310; alias, 1 drivers +v0x1e42070_0 .net "sum", 3 0, L_0x1e44a30; alias, 1 drivers +v0x1e42150_0 .net "w0", 0 0, L_0x1e44e40; 1 drivers +v0x1e42210_0 .net "w1", 0 0, L_0x1e44fe0; 1 drivers +L_0x1e42ad0 .part v0x1e42370_0, 0, 1; +L_0x1e42c30 .part v0x1e42450_0, 0, 1; +L_0x1e43570 .part v0x1e42370_0, 1, 1; +L_0x1e436a0 .part v0x1e42450_0, 1, 1; +L_0x1e43fd0 .part v0x1e42370_0, 2, 1; +L_0x1e44190 .part v0x1e42450_0, 2, 1; +L_0x1e44a30 .concat8 [ 1 1 1 1], L_0x1e42780, L_0x1e42fe0, L_0x1e43a40, L_0x1e44530; +L_0x1e44be0 .part v0x1e42370_0, 3, 1; +L_0x1e44d10 .part v0x1e42450_0, 3, 1; +L_0x1e44f40 .part L_0x1e44a30, 3, 1; +L_0x1e45050 .part v0x1e42370_0, 3, 1; +L_0x1e451b0 .part v0x1e42450_0, 3, 1; +S_0x195fa00 .scope module, "a0" "myHalfAdder" 3 42, 3 3 0, S_0x171a0c0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e42780/d .functor XOR 1, L_0x1e42ad0, L_0x1e42c30, C4<0>, C4<0>; +L_0x1e42780 .delay 1 (50000,50000,50000) L_0x1e42780/d; +L_0x1e428d0/d .functor AND 1, L_0x1e42ad0, L_0x1e42c30, C4<1>, C4<1>; +L_0x1e428d0 .delay 1 (50000,50000,50000) L_0x1e428d0/d; +v0x195fe80_0 .net "a", 0 0, L_0x1e42ad0; 1 drivers +v0x1e3d4b0_0 .net "b", 0 0, L_0x1e42c30; 1 drivers +v0x1e3d570_0 .net "carryout", 0 0, L_0x1e428d0; alias, 1 drivers +v0x1e3d640_0 .net "sum", 0 0, L_0x1e42780; 1 drivers +S_0x1e3d7b0 .scope module, "a1" "myFullAdder" 3 43, 3 13 0, S_0x171a0c0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0x1e43380/d .functor OR 1, L_0x1e42e80, L_0x1e431d0, C4<0>, C4<0>; +L_0x1e43380 .delay 1 (50000,50000,50000) L_0x1e43380/d; +v0x1e3e670_0 .net "a", 0 0, L_0x1e43570; 1 drivers +v0x1e3e730_0 .net "b", 0 0, L_0x1e436a0; 1 drivers +v0x1e3e800_0 .net "c1", 0 0, L_0x1e42e80; 1 drivers +v0x1e3e900_0 .net "c2", 0 0, L_0x1e431d0; 1 drivers +v0x1e3e9d0_0 .net "carryin", 0 0, L_0x1e428d0; alias, 1 drivers +v0x1e3eb10_0 .net "carryout", 0 0, L_0x1e43380; alias, 1 drivers +v0x1e3ebb0_0 .net "s1", 0 0, L_0x1e42d20; 1 drivers +v0x1e3eca0_0 .net "sum", 0 0, L_0x1e42fe0; 1 drivers +S_0x1e3da20 .scope module, "a1" "myHalfAdder" 3 24, 3 3 0, S_0x1e3d7b0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e42d20/d .functor XOR 1, L_0x1e43570, L_0x1e436a0, C4<0>, C4<0>; +L_0x1e42d20 .delay 1 (50000,50000,50000) L_0x1e42d20/d; +L_0x1e42e80/d .functor AND 1, L_0x1e43570, L_0x1e436a0, C4<1>, C4<1>; +L_0x1e42e80 .delay 1 (50000,50000,50000) L_0x1e42e80/d; +v0x1e3dc90_0 .net "a", 0 0, L_0x1e43570; alias, 1 drivers +v0x1e3dd70_0 .net "b", 0 0, L_0x1e436a0; alias, 1 drivers +v0x1e3de30_0 .net "carryout", 0 0, L_0x1e42e80; alias, 1 drivers +v0x1e3df00_0 .net "sum", 0 0, L_0x1e42d20; alias, 1 drivers +S_0x1e3e070 .scope module, "a2" "myHalfAdder" 3 25, 3 3 0, S_0x1e3d7b0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e42fe0/d .functor XOR 1, L_0x1e42d20, L_0x1e428d0, C4<0>, C4<0>; +L_0x1e42fe0 .delay 1 (50000,50000,50000) L_0x1e42fe0/d; +L_0x1e431d0/d .functor AND 1, L_0x1e42d20, L_0x1e428d0, C4<1>, C4<1>; +L_0x1e431d0 .delay 1 (50000,50000,50000) L_0x1e431d0/d; +v0x1e3e2d0_0 .net "a", 0 0, L_0x1e42d20; alias, 1 drivers +v0x1e3e3a0_0 .net "b", 0 0, L_0x1e428d0; alias, 1 drivers +v0x1e3e470_0 .net "carryout", 0 0, L_0x1e431d0; alias, 1 drivers +v0x1e3e540_0 .net "sum", 0 0, L_0x1e42fe0; alias, 1 drivers +S_0x1e3ed40 .scope module, "a2" "myFullAdder" 3 44, 3 13 0, S_0x171a0c0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0x1e43de0/d .functor OR 1, L_0x1e43890, L_0x1e43c30, C4<0>, C4<0>; +L_0x1e43de0 .delay 1 (50000,50000,50000) L_0x1e43de0/d; +v0x1e3fbe0_0 .net "a", 0 0, L_0x1e43fd0; 1 drivers +v0x1e3fca0_0 .net "b", 0 0, L_0x1e44190; 1 drivers +v0x1e3fd70_0 .net "c1", 0 0, L_0x1e43890; 1 drivers +v0x1e3fe70_0 .net "c2", 0 0, L_0x1e43c30; 1 drivers +v0x1e3ff40_0 .net "carryin", 0 0, L_0x1e43380; alias, 1 drivers +v0x1e40080_0 .net "carryout", 0 0, L_0x1e43de0; alias, 1 drivers +v0x1e40120_0 .net "s1", 0 0, L_0x1e437d0; 1 drivers +v0x1e40210_0 .net "sum", 0 0, L_0x1e43a40; 1 drivers +S_0x1e3ef90 .scope module, "a1" "myHalfAdder" 3 24, 3 3 0, S_0x1e3ed40; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e437d0/d .functor XOR 1, L_0x1e43fd0, L_0x1e44190, C4<0>, C4<0>; +L_0x1e437d0 .delay 1 (50000,50000,50000) L_0x1e437d0/d; +L_0x1e43890/d .functor AND 1, L_0x1e43fd0, L_0x1e44190, C4<1>, C4<1>; +L_0x1e43890 .delay 1 (50000,50000,50000) L_0x1e43890/d; +v0x1e3f200_0 .net "a", 0 0, L_0x1e43fd0; alias, 1 drivers +v0x1e3f2e0_0 .net "b", 0 0, L_0x1e44190; alias, 1 drivers +v0x1e3f3a0_0 .net "carryout", 0 0, L_0x1e43890; alias, 1 drivers +v0x1e3f470_0 .net "sum", 0 0, L_0x1e437d0; alias, 1 drivers +S_0x1e3f5e0 .scope module, "a2" "myHalfAdder" 3 25, 3 3 0, S_0x1e3ed40; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e43a40/d .functor XOR 1, L_0x1e437d0, L_0x1e43380, C4<0>, C4<0>; +L_0x1e43a40 .delay 1 (50000,50000,50000) L_0x1e43a40/d; +L_0x1e43c30/d .functor AND 1, L_0x1e437d0, L_0x1e43380, C4<1>, C4<1>; +L_0x1e43c30 .delay 1 (50000,50000,50000) L_0x1e43c30/d; +v0x1e3f840_0 .net "a", 0 0, L_0x1e437d0; alias, 1 drivers +v0x1e3f910_0 .net "b", 0 0, L_0x1e43380; alias, 1 drivers +v0x1e3f9e0_0 .net "carryout", 0 0, L_0x1e43c30; alias, 1 drivers +v0x1e3fab0_0 .net "sum", 0 0, L_0x1e43a40; alias, 1 drivers +S_0x1e402b0 .scope module, "a3" "myFullAdder" 3 45, 3 13 0, S_0x171a0c0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0x1e448d0/d .functor OR 1, L_0x1e44400, L_0x1e44720, C4<0>, C4<0>; +L_0x1e448d0 .delay 1 (50000,50000,50000) L_0x1e448d0/d; +v0x1e41140_0 .net "a", 0 0, L_0x1e44be0; 1 drivers +v0x1e41200_0 .net "b", 0 0, L_0x1e44d10; 1 drivers +v0x1e412d0_0 .net "c1", 0 0, L_0x1e44400; 1 drivers +v0x1e413d0_0 .net "c2", 0 0, L_0x1e44720; 1 drivers +v0x1e414a0_0 .net "carryin", 0 0, L_0x1e43de0; alias, 1 drivers +v0x1e415e0_0 .net "carryout", 0 0, L_0x1e448d0; alias, 1 drivers +v0x1e41680_0 .net "s1", 0 0, L_0x1e44390; 1 drivers +v0x1e41770_0 .net "sum", 0 0, L_0x1e44530; 1 drivers +S_0x1e40500 .scope module, "a1" "myHalfAdder" 3 24, 3 3 0, S_0x1e402b0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e44390/d .functor XOR 1, L_0x1e44be0, L_0x1e44d10, C4<0>, C4<0>; +L_0x1e44390 .delay 1 (50000,50000,50000) L_0x1e44390/d; +L_0x1e44400/d .functor AND 1, L_0x1e44be0, L_0x1e44d10, C4<1>, C4<1>; +L_0x1e44400 .delay 1 (50000,50000,50000) L_0x1e44400/d; +v0x1e40760_0 .net "a", 0 0, L_0x1e44be0; alias, 1 drivers +v0x1e40840_0 .net "b", 0 0, L_0x1e44d10; alias, 1 drivers +v0x1e40900_0 .net "carryout", 0 0, L_0x1e44400; alias, 1 drivers +v0x1e409d0_0 .net "sum", 0 0, L_0x1e44390; alias, 1 drivers +S_0x1e40b40 .scope module, "a2" "myHalfAdder" 3 25, 3 3 0, S_0x1e402b0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" +L_0x1e44530/d .functor XOR 1, L_0x1e44390, L_0x1e43de0, C4<0>, C4<0>; +L_0x1e44530 .delay 1 (50000,50000,50000) L_0x1e44530/d; +L_0x1e44720/d .functor AND 1, L_0x1e44390, L_0x1e43de0, C4<1>, C4<1>; +L_0x1e44720 .delay 1 (50000,50000,50000) L_0x1e44720/d; +v0x1e40da0_0 .net "a", 0 0, L_0x1e44390; alias, 1 drivers +v0x1e40e70_0 .net "b", 0 0, L_0x1e43de0; alias, 1 drivers +v0x1e40f40_0 .net "carryout", 0 0, L_0x1e44720; alias, 1 drivers +v0x1e41010_0 .net "sum", 0 0, L_0x1e44530; alias, 1 drivers + .scope S_0x17186b0; +T_0 ; + %vpi_call 2 20 "$dumpfile", "adder.vcd" {0 0 0}; + %vpi_call 2 21 "$dumpvars", 32'sb00000000000000000000000000000000, S_0x17186b0 {0 0 0}; + %vpi_call 4 1 "$display", "a[3] | a[2] | a[1] | a[0] | b[3] | b[2] | b[1] | b[0] | sum[3] | sum[3] exp | sum[2] | sum[2] exp | sum[1] | sum[1] exp | sum[0] | sum[0] exp | carryout | carryout exp | overflow | overflow exp | " {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 3 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 5 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 7 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 9 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 11 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 13 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 15 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 17 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 19 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 21 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 23 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 25 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 27 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 29 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 31 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 33 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 35 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 37 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 39 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 41 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 43 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 45 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 47 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 49 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 51 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 53 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 55 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 57 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 59 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 61 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 63 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 65 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 67 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 69 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 71 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 73 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 75 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 77 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 79 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 81 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 83 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 85 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 87 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 89 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 91 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 93 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 95 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 97 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 99 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 101 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 103 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 105 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 107 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 109 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 111 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 113 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 115 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 117 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 119 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 121 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 123 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 125 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 127 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 129 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 131 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 133 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 135 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 137 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 139 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 141 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 143 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 145 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 147 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 149 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 151 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 153 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 155 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 157 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 159 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 161 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 163 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 165 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 167 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 169 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 171 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 173 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 175 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 177 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 179 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 181 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 183 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 185 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 187 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 189 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 191 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 193 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 195 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 197 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 199 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 201 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 203 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 205 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 207 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 209 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 211 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 213 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 215 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 217 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 219 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 221 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 223 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 225 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 227 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 229 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 231 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 233 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 235 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 237 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 239 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 241 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 243 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 245 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 247 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 249 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 251 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 253 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 255 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 257 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 259 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 261 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 263 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 265 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 267 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 269 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 271 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 273 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 275 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 277 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 279 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 281 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 283 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 285 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 287 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 289 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 291 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 293 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 295 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 297 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 299 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 301 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 303 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 305 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 307 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 309 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 311 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 313 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 315 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 317 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 319 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 321 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 323 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 325 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 327 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 329 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 331 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 333 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 335 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 337 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 339 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 341 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 343 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 345 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 347 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 349 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 351 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 353 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 355 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 357 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 359 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 361 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 363 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 365 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 367 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 369 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 371 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 373 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 375 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 377 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 379 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 381 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 383 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 385 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 387 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 389 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 391 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 393 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 395 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 397 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 399 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 401 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 403 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 405 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 407 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 409 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 411 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 413 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 415 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 417 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 419 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 421 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 423 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 425 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 427 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 429 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 431 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 433 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 435 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 437 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 439 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 441 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 443 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 445 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 447 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 449 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 451 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 453 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 455 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 457 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 459 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 461 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 463 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 465 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 467 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 469 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 471 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 473 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 475 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 477 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 479 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 481 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 483 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "0", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 485 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 487 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 489 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 491 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 493 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 495 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 497 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 499 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "0", &PV, "1", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "1" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 501 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 503 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 505 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 507 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "0", &PV, "1", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 509 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 0, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 511 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "0", &PV, "1", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42370_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 3, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 2, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %pushi/vec4 1, 0, 1; + %ix/load 4, 0, 0; + %flag_set/imm 4, 0; + %store/vec4 v0x1e42450_0, 4, 1; + %delay 10000000, 0; + %vpi_call 4 513 "$display", "%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, &PV, "1", &PV, "1", &PV, "1", &PV, "0", v0x1e42520_0, "1", v0x1e425f0_0, "0" {0 0 0}; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 5; + "N/A"; + ""; + "adder.t.v"; + "./adder.v"; + "./test.v"; diff --git a/adder.vcd b/adder.vcd new file mode 100644 index 0000000..2db45b1 --- /dev/null +++ b/adder.vcd @@ -0,0 +1,5305 @@ +$date + Tue Sep 26 15:55:25 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testFullAdder $end +$var wire 4 ! sum [3:0] $end +$var wire 1 " overflow $end +$var wire 1 # carryout $end +$var reg 4 $ a [3:0] $end +$var reg 4 % b [3:0] $end +$scope module dut $end +$var wire 4 & a [3:0] $end +$var wire 4 ' b [3:0] $end +$var wire 1 " overflow $end +$var wire 1 ( w0 $end +$var wire 1 ) w1 $end +$var wire 4 * sum [3:0] $end +$var wire 1 # carryout $end +$var wire 1 + c2 $end +$var wire 1 , c1 $end +$var wire 1 - c0 $end +$scope module a0 $end +$var wire 1 . a $end +$var wire 1 / b $end +$var wire 1 - carryout $end +$var wire 1 0 sum $end +$upscope $end +$scope module a1 $end +$var wire 1 1 a $end +$var wire 1 2 b $end +$var wire 1 - carryin $end +$var wire 1 , carryout $end +$var wire 1 3 sum $end +$var wire 1 4 s1 $end +$var wire 1 5 c2 $end +$var wire 1 6 c1 $end +$scope module a1 $end +$var wire 1 1 a $end +$var wire 1 2 b $end +$var wire 1 6 carryout $end +$var wire 1 4 sum $end +$upscope $end +$scope module a2 $end +$var wire 1 4 a $end +$var wire 1 - b $end +$var wire 1 5 carryout $end +$var wire 1 3 sum $end +$upscope $end +$upscope $end +$scope module a2 $end +$var wire 1 7 a $end +$var wire 1 8 b $end +$var wire 1 , carryin $end +$var wire 1 + carryout $end +$var wire 1 9 sum $end +$var wire 1 : s1 $end +$var wire 1 ; c2 $end +$var wire 1 < c1 $end +$scope module a1 $end +$var wire 1 7 a $end +$var wire 1 8 b $end +$var wire 1 < carryout $end +$var wire 1 : sum $end +$upscope $end +$scope module a2 $end +$var wire 1 : a $end +$var wire 1 , b $end +$var wire 1 ; carryout $end +$var wire 1 9 sum $end +$upscope $end +$upscope $end +$scope module a3 $end +$var wire 1 = a $end +$var wire 1 > b $end +$var wire 1 + carryin $end +$var wire 1 # carryout $end +$var wire 1 ? sum $end +$var wire 1 @ s1 $end +$var wire 1 A c2 $end +$var wire 1 B c1 $end +$scope module a1 $end +$var wire 1 = a $end +$var wire 1 > b $end +$var wire 1 B carryout $end +$var wire 1 @ sum $end +$upscope $end +$scope module a2 $end +$var wire 1 @ a $end +$var wire 1 + b $end +$var wire 1 A carryout $end +$var wire 1 ? sum $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +xB +xA +x@ +x? +0> +0= +x< +x; +x: +x9 +08 +07 +x6 +x5 +x4 +x3 +02 +01 +x0 +0/ +0. +x- +x, +x+ +bx * +x) +x( +b0 ' +b0 & +b0 % +b0 $ +x# +x" +bx ! +$end +#50000 +bx0 ! +bx0 * +00 +0- +04 +06 +0: +0< +0@ +0B +1) +#100000 +bx00 ! +bx00 * +03 +05 +0; +0A +#150000 +0, +0+ +0# +#200000 +09 +b0 ! +b0 * +0? +#250000 +0( +#300000 +0" +#10000000 +1/ +b1 % +b1 ' +#10050000 +b1 ! +b1 * +10 +#20000000 +0/ +12 +b10 % +b10 ' +#20050000 +b0 ! +b0 * +00 +14 +#20100000 +b10 ! +b10 * +13 +#30000000 +1/ +b11 % +b11 ' +#30050000 +b11 ! +b11 * +10 +#40000000 +0/ +02 +18 +b100 % +b100 ' +#40050000 +b10 ! +b10 * +00 +04 +1: +#40100000 +03 +b100 ! +b100 * +19 +#50000000 +1/ +b101 % +b101 ' +#50050000 +b101 ! +b101 * +10 +#60000000 +0/ +12 +b110 % +b110 ' +#60050000 +b100 ! +b100 * +00 +14 +#60100000 +b110 ! +b110 * +13 +#70000000 +1/ +b111 % +b111 ' +#70050000 +b111 ! +b111 * +10 +#80000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#80050000 +b110 ! +b110 * +00 +04 +0: +1@ +0) +#80100000 +03 +09 +b1000 ! +b1000 * +1? +#80150000 +1( +#90000000 +1/ +b1001 % +b1001 ' +#90050000 +b1001 ! +b1001 * +10 +#100000000 +0/ +12 +b1010 % +b1010 ' +#100050000 +b1000 ! +b1000 * +00 +14 +#100100000 +b1010 ! +b1010 * +13 +#110000000 +1/ +b1011 % +b1011 ' +#110050000 +b1011 ! +b1011 * +10 +#120000000 +0/ +02 +18 +b1100 % +b1100 ' +#120050000 +b1010 ! +b1010 * +00 +04 +1: +#120100000 +03 +b1100 ! +b1100 * +19 +#130000000 +1/ +b1101 % +b1101 ' +#130050000 +b1101 ! +b1101 * +10 +#140000000 +0/ +12 +b1110 % +b1110 ' +#140050000 +b1100 ! +b1100 * +00 +14 +#140100000 +b1110 ! +b1110 * +13 +#150000000 +1/ +b1111 % +b1111 ' +#150050000 +b1111 ! +b1111 * +10 +#160000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b1 $ +b1 & +#160050000 +04 +0: +0@ +1) +#160100000 +03 +09 +b1 ! +b1 * +0? +1" +#160150000 +0( +#160200000 +0" +#170000000 +1/ +b1 % +b1 ' +#170050000 +b0 ! +b0 * +00 +1- +#170100000 +b10 ! +b10 * +13 +#180000000 +0/ +12 +b10 % +b10 ' +#180050000 +b11 ! +b11 * +10 +0- +14 +#190000000 +1/ +b11 % +b11 ' +#190050000 +b10 ! +b10 * +00 +1- +#190100000 +b0 ! +b0 * +03 +15 +#190150000 +1, +#190200000 +b100 ! +b100 * +19 +#200000000 +0/ +02 +18 +b100 % +b100 ' +#200050000 +b101 ! +b101 * +10 +0- +04 +1: +#200100000 +05 +b1 ! +b1 * +09 +1; +#200150000 +0, +1+ +#200200000 +19 +0; +b1101 ! +b1101 * +1? +#200250000 +1( +0+ +#200300000 +1" +b101 ! +b101 * +0? +#200350000 +0( +#200400000 +0" +#210000000 +1/ +b101 % +b101 ' +#210050000 +b100 ! +b100 * +00 +1- +#210100000 +b110 ! +b110 * +13 +#220000000 +0/ +12 +b110 % +b110 ' +#220050000 +b111 ! +b111 * +10 +0- +14 +#230000000 +1/ +b111 % +b111 ' +#230050000 +b110 ! +b110 * +00 +1- +#230100000 +b100 ! +b100 * +03 +15 +#230150000 +1, +#230200000 +b0 ! +b0 * +09 +1; +#230250000 +1+ +#230300000 +b1000 ! +b1000 * +1? +#230350000 +1( +#230400000 +1" +#240000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#240050000 +b1001 ! +b1001 * +10 +0- +04 +0: +1@ +0) +#240100000 +05 +19 +0; +b101 ! +b101 * +0? +1A +0" +#240150000 +0( +0, +0+ +1# +#240200000 +09 +b1001 ! +b1001 * +1? +0A +1( +#240250000 +0( +0# +#240300000 +1( +#250000000 +1/ +b1001 % +b1001 ' +#250050000 +b1000 ! +b1000 * +00 +1- +#250100000 +b1010 ! +b1010 * +13 +#260000000 +0/ +12 +b1010 % +b1010 ' +#260050000 +b1011 ! +b1011 * +10 +0- +14 +#270000000 +1/ +b1011 % +b1011 ' +#270050000 +b1010 ! +b1010 * +00 +1- +#270100000 +b1000 ! +b1000 * +03 +15 +#270150000 +1, +#270200000 +b1100 ! +b1100 * +19 +#280000000 +0/ +02 +18 +b1100 % +b1100 ' +#280050000 +b1101 ! +b1101 * +10 +0- +04 +1: +#280100000 +05 +b1001 ! +b1001 * +09 +1; +#280150000 +0, +1+ +#280200000 +19 +0; +b101 ! +b101 * +0? +1A +#280250000 +0( +0+ +1# +#280300000 +b1101 ! +b1101 * +1? +0A +1( +#280350000 +0( +0# +#280400000 +1( +#290000000 +1/ +b1101 % +b1101 ' +#290050000 +b1100 ! +b1100 * +00 +1- +#290100000 +b1110 ! +b1110 * +13 +#300000000 +0/ +12 +b1110 % +b1110 ' +#300050000 +b1111 ! +b1111 * +10 +0- +14 +#310000000 +1/ +b1111 % +b1111 ' +#310050000 +b1110 ! +b1110 * +00 +1- +#310100000 +b1100 ! +b1100 * +03 +15 +#310150000 +1, +#310200000 +b1000 ! +b1000 * +09 +1; +#310250000 +1+ +#310300000 +b0 ! +b0 * +0? +1A +#310350000 +0( +1# +#310400000 +1( +#320000000 +0/ +02 +08 +0> +0. +11 +b0 % +b0 ' +b10 $ +b10 & +#320050000 +0: +0@ +1) +0- +#320100000 +19 +0; +1? +0A +1" +b1110 ! +b1110 * +13 +05 +#320150000 +0( +0+ +0# +0, +#320200000 +0" +0? +1( +b10 ! +b10 * +09 +#320250000 +0( +1" +#320300000 +0" +#330000000 +1/ +b1 % +b1 ' +#330050000 +b11 ! +b11 * +10 +#340000000 +0/ +12 +b10 % +b10 ' +#340050000 +b10 ! +b10 * +00 +04 +16 +#340100000 +b0 ! +b0 * +03 +1, +#340150000 +b100 ! +b100 * +19 +#350000000 +1/ +b11 % +b11 ' +#350050000 +b101 ! +b101 * +10 +#360000000 +0/ +02 +18 +b100 % +b100 ' +#360050000 +b100 ! +b100 * +00 +14 +06 +1: +#360100000 +13 +0, +b10 ! +b10 * +09 +1; +#360150000 +b110 ! +b110 * +19 +0; +1+ +#360200000 +0+ +b1110 ! +b1110 * +1? +#360250000 +1( +b110 ! +b110 * +0? +#360300000 +0( +1" +#360350000 +0" +#370000000 +1/ +b101 % +b101 ' +#370050000 +b111 ! +b111 * +10 +#380000000 +0/ +12 +b110 % +b110 ' +#380050000 +b110 ! +b110 * +00 +04 +16 +#380100000 +b100 ! +b100 * +03 +1, +#380150000 +b0 ! +b0 * +09 +1; +#380200000 +1+ +#380250000 +b1000 ! +b1000 * +1? +#380300000 +1( +#380350000 +1" +#390000000 +1/ +b111 % +b111 ' +#390050000 +b1001 ! +b1001 * +10 +#400000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#400050000 +b1000 ! +b1000 * +00 +14 +06 +0: +1@ +0) +#400100000 +13 +0, +19 +0; +b110 ! +b110 * +0? +1A +0" +#400150000 +0( +b10 ! +b10 * +09 +0+ +1# +#400200000 +b1010 ! +b1010 * +1? +0A +1( +#400250000 +0( +0# +#400300000 +1( +#410000000 +1/ +b1001 % +b1001 ' +#410050000 +b1011 ! +b1011 * +10 +#420000000 +0/ +12 +b1010 % +b1010 ' +#420050000 +b1010 ! +b1010 * +00 +04 +16 +#420100000 +b1000 ! +b1000 * +03 +1, +#420150000 +b1100 ! +b1100 * +19 +#430000000 +1/ +b1011 % +b1011 ' +#430050000 +b1101 ! +b1101 * +10 +#440000000 +0/ +02 +18 +b1100 % +b1100 ' +#440050000 +b1100 ! +b1100 * +00 +14 +06 +1: +#440100000 +13 +0, +b1010 ! +b1010 * +09 +1; +#440150000 +b1110 ! +b1110 * +19 +0; +1+ +#440200000 +0+ +b110 ! +b110 * +0? +1A +#440250000 +0( +b1110 ! +b1110 * +1? +0A +1# +#440300000 +0# +#440350000 +1( +#450000000 +1/ +b1101 % +b1101 ' +#450050000 +b1111 ! +b1111 * +10 +#460000000 +0/ +12 +b1110 % +b1110 ' +#460050000 +b1110 ! +b1110 * +00 +04 +16 +#460100000 +b1100 ! +b1100 * +03 +1, +#460150000 +b1000 ! +b1000 * +09 +1; +#460200000 +1+ +#460250000 +b0 ! +b0 * +0? +1A +#460300000 +0( +1# +#460350000 +1( +#470000000 +1/ +b1111 % +b1111 ' +#470050000 +b1 ! +b1 * +10 +#480000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b11 $ +b11 & +#480050000 +14 +06 +0: +0@ +1) +#480100000 +13 +0, +19 +0; +b1111 ! +b1111 * +1? +0A +1" +#480150000 +0( +b1011 ! +b1011 * +09 +0+ +0# +#480200000 +0" +b11 ! +b11 * +0? +1( +#480250000 +0( +1" +#480300000 +0" +#490000000 +1/ +b1 % +b1 ' +#490050000 +b10 ! +b10 * +00 +1- +#490100000 +b0 ! +b0 * +03 +15 +#490150000 +1, +#490200000 +b100 ! +b100 * +19 +#500000000 +0/ +12 +b10 % +b10 ' +#500050000 +b101 ! +b101 * +10 +0- +04 +16 +#500100000 +05 +#510000000 +1/ +b11 % +b11 ' +#510050000 +b100 ! +b100 * +00 +1- +#510100000 +b110 ! +b110 * +13 +#520000000 +0/ +02 +18 +b100 % +b100 ' +#520050000 +b111 ! +b111 * +10 +0- +14 +06 +1: +#520100000 +0, +b11 ! +b11 * +09 +1; +#520150000 +b111 ! +b111 * +19 +0; +1+ +#520200000 +0+ +b1111 ! +b1111 * +1? +#520250000 +1( +b111 ! +b111 * +0? +#520300000 +0( +1" +#520350000 +0" +#530000000 +1/ +b101 % +b101 ' +#530050000 +b110 ! +b110 * +00 +1- +#530100000 +b100 ! +b100 * +03 +15 +#530150000 +1, +#530200000 +b0 ! +b0 * +09 +1; +#530250000 +1+ +#530300000 +b1000 ! +b1000 * +1? +#530350000 +1( +#530400000 +1" +#540000000 +0/ +12 +b110 % +b110 ' +#540050000 +b1001 ! +b1001 * +10 +0- +04 +16 +#540100000 +05 +#550000000 +1/ +b111 % +b111 ' +#550050000 +b1000 ! +b1000 * +00 +1- +#550100000 +b1010 ! +b1010 * +13 +#560000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#560050000 +b1011 ! +b1011 * +10 +0- +14 +06 +0: +1@ +0) +#560100000 +0, +19 +0; +b111 ! +b111 * +0? +1A +0" +#560150000 +0( +b11 ! +b11 * +09 +0+ +1# +#560200000 +b1011 ! +b1011 * +1? +0A +1( +#560250000 +0( +0# +#560300000 +1( +#570000000 +1/ +b1001 % +b1001 ' +#570050000 +b1010 ! +b1010 * +00 +1- +#570100000 +b1000 ! +b1000 * +03 +15 +#570150000 +1, +#570200000 +b1100 ! +b1100 * +19 +#580000000 +0/ +12 +b1010 % +b1010 ' +#580050000 +b1101 ! +b1101 * +10 +0- +04 +16 +#580100000 +05 +#590000000 +1/ +b1011 % +b1011 ' +#590050000 +b1100 ! +b1100 * +00 +1- +#590100000 +b1110 ! +b1110 * +13 +#600000000 +0/ +02 +18 +b1100 % +b1100 ' +#600050000 +b1111 ! +b1111 * +10 +0- +14 +06 +1: +#600100000 +0, +b1011 ! +b1011 * +09 +1; +#600150000 +b1111 ! +b1111 * +19 +0; +1+ +#600200000 +0+ +b111 ! +b111 * +0? +1A +#600250000 +0( +b1111 ! +b1111 * +1? +0A +1# +#600300000 +0# +#600350000 +1( +#610000000 +1/ +b1101 % +b1101 ' +#610050000 +b1110 ! +b1110 * +00 +1- +#610100000 +b1100 ! +b1100 * +03 +15 +#610150000 +1, +#610200000 +b1000 ! +b1000 * +09 +1; +#610250000 +1+ +#610300000 +b0 ! +b0 * +0? +1A +#610350000 +0( +1# +#610400000 +1( +#620000000 +0/ +12 +b1110 % +b1110 ' +#620050000 +b1 ! +b1 * +10 +0- +04 +16 +#620100000 +05 +#630000000 +1/ +b1111 % +b1111 ' +#630050000 +b0 ! +b0 * +00 +1- +#630100000 +b10 ! +b10 * +13 +#640000000 +0/ +02 +08 +0> +0. +01 +17 +b0 % +b0 ' +b100 $ +b100 & +#640050000 +0@ +1) +0- +06 +#640100000 +1? +0A +1" +b1000 ! +b1000 * +03 +0, +#640150000 +0( +0# +b1100 ! +b1100 * +19 +0; +#640200000 +0" +1( +0+ +#640250000 +1" +b100 ! +b100 * +0? +#640300000 +0( +#640350000 +0" +#650000000 +1/ +b1 % +b1 ' +#650050000 +b101 ! +b101 * +10 +#660000000 +0/ +12 +b10 % +b10 ' +#660050000 +b100 ! +b100 * +00 +14 +#660100000 +b110 ! +b110 * +13 +#670000000 +1/ +b11 % +b11 ' +#670050000 +b111 ! +b111 * +10 +#680000000 +0/ +02 +18 +b100 % +b100 ' +#680050000 +b110 ! +b110 * +00 +04 +0: +1< +#680100000 +03 +b0 ! +b0 * +09 +1+ +#680150000 +b1000 ! +b1000 * +1? +#680200000 +1( +#680250000 +1" +#690000000 +1/ +b101 % +b101 ' +#690050000 +b1001 ! +b1001 * +10 +#700000000 +0/ +12 +b110 % +b110 ' +#700050000 +b1000 ! +b1000 * +00 +14 +#700100000 +b1010 ! +b1010 * +13 +#710000000 +1/ +b111 % +b111 ' +#710050000 +b1011 ! +b1011 * +10 +#720000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#720050000 +b1010 ! +b1010 * +00 +04 +1: +0< +1@ +0) +#720100000 +03 +19 +0+ +b100 ! +b100 * +0? +1A +0" +#720150000 +0( +b1100 ! +b1100 * +1? +0A +1# +#720200000 +0# +#720250000 +1( +#730000000 +1/ +b1001 % +b1001 ' +#730050000 +b1101 ! +b1101 * +10 +#740000000 +0/ +12 +b1010 % +b1010 ' +#740050000 +b1100 ! +b1100 * +00 +14 +#740100000 +b1110 ! +b1110 * +13 +#750000000 +1/ +b1011 % +b1011 ' +#750050000 +b1111 ! +b1111 * +10 +#760000000 +0/ +02 +18 +b1100 % +b1100 ' +#760050000 +b1110 ! +b1110 * +00 +04 +0: +1< +#760100000 +03 +b1000 ! +b1000 * +09 +1+ +#760150000 +b0 ! +b0 * +0? +1A +#760200000 +0( +1# +#760250000 +1( +#770000000 +1/ +b1101 % +b1101 ' +#770050000 +b1 ! +b1 * +10 +#780000000 +0/ +12 +b1110 % +b1110 ' +#780050000 +b0 ! +b0 * +00 +14 +#780100000 +b10 ! +b10 * +13 +#790000000 +1/ +b1111 % +b1111 ' +#790050000 +b11 ! +b11 * +10 +#800000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b101 $ +b101 & +#800050000 +04 +1: +0< +0@ +1) +#800100000 +03 +19 +0+ +b1101 ! +b1101 * +1? +0A +1" +#800150000 +0( +b101 ! +b101 * +0? +0# +#800200000 +0" +#810000000 +1/ +b1 % +b1 ' +#810050000 +b100 ! +b100 * +00 +1- +#810100000 +b110 ! +b110 * +13 +#820000000 +0/ +12 +b10 % +b10 ' +#820050000 +b111 ! +b111 * +10 +0- +14 +#830000000 +1/ +b11 % +b11 ' +#830050000 +b110 ! +b110 * +00 +1- +#830100000 +b100 ! +b100 * +03 +15 +#830150000 +1, +#830200000 +b0 ! +b0 * +09 +1; +#830250000 +1+ +#830300000 +b1000 ! +b1000 * +1? +#830350000 +1( +#830400000 +1" +#840000000 +0/ +02 +18 +b100 % +b100 ' +#840050000 +b1001 ! +b1001 * +10 +0- +04 +0: +1< +#840100000 +05 +b1101 ! +b1101 * +19 +0; +#840150000 +0, +#840200000 +b1001 ! +b1001 * +09 +#850000000 +1/ +b101 % +b101 ' +#850050000 +b1000 ! +b1000 * +00 +1- +#850100000 +b1010 ! +b1010 * +13 +#860000000 +0/ +12 +b110 % +b110 ' +#860050000 +b1011 ! +b1011 * +10 +0- +14 +#870000000 +1/ +b111 % +b111 ' +#870050000 +b1010 ! +b1010 * +00 +1- +#870100000 +b1000 ! +b1000 * +03 +15 +#870150000 +1, +#870200000 +b1100 ! +b1100 * +19 +#880000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#880050000 +b1101 ! +b1101 * +10 +0- +04 +1: +0< +1@ +0) +#880100000 +05 +09 +1; +0+ +b1 ! +b1 * +0? +1A +0" +#880150000 +0( +0, +1+ +b1001 ! +b1001 * +1? +0A +1# +#880200000 +19 +0; +b101 ! +b101 * +0? +1A +0# +#880250000 +0+ +1# +#880300000 +b1101 ! +b1101 * +1? +0A +1( +#880350000 +0( +0# +#880400000 +1( +#890000000 +1/ +b1001 % +b1001 ' +#890050000 +b1100 ! +b1100 * +00 +1- +#890100000 +b1110 ! +b1110 * +13 +#900000000 +0/ +12 +b1010 % +b1010 ' +#900050000 +b1111 ! +b1111 * +10 +0- +14 +#910000000 +1/ +b1011 % +b1011 ' +#910050000 +b1110 ! +b1110 * +00 +1- +#910100000 +b1100 ! +b1100 * +03 +15 +#910150000 +1, +#910200000 +b1000 ! +b1000 * +09 +1; +#910250000 +1+ +#910300000 +b0 ! +b0 * +0? +1A +#910350000 +0( +1# +#910400000 +1( +#920000000 +0/ +02 +18 +b1100 % +b1100 ' +#920050000 +b1 ! +b1 * +10 +0- +04 +0: +1< +#920100000 +05 +b101 ! +b101 * +19 +0; +#920150000 +0, +#920200000 +b1 ! +b1 * +09 +#930000000 +1/ +b1101 % +b1101 ' +#930050000 +b0 ! +b0 * +00 +1- +#930100000 +b10 ! +b10 * +13 +#940000000 +0/ +12 +b1110 % +b1110 ' +#940050000 +b11 ! +b11 * +10 +0- +14 +#950000000 +1/ +b1111 % +b1111 ' +#950050000 +b10 ! +b10 * +00 +1- +#950100000 +b0 ! +b0 * +03 +15 +#950150000 +1, +#950200000 +b100 ! +b100 * +19 +#960000000 +0/ +02 +08 +0> +0. +11 +b0 % +b0 ' +b110 $ +b110 & +#960050000 +1: +0< +0@ +1) +0- +#960100000 +09 +1; +0+ +1? +0A +1" +b1010 ! +b1010 * +13 +05 +#960150000 +0( +1+ +b10 ! +b10 * +0? +0# +0, +#960200000 +0" +1? +b1110 ! +b1110 * +19 +0; +#960250000 +1( +0+ +#960300000 +1" +b110 ! +b110 * +0? +#960350000 +0( +#960400000 +0" +#970000000 +1/ +b1 % +b1 ' +#970050000 +b111 ! +b111 * +10 +#980000000 +0/ +12 +b10 % +b10 ' +#980050000 +b110 ! +b110 * +00 +04 +16 +#980100000 +b100 ! +b100 * +03 +1, +#980150000 +b0 ! +b0 * +09 +1; +#980200000 +1+ +#980250000 +b1000 ! +b1000 * +1? +#980300000 +1( +#980350000 +1" +#990000000 +1/ +b11 % +b11 ' +#990050000 +b1001 ! +b1001 * +10 +#1000000000 +0/ +02 +18 +b100 % +b100 ' +#1000050000 +b1000 ! +b1000 * +00 +14 +06 +0: +1< +#1000100000 +13 +0, +b1110 ! +b1110 * +19 +0; +#1000150000 +b1010 ! +b1010 * +09 +#1010000000 +1/ +b101 % +b101 ' +#1010050000 +b1011 ! +b1011 * +10 +#1020000000 +0/ +12 +b110 % +b110 ' +#1020050000 +b1010 ! +b1010 * +00 +04 +16 +#1020100000 +b1000 ! +b1000 * +03 +1, +#1020150000 +b1100 ! +b1100 * +19 +#1030000000 +1/ +b111 % +b111 ' +#1030050000 +b1101 ! +b1101 * +10 +#1040000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1040050000 +b1100 ! +b1100 * +00 +14 +06 +1: +0< +1@ +0) +#1040100000 +13 +0, +09 +1; +0+ +b10 ! +b10 * +0? +1A +0" +#1040150000 +0( +19 +0; +1+ +b1110 ! +b1110 * +1? +0A +1# +#1040200000 +0+ +b110 ! +b110 * +0? +1A +0# +#1040250000 +b1110 ! +b1110 * +1? +0A +1# +#1040300000 +0# +#1040350000 +1( +#1050000000 +1/ +b1001 % +b1001 ' +#1050050000 +b1111 ! +b1111 * +10 +#1060000000 +0/ +12 +b1010 % +b1010 ' +#1060050000 +b1110 ! +b1110 * +00 +04 +16 +#1060100000 +b1100 ! +b1100 * +03 +1, +#1060150000 +b1000 ! +b1000 * +09 +1; +#1060200000 +1+ +#1060250000 +b0 ! +b0 * +0? +1A +#1060300000 +0( +1# +#1060350000 +1( +#1070000000 +1/ +b1011 % +b1011 ' +#1070050000 +b1 ! +b1 * +10 +#1080000000 +0/ +02 +18 +b1100 % +b1100 ' +#1080050000 +b0 ! +b0 * +00 +14 +06 +0: +1< +#1080100000 +13 +0, +b110 ! +b110 * +19 +0; +#1080150000 +b10 ! +b10 * +09 +#1090000000 +1/ +b1101 % +b1101 ' +#1090050000 +b11 ! +b11 * +10 +#1100000000 +0/ +12 +b1110 % +b1110 ' +#1100050000 +b10 ! +b10 * +00 +04 +16 +#1100100000 +b0 ! +b0 * +03 +1, +#1100150000 +b100 ! +b100 * +19 +#1110000000 +1/ +b1111 % +b1111 ' +#1110050000 +b101 ! +b101 * +10 +#1120000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b111 $ +b111 & +#1120050000 +14 +06 +1: +0< +0@ +1) +#1120100000 +13 +0, +09 +1; +0+ +b1011 ! +b1011 * +1? +0A +1" +#1120150000 +0( +19 +0; +1+ +b111 ! +b111 * +0? +0# +#1120200000 +0" +0+ +b1111 ! +b1111 * +1? +#1120250000 +1( +b111 ! +b111 * +0? +#1120300000 +0( +1" +#1120350000 +0" +#1130000000 +1/ +b1 % +b1 ' +#1130050000 +b110 ! +b110 * +00 +1- +#1130100000 +b100 ! +b100 * +03 +15 +#1130150000 +1, +#1130200000 +b0 ! +b0 * +09 +1; +#1130250000 +1+ +#1130300000 +b1000 ! +b1000 * +1? +#1130350000 +1( +#1130400000 +1" +#1140000000 +0/ +12 +b10 % +b10 ' +#1140050000 +b1001 ! +b1001 * +10 +0- +04 +16 +#1140100000 +05 +#1150000000 +1/ +b11 % +b11 ' +#1150050000 +b1000 ! +b1000 * +00 +1- +#1150100000 +b1010 ! +b1010 * +13 +#1160000000 +0/ +02 +18 +b100 % +b100 ' +#1160050000 +b1011 ! +b1011 * +10 +0- +14 +06 +0: +1< +#1160100000 +0, +b1111 ! +b1111 * +19 +0; +#1160150000 +b1011 ! +b1011 * +09 +#1170000000 +1/ +b101 % +b101 ' +#1170050000 +b1010 ! +b1010 * +00 +1- +#1170100000 +b1000 ! +b1000 * +03 +15 +#1170150000 +1, +#1170200000 +b1100 ! +b1100 * +19 +#1180000000 +0/ +12 +b110 % +b110 ' +#1180050000 +b1101 ! +b1101 * +10 +0- +04 +16 +#1180100000 +05 +#1190000000 +1/ +b111 % +b111 ' +#1190050000 +b1100 ! +b1100 * +00 +1- +#1190100000 +b1110 ! +b1110 * +13 +#1200000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1200050000 +b1111 ! +b1111 * +10 +0- +14 +06 +1: +0< +1@ +0) +#1200100000 +0, +09 +1; +0+ +b11 ! +b11 * +0? +1A +0" +#1200150000 +0( +19 +0; +1+ +b1111 ! +b1111 * +1? +0A +1# +#1200200000 +0+ +b111 ! +b111 * +0? +1A +0# +#1200250000 +b1111 ! +b1111 * +1? +0A +1# +#1200300000 +0# +#1200350000 +1( +#1210000000 +1/ +b1001 % +b1001 ' +#1210050000 +b1110 ! +b1110 * +00 +1- +#1210100000 +b1100 ! +b1100 * +03 +15 +#1210150000 +1, +#1210200000 +b1000 ! +b1000 * +09 +1; +#1210250000 +1+ +#1210300000 +b0 ! +b0 * +0? +1A +#1210350000 +0( +1# +#1210400000 +1( +#1220000000 +0/ +12 +b1010 % +b1010 ' +#1220050000 +b1 ! +b1 * +10 +0- +04 +16 +#1220100000 +05 +#1230000000 +1/ +b1011 % +b1011 ' +#1230050000 +b0 ! +b0 * +00 +1- +#1230100000 +b10 ! +b10 * +13 +#1240000000 +0/ +02 +18 +b1100 % +b1100 ' +#1240050000 +b11 ! +b11 * +10 +0- +14 +06 +0: +1< +#1240100000 +0, +b111 ! +b111 * +19 +0; +#1240150000 +b11 ! +b11 * +09 +#1250000000 +1/ +b1101 % +b1101 ' +#1250050000 +b10 ! +b10 * +00 +1- +#1250100000 +b0 ! +b0 * +03 +15 +#1250150000 +1, +#1250200000 +b100 ! +b100 * +19 +#1260000000 +0/ +12 +b1110 % +b1110 ' +#1260050000 +b101 ! +b101 * +10 +0- +04 +16 +#1260100000 +05 +#1270000000 +1/ +b1111 % +b1111 ' +#1270050000 +b100 ! +b100 * +00 +1- +#1270100000 +b110 ! +b110 * +13 +#1280000000 +0/ +02 +08 +0> +0. +01 +07 +1= +b0 % +b0 ' +b1000 $ +b1000 & +#1280050000 +0- +06 +0< +#1280100000 +b100 ! +b100 * +03 +0, +0+ +#1280150000 +09 +b1000 ! +b1000 * +1? +0A +#1280200000 +0( +0# +#1280250000 +1( +#1290000000 +1/ +b1 % +b1 ' +#1290050000 +b1001 ! +b1001 * +10 +#1300000000 +0/ +12 +b10 % +b10 ' +#1300050000 +b1000 ! +b1000 * +00 +14 +#1300100000 +b1010 ! +b1010 * +13 +#1310000000 +1/ +b11 % +b11 ' +#1310050000 +b1011 ! +b1011 * +10 +#1320000000 +0/ +02 +18 +b100 % +b100 ' +#1320050000 +b1010 ! +b1010 * +00 +04 +1: +#1320100000 +03 +b1100 ! +b1100 * +19 +#1330000000 +1/ +b101 % +b101 ' +#1330050000 +b1101 ! +b1101 * +10 +#1340000000 +0/ +12 +b110 % +b110 ' +#1340050000 +b1100 ! +b1100 * +00 +14 +#1340100000 +b1110 ! +b1110 * +13 +#1350000000 +1/ +b111 % +b111 ' +#1350050000 +b1111 ! +b1111 * +10 +#1360000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1360050000 +b1110 ! +b1110 * +00 +04 +0: +0@ +1B +1) +#1360100000 +03 +09 +b0 ! +b0 * +0? +1# +1" +#1370000000 +1/ +b1001 % +b1001 ' +#1370050000 +b1 ! +b1 * +10 +#1380000000 +0/ +12 +b1010 % +b1010 ' +#1380050000 +b0 ! +b0 * +00 +14 +#1380100000 +b10 ! +b10 * +13 +#1390000000 +1/ +b1011 % +b1011 ' +#1390050000 +b11 ! +b11 * +10 +#1400000000 +0/ +02 +18 +b1100 % +b1100 ' +#1400050000 +b10 ! +b10 * +00 +04 +1: +#1400100000 +03 +b100 ! +b100 * +19 +#1410000000 +1/ +b1101 % +b1101 ' +#1410050000 +b101 ! +b101 * +10 +#1420000000 +0/ +12 +b1110 % +b1110 ' +#1420050000 +b100 ! +b100 * +00 +14 +#1420100000 +b110 ! +b110 * +13 +#1430000000 +1/ +b1111 % +b1111 ' +#1430050000 +b111 ! +b111 * +10 +#1440000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b1001 $ +b1001 & +#1440050000 +04 +0: +1@ +0B +0) +#1440100000 +03 +09 +b1001 ! +b1001 * +1? +0# +0" +#1450000000 +1/ +b1 % +b1 ' +#1450050000 +b1000 ! +b1000 * +00 +1- +#1450100000 +b1010 ! +b1010 * +13 +#1460000000 +0/ +12 +b10 % +b10 ' +#1460050000 +b1011 ! +b1011 * +10 +0- +14 +#1470000000 +1/ +b11 % +b11 ' +#1470050000 +b1010 ! +b1010 * +00 +1- +#1470100000 +b1000 ! +b1000 * +03 +15 +#1470150000 +1, +#1470200000 +b1100 ! +b1100 * +19 +#1480000000 +0/ +02 +18 +b100 % +b100 ' +#1480050000 +b1101 ! +b1101 * +10 +0- +04 +1: +#1480100000 +05 +b1001 ! +b1001 * +09 +1; +#1480150000 +0, +1+ +#1480200000 +19 +0; +b101 ! +b101 * +0? +1A +#1480250000 +0( +0+ +1# +#1480300000 +b1101 ! +b1101 * +1? +0A +1( +#1480350000 +0( +0# +#1480400000 +1( +#1490000000 +1/ +b101 % +b101 ' +#1490050000 +b1100 ! +b1100 * +00 +1- +#1490100000 +b1110 ! +b1110 * +13 +#1500000000 +0/ +12 +b110 % +b110 ' +#1500050000 +b1111 ! +b1111 * +10 +0- +14 +#1510000000 +1/ +b111 % +b111 ' +#1510050000 +b1110 ! +b1110 * +00 +1- +#1510100000 +b1100 ! +b1100 * +03 +15 +#1510150000 +1, +#1510200000 +b1000 ! +b1000 * +09 +1; +#1510250000 +1+ +#1510300000 +b0 ! +b0 * +0? +1A +#1510350000 +0( +1# +#1510400000 +1( +#1520000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1520050000 +b1 ! +b1 * +10 +0- +04 +0: +0@ +1B +1) +#1520100000 +05 +19 +0; +b1101 ! +b1101 * +1? +0A +1" +#1520150000 +0( +0, +0+ +#1520200000 +0" +09 +b1 ! +b1 * +0? +#1520250000 +1( +#1520300000 +1" +#1530000000 +1/ +b1001 % +b1001 ' +#1530050000 +b0 ! +b0 * +00 +1- +#1530100000 +b10 ! +b10 * +13 +#1540000000 +0/ +12 +b1010 % +b1010 ' +#1540050000 +b11 ! +b11 * +10 +0- +14 +#1550000000 +1/ +b1011 % +b1011 ' +#1550050000 +b10 ! +b10 * +00 +1- +#1550100000 +b0 ! +b0 * +03 +15 +#1550150000 +1, +#1550200000 +b100 ! +b100 * +19 +#1560000000 +0/ +02 +18 +b1100 % +b1100 ' +#1560050000 +b101 ! +b101 * +10 +0- +04 +1: +#1560100000 +05 +b1 ! +b1 * +09 +1; +#1560150000 +0, +1+ +#1560200000 +19 +0; +b1101 ! +b1101 * +1? +#1560250000 +0( +0+ +#1560300000 +0" +b101 ! +b101 * +0? +#1560350000 +1( +#1560400000 +1" +#1570000000 +1/ +b1101 % +b1101 ' +#1570050000 +b100 ! +b100 * +00 +1- +#1570100000 +b110 ! +b110 * +13 +#1580000000 +0/ +12 +b1110 % +b1110 ' +#1580050000 +b111 ! +b111 * +10 +0- +14 +#1590000000 +1/ +b1111 % +b1111 ' +#1590050000 +b110 ! +b110 * +00 +1- +#1590100000 +b100 ! +b100 * +03 +15 +#1590150000 +1, +#1590200000 +b0 ! +b0 * +09 +1; +#1590250000 +1+ +#1590300000 +b1000 ! +b1000 * +1? +#1590350000 +0( +#1590400000 +0" +#1600000000 +0/ +02 +08 +0> +0. +11 +b0 % +b0 ' +b1010 $ +b1010 & +#1600050000 +0: +1@ +0B +0) +0- +#1600100000 +19 +0; +0? +1A +0# +b110 ! +b110 * +13 +05 +#1600150000 +0+ +1# +0, +#1600200000 +1? +0A +1( +b1010 ! +b1010 * +09 +#1600250000 +0( +0# +#1600300000 +1( +#1610000000 +1/ +b1 % +b1 ' +#1610050000 +b1011 ! +b1011 * +10 +#1620000000 +0/ +12 +b10 % +b10 ' +#1620050000 +b1010 ! +b1010 * +00 +04 +16 +#1620100000 +b1000 ! +b1000 * +03 +1, +#1620150000 +b1100 ! +b1100 * +19 +#1630000000 +1/ +b11 % +b11 ' +#1630050000 +b1101 ! +b1101 * +10 +#1640000000 +0/ +02 +18 +b100 % +b100 ' +#1640050000 +b1100 ! +b1100 * +00 +14 +06 +1: +#1640100000 +13 +0, +b1010 ! +b1010 * +09 +1; +#1640150000 +b1110 ! +b1110 * +19 +0; +1+ +#1640200000 +0+ +b110 ! +b110 * +0? +1A +#1640250000 +0( +b1110 ! +b1110 * +1? +0A +1# +#1640300000 +0# +#1640350000 +1( +#1650000000 +1/ +b101 % +b101 ' +#1650050000 +b1111 ! +b1111 * +10 +#1660000000 +0/ +12 +b110 % +b110 ' +#1660050000 +b1110 ! +b1110 * +00 +04 +16 +#1660100000 +b1100 ! +b1100 * +03 +1, +#1660150000 +b1000 ! +b1000 * +09 +1; +#1660200000 +1+ +#1660250000 +b0 ! +b0 * +0? +1A +#1660300000 +0( +1# +#1660350000 +1( +#1670000000 +1/ +b111 % +b111 ' +#1670050000 +b1 ! +b1 * +10 +#1680000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1680050000 +b0 ! +b0 * +00 +14 +06 +0: +0@ +1B +1) +#1680100000 +13 +0, +19 +0; +b1110 ! +b1110 * +1? +0A +1" +#1680150000 +0( +b1010 ! +b1010 * +09 +0+ +#1680200000 +0" +b10 ! +b10 * +0? +#1680250000 +1( +#1680300000 +1" +#1690000000 +1/ +b1001 % +b1001 ' +#1690050000 +b11 ! +b11 * +10 +#1700000000 +0/ +12 +b1010 % +b1010 ' +#1700050000 +b10 ! +b10 * +00 +04 +16 +#1700100000 +b0 ! +b0 * +03 +1, +#1700150000 +b100 ! +b100 * +19 +#1710000000 +1/ +b1011 % +b1011 ' +#1710050000 +b101 ! +b101 * +10 +#1720000000 +0/ +02 +18 +b1100 % +b1100 ' +#1720050000 +b100 ! +b100 * +00 +14 +06 +1: +#1720100000 +13 +0, +b10 ! +b10 * +09 +1; +#1720150000 +b110 ! +b110 * +19 +0; +1+ +#1720200000 +0+ +b1110 ! +b1110 * +1? +#1720250000 +0( +b110 ! +b110 * +0? +#1720300000 +1( +0" +#1720350000 +1" +#1730000000 +1/ +b1101 % +b1101 ' +#1730050000 +b111 ! +b111 * +10 +#1740000000 +0/ +12 +b1110 % +b1110 ' +#1740050000 +b110 ! +b110 * +00 +04 +16 +#1740100000 +b100 ! +b100 * +03 +1, +#1740150000 +b0 ! +b0 * +09 +1; +#1740200000 +1+ +#1740250000 +b1000 ! +b1000 * +1? +#1740300000 +0( +#1740350000 +0" +#1750000000 +1/ +b1111 % +b1111 ' +#1750050000 +b1001 ! +b1001 * +10 +#1760000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b1011 $ +b1011 & +#1760050000 +14 +06 +0: +1@ +0B +0) +#1760100000 +13 +0, +19 +0; +b111 ! +b111 * +0? +1A +0# +#1760150000 +b11 ! +b11 * +09 +0+ +1# +#1760200000 +b1011 ! +b1011 * +1? +0A +1( +#1760250000 +0( +0# +#1760300000 +1( +#1770000000 +1/ +b1 % +b1 ' +#1770050000 +b1010 ! +b1010 * +00 +1- +#1770100000 +b1000 ! +b1000 * +03 +15 +#1770150000 +1, +#1770200000 +b1100 ! +b1100 * +19 +#1780000000 +0/ +12 +b10 % +b10 ' +#1780050000 +b1101 ! +b1101 * +10 +0- +04 +16 +#1780100000 +05 +#1790000000 +1/ +b11 % +b11 ' +#1790050000 +b1100 ! +b1100 * +00 +1- +#1790100000 +b1110 ! +b1110 * +13 +#1800000000 +0/ +02 +18 +b100 % +b100 ' +#1800050000 +b1111 ! +b1111 * +10 +0- +14 +06 +1: +#1800100000 +0, +b1011 ! +b1011 * +09 +1; +#1800150000 +b1111 ! +b1111 * +19 +0; +1+ +#1800200000 +0+ +b111 ! +b111 * +0? +1A +#1800250000 +0( +b1111 ! +b1111 * +1? +0A +1# +#1800300000 +0# +#1800350000 +1( +#1810000000 +1/ +b101 % +b101 ' +#1810050000 +b1110 ! +b1110 * +00 +1- +#1810100000 +b1100 ! +b1100 * +03 +15 +#1810150000 +1, +#1810200000 +b1000 ! +b1000 * +09 +1; +#1810250000 +1+ +#1810300000 +b0 ! +b0 * +0? +1A +#1810350000 +0( +1# +#1810400000 +1( +#1820000000 +0/ +12 +b110 % +b110 ' +#1820050000 +b1 ! +b1 * +10 +0- +04 +16 +#1820100000 +05 +#1830000000 +1/ +b111 % +b111 ' +#1830050000 +b0 ! +b0 * +00 +1- +#1830100000 +b10 ! +b10 * +13 +#1840000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#1840050000 +b11 ! +b11 * +10 +0- +14 +06 +0: +0@ +1B +1) +#1840100000 +0, +19 +0; +b1111 ! +b1111 * +1? +0A +1" +#1840150000 +0( +b1011 ! +b1011 * +09 +0+ +#1840200000 +0" +b11 ! +b11 * +0? +#1840250000 +1( +#1840300000 +1" +#1850000000 +1/ +b1001 % +b1001 ' +#1850050000 +b10 ! +b10 * +00 +1- +#1850100000 +b0 ! +b0 * +03 +15 +#1850150000 +1, +#1850200000 +b100 ! +b100 * +19 +#1860000000 +0/ +12 +b1010 % +b1010 ' +#1860050000 +b101 ! +b101 * +10 +0- +04 +16 +#1860100000 +05 +#1870000000 +1/ +b1011 % +b1011 ' +#1870050000 +b100 ! +b100 * +00 +1- +#1870100000 +b110 ! +b110 * +13 +#1880000000 +0/ +02 +18 +b1100 % +b1100 ' +#1880050000 +b111 ! +b111 * +10 +0- +14 +06 +1: +#1880100000 +0, +b11 ! +b11 * +09 +1; +#1880150000 +b111 ! +b111 * +19 +0; +1+ +#1880200000 +0+ +b1111 ! +b1111 * +1? +#1880250000 +0( +b111 ! +b111 * +0? +#1880300000 +1( +0" +#1880350000 +1" +#1890000000 +1/ +b1101 % +b1101 ' +#1890050000 +b110 ! +b110 * +00 +1- +#1890100000 +b100 ! +b100 * +03 +15 +#1890150000 +1, +#1890200000 +b0 ! +b0 * +09 +1; +#1890250000 +1+ +#1890300000 +b1000 ! +b1000 * +1? +#1890350000 +0( +#1890400000 +0" +#1900000000 +0/ +12 +b1110 % +b1110 ' +#1900050000 +b1001 ! +b1001 * +10 +0- +04 +16 +#1900100000 +05 +#1910000000 +1/ +b1111 % +b1111 ' +#1910050000 +b1000 ! +b1000 * +00 +1- +#1910100000 +b1010 ! +b1010 * +13 +#1920000000 +0/ +02 +08 +0> +0. +01 +17 +b0 % +b0 ' +b1100 $ +b1100 & +#1920050000 +1@ +0B +0) +0- +06 +#1920100000 +0? +1A +0# +b0 ! +b0 * +03 +0, +#1920150000 +1# +b100 ! +b100 * +19 +0; +#1920200000 +1( +0+ +#1920250000 +b1100 ! +b1100 * +1? +0A +#1920300000 +0( +0# +#1920350000 +1( +#1930000000 +1/ +b1 % +b1 ' +#1930050000 +b1101 ! +b1101 * +10 +#1940000000 +0/ +12 +b10 % +b10 ' +#1940050000 +b1100 ! +b1100 * +00 +14 +#1940100000 +b1110 ! +b1110 * +13 +#1950000000 +1/ +b11 % +b11 ' +#1950050000 +b1111 ! +b1111 * +10 +#1960000000 +0/ +02 +18 +b100 % +b100 ' +#1960050000 +b1110 ! +b1110 * +00 +04 +0: +1< +#1960100000 +03 +b1000 ! +b1000 * +09 +1+ +#1960150000 +b0 ! +b0 * +0? +1A +#1960200000 +0( +1# +#1960250000 +1( +#1970000000 +1/ +b101 % +b101 ' +#1970050000 +b1 ! +b1 * +10 +#1980000000 +0/ +12 +b110 % +b110 ' +#1980050000 +b0 ! +b0 * +00 +14 +#1980100000 +b10 ! +b10 * +13 +#1990000000 +1/ +b111 % +b111 ' +#1990050000 +b11 ! +b11 * +10 +#2000000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#2000050000 +b10 ! +b10 * +00 +04 +1: +0< +0@ +1B +1) +#2000100000 +03 +19 +0+ +b1100 ! +b1100 * +1? +0A +1" +#2000150000 +0( +b100 ! +b100 * +0? +#2000200000 +1( +0" +#2000250000 +1" +#2010000000 +1/ +b1001 % +b1001 ' +#2010050000 +b101 ! +b101 * +10 +#2020000000 +0/ +12 +b1010 % +b1010 ' +#2020050000 +b100 ! +b100 * +00 +14 +#2020100000 +b110 ! +b110 * +13 +#2030000000 +1/ +b1011 % +b1011 ' +#2030050000 +b111 ! +b111 * +10 +#2040000000 +0/ +02 +18 +b1100 % +b1100 ' +#2040050000 +b110 ! +b110 * +00 +04 +0: +1< +#2040100000 +03 +b0 ! +b0 * +09 +1+ +#2040150000 +b1000 ! +b1000 * +1? +#2040200000 +0( +#2040250000 +0" +#2050000000 +1/ +b1101 % +b1101 ' +#2050050000 +b1001 ! +b1001 * +10 +#2060000000 +0/ +12 +b1110 % +b1110 ' +#2060050000 +b1000 ! +b1000 * +00 +14 +#2060100000 +b1010 ! +b1010 * +13 +#2070000000 +1/ +b1111 % +b1111 ' +#2070050000 +b1011 ! +b1011 * +10 +#2080000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b1101 $ +b1101 & +#2080050000 +04 +1: +0< +1@ +0B +0) +#2080100000 +03 +19 +0+ +b101 ! +b101 * +0? +1A +0# +#2080150000 +b1101 ! +b1101 * +1? +0A +1# +#2080200000 +0# +#2080250000 +1( +#2090000000 +1/ +b1 % +b1 ' +#2090050000 +b1100 ! +b1100 * +00 +1- +#2090100000 +b1110 ! +b1110 * +13 +#2100000000 +0/ +12 +b10 % +b10 ' +#2100050000 +b1111 ! +b1111 * +10 +0- +14 +#2110000000 +1/ +b11 % +b11 ' +#2110050000 +b1110 ! +b1110 * +00 +1- +#2110100000 +b1100 ! +b1100 * +03 +15 +#2110150000 +1, +#2110200000 +b1000 ! +b1000 * +09 +1; +#2110250000 +1+ +#2110300000 +b0 ! +b0 * +0? +1A +#2110350000 +0( +1# +#2110400000 +1( +#2120000000 +0/ +02 +18 +b100 % +b100 ' +#2120050000 +b1 ! +b1 * +10 +0- +04 +0: +1< +#2120100000 +05 +b101 ! +b101 * +19 +0; +#2120150000 +0, +#2120200000 +b1 ! +b1 * +09 +#2130000000 +1/ +b101 % +b101 ' +#2130050000 +b0 ! +b0 * +00 +1- +#2130100000 +b10 ! +b10 * +13 +#2140000000 +0/ +12 +b110 % +b110 ' +#2140050000 +b11 ! +b11 * +10 +0- +14 +#2150000000 +1/ +b111 % +b111 ' +#2150050000 +b10 ! +b10 * +00 +1- +#2150100000 +b0 ! +b0 * +03 +15 +#2150150000 +1, +#2150200000 +b100 ! +b100 * +19 +#2160000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#2160050000 +b101 ! +b101 * +10 +0- +04 +1: +0< +0@ +1B +1) +#2160100000 +05 +09 +1; +0+ +b1001 ! +b1001 * +1? +0A +1" +#2160150000 +0( +0, +1+ +b1 ! +b1 * +0? +#2160200000 +1( +0" +19 +0; +b1101 ! +b1101 * +1? +#2160250000 +0( +1" +0+ +#2160300000 +0" +b101 ! +b101 * +0? +#2160350000 +1( +#2160400000 +1" +#2170000000 +1/ +b1001 % +b1001 ' +#2170050000 +b100 ! +b100 * +00 +1- +#2170100000 +b110 ! +b110 * +13 +#2180000000 +0/ +12 +b1010 % +b1010 ' +#2180050000 +b111 ! +b111 * +10 +0- +14 +#2190000000 +1/ +b1011 % +b1011 ' +#2190050000 +b110 ! +b110 * +00 +1- +#2190100000 +b100 ! +b100 * +03 +15 +#2190150000 +1, +#2190200000 +b0 ! +b0 * +09 +1; +#2190250000 +1+ +#2190300000 +b1000 ! +b1000 * +1? +#2190350000 +0( +#2190400000 +0" +#2200000000 +0/ +02 +18 +b1100 % +b1100 ' +#2200050000 +b1001 ! +b1001 * +10 +0- +04 +0: +1< +#2200100000 +05 +b1101 ! +b1101 * +19 +0; +#2200150000 +0, +#2200200000 +b1001 ! +b1001 * +09 +#2210000000 +1/ +b1101 % +b1101 ' +#2210050000 +b1000 ! +b1000 * +00 +1- +#2210100000 +b1010 ! +b1010 * +13 +#2220000000 +0/ +12 +b1110 % +b1110 ' +#2220050000 +b1011 ! +b1011 * +10 +0- +14 +#2230000000 +1/ +b1111 % +b1111 ' +#2230050000 +b1010 ! +b1010 * +00 +1- +#2230100000 +b1000 ! +b1000 * +03 +15 +#2230150000 +1, +#2230200000 +b1100 ! +b1100 * +19 +#2240000000 +0/ +02 +08 +0> +0. +11 +b0 % +b0 ' +b1110 $ +b1110 & +#2240050000 +1: +0< +1@ +0B +0) +0- +#2240100000 +09 +1; +0+ +0? +1A +0# +b10 ! +b10 * +13 +05 +#2240150000 +1+ +b1010 ! +b1010 * +1? +0A +1# +0, +#2240200000 +0? +1A +0# +b110 ! +b110 * +19 +0; +#2240250000 +1# +0+ +#2240300000 +1( +b1110 ! +b1110 * +1? +0A +#2240350000 +0( +0# +#2240400000 +1( +#2250000000 +1/ +b1 % +b1 ' +#2250050000 +b1111 ! +b1111 * +10 +#2260000000 +0/ +12 +b10 % +b10 ' +#2260050000 +b1110 ! +b1110 * +00 +04 +16 +#2260100000 +b1100 ! +b1100 * +03 +1, +#2260150000 +b1000 ! +b1000 * +09 +1; +#2260200000 +1+ +#2260250000 +b0 ! +b0 * +0? +1A +#2260300000 +0( +1# +#2260350000 +1( +#2270000000 +1/ +b11 % +b11 ' +#2270050000 +b1 ! +b1 * +10 +#2280000000 +0/ +02 +18 +b100 % +b100 ' +#2280050000 +b0 ! +b0 * +00 +14 +06 +0: +1< +#2280100000 +13 +0, +b110 ! +b110 * +19 +0; +#2280150000 +b10 ! +b10 * +09 +#2290000000 +1/ +b101 % +b101 ' +#2290050000 +b11 ! +b11 * +10 +#2300000000 +0/ +12 +b110 % +b110 ' +#2300050000 +b10 ! +b10 * +00 +04 +16 +#2300100000 +b0 ! +b0 * +03 +1, +#2300150000 +b100 ! +b100 * +19 +#2310000000 +1/ +b111 % +b111 ' +#2310050000 +b101 ! +b101 * +10 +#2320000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#2320050000 +b100 ! +b100 * +00 +14 +06 +1: +0< +0@ +1B +1) +#2320100000 +13 +0, +09 +1; +0+ +b1010 ! +b1010 * +1? +0A +1" +#2320150000 +0( +19 +0; +1+ +b110 ! +b110 * +0? +#2320200000 +1( +0" +0+ +b1110 ! +b1110 * +1? +#2320250000 +0( +1" +b110 ! +b110 * +0? +#2320300000 +1( +0" +#2320350000 +1" +#2330000000 +1/ +b1001 % +b1001 ' +#2330050000 +b111 ! +b111 * +10 +#2340000000 +0/ +12 +b1010 % +b1010 ' +#2340050000 +b110 ! +b110 * +00 +04 +16 +#2340100000 +b100 ! +b100 * +03 +1, +#2340150000 +b0 ! +b0 * +09 +1; +#2340200000 +1+ +#2340250000 +b1000 ! +b1000 * +1? +#2340300000 +0( +#2340350000 +0" +#2350000000 +1/ +b1011 % +b1011 ' +#2350050000 +b1001 ! +b1001 * +10 +#2360000000 +0/ +02 +18 +b1100 % +b1100 ' +#2360050000 +b1000 ! +b1000 * +00 +14 +06 +0: +1< +#2360100000 +13 +0, +b1110 ! +b1110 * +19 +0; +#2360150000 +b1010 ! +b1010 * +09 +#2370000000 +1/ +b1101 % +b1101 ' +#2370050000 +b1011 ! +b1011 * +10 +#2380000000 +0/ +12 +b1110 % +b1110 ' +#2380050000 +b1010 ! +b1010 * +00 +04 +16 +#2380100000 +b1000 ! +b1000 * +03 +1, +#2380150000 +b1100 ! +b1100 * +19 +#2390000000 +1/ +b1111 % +b1111 ' +#2390050000 +b1101 ! +b1101 * +10 +#2400000000 +0/ +02 +08 +0> +1. +b0 % +b0 ' +b1111 $ +b1111 & +#2400050000 +14 +06 +1: +0< +1@ +0B +0) +#2400100000 +13 +0, +09 +1; +0+ +b11 ! +b11 * +0? +1A +0# +#2400150000 +19 +0; +1+ +b1111 ! +b1111 * +1? +0A +1# +#2400200000 +0+ +b111 ! +b111 * +0? +1A +0# +#2400250000 +b1111 ! +b1111 * +1? +0A +1# +#2400300000 +0# +#2400350000 +1( +#2410000000 +1/ +b1 % +b1 ' +#2410050000 +b1110 ! +b1110 * +00 +1- +#2410100000 +b1100 ! +b1100 * +03 +15 +#2410150000 +1, +#2410200000 +b1000 ! +b1000 * +09 +1; +#2410250000 +1+ +#2410300000 +b0 ! +b0 * +0? +1A +#2410350000 +0( +1# +#2410400000 +1( +#2420000000 +0/ +12 +b10 % +b10 ' +#2420050000 +b1 ! +b1 * +10 +0- +04 +16 +#2420100000 +05 +#2430000000 +1/ +b11 % +b11 ' +#2430050000 +b0 ! +b0 * +00 +1- +#2430100000 +b10 ! +b10 * +13 +#2440000000 +0/ +02 +18 +b100 % +b100 ' +#2440050000 +b11 ! +b11 * +10 +0- +14 +06 +0: +1< +#2440100000 +0, +b111 ! +b111 * +19 +0; +#2440150000 +b11 ! +b11 * +09 +#2450000000 +1/ +b101 % +b101 ' +#2450050000 +b10 ! +b10 * +00 +1- +#2450100000 +b0 ! +b0 * +03 +15 +#2450150000 +1, +#2450200000 +b100 ! +b100 * +19 +#2460000000 +0/ +12 +b110 % +b110 ' +#2460050000 +b101 ! +b101 * +10 +0- +04 +16 +#2460100000 +05 +#2470000000 +1/ +b111 % +b111 ' +#2470050000 +b100 ! +b100 * +00 +1- +#2470100000 +b110 ! +b110 * +13 +#2480000000 +0/ +02 +08 +1> +b1000 % +b1000 ' +#2480050000 +b111 ! +b111 * +10 +0- +14 +06 +1: +0< +0@ +1B +1) +#2480100000 +0, +09 +1; +0+ +b1011 ! +b1011 * +1? +0A +1" +#2480150000 +0( +19 +0; +1+ +b111 ! +b111 * +0? +#2480200000 +1( +0" +0+ +b1111 ! +b1111 * +1? +#2480250000 +0( +1" +b111 ! +b111 * +0? +#2480300000 +1( +0" +#2480350000 +1" +#2490000000 +1/ +b1001 % +b1001 ' +#2490050000 +b110 ! +b110 * +00 +1- +#2490100000 +b100 ! +b100 * +03 +15 +#2490150000 +1, +#2490200000 +b0 ! +b0 * +09 +1; +#2490250000 +1+ +#2490300000 +b1000 ! +b1000 * +1? +#2490350000 +0( +#2490400000 +0" +#2500000000 +0/ +12 +b1010 % +b1010 ' +#2500050000 +b1001 ! +b1001 * +10 +0- +04 +16 +#2500100000 +05 +#2510000000 +1/ +b1011 % +b1011 ' +#2510050000 +b1000 ! +b1000 * +00 +1- +#2510100000 +b1010 ! +b1010 * +13 +#2520000000 +0/ +02 +18 +b1100 % +b1100 ' +#2520050000 +b1011 ! +b1011 * +10 +0- +14 +06 +0: +1< +#2520100000 +0, +b1111 ! +b1111 * +19 +0; +#2520150000 +b1011 ! +b1011 * +09 +#2530000000 +1/ +b1101 % +b1101 ' +#2530050000 +b1010 ! +b1010 * +00 +1- +#2530100000 +b1000 ! +b1000 * +03 +15 +#2530150000 +1, +#2530200000 +b1100 ! +b1100 * +19 +#2540000000 +0/ +12 +b1110 % +b1110 ' +#2540050000 +b1101 ! +b1101 * +10 +0- +04 +16 +#2540100000 +05 +#2550000000 +1/ +b1111 % +b1111 ' +#2550050000 +b1100 ! +b1100 * +00 +1- +#2550100000 +b1110 ! +b1110 * +13 +#2560000000 diff --git a/test.v b/test.v new file mode 100644 index 0000000..f2afbaf --- /dev/null +++ b/test.v @@ -0,0 +1,513 @@ +$display("a[3] | a[2] | a[1] | a[0] | b[3] | b[2] | b[1] | b[0] | sum[3] | sum[3] exp | sum[2] | sum[2] exp | sum[1] | sum[1] exp | sum[0] | sum[0] exp | carryout | carryout exp | overflow | overflow exp | "); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "1"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=0;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=0;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=0;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=0;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "0", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=0;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "0", sum[2], "1", sum[1], "1", sum[0], "1", carryout, "1", overflow, "1"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=0;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "0", sum[1], "1", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=0;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "0", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=0;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "0", sum[0], "1", carryout, "1", overflow, "0"); +a[3]=1;a[2]=1;a[1]=1;a[0]=1;b[3]=1;b[2]=1;b[1]=1;b[0]=1;#10000 +$display("%b | %b | %b | %b | %b | %b | %b | %b | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | %b | %s | ", a[3], a[2], a[1], a[0], b[3], b[2], b[1], b[0], sum[3], "1", sum[2], "1", sum[1], "1", sum[0], "0", carryout, "1", overflow, "0"); From 3640b66a7552bd52c93870ec8422ebf78785ca39 Mon Sep 17 00:00:00 2001 From: TShapinsky Date: Wed, 27 Sep 2017 22:17:10 -0400 Subject: [PATCH 7/7] Added FPGA images --- WRITEUP.md | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/WRITEUP.md b/WRITEUP.md index f7d88a8..aa9e670 100644 --- a/WRITEUP.md +++ b/WRITEUP.md @@ -10,6 +10,15 @@ At first we had a faulty way of checking for overflow, which caused us to often # FPGA After fighting with Vivado for a while we were able to successfully upload the bitstream to the device. After that we tested the sum and flags by cycling through values on the FPGA and found that everything was operating as it was supposed to. +## Timing +![timing](https://github.com/TShapinsky/Lab0/blob/master/Timing.png?raw=true) +## Power +![Power](https://github.com/TShapinsky/Lab0/blob/master/Power.png?raw=true) +## Utilization +![utilization](https://github.com/TShapinsky/Lab0/blob/master/Utilization.png?raw=true) +## DRC +![DRC](https://github.com/TShapinsky/Lab0/blob/master/DRC.png?raw=true) + # Waveforms