diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..f47cb20 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +*.out diff --git a/README.md b/README.md index 4384391..a09bdd0 100644 --- a/README.md +++ b/README.md @@ -1,165 +1,5 @@ # CompArch Lab 1: Arithmetic Logic Unit -**Work plan due:** Wed. October 4 +#### Jonah Spear and David Papp -**Lab due:** Thu. October 12 - - -This lab assignment creates the first component of your processor: the ALU. Additionally, it will help you understand the timing constraints of your designs. - -You will work in groups of 2-3. You may shuffle teams from the first lab if you so choose. - -## Specification ## - -The ALU you will implement is a subset of the standard MIPS ALU. The number of operations supported has been reduced, but otherwise we are emulating that standard. - -ALU diagram - - -| Operation | Result | Sets flags? | ALU Control | -|-----------|---------------|---------------|---------------| -| ADD | `R=A+B` | Yes | `b000` | -| SUB | `R=A-B` | Yes | `b001` | -| XOR | `R=A^B` | No | `b010` | -| SLT | `R=(A resMux20 [7:0] $end +$var wire 8 ? resMux21 [7:0] $end +$var wire 8 @ resMux22 [7:0] $end +$var wire 8 A resMux23 [7:0] $end +$var wire 8 B resMux24 [7:0] $end +$var wire 8 C resMux25 [7:0] $end +$var wire 8 D resMux26 [7:0] $end +$var wire 8 E resMux27 [7:0] $end +$var wire 8 F resMux28 [7:0] $end +$var wire 8 G resMux29 [7:0] $end +$var wire 8 H resMux3 [7:0] $end +$var wire 8 I resMux30 [7:0] $end +$var wire 8 J resMux31 [7:0] $end +$var wire 8 K resMux4 [7:0] $end +$var wire 8 L resMux5 [7:0] $end +$var wire 8 M resMux6 [7:0] $end +$var wire 8 N resMux7 [7:0] $end +$var wire 8 O resMux8 [7:0] $end +$var wire 8 P resMux9 [7:0] $end +$var wire 32 Q res_premux [31:0] $end +$var wire 32 R result [31:0] $end +$var wire 1 S temp $end +$var wire 1 $ zero $end +$scope module a1 $end +$var wire 1 T a $end +$var wire 1 U b $end +$var wire 1 V cin $end +$var wire 1 W cout $end +$var wire 1 X cout_ADD $end +$var wire 1 Y cout_SLT $end +$var wire 1 Z cout_SUB $end +$var wire 8 [ muxCout [7:0] $end +$var wire 8 \ muxRes [7:0] $end +$var wire 3 ] op [2:0] $end +$var wire 1 ^ out $end +$var wire 1 _ res_ADD $end +$var wire 1 ` res_AND $end +$var wire 1 a res_NAND $end +$var wire 1 b res_NOR $end +$var wire 1 c res_OR $end +$var wire 1 d res_SLT $end +$var wire 1 e res_SUB $end +$var wire 1 f res_XOR $end +$scope module adder $end +$var wire 1 g _carryin $end +$var wire 1 T a $end +$var wire 1 h aandb $end +$var wire 1 i aorb $end +$var wire 1 U b $end +$var wire 1 V carryin $end +$var wire 1 X carryout $end +$var wire 1 j outputIfCarryin $end +$var wire 1 k outputIf_Carryin $end +$var wire 1 l s $end +$var wire 1 _ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 T a $end +$var wire 1 m axorb $end +$var wire 1 U b $end +$var wire 1 V borrowin $end +$var wire 1 Z borrowout $end +$var wire 1 e diff $end +$var wire 1 n nota $end +$var wire 1 o notaandb $end +$var wire 1 p notaxorb $end +$var wire 1 q notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 T a $end +$var wire 1 r axorb $end +$var wire 1 U b $end +$var wire 1 V borrowin $end +$var wire 1 Y borrowout $end +$var wire 1 d diff $end +$var wire 1 s nota $end +$var wire 1 t notaandb $end +$var wire 1 u notaxorb $end +$var wire 1 v notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 w address [2:0] $end +$var wire 8 x inputs [7:0] $end +$var wire 1 ^ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 y address [2:0] $end +$var wire 8 z inputs [7:0] $end +$var wire 1 W out $end +$upscope $end +$upscope $end +$scope module a2 $end +$var wire 1 { a $end +$var wire 1 | b $end +$var wire 1 } cin $end +$var wire 1 ~ cout $end +$var wire 1 !" cout_ADD $end +$var wire 1 "" cout_SLT $end +$var wire 1 #" cout_SUB $end +$var wire 8 $" muxCout [7:0] $end +$var wire 8 %" muxRes [7:0] $end +$var wire 3 &" op [2:0] $end +$var wire 1 '" out $end +$var wire 1 (" res_ADD $end +$var wire 1 )" res_AND $end +$var wire 1 *" res_NAND $end +$var wire 1 +" res_NOR $end +$var wire 1 ," res_OR $end +$var wire 1 -" res_SLT $end +$var wire 1 ." res_SUB $end +$var wire 1 /" res_XOR $end +$scope module adder $end +$var wire 1 0" _carryin $end +$var wire 1 { a $end +$var wire 1 1" aandb $end +$var wire 1 2" aorb $end +$var wire 1 | b $end +$var wire 1 } carryin $end +$var wire 1 !" carryout $end +$var wire 1 3" outputIfCarryin $end +$var wire 1 4" outputIf_Carryin $end +$var wire 1 5" s $end +$var wire 1 (" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 { a $end +$var wire 1 6" axorb $end +$var wire 1 | b $end +$var wire 1 } borrowin $end +$var wire 1 #" borrowout $end +$var wire 1 ." diff $end +$var wire 1 7" nota $end +$var wire 1 8" notaandb $end +$var wire 1 9" notaxorb $end +$var wire 1 :" notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 { a $end +$var wire 1 ;" axorb $end +$var wire 1 | b $end +$var wire 1 } borrowin $end +$var wire 1 "" borrowout $end +$var wire 1 -" diff $end +$var wire 1 <" nota $end +$var wire 1 =" notaandb $end +$var wire 1 >" notaxorb $end +$var wire 1 ?" notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 @" address [2:0] $end +$var wire 8 A" inputs [7:0] $end +$var wire 1 '" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 B" address [2:0] $end +$var wire 8 C" inputs [7:0] $end +$var wire 1 ~ out $end +$upscope $end +$upscope $end +$scope module a3 $end +$var wire 1 D" a $end +$var wire 1 E" b $end +$var wire 1 F" cin $end +$var wire 1 G" cout $end +$var wire 1 H" cout_ADD $end +$var wire 1 I" cout_SLT $end +$var wire 1 J" cout_SUB $end +$var wire 8 K" muxCout [7:0] $end +$var wire 8 L" muxRes [7:0] $end +$var wire 3 M" op [2:0] $end +$var wire 1 N" out $end +$var wire 1 O" res_ADD $end +$var wire 1 P" res_AND $end +$var wire 1 Q" res_NAND $end +$var wire 1 R" res_NOR $end +$var wire 1 S" res_OR $end +$var wire 1 T" res_SLT $end +$var wire 1 U" res_SUB $end +$var wire 1 V" res_XOR $end +$scope module adder $end +$var wire 1 W" _carryin $end +$var wire 1 D" a $end +$var wire 1 X" aandb $end +$var wire 1 Y" aorb $end +$var wire 1 E" b $end +$var wire 1 F" carryin $end +$var wire 1 H" carryout $end +$var wire 1 Z" outputIfCarryin $end +$var wire 1 [" outputIf_Carryin $end +$var wire 1 \" s $end +$var wire 1 O" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 D" a $end +$var wire 1 ]" axorb $end +$var wire 1 E" b $end +$var wire 1 F" borrowin $end +$var wire 1 J" borrowout $end +$var wire 1 U" diff $end +$var wire 1 ^" nota $end +$var wire 1 _" notaandb $end +$var wire 1 `" notaxorb $end +$var wire 1 a" notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 D" a $end +$var wire 1 b" axorb $end +$var wire 1 E" b $end +$var wire 1 F" borrowin $end +$var wire 1 I" borrowout $end +$var wire 1 T" diff $end +$var wire 1 c" nota $end +$var wire 1 d" notaandb $end +$var wire 1 e" notaxorb $end +$var wire 1 f" notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 g" address [2:0] $end +$var wire 8 h" inputs [7:0] $end +$var wire 1 N" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 i" address [2:0] $end +$var wire 8 j" inputs [7:0] $end +$var wire 1 G" out $end +$upscope $end +$upscope $end +$scope module a4 $end +$var wire 1 k" a $end +$var wire 1 l" b $end +$var wire 1 m" cin $end +$var wire 1 n" cout $end +$var wire 1 o" cout_ADD $end +$var wire 1 p" cout_SLT $end +$var wire 1 q" cout_SUB $end +$var wire 8 r" muxCout [7:0] $end +$var wire 8 s" muxRes [7:0] $end +$var wire 3 t" op [2:0] $end +$var wire 1 u" out $end +$var wire 1 v" res_ADD $end +$var wire 1 w" res_AND $end +$var wire 1 x" res_NAND $end +$var wire 1 y" res_NOR $end +$var wire 1 z" res_OR $end +$var wire 1 {" res_SLT $end +$var wire 1 |" res_SUB $end +$var wire 1 }" res_XOR $end +$scope module adder $end +$var wire 1 ~" _carryin $end +$var wire 1 k" a $end +$var wire 1 !# aandb $end +$var wire 1 "# aorb $end +$var wire 1 l" b $end +$var wire 1 m" carryin $end +$var wire 1 o" carryout $end +$var wire 1 ## outputIfCarryin $end +$var wire 1 $# outputIf_Carryin $end +$var wire 1 %# s $end +$var wire 1 v" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 k" a $end +$var wire 1 &# axorb $end +$var wire 1 l" b $end +$var wire 1 m" borrowin $end +$var wire 1 q" borrowout $end +$var wire 1 |" diff $end +$var wire 1 '# nota $end +$var wire 1 (# notaandb $end +$var wire 1 )# notaxorb $end +$var wire 1 *# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 k" a $end +$var wire 1 +# axorb $end +$var wire 1 l" b $end +$var wire 1 m" borrowin $end +$var wire 1 p" borrowout $end +$var wire 1 {" diff $end +$var wire 1 ,# nota $end +$var wire 1 -# notaandb $end +$var wire 1 .# notaxorb $end +$var wire 1 /# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 0# address [2:0] $end +$var wire 8 1# inputs [7:0] $end +$var wire 1 u" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 2# address [2:0] $end +$var wire 8 3# inputs [7:0] $end +$var wire 1 n" out $end +$upscope $end +$upscope $end +$scope module a5 $end +$var wire 1 4# a $end +$var wire 1 5# b $end +$var wire 1 6# cin $end +$var wire 1 7# cout $end +$var wire 1 8# cout_ADD $end +$var wire 1 9# cout_SLT $end +$var wire 1 :# cout_SUB $end +$var wire 8 ;# muxCout [7:0] $end +$var wire 8 <# muxRes [7:0] $end +$var wire 3 =# op [2:0] $end +$var wire 1 ># out $end +$var wire 1 ?# res_ADD $end +$var wire 1 @# res_AND $end +$var wire 1 A# res_NAND $end +$var wire 1 B# res_NOR $end +$var wire 1 C# res_OR $end +$var wire 1 D# res_SLT $end +$var wire 1 E# res_SUB $end +$var wire 1 F# res_XOR $end +$scope module adder $end +$var wire 1 G# _carryin $end +$var wire 1 4# a $end +$var wire 1 H# aandb $end +$var wire 1 I# aorb $end +$var wire 1 5# b $end +$var wire 1 6# carryin $end +$var wire 1 8# carryout $end +$var wire 1 J# outputIfCarryin $end +$var wire 1 K# outputIf_Carryin $end +$var wire 1 L# s $end +$var wire 1 ?# sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 4# a $end +$var wire 1 M# axorb $end +$var wire 1 5# b $end +$var wire 1 6# borrowin $end +$var wire 1 :# borrowout $end +$var wire 1 E# diff $end +$var wire 1 N# nota $end +$var wire 1 O# notaandb $end +$var wire 1 P# notaxorb $end +$var wire 1 Q# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 4# a $end +$var wire 1 R# axorb $end +$var wire 1 5# b $end +$var wire 1 6# borrowin $end +$var wire 1 9# borrowout $end +$var wire 1 D# diff $end +$var wire 1 S# nota $end +$var wire 1 T# notaandb $end +$var wire 1 U# notaxorb $end +$var wire 1 V# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 W# address [2:0] $end +$var wire 8 X# inputs [7:0] $end +$var wire 1 ># out $end +$upscope $end +$scope module mux2 $end +$var wire 3 Y# address [2:0] $end +$var wire 8 Z# inputs [7:0] $end +$var wire 1 7# out $end +$upscope $end +$upscope $end +$scope module a6 $end +$var wire 1 [# a $end +$var wire 1 \# b $end +$var wire 1 ]# cin $end +$var wire 1 ^# cout $end +$var wire 1 _# cout_ADD $end +$var wire 1 `# cout_SLT $end +$var wire 1 a# cout_SUB $end +$var wire 8 b# muxCout [7:0] $end +$var wire 8 c# muxRes [7:0] $end +$var wire 3 d# op [2:0] $end +$var wire 1 e# out $end +$var wire 1 f# res_ADD $end +$var wire 1 g# res_AND $end +$var wire 1 h# res_NAND $end +$var wire 1 i# res_NOR $end +$var wire 1 j# res_OR $end +$var wire 1 k# res_SLT $end +$var wire 1 l# res_SUB $end +$var wire 1 m# res_XOR $end +$scope module adder $end +$var wire 1 n# _carryin $end +$var wire 1 [# a $end +$var wire 1 o# aandb $end +$var wire 1 p# aorb $end +$var wire 1 \# b $end +$var wire 1 ]# carryin $end +$var wire 1 _# carryout $end +$var wire 1 q# outputIfCarryin $end +$var wire 1 r# outputIf_Carryin $end +$var wire 1 s# s $end +$var wire 1 f# sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 [# a $end +$var wire 1 t# axorb $end +$var wire 1 \# b $end +$var wire 1 ]# borrowin $end +$var wire 1 a# borrowout $end +$var wire 1 l# diff $end +$var wire 1 u# nota $end +$var wire 1 v# notaandb $end +$var wire 1 w# notaxorb $end +$var wire 1 x# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 [# a $end +$var wire 1 y# axorb $end +$var wire 1 \# b $end +$var wire 1 ]# borrowin $end +$var wire 1 `# borrowout $end +$var wire 1 k# diff $end +$var wire 1 z# nota $end +$var wire 1 {# notaandb $end +$var wire 1 |# notaxorb $end +$var wire 1 }# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ~# address [2:0] $end +$var wire 8 !$ inputs [7:0] $end +$var wire 1 e# out $end +$upscope $end +$scope module mux2 $end +$var wire 3 "$ address [2:0] $end +$var wire 8 #$ inputs [7:0] $end +$var wire 1 ^# out $end +$upscope $end +$upscope $end +$scope module a7 $end +$var wire 1 $$ a $end +$var wire 1 %$ b $end +$var wire 1 &$ cin $end +$var wire 1 '$ cout $end +$var wire 1 ($ cout_ADD $end +$var wire 1 )$ cout_SLT $end +$var wire 1 *$ cout_SUB $end +$var wire 8 +$ muxCout [7:0] $end +$var wire 8 ,$ muxRes [7:0] $end +$var wire 3 -$ op [2:0] $end +$var wire 1 .$ out $end +$var wire 1 /$ res_ADD $end +$var wire 1 0$ res_AND $end +$var wire 1 1$ res_NAND $end +$var wire 1 2$ res_NOR $end +$var wire 1 3$ res_OR $end +$var wire 1 4$ res_SLT $end +$var wire 1 5$ res_SUB $end +$var wire 1 6$ res_XOR $end +$scope module adder $end +$var wire 1 7$ _carryin $end +$var wire 1 $$ a $end +$var wire 1 8$ aandb $end +$var wire 1 9$ aorb $end +$var wire 1 %$ b $end +$var wire 1 &$ carryin $end +$var wire 1 ($ carryout $end +$var wire 1 :$ outputIfCarryin $end +$var wire 1 ;$ outputIf_Carryin $end +$var wire 1 <$ s $end +$var wire 1 /$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 $$ a $end +$var wire 1 =$ axorb $end +$var wire 1 %$ b $end +$var wire 1 &$ borrowin $end +$var wire 1 *$ borrowout $end +$var wire 1 5$ diff $end +$var wire 1 >$ nota $end +$var wire 1 ?$ notaandb $end +$var wire 1 @$ notaxorb $end +$var wire 1 A$ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 $$ a $end +$var wire 1 B$ axorb $end +$var wire 1 %$ b $end +$var wire 1 &$ borrowin $end +$var wire 1 )$ borrowout $end +$var wire 1 4$ diff $end +$var wire 1 C$ nota $end +$var wire 1 D$ notaandb $end +$var wire 1 E$ notaxorb $end +$var wire 1 F$ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 G$ address [2:0] $end +$var wire 8 H$ inputs [7:0] $end +$var wire 1 .$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 I$ address [2:0] $end +$var wire 8 J$ inputs [7:0] $end +$var wire 1 '$ out $end +$upscope $end +$upscope $end +$scope module a8 $end +$var wire 1 K$ a $end +$var wire 1 L$ b $end +$var wire 1 M$ cin $end +$var wire 1 N$ cout $end +$var wire 1 O$ cout_ADD $end +$var wire 1 P$ cout_SLT $end +$var wire 1 Q$ cout_SUB $end +$var wire 8 R$ muxCout [7:0] $end +$var wire 8 S$ muxRes [7:0] $end +$var wire 3 T$ op [2:0] $end +$var wire 1 U$ out $end +$var wire 1 V$ res_ADD $end +$var wire 1 W$ res_AND $end +$var wire 1 X$ res_NAND $end +$var wire 1 Y$ res_NOR $end +$var wire 1 Z$ res_OR $end +$var wire 1 [$ res_SLT $end +$var wire 1 \$ res_SUB $end +$var wire 1 ]$ res_XOR $end +$scope module adder $end +$var wire 1 ^$ _carryin $end +$var wire 1 K$ a $end +$var wire 1 _$ aandb $end +$var wire 1 `$ aorb $end +$var wire 1 L$ b $end +$var wire 1 M$ carryin $end +$var wire 1 O$ carryout $end +$var wire 1 a$ outputIfCarryin $end +$var wire 1 b$ outputIf_Carryin $end +$var wire 1 c$ s $end +$var wire 1 V$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 K$ a $end +$var wire 1 d$ axorb $end +$var wire 1 L$ b $end +$var wire 1 M$ borrowin $end +$var wire 1 Q$ borrowout $end +$var wire 1 \$ diff $end +$var wire 1 e$ nota $end +$var wire 1 f$ notaandb $end +$var wire 1 g$ notaxorb $end +$var wire 1 h$ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 K$ a $end +$var wire 1 i$ axorb $end +$var wire 1 L$ b $end +$var wire 1 M$ borrowin $end +$var wire 1 P$ borrowout $end +$var wire 1 [$ diff $end +$var wire 1 j$ nota $end +$var wire 1 k$ notaandb $end +$var wire 1 l$ notaxorb $end +$var wire 1 m$ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 n$ address [2:0] $end +$var wire 8 o$ inputs [7:0] $end +$var wire 1 U$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 p$ address [2:0] $end +$var wire 8 q$ inputs [7:0] $end +$var wire 1 N$ out $end +$upscope $end +$upscope $end +$scope module a9 $end +$var wire 1 r$ a $end +$var wire 1 s$ b $end +$var wire 1 t$ cin $end +$var wire 1 u$ cout $end +$var wire 1 v$ cout_ADD $end +$var wire 1 w$ cout_SLT $end +$var wire 1 x$ cout_SUB $end +$var wire 8 y$ muxCout [7:0] $end +$var wire 8 z$ muxRes [7:0] $end +$var wire 3 {$ op [2:0] $end +$var wire 1 |$ out $end +$var wire 1 }$ res_ADD $end +$var wire 1 ~$ res_AND $end +$var wire 1 !% res_NAND $end +$var wire 1 "% res_NOR $end +$var wire 1 #% res_OR $end +$var wire 1 $% res_SLT $end +$var wire 1 %% res_SUB $end +$var wire 1 &% res_XOR $end +$scope module adder $end +$var wire 1 '% _carryin $end +$var wire 1 r$ a $end +$var wire 1 (% aandb $end +$var wire 1 )% aorb $end +$var wire 1 s$ b $end +$var wire 1 t$ carryin $end +$var wire 1 v$ carryout $end +$var wire 1 *% outputIfCarryin $end +$var wire 1 +% outputIf_Carryin $end +$var wire 1 ,% s $end +$var wire 1 }$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 r$ a $end +$var wire 1 -% axorb $end +$var wire 1 s$ b $end +$var wire 1 t$ borrowin $end +$var wire 1 x$ borrowout $end +$var wire 1 %% diff $end +$var wire 1 .% nota $end +$var wire 1 /% notaandb $end +$var wire 1 0% notaxorb $end +$var wire 1 1% notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 r$ a $end +$var wire 1 2% axorb $end +$var wire 1 s$ b $end +$var wire 1 t$ borrowin $end +$var wire 1 w$ borrowout $end +$var wire 1 $% diff $end +$var wire 1 3% nota $end +$var wire 1 4% notaandb $end +$var wire 1 5% notaxorb $end +$var wire 1 6% notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 7% address [2:0] $end +$var wire 8 8% inputs [7:0] $end +$var wire 1 |$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 9% address [2:0] $end +$var wire 8 :% inputs [7:0] $end +$var wire 1 u$ out $end +$upscope $end +$upscope $end +$scope module a10 $end +$var wire 1 ;% a $end +$var wire 1 <% b $end +$var wire 1 =% cin $end +$var wire 1 >% cout $end +$var wire 1 ?% cout_ADD $end +$var wire 1 @% cout_SLT $end +$var wire 1 A% cout_SUB $end +$var wire 8 B% muxCout [7:0] $end +$var wire 8 C% muxRes [7:0] $end +$var wire 3 D% op [2:0] $end +$var wire 1 E% out $end +$var wire 1 F% res_ADD $end +$var wire 1 G% res_AND $end +$var wire 1 H% res_NAND $end +$var wire 1 I% res_NOR $end +$var wire 1 J% res_OR $end +$var wire 1 K% res_SLT $end +$var wire 1 L% res_SUB $end +$var wire 1 M% res_XOR $end +$scope module adder $end +$var wire 1 N% _carryin $end +$var wire 1 ;% a $end +$var wire 1 O% aandb $end +$var wire 1 P% aorb $end +$var wire 1 <% b $end +$var wire 1 =% carryin $end +$var wire 1 ?% carryout $end +$var wire 1 Q% outputIfCarryin $end +$var wire 1 R% outputIf_Carryin $end +$var wire 1 S% s $end +$var wire 1 F% sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ;% a $end +$var wire 1 T% axorb $end +$var wire 1 <% b $end +$var wire 1 =% borrowin $end +$var wire 1 A% borrowout $end +$var wire 1 L% diff $end +$var wire 1 U% nota $end +$var wire 1 V% notaandb $end +$var wire 1 W% notaxorb $end +$var wire 1 X% notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ;% a $end +$var wire 1 Y% axorb $end +$var wire 1 <% b $end +$var wire 1 =% borrowin $end +$var wire 1 @% borrowout $end +$var wire 1 K% diff $end +$var wire 1 Z% nota $end +$var wire 1 [% notaandb $end +$var wire 1 \% notaxorb $end +$var wire 1 ]% notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ^% address [2:0] $end +$var wire 8 _% inputs [7:0] $end +$var wire 1 E% out $end +$upscope $end +$scope module mux2 $end +$var wire 3 `% address [2:0] $end +$var wire 8 a% inputs [7:0] $end +$var wire 1 >% out $end +$upscope $end +$upscope $end +$scope module a11 $end +$var wire 1 b% a $end +$var wire 1 c% b $end +$var wire 1 d% cin $end +$var wire 1 e% cout $end +$var wire 1 f% cout_ADD $end +$var wire 1 g% cout_SLT $end +$var wire 1 h% cout_SUB $end +$var wire 8 i% muxCout [7:0] $end +$var wire 8 j% muxRes [7:0] $end +$var wire 3 k% op [2:0] $end +$var wire 1 l% out $end +$var wire 1 m% res_ADD $end +$var wire 1 n% res_AND $end +$var wire 1 o% res_NAND $end +$var wire 1 p% res_NOR $end +$var wire 1 q% res_OR $end +$var wire 1 r% res_SLT $end +$var wire 1 s% res_SUB $end +$var wire 1 t% res_XOR $end +$scope module adder $end +$var wire 1 u% _carryin $end +$var wire 1 b% a $end +$var wire 1 v% aandb $end +$var wire 1 w% aorb $end +$var wire 1 c% b $end +$var wire 1 d% carryin $end +$var wire 1 f% carryout $end +$var wire 1 x% outputIfCarryin $end +$var wire 1 y% outputIf_Carryin $end +$var wire 1 z% s $end +$var wire 1 m% sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 b% a $end +$var wire 1 {% axorb $end +$var wire 1 c% b $end +$var wire 1 d% borrowin $end +$var wire 1 h% borrowout $end +$var wire 1 s% diff $end +$var wire 1 |% nota $end +$var wire 1 }% notaandb $end +$var wire 1 ~% notaxorb $end +$var wire 1 !& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 b% a $end +$var wire 1 "& axorb $end +$var wire 1 c% b $end +$var wire 1 d% borrowin $end +$var wire 1 g% borrowout $end +$var wire 1 r% diff $end +$var wire 1 #& nota $end +$var wire 1 $& notaandb $end +$var wire 1 %& notaxorb $end +$var wire 1 && notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 '& address [2:0] $end +$var wire 8 (& inputs [7:0] $end +$var wire 1 l% out $end +$upscope $end +$scope module mux2 $end +$var wire 3 )& address [2:0] $end +$var wire 8 *& inputs [7:0] $end +$var wire 1 e% out $end +$upscope $end +$upscope $end +$scope module a12 $end +$var wire 1 +& a $end +$var wire 1 ,& b $end +$var wire 1 -& cin $end +$var wire 1 .& cout $end +$var wire 1 /& cout_ADD $end +$var wire 1 0& cout_SLT $end +$var wire 1 1& cout_SUB $end +$var wire 8 2& muxCout [7:0] $end +$var wire 8 3& muxRes [7:0] $end +$var wire 3 4& op [2:0] $end +$var wire 1 5& out $end +$var wire 1 6& res_ADD $end +$var wire 1 7& res_AND $end +$var wire 1 8& res_NAND $end +$var wire 1 9& res_NOR $end +$var wire 1 :& res_OR $end +$var wire 1 ;& res_SLT $end +$var wire 1 <& res_SUB $end +$var wire 1 =& res_XOR $end +$scope module adder $end +$var wire 1 >& _carryin $end +$var wire 1 +& a $end +$var wire 1 ?& aandb $end +$var wire 1 @& aorb $end +$var wire 1 ,& b $end +$var wire 1 -& carryin $end +$var wire 1 /& carryout $end +$var wire 1 A& outputIfCarryin $end +$var wire 1 B& outputIf_Carryin $end +$var wire 1 C& s $end +$var wire 1 6& sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 +& a $end +$var wire 1 D& axorb $end +$var wire 1 ,& b $end +$var wire 1 -& borrowin $end +$var wire 1 1& borrowout $end +$var wire 1 <& diff $end +$var wire 1 E& nota $end +$var wire 1 F& notaandb $end +$var wire 1 G& notaxorb $end +$var wire 1 H& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 +& a $end +$var wire 1 I& axorb $end +$var wire 1 ,& b $end +$var wire 1 -& borrowin $end +$var wire 1 0& borrowout $end +$var wire 1 ;& diff $end +$var wire 1 J& nota $end +$var wire 1 K& notaandb $end +$var wire 1 L& notaxorb $end +$var wire 1 M& notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 N& address [2:0] $end +$var wire 8 O& inputs [7:0] $end +$var wire 1 5& out $end +$upscope $end +$scope module mux2 $end +$var wire 3 P& address [2:0] $end +$var wire 8 Q& inputs [7:0] $end +$var wire 1 .& out $end +$upscope $end +$upscope $end +$scope module a13 $end +$var wire 1 R& a $end +$var wire 1 S& b $end +$var wire 1 T& cin $end +$var wire 1 U& cout $end +$var wire 1 V& cout_ADD $end +$var wire 1 W& cout_SLT $end +$var wire 1 X& cout_SUB $end +$var wire 8 Y& muxCout [7:0] $end +$var wire 8 Z& muxRes [7:0] $end +$var wire 3 [& op [2:0] $end +$var wire 1 \& out $end +$var wire 1 ]& res_ADD $end +$var wire 1 ^& res_AND $end +$var wire 1 _& res_NAND $end +$var wire 1 `& res_NOR $end +$var wire 1 a& res_OR $end +$var wire 1 b& res_SLT $end +$var wire 1 c& res_SUB $end +$var wire 1 d& res_XOR $end +$scope module adder $end +$var wire 1 e& _carryin $end +$var wire 1 R& a $end +$var wire 1 f& aandb $end +$var wire 1 g& aorb $end +$var wire 1 S& b $end +$var wire 1 T& carryin $end +$var wire 1 V& carryout $end +$var wire 1 h& outputIfCarryin $end +$var wire 1 i& outputIf_Carryin $end +$var wire 1 j& s $end +$var wire 1 ]& sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 R& a $end +$var wire 1 k& axorb $end +$var wire 1 S& b $end +$var wire 1 T& borrowin $end +$var wire 1 X& borrowout $end +$var wire 1 c& diff $end +$var wire 1 l& nota $end +$var wire 1 m& notaandb $end +$var wire 1 n& notaxorb $end +$var wire 1 o& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 R& a $end +$var wire 1 p& axorb $end +$var wire 1 S& b $end +$var wire 1 T& borrowin $end +$var wire 1 W& borrowout $end +$var wire 1 b& diff $end +$var wire 1 q& nota $end +$var wire 1 r& notaandb $end +$var wire 1 s& notaxorb $end +$var wire 1 t& notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 u& address [2:0] $end +$var wire 8 v& inputs [7:0] $end +$var wire 1 \& out $end +$upscope $end +$scope module mux2 $end +$var wire 3 w& address [2:0] $end +$var wire 8 x& inputs [7:0] $end +$var wire 1 U& out $end +$upscope $end +$upscope $end +$scope module a14 $end +$var wire 1 y& a $end +$var wire 1 z& b $end +$var wire 1 {& cin $end +$var wire 1 |& cout $end +$var wire 1 }& cout_ADD $end +$var wire 1 ~& cout_SLT $end +$var wire 1 !' cout_SUB $end +$var wire 8 "' muxCout [7:0] $end +$var wire 8 #' muxRes [7:0] $end +$var wire 3 $' op [2:0] $end +$var wire 1 %' out $end +$var wire 1 &' res_ADD $end +$var wire 1 '' res_AND $end +$var wire 1 (' res_NAND $end +$var wire 1 )' res_NOR $end +$var wire 1 *' res_OR $end +$var wire 1 +' res_SLT $end +$var wire 1 ,' res_SUB $end +$var wire 1 -' res_XOR $end +$scope module adder $end +$var wire 1 .' _carryin $end +$var wire 1 y& a $end +$var wire 1 /' aandb $end +$var wire 1 0' aorb $end +$var wire 1 z& b $end +$var wire 1 {& carryin $end +$var wire 1 }& carryout $end +$var wire 1 1' outputIfCarryin $end +$var wire 1 2' outputIf_Carryin $end +$var wire 1 3' s $end +$var wire 1 &' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 y& a $end +$var wire 1 4' axorb $end +$var wire 1 z& b $end +$var wire 1 {& borrowin $end +$var wire 1 !' borrowout $end +$var wire 1 ,' diff $end +$var wire 1 5' nota $end +$var wire 1 6' notaandb $end +$var wire 1 7' notaxorb $end +$var wire 1 8' notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 y& a $end +$var wire 1 9' axorb $end +$var wire 1 z& b $end +$var wire 1 {& borrowin $end +$var wire 1 ~& borrowout $end +$var wire 1 +' diff $end +$var wire 1 :' nota $end +$var wire 1 ;' notaandb $end +$var wire 1 <' notaxorb $end +$var wire 1 =' notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 >' address [2:0] $end +$var wire 8 ?' inputs [7:0] $end +$var wire 1 %' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 @' address [2:0] $end +$var wire 8 A' inputs [7:0] $end +$var wire 1 |& out $end +$upscope $end +$upscope $end +$scope module a15 $end +$var wire 1 B' a $end +$var wire 1 C' b $end +$var wire 1 D' cin $end +$var wire 1 E' cout $end +$var wire 1 F' cout_ADD $end +$var wire 1 G' cout_SLT $end +$var wire 1 H' cout_SUB $end +$var wire 8 I' muxCout [7:0] $end +$var wire 8 J' muxRes [7:0] $end +$var wire 3 K' op [2:0] $end +$var wire 1 L' out $end +$var wire 1 M' res_ADD $end +$var wire 1 N' res_AND $end +$var wire 1 O' res_NAND $end +$var wire 1 P' res_NOR $end +$var wire 1 Q' res_OR $end +$var wire 1 R' res_SLT $end +$var wire 1 S' res_SUB $end +$var wire 1 T' res_XOR $end +$scope module adder $end +$var wire 1 U' _carryin $end +$var wire 1 B' a $end +$var wire 1 V' aandb $end +$var wire 1 W' aorb $end +$var wire 1 C' b $end +$var wire 1 D' carryin $end +$var wire 1 F' carryout $end +$var wire 1 X' outputIfCarryin $end +$var wire 1 Y' outputIf_Carryin $end +$var wire 1 Z' s $end +$var wire 1 M' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 B' a $end +$var wire 1 [' axorb $end +$var wire 1 C' b $end +$var wire 1 D' borrowin $end +$var wire 1 H' borrowout $end +$var wire 1 S' diff $end +$var wire 1 \' nota $end +$var wire 1 ]' notaandb $end +$var wire 1 ^' notaxorb $end +$var wire 1 _' notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 B' a $end +$var wire 1 `' axorb $end +$var wire 1 C' b $end +$var wire 1 D' borrowin $end +$var wire 1 G' borrowout $end +$var wire 1 R' diff $end +$var wire 1 a' nota $end +$var wire 1 b' notaandb $end +$var wire 1 c' notaxorb $end +$var wire 1 d' notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 e' address [2:0] $end +$var wire 8 f' inputs [7:0] $end +$var wire 1 L' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 g' address [2:0] $end +$var wire 8 h' inputs [7:0] $end +$var wire 1 E' out $end +$upscope $end +$upscope $end +$scope module a16 $end +$var wire 1 i' a $end +$var wire 1 j' b $end +$var wire 1 k' cin $end +$var wire 1 l' cout $end +$var wire 1 m' cout_ADD $end +$var wire 1 n' cout_SLT $end +$var wire 1 o' cout_SUB $end +$var wire 8 p' muxCout [7:0] $end +$var wire 8 q' muxRes [7:0] $end +$var wire 3 r' op [2:0] $end +$var wire 1 s' out $end +$var wire 1 t' res_ADD $end +$var wire 1 u' res_AND $end +$var wire 1 v' res_NAND $end +$var wire 1 w' res_NOR $end +$var wire 1 x' res_OR $end +$var wire 1 y' res_SLT $end +$var wire 1 z' res_SUB $end +$var wire 1 {' res_XOR $end +$scope module adder $end +$var wire 1 |' _carryin $end +$var wire 1 i' a $end +$var wire 1 }' aandb $end +$var wire 1 ~' aorb $end +$var wire 1 j' b $end +$var wire 1 k' carryin $end +$var wire 1 m' carryout $end +$var wire 1 !( outputIfCarryin $end +$var wire 1 "( outputIf_Carryin $end +$var wire 1 #( s $end +$var wire 1 t' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 i' a $end +$var wire 1 $( axorb $end +$var wire 1 j' b $end +$var wire 1 k' borrowin $end +$var wire 1 o' borrowout $end +$var wire 1 z' diff $end +$var wire 1 %( nota $end +$var wire 1 &( notaandb $end +$var wire 1 '( notaxorb $end +$var wire 1 (( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 i' a $end +$var wire 1 )( axorb $end +$var wire 1 j' b $end +$var wire 1 k' borrowin $end +$var wire 1 n' borrowout $end +$var wire 1 y' diff $end +$var wire 1 *( nota $end +$var wire 1 +( notaandb $end +$var wire 1 ,( notaxorb $end +$var wire 1 -( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 .( address [2:0] $end +$var wire 8 /( inputs [7:0] $end +$var wire 1 s' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 0( address [2:0] $end +$var wire 8 1( inputs [7:0] $end +$var wire 1 l' out $end +$upscope $end +$upscope $end +$scope module a17 $end +$var wire 1 2( a $end +$var wire 1 3( b $end +$var wire 1 4( cin $end +$var wire 1 5( cout $end +$var wire 1 6( cout_ADD $end +$var wire 1 7( cout_SLT $end +$var wire 1 8( cout_SUB $end +$var wire 8 9( muxCout [7:0] $end +$var wire 8 :( muxRes [7:0] $end +$var wire 3 ;( op [2:0] $end +$var wire 1 <( out $end +$var wire 1 =( res_ADD $end +$var wire 1 >( res_AND $end +$var wire 1 ?( res_NAND $end +$var wire 1 @( res_NOR $end +$var wire 1 A( res_OR $end +$var wire 1 B( res_SLT $end +$var wire 1 C( res_SUB $end +$var wire 1 D( res_XOR $end +$scope module adder $end +$var wire 1 E( _carryin $end +$var wire 1 2( a $end +$var wire 1 F( aandb $end +$var wire 1 G( aorb $end +$var wire 1 3( b $end +$var wire 1 4( carryin $end +$var wire 1 6( carryout $end +$var wire 1 H( outputIfCarryin $end +$var wire 1 I( outputIf_Carryin $end +$var wire 1 J( s $end +$var wire 1 =( sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 2( a $end +$var wire 1 K( axorb $end +$var wire 1 3( b $end +$var wire 1 4( borrowin $end +$var wire 1 8( borrowout $end +$var wire 1 C( diff $end +$var wire 1 L( nota $end +$var wire 1 M( notaandb $end +$var wire 1 N( notaxorb $end +$var wire 1 O( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 2( a $end +$var wire 1 P( axorb $end +$var wire 1 3( b $end +$var wire 1 4( borrowin $end +$var wire 1 7( borrowout $end +$var wire 1 B( diff $end +$var wire 1 Q( nota $end +$var wire 1 R( notaandb $end +$var wire 1 S( notaxorb $end +$var wire 1 T( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 U( address [2:0] $end +$var wire 8 V( inputs [7:0] $end +$var wire 1 <( out $end +$upscope $end +$scope module mux2 $end +$var wire 3 W( address [2:0] $end +$var wire 8 X( inputs [7:0] $end +$var wire 1 5( out $end +$upscope $end +$upscope $end +$scope module a18 $end +$var wire 1 Y( a $end +$var wire 1 Z( b $end +$var wire 1 [( cin $end +$var wire 1 \( cout $end +$var wire 1 ]( cout_ADD $end +$var wire 1 ^( cout_SLT $end +$var wire 1 _( cout_SUB $end +$var wire 8 `( muxCout [7:0] $end +$var wire 8 a( muxRes [7:0] $end +$var wire 3 b( op [2:0] $end +$var wire 1 c( out $end +$var wire 1 d( res_ADD $end +$var wire 1 e( res_AND $end +$var wire 1 f( res_NAND $end +$var wire 1 g( res_NOR $end +$var wire 1 h( res_OR $end +$var wire 1 i( res_SLT $end +$var wire 1 j( res_SUB $end +$var wire 1 k( res_XOR $end +$scope module adder $end +$var wire 1 l( _carryin $end +$var wire 1 Y( a $end +$var wire 1 m( aandb $end +$var wire 1 n( aorb $end +$var wire 1 Z( b $end +$var wire 1 [( carryin $end +$var wire 1 ]( carryout $end +$var wire 1 o( outputIfCarryin $end +$var wire 1 p( outputIf_Carryin $end +$var wire 1 q( s $end +$var wire 1 d( sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 Y( a $end +$var wire 1 r( axorb $end +$var wire 1 Z( b $end +$var wire 1 [( borrowin $end +$var wire 1 _( borrowout $end +$var wire 1 j( diff $end +$var wire 1 s( nota $end +$var wire 1 t( notaandb $end +$var wire 1 u( notaxorb $end +$var wire 1 v( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 Y( a $end +$var wire 1 w( axorb $end +$var wire 1 Z( b $end +$var wire 1 [( borrowin $end +$var wire 1 ^( borrowout $end +$var wire 1 i( diff $end +$var wire 1 x( nota $end +$var wire 1 y( notaandb $end +$var wire 1 z( notaxorb $end +$var wire 1 {( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 |( address [2:0] $end +$var wire 8 }( inputs [7:0] $end +$var wire 1 c( out $end +$upscope $end +$scope module mux2 $end +$var wire 3 ~( address [2:0] $end +$var wire 8 !) inputs [7:0] $end +$var wire 1 \( out $end +$upscope $end +$upscope $end +$scope module a19 $end +$var wire 1 ") a $end +$var wire 1 #) b $end +$var wire 1 $) cin $end +$var wire 1 %) cout $end +$var wire 1 &) cout_ADD $end +$var wire 1 ') cout_SLT $end +$var wire 1 () cout_SUB $end +$var wire 8 )) muxCout [7:0] $end +$var wire 8 *) muxRes [7:0] $end +$var wire 3 +) op [2:0] $end +$var wire 1 ,) out $end +$var wire 1 -) res_ADD $end +$var wire 1 .) res_AND $end +$var wire 1 /) res_NAND $end +$var wire 1 0) res_NOR $end +$var wire 1 1) res_OR $end +$var wire 1 2) res_SLT $end +$var wire 1 3) res_SUB $end +$var wire 1 4) res_XOR $end +$scope module adder $end +$var wire 1 5) _carryin $end +$var wire 1 ") a $end +$var wire 1 6) aandb $end +$var wire 1 7) aorb $end +$var wire 1 #) b $end +$var wire 1 $) carryin $end +$var wire 1 &) carryout $end +$var wire 1 8) outputIfCarryin $end +$var wire 1 9) outputIf_Carryin $end +$var wire 1 :) s $end +$var wire 1 -) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ") a $end +$var wire 1 ;) axorb $end +$var wire 1 #) b $end +$var wire 1 $) borrowin $end +$var wire 1 () borrowout $end +$var wire 1 3) diff $end +$var wire 1 <) nota $end +$var wire 1 =) notaandb $end +$var wire 1 >) notaxorb $end +$var wire 1 ?) notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ") a $end +$var wire 1 @) axorb $end +$var wire 1 #) b $end +$var wire 1 $) borrowin $end +$var wire 1 ') borrowout $end +$var wire 1 2) diff $end +$var wire 1 A) nota $end +$var wire 1 B) notaandb $end +$var wire 1 C) notaxorb $end +$var wire 1 D) notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 E) address [2:0] $end +$var wire 8 F) inputs [7:0] $end +$var wire 1 ,) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 G) address [2:0] $end +$var wire 8 H) inputs [7:0] $end +$var wire 1 %) out $end +$upscope $end +$upscope $end +$scope module a20 $end +$var wire 1 I) a $end +$var wire 1 J) b $end +$var wire 1 K) cin $end +$var wire 1 L) cout $end +$var wire 1 M) cout_ADD $end +$var wire 1 N) cout_SLT $end +$var wire 1 O) cout_SUB $end +$var wire 8 P) muxCout [7:0] $end +$var wire 8 Q) muxRes [7:0] $end +$var wire 3 R) op [2:0] $end +$var wire 1 S) out $end +$var wire 1 T) res_ADD $end +$var wire 1 U) res_AND $end +$var wire 1 V) res_NAND $end +$var wire 1 W) res_NOR $end +$var wire 1 X) res_OR $end +$var wire 1 Y) res_SLT $end +$var wire 1 Z) res_SUB $end +$var wire 1 [) res_XOR $end +$scope module adder $end +$var wire 1 \) _carryin $end +$var wire 1 I) a $end +$var wire 1 ]) aandb $end +$var wire 1 ^) aorb $end +$var wire 1 J) b $end +$var wire 1 K) carryin $end +$var wire 1 M) carryout $end +$var wire 1 _) outputIfCarryin $end +$var wire 1 `) outputIf_Carryin $end +$var wire 1 a) s $end +$var wire 1 T) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 I) a $end +$var wire 1 b) axorb $end +$var wire 1 J) b $end +$var wire 1 K) borrowin $end +$var wire 1 O) borrowout $end +$var wire 1 Z) diff $end +$var wire 1 c) nota $end +$var wire 1 d) notaandb $end +$var wire 1 e) notaxorb $end +$var wire 1 f) notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 I) a $end +$var wire 1 g) axorb $end +$var wire 1 J) b $end +$var wire 1 K) borrowin $end +$var wire 1 N) borrowout $end +$var wire 1 Y) diff $end +$var wire 1 h) nota $end +$var wire 1 i) notaandb $end +$var wire 1 j) notaxorb $end +$var wire 1 k) notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 l) address [2:0] $end +$var wire 8 m) inputs [7:0] $end +$var wire 1 S) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 n) address [2:0] $end +$var wire 8 o) inputs [7:0] $end +$var wire 1 L) out $end +$upscope $end +$upscope $end +$scope module a21 $end +$var wire 1 p) a $end +$var wire 1 q) b $end +$var wire 1 r) cin $end +$var wire 1 s) cout $end +$var wire 1 t) cout_ADD $end +$var wire 1 u) cout_SLT $end +$var wire 1 v) cout_SUB $end +$var wire 8 w) muxCout [7:0] $end +$var wire 8 x) muxRes [7:0] $end +$var wire 3 y) op [2:0] $end +$var wire 1 z) out $end +$var wire 1 {) res_ADD $end +$var wire 1 |) res_AND $end +$var wire 1 }) res_NAND $end +$var wire 1 ~) res_NOR $end +$var wire 1 !* res_OR $end +$var wire 1 "* res_SLT $end +$var wire 1 #* res_SUB $end +$var wire 1 $* res_XOR $end +$scope module adder $end +$var wire 1 %* _carryin $end +$var wire 1 p) a $end +$var wire 1 &* aandb $end +$var wire 1 '* aorb $end +$var wire 1 q) b $end +$var wire 1 r) carryin $end +$var wire 1 t) carryout $end +$var wire 1 (* outputIfCarryin $end +$var wire 1 )* outputIf_Carryin $end +$var wire 1 ** s $end +$var wire 1 {) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 p) a $end +$var wire 1 +* axorb $end +$var wire 1 q) b $end +$var wire 1 r) borrowin $end +$var wire 1 v) borrowout $end +$var wire 1 #* diff $end +$var wire 1 ,* nota $end +$var wire 1 -* notaandb $end +$var wire 1 .* notaxorb $end +$var wire 1 /* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 p) a $end +$var wire 1 0* axorb $end +$var wire 1 q) b $end +$var wire 1 r) borrowin $end +$var wire 1 u) borrowout $end +$var wire 1 "* diff $end +$var wire 1 1* nota $end +$var wire 1 2* notaandb $end +$var wire 1 3* notaxorb $end +$var wire 1 4* notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 5* address [2:0] $end +$var wire 8 6* inputs [7:0] $end +$var wire 1 z) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 7* address [2:0] $end +$var wire 8 8* inputs [7:0] $end +$var wire 1 s) out $end +$upscope $end +$upscope $end +$scope module a22 $end +$var wire 1 9* a $end +$var wire 1 :* b $end +$var wire 1 ;* cin $end +$var wire 1 <* cout $end +$var wire 1 =* cout_ADD $end +$var wire 1 >* cout_SLT $end +$var wire 1 ?* cout_SUB $end +$var wire 8 @* muxCout [7:0] $end +$var wire 8 A* muxRes [7:0] $end +$var wire 3 B* op [2:0] $end +$var wire 1 C* out $end +$var wire 1 D* res_ADD $end +$var wire 1 E* res_AND $end +$var wire 1 F* res_NAND $end +$var wire 1 G* res_NOR $end +$var wire 1 H* res_OR $end +$var wire 1 I* res_SLT $end +$var wire 1 J* res_SUB $end +$var wire 1 K* res_XOR $end +$scope module adder $end +$var wire 1 L* _carryin $end +$var wire 1 9* a $end +$var wire 1 M* aandb $end +$var wire 1 N* aorb $end +$var wire 1 :* b $end +$var wire 1 ;* carryin $end +$var wire 1 =* carryout $end +$var wire 1 O* outputIfCarryin $end +$var wire 1 P* outputIf_Carryin $end +$var wire 1 Q* s $end +$var wire 1 D* sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 9* a $end +$var wire 1 R* axorb $end +$var wire 1 :* b $end +$var wire 1 ;* borrowin $end +$var wire 1 ?* borrowout $end +$var wire 1 J* diff $end +$var wire 1 S* nota $end +$var wire 1 T* notaandb $end +$var wire 1 U* notaxorb $end +$var wire 1 V* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 9* a $end +$var wire 1 W* axorb $end +$var wire 1 :* b $end +$var wire 1 ;* borrowin $end +$var wire 1 >* borrowout $end +$var wire 1 I* diff $end +$var wire 1 X* nota $end +$var wire 1 Y* notaandb $end +$var wire 1 Z* notaxorb $end +$var wire 1 [* notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 \* address [2:0] $end +$var wire 8 ]* inputs [7:0] $end +$var wire 1 C* out $end +$upscope $end +$scope module mux2 $end +$var wire 3 ^* address [2:0] $end +$var wire 8 _* inputs [7:0] $end +$var wire 1 <* out $end +$upscope $end +$upscope $end +$scope module a23 $end +$var wire 1 `* a $end +$var wire 1 a* b $end +$var wire 1 b* cin $end +$var wire 1 c* cout $end +$var wire 1 d* cout_ADD $end +$var wire 1 e* cout_SLT $end +$var wire 1 f* cout_SUB $end +$var wire 8 g* muxCout [7:0] $end +$var wire 8 h* muxRes [7:0] $end +$var wire 3 i* op [2:0] $end +$var wire 1 j* out $end +$var wire 1 k* res_ADD $end +$var wire 1 l* res_AND $end +$var wire 1 m* res_NAND $end +$var wire 1 n* res_NOR $end +$var wire 1 o* res_OR $end +$var wire 1 p* res_SLT $end +$var wire 1 q* res_SUB $end +$var wire 1 r* res_XOR $end +$scope module adder $end +$var wire 1 s* _carryin $end +$var wire 1 `* a $end +$var wire 1 t* aandb $end +$var wire 1 u* aorb $end +$var wire 1 a* b $end +$var wire 1 b* carryin $end +$var wire 1 d* carryout $end +$var wire 1 v* outputIfCarryin $end +$var wire 1 w* outputIf_Carryin $end +$var wire 1 x* s $end +$var wire 1 k* sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 `* a $end +$var wire 1 y* axorb $end +$var wire 1 a* b $end +$var wire 1 b* borrowin $end +$var wire 1 f* borrowout $end +$var wire 1 q* diff $end +$var wire 1 z* nota $end +$var wire 1 {* notaandb $end +$var wire 1 |* notaxorb $end +$var wire 1 }* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 `* a $end +$var wire 1 ~* axorb $end +$var wire 1 a* b $end +$var wire 1 b* borrowin $end +$var wire 1 e* borrowout $end +$var wire 1 p* diff $end +$var wire 1 !+ nota $end +$var wire 1 "+ notaandb $end +$var wire 1 #+ notaxorb $end +$var wire 1 $+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 %+ address [2:0] $end +$var wire 8 &+ inputs [7:0] $end +$var wire 1 j* out $end +$upscope $end +$scope module mux2 $end +$var wire 3 '+ address [2:0] $end +$var wire 8 (+ inputs [7:0] $end +$var wire 1 c* out $end +$upscope $end +$upscope $end +$scope module a24 $end +$var wire 1 )+ a $end +$var wire 1 *+ b $end +$var wire 1 ++ cin $end +$var wire 1 ,+ cout $end +$var wire 1 -+ cout_ADD $end +$var wire 1 .+ cout_SLT $end +$var wire 1 /+ cout_SUB $end +$var wire 8 0+ muxCout [7:0] $end +$var wire 8 1+ muxRes [7:0] $end +$var wire 3 2+ op [2:0] $end +$var wire 1 3+ out $end +$var wire 1 4+ res_ADD $end +$var wire 1 5+ res_AND $end +$var wire 1 6+ res_NAND $end +$var wire 1 7+ res_NOR $end +$var wire 1 8+ res_OR $end +$var wire 1 9+ res_SLT $end +$var wire 1 :+ res_SUB $end +$var wire 1 ;+ res_XOR $end +$scope module adder $end +$var wire 1 <+ _carryin $end +$var wire 1 )+ a $end +$var wire 1 =+ aandb $end +$var wire 1 >+ aorb $end +$var wire 1 *+ b $end +$var wire 1 ++ carryin $end +$var wire 1 -+ carryout $end +$var wire 1 ?+ outputIfCarryin $end +$var wire 1 @+ outputIf_Carryin $end +$var wire 1 A+ s $end +$var wire 1 4+ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 )+ a $end +$var wire 1 B+ axorb $end +$var wire 1 *+ b $end +$var wire 1 ++ borrowin $end +$var wire 1 /+ borrowout $end +$var wire 1 :+ diff $end +$var wire 1 C+ nota $end +$var wire 1 D+ notaandb $end +$var wire 1 E+ notaxorb $end +$var wire 1 F+ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 )+ a $end +$var wire 1 G+ axorb $end +$var wire 1 *+ b $end +$var wire 1 ++ borrowin $end +$var wire 1 .+ borrowout $end +$var wire 1 9+ diff $end +$var wire 1 H+ nota $end +$var wire 1 I+ notaandb $end +$var wire 1 J+ notaxorb $end +$var wire 1 K+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 L+ address [2:0] $end +$var wire 8 M+ inputs [7:0] $end +$var wire 1 3+ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 N+ address [2:0] $end +$var wire 8 O+ inputs [7:0] $end +$var wire 1 ,+ out $end +$upscope $end +$upscope $end +$scope module a25 $end +$var wire 1 P+ a $end +$var wire 1 Q+ b $end +$var wire 1 R+ cin $end +$var wire 1 S+ cout $end +$var wire 1 T+ cout_ADD $end +$var wire 1 U+ cout_SLT $end +$var wire 1 V+ cout_SUB $end +$var wire 8 W+ muxCout [7:0] $end +$var wire 8 X+ muxRes [7:0] $end +$var wire 3 Y+ op [2:0] $end +$var wire 1 Z+ out $end +$var wire 1 [+ res_ADD $end +$var wire 1 \+ res_AND $end +$var wire 1 ]+ res_NAND $end +$var wire 1 ^+ res_NOR $end +$var wire 1 _+ res_OR $end +$var wire 1 `+ res_SLT $end +$var wire 1 a+ res_SUB $end +$var wire 1 b+ res_XOR $end +$scope module adder $end +$var wire 1 c+ _carryin $end +$var wire 1 P+ a $end +$var wire 1 d+ aandb $end +$var wire 1 e+ aorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ carryin $end +$var wire 1 T+ carryout $end +$var wire 1 f+ outputIfCarryin $end +$var wire 1 g+ outputIf_Carryin $end +$var wire 1 h+ s $end +$var wire 1 [+ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 P+ a $end +$var wire 1 i+ axorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ borrowin $end +$var wire 1 V+ borrowout $end +$var wire 1 a+ diff $end +$var wire 1 j+ nota $end +$var wire 1 k+ notaandb $end +$var wire 1 l+ notaxorb $end +$var wire 1 m+ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 P+ a $end +$var wire 1 n+ axorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ borrowin $end +$var wire 1 U+ borrowout $end +$var wire 1 `+ diff $end +$var wire 1 o+ nota $end +$var wire 1 p+ notaandb $end +$var wire 1 q+ notaxorb $end +$var wire 1 r+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 s+ address [2:0] $end +$var wire 8 t+ inputs [7:0] $end +$var wire 1 Z+ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 u+ address [2:0] $end +$var wire 8 v+ inputs [7:0] $end +$var wire 1 S+ out $end +$upscope $end +$upscope $end +$scope module a26 $end +$var wire 1 w+ a $end +$var wire 1 x+ b $end +$var wire 1 y+ cin $end +$var wire 1 z+ cout $end +$var wire 1 {+ cout_ADD $end +$var wire 1 |+ cout_SLT $end +$var wire 1 }+ cout_SUB $end +$var wire 8 ~+ muxCout [7:0] $end +$var wire 8 !, muxRes [7:0] $end +$var wire 3 ", op [2:0] $end +$var wire 1 #, out $end +$var wire 1 $, res_ADD $end +$var wire 1 %, res_AND $end +$var wire 1 &, res_NAND $end +$var wire 1 ', res_NOR $end +$var wire 1 (, res_OR $end +$var wire 1 ), res_SLT $end +$var wire 1 *, res_SUB $end +$var wire 1 +, res_XOR $end +$scope module adder $end +$var wire 1 ,, _carryin $end +$var wire 1 w+ a $end +$var wire 1 -, aandb $end +$var wire 1 ., aorb $end +$var wire 1 x+ b $end +$var wire 1 y+ carryin $end +$var wire 1 {+ carryout $end +$var wire 1 /, outputIfCarryin $end +$var wire 1 0, outputIf_Carryin $end +$var wire 1 1, s $end +$var wire 1 $, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 w+ a $end +$var wire 1 2, axorb $end +$var wire 1 x+ b $end +$var wire 1 y+ borrowin $end +$var wire 1 }+ borrowout $end +$var wire 1 *, diff $end +$var wire 1 3, nota $end +$var wire 1 4, notaandb $end +$var wire 1 5, notaxorb $end +$var wire 1 6, notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 w+ a $end +$var wire 1 7, axorb $end +$var wire 1 x+ b $end +$var wire 1 y+ borrowin $end +$var wire 1 |+ borrowout $end +$var wire 1 ), diff $end +$var wire 1 8, nota $end +$var wire 1 9, notaandb $end +$var wire 1 :, notaxorb $end +$var wire 1 ;, notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 <, address [2:0] $end +$var wire 8 =, inputs [7:0] $end +$var wire 1 #, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 >, address [2:0] $end +$var wire 8 ?, inputs [7:0] $end +$var wire 1 z+ out $end +$upscope $end +$upscope $end +$scope module a27 $end +$var wire 1 @, a $end +$var wire 1 A, b $end +$var wire 1 B, cin $end +$var wire 1 C, cout $end +$var wire 1 D, cout_ADD $end +$var wire 1 E, cout_SLT $end +$var wire 1 F, cout_SUB $end +$var wire 8 G, muxCout [7:0] $end +$var wire 8 H, muxRes [7:0] $end +$var wire 3 I, op [2:0] $end +$var wire 1 J, out $end +$var wire 1 K, res_ADD $end +$var wire 1 L, res_AND $end +$var wire 1 M, res_NAND $end +$var wire 1 N, res_NOR $end +$var wire 1 O, res_OR $end +$var wire 1 P, res_SLT $end +$var wire 1 Q, res_SUB $end +$var wire 1 R, res_XOR $end +$scope module adder $end +$var wire 1 S, _carryin $end +$var wire 1 @, a $end +$var wire 1 T, aandb $end +$var wire 1 U, aorb $end +$var wire 1 A, b $end +$var wire 1 B, carryin $end +$var wire 1 D, carryout $end +$var wire 1 V, outputIfCarryin $end +$var wire 1 W, outputIf_Carryin $end +$var wire 1 X, s $end +$var wire 1 K, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 @, a $end +$var wire 1 Y, axorb $end +$var wire 1 A, b $end +$var wire 1 B, borrowin $end +$var wire 1 F, borrowout $end +$var wire 1 Q, diff $end +$var wire 1 Z, nota $end +$var wire 1 [, notaandb $end +$var wire 1 \, notaxorb $end +$var wire 1 ], notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 @, a $end +$var wire 1 ^, axorb $end +$var wire 1 A, b $end +$var wire 1 B, borrowin $end +$var wire 1 E, borrowout $end +$var wire 1 P, diff $end +$var wire 1 _, nota $end +$var wire 1 `, notaandb $end +$var wire 1 a, notaxorb $end +$var wire 1 b, notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 c, address [2:0] $end +$var wire 8 d, inputs [7:0] $end +$var wire 1 J, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 e, address [2:0] $end +$var wire 8 f, inputs [7:0] $end +$var wire 1 C, out $end +$upscope $end +$upscope $end +$scope module a28 $end +$var wire 1 g, a $end +$var wire 1 h, b $end +$var wire 1 i, cin $end +$var wire 1 j, cout $end +$var wire 1 k, cout_ADD $end +$var wire 1 l, cout_SLT $end +$var wire 1 m, cout_SUB $end +$var wire 8 n, muxCout [7:0] $end +$var wire 8 o, muxRes [7:0] $end +$var wire 3 p, op [2:0] $end +$var wire 1 q, out $end +$var wire 1 r, res_ADD $end +$var wire 1 s, res_AND $end +$var wire 1 t, res_NAND $end +$var wire 1 u, res_NOR $end +$var wire 1 v, res_OR $end +$var wire 1 w, res_SLT $end +$var wire 1 x, res_SUB $end +$var wire 1 y, res_XOR $end +$scope module adder $end +$var wire 1 z, _carryin $end +$var wire 1 g, a $end +$var wire 1 {, aandb $end +$var wire 1 |, aorb $end +$var wire 1 h, b $end +$var wire 1 i, carryin $end +$var wire 1 k, carryout $end +$var wire 1 }, outputIfCarryin $end +$var wire 1 ~, outputIf_Carryin $end +$var wire 1 !- s $end +$var wire 1 r, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 g, a $end +$var wire 1 "- axorb $end +$var wire 1 h, b $end +$var wire 1 i, borrowin $end +$var wire 1 m, borrowout $end +$var wire 1 x, diff $end +$var wire 1 #- nota $end +$var wire 1 $- notaandb $end +$var wire 1 %- notaxorb $end +$var wire 1 &- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 g, a $end +$var wire 1 '- axorb $end +$var wire 1 h, b $end +$var wire 1 i, borrowin $end +$var wire 1 l, borrowout $end +$var wire 1 w, diff $end +$var wire 1 (- nota $end +$var wire 1 )- notaandb $end +$var wire 1 *- notaxorb $end +$var wire 1 +- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ,- address [2:0] $end +$var wire 8 -- inputs [7:0] $end +$var wire 1 q, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 .- address [2:0] $end +$var wire 8 /- inputs [7:0] $end +$var wire 1 j, out $end +$upscope $end +$upscope $end +$scope module a29 $end +$var wire 1 0- a $end +$var wire 1 1- b $end +$var wire 1 2- cin $end +$var wire 1 3- cout $end +$var wire 1 4- cout_ADD $end +$var wire 1 5- cout_SLT $end +$var wire 1 6- cout_SUB $end +$var wire 8 7- muxCout [7:0] $end +$var wire 8 8- muxRes [7:0] $end +$var wire 3 9- op [2:0] $end +$var wire 1 :- out $end +$var wire 1 ;- res_ADD $end +$var wire 1 <- res_AND $end +$var wire 1 =- res_NAND $end +$var wire 1 >- res_NOR $end +$var wire 1 ?- res_OR $end +$var wire 1 @- res_SLT $end +$var wire 1 A- res_SUB $end +$var wire 1 B- res_XOR $end +$scope module adder $end +$var wire 1 C- _carryin $end +$var wire 1 0- a $end +$var wire 1 D- aandb $end +$var wire 1 E- aorb $end +$var wire 1 1- b $end +$var wire 1 2- carryin $end +$var wire 1 4- carryout $end +$var wire 1 F- outputIfCarryin $end +$var wire 1 G- outputIf_Carryin $end +$var wire 1 H- s $end +$var wire 1 ;- sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 0- a $end +$var wire 1 I- axorb $end +$var wire 1 1- b $end +$var wire 1 2- borrowin $end +$var wire 1 6- borrowout $end +$var wire 1 A- diff $end +$var wire 1 J- nota $end +$var wire 1 K- notaandb $end +$var wire 1 L- notaxorb $end +$var wire 1 M- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 0- a $end +$var wire 1 N- axorb $end +$var wire 1 1- b $end +$var wire 1 2- borrowin $end +$var wire 1 5- borrowout $end +$var wire 1 @- diff $end +$var wire 1 O- nota $end +$var wire 1 P- notaandb $end +$var wire 1 Q- notaxorb $end +$var wire 1 R- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 S- address [2:0] $end +$var wire 8 T- inputs [7:0] $end +$var wire 1 :- out $end +$upscope $end +$scope module mux2 $end +$var wire 3 U- address [2:0] $end +$var wire 8 V- inputs [7:0] $end +$var wire 1 3- out $end +$upscope $end +$upscope $end +$scope module a30 $end +$var wire 1 W- a $end +$var wire 1 X- b $end +$var wire 1 Y- cin $end +$var wire 1 Z- cout $end +$var wire 1 [- cout_ADD $end +$var wire 1 \- cout_SLT $end +$var wire 1 ]- cout_SUB $end +$var wire 8 ^- muxCout [7:0] $end +$var wire 8 _- muxRes [7:0] $end +$var wire 3 `- op [2:0] $end +$var wire 1 a- out $end +$var wire 1 b- res_ADD $end +$var wire 1 c- res_AND $end +$var wire 1 d- res_NAND $end +$var wire 1 e- res_NOR $end +$var wire 1 f- res_OR $end +$var wire 1 g- res_SLT $end +$var wire 1 h- res_SUB $end +$var wire 1 i- res_XOR $end +$scope module adder $end +$var wire 1 j- _carryin $end +$var wire 1 W- a $end +$var wire 1 k- aandb $end +$var wire 1 l- aorb $end +$var wire 1 X- b $end +$var wire 1 Y- carryin $end +$var wire 1 [- carryout $end +$var wire 1 m- outputIfCarryin $end +$var wire 1 n- outputIf_Carryin $end +$var wire 1 o- s $end +$var wire 1 b- sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 W- a $end +$var wire 1 p- axorb $end +$var wire 1 X- b $end +$var wire 1 Y- borrowin $end +$var wire 1 ]- borrowout $end +$var wire 1 h- diff $end +$var wire 1 q- nota $end +$var wire 1 r- notaandb $end +$var wire 1 s- notaxorb $end +$var wire 1 t- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 W- a $end +$var wire 1 u- axorb $end +$var wire 1 X- b $end +$var wire 1 Y- borrowin $end +$var wire 1 \- borrowout $end +$var wire 1 g- diff $end +$var wire 1 v- nota $end +$var wire 1 w- notaandb $end +$var wire 1 x- notaxorb $end +$var wire 1 y- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 z- address [2:0] $end +$var wire 8 {- inputs [7:0] $end +$var wire 1 a- out $end +$upscope $end +$scope module mux2 $end +$var wire 3 |- address [2:0] $end +$var wire 8 }- inputs [7:0] $end +$var wire 1 Z- out $end +$upscope $end +$upscope $end +$scope module a31 $end +$var wire 1 ~- a $end +$var wire 1 !. b $end +$var wire 1 ". cin $end +$var wire 1 #. cout $end +$var wire 1 $. cout_ADD $end +$var wire 1 %. cout_SLT $end +$var wire 1 &. cout_SUB $end +$var wire 8 '. muxCout [7:0] $end +$var wire 8 (. muxRes [7:0] $end +$var wire 3 ). op [2:0] $end +$var wire 1 *. out $end +$var wire 1 +. res_ADD $end +$var wire 1 ,. res_AND $end +$var wire 1 -. res_NAND $end +$var wire 1 .. res_NOR $end +$var wire 1 /. res_OR $end +$var wire 1 0. res_SLT $end +$var wire 1 1. res_SUB $end +$var wire 1 2. res_XOR $end +$scope module adder $end +$var wire 1 3. _carryin $end +$var wire 1 ~- a $end +$var wire 1 4. aandb $end +$var wire 1 5. aorb $end +$var wire 1 !. b $end +$var wire 1 ". carryin $end +$var wire 1 $. carryout $end +$var wire 1 6. outputIfCarryin $end +$var wire 1 7. outputIf_Carryin $end +$var wire 1 8. s $end +$var wire 1 +. sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ~- a $end +$var wire 1 9. axorb $end +$var wire 1 !. b $end +$var wire 1 ". borrowin $end +$var wire 1 &. borrowout $end +$var wire 1 1. diff $end +$var wire 1 :. nota $end +$var wire 1 ;. notaandb $end +$var wire 1 <. notaxorb $end +$var wire 1 =. notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ~- a $end +$var wire 1 >. axorb $end +$var wire 1 !. b $end +$var wire 1 ". borrowin $end +$var wire 1 %. borrowout $end +$var wire 1 0. diff $end +$var wire 1 ?. nota $end +$var wire 1 @. notaandb $end +$var wire 1 A. notaxorb $end +$var wire 1 B. notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 C. address [2:0] $end +$var wire 8 D. inputs [7:0] $end +$var wire 1 *. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 E. address [2:0] $end +$var wire 8 F. inputs [7:0] $end +$var wire 1 #. out $end +$upscope $end +$upscope $end +$scope module a32 $end +$var wire 1 G. a $end +$var wire 1 H. b $end +$var wire 1 I. cin $end +$var wire 1 ! cout $end +$var wire 1 J. cout_ADD $end +$var wire 1 K. cout_SLT $end +$var wire 1 L. cout_SUB $end +$var wire 8 M. muxCout [7:0] $end +$var wire 8 N. muxRes [7:0] $end +$var wire 3 O. op [2:0] $end +$var wire 1 P. out $end +$var wire 1 Q. res_ADD $end +$var wire 1 R. res_AND $end +$var wire 1 S. res_NAND $end +$var wire 1 T. res_NOR $end +$var wire 1 U. res_OR $end +$var wire 1 V. res_SLT $end +$var wire 1 W. res_SUB $end +$var wire 1 X. res_XOR $end +$scope module adder $end +$var wire 1 Y. _carryin $end +$var wire 1 G. a $end +$var wire 1 Z. aandb $end +$var wire 1 [. aorb $end +$var wire 1 H. b $end +$var wire 1 I. carryin $end +$var wire 1 J. carryout $end +$var wire 1 \. outputIfCarryin $end +$var wire 1 ]. outputIf_Carryin $end +$var wire 1 ^. s $end +$var wire 1 Q. sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 G. a $end +$var wire 1 _. axorb $end +$var wire 1 H. b $end +$var wire 1 I. borrowin $end +$var wire 1 L. borrowout $end +$var wire 1 W. diff $end +$var wire 1 `. nota $end +$var wire 1 a. notaandb $end +$var wire 1 b. notaxorb $end +$var wire 1 c. notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 G. a $end +$var wire 1 d. axorb $end +$var wire 1 H. b $end +$var wire 1 I. borrowin $end +$var wire 1 K. borrowout $end +$var wire 1 V. diff $end +$var wire 1 e. nota $end +$var wire 1 f. notaandb $end +$var wire 1 g. notaxorb $end +$var wire 1 h. notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 i. address [2:0] $end +$var wire 8 j. inputs [7:0] $end +$var wire 1 P. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 k. address [2:0] $end +$var wire 8 l. inputs [7:0] $end +$var wire 1 ! out $end +$upscope $end +$upscope $end +$scope module mux0 $end +$var wire 3 m. address [2:0] $end +$var wire 8 n. inputs [7:0] $end +$var wire 1 o. out $end +$upscope $end +$scope module mux1 $end +$var wire 3 p. address [2:0] $end +$var wire 8 q. inputs [7:0] $end +$var wire 1 r. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 s. address [2:0] $end +$var wire 8 t. inputs [7:0] $end +$var wire 1 u. out $end +$upscope $end +$scope module mux3 $end +$var wire 3 v. address [2:0] $end +$var wire 8 w. inputs [7:0] $end +$var wire 1 x. out $end +$upscope $end +$scope module mux4 $end +$var wire 3 y. address [2:0] $end +$var wire 8 z. inputs [7:0] $end +$var wire 1 {. out $end +$upscope $end +$scope module mux5 $end +$var wire 3 |. address [2:0] $end +$var wire 8 }. inputs [7:0] $end +$var wire 1 ~. out $end +$upscope $end +$scope module mux6 $end +$var wire 3 !/ address [2:0] $end +$var wire 8 "/ inputs [7:0] $end +$var wire 1 #/ out $end +$upscope $end +$scope module mux7 $end +$var wire 3 $/ address [2:0] $end +$var wire 8 %/ inputs [7:0] $end +$var wire 1 &/ out $end +$upscope $end +$scope module mux8 $end +$var wire 3 '/ address [2:0] $end +$var wire 8 (/ inputs [7:0] $end +$var wire 1 )/ out $end +$upscope $end +$scope module mux9 $end +$var wire 3 */ address [2:0] $end +$var wire 8 +/ inputs [7:0] $end +$var wire 1 ,/ out $end +$upscope $end +$scope module mux10 $end +$var wire 3 -/ address [2:0] $end +$var wire 8 ./ inputs [7:0] $end +$var wire 1 // out $end +$upscope $end +$scope module mux11 $end +$var wire 3 0/ address [2:0] $end +$var wire 8 1/ inputs [7:0] $end +$var wire 1 2/ out $end +$upscope $end +$scope module mux12 $end +$var wire 3 3/ address [2:0] $end +$var wire 8 4/ inputs [7:0] $end +$var wire 1 5/ out $end +$upscope $end +$scope module mux13 $end +$var wire 3 6/ address [2:0] $end +$var wire 8 7/ inputs [7:0] $end +$var wire 1 8/ out $end +$upscope $end +$scope module mux14 $end +$var wire 3 9/ address [2:0] $end +$var wire 8 :/ inputs [7:0] $end +$var wire 1 ;/ out $end +$upscope $end +$scope module mux15 $end +$var wire 3 / out $end +$upscope $end +$scope module mux16 $end +$var wire 3 ?/ address [2:0] $end +$var wire 8 @/ inputs [7:0] $end +$var wire 1 A/ out $end +$upscope $end +$scope module mux17 $end +$var wire 3 B/ address [2:0] $end +$var wire 8 C/ inputs [7:0] $end +$var wire 1 D/ out $end +$upscope $end +$scope module mux18 $end +$var wire 3 E/ address [2:0] $end +$var wire 8 F/ inputs [7:0] $end +$var wire 1 G/ out $end +$upscope $end +$scope module mux19 $end +$var wire 3 H/ address [2:0] $end +$var wire 8 I/ inputs [7:0] $end +$var wire 1 J/ out $end +$upscope $end +$scope module mux20 $end +$var wire 3 K/ address [2:0] $end +$var wire 8 L/ inputs [7:0] $end +$var wire 1 M/ out $end +$upscope $end +$scope module mux21 $end +$var wire 3 N/ address [2:0] $end +$var wire 8 O/ inputs [7:0] $end +$var wire 1 P/ out $end +$upscope $end +$scope module mux22 $end +$var wire 3 Q/ address [2:0] $end +$var wire 8 R/ inputs [7:0] $end +$var wire 1 S/ out $end +$upscope $end +$scope module mux23 $end +$var wire 3 T/ address [2:0] $end +$var wire 8 U/ inputs [7:0] $end +$var wire 1 V/ out $end +$upscope $end +$scope module mux24 $end +$var wire 3 W/ address [2:0] $end +$var wire 8 X/ inputs [7:0] $end +$var wire 1 Y/ out $end +$upscope $end +$scope module mux25 $end +$var wire 3 Z/ address [2:0] $end +$var wire 8 [/ inputs [7:0] $end +$var wire 1 \/ out $end +$upscope $end +$scope module mux26 $end +$var wire 3 ]/ address [2:0] $end +$var wire 8 ^/ inputs [7:0] $end +$var wire 1 _/ out $end +$upscope $end +$scope module mux27 $end +$var wire 3 `/ address [2:0] $end +$var wire 8 a/ inputs [7:0] $end +$var wire 1 b/ out $end +$upscope $end +$scope module mux28 $end +$var wire 3 c/ address [2:0] $end +$var wire 8 d/ inputs [7:0] $end +$var wire 1 e/ out $end +$upscope $end +$scope module mux29 $end +$var wire 3 f/ address [2:0] $end +$var wire 8 g/ inputs [7:0] $end +$var wire 1 h/ out $end +$upscope $end +$scope module mux30 $end +$var wire 3 i/ address [2:0] $end +$var wire 8 j/ inputs [7:0] $end +$var wire 1 k/ out $end +$upscope $end +$scope module mux31 $end +$var wire 3 l/ address [2:0] $end +$var wire 8 m/ inputs [7:0] $end +$var wire 1 n/ out $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0n/ +b0 m/ +b0 l/ +0k/ +b0 j/ +b0 i/ +0h/ +b0 g/ +b0 f/ +0e/ +b0 d/ +b0 c/ +0b/ +b0 a/ +b0 `/ +0_/ +b0 ^/ +b0 ]/ +0\/ +b0 [/ +b0 Z/ +0Y/ +b0 X/ +b0 W/ +0V/ +b0 U/ +b0 T/ +0S/ +b0 R/ +b0 Q/ +0P/ +b0 O/ +b0 N/ +1M/ +b11110111 L/ +b0 K/ +0J/ +b0 I/ +b0 H/ +0G/ +b0 F/ +b0 E/ +0D/ +b0 C/ +b0 B/ +0A/ +b0 @/ +b0 ?/ +0>/ +b0 =/ +b0 . +0=. +1<. +0;. +1:. +09. +08. +07. +06. +05. +04. +13. +02. +01. +00. +0/. +1.. +1-. +0,. +0+. +0*. +b0 ). +b1100000 (. +b0 '. +0&. +0%. +0$. +0#. +0". +0!. +0~- +b0 }- +b0 |- +b1100000 {- +b0 z- +0y- +1x- +0w- +1v- +0u- +0t- +1s- +0r- +1q- +0p- +0o- +0n- +0m- +0l- +0k- +1j- +0i- +0h- +0g- +0f- +1e- +1d- +0c- +0b- +0a- +b0 `- +b1100000 _- +b0 ^- +0]- +0\- +0[- +0Z- +0Y- +0X- +0W- +b0 V- +b0 U- +b1100000 T- +b0 S- +0R- +1Q- +0P- +1O- +0N- +0M- +1L- +0K- +1J- +0I- +0H- +0G- +0F- +0E- +0D- +1C- +0B- +0A- +0@- +0?- +1>- +1=- +0<- +0;- +0:- +b0 9- +b1100000 8- +b0 7- +06- +05- +04- +03- +02- +01- +00- +b0 /- +b0 .- +b1100000 -- +b0 ,- +0+- +1*- +0)- +1(- +0'- +0&- +1%- +0$- +1#- +0"- +0!- +0~, +0}, +0|, +0{, +1z, +0y, +0x, +0w, +0v, +1u, +1t, +0s, +0r, +0q, +b0 p, +b1100000 o, +b0 n, +0m, +0l, +0k, +0j, +0i, +0h, +0g, +b0 f, +b0 e, +b1100000 d, +b0 c, +0b, +1a, +0`, +1_, +0^, +0], +1\, +0[, +1Z, +0Y, +0X, +0W, +0V, +0U, +0T, +1S, +0R, +0Q, +0P, +0O, +1N, +1M, +0L, +0K, +0J, +b0 I, +b1100000 H, +b0 G, +0F, +0E, +0D, +0C, +0B, +0A, +0@, +b0 ?, +b0 >, +b1100000 =, +b0 <, +0;, +1:, +09, +18, +07, +06, +15, +04, +13, +02, +01, +00, +0/, +0., +0-, +1,, +0+, +0*, +0), +0(, +1', +1&, +0%, +0$, +0#, +b0 ", +b1100000 !, +b0 ~+ +0}+ +0|+ +0{+ +0z+ +0y+ +0x+ +0w+ +b0 v+ +b0 u+ +b1100000 t+ +b0 s+ +0r+ +1q+ +0p+ +1o+ +0n+ +0m+ +1l+ +0k+ +1j+ +0i+ +0h+ +0g+ +0f+ +0e+ +0d+ +1c+ +0b+ +0a+ +0`+ +0_+ +1^+ +1]+ +0\+ +0[+ +0Z+ +b0 Y+ +b1100000 X+ +b0 W+ +0V+ +0U+ +0T+ +0S+ +0R+ +0Q+ +0P+ +b0 O+ +b0 N+ +b1100000 M+ +b0 L+ +0K+ +1J+ +0I+ +1H+ +0G+ +0F+ +1E+ +0D+ +1C+ +0B+ +0A+ +0@+ +0?+ +0>+ +0=+ +1<+ +0;+ +0:+ +09+ +08+ +17+ +16+ +05+ +04+ +03+ +b0 2+ +b1100000 1+ +b0 0+ +0/+ +0.+ +0-+ +0,+ +0++ +0*+ +0)+ +b0 (+ +b0 '+ +b1100000 &+ +b0 %+ +0$+ +1#+ +0"+ +1!+ +0~* +0}* +1|* +0{* +1z* +0y* +0x* +0w* +0v* +0u* +0t* +1s* +0r* +0q* +0p* +0o* +1n* +1m* +0l* +0k* +0j* +b0 i* +b1100000 h* +b0 g* +0f* +0e* +0d* +0c* +0b* +0a* +0`* +b0 _* +b0 ^* +b1100000 ]* +b0 \* +0[* +1Z* +0Y* +1X* +0W* +0V* +1U* +0T* +1S* +0R* +0Q* +0P* +0O* +0N* +0M* +1L* +0K* +0J* +0I* +0H* +1G* +1F* +0E* +0D* +0C* +b0 B* +b1100000 A* +b0 @* +0?* +0>* +0=* +0<* +0;* +0:* +09* +b1010 8* +b0 7* +b1101011 6* +b0 5* +14* +13* +02* +11* +00* +1/* +1.* +0-* +1,* +0+* +0** +0)* +0(* +0'* +0&* +0%* +0$* +1#* +1"* +0!* +1~) +1}) +0|) +1{) +1z) +b0 y) +b1101011 x) +b1010 w) +1v) +1u) +0t) +0s) +1r) +0q) +0p) +b1 o) +b0 n) +b10100100 m) +b0 l) +0k) +0j) +0i) +0h) +1g) +0f) +0e) +0d) +0c) +1b) +1a) +1`) +0_) +1^) +0]) +0\) +1[) +0Z) +0Y) +1X) +0W) +1V) +0U) +0T) +0S) +b0 R) +b10100100 Q) +b1 P) +0O) +0N) +1M) +1L) +1K) +0J) +1I) +b1 H) +b0 G) +b10100100 F) +b0 E) +0D) +0C) +0B) +0A) +1@) +0?) +0>) +0=) +0<) +1;) +1:) +19) +08) +17) +06) +05) +14) +03) +02) +11) +00) +1/) +0.) +0-) +0,) +b0 +) +b10100100 *) +b1 )) +0() +0') +1&) +1%) +1$) +0#) +1") +b1 !) +b0 ~( +b10100100 }( +b0 |( +0{( +0z( +0y( +0x( +1w( +0v( +0u( +0t( +0s( +1r( +1q( +1p( +0o( +1n( +0m( +0l( +1k( +0j( +0i( +1h( +0g( +1f( +0e( +0d( +0c( +b0 b( +b10100100 a( +b1 `( +0_( +0^( +1]( +1\( +1[( +0Z( +1Y( +b1 X( +b0 W( +b10100100 V( +b0 U( +0T( +0S( +0R( +0Q( +1P( +0O( +0N( +0M( +0L( +1K( +1J( +1I( +0H( +1G( +0F( +0E( +1D( +0C( +0B( +1A( +0@( +1?( +0>( +0=( +0<( +b0 ;( +b10100100 :( +b1 9( +08( +07( +16( +15( +14( +03( +12( +b1 1( +b0 0( +b10100100 /( +b0 .( +0-( +0,( +0+( +0*( +1)( +0(( +0'( +0&( +0%( +1$( +1#( +1"( +0!( +1~' +0}' +0|' +1{' +0z' +0y' +1x' +0w' +1v' +0u' +0t' +0s' +b0 r' +b10100100 q' +b1 p' +0o' +0n' +1m' +1l' +1k' +0j' +1i' +b1 h' +b0 g' +b10100100 f' +b0 e' +0d' +0c' +0b' +0a' +1`' +0_' +0^' +0]' +0\' +1[' +1Z' +1Y' +0X' +1W' +0V' +0U' +1T' +0S' +0R' +1Q' +0P' +1O' +0N' +0M' +0L' +b0 K' +b10100100 J' +b1 I' +0H' +0G' +1F' +1E' +1D' +0C' +1B' +b1 A' +b0 @' +b10100100 ?' +b0 >' +0=' +0<' +0;' +0:' +19' +08' +07' +06' +05' +14' +13' +12' +01' +10' +0/' +0.' +1-' +0,' +0+' +1*' +0)' +1(' +0'' +0&' +0%' +b0 $' +b10100100 #' +b1 "' +0!' +0~& +1}& +1|& +1{& +0z& +1y& +b1 x& +b0 w& +b10100100 v& +b0 u& +0t& +0s& +0r& +0q& +1p& +0o& +0n& +0m& +0l& +1k& +1j& +1i& +0h& +1g& +0f& +0e& +1d& +0c& +0b& +1a& +0`& +1_& +0^& +0]& +0\& +b0 [& +b10100100 Z& +b1 Y& +0X& +0W& +1V& +1U& +1T& +0S& +1R& +b1 Q& +b0 P& +b10100100 O& +b0 N& +0M& +0L& +0K& +0J& +1I& +0H& +0G& +0F& +0E& +1D& +1C& +1B& +0A& +1@& +0?& +0>& +1=& +0<& +0;& +1:& +09& +18& +07& +06& +05& +b0 4& +b10100100 3& +b1 2& +01& +00& +1/& +1.& +1-& +0,& +1+& +b1 *& +b0 )& +b10100100 (& +b0 '& +0&& +0%& +0$& +0#& +1"& +0!& +0~% +0}% +0|% +1{% +1z% +1y% +0x% +1w% +0v% +0u% +1t% +0s% +0r% +1q% +0p% +1o% +0n% +0m% +0l% +b0 k% +b10100100 j% +b1 i% +0h% +0g% +1f% +1e% +1d% +0c% +1b% +b1 a% +b0 `% +b10100100 _% +b0 ^% +0]% +0\% +0[% +0Z% +1Y% +0X% +0W% +0V% +0U% +1T% +1S% +1R% +0Q% +1P% +0O% +0N% +1M% +0L% +0K% +1J% +0I% +1H% +0G% +0F% +0E% +b0 D% +b10100100 C% +b1 B% +0A% +0@% +1?% +1>% +1=% +0<% +1;% +b1 :% +b0 9% +b10100100 8% +b0 7% +06% +05% +04% +03% +12% +01% +00% +0/% +0.% +1-% +1,% +1+% +0*% +1)% +0(% +0'% +1&% +0%% +0$% +1#% +0"% +1!% +0~$ +0}$ +0|$ +b0 {$ +b10100100 z$ +b1 y$ +0x$ +0w$ +1v$ +1u$ +1t$ +0s$ +1r$ +b1 q$ +b0 p$ +b10100100 o$ +b0 n$ +0m$ +0l$ +0k$ +0j$ +1i$ +0h$ +0g$ +0f$ +0e$ +1d$ +1c$ +1b$ +0a$ +1`$ +0_$ +0^$ +1]$ +0\$ +0[$ +1Z$ +0Y$ +1X$ +0W$ +0V$ +0U$ +b0 T$ +b10100100 S$ +b1 R$ +0Q$ +0P$ +1O$ +1N$ +1M$ +0L$ +1K$ +b1 J$ +b0 I$ +b10100100 H$ +b0 G$ +0F$ +0E$ +0D$ +0C$ +1B$ +0A$ +0@$ +0?$ +0>$ +1=$ +1<$ +1;$ +0:$ +19$ +08$ +07$ +16$ +05$ +04$ +13$ +02$ +11$ +00$ +0/$ +0.$ +b0 -$ +b10100100 ,$ +b1 +$ +0*$ +0)$ +1($ +1'$ +1&$ +0%$ +1$$ +b1 #$ +b0 "$ +b10100100 !$ +b0 ~# +0}# +0|# +0{# +0z# +1y# +0x# +0w# +0v# +0u# +1t# +1s# +1r# +0q# +1p# +0o# +0n# +1m# +0l# +0k# +1j# +0i# +1h# +0g# +0f# +0e# +b0 d# +b10100100 c# +b1 b# +0a# +0`# +1_# +1^# +1]# +0\# +1[# +b1 Z# +b0 Y# +b10100100 X# +b0 W# +0V# +0U# +0T# +0S# +1R# +0Q# +0P# +0O# +0N# +1M# +1L# +1K# +0J# +1I# +0H# +0G# +1F# +0E# +0D# +1C# +0B# +1A# +0@# +0?# +0># +b0 =# +b10100100 <# +b1 ;# +0:# +09# +18# +17# +16# +05# +14# +b1 3# +b0 2# +b10100100 1# +b0 0# +0/# +0.# +0-# +0,# +1+# +0*# +0)# +0(# +0'# +1&# +1%# +1$# +0## +1"# +0!# +0~" +1}" +0|" +0{" +1z" +0y" +1x" +0w" +0v" +0u" +b0 t" +b10100100 s" +b1 r" +0q" +0p" +1o" +1n" +1m" +0l" +1k" +b1 j" +b0 i" +b10100100 h" +b0 g" +0f" +0e" +0d" +0c" +1b" +0a" +0`" +0_" +0^" +1]" +1\" +1[" +0Z" +1Y" +0X" +0W" +1V" +0U" +0T" +1S" +0R" +1Q" +0P" +0O" +0N" +b0 M" +b10100100 L" +b1 K" +0J" +0I" +1H" +1G" +1F" +0E" +1D" +b1 C" +b0 B" +b10100100 A" +b0 @" +0?" +0>" +0=" +0<" +1;" +0:" +09" +08" +07" +16" +15" +14" +03" +12" +01" +00" +1/" +0." +0-" +1," +0+" +1*" +0)" +0(" +0'" +b0 &" +b10100100 %" +b1 $" +0#" +0"" +1!" +1~ +1} +0| +1{ +b1 z +b0 y +b10010000 x +b0 w +0v +1u +0t +0s +0r +0q +1p +0o +0n +0m +0l +0k +1j +1i +1h +1g +0f +0e +0d +1c +0b +0a +1` +0_ +0^ +b0 ] +b10010000 \ +b1 [ +0Z +0Y +1X +1W +0V +1U +1T +0S +b100000000000000000000 R +b100000000000000000000 Q +b0 P +b0 O +b0 N +b0 M +b0 L +b0 K +b0 J +b0 I +b0 H +b0 G +b0 F +b0 E +b0 D +b0 C +b0 B +b0 A +b0 @ +b0 ? +b11110111 > +b0 = +b0 < +b0 ; +b0 : +b0 9 +b0 8 +b0 7 +b0 6 +b0 5 +b0 4 +b0 3 +b0 2 +b0 1 +b1 0 +b11111111111111111111 / +bz0000000000011111111111111111111 . +b0 - +bx , +bx + +x* +b0 ) +b0 ( +b0 ' +b1 & +b11111111111111111111 % +z$ +0# +b100000000000000000000 " +0! +$end +#1000000 +1%* +0r) +1J/ +b11110111 < +b11110111 I/ +0L) +b0 P) +b0 o) +0M) +1S) +1T) +1\) +0`) +1Z) +b10101111 Q) +b10101111 m) +1Y) +0K) +1G/ +b11110111 ; +b11110111 F/ +0%) +b0 )) +b0 H) +0&) +1,) +1-) +15) +09) +13) +b10101111 *) +b10101111 F) +12) +0$) +1D/ +b11110111 : +b11110111 C/ +0\( +b0 `( +b0 !) +0]( +1c( +1d( +1l( +0p( +1j( +b10101111 a( +b10101111 }( +1i( +0[( +1A/ +b11110111 9 +b11110111 @/ +05( +b0 9( +b0 X( +06( +1<( +1=( +1E( +0I( +1C( +b10101111 :( +b10101111 V( +1B( +04( +1>/ +b11110111 8 +b11110111 =/ +0l' +b0 p' +b0 1( +0m' +1s' +1t' +1|' +0"( +1z' +b10101111 q' +b10101111 /( +1y' +0k' +1;/ +b11110111 7 +b11110111 :/ +0E' +b0 I' +b0 h' +0F' +1L' +1M' +1U' +0Y' +1S' +b10101111 J' +b10101111 f' +1R' +0D' +18/ +b11110111 6 +b11110111 7/ +0|& +b0 "' +b0 A' +0}& +1%' +1&' +1.' +02' +1,' +b10101111 #' +b10101111 ?' +1+' +0{& +15/ +b11110111 5 +b11110111 4/ +0U& +b0 Y& +b0 x& +0V& +1\& +1]& +1e& +0i& +1c& +b10101111 Z& +b10101111 v& +1b& +0T& +12/ +b11110111 4 +b11110111 1/ +0.& +b0 2& +b0 Q& +0/& +0! +b0 M. +b0 l. +0J. +15& +16& +1>& +0B& +1<& +b10101111 3& +b10101111 O& +1;& +1Y. +0]. +0# +0-& +0I. +1// +b11110111 3 +b11110111 ./ +0e% +b0 i% +b0 *& +0f% +0#. +b0 '. +b0 F. +0$. +1l% +1m% +1u% +0y% +1s% +b10101111 j% +b10101111 (& +1r% +13. +07. +0d% +0". +1,/ +b11110111 P +b11110111 +/ +0>% +b0 B% +b0 a% +0?% +0Z- +b0 ^- +b0 }- +0[- +1E% +1F% +1N% +0R% +1L% +b10101111 C% +b10101111 _% +1K% +1j- +0n- +0=% +0Y- +1)/ +b11110111 O +b11110111 (/ +0u$ +b0 y$ +b0 :% +0v$ +03- +b0 7- +b0 V- +04- +1|$ +1}$ +1'% +0+% +1%% +b10101111 z$ +b10101111 8% +1$% +1C- +0G- +0t$ +02- +1&/ +b11110111 N +b11110111 %/ +0N$ +b0 R$ +b0 q$ +0O$ +0j, +b0 n, +b0 /- +0k, +1U$ +1V$ +1^$ +0b$ +1\$ +b10101111 S$ +b10101111 o$ +1[$ +1z, +0~, +0M$ +0i, +1#/ +b11110111 M +b11110111 "/ +0'$ +b0 +$ +b0 J$ +0($ +0C, +b0 G, +b0 f, +0D, +1.$ +1/$ +17$ +0;$ +15$ +b10101111 ,$ +b10101111 H$ +14$ +1S, +0W, +0&$ +0B, +1~. +b11110111 L +b11110111 }. +0^# +b0 b# +b0 #$ +0_# +0z+ +b0 ~+ +b0 ?, +0{+ +1e# +1f# +1n# +0r# +1l# +b10101111 c# +b10101111 !$ +1k# +1,, +00, +0]# +0y+ +1{. +b11110111 K +b11110111 z. +07# +b0 ;# +b0 Z# +08# +0S+ +b0 W+ +b0 v+ +0T+ +1># +1?# +1G# +0K# +1E# +b10101111 <# +b10101111 X# +1D# +1c+ +0g+ +06# +0R+ +1x. +b11110111 H +b11110111 w. +0n" +b0 r" +b0 3# +0o" +0,+ +b0 0+ +b0 O+ +0-+ +1u" +1v" +1~" +0$# +1|" +b10101111 s" +b10101111 1# +1{" +1<+ +0@+ +0m" +0++ +1u. +b11110111 = +b11110111 t. +0G" +b0 K" +b0 j" +0H" +0c* +b0 g* +b0 (+ +0d* +1N" +1O" +1W" +0[" +1U" +b10101111 L" +b10101111 h" +1T" +1s* +0w* +0F" +0b* +1r. +b11110111 2 +b11110111 q. +0~ +b0 $" +b0 C" +0!" +0<* +b0 @* +b0 _* +0=* +1'" +1(" +10" +04" +1." +b10101111 %" +b10101111 A" +1-" +1L* +0P* +0} +0;* +0v) +0u) +1S +1o. +b11111111 1 +b11111111 n. +0W +b0 [ +b0 z +0X +1M/ +b11110111 > +b11110111 L/ +0s) +bz0000000000000000000000000000000 . +b0 w) +b0 8* +0t) +0/* +04* +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b11110111 E +b11110111 a/ +1e/ +b11110111 F +b11110111 d/ +1h/ +b11110111 G +b11110111 g/ +1k/ +b11110111 I +b11110111 j/ +1n/ +b11111111111111111111111111111111 " +b11111111111111111111111111111111 R +b11110111 J +b11110111 m/ +1^ +1_ +0j +1e +0p +1d +0u +1z) +1{) +0)* +1#* +0.* +1"* +03* +1C* +1D* +1J* +0U* +1I* +0Z* +1j* +1k* +1q* +0|* +1p* +0#+ +13+ +14+ +1:+ +0E+ +19+ +0J+ +1Z+ +1[+ +1a+ +0l+ +1`+ +0q+ +1#, +1$, +1*, +05, +1), +0:, +1J, +1K, +1Q, +0\, +1P, +0a, +1q, +1r, +1x, +0%- +1w, +0*- +1:- +1;- +1A- +0L- +1@- +0Q- +1a- +1b- +1h- +0s- +1g- +0x- +1*. +1+. +11. +0<. +10. +0A. +1P. +b11111111111111111111111111111111 Q +1Q. +1W. +0b. +1V. +0g. +1f +0` +1a +b10101111 \ +b10101111 x +1l +0h +1m +1r +1$* +0~) +1!* +b10101111 x) +b10101111 6* +1** +1'* +1+* +0,* +10* +01* +1K* +0G* +1H* +b10101111 A* +b10101111 ]* +1Q* +1N* +1R* +0S* +1W* +0X* +1r* +0n* +1o* +b10101111 h* +b10101111 &+ +1x* +1u* +1y* +0z* +1~* +0!+ +1;+ +07+ +18+ +b10101111 1+ +b10101111 M+ +1A+ +1>+ +1B+ +0C+ +1G+ +0H+ +1b+ +0^+ +1_+ +b10101111 X+ +b10101111 t+ +1h+ +1e+ +1i+ +0j+ +1n+ +0o+ +1+, +0', +1(, +b10101111 !, +b10101111 =, +11, +1., +12, +03, +17, +08, +1R, +0N, +1O, +b10101111 H, +b10101111 d, +1X, +1U, +1Y, +0Z, +1^, +0_, +1y, +0u, +1v, +b10101111 o, +b10101111 -- +1!- +1|, +1"- +0#- +1'- +0(- +1B- +0>- +1?- +b10101111 8- +b10101111 T- +1H- +1E- +1I- +0J- +1N- +0O- +1i- +0e- +1f- +b10101111 _- +b10101111 {- +1o- +1l- +1p- +0q- +1u- +0v- +12. +0.. +1/. +b10101111 (. +b10101111 D. +18. +15. +19. +0:. +1>. +0?. +1X. +0T. +1U. +b10101111 N. +b10101111 j. +1^. +1[. +1_. +0`. +1d. +0e. +0U +1p) +19* +1`* +1)+ +1P+ +1w+ +1@, +1g, +10- +1W- +1~- +1G. +b0 & +b0 0 +b11111111111111111111111111111111 % +b11111111111111111111111111111111 / +b1 ( +b1 + +1* +b1 , +b1 ) +#2000000 +0n/ +b0 J +b0 m/ +1! +b1 M. +b1 l. +1J. +0S +0P. +0Q. +0Y. +1]. +0W. +b10100100 N. +b10100100 j. +0V. +0# +1I. +0k/ +b0 I +b0 j/ +1#. +b1 '. +b1 F. +1$. +0*. +0+. +03. +17. +01. +b10100100 (. +b10100100 D. +00. +1". +0h/ +b0 G +b0 g/ +1Z- +b1 ^- +b1 }- +1[- +0a- +0b- +0j- +1n- +0h- +b10100100 _- +b10100100 {- +0g- +1Y- +0e/ +b0 F +b0 d/ +13- +b1 7- +b1 V- +14- +0:- +0;- +0C- +1G- +0A- +b10100100 8- +b10100100 T- +0@- +12- +0b/ +b0 E +b0 a/ +1j, +b1 n, +b1 /- +1k, +0q, +0r, +0z, +1~, +0x, +b10100100 o, +b10100100 -- +0w, +1i, +0_/ +b0 D +b0 ^/ +1C, +b1 G, +b1 f, +1D, +0J, +0K, +0S, +1W, +0Q, +b10100100 H, +b10100100 d, +0P, +1B, +0\/ +b0 C +b0 [/ +1z+ +b1 ~+ +b1 ?, +1{+ +0#, +0$, +0,, +10, +0*, +b10100100 !, +b10100100 =, +0), +1y+ +0Y/ +b0 B +b0 X/ +1S+ +b1 W+ +b1 v+ +1T+ +0Z+ +0[+ +0c+ +1g+ +0a+ +b10100100 X+ +b10100100 t+ +0`+ +1R+ +0V/ +b0 A +b0 U/ +1,+ +b1 0+ +b1 O+ +1-+ +03+ +04+ +0<+ +1@+ +0:+ +b10100100 1+ +b10100100 M+ +09+ +1++ +0S/ +b0 @ +b0 R/ +1c* +b1 g* +b1 (+ +1d* +0j* +0k* +0s* +1w* +0q* +b10100100 h* +b10100100 &+ +0p* +1b* +0P/ +b0 ? +b0 O/ +1<* +b1 @* +b1 _* +1=* +0C* +0D* +0L* +1P* +0J* +b10100100 A* +b10100100 ]* +0I* +1;* +0M/ +b0 > +b0 L/ +1s) +b1 w) +b1 8* +1t) +0z) +0{) +0%* +1)* +0#* +b10100100 x) +b10100100 6* +0"* +1r) +0J/ +b0 < +b0 I/ +1L) +b1 P) +b1 o) +1M) +0S) +0T) +0\) +1`) +0Z) +b10100100 Q) +b10100100 m) +0Y) +1K) +0G/ +b0 ; +b0 F/ +1%) +b1 )) +b1 H) +1&) +0,) +0-) +05) +19) +03) +b10100100 *) +b10100100 F) +02) +1$) +0D/ +b0 : +b0 C/ +1\( +b1 `( +b1 !) +1]( +0c( +0d( +0l( +1p( +0j( +b10100100 a( +b10100100 }( +0i( +1[( +0A/ +b0 9 +b0 @/ +15( +b1 9( +b1 X( +16( +0<( +0=( +0E( +1I( +0C( +b10100100 :( +b10100100 V( +0B( +14( +0>/ +b0 8 +b0 =/ +1l' +b1 p' +b1 1( +1m' +0s' +0t' +0|' +1"( +0z' +b10100100 q' +b10100100 /( +0y' +1k' +0;/ +b0 7 +b0 :/ +1E' +b1 I' +b1 h' +1F' +0L' +0M' +0U' +1Y' +0S' +b10100100 J' +b10100100 f' +0R' +1D' +08/ +b0 6 +b0 7/ +1|& +b1 "' +b1 A' +1}& +0%' +0&' +0.' +12' +0,' +b10100100 #' +b10100100 ?' +0+' +1{& +05/ +b0 5 +b0 4/ +1U& +b1 Y& +b1 x& +1V& +0\& +0]& +0e& +1i& +0c& +b10100100 Z& +b10100100 v& +0b& +1T& +02/ +b0 4 +b0 1/ +1.& +b1 2& +b1 Q& +1/& +05& +06& +0>& +1B& +0<& +b10100100 3& +b10100100 O& +0;& +1-& +0// +b0 3 +b0 ./ +1e% +b1 i% +b1 *& +1f% +0l% +0m% +0u% +1y% +0s% +b10100100 j% +b10100100 (& +0r% +1d% +0,/ +b0 P +b0 +/ +1>% +b1 B% +b1 a% +1?% +0E% +0F% +0N% +1R% +0L% +b10100100 C% +b10100100 _% +0K% +1=% +0)/ +b0 O +b0 (/ +1u$ +b1 y$ +b1 :% +1v$ +0|$ +0}$ +0'% +1+% +0%% +b10100100 z$ +b10100100 8% +0$% +1t$ +0&/ +b0 N +b0 %/ +1N$ +b1 R$ +b1 q$ +1O$ +0U$ +0V$ +0^$ +1b$ +0\$ +b10100100 S$ +b10100100 o$ +0[$ +1M$ +0#/ +b0 M +b0 "/ +1'$ +b1 +$ +b1 J$ +1($ +0.$ +0/$ +07$ +1;$ +05$ +b10100100 ,$ +b10100100 H$ +04$ +1&$ +0~. +b0 L +b0 }. +1^# +b1 b# +b1 #$ +1_# +0e# +0f# +0n# +1r# +0l# +b10100100 c# +b10100100 !$ +0k# +1]# +0{. +b0 K +b0 z. +17# +b1 ;# +b1 Z# +18# +0># +0?# +0G# +1K# +0E# +b10100100 <# +b10100100 X# +0D# +16# +0x. +b0 H +b0 w. +1n" +b1 r" +b1 3# +1o" +0u" +0v" +0~" +1$# +0|" +b10100100 s" +b10100100 1# +0{" +1m" +0u. +b0 = +b0 t. +1G" +b1 K" +b1 j" +1H" +0N" +0O" +0W" +1[" +0U" +b10100100 L" +b10100100 h" +0T" +1F" +0r. +b0 2 +b0 q. +1~ +b1 $" +b1 C" +1!" +0'" +0(" +00" +14" +0." +b10100100 %" +b10100100 A" +0-" +1} +0o. +b0 " +b0 R +b0 1 +b0 n. +1W +bz1111111111111111111111111111111 . +b1 [ +b1 z +1X +0^ +b0 Q +0_ +1j +0e +1p +0d +1u +0f +1` +0a +b10010000 \ +b10010000 x +0l +1h +0m +0r +1U +b1 & +b1 0 +b10 ( +b10 ) +#3000000 +1\. +1! +1J. +1Y. +0]. +1# +0I. +1k/ +b11110111 I +b11110111 j/ +0#. +0$. +1*. +1+. +13. +07. +11. +b10101111 (. +b10101111 D. +10. +0". +1h/ +b11110111 G +b11110111 g/ +0Z- +b0 ^- +b0 }- +0[- +1a- +1b- +1j- +0n- +1h- +b10101111 _- +b10101111 {- +1g- +0Y- +1e/ +b11110111 F +b11110111 d/ +03- +b0 7- +b0 V- +04- +10" +1W" +1~" +1G# +1n# +17$ +1^$ +1'% +1N% +1u% +1>& +1e& +1.' +1U' +1|' +1E( +1l( +15) +1\) +1%* +1L* +1s* +1<+ +1c+ +1,, +1S, +1z, +1:- +1;- +1C- +0G- +1A- +b10101111 8- +b10101111 T- +1@- +1S +0L. +b1 M. +b1 l. +0K. +0} +0F" +0#" +0"" +0m" +0J" +0I" +06# +0q" +0p" +0]# +0:# +09# +0&$ +0a# +0`# +0M$ +0*$ +0)$ +0t$ +0Q$ +0P$ +0=% +0x$ +0w$ +0d% +0A% +0@% +0-& +0h% +0g% +0T& +01& +00& +0{& +0X& +0W& +0D' +0!' +0~& +0k' +0H' +0G' +04( +0o' +0n' +0[( +08( +07( +0$) +0_( +0^( +0K) +0() +0') +0r) +0O) +0N) +0;* +0v) +0u) +0b* +0?* +0>* +0++ +0f* +0e* +0R+ +0/+ +0.+ +0y+ +0V+ +0U+ +0B, +0}+ +0|+ +0i, +0F, +0E, +02- +0m, +0l, +0n/ +b0 J +b0 m/ +0c. +0h. +1o. +b11111111 1 +b11111111 n. +0W +0X +1Z +b1010 [ +b1010 z +1Y +0r. +b0 2 +b0 q. +0~ +b0 $" +b0 C" +0!" +0:" +0?" +0u. +b0 = +b0 t. +0G" +b0 K" +b0 j" +0H" +0a" +0f" +0x. +b0 H +b0 w. +0n" +b0 r" +b0 3# +0o" +0*# +0/# +0{. +b0 K +b0 z. +07# +b0 ;# +b0 Z# +08# +0Q# +0V# +0~. +b0 L +b0 }. +0^# +b0 b# +b0 #$ +0_# +0x# +0}# +0#/ +b0 M +b0 "/ +0'$ +b0 +$ +b0 J$ +0($ +0A$ +0F$ +0&/ +b0 N +b0 %/ +0N$ +b0 R$ +b0 q$ +0O$ +0h$ +0m$ +0)/ +b0 O +b0 (/ +0u$ +b0 y$ +b0 :% +0v$ +01% +06% +0,/ +b0 P +b0 +/ +0>% +b0 B% +b0 a% +0?% +0X% +0]% +0// +b0 3 +b0 ./ +0e% +b0 i% +b0 *& +0f% +0!& +0&& +02/ +b0 4 +b0 1/ +0.& +b0 2& +b0 Q& +0/& +0H& +0M& +05/ +b0 5 +b0 4/ +0U& +b0 Y& +b0 x& +0V& +0o& +0t& +08/ +b0 6 +b0 7/ +0|& +b0 "' +b0 A' +0}& +08' +0=' +0;/ +b0 7 +b0 :/ +0E' +b0 I' +b0 h' +0F' +0_' +0d' +0>/ +b0 8 +b0 =/ +0l' +b0 p' +b0 1( +0m' +0(( +0-( +0A/ +b0 9 +b0 @/ +05( +b0 9( +b0 X( +06( +0O( +0T( +0D/ +b0 : +b0 C/ +0\( +b0 `( +b0 !) +0]( +0v( +0{( +0G/ +b0 ; +b0 F/ +0%) +b0 )) +b0 H) +0&) +0?) +0D) +0J/ +b0 < +b0 I/ +0L) +b0 P) +b0 o) +0M) +0f) +0k) +0M/ +b0 > +b0 L/ +0s) +b0 w) +b0 8* +0t) +0/* +04* +0P/ +b0 ? +b0 O/ +0<* +b0 @* +b0 _* +0=* +0V* +0[* +0S/ +b0 @ +b0 R/ +0c* +b0 g* +b0 (+ +0d* +0}* +0$+ +0V/ +b0 A +b0 U/ +0,+ +b0 0+ +b0 O+ +0-+ +0F+ +0K+ +0Y/ +b0 B +b0 X/ +0S+ +b0 W+ +b0 v+ +0T+ +0m+ +0r+ +0\/ +b0 C +b0 [/ +0z+ +b0 ~+ +b0 ?, +0{+ +06, +0;, +0_/ +b0 D +b0 ^/ +0C, +b0 G, +b0 f, +0D, +0], +0b, +0b/ +b1110000000000000000000000000001 " +b1110000000000000000000000000001 R +b0 E +b0 a/ +0j, +bz0000000000000000000000000000000 . +b0 n, +b0 /- +0k, +0&- +0+- +1&. +b1010 '. +b1010 F. +1%. +0P. +0Q. +0W. +1b. +0V. +1g. +1^ +1_ +0j +1e +0p +1o +1d +0u +1t +0'" +0(" +04" +0." +19" +0-" +1>" +0N" +0O" +0[" +0U" +1`" +0T" +1e" +0u" +0v" +0$# +0|" +1)# +0{" +1.# +0># +0?# +0K# +0E# +1P# +0D# +1U# +0e# +0f# +0r# +0l# +1w# +0k# +1|# +0.$ +0/$ +0;$ +05$ +1@$ +04$ +1E$ +0U$ +0V$ +0b$ +0\$ +1g$ +0[$ +1l$ +0|$ +0}$ +0+% +0%% +10% +0$% +15% +0E% +0F% +0R% +0L% +1W% +0K% +1\% +0l% +0m% +0y% +0s% +1~% +0r% +1%& +05& +06& +0B& +0<& +1G& +0;& +1L& +0\& +0]& +0i& +0c& +1n& +0b& +1s& +0%' +0&' +02' +0,' +17' +0+' +1<' +0L' +0M' +0Y' +0S' +1^' +0R' +1c' +0s' +0t' +0"( +0z' +1'( +0y' +1,( +0<( +0=( +0I( +0C( +1N( +0B( +1S( +0c( +0d( +0p( +0j( +1u( +0i( +1z( +0,) +0-) +09) +03) +1>) +02) +1C) +0S) +0T) +0`) +0Z) +1e) +0Y) +1j) +0z) +0{) +0)* +0#* +1.* +0"* +13* +0C* +0D* +0P* +0J* +1U* +0I* +1Z* +0j* +0k* +0w* +0q* +1|* +0p* +1#+ +03+ +04+ +0@+ +0:+ +1E+ +09+ +1J+ +0Z+ +0[+ +0g+ +0a+ +1l+ +0`+ +1q+ +0#, +0$, +00, +0*, +15, +0), +1:, +0J, +0K, +0W, +0Q, +1\, +0P, +1a, +0q, +b1110000000000000000000000000001 Q +0r, +0~, +0x, +1%- +0w, +1*- +1;. +1@. +0X. +1R. +0S. +b10010000 N. +b10010000 j. +0^. +1Z. +0_. +0d. +1f +0` +1a +b10101111 \ +b10101111 x +1l +0h +1m +1n +1r +1s +0/" +1+" +0," +b1100000 %" +b1100000 A" +05" +02" +06" +17" +0;" +1<" +0V" +1R" +0S" +b1100000 L" +b1100000 h" +0\" +0Y" +0]" +1^" +0b" +1c" +0}" +1y" +0z" +b1100000 s" +b1100000 1# +0%# +0"# +0&# +1'# +0+# +1,# +0F# +1B# +0C# +b1100000 <# +b1100000 X# +0L# +0I# +0M# +1N# +0R# +1S# +0m# +1i# +0j# +b1100000 c# +b1100000 !$ +0s# +0p# +0t# +1u# +0y# +1z# +06$ +12$ +03$ +b1100000 ,$ +b1100000 H$ +0<$ +09$ +0=$ +1>$ +0B$ +1C$ +0]$ +1Y$ +0Z$ +b1100000 S$ +b1100000 o$ +0c$ +0`$ +0d$ +1e$ +0i$ +1j$ +0&% +1"% +0#% +b1100000 z$ +b1100000 8% +0,% +0)% +0-% +1.% +02% +13% +0M% +1I% +0J% +b1100000 C% +b1100000 _% +0S% +0P% +0T% +1U% +0Y% +1Z% +0t% +1p% +0q% +b1100000 j% +b1100000 (& +0z% +0w% +0{% +1|% +0"& +1#& +0=& +19& +0:& +b1100000 3& +b1100000 O& +0C& +0@& +0D& +1E& +0I& +1J& +0d& +1`& +0a& +b1100000 Z& +b1100000 v& +0j& +0g& +0k& +1l& +0p& +1q& +0-' +1)' +0*' +b1100000 #' +b1100000 ?' +03' +00' +04' +15' +09' +1:' +0T' +1P' +0Q' +b1100000 J' +b1100000 f' +0Z' +0W' +0[' +1\' +0`' +1a' +0{' +1w' +0x' +b1100000 q' +b1100000 /( +0#( +0~' +0$( +1%( +0)( +1*( +0D( +1@( +0A( +b1100000 :( +b1100000 V( +0J( +0G( +0K( +1L( +0P( +1Q( +0k( +1g( +0h( +b1100000 a( +b1100000 }( +0q( +0n( +0r( +1s( +0w( +1x( +04) +10) +01) +b1100000 *) +b1100000 F) +0:) +07) +0;) +1<) +0@) +1A) +0[) +1W) +0X) +b1100000 Q) +b1100000 m) +0a) +0^) +0b) +1c) +0g) +1h) +0$* +1~) +0!* +b1100000 x) +b1100000 6* +0** +0'* +0+* +1,* +00* +11* +0K* +1G* +0H* +b1100000 A* +b1100000 ]* +0Q* +0N* +0R* +1S* +0W* +1X* +0r* +1n* +0o* +b1100000 h* +b1100000 &+ +0x* +0u* +0y* +1z* +0~* +1!+ +0;+ +17+ +08+ +b1100000 1+ +b1100000 M+ +0A+ +0>+ +0B+ +1C+ +0G+ +1H+ +0b+ +1^+ +0_+ +b1100000 X+ +b1100000 t+ +0h+ +0e+ +0i+ +1j+ +0n+ +1o+ +0+, +1', +0(, +b1100000 !, +b1100000 =, +01, +0., +02, +13, +07, +18, +0R, +1N, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +1Z, +0^, +1_, +0y, +1u, +0v, +b1100000 o, +b1100000 -- +0!- +0|, +0"- +1#- +0'- +1(- +1:. +1?. +1!. +1H. +0T +0{ +0D" +0k" +04# +0[# +0$$ +0K$ +0r$ +0;% +0b% +0+& +0R& +0y& +0B' +0i' +02( +0Y( +0") +0I) +0p) +09* +0`* +0)+ +0P+ +0w+ +0@, +0g, +0~- +b11000000000000000000000000000001 & +b11000000000000000000000000000001 0 +b10110000000000000000000000000000 % +b10110000000000000000000000000000 / +b11 ( +b11 ) +#4000000 +1A/ +b11110111 9 +b11110111 @/ +18( +b1010 9( +b1010 X( +17( +1<( +1=( +0E( +1C( +1O( +b1101011 :( +b1101011 V( +1B( +1T( +14( +18/ +b11110111 6 +b11110111 7/ +1D/ +b11110111 : +b11110111 C/ +1J/ +b11110111 < +b11110111 I/ +1u. +b11110111 = +b11110111 t. +1x. +b11110111 H +b11110111 w. +1~. +b11110111 L +b11110111 }. +1&/ +b11110111 N +b11110111 %/ +1,/ +b11110111 P +b11110111 +/ +1// +b11110111 3 +b11110111 ./ +1;/ +b11110111 7 +b11110111 :/ +1l' +bz0000000000000001000000000000000 . +b1 p' +b1 1( +1m' +0e/ +b0 F +b0 d/ +0h/ +b1000000000010110110011010101101 " +b1000000000010110110011010101101 R +b0 G +b0 g/ +1%' +1&' +1,' +07' +1!' +1+' +0<' +b1010 "' +b1010 A' +1~& +1c( +1d( +1j( +0u( +1_( +1i( +0z( +b1010 `( +b1010 !) +1^( +1S) +1T) +1Z) +0e) +1O) +1Y) +0j) +b1010 P) +b1010 o) +1N) +1N" +1O" +1U" +0`" +1T" +0e" +1u" +1v" +1|" +0)# +1{" +0.# +1e# +1f# +1l# +0w# +1k# +0|# +1U$ +1V$ +1\$ +0g$ +1[$ +0l$ +1E% +1F% +1L% +0W% +1K% +0\% +1l% +1m% +1s% +0~% +1r% +0%& +1L' +1M' +1S' +0^' +1R' +0c' +1!( +0:- +0;- +0A- +1L- +0@- +1Q- +0a- +b1000000000010110110011010101101 Q +0b- +0h- +1s- +0g- +1x- +1-' +0)' +1*' +b10101111 #' +b10101111 ?' +13' +10' +14' +16' +19' +1;' +1k( +0g( +1h( +b10101111 a( +b10101111 }( +1q( +1n( +1r( +1t( +1w( +1y( +1[) +0W) +1X) +b10101111 Q) +b10101111 m) +1a) +1^) +1b) +1d) +1g) +1i) +1V" +0R" +1S" +b10101111 L" +b10101111 h" +1\" +1Y" +1]" +0^" +1b" +0c" +1}" +0y" +1z" +b10101111 s" +b10101111 1# +1%# +1"# +1&# +0'# +1+# +0,# +1m# +0i# +1j# +b10101111 c# +b10101111 !$ +1s# +1p# +1t# +0u# +1y# +0z# +1]$ +0Y$ +1Z$ +b10101111 S$ +b10101111 o$ +1c$ +1`$ +1d$ +0e$ +1i$ +0j$ +1M% +0I% +1J% +b10101111 C% +b10101111 _% +1S% +1P% +1T% +0U% +1Y% +0Z% +1t% +0p% +1q% +b10101111 j% +b10101111 (& +1z% +1w% +1{% +0|% +1"& +0#& +1T' +0P' +1Q' +b10101111 J' +b10101111 f' +1Z' +1W' +1[' +0\' +1`' +0a' +1u' +0v' +0w' +1x' +b10010000 q' +b10010000 /( +1}' +1~' +0%( +0*( +0B- +1>- +0?- +b1100000 8- +b1100000 T- +0H- +0E- +0I- +1J- +0N- +1O- +0i- +1e- +0f- +b1100000 _- +b1100000 {- +0o- +0l- +0p- +1q- +0u- +1v- +1z& +1j' +1Z( +1J) +1D" +1k" +1[# +1K$ +1;% +1b% +1B' +1i' +00- +0W- +b11000000000010101010000000000001 & +b11000000000010101010000000000001 0 +b10000000000000001100011010101100 % +b10000000000000001100011010101100 / +b100 ( +b100 ) +#5000000 +13. +0=. +0B. +0". +0h/ +b0 G +b0 g/ +0Z- +0]- +b0 ^- +b0 }- +0\- +0b- +1j- +0a- +0h- +0t- +b1100000 _- +b1100000 {- +0g- +0y- +0Y- +0e/ +b0 F +b0 d/ +03- +06- +b0 7- +b0 V- +05- +0;- +1C- +0:- +0A- +0M- +b1100000 8- +b1100000 T- +0@- +0R- +02- +0b/ +b0 E +b0 a/ +0j, +0m, +b0 n, +b0 /- +0l, +0r, +1z, +0q, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +0i, +0_/ +b0 D +b0 ^/ +0C, +0F, +b0 G, +b0 f, +0E, +0K, +1S, +0J, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +0B, +0\/ +b0 C +b0 [/ +0z+ +0}+ +b0 ~+ +b0 ?, +0|+ +0$, +1,, +0#, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +0y+ +0Y/ +b0 B +b0 X/ +0S+ +0V+ +b0 W+ +b0 v+ +0U+ +0[+ +1c+ +0Z+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0R+ +0V/ +b0 A +b0 U/ +0,+ +0/+ +b0 0+ +b0 O+ +0.+ +04+ +1<+ +03+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0++ +0S/ +b0 @ +b0 R/ +0c* +0f* +b0 g* +b0 (+ +0e* +0k* +1s* +0j* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +0b* +b0 K" +b0 j" +0H" +1u. +b11110111 = +b11110111 t. +0M) +1J/ +b11110111 < +b11110111 I/ +0P/ +b0 ? +b0 O/ +0<* +0?* +b0 @* +b0 _* +0>* +1O" +1W" +0[" +1N" +1U" +b10101111 L" +b10101111 h" +1T" +1T) +1\) +0`) +1S) +1Z) +b10101111 Q) +b10101111 m) +1Y) +0D* +1L* +0C* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +b0 p' +b0 1( +0m' +1>/ +b11110111 8 +b11110111 =/ +0k/ +b0 I +b0 j/ +1X +0o. +0Z +b1 [ +b1 z +0Y +0!" +1{. +b11110111 K +b11110111 z. +1#/ +b11110111 M +b11110111 "/ +1)/ +b11110111 O +b11110111 (/ +12/ +b11110111 4 +b11110111 1/ +15/ +b11110111 5 +b11110111 4/ +0&) +0F" +0K) +0;* +0J. +0!' +b0 "' +b0 A' +0~& +1t' +0!( +1s' +1z' +0'( +1y' +0,( +0_( +0^( +0O) +b0 P) +b0 o) +0N) +0+. +0*. +01. +1<. +0&. +00. +1A. +b0 '. +b0 F. +0%. +0_ +1j +0^ +0e +1p +0o +0d +1u +0t +04" +09" +0>" +1?# +1># +1E# +0P# +1D# +0U# +1/$ +1.$ +15$ +0@$ +14$ +0E$ +1}$ +1|$ +1%% +00% +1$% +05% +16& +15& +1<& +0G& +1;& +0L& +1]& +1\& +1c& +0n& +1b& +0s& +0N( +0S( +09) +0>) +0C) +1r. +b11110111 2 +b11110111 q. +0~ +0#" +b0 $" +b0 C" +0"" +b0 I' +b0 h' +0F' +1;/ +b11110111 7 +b11110111 :/ +1A/ +b11110111 9 +b11110111 @/ +08( +b0 9( +b0 X( +07( +b0 `( +b0 !) +0]( +1D/ +b11110111 : +b11110111 C/ +1G/ +b11110111 ; +b11110111 F/ +0%) +0() +b0 )) +b0 H) +0') +0M/ +b0 > +b0 L/ +0s) +0v) +b0 w) +b0 8* +0u) +0\. +0n/ +b11111111111111111110 " +b11111111111111111110 R +b0 J +b0 m/ +0L. +b0 M. +b0 l. +0K. +06' +0;' +1{' +0u' +1v' +b10101111 q' +b10101111 /( +1#( +0}' +1$( +1)( +0t( +0y( +0d) +0i) +02. +1.. +0/. +b1100000 (. +b1100000 D. +08. +05. +09. +0;. +0>. +0@. +0f +1` +0a +b10010000 \ +b10010000 x +0l +1h +0m +0n +0r +0s +1/" +0+" +1," +15" +12" +16" +07" +1;" +0<" +1F# +0B# +1C# +b10101111 <# +b10101111 X# +1L# +1I# +1M# +0N# +1R# +0S# +16$ +02$ +13$ +b10101111 ,$ +b10101111 H$ +1<$ +19$ +1=$ +0>$ +1B$ +0C$ +1&% +0"% +1#% +b10101111 z$ +b10101111 8% +1,% +1)% +1-% +0.% +12% +03% +1=& +09& +1:& +b10101111 3& +b10101111 O& +1C& +1@& +1D& +0E& +1I& +0J& +1d& +0`& +1a& +b10101111 Z& +b10101111 v& +1j& +1g& +1k& +0l& +1p& +0q& +05' +0:' +1D( +0@( +1A( +1J( +1G( +1K( +0L( +1P( +0Q( +0s( +0x( +14) +00) +11) +1:) +17) +1;) +0<) +1@) +0A) +0c) +0h) +0R. +1S. +1T. +0U. +0Z. +0[. +1`. +1e. +1(" +10" +1'" +1." +0:" +b10101111 %" +b10101111 A" +1-" +0?" +1M' +1U' +0Y' +1L' +1S' +b10101111 J' +b10101111 f' +1R' +1=( +1E( +1<( +1C( +0O( +b10101111 :( +b10101111 V( +1B( +0T( +1d( +1l( +0p( +1c( +1j( +b10101111 a( +b10101111 }( +1i( +1-) +15) +1,) +13) +0?) +b10101111 *) +b10101111 F) +12) +0D) +0{) +1%* +0z) +0#* +0/* +b1100000 x) +b1100000 6* +0"* +04* +0Q. +1Y. +0]. +0P. +b11111111111111111110 Q +0W. +0c. +b1100000 N. +b1100000 j. +0V. +0h. +0S +b0 1 +b0 n. +0z& +0j' +0Z( +0J) +0!. +0H. +1T +1{ +14# +1$$ +1r$ +1+& +1R& +1y& +12( +1Y( +1") +1I) +0G. +0} +0D' +04( +0[( +0$) +0r) +0I. +0# +b1 & +b1 0 +b11111111111111111111 % +b11111111111111111111 / +b1 ' +b1 - +b1 ] +b1 w +b1 y +b1 &" +b1 @" +b1 B" +b1 M" +b1 g" +b1 i" +b1 t" +b1 0# +b1 2# +b1 =# +b1 W# +b1 Y# +b1 d# +b1 ~# +b1 "$ +b1 -$ +b1 G$ +b1 I$ +b1 T$ +b1 n$ +b1 p$ +b1 {$ +b1 7% +b1 9% +b1 D% +b1 ^% +b1 `% +b1 k% +b1 '& +b1 )& +b1 4& +b1 N& +b1 P& +b1 [& +b1 u& +b1 w& +b1 $' +b1 >' +b1 @' +b1 K' +b1 e' +b1 g' +b1 r' +b1 .( +b1 0( +b1 ;( +b1 U( +b1 W( +b1 b( +b1 |( +b1 ~( +b1 +) +b1 E) +b1 G) +b1 R) +b1 l) +b1 n) +b1 y) +b1 5* +b1 7* +b1 B* +b1 \* +b1 ^* +b1 i* +b1 %+ +b1 '+ +b1 2+ +b1 L+ +b1 N+ +b1 Y+ +b1 s+ +b1 u+ +b1 ", +b1 <, +b1 >, +b1 I, +b1 c, +b1 e, +b1 p, +b1 ,- +b1 .- +b1 9- +b1 S- +b1 U- +b1 `- +b1 z- +b1 |- +b1 ). +b1 C. +b1 E. +b1 O. +b1 i. +b1 k. +b1 m. +b1 p. +b1 s. +b1 v. +b1 y. +b1 |. +b1 !/ +b1 $/ +b1 '/ +b1 */ +b1 -/ +b1 0/ +b1 3/ +b1 6/ +b1 9/ +b1 +b11110111 L/ +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b11110111 E +b11110111 a/ +1e/ +b11110111 F +b11110111 d/ +1h/ +b11110111 G +b11110111 g/ +1k/ +b11110111 I +b11110111 j/ +1n/ +b11111111111111111111111111111111 " +b11111111111111111111111111111111 R +b11110111 J +b11110111 m/ +1_ +0j +1^ +1e +0p +1d +0u +1{) +1z) +1#* +0.* +1"* +03* +1D* +1C* +1J* +0U* +1I* +0Z* +1k* +1j* +1q* +0|* +1p* +0#+ +14+ +13+ +1:+ +0E+ +19+ +0J+ +1[+ +1Z+ +1a+ +0l+ +1`+ +0q+ +1$, +1#, +1*, +05, +1), +0:, +1K, +1J, +1Q, +0\, +1P, +0a, +1r, +1q, +1x, +0%- +1w, +0*- +1;- +1:- +1A- +0L- +1@- +0Q- +1b- +1a- +1h- +0s- +1g- +0x- +1+. +1*. +11. +0<. +10. +0A. +1Q. +1P. +b11111111111111111111111111111111 Q +1W. +0b. +1V. +0g. +1f +0` +1a +b10101111 \ +b10101111 x +1l +0h +1m +1r +1$* +0~) +1!* +b10101111 x) +b10101111 6* +1** +1'* +1+* +0,* +10* +01* +1K* +0G* +1H* +b10101111 A* +b10101111 ]* +1Q* +1N* +1R* +0S* +1W* +0X* +1r* +0n* +1o* +b10101111 h* +b10101111 &+ +1x* +1u* +1y* +0z* +1~* +0!+ +1;+ +07+ +18+ +b10101111 1+ +b10101111 M+ +1A+ +1>+ +1B+ +0C+ +1G+ +0H+ +1b+ +0^+ +1_+ +b10101111 X+ +b10101111 t+ +1h+ +1e+ +1i+ +0j+ +1n+ +0o+ +1+, +0', +1(, +b10101111 !, +b10101111 =, +11, +1., +12, +03, +17, +08, +1R, +0N, +1O, +b10101111 H, +b10101111 d, +1X, +1U, +1Y, +0Z, +1^, +0_, +1y, +0u, +1v, +b10101111 o, +b10101111 -- +1!- +1|, +1"- +0#- +1'- +0(- +1B- +0>- +1?- +b10101111 8- +b10101111 T- +1H- +1E- +1I- +0J- +1N- +0O- +1i- +0e- +1f- +b10101111 _- +b10101111 {- +1o- +1l- +1p- +0q- +1u- +0v- +12. +0.. +1/. +b10101111 (. +b10101111 D. +18. +15. +19. +0:. +1>. +0?. +1X. +0T. +1U. +b10101111 N. +b10101111 j. +1^. +1[. +1_. +0`. +1d. +0e. +0U +1p) +19* +1`* +1)+ +1P+ +1w+ +1@, +1g, +10- +1W- +1~- +1G. +b0 & +b0 0 +b11111111111111111111111111111111 % +b11111111111111111111111111111111 / +b110 ( +b110 ) +#7000000 +b1 7- +b1 V- +14- +0e/ +b0 F +b0 d/ +0;- +0C- +1G- +0:- +0A- +b10100100 8- +b10100100 T- +0@- +12- +1j, +1m, +b1010 n, +b1010 /- +1l, +0z, +1&- +1+- +1i, +1C, +1F, +b1010 G, +b1010 f, +1E, +0S, +1], +1b, +1B, +1z+ +1}+ +b1010 ~+ +b1010 ?, +1|+ +0,, +16, +1;, +1y+ +1S+ +1V+ +b1010 W+ +b1010 v+ +1U+ +0c+ +1m+ +1r+ +1R+ +1,+ +1/+ +b1010 0+ +b1010 O+ +1.+ +0<+ +1F+ +1K+ +1++ +1c* +1f* +b1010 g* +b1010 (+ +1e* +0s* +1}* +1$+ +1b* +1<* +1?* +b1010 @* +b1010 _* +1>* +0L* +1V* +1[* +1;* +1s) +1v) +b1010 w) +b1010 8* +1u) +0%* +1/* +14* +1r) +1L) +1O) +b1010 P) +b1010 o) +1N) +0\) +1f) +1k) +1K) +1%) +1() +b1010 )) +b1010 H) +1') +05) +1?) +1D) +1$) +1\( +1_( +b1010 `( +b1010 !) +1^( +0l( +1v( +1{( +1[( +15( +18( +b1010 9( +b1010 X( +17( +0E( +1O( +1T( +14( +1l' +1o' +b1010 p' +b1010 1( +1n' +0|' +1(( +1-( +1k' +1E' +1H' +b1010 I' +b1010 h' +1G' +0U' +1_' +1d' +1D' +1|& +1!' +b1010 "' +b1010 A' +1~& +0.' +18' +1=' +1{& +1U& +1X& +b1010 Y& +b1010 x& +1W& +0e& +1o& +1t& +1T& +1.& +11& +b1010 2& +b1010 Q& +10& +0>& +1H& +1M& +1-& +1e% +1h% +b1010 i% +b1010 *& +1g% +0u% +1!& +1&& +1d% +1>% +1A% +b1010 B% +b1010 a% +1@% +0N% +1X% +1]% +1=% +1u$ +1x$ +b1010 y$ +b1010 :% +1w$ +0'% +11% +16% +1t$ +1N$ +1Q$ +b1010 R$ +b1010 q$ +1P$ +0^$ +1h$ +1m$ +1M$ +1'$ +1*$ +b1010 +$ +b1010 J$ +1)$ +07$ +1A$ +1F$ +1&$ +1^# +1a# +b1010 b# +b1010 #$ +1`# +0n# +1x# +1}# +1]# +17# +1:# +b1010 ;# +b1010 Z# +19# +0G# +1Q# +1V# +16# +1n" +1q" +b1010 r" +b1010 3# +1p" +0~" +1*# +1/# +1m" +1G" +1J" +b1010 K" +b1010 j" +1I" +0W" +1a" +1f" +1F" +1~ +1#" +b1010 $" +b1010 C" +1"" +1! +1L. +1K. +00" +1:" +1?" +0Y. +1]. +1c. +1h. +0# +1S +b11111111 1 +b11111111 n. +1} +1I. +b1011 M. +b1011 l. +1J. +1n/ +b11110111 J +b11110111 m/ +1r. +b11110111 2 +b11110111 q. +1u. +b11110111 = +b11110111 t. +1x. +b11110111 H +b11110111 w. +1{. +b11110111 K +b11110111 z. +1~. +b11110111 L +b11110111 }. +1#/ +b11110111 M +b11110111 "/ +1&/ +b11110111 N +b11110111 %/ +1)/ +b11110111 O +b11110111 (/ +1,/ +b11110111 P +b11110111 +/ +1// +b11110111 3 +b11110111 ./ +12/ +b11110111 4 +b11110111 1/ +15/ +b11110111 5 +b11110111 4/ +18/ +b11110111 6 +b11110111 7/ +1;/ +b11110111 7 +b11110111 :/ +1>/ +b11110111 8 +b11110111 =/ +1A/ +b11110111 9 +b11110111 @/ +1D/ +b11110111 : +b11110111 C/ +1G/ +b11110111 ; +b11110111 F/ +1J/ +b11110111 < +b11110111 I/ +1M/ +b11110111 > +b11110111 L/ +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b11101111111111111111111111111111 " +b11101111111111111111111111111111 R +b11110111 E +b11110111 a/ +1W +1Z +b1010 [ +b1010 z +1Y +1#. +bz1001111111111111111111111111111 . +1&. +b1010 '. +b1010 F. +1%. +1Q. +0\. +1P. +1W. +1b. +1V. +1g. +1(" +1'" +1." +19" +1-" +1>" +1O" +1N" +1U" +1`" +1T" +1e" +1v" +1u" +1|" +1)# +1{" +1.# +1?# +1># +1E# +1P# +1D# +1U# +1f# +1e# +1l# +1w# +1k# +1|# +1/$ +1.$ +15$ +1@$ +14$ +1E$ +1V$ +1U$ +1\$ +1g$ +1[$ +1l$ +1}$ +1|$ +1%% +10% +1$% +15% +1F% +1E% +1L% +1W% +1K% +1\% +1m% +1l% +1s% +1~% +1r% +1%& +16& +15& +1<& +1G& +1;& +1L& +1]& +1\& +1c& +1n& +1b& +1s& +1&' +1%' +1,' +17' +1+' +1<' +1M' +1L' +1S' +1^' +1R' +1c' +1t' +1s' +1z' +1'( +1y' +1,( +1=( +1<( +1C( +1N( +1B( +1S( +1d( +1c( +1j( +1u( +1i( +1z( +1-) +1,) +13) +1>) +12) +1C) +1T) +1S) +1Z) +1e) +1Y) +1j) +1{) +1z) +1#* +1.* +1"* +13* +1D* +1C* +1J* +1U* +1I* +1Z* +1k* +1j* +1q* +1|* +1p* +1#+ +14+ +13+ +1:+ +1E+ +19+ +1J+ +1[+ +1Z+ +1a+ +1l+ +1`+ +1q+ +1$, +1#, +1*, +15, +1), +1:, +1K, +1J, +1Q, +1\, +1P, +1a, +1r, +1q, +b11101111111111111111111111111111 Q +1x, +1%- +1w, +1*- +1o +1t +1;. +1@. +0X. +1R. +0S. +b10011011 N. +b10011011 j. +0^. +1Z. +0_. +0d. +1n +1s +0/" +1+" +0," +b1101011 %" +b1101011 A" +05" +02" +06" +17" +0;" +1<" +0V" +1R" +0S" +b1101011 L" +b1101011 h" +0\" +0Y" +0]" +1^" +0b" +1c" +0}" +1y" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +1'# +0+# +1,# +0F# +1B# +0C# +b1101011 <# +b1101011 X# +0L# +0I# +0M# +1N# +0R# +1S# +0m# +1i# +0j# +b1101011 c# +b1101011 !$ +0s# +0p# +0t# +1u# +0y# +1z# +06$ +12$ +03$ +b1101011 ,$ +b1101011 H$ +0<$ +09$ +0=$ +1>$ +0B$ +1C$ +0]$ +1Y$ +0Z$ +b1101011 S$ +b1101011 o$ +0c$ +0`$ +0d$ +1e$ +0i$ +1j$ +0&% +1"% +0#% +b1101011 z$ +b1101011 8% +0,% +0)% +0-% +1.% +02% +13% +0M% +1I% +0J% +b1101011 C% +b1101011 _% +0S% +0P% +0T% +1U% +0Y% +1Z% +0t% +1p% +0q% +b1101011 j% +b1101011 (& +0z% +0w% +0{% +1|% +0"& +1#& +0=& +19& +0:& +b1101011 3& +b1101011 O& +0C& +0@& +0D& +1E& +0I& +1J& +0d& +1`& +0a& +b1101011 Z& +b1101011 v& +0j& +0g& +0k& +1l& +0p& +1q& +0-' +1)' +0*' +b1101011 #' +b1101011 ?' +03' +00' +04' +15' +09' +1:' +0T' +1P' +0Q' +b1101011 J' +b1101011 f' +0Z' +0W' +0[' +1\' +0`' +1a' +0{' +1w' +0x' +b1101011 q' +b1101011 /( +0#( +0~' +0$( +1%( +0)( +1*( +0D( +1@( +0A( +b1101011 :( +b1101011 V( +0J( +0G( +0K( +1L( +0P( +1Q( +0k( +1g( +0h( +b1101011 a( +b1101011 }( +0q( +0n( +0r( +1s( +0w( +1x( +04) +10) +01) +b1101011 *) +b1101011 F) +0:) +07) +0;) +1<) +0@) +1A) +0[) +1W) +0X) +b1101011 Q) +b1101011 m) +0a) +0^) +0b) +1c) +0g) +1h) +0$* +1~) +0!* +b1101011 x) +b1101011 6* +0** +0'* +0+* +1,* +00* +11* +0K* +1G* +0H* +b1101011 A* +b1101011 ]* +0Q* +0N* +0R* +1S* +0W* +1X* +0r* +1n* +0o* +b1101011 h* +b1101011 &+ +0x* +0u* +0y* +1z* +0~* +1!+ +0;+ +17+ +08+ +b1101011 1+ +b1101011 M+ +0A+ +0>+ +0B+ +1C+ +0G+ +1H+ +0b+ +1^+ +0_+ +b1101011 X+ +b1101011 t+ +0h+ +0e+ +0i+ +1j+ +0n+ +1o+ +0+, +1', +0(, +b1101011 !, +b1101011 =, +01, +0., +02, +13, +07, +18, +0R, +1N, +0O, +b1101011 H, +b1101011 d, +0X, +0U, +0Y, +1Z, +0^, +1_, +0y, +1u, +0v, +b1101011 o, +b1101011 -- +0!- +0|, +0"- +1#- +0'- +1(- +1:. +1?. +1U +1!. +1H. +0T +0{ +0D" +0k" +04# +0[# +0$$ +0K$ +0r$ +0;% +0b% +0+& +0R& +0y& +0B' +0i' +02( +0Y( +0") +0I) +0p) +09* +0`* +0)+ +0P+ +0w+ +0@, +0g, +0~- +b11000000000000000000000000000001 & +b11000000000000000000000000000001 0 +b10110000000000000000000000000000 % +b10110000000000000000000000000000 / +b111 ( +b111 ) +#8000000 +1.' +1l( +0{& +0[( +05/ +b0 5 +b0 4/ +0U& +0X& +b0 Y& +b0 x& +0W& +0A/ +b0 9 +b0 @/ +05( +08( +b0 9( +b0 X( +07( +b1011 '. +b1011 F. +1$. +0k/ +b0 I +b0 j/ +1n# +1^$ +1N% +0]& +1e& +0\& +0c& +0o& +b1100000 Z& +b1100000 v& +0b& +0t& +0=( +1E( +0<( +0C( +0O( +b1100000 :( +b1100000 V( +0B( +0T( +0+. +03. +17. +0*. +01. +b10100100 (. +b10100100 D. +00. +0]# +0M$ +0=% +0T& +04( +1". +0{. +b0 K +b0 z. +07# +0:# +b0 ;# +b0 Z# +09# +0#/ +b0 M +b0 "/ +0'$ +0*$ +b0 +$ +b0 J$ +0)$ +0)/ +b0 O +b0 (/ +0u$ +0x$ +b0 y$ +b0 :% +0w$ +02/ +b0 4 +b0 1/ +0.& +01& +b0 2& +b0 Q& +00& +1!( +0>/ +b0 8 +b0 =/ +0l' +0o' +0n' +1Z- +1]- +b1010 ^- +b1010 }- +1\- +1~" +0?# +1G# +0># +0E# +0Q# +b1100000 <# +b1100000 X# +0D# +0V# +0/$ +17$ +0.$ +05$ +0A$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0}$ +1'% +0|$ +0%% +01% +b1100000 z$ +b1100000 8% +0$% +06% +1u% +06& +1>& +05& +0<& +0H& +b1100000 3& +b1100000 O& +0;& +0M& +0t' +1|' +0s' +0z' +0(( +0y' +0-( +0j- +1t- +1y- +0m" +06# +0&$ +0t$ +0d% +0-& +0k' +1Y- +0G" +0J" +0I" +0n" +0q" +0p" +0^# +0a# +0`# +0N$ +0Q$ +0P$ +0>% +0A% +0@% +0e% +0h% +0g% +0E' +0H' +0G' +13- +bz1111111111111100010000000000011 . +16- +15- +b1010 "' +b1010 A' +0}& +18/ +b11110111 6 +b11110111 7/ +08' +0=' +b1010 `( +b1010 !) +0]( +1D/ +b11110111 : +b11110111 C/ +0v( +0{( +b1011 P) +b1011 o) +1M) +0J/ +b0 < +b0 I/ +0f) +0k) +b1 K" +b1 j" +1H" +0u. +b0 = +b0 t. +0a" +0f" +b0 r" +b0 3# +0o" +1x. +b11110111 H +b11110111 w. +0*# +0/# +b0 b# +b0 #$ +0_# +1~. +b11110111 L +b11110111 }. +0x# +0}# +b0 R$ +b0 q$ +0O$ +1&/ +b11110111 N +b11110111 %/ +0h$ +0m$ +b0 B% +b0 a% +0?% +1,/ +b11110111 P +b11110111 +/ +0X% +0]% +b0 i% +b0 *& +0f% +1// +b11110111 3 +b11110111 ./ +0!& +0&& +b1 I' +b1 h' +1F' +0;/ +b0 7 +b0 :/ +0_' +0d' +b1 p' +b1 1( +1m' +b1010 7- +b1010 V- +04- +1e/ +b11110111 F +b11110111 d/ +1M- +1R- +1h/ +b10111111111101100010011010101011 " +b10111111111101100010011010101011 R +b11110111 G +b11110111 g/ +1&' +02' +1%' +1,' +07' +1+' +0<' +1d( +0p( +1c( +1j( +0u( +1i( +0z( +0T) +1`) +0S) +0Z) +0e) +0Y) +0j) +0O" +1[" +0N" +0U" +0`" +0T" +0e" +1v" +0$# +1u" +1|" +0)# +1{" +0.# +1f# +0r# +1e# +1l# +0w# +1k# +0|# +1V$ +0b$ +1U$ +1\$ +0g$ +1[$ +0l$ +1F% +0R% +1E% +1L% +0W% +1K% +0\% +1m% +0y% +1l% +1s% +0~% +1r% +0%& +0M' +1Y' +0L' +0S' +0^' +0R' +0c' +0"( +1;- +0G- +1:- +1A- +1L- +1@- +1Q- +1b- +1a- +b10111111111101100010011010101011 Q +1h- +1s- +1g- +1x- +1-' +0)' +1*' +b10101111 #' +b10101111 ?' +13' +10' +14' +16' +19' +1;' +1k( +0g( +1h( +b10101111 a( +b10101111 }( +1q( +1n( +1r( +1t( +1w( +1y( +1[) +0W) +1X) +b10100100 Q) +b10100100 m) +1a) +1^) +1b) +1d) +1g) +1i) +1V" +0R" +1S" +b10100100 L" +b10100100 h" +1\" +1Y" +1]" +0^" +1b" +0c" +1}" +0y" +1z" +b10101111 s" +b10101111 1# +1%# +1"# +1&# +0'# +1+# +0,# +1m# +0i# +1j# +b10101111 c# +b10101111 !$ +1s# +1p# +1t# +0u# +1y# +0z# +1]$ +0Y$ +1Z$ +b10101111 S$ +b10101111 o$ +1c$ +1`$ +1d$ +0e$ +1i$ +0j$ +1M% +0I% +1J% +b10101111 C% +b10101111 _% +1S% +1P% +1T% +0U% +1Y% +0Z% +1t% +0p% +1q% +b10101111 j% +b10101111 (& +1z% +1w% +1{% +0|% +1"& +0#& +1T' +0P' +1Q' +b10100100 J' +b10100100 f' +1Z' +1W' +1[' +0\' +1`' +0a' +1u' +0v' +0w' +1x' +b10010000 q' +b10010000 /( +1}' +1~' +0%( +0*( +0B- +1>- +0?- +b1101011 8- +b1101011 T- +0H- +0E- +0I- +1J- +0N- +1O- +0i- +1e- +0f- +b1101011 _- +b1101011 {- +0o- +0l- +0p- +1q- +0u- +1v- +1z& +1j' +1Z( +1J) +1D" +1k" +1[# +1K$ +1;% +1b% +1B' +1i' +00- +0W- +b11000000000010101010000000000001 & +b11000000000010101010000000000001 0 +b10000000000000001100011010101100 % +b10000000000000001100011010101100 / +b1000 ( +b1000 ) +#9000000 +b1011 "' +b1011 A' +1}& +08/ +b0 6 +b0 7/ +0&' +0.' +12' +0%' +0,' +b10100100 #' +b10100100 ?' +0+' +1{& +15/ +b11110111 5 +b11110111 4/ +1U& +1X& +b1010 Y& +b1010 x& +1W& +1]& +0e& +1\& +1c& +1o& +b1101011 Z& +b1101011 v& +1b& +1t& +1T& +12/ +b11110111 4 +b11110111 1/ +1.& +11& +b1010 2& +b1010 Q& +10& +16& +0>& +15& +1<& +1H& +b1101011 3& +b1101011 O& +1;& +1M& +1-& +1e% +1h% +b1010 i% +b1010 *& +1g% +0u% +1!& +1&& +1d% +1>% +1A% +b1010 B% +b1010 a% +1@% +0N% +1X% +1]% +1=% +1)/ +b11110111 O +b11110111 (/ +1u$ +1x$ +b1010 y$ +b1010 :% +1w$ +1}$ +0'% +1|$ +1%% +11% +b1101011 z$ +b1101011 8% +1$% +16% +1t$ +1N$ +1Q$ +b1010 R$ +b1010 q$ +1P$ +0^$ +1h$ +1m$ +1M$ +1#/ +b11110111 M +b11110111 "/ +1'$ +1*$ +b1010 +$ +b1010 J$ +1)$ +1/$ +07$ +1.$ +15$ +1A$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +1&$ +1^# +1a# +b1010 b# +b1010 #$ +1`# +0n# +1x# +1}# +1]# +1{. +b11110111 K +b11110111 z. +17# +1:# +b1010 ;# +b1010 Z# +19# +1?# +0G# +1># +1E# +1Q# +b1101011 <# +b1101011 X# +1D# +1V# +b1011 `( +b1011 !) +1]( +0D/ +b0 : +b0 C/ +16# +0d( +0l( +1p( +0c( +0j( +b10100100 a( +b10100100 }( +0i( +1n" +1q" +b1010 r" +b1010 3# +1p" +1[( +0~" +1*# +1/# +0|' +1"( +1A/ +b11110111 9 +b11110111 @/ +15( +18( +b1010 9( +b1010 X( +17( +b1010 M. +b1010 l. +0J. +1m" +1k' +1=( +0E( +1<( +1C( +1O( +b1101011 :( +b1101011 V( +1B( +1T( +1Y. +0]. +1# +1G" +1J" +1I" +1E' +1H' +1G' +14( +0S +b11110111 1 +b11110111 n. +0I. +b1010 K" +b1010 j" +0H" +1u. +b11110111 = +b11110111 t. +1a" +1f" +1x. +b11110111 H +b11110111 w. +1~. +b11110111 L +b11110111 }. +1&/ +b11110111 N +b11110111 %/ +1,/ +b11110111 P +b11110111 +/ +1// +b11110111 3 +b11110111 ./ +b1010 I' +b1010 h' +0F' +1;/ +b11110111 7 +b11110111 :/ +1_' +1d' +1m' +0>/ +b0 8 +b0 =/ +1l' +1o' +b1011 p' +b1011 1( +1n' +1n/ +b10111111111101010101111111111111 " +b10111111111101010101111111111111 R +b11110111 J +b11110111 m/ +0c. +0h. +0#. +bz0111111111111111111111111111111 . +0&. +b1 '. +b1 F. +0%. +1O" +0[" +1N" +1U" +1`" +1T" +1e" +1v" +1u" +1|" +1)# +1{" +1.# +1f# +1e# +1l# +1w# +1k# +1|# +1V$ +1U$ +1\$ +1g$ +1[$ +1l$ +1F% +1E% +1L% +1W% +1K% +1\% +1m% +1l% +1s% +1~% +1r% +1%& +1M' +0Y' +1L' +1S' +1^' +1R' +1c' +0t' +0!( +0s' +0z' +0'( +1&( +0y' +0,( +1+( +1Q. +1P. +b10111111111101010101111111111111 Q +1W. +0b. +1a. +1V. +0g. +1f. +0;. +0@. +0V" +1R" +0S" +b1101011 L" +b1101011 h" +0\" +0Y" +0]" +1^" +0b" +1c" +0}" +1y" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +1'# +0+# +1,# +0m# +1i# +0j# +b1101011 c# +b1101011 !$ +0s# +0p# +0t# +1u# +0y# +1z# +0]$ +1Y$ +0Z$ +b1101011 S$ +b1101011 o$ +0c$ +0`$ +0d$ +1e$ +0i$ +1j$ +0M% +1I% +0J% +b1101011 C% +b1101011 _% +0S% +0P% +0T% +1U% +0Y% +1Z% +0t% +1p% +0q% +b1101011 j% +b1101011 (& +0z% +0w% +0{% +1|% +0"& +1#& +0T' +1P' +0Q' +b1101011 J' +b1101011 f' +0Z' +0W' +0[' +1\' +0`' +1a' +1{' +0u' +1v' +b10100100 q' +b10100100 /( +1#( +0}' +1$( +1%( +1)( +1*( +0:. +0?. +1X. +0R. +1S. +b10101111 N. +b10101111 j. +1^. +0Z. +1_. +1`. +1d. +1e. +0!. +0D" +0k" +0[# +0K$ +0;% +0b% +0B' +0i' +1~- +0G. +b10000000000010101010000000000001 & +b10000000000010101010000000000001 0 +b1000000000000000000000000000000 % +b1000000000000000000000000000000 / +b1001 ( +b1001 ) +#10000000 +1J. +0n/ +b111111111101010101111111111111 " +b111111111101010101111111111111 R +b0 J +b0 m/ +0Q. +0Y. +1]. +0P. +b111111111101010101111111111111 Q +0W. +b10100100 N. +b10100100 j. +0V. +1S +b11111111 1 +b11111111 n. +1I. +1# +1#. +bz1111111111111111111111111111111 . +1&. +b1011 '. +b1011 F. +1%. +0! +0L. +b1 M. +b1 l. +0K. +1;. +1@. +0a. +0f. +1:. +1?. +0`. +0e. +1!. +0H. +0~- +1G. +b1000000000010101010000000000001 & +b1000000000010101010000000000001 0 +b10000000000000000000000000000000 % +b10000000000000000000000000000000 / +b1010 ( +b1010 ) +#11000000 +17' +0!' +1<' +0~& +1'( +0o' +1,( +0n' +1u( +0_( +1z( +0^( +1e) +0O) +1j) +0N) +1<. +0&. +1A. +0%. +1b. +1g. +0#" +b0 $" +b0 C" +0"" +0J" +b0 K" +b0 j" +0I" +0q" +b0 r" +b0 3# +0p" +0:# +b0 ;# +b0 Z# +09# +0a# +b0 b# +b0 #$ +0`# +0*$ +b0 +$ +b0 J$ +0)$ +0Q$ +b0 R$ +b0 q$ +0P$ +0x$ +b0 y$ +b0 :% +0w$ +0A% +b0 B% +b0 a% +0@% +0h% +b0 i% +b0 *& +0g% +01& +b0 2& +b0 Q& +00& +0X& +b0 Y& +b0 x& +0W& +b0 "' +b0 A' +0}& +0H' +b0 I' +b0 h' +0G' +b0 p' +b0 1( +0m' +08( +b0 9( +b0 X( +07( +b0 `( +b0 !) +0]( +0() +b0 )) +b0 H) +0') +b0 P) +b0 o) +0M) +0v) +b0 w) +b0 8* +0u) +0?* +b0 @* +b0 _* +0>* +0f* +b0 g* +b0 (+ +0e* +0/+ +b0 0+ +b0 O+ +0.+ +0V+ +b0 W+ +b0 v+ +0U+ +0}+ +b0 ~+ +b0 ?, +0|+ +0F, +b0 G, +b0 f, +0E, +0m, +b0 n, +b0 /- +0l, +06- +b0 7- +b0 V- +05- +0]- +b0 ^- +b0 }- +0\- +b0 '. +b0 F. +0$. +b0 M. +b0 l. +0J. +0-' +1)' +0*' +03' +00' +04' +06' +09' +0;' +0{' +1w' +0x' +0#( +0~' +0$( +0&( +0)( +0+( +0k( +1g( +0h( +0q( +0n( +0r( +0t( +0w( +0y( +0[) +1W) +0X) +0a) +0^) +0b) +0d) +0g) +0i) +02. +1.. +0/. +08. +05. +09. +0;. +0>. +0@. +0X. +1T. +0U. +0^. +0[. +0_. +1`. +0d. +1e. +0(" +10" +0." +0:" +b1100000 %" +b1100000 A" +0-" +0?" +0O" +1W" +0U" +0a" +b1100000 L" +b1100000 h" +0T" +0f" +0v" +1~" +0|" +0*# +b1100000 s" +b1100000 1# +0{" +0/# +0?# +1G# +0E# +0Q# +b1100000 <# +b1100000 X# +0D# +0V# +0f# +1n# +0l# +0x# +b1100000 c# +b1100000 !$ +0k# +0}# +0/$ +17$ +05$ +0A$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0V$ +1^$ +0\$ +0h$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0}$ +1'% +0%% +01% +b1100000 z$ +b1100000 8% +0$% +06% +0F% +1N% +0L% +0X% +b1100000 C% +b1100000 _% +0K% +0]% +0m% +1u% +0s% +0!& +b1100000 j% +b1100000 (& +0r% +0&& +06& +1>& +0<& +0H& +b1100000 3& +b1100000 O& +0;& +0M& +0]& +1e& +0c& +0o& +b1100000 Z& +b1100000 v& +0b& +0t& +0&' +1.' +02' +0,' +b1100000 #' +b1100000 ?' +0+' +0M' +1U' +0S' +0_' +b1100000 J' +b1100000 f' +0R' +0d' +0t' +1|' +0"( +0z' +b1100000 q' +b1100000 /( +0y' +0=( +1E( +0C( +0O( +b1100000 :( +b1100000 V( +0B( +0T( +0d( +1l( +0p( +0j( +b1100000 a( +b1100000 }( +0i( +0-) +15) +03) +0?) +b1100000 *) +b1100000 F) +02) +0D) +0T) +1\) +0`) +0Z) +b1100000 Q) +b1100000 m) +0Y) +0{) +1%* +0#* +0/* +b1100000 x) +b1100000 6* +0"* +04* +0D* +1L* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +0k* +1s* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +04+ +1<+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0[+ +1c+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0$, +1,, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +0K, +1S, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +0r, +1z, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +0;- +1C- +0A- +0M- +b1100000 8- +b1100000 T- +0@- +0R- +0b- +1j- +0h- +0t- +b1100000 _- +b1100000 {- +0g- +0y- +0+. +13. +07. +01. +b1100000 (. +b1100000 D. +00. +0Q. +1Y. +0]. +0W. +b1100000 N. +b1100000 j. +0V. +0# +0S +b11110111 1 +b11110111 n. +0z& +0j' +0Z( +0J) +0!. +0G. +0} +0r. +b0 2 +b0 q. +0F" +0u. +b0 = +b0 t. +0m" +0x. +b0 H +b0 w. +06# +0{. +b0 K +b0 z. +0]# +0~. +b0 L +b0 }. +0&$ +0#/ +b0 M +b0 "/ +0M$ +0&/ +b0 N +b0 %/ +0t$ +0)/ +b0 O +b0 (/ +0=% +0,/ +b0 P +b0 +/ +0d% +0// +b0 3 +b0 ./ +0-& +02/ +b0 4 +b0 1/ +0T& +05/ +b0 5 +b0 4/ +0{& +08/ +b0 6 +b0 7/ +0D' +0;/ +b0 7 +b0 :/ +0k' +0>/ +b0 8 +b0 =/ +04( +0A/ +b0 9 +b0 @/ +0[( +0D/ +b0 : +b0 C/ +0$) +0G/ +b0 ; +b0 F/ +0K) +0J/ +b0 < +b0 I/ +0r) +0M/ +b0 > +b0 L/ +0;* +0P/ +b0 ? +b0 O/ +0b* +0S/ +b0 @ +b0 R/ +0++ +0V/ +b0 A +b0 U/ +0R+ +0Y/ +b0 B +b0 X/ +0y+ +0\/ +b0 C +b0 [/ +0B, +0_/ +b0 D +b0 ^/ +0i, +0b/ +b0 E +b0 a/ +02- +0e/ +b0 F +b0 d/ +0Y- +0h/ +b0 G +b0 g/ +0". +0k/ +b0 I +b0 j/ +0I. +0n/ +b1 " +b1 R +b0 J +b0 m/ +b1 & +b1 0 +b0 % +b0 / +b10 ' +b10 - +b10 ] +b10 w +b10 y +b10 &" +b10 @" +b10 B" +b10 M" +b10 g" +b10 i" +b10 t" +b10 0# +b10 2# +b10 =# +b10 W# +b10 Y# +b10 d# +b10 ~# +b10 "$ +b10 -$ +b10 G$ +b10 I$ +b10 T$ +b10 n$ +b10 p$ +b10 {$ +b10 7% +b10 9% +b10 D% +b10 ^% +b10 `% +b10 k% +b10 '& +b10 )& +b10 4& +b10 N& +b10 P& +b10 [& +b10 u& +b10 w& +b10 $' +b10 >' +b10 @' +b10 K' +b10 e' +b10 g' +b10 r' +b10 .( +b10 0( +b10 ;( +b10 U( +b10 W( +b10 b( +b10 |( +b10 ~( +b10 +) +b10 E) +b10 G) +b10 R) +b10 l) +b10 n) +b10 y) +b10 5* +b10 7* +b10 B* +b10 \* +b10 ^* +b10 i* +b10 %+ +b10 '+ +b10 2+ +b10 L+ +b10 N+ +b10 Y+ +b10 s+ +b10 u+ +b10 ", +b10 <, +b10 >, +b10 I, +b10 c, +b10 e, +b10 p, +b10 ,- +b10 .- +b10 9- +b10 S- +b10 U- +b10 `- +b10 z- +b10 |- +b10 ). +b10 C. +b10 E. +b10 O. +b10 i. +b10 k. +b10 m. +b10 p. +b10 s. +b10 v. +b10 y. +b10 |. +b10 !/ +b10 $/ +b10 '/ +b10 */ +b10 -/ +b10 0/ +b10 3/ +b10 6/ +b10 9/ +b10 # +07# +0e# +0^# +0.$ +0'$ +0U$ +0N$ +0|$ +0u$ +0E% +0>% +0l% +0e% +05& +0.& +0\& +0U& +0%' +0|& +0L' +0E' +0s' +0l' +0<( +05( +0c( +0\( +0,) +0%) +0S) +0L) +0z) +0s) +0C* +0<* +0j* +0c* +03+ +0,+ +0Z+ +0S+ +0#, +0z+ +0J, +0C, +0q, +0j, +0:- +03- +0a- +0Z- +0*. +0#. +bz0000000000000000000000000000000 . +0P. +b1 Q +b1011 ( +b1011 ) +#12000000 +1L. +b11110111 J +b11110111 m/ +1! +b1010 M. +b1010 l. +1K. +1S +b11111111 1 +b11111111 n. +1Q. +0Y. +1W. +1c. +1P. +b1101011 N. +b1101011 j. +1V. +1h. +0# +1I. +1&. +b11110111 I +b11110111 j/ +1#. +b1010 '. +b1010 F. +1%. +1+. +03. +11. +1=. +1*. +b1101011 (. +b1101011 D. +10. +1B. +1". +1]- +b11110111 G +b11110111 g/ +1Z- +b1010 ^- +b1010 }- +1\- +1b- +0j- +1h- +1t- +1a- +b1101011 _- +b1101011 {- +1g- +1y- +1Y- +16- +b11110111 F +b11110111 d/ +13- +b1010 7- +b1010 V- +15- +1;- +0C- +1A- +1M- +1:- +b1101011 8- +b1101011 T- +1@- +1R- +12- +1m, +b11110111 E +b11110111 a/ +1j, +b1010 n, +b1010 /- +1l, +1r, +0z, +1x, +1&- +1q, +b1101011 o, +b1101011 -- +1w, +1+- +1i, +1F, +b11110111 D +b11110111 ^/ +1C, +b1010 G, +b1010 f, +1E, +1K, +0S, +1Q, +1], +1J, +b1101011 H, +b1101011 d, +1P, +1b, +1B, +1}+ +b11110111 C +b11110111 [/ +1z+ +b1010 ~+ +b1010 ?, +1|+ +1$, +0,, +1*, +16, +1#, +b1101011 !, +b1101011 =, +1), +1;, +1y+ +1V+ +b11110111 B +b11110111 X/ +1S+ +b1010 W+ +b1010 v+ +1U+ +1[+ +0c+ +1a+ +1m+ +1Z+ +b1101011 X+ +b1101011 t+ +1`+ +1r+ +1R+ +1/+ +b11110111 A +b11110111 U/ +1,+ +b1010 0+ +b1010 O+ +1.+ +14+ +0<+ +1:+ +1F+ +13+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +1++ +1f* +b11110111 @ +b11110111 R/ +1c* +b1010 g* +b1010 (+ +1e* +1k* +0s* +1q* +1}* +1j* +b1101011 h* +b1101011 &+ +1p* +1$+ +1b* +1?* +b11110111 ? +b11110111 O/ +1<* +b1010 @* +b1010 _* +1>* +1D* +0L* +1J* +1V* +1C* +b1101011 A* +b1101011 ]* +1I* +1[* +1;* +1v) +b11110111 > +b11110111 L/ +1s) +b1010 w) +b1010 8* +1u) +1{) +0%* +1#* +1/* +1z) +b1101011 x) +b1101011 6* +1"* +14* +1r) +1O) +b11110111 < +b11110111 I/ +1L) +b1010 P) +b1010 o) +1N) +1T) +0\) +1Z) +1f) +1S) +b1101011 Q) +b1101011 m) +1Y) +1k) +1K) +1() +b11110111 ; +b11110111 F/ +1%) +b1010 )) +b1010 H) +1') +1-) +05) +13) +1?) +1,) +b1101011 *) +b1101011 F) +12) +1D) +1$) +1_( +b11110111 : +b11110111 C/ +1\( +b1010 `( +b1010 !) +1^( +1d( +0l( +1j( +1v( +1c( +b1101011 a( +b1101011 }( +1i( +1{( +1[( +18( +b11110111 9 +b11110111 @/ +15( +b1010 9( +b1010 X( +17( +1=( +0E( +1C( +1O( +1<( +b1101011 :( +b1101011 V( +1B( +1T( +14( +1o' +b11110111 8 +b11110111 =/ +1l' +b1010 p' +b1010 1( +1n' +1t' +0|' +1z' +1(( +1s' +b1101011 q' +b1101011 /( +1y' +1-( +1k' +1H' +b11110111 7 +b11110111 :/ +1E' +b1010 I' +b1010 h' +1G' +1M' +0U' +1S' +1_' +1L' +b1101011 J' +b1101011 f' +1R' +1d' +1D' +1!' +b11110111 6 +b11110111 7/ +1|& +b1010 "' +b1010 A' +1~& +1&' +0.' +1,' +18' +1%' +b1101011 #' +b1101011 ?' +1+' +1=' +1{& +1X& +b11110111 5 +b11110111 4/ +1U& +b1010 Y& +b1010 x& +1W& +1]& +0e& +1c& +1o& +1\& +b1101011 Z& +b1101011 v& +1b& +1t& +1T& +11& +b11110111 4 +b11110111 1/ +1.& +b1010 2& +b1010 Q& +10& +16& +0>& +1<& +1H& +15& +b1101011 3& +b1101011 O& +1;& +1M& +1-& +1h% +b11110111 3 +b11110111 ./ +1e% +b1010 i% +b1010 *& +1g% +1m% +0u% +1s% +1!& +1l% +b1101011 j% +b1101011 (& +1r% +1&& +1d% +1A% +b11110111 P +b11110111 +/ +1>% +b1010 B% +b1010 a% +1@% +1F% +0N% +1L% +1X% +1E% +b1101011 C% +b1101011 _% +1K% +1]% +1=% +1x$ +b11110111 O +b11110111 (/ +1u$ +b1010 y$ +b1010 :% +1w$ +1}$ +0'% +1%% +11% +1|$ +b1101011 z$ +b1101011 8% +1$% +16% +1t$ +1Q$ +b11110111 N +b11110111 %/ +1N$ +b1010 R$ +b1010 q$ +1P$ +1V$ +0^$ +1\$ +1h$ +1U$ +b1101011 S$ +b1101011 o$ +1[$ +1m$ +1M$ +1*$ +b11110111 M +b11110111 "/ +1'$ +b1010 +$ +b1010 J$ +1)$ +1/$ +07$ +15$ +1A$ +1.$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +1&$ +1a# +b11110111 L +b11110111 }. +1^# +b1010 b# +b1010 #$ +1`# +1f# +0n# +1l# +1x# +1e# +b1101011 c# +b1101011 !$ +1k# +1}# +1]# +1:# +b11110111 K +b11110111 z. +17# +b1010 ;# +b1010 Z# +19# +1?# +0G# +1E# +1Q# +1># +b1101011 <# +b1101011 X# +1D# +1V# +16# +1q" +b11110111 H +b11110111 w. +1n" +b1010 r" +b1010 3# +1p" +1v" +0~" +1|" +1*# +1u" +b1101011 s" +b1101011 1# +1{" +1/# +1m" +1J" +b11110111 = +b11110111 t. +1G" +b1010 K" +b1010 j" +1I" +1O" +0W" +1U" +1a" +1N" +b1101011 L" +b1101011 h" +1T" +1f" +0!" +1F" +0Z +b0 [ +b0 z +0Y +04" +09" +0>" +1#" +b11110111 2 +b11110111 q. +1~ +b1010 $" +b1010 C" +1"" +0o +0t +1/" +0+" +1," +15" +12" +16" +18" +1;" +1=" +0n +0s +1(" +10" +1." +0:" +1'" +b11111111111111111111111111111111 Q +b10101111 %" +b10101111 A" +1-" +0?" +0U +1| +1T +0} +b10 & +b10 0 +b1 % +b1 / +b11 ' +b11 - +b11 ] +b11 w +b11 y +b11 &" +b11 @" +b11 B" +b11 M" +b11 g" +b11 i" +b11 t" +b11 0# +b11 2# +b11 =# +b11 W# +b11 Y# +b11 d# +b11 ~# +b11 "$ +b11 -$ +b11 G$ +b11 I$ +b11 T$ +b11 n$ +b11 p$ +b11 {$ +b11 7% +b11 9% +b11 D% +b11 ^% +b11 `% +b11 k% +b11 '& +b11 )& +b11 4& +b11 N& +b11 P& +b11 [& +b11 u& +b11 w& +b11 $' +b11 >' +b11 @' +b11 K' +b11 e' +b11 g' +b11 r' +b11 .( +b11 0( +b11 ;( +b11 U( +b11 W( +b11 b( +b11 |( +b11 ~( +b11 +) +b11 E) +b11 G) +b11 R) +b11 l) +b11 n) +b11 y) +b11 5* +b11 7* +b11 B* +b11 \* +b11 ^* +b11 i* +b11 %+ +b11 '+ +b11 2+ +b11 L+ +b11 N+ +b11 Y+ +b11 s+ +b11 u+ +b11 ", +b11 <, +b11 >, +b11 I, +b11 c, +b11 e, +b11 p, +b11 ,- +b11 .- +b11 9- +b11 S- +b11 U- +b11 `- +b11 z- +b11 |- +b11 ). +b11 C. +b11 E. +b11 O. +b11 i. +b11 k. +b11 m. +b11 p. +b11 s. +b11 v. +b11 y. +b11 |. +b11 !/ +b11 $/ +b11 '/ +b11 */ +b11 -/ +b11 0/ +b11 3/ +b11 6/ +b11 9/ +b11 * +0D* +1L* +0J* +0V* +0C* +b1100000 A* +b1100000 ]* +0I* +0[* +0;* +0v) +b0 > +b0 L/ +0s) +b0 w) +b0 8* +0u) +0{) +1%* +0#* +0/* +0z) +b1100000 x) +b1100000 6* +0"* +04* +0r) +0O) +b0 < +b0 I/ +0L) +b0 P) +b0 o) +0N) +0T) +1\) +0Z) +0f) +0S) +b1100000 Q) +b1100000 m) +0Y) +0k) +0K) +0() +b0 ; +b0 F/ +0%) +b0 )) +b0 H) +0') +0-) +15) +03) +0?) +0,) +b1100000 *) +b1100000 F) +02) +0D) +0$) +0_( +b0 : +b0 C/ +0\( +b0 `( +b0 !) +0^( +0d( +1l( +0j( +0v( +0c( +b1100000 a( +b1100000 }( +0i( +0{( +0[( +08( +b0 9 +b0 @/ +05( +b0 9( +b0 X( +07( +0=( +1E( +0C( +0O( +0<( +b1100000 :( +b1100000 V( +0B( +0T( +04( +0o' +b0 8 +b0 =/ +0l' +b0 p' +b0 1( +0n' +0t' +1|' +0z' +0(( +0s' +b1100000 q' +b1100000 /( +0y' +0-( +0k' +0H' +b0 7 +b0 :/ +0E' +b0 I' +b0 h' +0G' +0M' +1U' +0S' +0_' +0L' +b1100000 J' +b1100000 f' +0R' +0d' +0D' +0!' +b0 6 +b0 7/ +0|& +b0 "' +b0 A' +0~& +0&' +1.' +0,' +08' +0%' +b1100000 #' +b1100000 ?' +0+' +0=' +0{& +0X& +b0 5 +b0 4/ +0U& +b0 Y& +b0 x& +0W& +0]& +1e& +0c& +0o& +0\& +b1100000 Z& +b1100000 v& +0b& +0t& +0T& +01& +b0 4 +b0 1/ +0.& +b0 2& +b0 Q& +00& +06& +1>& +0<& +0H& +05& +b1100000 3& +b1100000 O& +0;& +0M& +0-& +0h% +b0 3 +b0 ./ +0e% +b0 i% +b0 *& +0g% +0m% +1u% +0s% +0!& +0l% +b1100000 j% +b1100000 (& +0r% +0&& +0d% +0A% +b0 P +b0 +/ +0>% +b0 B% +b0 a% +0@% +0F% +1N% +0L% +0X% +0E% +b1100000 C% +b1100000 _% +0K% +0]% +0=% +0x$ +b0 O +b0 (/ +0u$ +b0 y$ +b0 :% +0w$ +0}$ +1'% +0%% +01% +0|$ +b1100000 z$ +b1100000 8% +0$% +06% +0t$ +0Q$ +b0 N +b0 %/ +0N$ +b0 R$ +b0 q$ +0P$ +0V$ +1^$ +0\$ +0h$ +0U$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0M$ +0*$ +b0 M +b0 "/ +0'$ +b0 +$ +b0 J$ +0)$ +0/$ +17$ +05$ +0A$ +0.$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0&$ +0a# +b0 L +b0 }. +0^# +b0 b# +b0 #$ +0`# +0f# +1n# +0l# +0x# +0e# +b1100000 c# +b1100000 !$ +0k# +0}# +0]# +0:# +b0 K +b0 z. +07# +b0 ;# +b0 Z# +09# +0?# +1G# +0E# +0Q# +0># +b1100000 <# +b1100000 X# +0D# +0V# +06# +0q" +0n" +bz0000000000000000000000000000110 . +0p" +b0 1 +b0 n. +b1 r" +b1 3# +1o" +0*# +b0 H +b0 w. +0/# +0_ +0e +1p +0^ +0d +1u +0v" +1$# +0|" +0)# +0u" +b110 Q +0{" +0.# +0f +1b +0c +b1100000 \ +b1100000 x +0l +0i +0m +1n +0r +1s +1}" +0y" +1z" +b10100100 s" +b10100100 1# +1%# +1"# +1&# +0'# +1+# +0,# +0T +1k" +b1000 % +b1000 / +b1101 ( +1* +b1101 ) +#14000000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +b11110111 J +b11110111 m/ +1Q. +1W. +0b. +1P. +b10000000000000000000000000000110 Q +1V. +0g. +1X. +0T. +1U. +b10101111 N. +b10101111 j. +1^. +1[. +1_. +0`. +1d. +0e. +1G. +b10000000000000000000000000001000 % +b10000000000000000000000000001000 / +b1110 ( +b1110 ) +#15000000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +1# +1L. +1! +b1010 M. +b1010 l. +1K. +1a. +1f. +1`. +1e. +1H. +0G. +b10000000000000000000000000000010 & +b10000000000000000000000000000010 0 +b1000 % +b1000 / +b1111 ( +b1111 ) +#16000000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +0Y. +1]. +1c. +1h. +1I. +1&. +b11110111 I +b11110111 j/ +1#. +b1010 '. +b1010 F. +1%. +1+. +03. +11. +1=. +1*. +b1101011 (. +b1101011 D. +10. +1B. +1". +1]- +b11110111 G +b11110111 g/ +1Z- +b1010 ^- +b1010 }- +1\- +1b- +0j- +1h- +1t- +1a- +b1101011 _- +b1101011 {- +1g- +1y- +1Y- +16- +b11110111 F +b11110111 d/ +13- +b1010 7- +b1010 V- +15- +1;- +0C- +1A- +1M- +1:- +b1101011 8- +b1101011 T- +1@- +1R- +12- +1m, +b11110111 E +b11110111 a/ +1j, +b1010 n, +b1010 /- +1l, +1r, +0z, +1x, +1&- +1q, +b1101011 o, +b1101011 -- +1w, +1+- +1i, +1F, +b11110111 D +b11110111 ^/ +1C, +b1010 G, +b1010 f, +1E, +1K, +0S, +1Q, +1], +1J, +b1101011 H, +b1101011 d, +1P, +1b, +1B, +1}+ +b11110111 C +b11110111 [/ +1z+ +b1010 ~+ +b1010 ?, +1|+ +1$, +0,, +1*, +16, +1#, +b1101011 !, +b1101011 =, +1), +1;, +1y+ +1V+ +b11110111 B +b11110111 X/ +1S+ +b1010 W+ +b1010 v+ +1U+ +1[+ +0c+ +1a+ +1m+ +1Z+ +b1101011 X+ +b1101011 t+ +1`+ +1r+ +1R+ +1/+ +b11110111 A +b11110111 U/ +1,+ +b1010 0+ +b1010 O+ +1.+ +14+ +0<+ +1:+ +1F+ +13+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +1++ +1f* +b11110111 @ +b11110111 R/ +1c* +b1010 g* +b1010 (+ +1e* +1k* +0s* +1q* +1}* +1j* +b1101011 h* +b1101011 &+ +1p* +1$+ +1b* +1?* +b11110111 ? +b11110111 O/ +1<* +b1010 @* +b1010 _* +1>* +1D* +0L* +1J* +1V* +1C* +b1101011 A* +b1101011 ]* +1I* +1[* +1;* +1v) +b11110111 > +b11110111 L/ +1s) +b1010 w) +b1010 8* +1u) +1{) +0%* +1#* +1/* +1z) +b1101011 x) +b1101011 6* +1"* +14* +1r) +1O) +b11110111 < +b11110111 I/ +1L) +b1010 P) +b1010 o) +1N) +1T) +0\) +1Z) +1f) +1S) +b1101011 Q) +b1101011 m) +1Y) +1k) +1K) +1() +b11110111 ; +b11110111 F/ +1%) +b1010 )) +b1010 H) +1') +1-) +05) +13) +1?) +1,) +b1101011 *) +b1101011 F) +12) +1D) +1$) +1_( +b11110111 : +b11110111 C/ +1\( +b1010 `( +b1010 !) +1^( +1d( +0l( +1j( +1v( +1c( +b1101011 a( +b1101011 }( +1i( +1{( +1[( +18( +b11110111 9 +b11110111 @/ +15( +b1010 9( +b1010 X( +17( +1=( +0E( +1C( +1O( +1<( +b1101011 :( +b1101011 V( +1B( +1T( +14( +1o' +b11110111 8 +b11110111 =/ +1l' +b1010 p' +b1010 1( +1n' +1t' +0|' +1z' +1(( +1s' +b1101011 q' +b1101011 /( +1y' +1-( +1k' +1H' +b11110111 7 +b11110111 :/ +1E' +b1010 I' +b1010 h' +1G' +1M' +0U' +1S' +1_' +1L' +b1101011 J' +b1101011 f' +1R' +1d' +1D' +1!' +b11110111 6 +b11110111 7/ +1|& +b1010 "' +b1010 A' +1~& +1&' +0.' +1,' +18' +1%' +b1101011 #' +b1101011 ?' +1+' +1=' +1{& +1X& +b11110111 5 +b11110111 4/ +1U& +b1010 Y& +b1010 x& +1W& +1]& +0e& +1c& +1o& +1\& +b1101011 Z& +b1101011 v& +1b& +1t& +1T& +b0 r" +b0 3# +0o" +b11110111 H +b11110111 w. +11& +b11110111 4 +b11110111 1/ +1.& +b1010 2& +b1010 Q& +10& +1v" +1~" +0$# +1|" +1u" +b10101111 s" +b10101111 1# +1{" +16& +0>& +1<& +1H& +15& +b1101011 3& +b1101011 O& +1;& +1M& +0m" +1-& +0J" +b0 = +b0 t. +0G" +b0 K" +b0 j" +0I" +1h% +b11110111 3 +b11110111 ./ +1e% +b1010 i% +b1010 *& +1g% +0O" +1W" +0U" +0a" +0N" +b1100000 L" +b1100000 h" +0T" +0f" +1m% +0u% +1s% +1!& +1l% +b1101011 j% +b1101011 (& +1r% +1&& +0# +b0 2 +b0 q. +0F" +b11110111 P +b11110111 +/ +1d% +1J. +1L. +b11110111 J +b11110111 m/ +1! +b1011 M. +b1011 l. +1K. +0(" +0." +19" +0#" +0'" +0-" +1>" +0~ +b0 $" +b0 C" +0"" +1F% +1L% +0W% +1A% +1E% +1K% +0\% +1>% +bz1111111111111111111111000000000 . +b1010 B% +b1010 a% +1@% +1Q. +0\. +1W. +1b. +0a. +1P. +b11111111111111111111111000001000 Q +1V. +1g. +0f. +0/" +1+" +0," +b1100000 %" +b1100000 A" +05" +02" +06" +08" +0;" +0=" +1M% +0I% +1J% +b10101111 C% +b10101111 _% +1S% +1P% +1T% +1V% +1Y% +1[% +0X. +1R. +0S. +b10011011 N. +b10011011 j. +0^. +1Z. +0_. +0`. +0d. +0e. +0| +1<% +1G. +b10000000000000000000001000000000 & +b10000000000000000000001000000000 0 +b10000000000000000000000000001000 % +b10000000000000000000000000001000 / +b10000 ( +b10000 ) +#17000000 +1L* +0;* +0v) +b0 > +b0 L/ +0s) +b0 w) +b0 8* +0u) +0{) +1%* +0#* +0/* +0z) +b1100000 x) +b1100000 6* +0"* +04* +0r) +0O) +b0 < +b0 I/ +0L) +b0 P) +b0 o) +0N) +0T) +1\) +0Z) +0f) +0S) +b1100000 Q) +b1100000 m) +0Y) +0k) +0K) +0() +b0 ; +b0 F/ +0%) +b0 )) +b0 H) +0') +0-) +15) +03) +0?) +0,) +b1100000 *) +b1100000 F) +02) +0D) +0$) +0_( +b0 : +b0 C/ +0\( +b0 `( +b0 !) +0^( +0d( +1l( +0j( +0v( +0c( +b1100000 a( +b1100000 }( +0i( +0{( +0[( +08( +b0 9 +b0 @/ +05( +b0 9( +b0 X( +07( +0=( +1E( +0C( +0O( +0<( +b1100000 :( +b1100000 V( +0B( +0T( +04( +0o' +b0 8 +b0 =/ +0l' +b0 p' +b0 1( +0n' +0t' +1|' +0z' +0(( +0s' +b1100000 q' +b1100000 /( +0y' +0-( +0k' +0H' +b0 7 +b0 :/ +0E' +b0 I' +b0 h' +0G' +0M' +1U' +0S' +0_' +0L' +b1100000 J' +b1100000 f' +0R' +0d' +0D' +0!' +b0 6 +b0 7/ +0|& +b0 "' +b0 A' +0~& +0&' +1.' +0,' +08' +0%' +b1100000 #' +b1100000 ?' +0+' +0=' +0{& +0X& +b0 5 +b0 4/ +0U& +b0 Y& +b0 x& +0W& +0]& +1e& +0c& +0o& +0\& +b1100000 Z& +b1100000 v& +0b& +0t& +0T& +01& +b0 4 +b0 1/ +0.& +b0 2& +b0 Q& +00& +06& +1>& +0<& +0H& +05& +b1100000 3& +b1100000 O& +0;& +0M& +0-& +0h% +b0 3 +b0 ./ +0e% +b0 i% +b0 *& +0g% +1# +0m% +1u% +0s% +0!& +0l% +b1100000 j% +b1100000 (& +0r% +0&& +0L. +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +0! +b1 M. +b1 l. +0K. +b0 P +b0 +/ +0d% +b1010 @* +b1010 _* +0=* +0V* +b11110111 ? +b11110111 O/ +0[* +0c. +b0 J +b0 m/ +0h. +0F% +0L% +1W% +0A% +0E% +0K% +1\% +0>% +bz1111111111000000000000000000000 . +b0 B% +b0 a% +0@% +1D* +0P* +1J* +0U* +1C* +1I* +0Z* +0Q. +0W. +0b. +0P. +b1111111111000000000000000001000 Q +0V. +0g. +0M% +1I% +0J% +b1100000 C% +b1100000 _% +0S% +0P% +0T% +0V% +0Y% +0[% +1K* +0G* +1H* +b10101111 A* +b10101111 ]* +1Q* +1N* +1R* +1T* +1W* +1Y* +1X. +0R. +1S. +b10100100 N. +b10100100 j. +1^. +0Z. +1_. +1d. +0<% +1:* +0H. +b1000000000000000000000 & +b1000000000000000000000 0 +b10001 ( +b10001 ) +#18000000 +0# +1L. +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +1! +1K. +b1011 7- +b1011 V- +14- +0M- +b0 F +b0 d/ +0R- +b1011 ^- +b1011 }- +1[- +0t- +b0 G +b0 g/ +0y- +b1011 '. +b1011 F. +1$. +0=. +b0 I +b0 j/ +0B. +b1010 M. +b1010 l. +0J. +1c. +b11110111 J +b11110111 m/ +1h. +0;- +1G- +0A- +0L- +0:- +0@- +0Q- +0b- +1n- +0h- +0s- +0a- +0g- +0x- +0+. +17. +01. +0<. +0*. +00. +0A. +1Q. +0]. +1W. +1b. +1P. +b10001111111000000000000000001000 Q +1V. +1g. +1B- +0>- +1?- +b10100100 8- +b10100100 T- +1H- +1E- +1I- +1K- +1N- +1P- +1i- +0e- +1f- +b10100100 _- +b10100100 {- +1o- +1l- +1p- +1r- +1u- +1w- +12. +0.. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +1;. +1>. +1@. +0X. +1T. +0U. +b1101011 N. +b1101011 j. +0^. +0[. +0_. +1`. +0d. +1e. +11- +1X- +1!. +0G. +b1110000001000000000000000000000 & +b1110000001000000000000000000000 0 +b1000 % +b1000 / +b10010 ( +b10010 ) +#19000000 +0[- +b11110111 G +b11110111 g/ +0$. +b11110111 I +b11110111 j/ +0L. +b0 J +b0 m/ +0! +b0 M. +b0 l. +0K. +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +1b- +1j- +0n- +1h- +1a- +b10101111 _- +b10101111 {- +1g- +1+. +13. +07. +11. +1*. +b10101111 (. +b10101111 D. +10. +0Q. +1Y. +0W. +0c. +0P. +b1101111111000000000000000001000 Q +b1100000 N. +b1100000 j. +0V. +0h. +0# +0Y- +0". +0I. +06- +03- +b1 7- +b1 V- +05- +0]- +0Z- +b0 ^- +b0 }- +0\- +0&. +0#. +bz0001111111000000000000000000000 . +b0 '. +b0 F. +0%. +0K- +0P- +0r- +0w- +0;. +0@. +0J- +0O- +0q- +0v- +0:. +0?. +01- +0X- +0!. +10- +1W- +1~- +b1000000000000000000000 & +b1000000000000000000000 0 +b1110000000000000000000000001000 % +b1110000000000000000000000001000 / +b10011 ( +b10011 ) +#20000000 +1F- +14- +1C- +0G- +02- +0m, +b0 E +b0 a/ +0j, +b0 n, +b0 /- +0l, +0r, +1z, +0x, +0&- +0q, +b1100000 o, +b1100000 -- +0w, +0+- +0i, +0F, +b0 D +b0 ^/ +0C, +b0 G, +b0 f, +0E, +0K, +1S, +0Q, +0], +0J, +b1100000 H, +b1100000 d, +0P, +0b, +0B, +0}+ +b0 C +b0 [/ +0z+ +b0 ~+ +b0 ?, +0|+ +0$, +1,, +0*, +06, +0#, +b1100000 !, +b1100000 =, +0), +0;, +0L. +b0 J +b0 m/ +0! +b0 M. +b0 l. +0K. +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +0y+ +0Q. +1Y. +0W. +0c. +0P. +b1100000 N. +b1100000 j. +0V. +0h. +0# +0V+ +b0 B +b0 X/ +0S+ +b0 W+ +b0 v+ +0U+ +0I. +0[+ +1c+ +0a+ +0m+ +0Z+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0&. +0#. +0%. +0R+ +13. +07. +0=. +0B. +0/+ +b0 A +b0 U/ +0,+ +b0 0+ +b0 O+ +0.+ +0". +04+ +1<+ +0:+ +0F+ +03+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0]- +0Z- +0\- +0++ +1j- +0n- +0t- +0y- +0f* +b0 @ +b0 R/ +0c* +b0 g* +b0 (+ +0e* +0Y- +0k* +1s* +0q* +0}* +0j* +b1100000 h* +b1100000 &+ +0p* +0$+ +06- +03- +b1 7- +b1 V- +05- +b0 ? +b0 O/ +0b* +0M- +b0 F +b0 d/ +0R- +b1 ^- +b1 }- +1[- +b0 G +b0 g/ +b1 '. +b1 F. +1$. +b0 I +b0 j/ +0D* +0J* +1U* +0?* +0C* +0I* +1Z* +0<* +bz0000000000000000000000000000000 . +b0 @* +b0 _* +0>* +0;- +0A- +1L- +0:- +0@- +1Q- +0b- +1m- +0h- +1s- +0a- +0g- +1x- +0+. +16. +01. +1<. +0*. +b1000 Q +00. +1A. +0K* +1G* +0H* +b1100000 A* +b1100000 ]* +0Q* +0N* +0R* +0T* +0W* +0Y* +0B- +1<- +0=- +b10010000 8- +b10010000 T- +0H- +1D- +0I- +0N- +0i- +1c- +0d- +b10010000 _- +b10010000 {- +0o- +1k- +0p- +0u- +02. +1,. +0-. +b10010000 (. +b10010000 D. +08. +14. +09. +0>. +0:* +11- +1X- +1!. +b1110000000000000000000000000000 & +b1110000000000000000000000000000 0 +b10100 ( +b10100 ) +#21000000 +1!" +1H" +b1 r" +b1 3# +1o" +b0 H +b0 w. +00" +14" +0W" +1[" +0v" +0~" +1$# +0|" +0u" +b10100100 s" +b10100100 1# +0{" +b11110111 1 +b11110111 n. +1} +b0 2 +b0 q. +1F" +b0 = +b0 t. +1m" +b0 7- +b0 V- +04- +b11110111 F +b11110111 d/ +b0 ^- +b0 }- +0[- +b11110111 G +b11110111 g/ +b0 '. +b0 F. +0$. +b11110111 I +b11110111 j/ +b11110111 B +b11110111 X/ +b11110111 C +b11110111 [/ +b11110111 D +b11110111 ^/ +b11110111 E +b11110111 a/ +b1 M. +b1 l. +1J. +1_ +1e +0p +1Z +1^ +1d +0u +1W +b1010 [ +b1010 z +1Y +0(" +0." +09" +1#" +0'" +0-" +0>" +1~ +b1011 $" +b1011 C" +1"" +0O" +0U" +0`" +1J" +0N" +0T" +0e" +1G" +bz0000000000000000000000000000111 . +b1011 K" +b1011 j" +1I" +1;- +0F- +1A- +0L- +1:- +1@- +0Q- +1b- +0m- +1h- +0s- +1a- +1g- +0x- +1+. +06. +11. +0<. +1*. +10. +0A. +1[+ +1a+ +0l+ +1Z+ +1`+ +0q+ +1$, +1*, +05, +1#, +1), +0:, +1K, +1Q, +0\, +1J, +1P, +0a, +1r, +1x, +0%- +1q, +b1111111000000000000000000000001 Q +1w, +0*- +1\. +1f +0b +1c +b10101111 \ +b10101111 x +1l +1i +1m +1o +1r +1t +1/" +0+" +1," +b10100100 %" +b10100100 A" +15" +12" +16" +18" +1;" +1=" +1V" +0R" +1S" +b10100100 L" +b10100100 h" +1\" +1Y" +1]" +1_" +1b" +1d" +1B- +0<- +1=- +b10101111 8- +b10101111 T- +1H- +0D- +1I- +1N- +1i- +0c- +1d- +b10101111 _- +b10101111 {- +1o- +0k- +1p- +1u- +12. +0,. +1-. +b10101111 (. +b10101111 D. +18. +04. +19. +1>. +1b+ +0^+ +1_+ +b10101111 X+ +b10101111 t+ +1h+ +1e+ +1i+ +0j+ +1n+ +0o+ +1+, +0', +1(, +b10101111 !, +b10101111 =, +11, +1., +12, +03, +17, +08, +1R, +0N, +1O, +b10101111 H, +b10101111 d, +1X, +1U, +1Y, +0Z, +1^, +0_, +1y, +0u, +1v, +b10101111 o, +b10101111 -- +1!- +1|, +1"- +0#- +1'- +0(- +1R. +0S. +0T. +1U. +b10010000 N. +b10010000 j. +1Z. +1[. +0`. +0e. +1U +1| +1E" +01- +0X- +0!. +1H. +1P+ +1w+ +1@, +1g, +1G. +b10000000000000000000000000000111 & +b10000000000000000000000000000111 0 +b11111111000000000000000000001000 % +b11111111000000000000000000001000 / +b10101 ( +b10101 ) +#22000000 +1T+ +b0 B +b0 X/ +0[+ +0c+ +1g+ +0a+ +0Z+ +b10100100 X+ +b10100100 t+ +0`+ +1R+ +1/+ +b11110111 A +b11110111 U/ +1,+ +b1010 0+ +b1010 O+ +1.+ +14+ +0<+ +1:+ +1F+ +13+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +1++ +1f* +b11110111 @ +b11110111 R/ +1c* +b1010 g* +b1010 (+ +1e* +1k* +0s* +1q* +1}* +1j* +b1101011 h* +b1101011 &+ +1p* +1$+ +1b* +1?* +b11110111 ? +b11110111 O/ +1<* +b1010 @* +b1010 _* +1>* +1D* +0L* +1J* +1V* +1C* +b1101011 A* +b1101011 ]* +1I* +1[* +1;* +1v) +b11110111 > +b11110111 L/ +1s) +b1010 w) +b1010 8* +1u) +1{) +0%* +1#* +1/* +1z) +b1101011 x) +b1101011 6* +1"* +14* +1r) +1O) +b11110111 < +b11110111 I/ +1L) +b1010 P) +b1010 o) +1N) +1T) +0\) +1Z) +1f) +1S) +b1101011 Q) +b1101011 m) +1Y) +1k) +1K) +1() +b11110111 ; +b11110111 F/ +1%) +b1010 )) +b1010 H) +1') +1-) +05) +13) +1?) +1,) +b1101011 *) +b1101011 F) +12) +1D) +1$) +1_( +b11110111 : +b11110111 C/ +1\( +b1010 `( +b1010 !) +1^( +1d( +0l( +1j( +1v( +1c( +b1101011 a( +b1101011 }( +1i( +1{( +1[( +18( +b11110111 9 +b11110111 @/ +15( +b1010 9( +b1010 X( +17( +1=( +0E( +1C( +1O( +1<( +b1101011 :( +b1101011 V( +1B( +1T( +14( +1o' +b11110111 8 +b11110111 =/ +1l' +b1010 p' +b1010 1( +1n' +1t' +0|' +1z' +1(( +1s' +b1101011 q' +b1101011 /( +1y' +1-( +1k' +1H' +b11110111 7 +b11110111 :/ +1E' +b1010 I' +b1010 h' +1G' +1M' +0U' +1S' +1_' +1L' +b1101011 J' +b1101011 f' +1R' +1d' +1D' +1!' +b11110111 6 +b11110111 7/ +1|& +b1010 "' +b1010 A' +1~& +1&' +0.' +1,' +18' +1%' +b1101011 #' +b1101011 ?' +1+' +1=' +1{& +1X& +b11110111 5 +b11110111 4/ +1U& +b1010 Y& +b1010 x& +1W& +1]& +0e& +1c& +1o& +1\& +b1101011 Z& +b1101011 v& +1b& +1t& +1T& +11& +b11110111 4 +b11110111 1/ +1.& +b1010 2& +b1010 Q& +10& +16& +0>& +1<& +1H& +15& +b1101011 3& +b1101011 O& +1;& +1M& +1-& +1h% +b11110111 3 +b11110111 ./ +1e% +b1010 i% +b1010 *& +1g% +1m% +0u% +1s% +1!& +1l% +b1101011 j% +b1101011 (& +1r% +1&& +1d% +1A% +b11110111 P +b11110111 +/ +1>% +b1010 B% +b1010 a% +1@% +1F% +0N% +1L% +1X% +1E% +b1101011 C% +b1101011 _% +1K% +1]% +1=% +1x$ +b11110111 O +b11110111 (/ +1u$ +b1010 y$ +b1010 :% +1w$ +1}$ +0'% +1%% +11% +1|$ +b1101011 z$ +b1101011 8% +1$% +16% +1t$ +1Q$ +b11110111 N +b11110111 %/ +1N$ +b1010 R$ +b1010 q$ +1P$ +1V$ +0^$ +1\$ +1h$ +1U$ +b1101011 S$ +b1101011 o$ +1[$ +1m$ +1M$ +1*$ +b11110111 M +b11110111 "/ +1'$ +b1010 +$ +b1010 J$ +1)$ +1/$ +07$ +15$ +1A$ +1.$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +1&$ +1a# +b11110111 L +b11110111 }. +1^# +b1010 b# +b1010 #$ +1`# +1f# +0n# +1l# +1x# +1e# +b1101011 c# +b1101011 !$ +1k# +1}# +1]# +0!" +b11110111 2 +b11110111 q. +0H" +b11110111 = +b11110111 t. +0o" +b11110111 H +b11110111 w. +1:# +b11110111 K +b11110111 z. +17# +b1010 ;# +b1010 Z# +19# +1{+ +b0 C +b0 [/ +1D, +b0 D +b0 ^/ +1k, +b0 E +b0 a/ +14- +b0 F +b0 d/ +1[- +b0 G +b0 g/ +1$. +b0 I +b0 j/ +0\. +1L. +b11110111 J +b11110111 m/ +1! +b1011 M. +b1011 l. +1K. +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +1(" +10" +04" +1." +1'" +b10101111 %" +b10101111 A" +1-" +1O" +1W" +0[" +1U" +1N" +b10101111 L" +b10101111 h" +1T" +1v" +1~" +0$# +1|" +1u" +b10101111 s" +b10101111 1# +1{" +1?# +0G# +1E# +1Q# +1># +b1101011 <# +b1101011 X# +1D# +1V# +0$, +0,, +10, +0*, +0#, +b10100100 !, +b10100100 =, +0), +0K, +0S, +1W, +0Q, +0J, +b10100100 H, +b10100100 d, +0P, +0r, +0z, +1~, +0x, +0q, +b10100100 o, +b10100100 -- +0w, +0;- +0C- +1G- +0A- +0:- +b10100100 8- +b10100100 T- +0@- +0b- +0j- +1n- +0h- +0a- +b10100100 _- +b10100100 {- +0g- +0+. +03. +17. +01. +0*. +b10100100 (. +b10100100 D. +00. +1Q. +0Y. +1]. +1W. +1c. +1P. +b10000000111111111111111111111111 Q +b10011011 N. +b10011011 j. +1V. +1h. +0# +0} +0F" +0m" +16# +1y+ +1B, +1i, +12- +1Y- +1". +1I. +0Z +0W +b0 [ +b0 z +0Y +0#" +0~ +b0 $" +b0 C" +0"" +0J" +0G" +b0 K" +b0 j" +0I" +1q" +1n" +b1010 r" +b1010 3# +1p" +1V+ +1S+ +b1011 W+ +b1011 v+ +1U+ +1}+ +1z+ +b1011 ~+ +b1011 ?, +1|+ +1F, +1C, +b1011 G, +b1011 f, +1E, +1m, +1j, +b1011 n, +b1011 /- +1l, +16- +13- +b1011 7- +b1011 V- +15- +1]- +1Z- +b1011 ^- +b1011 }- +1\- +1&. +1#. +bz1111111111111111111111111111000 . +b1011 '. +b1011 F. +1%. +0o +0t +08" +0=" +0_" +0d" +1(# +1-# +1k+ +1p+ +14, +19, +1[, +1`, +1$- +1)- +1K- +1P- +1r- +1w- +1;. +1@. +0n +0s +07" +0<" +0^" +0c" +1'# +1,# +1j+ +1o+ +13, +18, +1Z, +1_, +1#- +1(- +1J- +1O- +1q- +1v- +1:. +1?. +0U +0| +0E" +1l" +1Q+ +1x+ +1A, +1h, +11- +1X- +1!. +1T +1{ +1D" +0k" +0P+ +0w+ +0@, +0g, +00- +0W- +0~- +b11111111000000000000000000001000 & +b11111111000000000000000000001000 0 +b10000000000000000000000000000111 % +b10000000000000000000000000000111 / +b10110 ( +b10110 ) +#23000000 +1c+ +0R+ +0/+ +b0 A +b0 U/ +0,+ +b0 0+ +b0 O+ +0.+ +04+ +1<+ +0:+ +0F+ +03+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0++ +0f* +b0 @ +b0 R/ +0c* +b0 g* +b0 (+ +0e* +0k* +1s* +0q* +0}* +0j* +b1100000 h* +b1100000 &+ +0p* +0$+ +0b* +0?* +b0 ? +b0 O/ +0<* +b0 @* +b0 _* +0>* +0D* +1L* +0J* +0V* +0C* +b1100000 A* +b1100000 ]* +0I* +0[* +0;* +0v) +b0 > +b0 L/ +0s) +b0 w) +b0 8* +0u) +0{) +1%* +0#* +0/* +0z) +b1100000 x) +b1100000 6* +0"* +04* +0r) +0O) +b0 < +b0 I/ +0L) +b0 P) +b0 o) +0N) +0T) +1\) +0Z) +0f) +0S) +b1100000 Q) +b1100000 m) +0Y) +0k) +0K) +0() +b0 ; +b0 F/ +0%) +b0 )) +b0 H) +0') +0-) +15) +03) +0?) +0,) +b1100000 *) +b1100000 F) +02) +0D) +0$) +0_( +b0 : +b0 C/ +0\( +b0 `( +b0 !) +0^( +0d( +1l( +0j( +0v( +0c( +b1100000 a( +b1100000 }( +0i( +0{( +0[( +08( +b0 9 +b0 @/ +05( +b0 9( +b0 X( +07( +0=( +1E( +0C( +0O( +0<( +b1100000 :( +b1100000 V( +0B( +0T( +04( +0o' +b0 8 +b0 =/ +0l' +b0 p' +b0 1( +0n' +0t' +1|' +0z' +0(( +0s' +b1100000 q' +b1100000 /( +0y' +0-( +0k' +0H' +b0 7 +b0 :/ +0E' +b0 I' +b0 h' +0G' +0M' +1U' +0S' +0_' +0L' +b1100000 J' +b1100000 f' +0R' +0d' +0D' +0!' +b0 6 +b0 7/ +0|& +b0 "' +b0 A' +0~& +0&' +1.' +0,' +08' +0%' +b1100000 #' +b1100000 ?' +0+' +0=' +0{& +0X& +b0 5 +b0 4/ +0U& +b0 Y& +b0 x& +0W& +0]& +1e& +0c& +0o& +0\& +b1100000 Z& +b1100000 v& +0b& +0t& +0T& +01& +b0 4 +b0 1/ +0.& +b0 2& +b0 Q& +00& +06& +1>& +0<& +0H& +05& +b1100000 3& +b1100000 O& +0;& +0M& +0-& +0h% +b0 3 +b0 ./ +0e% +b0 i% +b0 *& +0g% +0m% +1u% +0s% +0!& +0l% +b1100000 j% +b1100000 (& +0r% +0&& +0d% +0A% +b0 P +b0 +/ +0>% +b0 B% +b0 a% +0@% +0F% +1N% +0L% +0X% +0E% +b1100000 C% +b1100000 _% +0K% +0]% +0=% +0x$ +b0 O +b0 (/ +0u$ +b0 y$ +b0 :% +0w$ +0}$ +1'% +0%% +01% +0|$ +b1100000 z$ +b1100000 8% +0$% +06% +0t$ +0Q$ +b0 N +b0 %/ +0N$ +b0 R$ +b0 q$ +0P$ +0V$ +1^$ +0\$ +0h$ +0U$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0M$ +0*$ +b0 M +b0 "/ +0'$ +b0 +$ +b0 J$ +0)$ +0/$ +17$ +05$ +0A$ +0.$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0&$ +0a# +b0 L +b0 }. +0^# +b0 b# +b0 #$ +0`# +0f# +1n# +0l# +0x# +0e# +b1100000 c# +b1100000 !$ +0k# +0}# +0]# +0:# +b0 K +b0 z. +07# +b0 ;# +b0 Z# +09# +1\. +1J. +0L. +b0 J +b0 m/ +0! +b1 M. +b1 l. +0K. +0S +0o. +b0 " +b0 R +0?# +1G# +0E# +0Q# +0># +b1100000 <# +b1100000 X# +0D# +0V# +1,, +1S, +1z, +1C- +1j- +13. +0Q. +1Y. +0]. +0W. +0c. +0P. +b10010000 N. +b10010000 j. +0V. +0h. +0# +b1 [ +b1 z +1X +b0 1 +b0 n. +b1 $" +b1 C" +1!" +b0 2 +b0 q. +b1 K" +b1 j" +1H" +b0 = +b0 t. +b0 H +b0 w. +06# +0T+ +0m+ +b0 B +b0 X/ +0r+ +0y+ +0{+ +06, +b0 C +b0 [/ +0;, +0B, +0D, +0], +b0 D +b0 ^/ +0b, +0i, +0k, +0&- +b0 E +b0 a/ +0+- +02- +04- +0M- +b0 F +b0 d/ +0R- +0Y- +0[- +0t- +b0 G +b0 g/ +0y- +0". +0$. +0=. +b0 I +b0 j/ +0B. +0I. +0_ +1j +0e +1p +0^ +0d +1u +0(" +13" +0." +19" +0'" +0-" +1>" +0O" +1Z" +0U" +1`" +0N" +0T" +1e" +0v" +0|" +1)# +0q" +0u" +0{" +1.# +0n" +b0 r" +b0 3# +0p" +0[+ +0g+ +0a+ +1l+ +0V+ +0Z+ +0`+ +1q+ +0S+ +b0 W+ +b0 v+ +0U+ +0$, +00, +0*, +15, +0}+ +0#, +0), +1:, +0z+ +b0 ~+ +b0 ?, +0|+ +0K, +0W, +0Q, +1\, +0F, +0J, +0P, +1a, +0C, +b0 G, +b0 f, +0E, +0r, +0~, +0x, +1%- +0m, +0q, +0w, +1*- +0j, +b0 n, +b0 /- +0l, +0;- +0G- +0A- +1L- +06- +0:- +0@- +1Q- +03- +b0 7- +b0 V- +05- +0b- +0n- +0h- +1s- +0]- +0a- +0g- +1x- +0Z- +b0 ^- +b0 }- +0\- +0+. +07. +01. +1<. +0&. +0*. +b0 Q +00. +1A. +0#. +bz0000000000000000000000000000000 . +b0 '. +b0 F. +0%. +0f +1` +0a +b10010000 \ +b10010000 x +0l +1h +0m +0r +0/" +1)" +0*" +b10010000 %" +b10010000 A" +05" +11" +06" +0;" +0V" +1P" +0Q" +b10010000 L" +b10010000 h" +0\" +1X" +0]" +0b" +0}" +1y" +0z" +b1100000 s" +b1100000 1# +0%# +0"# +0&# +0(# +0+# +0-# +0b+ +1^+ +0_+ +b1100000 X+ +b1100000 t+ +0h+ +0e+ +0i+ +0k+ +0n+ +0p+ +0+, +1', +0(, +b1100000 !, +b1100000 =, +01, +0., +02, +04, +07, +09, +0R, +1N, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +0[, +0^, +0`, +0y, +1u, +0v, +b1100000 o, +b1100000 -- +0!- +0|, +0"- +0$- +0'- +0)- +0B- +1>- +0?- +b1100000 8- +b1100000 T- +0H- +0E- +0I- +0K- +0N- +0P- +0i- +1e- +0f- +b1100000 _- +b1100000 {- +0o- +0l- +0p- +0r- +0u- +0w- +02. +1.. +0/. +b1100000 (. +b1100000 D. +08. +05. +09. +0;. +0>. +0@. +1U +1| +1E" +0l" +0Q+ +0x+ +0A, +0h, +01- +0X- +0!. +b10000000000000000000000000000111 & +b10000000000000000000000000000111 0 +b10111 ( +b10111 ) +#24000000 +b1 '. +b1 F. +1$. +03. +17. +1". +1]- +b11110111 G +b11110111 g/ +1Z- +b1010 ^- +b1010 }- +1\- +1b- +0j- +1h- +1t- +1a- +b1101011 _- +b1101011 {- +1g- +1y- +1Y- +16- +b11110111 F +b11110111 d/ +13- +b1010 7- +b1010 V- +15- +1;- +0C- +1A- +1M- +1:- +b1101011 8- +b1101011 T- +1@- +1R- +12- +1m, +b11110111 E +b11110111 a/ +1j, +b1010 n, +b1010 /- +1l, +1r, +0z, +1x, +1&- +1q, +b1101011 o, +b1101011 -- +1w, +1+- +1i, +1F, +b11110111 D +b11110111 ^/ +1C, +b1010 G, +b1010 f, +1E, +1K, +0S, +1Q, +1], +1J, +b1101011 H, +b1101011 d, +1P, +1b, +1B, +1}+ +b11110111 C +b11110111 [/ +1z+ +b1010 ~+ +b1010 ?, +1|+ +1$, +0,, +1*, +16, +1#, +b1101011 !, +b1101011 =, +1), +1;, +1y+ +1V+ +b11110111 B +b11110111 X/ +1S+ +b1010 W+ +b1010 v+ +1U+ +1[+ +0c+ +1a+ +1m+ +1Z+ +b1101011 X+ +b1101011 t+ +1`+ +1r+ +1R+ +1/+ +b11110111 A +b11110111 U/ +1,+ +b1010 0+ +b1010 O+ +1.+ +14+ +0<+ +1:+ +1F+ +13+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +1++ +1f* +b11110111 @ +b11110111 R/ +1c* +b1010 g* +b1010 (+ +1e* +1k* +0s* +1q* +1}* +1j* +b1101011 h* +b1101011 &+ +1p* +1$+ +1b* +1?* +b11110111 ? +b11110111 O/ +1<* +b1010 @* +b1010 _* +1>* +1D* +0L* +1J* +1V* +1C* +b1101011 A* +b1101011 ]* +1I* +1[* +1;* +1v) +b11110111 > +b11110111 L/ +1s) +b1010 w) +b1010 8* +1u) +1{) +0%* +1#* +1/* +1z) +b1101011 x) +b1101011 6* +1"* +14* +1r) +1O) +b11110111 < +b11110111 I/ +1L) +b1010 P) +b1010 o) +1N) +1T) +0\) +1Z) +1f) +1S) +b1101011 Q) +b1101011 m) +1Y) +1k) +1K) +1() +b11110111 ; +b11110111 F/ +1%) +b1010 )) +b1010 H) +1') +1-) +05) +13) +1?) +1,) +b1101011 *) +b1101011 F) +12) +1D) +1$) +1_( +b11110111 : +b11110111 C/ +1\( +b1010 `( +b1010 !) +1^( +1d( +0l( +1j( +1v( +1c( +b1101011 a( +b1101011 }( +1i( +1{( +1[( +18( +b11110111 9 +b11110111 @/ +15( +b1010 9( +b1010 X( +17( +1=( +0E( +1C( +1O( +1<( +b1101011 :( +b1101011 V( +1B( +1T( +14( +1o' +b11110111 8 +b11110111 =/ +1l' +b1010 p' +b1010 1( +1n' +1t' +0|' +1z' +1(( +1s' +b1101011 q' +b1101011 /( +1y' +1-( +1k' +1H' +b11110111 7 +b11110111 :/ +1E' +b1010 I' +b1010 h' +1G' +1M' +0U' +1S' +1_' +1L' +b1101011 J' +b1101011 f' +1R' +1d' +1D' +1!' +b11110111 6 +b11110111 7/ +1|& +b1010 "' +b1010 A' +1~& +1&' +0.' +1,' +18' +1%' +b1101011 #' +b1101011 ?' +1+' +1=' +1{& +1X& +b11110111 5 +b11110111 4/ +1U& +b1010 Y& +b1010 x& +1W& +1]& +0e& +1c& +1o& +1\& +b1101011 Z& +b1101011 v& +1b& +1t& +1T& +11& +b11110111 4 +b11110111 1/ +1.& +b1010 2& +b1010 Q& +10& +16& +0>& +1<& +1H& +15& +b1101011 3& +b1101011 O& +1;& +1M& +1-& +1h% +b11110111 3 +b11110111 ./ +1e% +b1010 i% +b1010 *& +1g% +1m% +0u% +1s% +1!& +1l% +b1101011 j% +b1101011 (& +1r% +1&& +1d% +1A% +b11110111 P +b11110111 +/ +1>% +b1010 B% +b1010 a% +1@% +1F% +0N% +1L% +1X% +1E% +b1101011 C% +b1101011 _% +1K% +1]% +1=% +1x$ +b11110111 O +b11110111 (/ +1u$ +b1010 y$ +b1010 :% +1w$ +1}$ +0'% +1%% +11% +1|$ +b1101011 z$ +b1101011 8% +1$% +16% +1t$ +1Q$ +b11110111 N +b11110111 %/ +1N$ +b1010 R$ +b1010 q$ +1P$ +1V$ +0^$ +1\$ +1h$ +1U$ +b1101011 S$ +b1101011 o$ +1[$ +1m$ +1M$ +1*$ +b11110111 M +b11110111 "/ +1'$ +b1010 +$ +b1010 J$ +1)$ +1/$ +07$ +15$ +1A$ +1.$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +1&$ +1a# +b11110111 L +b11110111 }. +1^# +b1010 b# +b1010 #$ +1`# +1f# +0n# +1l# +1x# +1e# +b1101011 c# +b1101011 !$ +1k# +1}# +1# +b0 [ +b0 z +0X +b11110111 1 +b11110111 n. +b11110111 K +b11110111 z. +1]# +b0 $" +b0 C" +0!" +b0 K" +b0 j" +0H" +b0 I +b0 j/ +0J. +1L. +b11110111 J +b11110111 m/ +1! +b1010 M. +b1010 l. +1K. +1_ +0j +1e +0p +1^ +1d +0u +1?# +1E# +0P# +1:# +1># +1D# +0U# +17# +bz0111111111111111111111111110000 . +b1010 ;# +b1010 Z# +19# +03" +0Z" +0+. +01. +0<. +0*. +00. +0A. +1Q. +0\. +1W. +0b. +1a. +1P. +b10111111111111111111111111110001 Q +1V. +0g. +1f. +1f +0` +1a +b10101111 \ +b10101111 x +1l +0h +1m +1r +1F# +0B# +1C# +b10101111 <# +b10101111 X# +1L# +1I# +1M# +1O# +1R# +1T# +0)" +1*" +1+" +0," +b1100000 %" +b1100000 A" +01" +02" +17" +1<" +0P" +1Q" +1R" +0S" +b1100000 L" +b1100000 h" +0X" +0Y" +1^" +1c" +12. +0.. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +0:. +1>. +0?. +1X. +0R. +1S. +b10101111 N. +b10101111 j. +1^. +0Z. +1_. +1`. +1d. +1e. +0U +0| +0E" +15# +0{ +0D" +1~- +0G. +b10000000000000000000000000010000 & +b10000000000000000000000000010000 0 +b1000000000000000000000000000001 % +b1000000000000000000000000000001 / +b11000 ( +b11000 ) +#25000000 +1S, +0B, +0}+ +b0 C +b0 [/ +0z+ +b0 ~+ +b0 ?, +0|+ +0$, +1,, +0*, +06, +0#, +b1100000 !, +b1100000 =, +0), +0;, +0y+ +0V+ +b0 B +b0 X/ +0S+ +b0 W+ +b0 v+ +0U+ +0[+ +1c+ +0a+ +0m+ +0Z+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0R+ +0/+ +b0 A +b0 U/ +0,+ +b0 0+ +b0 O+ +0.+ +04+ +1<+ +0:+ +0F+ +03+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0++ +0f* +b0 @ +b0 R/ +0c* +b0 g* +b0 (+ +0e* +0k* +1s* +0q* +0}* +0j* +b1100000 h* +b1100000 &+ +0p* +0$+ +0b* +0?* +b0 ? +b0 O/ +0<* +b0 @* +b0 _* +0>* +0D* +1L* +0J* +0V* +0C* +b1100000 A* +b1100000 ]* +0I* +0[* +0;* +0v) +b0 > +b0 L/ +0s) +b0 w) +b0 8* +0u) +0{) +1%* +0#* +0/* +0z) +b1100000 x) +b1100000 6* +0"* +04* +0r) +0O) +b0 < +b0 I/ +0L) +b0 P) +b0 o) +0N) +0T) +1\) +0Z) +0f) +0S) +b1100000 Q) +b1100000 m) +0Y) +0k) +0K) +0() +b0 ; +b0 F/ +0%) +b0 )) +b0 H) +0') +0-) +15) +03) +0?) +0,) +b1100000 *) +b1100000 F) +02) +0D) +0$) +0_( +b0 : +b0 C/ +0\( +b0 `( +b0 !) +0^( +0d( +1l( +0j( +0v( +0c( +b1100000 a( +b1100000 }( +0i( +0{( +0[( +08( +b0 9 +b0 @/ +05( +b0 9( +b0 X( +07( +0=( +1E( +0C( +0O( +0<( +b1100000 :( +b1100000 V( +0B( +0T( +04( +0o' +b0 8 +b0 =/ +0l' +b0 p' +b0 1( +0n' +0t' +1|' +0z' +0(( +0s' +b1100000 q' +b1100000 /( +0y' +0-( +0k' +0H' +b0 7 +b0 :/ +0E' +b0 I' +b0 h' +0G' +0M' +1U' +0S' +0_' +0L' +b1100000 J' +b1100000 f' +0R' +0d' +0D' +0!' +b0 6 +b0 7/ +0|& +b0 "' +b0 A' +0~& +0&' +1.' +0,' +08' +0%' +b1100000 #' +b1100000 ?' +0+' +0=' +0{& +0X& +b0 5 +b0 4/ +0U& +b0 Y& +b0 x& +0W& +0]& +1e& +0c& +0o& +0\& +b1100000 Z& +b1100000 v& +0b& +0t& +0T& +01& +b0 4 +b0 1/ +0.& +b0 2& +b0 Q& +00& +06& +1>& +0<& +0H& +05& +b1100000 3& +b1100000 O& +0;& +0M& +0-& +0h% +b0 3 +b0 ./ +0e% +b0 i% +b0 *& +0g% +0m% +1u% +0s% +0!& +0l% +b1100000 j% +b1100000 (& +0r% +0&& +0d% +0A% +b0 P +b0 +/ +0>% +b0 B% +b0 a% +0@% +0F% +1N% +0L% +0X% +0E% +b1100000 C% +b1100000 _% +0K% +0]% +0=% +0x$ +b0 O +b0 (/ +0u$ +b0 y$ +b0 :% +0w$ +0}$ +1'% +0%% +01% +0|$ +b1100000 z$ +b1100000 8% +0$% +06% +0t$ +0Q$ +b0 N +b0 %/ +0N$ +b0 R$ +b0 q$ +0P$ +0V$ +1^$ +0\$ +0h$ +0U$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0M$ +0*$ +b0 M +b0 "/ +0'$ +b0 +$ +b0 J$ +0)$ +0/$ +17$ +05$ +0A$ +0.$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +1J. +b0 J +b0 m/ +0&$ +0Q. +0Y. +1]. +0W. +0P. +b10100100 N. +b10100100 j. +0V. +0a# +b0 L +b0 }. +0^# +b0 b# +b0 #$ +0`# +1I. +0f# +1n# +0l# +0x# +0e# +b1100000 c# +b1100000 !$ +0k# +0}# +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +1&. +1#. +1%. +b0 K +b0 z. +0]# +b1010 G, +b1010 f, +0D, +0], +b11110111 D +b11110111 ^/ +0b, +1# +b1010 '. +b1010 F. +0$. +1=. +b11110111 I +b11110111 j/ +1B. +0?# +0E# +1P# +0:# +0># +0D# +1U# +07# +bz1111100000000000000000000000000 . +b0 ;# +b0 Z# +09# +1K, +0W, +1Q, +0\, +1J, +1P, +0a, +0L. +0! +b1 M. +b1 l. +0K. +1+. +07. +11. +1<. +1*. +b1111100000000000000000000000001 Q +10. +1A. +0F# +1B# +0C# +b1100000 <# +b1100000 X# +0L# +0I# +0M# +0O# +0R# +0T# +1R, +0N, +1O, +b10101111 H, +b10101111 d, +1X, +1U, +1Y, +1[, +1^, +1`, +0a. +0f. +02. +1.. +0/. +b1101011 (. +b1101011 D. +08. +05. +09. +1:. +0>. +1?. +0`. +0e. +05# +1A, +0H. +0~- +1G. +b100000000000000000000000000 & +b100000000000000000000000000 0 +b10000000000000000000000000000001 % +b10000000000000000000000000000001 / +b11001 ( +b11001 ) +#26000000 +b11010 ( +b11010 ) +#27000000 +0J. +b11110111 J +b11110111 m/ +1Q. +1Y. +0]. +1W. +1P. +b10101111 N. +b10101111 j. +1V. +0I. +0&. +b0 I +b0 j/ +0#. +b0 '. +b0 F. +0%. +0+. +13. +01. +0=. +0*. +b1100000 (. +b1100000 D. +00. +0B. +0". +0]- +b0 G +b0 g/ +0Z- +b0 ^- +b0 }- +0\- +0b- +1j- +0h- +0t- +0a- +b1100000 _- +b1100000 {- +0g- +0y- +0Y- +06- +b0 F +b0 d/ +03- +b0 7- +b0 V- +05- +0;- +1C- +0A- +0M- +0:- +b1100000 8- +b1100000 T- +0@- +0R- +02- +0m, +b0 E +b0 a/ +0j, +b0 n, +b0 /- +0l, +0r, +1z, +0x, +0&- +0q, +b1100000 o, +b1100000 -- +0w, +0+- +0S +0o. +b0 " +b0 R +b11110111 1 +b11110111 n. +b0 D +b0 ^/ +0i, +1# +b11110111 2 +b11110111 q. +b11110111 = +b11110111 t. +b11110111 H +b11110111 w. +b11110111 K +b11110111 z. +b11110111 L +b11110111 }. +b11110111 M +b11110111 "/ +b11110111 N +b11110111 %/ +b11110111 O +b11110111 (/ +b11110111 P +b11110111 +/ +b11110111 3 +b11110111 ./ +b11110111 4 +b11110111 1/ +b11110111 5 +b11110111 4/ +b11110111 6 +b11110111 7/ +b11110111 7 +b11110111 :/ +b11110111 8 +b11110111 =/ +0K, +0Q, +1\, +0F, +0J, +0P, +1a, +0C, +bz0000000000000000000000000000000 . +b0 G, +b0 f, +0E, +1L. +1! +b1010 M. +b1010 l. +1K. +1(" +1." +09" +1'" +1-" +0>" +1O" +1U" +0`" +1N" +1T" +0e" +1v" +1|" +0)# +1u" +1{" +0.# +1?# +1E# +0P# +1># +1D# +0U# +1f# +1l# +0w# +1e# +1k# +0|# +1/$ +15$ +0@$ +1.$ +14$ +0E$ +1V$ +1\$ +0g$ +1U$ +1[$ +0l$ +1}$ +1%% +00% +1|$ +1$% +05% +1F% +1L% +0W% +1E% +1K% +0\% +1m% +1s% +0~% +1l% +1r% +0%& +16& +1<& +0G& +15& +1;& +0L& +1]& +1c& +0n& +1\& +1b& +0s& +1&' +1,' +07' +1%' +1+' +0<' +1M' +1S' +0^' +1L' +1R' +0c' +1t' +1z' +0'( +1s' +b10000000000000001111111111111111 Q +1y' +0,( +0R, +1N, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +0[, +0^, +0`, +1a. +1f. +1/" +0+" +1," +b10101111 %" +b10101111 A" +15" +12" +16" +07" +1;" +0<" +1V" +0R" +1S" +b10101111 L" +b10101111 h" +1\" +1Y" +1]" +0^" +1b" +0c" +1}" +0y" +1z" +b10101111 s" +b10101111 1# +1%# +1"# +1&# +0'# +1+# +0,# +1F# +0B# +1C# +b10101111 <# +b10101111 X# +1L# +1I# +1M# +0N# +1R# +0S# +1m# +0i# +1j# +b10101111 c# +b10101111 !$ +1s# +1p# +1t# +0u# +1y# +0z# +16$ +02$ +13$ +b10101111 ,$ +b10101111 H$ +1<$ +19$ +1=$ +0>$ +1B$ +0C$ +1]$ +0Y$ +1Z$ +b10101111 S$ +b10101111 o$ +1c$ +1`$ +1d$ +0e$ +1i$ +0j$ +1&% +0"% +1#% +b10101111 z$ +b10101111 8% +1,% +1)% +1-% +0.% +12% +03% +1M% +0I% +1J% +b10101111 C% +b10101111 _% +1S% +1P% +1T% +0U% +1Y% +0Z% +1t% +0p% +1q% +b10101111 j% +b10101111 (& +1z% +1w% +1{% +0|% +1"& +0#& +1=& +09& +1:& +b10101111 3& +b10101111 O& +1C& +1@& +1D& +0E& +1I& +0J& +1d& +0`& +1a& +b10101111 Z& +b10101111 v& +1j& +1g& +1k& +0l& +1p& +0q& +1-' +0)' +1*' +b10101111 #' +b10101111 ?' +13' +10' +14' +05' +19' +0:' +1T' +0P' +1Q' +b10101111 J' +b10101111 f' +1Z' +1W' +1[' +0\' +1`' +0a' +1{' +0w' +1x' +b10101111 q' +b10101111 /( +1#( +1~' +1$( +0%( +1)( +0*( +1`. +1e. +0A, +1H. +1{ +1D" +1k" +14# +1[# +1$$ +1K$ +1r$ +1;% +1b% +1+& +1R& +1y& +1B' +1i' +0G. +b10000000000000000000000000000000 & +b10000000000000000000000000000000 0 +b1111111111111111 % +b1111111111111111 / +b11011 ( +b11011 ) +#28000000 +b11100 ( +b11100 ) diff --git a/alu.t.v b/alu.t.v new file mode 100644 index 0000000..1ac50e2 --- /dev/null +++ b/alu.t.v @@ -0,0 +1,188 @@ +// 1 Bit alu test bench +`timescale 1 ns / 1 ps +`include "alu.v" + +module testALU (); + wire[31:0] out; + wire zero, overflow, cout; + reg[31:0] a, b; + reg[2:0] op; + + integer passed_tests = 0; + integer tests = 0; + + ALU alu (out,cout,zero,overflow,a,b,op); + + function integer test; + input test_case; + integer test_case; + input show_extras; + begin + if (test_case) begin + test = 1; + $display("Passed test with:"); + end + else begin + test = 0; + $display("Failed test with:"); + end + $display("a: %b", a); + $display("b: %b", b); + $display("out: %b", out); + if (show_extras) begin + $display("Cout: %b, Overflow: %b", cout, overflow); + end + end + endfunction + + + initial begin + $dumpfile("alu.vcd"); + $dumpvars; + + // Test Add + $display("\nAddition"); + $display("-----------------------------------------------------------------"); + op=3'b000; + a=32'b00000000000011111111111111111111; b=32'b0000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a + b) == out) && (overflow == 0) && (cout == 0), 1); + + a=32'b11111111111111111111111111111111; b=32'b0000000000000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a + b) == out) && (overflow == 0) && (cout == 0), 1); + + a=32'b11111111111111111111111111111111; b=32'b0000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a + b) == out) && (overflow == 0) && (cout == 1), 1); + + // Overflow + a=32'b10110000000000000000000000000000; b=32'b11000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a + b) == out) && (overflow == 1), 1); + + a=32'b10000000000000001100011010101100; b=32'b11000000000010101010000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a + b) == out) && (overflow == 1), 1); + + // Test Subtract + $display("Subtraction"); + $display("-----------------------------------------------------------------"); + op=3'b001; + a=32'b00000000000011111111111111111111; b=32'b0000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 0) && (cout == 0), 1); + + a=32'b11111111111111111111111111111111; b=32'b0000000000000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 0) && (cout == 0), 1); + + a=32'b10110000000000000000000000000000; b=32'b11000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 0), 1); + + a=32'b10000000000000001100011010101100; b=32'b11000000000010101010000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 0), 1); + + a=32'b01000000000000000000000000000000; b=32'b10000000000010101010000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 1), 1); + + a=32'b10000000000000000000000000000000; b=32'b01000000000010101010000000000001;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(((a - b) == out) && (overflow == 1), 1); + + // Test XOR + $display("\nXOR"); + $display("-----------------------------------------------------------------"); + op=3'b010; + $display("op: %b", op); + a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000001;#2000 + tests = tests + 1; + passed_tests = passed_tests + test((a ^ b) == out, 0); + + // Test SLT + $display("\nSLT"); + $display("-----------------------------------------------------------------"); + op=3'b011; + $display("op: %b", op); + // SLT(a,b) = 1 where ab + a=32'b00000000000000000000000000001000; b=32'b00000000000000000000000000000010;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + + // SLT(a,b) = 1 where a(is negative)b(is negative) + a=32'b00000000000000000000000000001000; b=32'b10000000000000000000000000000010;#2000 + tests = tests + 1; + + passed_tests = passed_tests + test(out == 0, 1); + // SLT(a,b) = 1 where a(is negative)>b(is negative) + a=32'b10000000000000000000000000001000; b=32'b10000000000000000000001000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + + // SLT(a,b) = 1 where a(is negative)>b(is negative) + a=32'b10000000000000000000000000001000; b=32'b00000000001000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + + // small pos / large pos = 1 + a=32'b00000000000000000000000000001000; b=32'b01110000001000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + // large pos / small pos = 0 + a=32'b01110000000000000000000000001000; b=32'b00000000001000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + // equal positives = 0 + a=32'b01110000000000000000000000001000; b=32'b01110000000000000000000000000000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + + // small neg / large neg = 0 + a=32'b11111111000000000000000000001000; b=32'b10000000000000000000000000000111;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + // large neg / small neg = 1 + a=32'b10000000000000000000000000000111; b=32'b11111111000000000000000000001000;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + // equal negatives = 0 + a=32'b10000000000000000000000000000111; b=32'b10000000000000000000000000000111;#2000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + + // positive overflow: large pos / large neg : 0 + a=32'b01000000000000000000000000000001; b=32'b10000000000000000000000000010000;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + // negative overflow: large neg / large pos : 1 + a=32'b10000000000000000000000000000001; b=32'b00000100000000000000000000000000;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + + a=32'b00000000000000000000000000001000; b=32'b00000000001000000000000000000000;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + + a=32'b00100000000000000000000000000001; b=32'b10000000000000000000000000000000;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 0, 1); + + a=32'b10000000000000000000000000000000; b=32'b01111111111111111111111111111111;#1000 + tests = tests + 1; + passed_tests = passed_tests + test(out == 1, 1); + $display("%2d/%2d Test Cases Passed", passed_tests, tests); + + end +endmodule diff --git a/alu.v b/alu.v new file mode 100644 index 0000000..cfff904 --- /dev/null +++ b/alu.v @@ -0,0 +1,140 @@ +// ALU is a 32-Bit arithmetic logic unit +// It performs the following operations: +// b000 -> ADD +// b001 -> SUB +// b010 -> XOR +// b011 -> SLT +// b100 -> AND +// b101 -> NAND +// b110 -> NOR +// b111 -> OR +`define AND and #30 +`define OR or #30 +`define NOT not #10 +`define XOR xor #30 +`define NOR nor #20 +`define NAND nand #20 + +`include "alu1bit.v" + +module ALU +( + output[31:0] result, + output carryout, + output zero, + output overflow, + input[31:0] operandA, + input[31:0] operandB, + input[2:0] command +); + + wire[31:0] cout; + wire[31:0] res_premux; + ALU1bit a1(res_premux[0], cout[0], operandA[0], operandB[0], 0, command); + ALU1bit a2(res_premux[1], cout[1], operandA[1], operandB[1], cout[0], command); + ALU1bit a3(res_premux[2], cout[2], operandA[2], operandB[2], cout[1], command); + ALU1bit a4(res_premux[3], cout[3], operandA[3], operandB[3], cout[2], command); + ALU1bit a5(res_premux[4], cout[4], operandA[4], operandB[4], cout[3], command); + ALU1bit a6(res_premux[5], cout[5], operandA[5], operandB[5], cout[4], command); + ALU1bit a7(res_premux[6], cout[6], operandA[6], operandB[6], cout[5], command); + ALU1bit a8(res_premux[7], cout[7], operandA[7], operandB[7], cout[6], command); + ALU1bit a9(res_premux[8], cout[8], operandA[8], operandB[8], cout[7], command); + ALU1bit a10(res_premux[9], cout[9], operandA[9], operandB[9], cout[8], command); + ALU1bit a11(res_premux[10], cout[10], operandA[10], operandB[10], cout[9], command); + ALU1bit a12(res_premux[11], cout[11], operandA[11], operandB[11], cout[10], command); + ALU1bit a13(res_premux[12], cout[12], operandA[12], operandB[12], cout[11], command); + ALU1bit a14(res_premux[13], cout[13], operandA[13], operandB[13], cout[12], command); + ALU1bit a15(res_premux[14], cout[14], operandA[14], operandB[14], cout[13], command); + ALU1bit a16(res_premux[15], cout[15], operandA[15], operandB[15], cout[14], command); + ALU1bit a17(res_premux[16], cout[16], operandA[16], operandB[16], cout[15], command); + ALU1bit a18(res_premux[17], cout[17], operandA[17], operandB[17], cout[16], command); + ALU1bit a19(res_premux[18], cout[18], operandA[18], operandB[18], cout[17], command); + ALU1bit a20(res_premux[19], cout[19], operandA[19], operandB[19], cout[18], command); + ALU1bit a21(res_premux[20], cout[20], operandA[20], operandB[20], cout[19], command); + ALU1bit a22(res_premux[21], cout[21], operandA[21], operandB[21], cout[20], command); + ALU1bit a23(res_premux[22], cout[22], operandA[22], operandB[22], cout[21], command); + ALU1bit a24(res_premux[23], cout[23], operandA[23], operandB[23], cout[22], command); + ALU1bit a25(res_premux[24], cout[24], operandA[24], operandB[24], cout[23], command); + ALU1bit a26(res_premux[25], cout[25], operandA[25], operandB[25], cout[24], command); + ALU1bit a27(res_premux[26], cout[26], operandA[26], operandB[26], cout[25], command); + ALU1bit a28(res_premux[27], cout[27], operandA[27], operandB[27], cout[26], command); + ALU1bit a29(res_premux[28], cout[28], operandA[28], operandB[28], cout[27], command); + ALU1bit a30(res_premux[29], cout[29], operandA[29], operandB[29], cout[28], command); + ALU1bit a31(res_premux[30], cout[30], operandA[30], operandB[30], cout[29], command); + ALU1bit a32(res_premux[31], carryout, operandA[31], operandB[31], cout[30], command); + `XOR(overflow, carryout, cout[30]); + + // We're using subtraction for SLT. We have to handle additional cases + // for the cases where we have overflow. + wire temp; + `XOR(temp, res_premux[31], overflow); + + // This mux is necessary for handling the SLT, since the desired result of the SLT + // is very different from the actual output from our ALU. + // We could have used a MUX of size 2, but that would have required conversion + // of the SLT command. + wire[7:0] resMux0 = {res_premux[0], res_premux[0], res_premux[0], res_premux[0], temp, res_premux[0], res_premux[0], res_premux[0]}; + MUX3bit mux0(result[0], command, resMux0); + wire[7:0] resMux1 = {res_premux[1], res_premux[1], res_premux[1], res_premux[1], 1'b0, res_premux[1], res_premux[1], res_premux[1]}; + MUX3bit mux1(result[1], command, resMux1); + wire[7:0] resMux2 = {res_premux[2], res_premux[2], res_premux[2], res_premux[2], 1'b0, res_premux[2], res_premux[2], res_premux[2]}; + MUX3bit mux2(result[2], command, resMux2); + wire[7:0] resMux3 = {res_premux[3], res_premux[3], res_premux[3], res_premux[3], 1'b0, res_premux[3], res_premux[3], res_premux[3]}; + MUX3bit mux3(result[3], command, resMux3); + wire[7:0] resMux4 = {res_premux[4], res_premux[4], res_premux[4], res_premux[4], 1'b0, res_premux[4], res_premux[4], res_premux[4]}; + MUX3bit mux4(result[4], command, resMux4); + wire[7:0] resMux5 = {res_premux[5], res_premux[5], res_premux[5], res_premux[5], 1'b0, res_premux[5], res_premux[5], res_premux[5]}; + MUX3bit mux5(result[5], command, resMux5); + wire[7:0] resMux6 = {res_premux[6], res_premux[6], res_premux[6], res_premux[6], 1'b0, res_premux[6], res_premux[6], res_premux[6]}; + MUX3bit mux6(result[6], command, resMux6); + wire[7:0] resMux7 = {res_premux[7], res_premux[7], res_premux[7], res_premux[7], 1'b0, res_premux[7], res_premux[7], res_premux[7]}; + MUX3bit mux7(result[7], command, resMux7); + wire[7:0] resMux8 = {res_premux[8], res_premux[8], res_premux[8], res_premux[8], 1'b0, res_premux[8], res_premux[8], res_premux[8]}; + MUX3bit mux8(result[8], command, resMux8); + wire[7:0] resMux9 = {res_premux[9], res_premux[9], res_premux[9], res_premux[9], 1'b0, res_premux[9], res_premux[9], res_premux[9]}; + MUX3bit mux9(result[9], command, resMux9); + wire[7:0] resMux10 = {res_premux[10], res_premux[10], res_premux[10], res_premux[10], 1'b0, res_premux[10], res_premux[10], res_premux[10]}; + MUX3bit mux10(result[10], command, resMux10); + wire[7:0] resMux11 = {res_premux[11], res_premux[11], res_premux[11], res_premux[11], 1'b0, res_premux[11], res_premux[11], res_premux[11]}; + MUX3bit mux11(result[11], command, resMux11); + wire[7:0] resMux12 = {res_premux[12], res_premux[12], res_premux[12], res_premux[12], 1'b0, res_premux[12], res_premux[12], res_premux[12]}; + MUX3bit mux12(result[12], command, resMux12); + wire[7:0] resMux13 = {res_premux[13], res_premux[13], res_premux[13], res_premux[13], 1'b0, res_premux[13], res_premux[13], res_premux[13]}; + MUX3bit mux13(result[13], command, resMux13); + wire[7:0] resMux14 = {res_premux[14], res_premux[14], res_premux[14], res_premux[14], 1'b0, res_premux[14], res_premux[14], res_premux[14]}; + MUX3bit mux14(result[14], command, resMux14); + wire[7:0] resMux15 = {res_premux[15], res_premux[15], res_premux[15], res_premux[15], 1'b0, res_premux[15], res_premux[15], res_premux[15]}; + MUX3bit mux15(result[15], command, resMux15); + wire[7:0] resMux16 = {res_premux[16], res_premux[16], res_premux[16], res_premux[16], 1'b0, res_premux[16], res_premux[16], res_premux[16]}; + MUX3bit mux16(result[16], command, resMux16); + wire[7:0] resMux17 = {res_premux[17], res_premux[17], res_premux[17], res_premux[17], 1'b0, res_premux[17], res_premux[17], res_premux[17]}; + MUX3bit mux17(result[17], command, resMux17); + wire[7:0] resMux18 = {res_premux[18], res_premux[18], res_premux[18], res_premux[18], 1'b0, res_premux[18], res_premux[18], res_premux[18]}; + MUX3bit mux18(result[18], command, resMux18); + wire[7:0] resMux19 = {res_premux[19], res_premux[19], res_premux[19], res_premux[19], 1'b0, res_premux[19], res_premux[19], res_premux[19]}; + MUX3bit mux19(result[19], command, resMux19); + wire[7:0] resMux20 = {res_premux[20], res_premux[20], res_premux[20], res_premux[20], 1'b0, res_premux[20], res_premux[20], res_premux[20]}; + MUX3bit mux20(result[20], command, resMux20); + wire[7:0] resMux21 = {res_premux[21], res_premux[21], res_premux[21], res_premux[21], 1'b0, res_premux[21], res_premux[21], res_premux[21]}; + MUX3bit mux21(result[21], command, resMux21); + wire[7:0] resMux22 = {res_premux[22], res_premux[22], res_premux[22], res_premux[22], 1'b0, res_premux[22], res_premux[22], res_premux[22]}; + MUX3bit mux22(result[22], command, resMux22); + wire[7:0] resMux23 = {res_premux[23], res_premux[23], res_premux[23], res_premux[23], 1'b0, res_premux[23], res_premux[23], res_premux[23]}; + MUX3bit mux23(result[23], command, resMux23); + wire[7:0] resMux24 = {res_premux[24], res_premux[24], res_premux[24], res_premux[24], 1'b0, res_premux[24], res_premux[24], res_premux[24]}; + MUX3bit mux24(result[24], command, resMux24); + wire[7:0] resMux25 = {res_premux[25], res_premux[25], res_premux[25], res_premux[25], 1'b0, res_premux[25], res_premux[25], res_premux[25]}; + MUX3bit mux25(result[25], command, resMux25); + wire[7:0] resMux26 = {res_premux[26], res_premux[26], res_premux[26], res_premux[26], 1'b0, res_premux[26], res_premux[26], res_premux[26]}; + MUX3bit mux26(result[26], command, resMux26); + wire[7:0] resMux27 = {res_premux[27], res_premux[27], res_premux[27], res_premux[27], 1'b0, res_premux[27], res_premux[27], res_premux[27]}; + MUX3bit mux27(result[27], command, resMux27); + wire[7:0] resMux28 = {res_premux[28], res_premux[28], res_premux[28], res_premux[28], 1'b0, res_premux[28], res_premux[28], res_premux[28]}; + MUX3bit mux28(result[28], command, resMux28); + wire[7:0] resMux29 = {res_premux[29], res_premux[29], res_premux[29], res_premux[29], 1'b0, res_premux[29], res_premux[29], res_premux[29]}; + MUX3bit mux29(result[29], command, resMux29); + wire[7:0] resMux30 = {res_premux[30], res_premux[30], res_premux[30], res_premux[30], 1'b0, res_premux[30], res_premux[30], res_premux[30]}; + MUX3bit mux30(result[30], command, resMux30); + wire[7:0] resMux31 = {res_premux[31], res_premux[31], res_premux[31], res_premux[31], 1'b0, res_premux[31], res_premux[31], res_premux[31]}; + MUX3bit mux31(result[31], command, resMux31); +endmodule diff --git a/alu.vcd b/alu.vcd new file mode 100644 index 0000000..ba6d7b3 --- /dev/null +++ b/alu.vcd @@ -0,0 +1,23762 @@ +$date + Wed Oct 11 16:32:49 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testALU $end +$var wire 1 ! cout $end +$var wire 32 " out [31:0] $end +$var wire 1 # overflow $end +$var wire 1 $ zero $end +$var reg 32 % a [31:0] $end +$var reg 32 & b [31:0] $end +$var reg 3 ' op [2:0] $end +$var integer 32 ( passed_tests [31:0] $end +$var integer 32 ) tests [31:0] $end +$scope function test $end +$var reg 1 * show_extras $end +$var integer 32 + test [31:0] $end +$var integer 32 , test_case [31:0] $end +$upscope $end +$scope module alu $end +$var wire 1 ! carryout $end +$var wire 3 - command [2:0] $end +$var wire 32 . cout [31:0] $end +$var wire 32 / operandA [31:0] $end +$var wire 32 0 operandB [31:0] $end +$var wire 1 # overflow $end +$var wire 8 1 resMux0 [7:0] $end +$var wire 8 2 resMux1 [7:0] $end +$var wire 8 3 resMux10 [7:0] $end +$var wire 8 4 resMux11 [7:0] $end +$var wire 8 5 resMux12 [7:0] $end +$var wire 8 6 resMux13 [7:0] $end +$var wire 8 7 resMux14 [7:0] $end +$var wire 8 8 resMux15 [7:0] $end +$var wire 8 9 resMux16 [7:0] $end +$var wire 8 : resMux17 [7:0] $end +$var wire 8 ; resMux18 [7:0] $end +$var wire 8 < resMux19 [7:0] $end +$var wire 8 = resMux2 [7:0] $end +$var wire 8 > resMux20 [7:0] $end +$var wire 8 ? resMux21 [7:0] $end +$var wire 8 @ resMux22 [7:0] $end +$var wire 8 A resMux23 [7:0] $end +$var wire 8 B resMux24 [7:0] $end +$var wire 8 C resMux25 [7:0] $end +$var wire 8 D resMux26 [7:0] $end +$var wire 8 E resMux27 [7:0] $end +$var wire 8 F resMux28 [7:0] $end +$var wire 8 G resMux29 [7:0] $end +$var wire 8 H resMux3 [7:0] $end +$var wire 8 I resMux30 [7:0] $end +$var wire 8 J resMux31 [7:0] $end +$var wire 8 K resMux4 [7:0] $end +$var wire 8 L resMux5 [7:0] $end +$var wire 8 M resMux6 [7:0] $end +$var wire 8 N resMux7 [7:0] $end +$var wire 8 O resMux8 [7:0] $end +$var wire 8 P resMux9 [7:0] $end +$var wire 32 Q res_premux [31:0] $end +$var wire 32 R result [31:0] $end +$var wire 1 S temp $end +$var wire 1 $ zero $end +$scope module a1 $end +$var wire 1 T a $end +$var wire 1 U b $end +$var wire 1 V cin $end +$var wire 1 W cout $end +$var wire 1 X cout_ADD $end +$var wire 1 Y cout_SLT $end +$var wire 1 Z cout_SUB $end +$var wire 8 [ muxCout [7:0] $end +$var wire 8 \ muxRes [7:0] $end +$var wire 3 ] op [2:0] $end +$var wire 1 ^ out $end +$var wire 1 _ res_ADD $end +$var wire 1 ` res_AND $end +$var wire 1 a res_NAND $end +$var wire 1 b res_NOR $end +$var wire 1 c res_OR $end +$var wire 1 d res_SLT $end +$var wire 1 e res_SUB $end +$var wire 1 f res_XOR $end +$scope module adder $end +$var wire 1 g _carryin $end +$var wire 1 T a $end +$var wire 1 h aandb $end +$var wire 1 i aorb $end +$var wire 1 U b $end +$var wire 1 V carryin $end +$var wire 1 X carryout $end +$var wire 1 j outputIfCarryin $end +$var wire 1 k outputIf_Carryin $end +$var wire 1 l s $end +$var wire 1 _ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 T a $end +$var wire 1 m axorb $end +$var wire 1 U b $end +$var wire 1 V borrowin $end +$var wire 1 Z borrowout $end +$var wire 1 e diff $end +$var wire 1 n nota $end +$var wire 1 o notaandb $end +$var wire 1 p notaxorb $end +$var wire 1 q notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 T a $end +$var wire 1 r axorb $end +$var wire 1 U b $end +$var wire 1 V borrowin $end +$var wire 1 Y borrowout $end +$var wire 1 d diff $end +$var wire 1 s nota $end +$var wire 1 t notaandb $end +$var wire 1 u notaxorb $end +$var wire 1 v notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 w address [2:0] $end +$var wire 8 x inputs [7:0] $end +$var wire 1 ^ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 y address [2:0] $end +$var wire 8 z inputs [7:0] $end +$var wire 1 W out $end +$upscope $end +$upscope $end +$scope module a2 $end +$var wire 1 { a $end +$var wire 1 | b $end +$var wire 1 } cin $end +$var wire 1 ~ cout $end +$var wire 1 !" cout_ADD $end +$var wire 1 "" cout_SLT $end +$var wire 1 #" cout_SUB $end +$var wire 8 $" muxCout [7:0] $end +$var wire 8 %" muxRes [7:0] $end +$var wire 3 &" op [2:0] $end +$var wire 1 '" out $end +$var wire 1 (" res_ADD $end +$var wire 1 )" res_AND $end +$var wire 1 *" res_NAND $end +$var wire 1 +" res_NOR $end +$var wire 1 ," res_OR $end +$var wire 1 -" res_SLT $end +$var wire 1 ." res_SUB $end +$var wire 1 /" res_XOR $end +$scope module adder $end +$var wire 1 0" _carryin $end +$var wire 1 { a $end +$var wire 1 1" aandb $end +$var wire 1 2" aorb $end +$var wire 1 | b $end +$var wire 1 } carryin $end +$var wire 1 !" carryout $end +$var wire 1 3" outputIfCarryin $end +$var wire 1 4" outputIf_Carryin $end +$var wire 1 5" s $end +$var wire 1 (" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 { a $end +$var wire 1 6" axorb $end +$var wire 1 | b $end +$var wire 1 } borrowin $end +$var wire 1 #" borrowout $end +$var wire 1 ." diff $end +$var wire 1 7" nota $end +$var wire 1 8" notaandb $end +$var wire 1 9" notaxorb $end +$var wire 1 :" notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 { a $end +$var wire 1 ;" axorb $end +$var wire 1 | b $end +$var wire 1 } borrowin $end +$var wire 1 "" borrowout $end +$var wire 1 -" diff $end +$var wire 1 <" nota $end +$var wire 1 =" notaandb $end +$var wire 1 >" notaxorb $end +$var wire 1 ?" notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 @" address [2:0] $end +$var wire 8 A" inputs [7:0] $end +$var wire 1 '" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 B" address [2:0] $end +$var wire 8 C" inputs [7:0] $end +$var wire 1 ~ out $end +$upscope $end +$upscope $end +$scope module a3 $end +$var wire 1 D" a $end +$var wire 1 E" b $end +$var wire 1 F" cin $end +$var wire 1 G" cout $end +$var wire 1 H" cout_ADD $end +$var wire 1 I" cout_SLT $end +$var wire 1 J" cout_SUB $end +$var wire 8 K" muxCout [7:0] $end +$var wire 8 L" muxRes [7:0] $end +$var wire 3 M" op [2:0] $end +$var wire 1 N" out $end +$var wire 1 O" res_ADD $end +$var wire 1 P" res_AND $end +$var wire 1 Q" res_NAND $end +$var wire 1 R" res_NOR $end +$var wire 1 S" res_OR $end +$var wire 1 T" res_SLT $end +$var wire 1 U" res_SUB $end +$var wire 1 V" res_XOR $end +$scope module adder $end +$var wire 1 W" _carryin $end +$var wire 1 D" a $end +$var wire 1 X" aandb $end +$var wire 1 Y" aorb $end +$var wire 1 E" b $end +$var wire 1 F" carryin $end +$var wire 1 H" carryout $end +$var wire 1 Z" outputIfCarryin $end +$var wire 1 [" outputIf_Carryin $end +$var wire 1 \" s $end +$var wire 1 O" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 D" a $end +$var wire 1 ]" axorb $end +$var wire 1 E" b $end +$var wire 1 F" borrowin $end +$var wire 1 J" borrowout $end +$var wire 1 U" diff $end +$var wire 1 ^" nota $end +$var wire 1 _" notaandb $end +$var wire 1 `" notaxorb $end +$var wire 1 a" notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 D" a $end +$var wire 1 b" axorb $end +$var wire 1 E" b $end +$var wire 1 F" borrowin $end +$var wire 1 I" borrowout $end +$var wire 1 T" diff $end +$var wire 1 c" nota $end +$var wire 1 d" notaandb $end +$var wire 1 e" notaxorb $end +$var wire 1 f" notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 g" address [2:0] $end +$var wire 8 h" inputs [7:0] $end +$var wire 1 N" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 i" address [2:0] $end +$var wire 8 j" inputs [7:0] $end +$var wire 1 G" out $end +$upscope $end +$upscope $end +$scope module a4 $end +$var wire 1 k" a $end +$var wire 1 l" b $end +$var wire 1 m" cin $end +$var wire 1 n" cout $end +$var wire 1 o" cout_ADD $end +$var wire 1 p" cout_SLT $end +$var wire 1 q" cout_SUB $end +$var wire 8 r" muxCout [7:0] $end +$var wire 8 s" muxRes [7:0] $end +$var wire 3 t" op [2:0] $end +$var wire 1 u" out $end +$var wire 1 v" res_ADD $end +$var wire 1 w" res_AND $end +$var wire 1 x" res_NAND $end +$var wire 1 y" res_NOR $end +$var wire 1 z" res_OR $end +$var wire 1 {" res_SLT $end +$var wire 1 |" res_SUB $end +$var wire 1 }" res_XOR $end +$scope module adder $end +$var wire 1 ~" _carryin $end +$var wire 1 k" a $end +$var wire 1 !# aandb $end +$var wire 1 "# aorb $end +$var wire 1 l" b $end +$var wire 1 m" carryin $end +$var wire 1 o" carryout $end +$var wire 1 ## outputIfCarryin $end +$var wire 1 $# outputIf_Carryin $end +$var wire 1 %# s $end +$var wire 1 v" sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 k" a $end +$var wire 1 &# axorb $end +$var wire 1 l" b $end +$var wire 1 m" borrowin $end +$var wire 1 q" borrowout $end +$var wire 1 |" diff $end +$var wire 1 '# nota $end +$var wire 1 (# notaandb $end +$var wire 1 )# notaxorb $end +$var wire 1 *# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 k" a $end +$var wire 1 +# axorb $end +$var wire 1 l" b $end +$var wire 1 m" borrowin $end +$var wire 1 p" borrowout $end +$var wire 1 {" diff $end +$var wire 1 ,# nota $end +$var wire 1 -# notaandb $end +$var wire 1 .# notaxorb $end +$var wire 1 /# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 0# address [2:0] $end +$var wire 8 1# inputs [7:0] $end +$var wire 1 u" out $end +$upscope $end +$scope module mux2 $end +$var wire 3 2# address [2:0] $end +$var wire 8 3# inputs [7:0] $end +$var wire 1 n" out $end +$upscope $end +$upscope $end +$scope module a5 $end +$var wire 1 4# a $end +$var wire 1 5# b $end +$var wire 1 6# cin $end +$var wire 1 7# cout $end +$var wire 1 8# cout_ADD $end +$var wire 1 9# cout_SLT $end +$var wire 1 :# cout_SUB $end +$var wire 8 ;# muxCout [7:0] $end +$var wire 8 <# muxRes [7:0] $end +$var wire 3 =# op [2:0] $end +$var wire 1 ># out $end +$var wire 1 ?# res_ADD $end +$var wire 1 @# res_AND $end +$var wire 1 A# res_NAND $end +$var wire 1 B# res_NOR $end +$var wire 1 C# res_OR $end +$var wire 1 D# res_SLT $end +$var wire 1 E# res_SUB $end +$var wire 1 F# res_XOR $end +$scope module adder $end +$var wire 1 G# _carryin $end +$var wire 1 4# a $end +$var wire 1 H# aandb $end +$var wire 1 I# aorb $end +$var wire 1 5# b $end +$var wire 1 6# carryin $end +$var wire 1 8# carryout $end +$var wire 1 J# outputIfCarryin $end +$var wire 1 K# outputIf_Carryin $end +$var wire 1 L# s $end +$var wire 1 ?# sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 4# a $end +$var wire 1 M# axorb $end +$var wire 1 5# b $end +$var wire 1 6# borrowin $end +$var wire 1 :# borrowout $end +$var wire 1 E# diff $end +$var wire 1 N# nota $end +$var wire 1 O# notaandb $end +$var wire 1 P# notaxorb $end +$var wire 1 Q# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 4# a $end +$var wire 1 R# axorb $end +$var wire 1 5# b $end +$var wire 1 6# borrowin $end +$var wire 1 9# borrowout $end +$var wire 1 D# diff $end +$var wire 1 S# nota $end +$var wire 1 T# notaandb $end +$var wire 1 U# notaxorb $end +$var wire 1 V# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 W# address [2:0] $end +$var wire 8 X# inputs [7:0] $end +$var wire 1 ># out $end +$upscope $end +$scope module mux2 $end +$var wire 3 Y# address [2:0] $end +$var wire 8 Z# inputs [7:0] $end +$var wire 1 7# out $end +$upscope $end +$upscope $end +$scope module a6 $end +$var wire 1 [# a $end +$var wire 1 \# b $end +$var wire 1 ]# cin $end +$var wire 1 ^# cout $end +$var wire 1 _# cout_ADD $end +$var wire 1 `# cout_SLT $end +$var wire 1 a# cout_SUB $end +$var wire 8 b# muxCout [7:0] $end +$var wire 8 c# muxRes [7:0] $end +$var wire 3 d# op [2:0] $end +$var wire 1 e# out $end +$var wire 1 f# res_ADD $end +$var wire 1 g# res_AND $end +$var wire 1 h# res_NAND $end +$var wire 1 i# res_NOR $end +$var wire 1 j# res_OR $end +$var wire 1 k# res_SLT $end +$var wire 1 l# res_SUB $end +$var wire 1 m# res_XOR $end +$scope module adder $end +$var wire 1 n# _carryin $end +$var wire 1 [# a $end +$var wire 1 o# aandb $end +$var wire 1 p# aorb $end +$var wire 1 \# b $end +$var wire 1 ]# carryin $end +$var wire 1 _# carryout $end +$var wire 1 q# outputIfCarryin $end +$var wire 1 r# outputIf_Carryin $end +$var wire 1 s# s $end +$var wire 1 f# sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 [# a $end +$var wire 1 t# axorb $end +$var wire 1 \# b $end +$var wire 1 ]# borrowin $end +$var wire 1 a# borrowout $end +$var wire 1 l# diff $end +$var wire 1 u# nota $end +$var wire 1 v# notaandb $end +$var wire 1 w# notaxorb $end +$var wire 1 x# notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 [# a $end +$var wire 1 y# axorb $end +$var wire 1 \# b $end +$var wire 1 ]# borrowin $end +$var wire 1 `# borrowout $end +$var wire 1 k# diff $end +$var wire 1 z# nota $end +$var wire 1 {# notaandb $end +$var wire 1 |# notaxorb $end +$var wire 1 }# notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ~# address [2:0] $end +$var wire 8 !$ inputs [7:0] $end +$var wire 1 e# out $end +$upscope $end +$scope module mux2 $end +$var wire 3 "$ address [2:0] $end +$var wire 8 #$ inputs [7:0] $end +$var wire 1 ^# out $end +$upscope $end +$upscope $end +$scope module a7 $end +$var wire 1 $$ a $end +$var wire 1 %$ b $end +$var wire 1 &$ cin $end +$var wire 1 '$ cout $end +$var wire 1 ($ cout_ADD $end +$var wire 1 )$ cout_SLT $end +$var wire 1 *$ cout_SUB $end +$var wire 8 +$ muxCout [7:0] $end +$var wire 8 ,$ muxRes [7:0] $end +$var wire 3 -$ op [2:0] $end +$var wire 1 .$ out $end +$var wire 1 /$ res_ADD $end +$var wire 1 0$ res_AND $end +$var wire 1 1$ res_NAND $end +$var wire 1 2$ res_NOR $end +$var wire 1 3$ res_OR $end +$var wire 1 4$ res_SLT $end +$var wire 1 5$ res_SUB $end +$var wire 1 6$ res_XOR $end +$scope module adder $end +$var wire 1 7$ _carryin $end +$var wire 1 $$ a $end +$var wire 1 8$ aandb $end +$var wire 1 9$ aorb $end +$var wire 1 %$ b $end +$var wire 1 &$ carryin $end +$var wire 1 ($ carryout $end +$var wire 1 :$ outputIfCarryin $end +$var wire 1 ;$ outputIf_Carryin $end +$var wire 1 <$ s $end +$var wire 1 /$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 $$ a $end +$var wire 1 =$ axorb $end +$var wire 1 %$ b $end +$var wire 1 &$ borrowin $end +$var wire 1 *$ borrowout $end +$var wire 1 5$ diff $end +$var wire 1 >$ nota $end +$var wire 1 ?$ notaandb $end +$var wire 1 @$ notaxorb $end +$var wire 1 A$ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 $$ a $end +$var wire 1 B$ axorb $end +$var wire 1 %$ b $end +$var wire 1 &$ borrowin $end +$var wire 1 )$ borrowout $end +$var wire 1 4$ diff $end +$var wire 1 C$ nota $end +$var wire 1 D$ notaandb $end +$var wire 1 E$ notaxorb $end +$var wire 1 F$ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 G$ address [2:0] $end +$var wire 8 H$ inputs [7:0] $end +$var wire 1 .$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 I$ address [2:0] $end +$var wire 8 J$ inputs [7:0] $end +$var wire 1 '$ out $end +$upscope $end +$upscope $end +$scope module a8 $end +$var wire 1 K$ a $end +$var wire 1 L$ b $end +$var wire 1 M$ cin $end +$var wire 1 N$ cout $end +$var wire 1 O$ cout_ADD $end +$var wire 1 P$ cout_SLT $end +$var wire 1 Q$ cout_SUB $end +$var wire 8 R$ muxCout [7:0] $end +$var wire 8 S$ muxRes [7:0] $end +$var wire 3 T$ op [2:0] $end +$var wire 1 U$ out $end +$var wire 1 V$ res_ADD $end +$var wire 1 W$ res_AND $end +$var wire 1 X$ res_NAND $end +$var wire 1 Y$ res_NOR $end +$var wire 1 Z$ res_OR $end +$var wire 1 [$ res_SLT $end +$var wire 1 \$ res_SUB $end +$var wire 1 ]$ res_XOR $end +$scope module adder $end +$var wire 1 ^$ _carryin $end +$var wire 1 K$ a $end +$var wire 1 _$ aandb $end +$var wire 1 `$ aorb $end +$var wire 1 L$ b $end +$var wire 1 M$ carryin $end +$var wire 1 O$ carryout $end +$var wire 1 a$ outputIfCarryin $end +$var wire 1 b$ outputIf_Carryin $end +$var wire 1 c$ s $end +$var wire 1 V$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 K$ a $end +$var wire 1 d$ axorb $end +$var wire 1 L$ b $end +$var wire 1 M$ borrowin $end +$var wire 1 Q$ borrowout $end +$var wire 1 \$ diff $end +$var wire 1 e$ nota $end +$var wire 1 f$ notaandb $end +$var wire 1 g$ notaxorb $end +$var wire 1 h$ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 K$ a $end +$var wire 1 i$ axorb $end +$var wire 1 L$ b $end +$var wire 1 M$ borrowin $end +$var wire 1 P$ borrowout $end +$var wire 1 [$ diff $end +$var wire 1 j$ nota $end +$var wire 1 k$ notaandb $end +$var wire 1 l$ notaxorb $end +$var wire 1 m$ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 n$ address [2:0] $end +$var wire 8 o$ inputs [7:0] $end +$var wire 1 U$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 p$ address [2:0] $end +$var wire 8 q$ inputs [7:0] $end +$var wire 1 N$ out $end +$upscope $end +$upscope $end +$scope module a9 $end +$var wire 1 r$ a $end +$var wire 1 s$ b $end +$var wire 1 t$ cin $end +$var wire 1 u$ cout $end +$var wire 1 v$ cout_ADD $end +$var wire 1 w$ cout_SLT $end +$var wire 1 x$ cout_SUB $end +$var wire 8 y$ muxCout [7:0] $end +$var wire 8 z$ muxRes [7:0] $end +$var wire 3 {$ op [2:0] $end +$var wire 1 |$ out $end +$var wire 1 }$ res_ADD $end +$var wire 1 ~$ res_AND $end +$var wire 1 !% res_NAND $end +$var wire 1 "% res_NOR $end +$var wire 1 #% res_OR $end +$var wire 1 $% res_SLT $end +$var wire 1 %% res_SUB $end +$var wire 1 &% res_XOR $end +$scope module adder $end +$var wire 1 '% _carryin $end +$var wire 1 r$ a $end +$var wire 1 (% aandb $end +$var wire 1 )% aorb $end +$var wire 1 s$ b $end +$var wire 1 t$ carryin $end +$var wire 1 v$ carryout $end +$var wire 1 *% outputIfCarryin $end +$var wire 1 +% outputIf_Carryin $end +$var wire 1 ,% s $end +$var wire 1 }$ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 r$ a $end +$var wire 1 -% axorb $end +$var wire 1 s$ b $end +$var wire 1 t$ borrowin $end +$var wire 1 x$ borrowout $end +$var wire 1 %% diff $end +$var wire 1 .% nota $end +$var wire 1 /% notaandb $end +$var wire 1 0% notaxorb $end +$var wire 1 1% notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 r$ a $end +$var wire 1 2% axorb $end +$var wire 1 s$ b $end +$var wire 1 t$ borrowin $end +$var wire 1 w$ borrowout $end +$var wire 1 $% diff $end +$var wire 1 3% nota $end +$var wire 1 4% notaandb $end +$var wire 1 5% notaxorb $end +$var wire 1 6% notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 7% address [2:0] $end +$var wire 8 8% inputs [7:0] $end +$var wire 1 |$ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 9% address [2:0] $end +$var wire 8 :% inputs [7:0] $end +$var wire 1 u$ out $end +$upscope $end +$upscope $end +$scope module a10 $end +$var wire 1 ;% a $end +$var wire 1 <% b $end +$var wire 1 =% cin $end +$var wire 1 >% cout $end +$var wire 1 ?% cout_ADD $end +$var wire 1 @% cout_SLT $end +$var wire 1 A% cout_SUB $end +$var wire 8 B% muxCout [7:0] $end +$var wire 8 C% muxRes [7:0] $end +$var wire 3 D% op [2:0] $end +$var wire 1 E% out $end +$var wire 1 F% res_ADD $end +$var wire 1 G% res_AND $end +$var wire 1 H% res_NAND $end +$var wire 1 I% res_NOR $end +$var wire 1 J% res_OR $end +$var wire 1 K% res_SLT $end +$var wire 1 L% res_SUB $end +$var wire 1 M% res_XOR $end +$scope module adder $end +$var wire 1 N% _carryin $end +$var wire 1 ;% a $end +$var wire 1 O% aandb $end +$var wire 1 P% aorb $end +$var wire 1 <% b $end +$var wire 1 =% carryin $end +$var wire 1 ?% carryout $end +$var wire 1 Q% outputIfCarryin $end +$var wire 1 R% outputIf_Carryin $end +$var wire 1 S% s $end +$var wire 1 F% sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ;% a $end +$var wire 1 T% axorb $end +$var wire 1 <% b $end +$var wire 1 =% borrowin $end +$var wire 1 A% borrowout $end +$var wire 1 L% diff $end +$var wire 1 U% nota $end +$var wire 1 V% notaandb $end +$var wire 1 W% notaxorb $end +$var wire 1 X% notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ;% a $end +$var wire 1 Y% axorb $end +$var wire 1 <% b $end +$var wire 1 =% borrowin $end +$var wire 1 @% borrowout $end +$var wire 1 K% diff $end +$var wire 1 Z% nota $end +$var wire 1 [% notaandb $end +$var wire 1 \% notaxorb $end +$var wire 1 ]% notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ^% address [2:0] $end +$var wire 8 _% inputs [7:0] $end +$var wire 1 E% out $end +$upscope $end +$scope module mux2 $end +$var wire 3 `% address [2:0] $end +$var wire 8 a% inputs [7:0] $end +$var wire 1 >% out $end +$upscope $end +$upscope $end +$scope module a11 $end +$var wire 1 b% a $end +$var wire 1 c% b $end +$var wire 1 d% cin $end +$var wire 1 e% cout $end +$var wire 1 f% cout_ADD $end +$var wire 1 g% cout_SLT $end +$var wire 1 h% cout_SUB $end +$var wire 8 i% muxCout [7:0] $end +$var wire 8 j% muxRes [7:0] $end +$var wire 3 k% op [2:0] $end +$var wire 1 l% out $end +$var wire 1 m% res_ADD $end +$var wire 1 n% res_AND $end +$var wire 1 o% res_NAND $end +$var wire 1 p% res_NOR $end +$var wire 1 q% res_OR $end +$var wire 1 r% res_SLT $end +$var wire 1 s% res_SUB $end +$var wire 1 t% res_XOR $end +$scope module adder $end +$var wire 1 u% _carryin $end +$var wire 1 b% a $end +$var wire 1 v% aandb $end +$var wire 1 w% aorb $end +$var wire 1 c% b $end +$var wire 1 d% carryin $end +$var wire 1 f% carryout $end +$var wire 1 x% outputIfCarryin $end +$var wire 1 y% outputIf_Carryin $end +$var wire 1 z% s $end +$var wire 1 m% sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 b% a $end +$var wire 1 {% axorb $end +$var wire 1 c% b $end +$var wire 1 d% borrowin $end +$var wire 1 h% borrowout $end +$var wire 1 s% diff $end +$var wire 1 |% nota $end +$var wire 1 }% notaandb $end +$var wire 1 ~% notaxorb $end +$var wire 1 !& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 b% a $end +$var wire 1 "& axorb $end +$var wire 1 c% b $end +$var wire 1 d% borrowin $end +$var wire 1 g% borrowout $end +$var wire 1 r% diff $end +$var wire 1 #& nota $end +$var wire 1 $& notaandb $end +$var wire 1 %& notaxorb $end +$var wire 1 && notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 '& address [2:0] $end +$var wire 8 (& inputs [7:0] $end +$var wire 1 l% out $end +$upscope $end +$scope module mux2 $end +$var wire 3 )& address [2:0] $end +$var wire 8 *& inputs [7:0] $end +$var wire 1 e% out $end +$upscope $end +$upscope $end +$scope module a12 $end +$var wire 1 +& a $end +$var wire 1 ,& b $end +$var wire 1 -& cin $end +$var wire 1 .& cout $end +$var wire 1 /& cout_ADD $end +$var wire 1 0& cout_SLT $end +$var wire 1 1& cout_SUB $end +$var wire 8 2& muxCout [7:0] $end +$var wire 8 3& muxRes [7:0] $end +$var wire 3 4& op [2:0] $end +$var wire 1 5& out $end +$var wire 1 6& res_ADD $end +$var wire 1 7& res_AND $end +$var wire 1 8& res_NAND $end +$var wire 1 9& res_NOR $end +$var wire 1 :& res_OR $end +$var wire 1 ;& res_SLT $end +$var wire 1 <& res_SUB $end +$var wire 1 =& res_XOR $end +$scope module adder $end +$var wire 1 >& _carryin $end +$var wire 1 +& a $end +$var wire 1 ?& aandb $end +$var wire 1 @& aorb $end +$var wire 1 ,& b $end +$var wire 1 -& carryin $end +$var wire 1 /& carryout $end +$var wire 1 A& outputIfCarryin $end +$var wire 1 B& outputIf_Carryin $end +$var wire 1 C& s $end +$var wire 1 6& sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 +& a $end +$var wire 1 D& axorb $end +$var wire 1 ,& b $end +$var wire 1 -& borrowin $end +$var wire 1 1& borrowout $end +$var wire 1 <& diff $end +$var wire 1 E& nota $end +$var wire 1 F& notaandb $end +$var wire 1 G& notaxorb $end +$var wire 1 H& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 +& a $end +$var wire 1 I& axorb $end +$var wire 1 ,& b $end +$var wire 1 -& borrowin $end +$var wire 1 0& borrowout $end +$var wire 1 ;& diff $end +$var wire 1 J& nota $end +$var wire 1 K& notaandb $end +$var wire 1 L& notaxorb $end +$var wire 1 M& notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 N& address [2:0] $end +$var wire 8 O& inputs [7:0] $end +$var wire 1 5& out $end +$upscope $end +$scope module mux2 $end +$var wire 3 P& address [2:0] $end +$var wire 8 Q& inputs [7:0] $end +$var wire 1 .& out $end +$upscope $end +$upscope $end +$scope module a13 $end +$var wire 1 R& a $end +$var wire 1 S& b $end +$var wire 1 T& cin $end +$var wire 1 U& cout $end +$var wire 1 V& cout_ADD $end +$var wire 1 W& cout_SLT $end +$var wire 1 X& cout_SUB $end +$var wire 8 Y& muxCout [7:0] $end +$var wire 8 Z& muxRes [7:0] $end +$var wire 3 [& op [2:0] $end +$var wire 1 \& out $end +$var wire 1 ]& res_ADD $end +$var wire 1 ^& res_AND $end +$var wire 1 _& res_NAND $end +$var wire 1 `& res_NOR $end +$var wire 1 a& res_OR $end +$var wire 1 b& res_SLT $end +$var wire 1 c& res_SUB $end +$var wire 1 d& res_XOR $end +$scope module adder $end +$var wire 1 e& _carryin $end +$var wire 1 R& a $end +$var wire 1 f& aandb $end +$var wire 1 g& aorb $end +$var wire 1 S& b $end +$var wire 1 T& carryin $end +$var wire 1 V& carryout $end +$var wire 1 h& outputIfCarryin $end +$var wire 1 i& outputIf_Carryin $end +$var wire 1 j& s $end +$var wire 1 ]& sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 R& a $end +$var wire 1 k& axorb $end +$var wire 1 S& b $end +$var wire 1 T& borrowin $end +$var wire 1 X& borrowout $end +$var wire 1 c& diff $end +$var wire 1 l& nota $end +$var wire 1 m& notaandb $end +$var wire 1 n& notaxorb $end +$var wire 1 o& notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 R& a $end +$var wire 1 p& axorb $end +$var wire 1 S& b $end +$var wire 1 T& borrowin $end +$var wire 1 W& borrowout $end +$var wire 1 b& diff $end +$var wire 1 q& nota $end +$var wire 1 r& notaandb $end +$var wire 1 s& notaxorb $end +$var wire 1 t& notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 u& address [2:0] $end +$var wire 8 v& inputs [7:0] $end +$var wire 1 \& out $end +$upscope $end +$scope module mux2 $end +$var wire 3 w& address [2:0] $end +$var wire 8 x& inputs [7:0] $end +$var wire 1 U& out $end +$upscope $end +$upscope $end +$scope module a14 $end +$var wire 1 y& a $end +$var wire 1 z& b $end +$var wire 1 {& cin $end +$var wire 1 |& cout $end +$var wire 1 }& cout_ADD $end +$var wire 1 ~& cout_SLT $end +$var wire 1 !' cout_SUB $end +$var wire 8 "' muxCout [7:0] $end +$var wire 8 #' muxRes [7:0] $end +$var wire 3 $' op [2:0] $end +$var wire 1 %' out $end +$var wire 1 &' res_ADD $end +$var wire 1 '' res_AND $end +$var wire 1 (' res_NAND $end +$var wire 1 )' res_NOR $end +$var wire 1 *' res_OR $end +$var wire 1 +' res_SLT $end +$var wire 1 ,' res_SUB $end +$var wire 1 -' res_XOR $end +$scope module adder $end +$var wire 1 .' _carryin $end +$var wire 1 y& a $end +$var wire 1 /' aandb $end +$var wire 1 0' aorb $end +$var wire 1 z& b $end +$var wire 1 {& carryin $end +$var wire 1 }& carryout $end +$var wire 1 1' outputIfCarryin $end +$var wire 1 2' outputIf_Carryin $end +$var wire 1 3' s $end +$var wire 1 &' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 y& a $end +$var wire 1 4' axorb $end +$var wire 1 z& b $end +$var wire 1 {& borrowin $end +$var wire 1 !' borrowout $end +$var wire 1 ,' diff $end +$var wire 1 5' nota $end +$var wire 1 6' notaandb $end +$var wire 1 7' notaxorb $end +$var wire 1 8' notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 y& a $end +$var wire 1 9' axorb $end +$var wire 1 z& b $end +$var wire 1 {& borrowin $end +$var wire 1 ~& borrowout $end +$var wire 1 +' diff $end +$var wire 1 :' nota $end +$var wire 1 ;' notaandb $end +$var wire 1 <' notaxorb $end +$var wire 1 =' notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 >' address [2:0] $end +$var wire 8 ?' inputs [7:0] $end +$var wire 1 %' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 @' address [2:0] $end +$var wire 8 A' inputs [7:0] $end +$var wire 1 |& out $end +$upscope $end +$upscope $end +$scope module a15 $end +$var wire 1 B' a $end +$var wire 1 C' b $end +$var wire 1 D' cin $end +$var wire 1 E' cout $end +$var wire 1 F' cout_ADD $end +$var wire 1 G' cout_SLT $end +$var wire 1 H' cout_SUB $end +$var wire 8 I' muxCout [7:0] $end +$var wire 8 J' muxRes [7:0] $end +$var wire 3 K' op [2:0] $end +$var wire 1 L' out $end +$var wire 1 M' res_ADD $end +$var wire 1 N' res_AND $end +$var wire 1 O' res_NAND $end +$var wire 1 P' res_NOR $end +$var wire 1 Q' res_OR $end +$var wire 1 R' res_SLT $end +$var wire 1 S' res_SUB $end +$var wire 1 T' res_XOR $end +$scope module adder $end +$var wire 1 U' _carryin $end +$var wire 1 B' a $end +$var wire 1 V' aandb $end +$var wire 1 W' aorb $end +$var wire 1 C' b $end +$var wire 1 D' carryin $end +$var wire 1 F' carryout $end +$var wire 1 X' outputIfCarryin $end +$var wire 1 Y' outputIf_Carryin $end +$var wire 1 Z' s $end +$var wire 1 M' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 B' a $end +$var wire 1 [' axorb $end +$var wire 1 C' b $end +$var wire 1 D' borrowin $end +$var wire 1 H' borrowout $end +$var wire 1 S' diff $end +$var wire 1 \' nota $end +$var wire 1 ]' notaandb $end +$var wire 1 ^' notaxorb $end +$var wire 1 _' notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 B' a $end +$var wire 1 `' axorb $end +$var wire 1 C' b $end +$var wire 1 D' borrowin $end +$var wire 1 G' borrowout $end +$var wire 1 R' diff $end +$var wire 1 a' nota $end +$var wire 1 b' notaandb $end +$var wire 1 c' notaxorb $end +$var wire 1 d' notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 e' address [2:0] $end +$var wire 8 f' inputs [7:0] $end +$var wire 1 L' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 g' address [2:0] $end +$var wire 8 h' inputs [7:0] $end +$var wire 1 E' out $end +$upscope $end +$upscope $end +$scope module a16 $end +$var wire 1 i' a $end +$var wire 1 j' b $end +$var wire 1 k' cin $end +$var wire 1 l' cout $end +$var wire 1 m' cout_ADD $end +$var wire 1 n' cout_SLT $end +$var wire 1 o' cout_SUB $end +$var wire 8 p' muxCout [7:0] $end +$var wire 8 q' muxRes [7:0] $end +$var wire 3 r' op [2:0] $end +$var wire 1 s' out $end +$var wire 1 t' res_ADD $end +$var wire 1 u' res_AND $end +$var wire 1 v' res_NAND $end +$var wire 1 w' res_NOR $end +$var wire 1 x' res_OR $end +$var wire 1 y' res_SLT $end +$var wire 1 z' res_SUB $end +$var wire 1 {' res_XOR $end +$scope module adder $end +$var wire 1 |' _carryin $end +$var wire 1 i' a $end +$var wire 1 }' aandb $end +$var wire 1 ~' aorb $end +$var wire 1 j' b $end +$var wire 1 k' carryin $end +$var wire 1 m' carryout $end +$var wire 1 !( outputIfCarryin $end +$var wire 1 "( outputIf_Carryin $end +$var wire 1 #( s $end +$var wire 1 t' sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 i' a $end +$var wire 1 $( axorb $end +$var wire 1 j' b $end +$var wire 1 k' borrowin $end +$var wire 1 o' borrowout $end +$var wire 1 z' diff $end +$var wire 1 %( nota $end +$var wire 1 &( notaandb $end +$var wire 1 '( notaxorb $end +$var wire 1 (( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 i' a $end +$var wire 1 )( axorb $end +$var wire 1 j' b $end +$var wire 1 k' borrowin $end +$var wire 1 n' borrowout $end +$var wire 1 y' diff $end +$var wire 1 *( nota $end +$var wire 1 +( notaandb $end +$var wire 1 ,( notaxorb $end +$var wire 1 -( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 .( address [2:0] $end +$var wire 8 /( inputs [7:0] $end +$var wire 1 s' out $end +$upscope $end +$scope module mux2 $end +$var wire 3 0( address [2:0] $end +$var wire 8 1( inputs [7:0] $end +$var wire 1 l' out $end +$upscope $end +$upscope $end +$scope module a17 $end +$var wire 1 2( a $end +$var wire 1 3( b $end +$var wire 1 4( cin $end +$var wire 1 5( cout $end +$var wire 1 6( cout_ADD $end +$var wire 1 7( cout_SLT $end +$var wire 1 8( cout_SUB $end +$var wire 8 9( muxCout [7:0] $end +$var wire 8 :( muxRes [7:0] $end +$var wire 3 ;( op [2:0] $end +$var wire 1 <( out $end +$var wire 1 =( res_ADD $end +$var wire 1 >( res_AND $end +$var wire 1 ?( res_NAND $end +$var wire 1 @( res_NOR $end +$var wire 1 A( res_OR $end +$var wire 1 B( res_SLT $end +$var wire 1 C( res_SUB $end +$var wire 1 D( res_XOR $end +$scope module adder $end +$var wire 1 E( _carryin $end +$var wire 1 2( a $end +$var wire 1 F( aandb $end +$var wire 1 G( aorb $end +$var wire 1 3( b $end +$var wire 1 4( carryin $end +$var wire 1 6( carryout $end +$var wire 1 H( outputIfCarryin $end +$var wire 1 I( outputIf_Carryin $end +$var wire 1 J( s $end +$var wire 1 =( sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 2( a $end +$var wire 1 K( axorb $end +$var wire 1 3( b $end +$var wire 1 4( borrowin $end +$var wire 1 8( borrowout $end +$var wire 1 C( diff $end +$var wire 1 L( nota $end +$var wire 1 M( notaandb $end +$var wire 1 N( notaxorb $end +$var wire 1 O( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 2( a $end +$var wire 1 P( axorb $end +$var wire 1 3( b $end +$var wire 1 4( borrowin $end +$var wire 1 7( borrowout $end +$var wire 1 B( diff $end +$var wire 1 Q( nota $end +$var wire 1 R( notaandb $end +$var wire 1 S( notaxorb $end +$var wire 1 T( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 U( address [2:0] $end +$var wire 8 V( inputs [7:0] $end +$var wire 1 <( out $end +$upscope $end +$scope module mux2 $end +$var wire 3 W( address [2:0] $end +$var wire 8 X( inputs [7:0] $end +$var wire 1 5( out $end +$upscope $end +$upscope $end +$scope module a18 $end +$var wire 1 Y( a $end +$var wire 1 Z( b $end +$var wire 1 [( cin $end +$var wire 1 \( cout $end +$var wire 1 ]( cout_ADD $end +$var wire 1 ^( cout_SLT $end +$var wire 1 _( cout_SUB $end +$var wire 8 `( muxCout [7:0] $end +$var wire 8 a( muxRes [7:0] $end +$var wire 3 b( op [2:0] $end +$var wire 1 c( out $end +$var wire 1 d( res_ADD $end +$var wire 1 e( res_AND $end +$var wire 1 f( res_NAND $end +$var wire 1 g( res_NOR $end +$var wire 1 h( res_OR $end +$var wire 1 i( res_SLT $end +$var wire 1 j( res_SUB $end +$var wire 1 k( res_XOR $end +$scope module adder $end +$var wire 1 l( _carryin $end +$var wire 1 Y( a $end +$var wire 1 m( aandb $end +$var wire 1 n( aorb $end +$var wire 1 Z( b $end +$var wire 1 [( carryin $end +$var wire 1 ]( carryout $end +$var wire 1 o( outputIfCarryin $end +$var wire 1 p( outputIf_Carryin $end +$var wire 1 q( s $end +$var wire 1 d( sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 Y( a $end +$var wire 1 r( axorb $end +$var wire 1 Z( b $end +$var wire 1 [( borrowin $end +$var wire 1 _( borrowout $end +$var wire 1 j( diff $end +$var wire 1 s( nota $end +$var wire 1 t( notaandb $end +$var wire 1 u( notaxorb $end +$var wire 1 v( notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 Y( a $end +$var wire 1 w( axorb $end +$var wire 1 Z( b $end +$var wire 1 [( borrowin $end +$var wire 1 ^( borrowout $end +$var wire 1 i( diff $end +$var wire 1 x( nota $end +$var wire 1 y( notaandb $end +$var wire 1 z( notaxorb $end +$var wire 1 {( notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 |( address [2:0] $end +$var wire 8 }( inputs [7:0] $end +$var wire 1 c( out $end +$upscope $end +$scope module mux2 $end +$var wire 3 ~( address [2:0] $end +$var wire 8 !) inputs [7:0] $end +$var wire 1 \( out $end +$upscope $end +$upscope $end +$scope module a19 $end +$var wire 1 ") a $end +$var wire 1 #) b $end +$var wire 1 $) cin $end +$var wire 1 %) cout $end +$var wire 1 &) cout_ADD $end +$var wire 1 ') cout_SLT $end +$var wire 1 () cout_SUB $end +$var wire 8 )) muxCout [7:0] $end +$var wire 8 *) muxRes [7:0] $end +$var wire 3 +) op [2:0] $end +$var wire 1 ,) out $end +$var wire 1 -) res_ADD $end +$var wire 1 .) res_AND $end +$var wire 1 /) res_NAND $end +$var wire 1 0) res_NOR $end +$var wire 1 1) res_OR $end +$var wire 1 2) res_SLT $end +$var wire 1 3) res_SUB $end +$var wire 1 4) res_XOR $end +$scope module adder $end +$var wire 1 5) _carryin $end +$var wire 1 ") a $end +$var wire 1 6) aandb $end +$var wire 1 7) aorb $end +$var wire 1 #) b $end +$var wire 1 $) carryin $end +$var wire 1 &) carryout $end +$var wire 1 8) outputIfCarryin $end +$var wire 1 9) outputIf_Carryin $end +$var wire 1 :) s $end +$var wire 1 -) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ") a $end +$var wire 1 ;) axorb $end +$var wire 1 #) b $end +$var wire 1 $) borrowin $end +$var wire 1 () borrowout $end +$var wire 1 3) diff $end +$var wire 1 <) nota $end +$var wire 1 =) notaandb $end +$var wire 1 >) notaxorb $end +$var wire 1 ?) notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ") a $end +$var wire 1 @) axorb $end +$var wire 1 #) b $end +$var wire 1 $) borrowin $end +$var wire 1 ') borrowout $end +$var wire 1 2) diff $end +$var wire 1 A) nota $end +$var wire 1 B) notaandb $end +$var wire 1 C) notaxorb $end +$var wire 1 D) notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 E) address [2:0] $end +$var wire 8 F) inputs [7:0] $end +$var wire 1 ,) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 G) address [2:0] $end +$var wire 8 H) inputs [7:0] $end +$var wire 1 %) out $end +$upscope $end +$upscope $end +$scope module a20 $end +$var wire 1 I) a $end +$var wire 1 J) b $end +$var wire 1 K) cin $end +$var wire 1 L) cout $end +$var wire 1 M) cout_ADD $end +$var wire 1 N) cout_SLT $end +$var wire 1 O) cout_SUB $end +$var wire 8 P) muxCout [7:0] $end +$var wire 8 Q) muxRes [7:0] $end +$var wire 3 R) op [2:0] $end +$var wire 1 S) out $end +$var wire 1 T) res_ADD $end +$var wire 1 U) res_AND $end +$var wire 1 V) res_NAND $end +$var wire 1 W) res_NOR $end +$var wire 1 X) res_OR $end +$var wire 1 Y) res_SLT $end +$var wire 1 Z) res_SUB $end +$var wire 1 [) res_XOR $end +$scope module adder $end +$var wire 1 \) _carryin $end +$var wire 1 I) a $end +$var wire 1 ]) aandb $end +$var wire 1 ^) aorb $end +$var wire 1 J) b $end +$var wire 1 K) carryin $end +$var wire 1 M) carryout $end +$var wire 1 _) outputIfCarryin $end +$var wire 1 `) outputIf_Carryin $end +$var wire 1 a) s $end +$var wire 1 T) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 I) a $end +$var wire 1 b) axorb $end +$var wire 1 J) b $end +$var wire 1 K) borrowin $end +$var wire 1 O) borrowout $end +$var wire 1 Z) diff $end +$var wire 1 c) nota $end +$var wire 1 d) notaandb $end +$var wire 1 e) notaxorb $end +$var wire 1 f) notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 I) a $end +$var wire 1 g) axorb $end +$var wire 1 J) b $end +$var wire 1 K) borrowin $end +$var wire 1 N) borrowout $end +$var wire 1 Y) diff $end +$var wire 1 h) nota $end +$var wire 1 i) notaandb $end +$var wire 1 j) notaxorb $end +$var wire 1 k) notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 l) address [2:0] $end +$var wire 8 m) inputs [7:0] $end +$var wire 1 S) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 n) address [2:0] $end +$var wire 8 o) inputs [7:0] $end +$var wire 1 L) out $end +$upscope $end +$upscope $end +$scope module a21 $end +$var wire 1 p) a $end +$var wire 1 q) b $end +$var wire 1 r) cin $end +$var wire 1 s) cout $end +$var wire 1 t) cout_ADD $end +$var wire 1 u) cout_SLT $end +$var wire 1 v) cout_SUB $end +$var wire 8 w) muxCout [7:0] $end +$var wire 8 x) muxRes [7:0] $end +$var wire 3 y) op [2:0] $end +$var wire 1 z) out $end +$var wire 1 {) res_ADD $end +$var wire 1 |) res_AND $end +$var wire 1 }) res_NAND $end +$var wire 1 ~) res_NOR $end +$var wire 1 !* res_OR $end +$var wire 1 "* res_SLT $end +$var wire 1 #* res_SUB $end +$var wire 1 $* res_XOR $end +$scope module adder $end +$var wire 1 %* _carryin $end +$var wire 1 p) a $end +$var wire 1 &* aandb $end +$var wire 1 '* aorb $end +$var wire 1 q) b $end +$var wire 1 r) carryin $end +$var wire 1 t) carryout $end +$var wire 1 (* outputIfCarryin $end +$var wire 1 )* outputIf_Carryin $end +$var wire 1 ** s $end +$var wire 1 {) sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 p) a $end +$var wire 1 +* axorb $end +$var wire 1 q) b $end +$var wire 1 r) borrowin $end +$var wire 1 v) borrowout $end +$var wire 1 #* diff $end +$var wire 1 ,* nota $end +$var wire 1 -* notaandb $end +$var wire 1 .* notaxorb $end +$var wire 1 /* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 p) a $end +$var wire 1 0* axorb $end +$var wire 1 q) b $end +$var wire 1 r) borrowin $end +$var wire 1 u) borrowout $end +$var wire 1 "* diff $end +$var wire 1 1* nota $end +$var wire 1 2* notaandb $end +$var wire 1 3* notaxorb $end +$var wire 1 4* notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 5* address [2:0] $end +$var wire 8 6* inputs [7:0] $end +$var wire 1 z) out $end +$upscope $end +$scope module mux2 $end +$var wire 3 7* address [2:0] $end +$var wire 8 8* inputs [7:0] $end +$var wire 1 s) out $end +$upscope $end +$upscope $end +$scope module a22 $end +$var wire 1 9* a $end +$var wire 1 :* b $end +$var wire 1 ;* cin $end +$var wire 1 <* cout $end +$var wire 1 =* cout_ADD $end +$var wire 1 >* cout_SLT $end +$var wire 1 ?* cout_SUB $end +$var wire 8 @* muxCout [7:0] $end +$var wire 8 A* muxRes [7:0] $end +$var wire 3 B* op [2:0] $end +$var wire 1 C* out $end +$var wire 1 D* res_ADD $end +$var wire 1 E* res_AND $end +$var wire 1 F* res_NAND $end +$var wire 1 G* res_NOR $end +$var wire 1 H* res_OR $end +$var wire 1 I* res_SLT $end +$var wire 1 J* res_SUB $end +$var wire 1 K* res_XOR $end +$scope module adder $end +$var wire 1 L* _carryin $end +$var wire 1 9* a $end +$var wire 1 M* aandb $end +$var wire 1 N* aorb $end +$var wire 1 :* b $end +$var wire 1 ;* carryin $end +$var wire 1 =* carryout $end +$var wire 1 O* outputIfCarryin $end +$var wire 1 P* outputIf_Carryin $end +$var wire 1 Q* s $end +$var wire 1 D* sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 9* a $end +$var wire 1 R* axorb $end +$var wire 1 :* b $end +$var wire 1 ;* borrowin $end +$var wire 1 ?* borrowout $end +$var wire 1 J* diff $end +$var wire 1 S* nota $end +$var wire 1 T* notaandb $end +$var wire 1 U* notaxorb $end +$var wire 1 V* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 9* a $end +$var wire 1 W* axorb $end +$var wire 1 :* b $end +$var wire 1 ;* borrowin $end +$var wire 1 >* borrowout $end +$var wire 1 I* diff $end +$var wire 1 X* nota $end +$var wire 1 Y* notaandb $end +$var wire 1 Z* notaxorb $end +$var wire 1 [* notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 \* address [2:0] $end +$var wire 8 ]* inputs [7:0] $end +$var wire 1 C* out $end +$upscope $end +$scope module mux2 $end +$var wire 3 ^* address [2:0] $end +$var wire 8 _* inputs [7:0] $end +$var wire 1 <* out $end +$upscope $end +$upscope $end +$scope module a23 $end +$var wire 1 `* a $end +$var wire 1 a* b $end +$var wire 1 b* cin $end +$var wire 1 c* cout $end +$var wire 1 d* cout_ADD $end +$var wire 1 e* cout_SLT $end +$var wire 1 f* cout_SUB $end +$var wire 8 g* muxCout [7:0] $end +$var wire 8 h* muxRes [7:0] $end +$var wire 3 i* op [2:0] $end +$var wire 1 j* out $end +$var wire 1 k* res_ADD $end +$var wire 1 l* res_AND $end +$var wire 1 m* res_NAND $end +$var wire 1 n* res_NOR $end +$var wire 1 o* res_OR $end +$var wire 1 p* res_SLT $end +$var wire 1 q* res_SUB $end +$var wire 1 r* res_XOR $end +$scope module adder $end +$var wire 1 s* _carryin $end +$var wire 1 `* a $end +$var wire 1 t* aandb $end +$var wire 1 u* aorb $end +$var wire 1 a* b $end +$var wire 1 b* carryin $end +$var wire 1 d* carryout $end +$var wire 1 v* outputIfCarryin $end +$var wire 1 w* outputIf_Carryin $end +$var wire 1 x* s $end +$var wire 1 k* sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 `* a $end +$var wire 1 y* axorb $end +$var wire 1 a* b $end +$var wire 1 b* borrowin $end +$var wire 1 f* borrowout $end +$var wire 1 q* diff $end +$var wire 1 z* nota $end +$var wire 1 {* notaandb $end +$var wire 1 |* notaxorb $end +$var wire 1 }* notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 `* a $end +$var wire 1 ~* axorb $end +$var wire 1 a* b $end +$var wire 1 b* borrowin $end +$var wire 1 e* borrowout $end +$var wire 1 p* diff $end +$var wire 1 !+ nota $end +$var wire 1 "+ notaandb $end +$var wire 1 #+ notaxorb $end +$var wire 1 $+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 %+ address [2:0] $end +$var wire 8 &+ inputs [7:0] $end +$var wire 1 j* out $end +$upscope $end +$scope module mux2 $end +$var wire 3 '+ address [2:0] $end +$var wire 8 (+ inputs [7:0] $end +$var wire 1 c* out $end +$upscope $end +$upscope $end +$scope module a24 $end +$var wire 1 )+ a $end +$var wire 1 *+ b $end +$var wire 1 ++ cin $end +$var wire 1 ,+ cout $end +$var wire 1 -+ cout_ADD $end +$var wire 1 .+ cout_SLT $end +$var wire 1 /+ cout_SUB $end +$var wire 8 0+ muxCout [7:0] $end +$var wire 8 1+ muxRes [7:0] $end +$var wire 3 2+ op [2:0] $end +$var wire 1 3+ out $end +$var wire 1 4+ res_ADD $end +$var wire 1 5+ res_AND $end +$var wire 1 6+ res_NAND $end +$var wire 1 7+ res_NOR $end +$var wire 1 8+ res_OR $end +$var wire 1 9+ res_SLT $end +$var wire 1 :+ res_SUB $end +$var wire 1 ;+ res_XOR $end +$scope module adder $end +$var wire 1 <+ _carryin $end +$var wire 1 )+ a $end +$var wire 1 =+ aandb $end +$var wire 1 >+ aorb $end +$var wire 1 *+ b $end +$var wire 1 ++ carryin $end +$var wire 1 -+ carryout $end +$var wire 1 ?+ outputIfCarryin $end +$var wire 1 @+ outputIf_Carryin $end +$var wire 1 A+ s $end +$var wire 1 4+ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 )+ a $end +$var wire 1 B+ axorb $end +$var wire 1 *+ b $end +$var wire 1 ++ borrowin $end +$var wire 1 /+ borrowout $end +$var wire 1 :+ diff $end +$var wire 1 C+ nota $end +$var wire 1 D+ notaandb $end +$var wire 1 E+ notaxorb $end +$var wire 1 F+ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 )+ a $end +$var wire 1 G+ axorb $end +$var wire 1 *+ b $end +$var wire 1 ++ borrowin $end +$var wire 1 .+ borrowout $end +$var wire 1 9+ diff $end +$var wire 1 H+ nota $end +$var wire 1 I+ notaandb $end +$var wire 1 J+ notaxorb $end +$var wire 1 K+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 L+ address [2:0] $end +$var wire 8 M+ inputs [7:0] $end +$var wire 1 3+ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 N+ address [2:0] $end +$var wire 8 O+ inputs [7:0] $end +$var wire 1 ,+ out $end +$upscope $end +$upscope $end +$scope module a25 $end +$var wire 1 P+ a $end +$var wire 1 Q+ b $end +$var wire 1 R+ cin $end +$var wire 1 S+ cout $end +$var wire 1 T+ cout_ADD $end +$var wire 1 U+ cout_SLT $end +$var wire 1 V+ cout_SUB $end +$var wire 8 W+ muxCout [7:0] $end +$var wire 8 X+ muxRes [7:0] $end +$var wire 3 Y+ op [2:0] $end +$var wire 1 Z+ out $end +$var wire 1 [+ res_ADD $end +$var wire 1 \+ res_AND $end +$var wire 1 ]+ res_NAND $end +$var wire 1 ^+ res_NOR $end +$var wire 1 _+ res_OR $end +$var wire 1 `+ res_SLT $end +$var wire 1 a+ res_SUB $end +$var wire 1 b+ res_XOR $end +$scope module adder $end +$var wire 1 c+ _carryin $end +$var wire 1 P+ a $end +$var wire 1 d+ aandb $end +$var wire 1 e+ aorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ carryin $end +$var wire 1 T+ carryout $end +$var wire 1 f+ outputIfCarryin $end +$var wire 1 g+ outputIf_Carryin $end +$var wire 1 h+ s $end +$var wire 1 [+ sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 P+ a $end +$var wire 1 i+ axorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ borrowin $end +$var wire 1 V+ borrowout $end +$var wire 1 a+ diff $end +$var wire 1 j+ nota $end +$var wire 1 k+ notaandb $end +$var wire 1 l+ notaxorb $end +$var wire 1 m+ notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 P+ a $end +$var wire 1 n+ axorb $end +$var wire 1 Q+ b $end +$var wire 1 R+ borrowin $end +$var wire 1 U+ borrowout $end +$var wire 1 `+ diff $end +$var wire 1 o+ nota $end +$var wire 1 p+ notaandb $end +$var wire 1 q+ notaxorb $end +$var wire 1 r+ notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 s+ address [2:0] $end +$var wire 8 t+ inputs [7:0] $end +$var wire 1 Z+ out $end +$upscope $end +$scope module mux2 $end +$var wire 3 u+ address [2:0] $end +$var wire 8 v+ inputs [7:0] $end +$var wire 1 S+ out $end +$upscope $end +$upscope $end +$scope module a26 $end +$var wire 1 w+ a $end +$var wire 1 x+ b $end +$var wire 1 y+ cin $end +$var wire 1 z+ cout $end +$var wire 1 {+ cout_ADD $end +$var wire 1 |+ cout_SLT $end +$var wire 1 }+ cout_SUB $end +$var wire 8 ~+ muxCout [7:0] $end +$var wire 8 !, muxRes [7:0] $end +$var wire 3 ", op [2:0] $end +$var wire 1 #, out $end +$var wire 1 $, res_ADD $end +$var wire 1 %, res_AND $end +$var wire 1 &, res_NAND $end +$var wire 1 ', res_NOR $end +$var wire 1 (, res_OR $end +$var wire 1 ), res_SLT $end +$var wire 1 *, res_SUB $end +$var wire 1 +, res_XOR $end +$scope module adder $end +$var wire 1 ,, _carryin $end +$var wire 1 w+ a $end +$var wire 1 -, aandb $end +$var wire 1 ., aorb $end +$var wire 1 x+ b $end +$var wire 1 y+ carryin $end +$var wire 1 {+ carryout $end +$var wire 1 /, outputIfCarryin $end +$var wire 1 0, outputIf_Carryin $end +$var wire 1 1, s $end +$var wire 1 $, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 w+ a $end +$var wire 1 2, axorb $end +$var wire 1 x+ b $end +$var wire 1 y+ borrowin $end +$var wire 1 }+ borrowout $end +$var wire 1 *, diff $end +$var wire 1 3, nota $end +$var wire 1 4, notaandb $end +$var wire 1 5, notaxorb $end +$var wire 1 6, notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 w+ a $end +$var wire 1 7, axorb $end +$var wire 1 x+ b $end +$var wire 1 y+ borrowin $end +$var wire 1 |+ borrowout $end +$var wire 1 ), diff $end +$var wire 1 8, nota $end +$var wire 1 9, notaandb $end +$var wire 1 :, notaxorb $end +$var wire 1 ;, notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 <, address [2:0] $end +$var wire 8 =, inputs [7:0] $end +$var wire 1 #, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 >, address [2:0] $end +$var wire 8 ?, inputs [7:0] $end +$var wire 1 z+ out $end +$upscope $end +$upscope $end +$scope module a27 $end +$var wire 1 @, a $end +$var wire 1 A, b $end +$var wire 1 B, cin $end +$var wire 1 C, cout $end +$var wire 1 D, cout_ADD $end +$var wire 1 E, cout_SLT $end +$var wire 1 F, cout_SUB $end +$var wire 8 G, muxCout [7:0] $end +$var wire 8 H, muxRes [7:0] $end +$var wire 3 I, op [2:0] $end +$var wire 1 J, out $end +$var wire 1 K, res_ADD $end +$var wire 1 L, res_AND $end +$var wire 1 M, res_NAND $end +$var wire 1 N, res_NOR $end +$var wire 1 O, res_OR $end +$var wire 1 P, res_SLT $end +$var wire 1 Q, res_SUB $end +$var wire 1 R, res_XOR $end +$scope module adder $end +$var wire 1 S, _carryin $end +$var wire 1 @, a $end +$var wire 1 T, aandb $end +$var wire 1 U, aorb $end +$var wire 1 A, b $end +$var wire 1 B, carryin $end +$var wire 1 D, carryout $end +$var wire 1 V, outputIfCarryin $end +$var wire 1 W, outputIf_Carryin $end +$var wire 1 X, s $end +$var wire 1 K, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 @, a $end +$var wire 1 Y, axorb $end +$var wire 1 A, b $end +$var wire 1 B, borrowin $end +$var wire 1 F, borrowout $end +$var wire 1 Q, diff $end +$var wire 1 Z, nota $end +$var wire 1 [, notaandb $end +$var wire 1 \, notaxorb $end +$var wire 1 ], notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 @, a $end +$var wire 1 ^, axorb $end +$var wire 1 A, b $end +$var wire 1 B, borrowin $end +$var wire 1 E, borrowout $end +$var wire 1 P, diff $end +$var wire 1 _, nota $end +$var wire 1 `, notaandb $end +$var wire 1 a, notaxorb $end +$var wire 1 b, notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 c, address [2:0] $end +$var wire 8 d, inputs [7:0] $end +$var wire 1 J, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 e, address [2:0] $end +$var wire 8 f, inputs [7:0] $end +$var wire 1 C, out $end +$upscope $end +$upscope $end +$scope module a28 $end +$var wire 1 g, a $end +$var wire 1 h, b $end +$var wire 1 i, cin $end +$var wire 1 j, cout $end +$var wire 1 k, cout_ADD $end +$var wire 1 l, cout_SLT $end +$var wire 1 m, cout_SUB $end +$var wire 8 n, muxCout [7:0] $end +$var wire 8 o, muxRes [7:0] $end +$var wire 3 p, op [2:0] $end +$var wire 1 q, out $end +$var wire 1 r, res_ADD $end +$var wire 1 s, res_AND $end +$var wire 1 t, res_NAND $end +$var wire 1 u, res_NOR $end +$var wire 1 v, res_OR $end +$var wire 1 w, res_SLT $end +$var wire 1 x, res_SUB $end +$var wire 1 y, res_XOR $end +$scope module adder $end +$var wire 1 z, _carryin $end +$var wire 1 g, a $end +$var wire 1 {, aandb $end +$var wire 1 |, aorb $end +$var wire 1 h, b $end +$var wire 1 i, carryin $end +$var wire 1 k, carryout $end +$var wire 1 }, outputIfCarryin $end +$var wire 1 ~, outputIf_Carryin $end +$var wire 1 !- s $end +$var wire 1 r, sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 g, a $end +$var wire 1 "- axorb $end +$var wire 1 h, b $end +$var wire 1 i, borrowin $end +$var wire 1 m, borrowout $end +$var wire 1 x, diff $end +$var wire 1 #- nota $end +$var wire 1 $- notaandb $end +$var wire 1 %- notaxorb $end +$var wire 1 &- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 g, a $end +$var wire 1 '- axorb $end +$var wire 1 h, b $end +$var wire 1 i, borrowin $end +$var wire 1 l, borrowout $end +$var wire 1 w, diff $end +$var wire 1 (- nota $end +$var wire 1 )- notaandb $end +$var wire 1 *- notaxorb $end +$var wire 1 +- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 ,- address [2:0] $end +$var wire 8 -- inputs [7:0] $end +$var wire 1 q, out $end +$upscope $end +$scope module mux2 $end +$var wire 3 .- address [2:0] $end +$var wire 8 /- inputs [7:0] $end +$var wire 1 j, out $end +$upscope $end +$upscope $end +$scope module a29 $end +$var wire 1 0- a $end +$var wire 1 1- b $end +$var wire 1 2- cin $end +$var wire 1 3- cout $end +$var wire 1 4- cout_ADD $end +$var wire 1 5- cout_SLT $end +$var wire 1 6- cout_SUB $end +$var wire 8 7- muxCout [7:0] $end +$var wire 8 8- muxRes [7:0] $end +$var wire 3 9- op [2:0] $end +$var wire 1 :- out $end +$var wire 1 ;- res_ADD $end +$var wire 1 <- res_AND $end +$var wire 1 =- res_NAND $end +$var wire 1 >- res_NOR $end +$var wire 1 ?- res_OR $end +$var wire 1 @- res_SLT $end +$var wire 1 A- res_SUB $end +$var wire 1 B- res_XOR $end +$scope module adder $end +$var wire 1 C- _carryin $end +$var wire 1 0- a $end +$var wire 1 D- aandb $end +$var wire 1 E- aorb $end +$var wire 1 1- b $end +$var wire 1 2- carryin $end +$var wire 1 4- carryout $end +$var wire 1 F- outputIfCarryin $end +$var wire 1 G- outputIf_Carryin $end +$var wire 1 H- s $end +$var wire 1 ;- sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 0- a $end +$var wire 1 I- axorb $end +$var wire 1 1- b $end +$var wire 1 2- borrowin $end +$var wire 1 6- borrowout $end +$var wire 1 A- diff $end +$var wire 1 J- nota $end +$var wire 1 K- notaandb $end +$var wire 1 L- notaxorb $end +$var wire 1 M- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 0- a $end +$var wire 1 N- axorb $end +$var wire 1 1- b $end +$var wire 1 2- borrowin $end +$var wire 1 5- borrowout $end +$var wire 1 @- diff $end +$var wire 1 O- nota $end +$var wire 1 P- notaandb $end +$var wire 1 Q- notaxorb $end +$var wire 1 R- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 S- address [2:0] $end +$var wire 8 T- inputs [7:0] $end +$var wire 1 :- out $end +$upscope $end +$scope module mux2 $end +$var wire 3 U- address [2:0] $end +$var wire 8 V- inputs [7:0] $end +$var wire 1 3- out $end +$upscope $end +$upscope $end +$scope module a30 $end +$var wire 1 W- a $end +$var wire 1 X- b $end +$var wire 1 Y- cin $end +$var wire 1 Z- cout $end +$var wire 1 [- cout_ADD $end +$var wire 1 \- cout_SLT $end +$var wire 1 ]- cout_SUB $end +$var wire 8 ^- muxCout [7:0] $end +$var wire 8 _- muxRes [7:0] $end +$var wire 3 `- op [2:0] $end +$var wire 1 a- out $end +$var wire 1 b- res_ADD $end +$var wire 1 c- res_AND $end +$var wire 1 d- res_NAND $end +$var wire 1 e- res_NOR $end +$var wire 1 f- res_OR $end +$var wire 1 g- res_SLT $end +$var wire 1 h- res_SUB $end +$var wire 1 i- res_XOR $end +$scope module adder $end +$var wire 1 j- _carryin $end +$var wire 1 W- a $end +$var wire 1 k- aandb $end +$var wire 1 l- aorb $end +$var wire 1 X- b $end +$var wire 1 Y- carryin $end +$var wire 1 [- carryout $end +$var wire 1 m- outputIfCarryin $end +$var wire 1 n- outputIf_Carryin $end +$var wire 1 o- s $end +$var wire 1 b- sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 W- a $end +$var wire 1 p- axorb $end +$var wire 1 X- b $end +$var wire 1 Y- borrowin $end +$var wire 1 ]- borrowout $end +$var wire 1 h- diff $end +$var wire 1 q- nota $end +$var wire 1 r- notaandb $end +$var wire 1 s- notaxorb $end +$var wire 1 t- notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 W- a $end +$var wire 1 u- axorb $end +$var wire 1 X- b $end +$var wire 1 Y- borrowin $end +$var wire 1 \- borrowout $end +$var wire 1 g- diff $end +$var wire 1 v- nota $end +$var wire 1 w- notaandb $end +$var wire 1 x- notaxorb $end +$var wire 1 y- notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 z- address [2:0] $end +$var wire 8 {- inputs [7:0] $end +$var wire 1 a- out $end +$upscope $end +$scope module mux2 $end +$var wire 3 |- address [2:0] $end +$var wire 8 }- inputs [7:0] $end +$var wire 1 Z- out $end +$upscope $end +$upscope $end +$scope module a31 $end +$var wire 1 ~- a $end +$var wire 1 !. b $end +$var wire 1 ". cin $end +$var wire 1 #. cout $end +$var wire 1 $. cout_ADD $end +$var wire 1 %. cout_SLT $end +$var wire 1 &. cout_SUB $end +$var wire 8 '. muxCout [7:0] $end +$var wire 8 (. muxRes [7:0] $end +$var wire 3 ). op [2:0] $end +$var wire 1 *. out $end +$var wire 1 +. res_ADD $end +$var wire 1 ,. res_AND $end +$var wire 1 -. res_NAND $end +$var wire 1 .. res_NOR $end +$var wire 1 /. res_OR $end +$var wire 1 0. res_SLT $end +$var wire 1 1. res_SUB $end +$var wire 1 2. res_XOR $end +$scope module adder $end +$var wire 1 3. _carryin $end +$var wire 1 ~- a $end +$var wire 1 4. aandb $end +$var wire 1 5. aorb $end +$var wire 1 !. b $end +$var wire 1 ". carryin $end +$var wire 1 $. carryout $end +$var wire 1 6. outputIfCarryin $end +$var wire 1 7. outputIf_Carryin $end +$var wire 1 8. s $end +$var wire 1 +. sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 ~- a $end +$var wire 1 9. axorb $end +$var wire 1 !. b $end +$var wire 1 ". borrowin $end +$var wire 1 &. borrowout $end +$var wire 1 1. diff $end +$var wire 1 :. nota $end +$var wire 1 ;. notaandb $end +$var wire 1 <. notaxorb $end +$var wire 1 =. notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 ~- a $end +$var wire 1 >. axorb $end +$var wire 1 !. b $end +$var wire 1 ". borrowin $end +$var wire 1 %. borrowout $end +$var wire 1 0. diff $end +$var wire 1 ?. nota $end +$var wire 1 @. notaandb $end +$var wire 1 A. notaxorb $end +$var wire 1 B. notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 C. address [2:0] $end +$var wire 8 D. inputs [7:0] $end +$var wire 1 *. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 E. address [2:0] $end +$var wire 8 F. inputs [7:0] $end +$var wire 1 #. out $end +$upscope $end +$upscope $end +$scope module a32 $end +$var wire 1 G. a $end +$var wire 1 H. b $end +$var wire 1 I. cin $end +$var wire 1 ! cout $end +$var wire 1 J. cout_ADD $end +$var wire 1 K. cout_SLT $end +$var wire 1 L. cout_SUB $end +$var wire 8 M. muxCout [7:0] $end +$var wire 8 N. muxRes [7:0] $end +$var wire 3 O. op [2:0] $end +$var wire 1 P. out $end +$var wire 1 Q. res_ADD $end +$var wire 1 R. res_AND $end +$var wire 1 S. res_NAND $end +$var wire 1 T. res_NOR $end +$var wire 1 U. res_OR $end +$var wire 1 V. res_SLT $end +$var wire 1 W. res_SUB $end +$var wire 1 X. res_XOR $end +$scope module adder $end +$var wire 1 Y. _carryin $end +$var wire 1 G. a $end +$var wire 1 Z. aandb $end +$var wire 1 [. aorb $end +$var wire 1 H. b $end +$var wire 1 I. carryin $end +$var wire 1 J. carryout $end +$var wire 1 \. outputIfCarryin $end +$var wire 1 ]. outputIf_Carryin $end +$var wire 1 ^. s $end +$var wire 1 Q. sum $end +$upscope $end +$scope module subtractor $end +$var wire 1 G. a $end +$var wire 1 _. axorb $end +$var wire 1 H. b $end +$var wire 1 I. borrowin $end +$var wire 1 L. borrowout $end +$var wire 1 W. diff $end +$var wire 1 `. nota $end +$var wire 1 a. notaandb $end +$var wire 1 b. notaxorb $end +$var wire 1 c. notaxorbandborrowin $end +$upscope $end +$scope module slt $end +$var wire 1 G. a $end +$var wire 1 d. axorb $end +$var wire 1 H. b $end +$var wire 1 I. borrowin $end +$var wire 1 K. borrowout $end +$var wire 1 V. diff $end +$var wire 1 e. nota $end +$var wire 1 f. notaandb $end +$var wire 1 g. notaxorb $end +$var wire 1 h. notaxorbandborrowin $end +$upscope $end +$scope module mux1 $end +$var wire 3 i. address [2:0] $end +$var wire 8 j. inputs [7:0] $end +$var wire 1 P. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 k. address [2:0] $end +$var wire 8 l. inputs [7:0] $end +$var wire 1 ! out $end +$upscope $end +$upscope $end +$scope module mux0 $end +$var wire 3 m. address [2:0] $end +$var wire 8 n. inputs [7:0] $end +$var wire 1 o. out $end +$upscope $end +$scope module mux1 $end +$var wire 3 p. address [2:0] $end +$var wire 8 q. inputs [7:0] $end +$var wire 1 r. out $end +$upscope $end +$scope module mux2 $end +$var wire 3 s. address [2:0] $end +$var wire 8 t. inputs [7:0] $end +$var wire 1 u. out $end +$upscope $end +$scope module mux3 $end +$var wire 3 v. address [2:0] $end +$var wire 8 w. inputs [7:0] $end +$var wire 1 x. out $end +$upscope $end +$scope module mux4 $end +$var wire 3 y. address [2:0] $end +$var wire 8 z. inputs [7:0] $end +$var wire 1 {. out $end +$upscope $end +$scope module mux5 $end +$var wire 3 |. address [2:0] $end +$var wire 8 }. inputs [7:0] $end +$var wire 1 ~. out $end +$upscope $end +$scope module mux6 $end +$var wire 3 !/ address [2:0] $end +$var wire 8 "/ inputs [7:0] $end +$var wire 1 #/ out $end +$upscope $end +$scope module mux7 $end +$var wire 3 $/ address [2:0] $end +$var wire 8 %/ inputs [7:0] $end +$var wire 1 &/ out $end +$upscope $end +$scope module mux8 $end +$var wire 3 '/ address [2:0] $end +$var wire 8 (/ inputs [7:0] $end +$var wire 1 )/ out $end +$upscope $end +$scope module mux9 $end +$var wire 3 */ address [2:0] $end +$var wire 8 +/ inputs [7:0] $end +$var wire 1 ,/ out $end +$upscope $end +$scope module mux10 $end +$var wire 3 -/ address [2:0] $end +$var wire 8 ./ inputs [7:0] $end +$var wire 1 // out $end +$upscope $end +$scope module mux11 $end +$var wire 3 0/ address [2:0] $end +$var wire 8 1/ inputs [7:0] $end +$var wire 1 2/ out $end +$upscope $end +$scope module mux12 $end +$var wire 3 3/ address [2:0] $end +$var wire 8 4/ inputs [7:0] $end +$var wire 1 5/ out $end +$upscope $end +$scope module mux13 $end +$var wire 3 6/ address [2:0] $end +$var wire 8 7/ inputs [7:0] $end +$var wire 1 8/ out $end +$upscope $end +$scope module mux14 $end +$var wire 3 9/ address [2:0] $end +$var wire 8 :/ inputs [7:0] $end +$var wire 1 ;/ out $end +$upscope $end +$scope module mux15 $end +$var wire 3 / out $end +$upscope $end +$scope module mux16 $end +$var wire 3 ?/ address [2:0] $end +$var wire 8 @/ inputs [7:0] $end +$var wire 1 A/ out $end +$upscope $end +$scope module mux17 $end +$var wire 3 B/ address [2:0] $end +$var wire 8 C/ inputs [7:0] $end +$var wire 1 D/ out $end +$upscope $end +$scope module mux18 $end +$var wire 3 E/ address [2:0] $end +$var wire 8 F/ inputs [7:0] $end +$var wire 1 G/ out $end +$upscope $end +$scope module mux19 $end +$var wire 3 H/ address [2:0] $end +$var wire 8 I/ inputs [7:0] $end +$var wire 1 J/ out $end +$upscope $end +$scope module mux20 $end +$var wire 3 K/ address [2:0] $end +$var wire 8 L/ inputs [7:0] $end +$var wire 1 M/ out $end +$upscope $end +$scope module mux21 $end +$var wire 3 N/ address [2:0] $end +$var wire 8 O/ inputs [7:0] $end +$var wire 1 P/ out $end +$upscope $end +$scope module mux22 $end +$var wire 3 Q/ address [2:0] $end +$var wire 8 R/ inputs [7:0] $end +$var wire 1 S/ out $end +$upscope $end +$scope module mux23 $end +$var wire 3 T/ address [2:0] $end +$var wire 8 U/ inputs [7:0] $end +$var wire 1 V/ out $end +$upscope $end +$scope module mux24 $end +$var wire 3 W/ address [2:0] $end +$var wire 8 X/ inputs [7:0] $end +$var wire 1 Y/ out $end +$upscope $end +$scope module mux25 $end +$var wire 3 Z/ address [2:0] $end +$var wire 8 [/ inputs [7:0] $end +$var wire 1 \/ out $end +$upscope $end +$scope module mux26 $end +$var wire 3 ]/ address [2:0] $end +$var wire 8 ^/ inputs [7:0] $end +$var wire 1 _/ out $end +$upscope $end +$scope module mux27 $end +$var wire 3 `/ address [2:0] $end +$var wire 8 a/ inputs [7:0] $end +$var wire 1 b/ out $end +$upscope $end +$scope module mux28 $end +$var wire 3 c/ address [2:0] $end +$var wire 8 d/ inputs [7:0] $end +$var wire 1 e/ out $end +$upscope $end +$scope module mux29 $end +$var wire 3 f/ address [2:0] $end +$var wire 8 g/ inputs [7:0] $end +$var wire 1 h/ out $end +$upscope $end +$scope module mux30 $end +$var wire 3 i/ address [2:0] $end +$var wire 8 j/ inputs [7:0] $end +$var wire 1 k/ out $end +$upscope $end +$scope module mux31 $end +$var wire 3 l/ address [2:0] $end +$var wire 8 m/ inputs [7:0] $end +$var wire 1 n/ out $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +xn/ +bx0xxx m/ +b0 l/ +xk/ +bx0xxx j/ +b0 i/ +xh/ +bx0xxx g/ +b0 f/ +xe/ +bx0xxx d/ +b0 c/ +xb/ +bx0xxx a/ +b0 `/ +x_/ +bx0xxx ^/ +b0 ]/ +x\/ +bx0xxx [/ +b0 Z/ +xY/ +bx0xxx X/ +b0 W/ +xV/ +bx0xxx U/ +b0 T/ +xS/ +bx0xxx R/ +b0 Q/ +xP/ +bx0xxx O/ +b0 N/ +xM/ +bx0xxx L/ +b0 K/ +xJ/ +bx0xxx I/ +b0 H/ +xG/ +bx0xxx F/ +b0 E/ +xD/ +bx0xxx C/ +b0 B/ +xA/ +bx0xxx @/ +b0 ?/ +x>/ +bx0xxx =/ +b0 . +x=. +z<. +z;. +z:. +z9. +z8. +x7. +x6. +z5. +z4. +x3. +z2. +x1. +x0. +z/. +z.. +z-. +z,. +x+. +x*. +b0 ). +bx (. +b0x0xx '. +x&. +x%. +x$. +x#. +x". +0!. +0~- +b0x0xx }- +b0 |- +bx {- +b0 z- +xy- +zx- +zw- +zv- +zu- +xt- +zs- +zr- +zq- +zp- +zo- +xn- +xm- +zl- +zk- +xj- +zi- +xh- +xg- +zf- +ze- +zd- +zc- +xb- +xa- +b0 `- +bx _- +b0x0xx ^- +x]- +x\- +x[- +xZ- +xY- +0X- +0W- +b0x0xx V- +b0 U- +bx T- +b0 S- +xR- +zQ- +zP- +zO- +zN- +xM- +zL- +zK- +zJ- +zI- +zH- +xG- +xF- +zE- +zD- +xC- +zB- +xA- +x@- +z?- +z>- +z=- +z<- +x;- +x:- +b0 9- +bx 8- +b0x0xx 7- +x6- +x5- +x4- +x3- +x2- +01- +00- +b0x0xx /- +b0 .- +bx -- +b0 ,- +x+- +z*- +z)- +z(- +z'- +x&- +z%- +z$- +z#- +z"- +z!- +x~, +x}, +z|, +z{, +xz, +zy, +xx, +xw, +zv, +zu, +zt, +zs, +xr, +xq, +b0 p, +bx o, +b0x0xx n, +xm, +xl, +xk, +xj, +xi, +0h, +0g, +b0x0xx f, +b0 e, +bx d, +b0 c, +xb, +za, +z`, +z_, +z^, +x], +z\, +z[, +zZ, +zY, +zX, +xW, +xV, +zU, +zT, +xS, +zR, +xQ, +xP, +zO, +zN, +zM, +zL, +xK, +xJ, +b0 I, +bx H, +b0x0xx G, +xF, +xE, +xD, +xC, +xB, +0A, +0@, +b0x0xx ?, +b0 >, +bx =, +b0 <, +x;, +z:, +z9, +z8, +z7, +x6, +z5, +z4, +z3, +z2, +z1, +x0, +x/, +z., +z-, +x,, +z+, +x*, +x), +z(, +z', +z&, +z%, +x$, +x#, +b0 ", +bx !, +b0x0xx ~+ +x}+ +x|+ +x{+ +xz+ +xy+ +0x+ +0w+ +b0x0xx v+ +b0 u+ +bx t+ +b0 s+ +xr+ +zq+ +zp+ +zo+ +zn+ +xm+ +zl+ +zk+ +zj+ +zi+ +zh+ +xg+ +xf+ +ze+ +zd+ +xc+ +zb+ +xa+ +x`+ +z_+ +z^+ +z]+ +z\+ +x[+ +xZ+ +b0 Y+ +bx X+ +b0x0xx W+ +xV+ +xU+ +xT+ +xS+ +xR+ +0Q+ +0P+ +b0x0xx O+ +b0 N+ +bx M+ +b0 L+ +xK+ +zJ+ +zI+ +zH+ +zG+ +xF+ +zE+ +zD+ +zC+ +zB+ +zA+ +x@+ +x?+ +z>+ +z=+ +x<+ +z;+ +x:+ +x9+ +z8+ +z7+ +z6+ +z5+ +x4+ +x3+ +b0 2+ +bx 1+ +b0x0xx 0+ +x/+ +x.+ +x-+ +x,+ +x++ +0*+ +0)+ +b0x0xx (+ +b0 '+ +bx &+ +b0 %+ +x$+ +z#+ +z"+ +z!+ +z~* +x}* +z|* +z{* +zz* +zy* +zx* +xw* +xv* +zu* +zt* +xs* +zr* +xq* +xp* +zo* +zn* +zm* +zl* +xk* +xj* +b0 i* +bx h* +b0x0xx g* +xf* +xe* +xd* +xc* +xb* +0a* +0`* +b0x0xx _* +b0 ^* +bx ]* +b0 \* +x[* +zZ* +zY* +zX* +zW* +xV* +zU* +zT* +zS* +zR* +zQ* +xP* +xO* +zN* +zM* +xL* +zK* +xJ* +xI* +zH* +zG* +zF* +zE* +xD* +xC* +b0 B* +bx A* +b0x0xx @* +x?* +x>* +x=* +x<* +x;* +0:* +09* +b0x0xx 8* +b0 7* +bx 6* +b0 5* +x4* +z3* +z2* +z1* +z0* +x/* +z.* +z-* +z,* +z+* +z** +x)* +x(* +z'* +z&* +x%* +z$* +x#* +x"* +z!* +z~) +z}) +z|) +x{) +xz) +b0 y) +bx x) +b0x0xx w) +xv) +xu) +xt) +xs) +xr) +0q) +0p) +b0x0xx o) +b0 n) +bx m) +b0 l) +xk) +zj) +zi) +zh) +zg) +xf) +ze) +zd) +zc) +zb) +za) +x`) +x_) +z^) +z]) +x\) +z[) +xZ) +xY) +zX) +zW) +zV) +zU) +xT) +xS) +b0 R) +bx Q) +b0x0xx P) +xO) +xN) +xM) +xL) +xK) +0J) +1I) +b0x0xx H) +b0 G) +bx F) +b0 E) +xD) +zC) +zB) +zA) +z@) +x?) +z>) +z=) +z<) +z;) +z:) +x9) +x8) +z7) +z6) +x5) +z4) +x3) +x2) +z1) +z0) +z/) +z.) +x-) +x,) +b0 +) +bx *) +b0x0xx )) +x() +x') +x&) +x%) +x$) +0#) +1") +b0x0xx !) +b0 ~( +bx }( +b0 |( +x{( +zz( +zy( +zx( +zw( +xv( +zu( +zt( +zs( +zr( +zq( +xp( +xo( +zn( +zm( +xl( +zk( +xj( +xi( +zh( +zg( +zf( +ze( +xd( +xc( +b0 b( +bx a( +b0x0xx `( +x_( +x^( +x]( +x\( +x[( +0Z( +1Y( +b0x0xx X( +b0 W( +bx V( +b0 U( +xT( +zS( +zR( +zQ( +zP( +xO( +zN( +zM( +zL( +zK( +zJ( +xI( +xH( +zG( +zF( +xE( +zD( +xC( +xB( +zA( +z@( +z?( +z>( +x=( +x<( +b0 ;( +bx :( +b0x0xx 9( +x8( +x7( +x6( +x5( +x4( +03( +12( +b0x0xx 1( +b0 0( +bx /( +b0 .( +x-( +z,( +z+( +z*( +z)( +x(( +z'( +z&( +z%( +z$( +z#( +x"( +x!( +z~' +z}' +x|' +z{' +xz' +xy' +zx' +zw' +zv' +zu' +xt' +xs' +b0 r' +bx q' +b0x0xx p' +xo' +xn' +xm' +xl' +xk' +0j' +1i' +b0x0xx h' +b0 g' +bx f' +b0 e' +xd' +zc' +zb' +za' +z`' +x_' +z^' +z]' +z\' +z[' +zZ' +xY' +xX' +zW' +zV' +xU' +zT' +xS' +xR' +zQ' +zP' +zO' +zN' +xM' +xL' +b0 K' +bx J' +b0x0xx I' +xH' +xG' +xF' +xE' +xD' +0C' +1B' +b0x0xx A' +b0 @' +bx ?' +b0 >' +x=' +z<' +z;' +z:' +z9' +x8' +z7' +z6' +z5' +z4' +z3' +x2' +x1' +z0' +z/' +x.' +z-' +x,' +x+' +z*' +z)' +z(' +z'' +x&' +x%' +b0 $' +bx #' +b0x0xx "' +x!' +x~& +x}& +x|& +x{& +0z& +1y& +b0x0xx x& +b0 w& +bx v& +b0 u& +xt& +zs& +zr& +zq& +zp& +xo& +zn& +zm& +zl& +zk& +zj& +xi& +xh& +zg& +zf& +xe& +zd& +xc& +xb& +za& +z`& +z_& +z^& +x]& +x\& +b0 [& +bx Z& +b0x0xx Y& +xX& +xW& +xV& +xU& +xT& +0S& +1R& +b0x0xx Q& +b0 P& +bx O& +b0 N& +xM& +zL& +zK& +zJ& +zI& +xH& +zG& +zF& +zE& +zD& +zC& +xB& +xA& +z@& +z?& +x>& +z=& +x<& +x;& +z:& +z9& +z8& +z7& +x6& +x5& +b0 4& +bx 3& +b0x0xx 2& +x1& +x0& +x/& +x.& +x-& +0,& +1+& +b0x0xx *& +b0 )& +bx (& +b0 '& +x&& +z%& +z$& +z#& +z"& +x!& +z~% +z}% +z|% +z{% +zz% +xy% +xx% +zw% +zv% +xu% +zt% +xs% +xr% +zq% +zp% +zo% +zn% +xm% +xl% +b0 k% +bx j% +b0x0xx i% +xh% +xg% +xf% +xe% +xd% +0c% +1b% +b0x0xx a% +b0 `% +bx _% +b0 ^% +x]% +z\% +z[% +zZ% +zY% +xX% +zW% +zV% +zU% +zT% +zS% +xR% +xQ% +zP% +zO% +xN% +zM% +xL% +xK% +zJ% +zI% +zH% +zG% +xF% +xE% +b0 D% +bx C% +b0x0xx B% +xA% +x@% +x?% +x>% +x=% +0<% +1;% +b0x0xx :% +b0 9% +bx 8% +b0 7% +x6% +z5% +z4% +z3% +z2% +x1% +z0% +z/% +z.% +z-% +z,% +x+% +x*% +z)% +z(% +x'% +z&% +x%% +x$% +z#% +z"% +z!% +z~$ +x}$ +x|$ +b0 {$ +bx z$ +b0x0xx y$ +xx$ +xw$ +xv$ +xu$ +xt$ +0s$ +1r$ +b0x0xx q$ +b0 p$ +bx o$ +b0 n$ +xm$ +zl$ +zk$ +zj$ +zi$ +xh$ +zg$ +zf$ +ze$ +zd$ +zc$ +xb$ +xa$ +z`$ +z_$ +x^$ +z]$ +x\$ +x[$ +zZ$ +zY$ +zX$ +zW$ +xV$ +xU$ +b0 T$ +bx S$ +b0x0xx R$ +xQ$ +xP$ +xO$ +xN$ +xM$ +0L$ +1K$ +b0x0xx J$ +b0 I$ +bx H$ +b0 G$ +xF$ +zE$ +zD$ +zC$ +zB$ +xA$ +z@$ +z?$ +z>$ +z=$ +z<$ +x;$ +x:$ +z9$ +z8$ +x7$ +z6$ +x5$ +x4$ +z3$ +z2$ +z1$ +z0$ +x/$ +x.$ +b0 -$ +bx ,$ +b0x0xx +$ +x*$ +x)$ +x($ +x'$ +x&$ +0%$ +1$$ +b0x0xx #$ +b0 "$ +bx !$ +b0 ~# +x}# +z|# +z{# +zz# +zy# +xx# +zw# +zv# +zu# +zt# +zs# +xr# +xq# +zp# +zo# +xn# +zm# +xl# +xk# +zj# +zi# +zh# +zg# +xf# +xe# +b0 d# +bx c# +b0x0xx b# +xa# +x`# +x_# +x^# +x]# +0\# +1[# +b0x0xx Z# +b0 Y# +bx X# +b0 W# +xV# +zU# +zT# +zS# +zR# +xQ# +zP# +zO# +zN# +zM# +zL# +xK# +xJ# +zI# +zH# +xG# +zF# +xE# +xD# +zC# +zB# +zA# +z@# +x?# +x># +b0 =# +bx <# +b0x0xx ;# +x:# +x9# +x8# +x7# +x6# +05# +14# +b0x0xx 3# +b0 2# +bx 1# +b0 0# +x/# +z.# +z-# +z,# +z+# +x*# +z)# +z(# +z'# +z&# +z%# +x$# +x## +z"# +z!# +x~" +z}" +x|" +x{" +zz" +zy" +zx" +zw" +xv" +xu" +b0 t" +bx s" +b0x0xx r" +xq" +xp" +xo" +xn" +xm" +0l" +1k" +b0x0xx j" +b0 i" +bx h" +b0 g" +xf" +ze" +zd" +zc" +zb" +xa" +z`" +z_" +z^" +z]" +z\" +x[" +xZ" +zY" +zX" +xW" +zV" +xU" +xT" +zS" +zR" +zQ" +zP" +xO" +xN" +b0 M" +bx L" +b0x0xx K" +xJ" +xI" +xH" +xG" +xF" +0E" +1D" +b0x0xx C" +b0 B" +bx A" +b0 @" +x?" +z>" +z=" +z<" +z;" +x:" +z9" +z8" +z7" +z6" +z5" +x4" +x3" +z2" +z1" +x0" +z/" +x." +x-" +z," +z+" +z*" +z)" +x(" +x'" +b0 &" +bx %" +b0x0xx $" +x#" +x"" +x!" +x~ +x} +0| +1{ +b0x0xx z +b0 y +bx x +b0 w +zv +zu +xt +zs +zr +zq +zp +xo +zn +zm +zl +zk +xj +zi +zh +zg +zf +xe +xd +zc +zb +za +z` +x_ +x^ +b0 ] +bx \ +b0x0xx [ +xZ +xY +xX +xW +0V +1U +1T +xS +bx R +bx Q +bx0xxx P +bx0xxx O +bx0xxx N +bx0xxx M +bx0xxx L +bx0xxx K +bx0xxx J +bx0xxx I +bx0xxx H +bx0xxx G +bx0xxx F +bx0xxx E +bx0xxx D +bx0xxx C +bx0xxx B +bx0xxx A +bx0xxx @ +bx0xxx ? +bx0xxx > +bx0xxx = +bx0xxx < +bx0xxx ; +bx0xxx : +bx0xxx 9 +bx0xxx 8 +bx0xxx 7 +bx0xxx 6 +bx0xxx 5 +bx0xxx 4 +bx0xxx 3 +bx0xxx 2 +bx 1 +b1 0 +b11111111111111111111 / +bzxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx . +b0 - +bx , +bx + +x* +b0 ) +b0 ( +b0 ' +b1 & +b11111111111111111111 % +z$ +x# +bx " +x! +$end +#10000 +0n +0s +07" +0<" +0^" +0c" +0'# +0,# +0N# +0S# +0u# +0z# +0>$ +0C$ +0e$ +0j$ +0.% +03% +0U% +0Z% +0|% +0#& +0E& +0J& +0l& +0q& +05' +0:' +0\' +0a' +0%( +0*( +0L( +0Q( +0s( +0x( +0<) +0A) +0c) +0h) +1,* +11* +1S* +1X* +1z* +1!+ +1C+ +1H+ +1j+ +1o+ +13, +18, +1Z, +1_, +1#- +1(- +1J- +1O- +1q- +1v- +1:. +1?. +1`. +1e. +1g +#20000 +1T. +1S. +bx11xxxxx N. +bx11xxxxx j. +1.. +1-. +bx11xxxxx (. +bx11xxxxx D. +1e- +1d- +bx11xxxxx _- +bx11xxxxx {- +1>- +1=- +bx11xxxxx 8- +bx11xxxxx T- +1u, +1t, +bx11xxxxx o, +bx11xxxxx -- +1N, +1M, +bx11xxxxx H, +bx11xxxxx d, +1', +1&, +bx11xxxxx !, +bx11xxxxx =, +1^+ +1]+ +bx11xxxxx X+ +bx11xxxxx t+ +17+ +16+ +bx11xxxxx 1+ +bx11xxxxx M+ +1n* +1m* +bx11xxxxx h* +bx11xxxxx &+ +1G* +1F* +bx11xxxxx A* +bx11xxxxx ]* +1~) +1}) +bx11xxxxx x) +bx11xxxxx 6* +0W) +1V) +bx01xxxxx Q) +bx01xxxxx m) +00) +1/) +bx01xxxxx *) +bx01xxxxx F) +0g( +1f( +bx01xxxxx a( +bx01xxxxx }( +0@( +1?( +bx01xxxxx :( +bx01xxxxx V( +0w' +1v' +bx01xxxxx q' +bx01xxxxx /( +0P' +1O' +bx01xxxxx J' +bx01xxxxx f' +0)' +1(' +bx01xxxxx #' +bx01xxxxx ?' +0`& +1_& +bx01xxxxx Z& +bx01xxxxx v& +09& +18& +bx01xxxxx 3& +bx01xxxxx O& +0p% +1o% +bx01xxxxx j% +bx01xxxxx (& +0I% +1H% +bx01xxxxx C% +bx01xxxxx _% +0"% +1!% +bx01xxxxx z$ +bx01xxxxx 8% +0Y$ +1X$ +bx01xxxxx S$ +bx01xxxxx o$ +02$ +11$ +bx01xxxxx ,$ +bx01xxxxx H$ +0i# +1h# +bx01xxxxx c# +bx01xxxxx !$ +0B# +1A# +bx01xxxxx <# +bx01xxxxx X# +0y" +1x" +bx01xxxxx s" +bx01xxxxx 1# +0R" +1Q" +bx01xxxxx L" +bx01xxxxx h" +0+" +1*" +bx01xxxxx %" +bx01xxxxx A" +0b +0a +bx00xxxxx \ +bx00xxxxx x +#30000 +0f. +0d. +0a. +0_. +0[. +0Z. +0^. +0U. +0R. +0X. +b110x0xx N. +b110x0xx j. +0@. +0>. +0;. +09. +05. +04. +08. +0/. +0,. +02. +b110x0xx (. +b110x0xx D. +0w- +0u- +0r- +0p- +0l- +0k- +0o- +0f- +0c- +0i- +b110x0xx _- +b110x0xx {- +0P- +0N- +0K- +0I- +0E- +0D- +0H- +0?- +0<- +0B- +b110x0xx 8- +b110x0xx T- +0)- +0'- +0$- +0"- +0|, +0{, +0!- +0v, +0s, +0y, +b110x0xx o, +b110x0xx -- +0`, +0^, +0[, +0Y, +0U, +0T, +0X, +0O, +0L, +0R, +b110x0xx H, +b110x0xx d, +09, +07, +04, +02, +0., +0-, +01, +0(, +0%, +0+, +b110x0xx !, +b110x0xx =, +0p+ +0n+ +0k+ +0i+ +0e+ +0d+ +0h+ +0_+ +0\+ +0b+ +b110x0xx X+ +b110x0xx t+ +0I+ +0G+ +0D+ +0B+ +0>+ +0=+ +0A+ +08+ +05+ +0;+ +b110x0xx 1+ +b110x0xx M+ +0"+ +0~* +0{* +0y* +0u* +0t* +0x* +0o* +0l* +0r* +b110x0xx h* +b110x0xx &+ +0Y* +0W* +0T* +0R* +0N* +0M* +0Q* +0H* +0E* +0K* +b110x0xx A* +b110x0xx ]* +02* +00* +0-* +0+* +0'* +0&* +0** +0!* +0|) +0$* +b110x0xx x) +b110x0xx 6* +0i) +1g) +0d) +1b) +1^) +0]) +1a) +1X) +0U) +1[) +b1010x1xx Q) +b1010x1xx m) +0B) +1@) +0=) +1;) +17) +06) +1:) +11) +0.) +14) +b1010x1xx *) +b1010x1xx F) +0y( +1w( +0t( +1r( +1n( +0m( +1q( +1h( +0e( +1k( +b1010x1xx a( +b1010x1xx }( +0R( +1P( +0M( +1K( +1G( +0F( +1J( +1A( +0>( +1D( +b1010x1xx :( +b1010x1xx V( +0+( +1)( +0&( +1$( +1~' +0}' +1#( +1x' +0u' +1{' +b1010x1xx q' +b1010x1xx /( +0b' +1`' +0]' +1[' +1W' +0V' +1Z' +1Q' +0N' +1T' +b1010x1xx J' +b1010x1xx f' +0;' +19' +06' +14' +10' +0/' +13' +1*' +0'' +1-' +b1010x1xx #' +b1010x1xx ?' +0r& +1p& +0m& +1k& +1g& +0f& +1j& +1a& +0^& +1d& +b1010x1xx Z& +b1010x1xx v& +0K& +1I& +0F& +1D& +1@& +0?& +1C& +1:& +07& +1=& +b1010x1xx 3& +b1010x1xx O& +0$& +1"& +0}% +1{% +1w% +0v% +1z% +1q% +0n% +1t% +b1010x1xx j% +b1010x1xx (& +0[% +1Y% +0V% +1T% +1P% +0O% +1S% +1J% +0G% +1M% +b1010x1xx C% +b1010x1xx _% +04% +12% +0/% +1-% +1)% +0(% +1,% +1#% +0~$ +1&% +b1010x1xx z$ +b1010x1xx 8% +0k$ +1i$ +0f$ +1d$ +1`$ +0_$ +1c$ +1Z$ +0W$ +1]$ +b1010x1xx S$ +b1010x1xx o$ +0D$ +1B$ +0?$ +1=$ +19$ +08$ +1<$ +13$ +00$ +16$ +b1010x1xx ,$ +b1010x1xx H$ +0{# +1y# +0v# +1t# +1p# +0o# +1s# +1j# +0g# +1m# +b1010x1xx c# +b1010x1xx !$ +0T# +1R# +0O# +1M# +1I# +0H# +1L# +1C# +0@# +1F# +b1010x1xx <# +b1010x1xx X# +0-# +1+# +0(# +1&# +1"# +0!# +1%# +1z" +0w" +1}" +b1010x1xx s" +b1010x1xx 1# +0d" +1b" +0_" +1]" +1Y" +0X" +1\" +1S" +0P" +1V" +b1010x1xx L" +b1010x1xx h" +0=" +1;" +08" +16" +12" +01" +15" +1," +0)" +1/" +b1010x1xx %" +b1010x1xx A" +0v +0r +0q +0m +0k +1i +1h +0l +1c +1` +0f +b1001x0xx \ +b1001x0xx x +#40000 +1g. +1b. +1A. +1<. +1x- +1s- +1Q- +1L- +1*- +1%- +1a, +1\, +1:, +15, +1q+ +1l+ +1J+ +1E+ +1#+ +1|* +1Z* +1U* +13* +1.* +0j) +0e) +0C) +0>) +0z( +0u( +0S( +0N( +0,( +0'( +0c' +0^' +0<' +07' +0s& +0n& +0L& +0G& +0%& +0~% +0\% +0W% +05% +00% +0l$ +0g$ +0E$ +0@$ +0|# +0w# +0U# +0P# +0.# +0)# +0e" +0`" +0>" +09" +1u +1p +0o +0t +#60000 +0o. +bx0 " +bx0 R +b0x000 1 +b0x000 n. +0]. +0\. +07. +06. +0n- +0m- +0G- +0F- +0~, +0}, +0W, +0V, +00, +0/, +0g+ +0f+ +0@+ +0?+ +0w* +0v* +0P* +0O* +0)* +0(* +0_) +08) +0o( +0H( +0!( +0X' +01' +0h& +0A& +0x% +0Q% +0*% +0a$ +0:$ +0q# +0J# +0## +0Z" +03" +0d +0e +1j +0^ +bx0 Q +b10010000 \ +b10010000 x +0_ +#70000 +0k) +0f) +0D) +0?) +0{( +0v( +0T( +0O( +0-( +0(( +0d' +0_' +0=' +08' +0t& +0o& +0M& +0H& +0&& +0!& +0]% +0X% +06% +01% +0m$ +0h$ +0F$ +0A$ +0}# +0x# +0V# +0Q# +0/# +0*# +0f" +0a" +0?" +0:" +0Z +b0x [ +b0x z +0Y +#90000 +0I. +0". +0Y- +02- +0i, +0B, +0y+ +0R+ +0++ +0b* +0;* +1} +0! +b0x0x0 M. +b0x0x0 l. +0J. +0#. +b0x0x0 '. +b0x0x0 F. +0$. +0Z- +b0x0x0 ^- +b0x0x0 }- +0[- +03- +b0x0x0 7- +b0x0x0 V- +04- +0j, +b0x0x0 n, +b0x0x0 /- +0k, +0C, +b0x0x0 G, +b0x0x0 f, +0D, +0z+ +b0x0x0 ~+ +b0x0x0 ?, +0{+ +0S+ +b0x0x0 W+ +b0x0x0 v+ +0T+ +0,+ +b0x0x0 0+ +b0x0x0 O+ +0-+ +0c* +b0x0x0 g* +b0x0x0 (+ +0d* +0<* +b0x0x0 @* +b0x0x0 _* +0=* +0s) +b0x0x0 w) +b0x0x0 8* +0t) +1W +bz00000000000xxxxxxxxxxxxxxxxxxx1 . +b1 [ +b1 z +1X +#100000 +1Y. +13. +1j- +1C- +1z, +1S, +1,, +1c+ +1<+ +1s* +1L* +00" +0N) +b0x P) +b0x o) +0O) +0') +b0x )) +b0x H) +0() +0^( +b0x `( +b0x !) +0_( +07( +b0x 9( +b0x X( +08( +0n' +b0x p' +b0x 1( +0o' +0G' +b0x I' +b0x h' +0H' +0~& +b0x "' +b0x A' +0!' +0W& +b0x Y& +b0x x& +0X& +00& +b0x 2& +b0x Q& +01& +0g% +b0x i% +b0x *& +0h% +0@% +b0x B% +b0x a% +0A% +0w$ +b0x y$ +b0x :% +0x$ +0P$ +b0x R$ +b0x q$ +0Q$ +0)$ +b0x +$ +b0x J$ +0*$ +0`# +b0x b# +b0x #$ +0a# +09# +b0x ;# +b0x Z# +0:# +0p" +b0x r" +b0x 3# +0q" +0I" +b0x K" +b0x j" +0J" +0"" +b0x $" +b0x C" +0#" +#120000 +0n/ +b0 J +b0 m/ +0k/ +b0 I +b0 j/ +0h/ +b0 G +b0 g/ +0e/ +b0 F +b0 d/ +0b/ +b0 E +b0 a/ +0_/ +b0 D +b0 ^/ +0\/ +b0 C +b0 [/ +0Y/ +b0 B +b0 X/ +0V/ +b0 A +b0 U/ +0S/ +b0 @ +b0 R/ +0P/ +b0 ? +b0 O/ +0r. +b0xxxxxxxxxxxxxxxxxxx00 " +b0xxxxxxxxxxxxxxxxxxx00 R +b0 2 +b0 q. +0P. +0Q. +0W. +0c. +b1100000 N. +b1100000 j. +0V. +0h. +0*. +0+. +01. +0=. +b1100000 (. +b1100000 D. +00. +0B. +0a- +0b- +0h- +0t- +b1100000 _- +b1100000 {- +0g- +0y- +0:- +0;- +0A- +0M- +b1100000 8- +b1100000 T- +0@- +0R- +0q, +0r, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +0J, +0K, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +0#, +0$, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +0Z+ +0[+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +03+ +04+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0j* +0k* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +0C* +0D* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +0'" +b0xxxxxxxxxxxxxxxxxxx00 Q +0(" +14" +0." +b10100100 %" +b10100100 A" +0-" +0# +#150000 +1F" +0S +b0 1 +b0 n. +0L. +b0 M. +b0 l. +0K. +0&. +b0 '. +b0 F. +0%. +0]- +b0 ^- +b0 }- +0\- +06- +b0 7- +b0 V- +05- +0m, +b0 n, +b0 /- +0l, +0F, +b0 G, +b0 f, +0E, +0}+ +b0 ~+ +b0 ?, +0|+ +0V+ +b0 W+ +b0 v+ +0U+ +0/+ +b0 0+ +b0 O+ +0.+ +0f* +b0 g* +b0 (+ +0e* +0?* +b0 @* +b0 _* +0>* +1~ +bz00000000000xxxxxxxxxxxxxxxxxx11 . +b1 $" +b1 C" +1!" +#160000 +0W" +#180000 +0u. +b0xxxxxxxxxxxxxxxxxx000 " +b0xxxxxxxxxxxxxxxxxx000 R +b0 = +b0 t. +0N" +b0xxxxxxxxxxxxxxxxxx000 Q +0O" +1[" +0U" +b10100100 L" +b10100100 h" +0T" +#210000 +1m" +1G" +bz00000000000xxxxxxxxxxxxxxxxx111 . +b1 K" +b1 j" +1H" +#220000 +0~" +#240000 +0x. +b0xxxxxxxxxxxxxxxxx0000 " +b0xxxxxxxxxxxxxxxxx0000 R +b0 H +b0 w. +0u" +b0xxxxxxxxxxxxxxxxx0000 Q +0v" +1$# +0|" +b10100100 s" +b10100100 1# +0{" +#270000 +16# +1n" +bz00000000000xxxxxxxxxxxxxxxx1111 . +b1 r" +b1 3# +1o" +#280000 +0G# +#300000 +0{. +b0xxxxxxxxxxxxxxxx00000 " +b0xxxxxxxxxxxxxxxx00000 R +b0 K +b0 z. +0># +b0xxxxxxxxxxxxxxxx00000 Q +0?# +1K# +0E# +b10100100 <# +b10100100 X# +0D# +#330000 +1]# +17# +bz00000000000xxxxxxxxxxxxxxx11111 . +b1 ;# +b1 Z# +18# +#340000 +0n# +#360000 +0~. +b0xxxxxxxxxxxxxxx000000 " +b0xxxxxxxxxxxxxxx000000 R +b0 L +b0 }. +0e# +b0xxxxxxxxxxxxxxx000000 Q +0f# +1r# +0l# +b10100100 c# +b10100100 !$ +0k# +#390000 +1&$ +1^# +bz00000000000xxxxxxxxxxxxxx111111 . +b1 b# +b1 #$ +1_# +#400000 +07$ +#420000 +0#/ +b0xxxxxxxxxxxxxx0000000 " +b0xxxxxxxxxxxxxx0000000 R +b0 M +b0 "/ +0.$ +b0xxxxxxxxxxxxxx0000000 Q +0/$ +1;$ +05$ +b10100100 ,$ +b10100100 H$ +04$ +#450000 +1M$ +1'$ +bz00000000000xxxxxxxxxxxxx1111111 . +b1 +$ +b1 J$ +1($ +#460000 +0^$ +#480000 +0&/ +b0xxxxxxxxxxxxx00000000 " +b0xxxxxxxxxxxxx00000000 R +b0 N +b0 %/ +0U$ +b0xxxxxxxxxxxxx00000000 Q +0V$ +1b$ +0\$ +b10100100 S$ +b10100100 o$ +0[$ +#510000 +1t$ +1N$ +bz00000000000xxxxxxxxxxxx11111111 . +b1 R$ +b1 q$ +1O$ +#520000 +0'% +#540000 +0)/ +b0xxxxxxxxxxxx000000000 " +b0xxxxxxxxxxxx000000000 R +b0 O +b0 (/ +0|$ +b0xxxxxxxxxxxx000000000 Q +0}$ +1+% +0%% +b10100100 z$ +b10100100 8% +0$% +#570000 +1=% +1u$ +bz00000000000xxxxxxxxxxx111111111 . +b1 y$ +b1 :% +1v$ +#580000 +0N% +#600000 +0,/ +b0xxxxxxxxxxx0000000000 " +b0xxxxxxxxxxx0000000000 R +b0 P +b0 +/ +0E% +b0xxxxxxxxxxx0000000000 Q +0F% +1R% +0L% +b10100100 C% +b10100100 _% +0K% +#630000 +1d% +1>% +bz00000000000xxxxxxxxxx1111111111 . +b1 B% +b1 a% +1?% +#640000 +0u% +#660000 +0// +b0xxxxxxxxxx00000000000 " +b0xxxxxxxxxx00000000000 R +b0 3 +b0 ./ +0l% +b0xxxxxxxxxx00000000000 Q +0m% +1y% +0s% +b10100100 j% +b10100100 (& +0r% +#690000 +1-& +1e% +bz00000000000xxxxxxxxx11111111111 . +b1 i% +b1 *& +1f% +#700000 +0>& +#720000 +02/ +b0xxxxxxxxx000000000000 " +b0xxxxxxxxx000000000000 R +b0 4 +b0 1/ +05& +b0xxxxxxxxx000000000000 Q +06& +1B& +0<& +b10100100 3& +b10100100 O& +0;& +#750000 +1T& +1.& +bz00000000000xxxxxxxx111111111111 . +b1 2& +b1 Q& +1/& +#760000 +0e& +#780000 +05/ +b0xxxxxxxx0000000000000 " +b0xxxxxxxx0000000000000 R +b0 5 +b0 4/ +0\& +b0xxxxxxxx0000000000000 Q +0]& +1i& +0c& +b10100100 Z& +b10100100 v& +0b& +#810000 +1{& +1U& +bz00000000000xxxxxxx1111111111111 . +b1 Y& +b1 x& +1V& +#820000 +0.' +#840000 +08/ +b0xxxxxxx00000000000000 " +b0xxxxxxx00000000000000 R +b0 6 +b0 7/ +0%' +b0xxxxxxx00000000000000 Q +0&' +12' +0,' +b10100100 #' +b10100100 ?' +0+' +#870000 +1D' +1|& +bz00000000000xxxxxx11111111111111 . +b1 "' +b1 A' +1}& +#880000 +0U' +#900000 +0;/ +b0xxxxxx000000000000000 " +b0xxxxxx000000000000000 R +b0 7 +b0 :/ +0L' +b0xxxxxx000000000000000 Q +0M' +1Y' +0S' +b10100100 J' +b10100100 f' +0R' +#930000 +1k' +1E' +bz00000000000xxxxx111111111111111 . +b1 I' +b1 h' +1F' +#940000 +0|' +#960000 +0>/ +b0xxxxx0000000000000000 " +b0xxxxx0000000000000000 R +b0 8 +b0 =/ +0s' +b0xxxxx0000000000000000 Q +0t' +1"( +0z' +b10100100 q' +b10100100 /( +0y' +#990000 +14( +1l' +bz00000000000xxxx1111111111111111 . +b1 p' +b1 1( +1m' +#1000000 +0E( +#1020000 +0A/ +b0xxxx00000000000000000 " +b0xxxx00000000000000000 R +b0 9 +b0 @/ +0<( +b0xxxx00000000000000000 Q +0=( +1I( +0C( +b10100100 :( +b10100100 V( +0B( +#1050000 +1[( +15( +bz00000000000xxx11111111111111111 . +b1 9( +b1 X( +16( +#1060000 +0l( +#1080000 +0D/ +b0xxx000000000000000000 " +b0xxx000000000000000000 R +b0 : +b0 C/ +0c( +b0xxx000000000000000000 Q +0d( +1p( +0j( +b10100100 a( +b10100100 }( +0i( +#1110000 +1$) +1\( +bz00000000000xx111111111111111111 . +b1 `( +b1 !) +1]( +#1120000 +05) +#1140000 +0G/ +b0xx0000000000000000000 " +b0xx0000000000000000000 R +b0 ; +b0 F/ +0,) +b0xx0000000000000000000 Q +0-) +19) +03) +b10100100 *) +b10100100 F) +02) +#1170000 +1K) +1%) +bz00000000000x1111111111111111111 . +b1 )) +b1 H) +1&) +#1180000 +0\) +#1200000 +0J/ +b0x00000000000000000000 " +b0x00000000000000000000 R +b0 < +b0 I/ +0S) +b0x00000000000000000000 Q +0T) +1`) +0Z) +b10100100 Q) +b10100100 m) +0Y) +#1230000 +1r) +1L) +bz0000000000011111111111111111111 . +b1 P) +b1 o) +1M) +#1240000 +0%* +#1260000 +1M/ +b100000000000000000000 " +b100000000000000000000 R +b11110111 > +b11110111 L/ +1z) +b100000000000000000000 Q +1{) +1#* +1/* +b1101011 x) +b1101011 6* +1"* +14* +#1290000 +1v) +b1010 w) +b1010 8* +1u) +#2000000 +0U +1p) +19* +1`* +1)+ +1P+ +1w+ +1@, +1g, +10- +1W- +1~- +1G. +b0 & +b0 0 +b11111111111111111111111111111111 % +b11111111111111111111111111111111 / +b1 ( +b1 + +1* +b1 , +b1 ) +#2010000 +0,* +01* +0S* +0X* +0z* +0!+ +0C+ +0H+ +0j+ +0o+ +03, +08, +0Z, +0_, +0#- +0(- +0J- +0O- +0q- +0v- +0:. +0?. +0`. +0e. +#2020000 +1a +b10110000 \ +b10110000 x +0~) +b101011 x) +b101011 6* +0G* +b100000 A* +b100000 ]* +0n* +b100000 h* +b100000 &+ +07+ +b100000 1+ +b100000 M+ +0^+ +b100000 X+ +b100000 t+ +0', +b100000 !, +b100000 =, +0N, +b100000 H, +b100000 d, +0u, +b100000 o, +b100000 -- +0>- +b100000 8- +b100000 T- +0e- +b100000 _- +b100000 {- +0.. +b100000 (. +b100000 D. +0T. +b100000 N. +b100000 j. +#2030000 +1f +0` +b10100100 \ +b10100100 x +1l +0h +1m +1r +1$* +1!* +b10101111 x) +b10101111 6* +1** +1'* +1+* +10* +1K* +1H* +b10100100 A* +b10100100 ]* +1Q* +1N* +1R* +1W* +1r* +1o* +b10100100 h* +b10100100 &+ +1x* +1u* +1y* +1~* +1;+ +18+ +b10100100 1+ +b10100100 M+ +1A+ +1>+ +1B+ +1G+ +1b+ +1_+ +b10100100 X+ +b10100100 t+ +1h+ +1e+ +1i+ +1n+ +1+, +1(, +b10100100 !, +b10100100 =, +11, +1., +12, +17, +1R, +1O, +b10100100 H, +b10100100 d, +1X, +1U, +1Y, +1^, +1y, +1v, +b10100100 o, +b10100100 -- +1!- +1|, +1"- +1'- +1B- +1?- +b10100100 8- +b10100100 T- +1H- +1E- +1I- +1N- +1i- +1f- +b10100100 _- +b10100100 {- +1o- +1l- +1p- +1u- +12. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +1>. +1X. +1U. +b10100100 N. +b10100100 j. +1^. +1[. +1_. +1d. +#2040000 +0p +0u +0.* +03* +0U* +0Z* +0|* +0#+ +0E+ +0J+ +0l+ +0q+ +05, +0:, +0\, +0a, +0%- +0*- +0L- +0Q- +0s- +0x- +0<. +0A. +0b. +0g. +#2060000 +1o. +b11110111 1 +b11110111 n. +0M/ +b0 > +b0 L/ +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b11110111 E +b11110111 a/ +1e/ +b11110111 F +b11110111 d/ +1h/ +b11110111 G +b11110111 g/ +1k/ +b11110111 I +b11110111 j/ +1n/ +b11111111111000000000000000000001 " +b11111111111000000000000000000001 R +b11110111 J +b11110111 m/ +1^ +1_ +0j +1e +b10101111 \ +b10101111 x +1d +0z) +0{) +1)* +0#* +b10100100 x) +b10100100 6* +0"* +1C* +1D* +1J* +b10101111 A* +b10101111 ]* +1I* +1j* +1k* +1q* +b10101111 h* +b10101111 &+ +1p* +13+ +14+ +1:+ +b10101111 1+ +b10101111 M+ +19+ +1Z+ +1[+ +1a+ +b10101111 X+ +b10101111 t+ +1`+ +1#, +1$, +1*, +b10101111 !, +b10101111 =, +1), +1J, +1K, +1Q, +b10101111 H, +b10101111 d, +1P, +1q, +1r, +1x, +b10101111 o, +b10101111 -- +1w, +1:- +1;- +1A- +b10101111 8- +b10101111 T- +1@- +1a- +1b- +1h- +b10101111 _- +b10101111 {- +1g- +1*. +1+. +11. +b10101111 (. +b10101111 D. +10. +1P. +b11111111111000000000000000000001 Q +1Q. +1W. +b10101111 N. +b10101111 j. +1V. +#2070000 +0/* +04* +#2090000 +0} +1;* +1S +b11111111 1 +b11111111 n. +0W +b0 [ +b0 z +0X +1s) +bz0000000000111111111111111111110 . +b1011 w) +b1011 8* +1t) +#2100000 +10" +0L* +0v) +b1 w) +b1 8* +0u) +#2120000 +1r. +b11110111 2 +b11110111 q. +0P/ +b11111111110000000000000000000011 " +b11111111110000000000000000000011 R +b0 ? +b0 O/ +1'" +1(" +04" +1." +b10101111 %" +b10101111 A" +1-" +0C* +b11111111110000000000000000000011 Q +0D* +1P* +0J* +b10100100 A* +b10100100 ]* +0I* +#2150000 +0F" +1b* +0~ +b0 $" +b0 C" +0!" +1<* +bz0000000001111111111111111111100 . +b1 @* +b1 _* +1=* +#2160000 +1W" +0s* +#2180000 +1u. +b11110111 = +b11110111 t. +0S/ +b11111111100000000000000000000111 " +b11111111100000000000000000000111 R +b0 @ +b0 R/ +1N" +1O" +0[" +1U" +b10101111 L" +b10101111 h" +1T" +0j* +b11111111100000000000000000000111 Q +0k* +1w* +0q* +b10100100 h* +b10100100 &+ +0p* +#2210000 +0m" +1++ +0G" +b0 K" +b0 j" +0H" +1c* +bz0000000011111111111111111111000 . +b1 g* +b1 (+ +1d* +#2220000 +1~" +0<+ +#2240000 +1x. +b11110111 H +b11110111 w. +0V/ +b11111111000000000000000000001111 " +b11111111000000000000000000001111 R +b0 A +b0 U/ +1u" +1v" +0$# +1|" +b10101111 s" +b10101111 1# +1{" +03+ +b11111111000000000000000000001111 Q +04+ +1@+ +0:+ +b10100100 1+ +b10100100 M+ +09+ +#2270000 +06# +1R+ +0n" +b0 r" +b0 3# +0o" +1,+ +bz0000000111111111111111111110000 . +b1 0+ +b1 O+ +1-+ +#2280000 +1G# +0c+ +#2300000 +1{. +b11110111 K +b11110111 z. +0Y/ +b11111110000000000000000000011111 " +b11111110000000000000000000011111 R +b0 B +b0 X/ +1># +1?# +0K# +1E# +b10101111 <# +b10101111 X# +1D# +0Z+ +b11111110000000000000000000011111 Q +0[+ +1g+ +0a+ +b10100100 X+ +b10100100 t+ +0`+ +#2330000 +0]# +1y+ +07# +b0 ;# +b0 Z# +08# +1S+ +bz0000001111111111111111111100000 . +b1 W+ +b1 v+ +1T+ +#2340000 +1n# +0,, +#2360000 +1~. +b11110111 L +b11110111 }. +0\/ +b11111100000000000000000000111111 " +b11111100000000000000000000111111 R +b0 C +b0 [/ +1e# +1f# +0r# +1l# +b10101111 c# +b10101111 !$ +1k# +0#, +b11111100000000000000000000111111 Q +0$, +10, +0*, +b10100100 !, +b10100100 =, +0), +#2390000 +0&$ +1B, +0^# +b0 b# +b0 #$ +0_# +1z+ +bz0000011111111111111111111000000 . +b1 ~+ +b1 ?, +1{+ +#2400000 +17$ +0S, +#2420000 +1#/ +b11110111 M +b11110111 "/ +0_/ +b11111000000000000000000001111111 " +b11111000000000000000000001111111 R +b0 D +b0 ^/ +1.$ +1/$ +0;$ +15$ +b10101111 ,$ +b10101111 H$ +14$ +0J, +b11111000000000000000000001111111 Q +0K, +1W, +0Q, +b10100100 H, +b10100100 d, +0P, +#2450000 +0M$ +1i, +0'$ +b0 +$ +b0 J$ +0($ +1C, +bz0000111111111111111111110000000 . +b1 G, +b1 f, +1D, +#2460000 +1^$ +0z, +#2480000 +1&/ +b11110111 N +b11110111 %/ +0b/ +b11110000000000000000000011111111 " +b11110000000000000000000011111111 R +b0 E +b0 a/ +1U$ +1V$ +0b$ +1\$ +b10101111 S$ +b10101111 o$ +1[$ +0q, +b11110000000000000000000011111111 Q +0r, +1~, +0x, +b10100100 o, +b10100100 -- +0w, +#2510000 +0t$ +12- +0N$ +b0 R$ +b0 q$ +0O$ +1j, +bz0001111111111111111111100000000 . +b1 n, +b1 /- +1k, +#2520000 +1'% +0C- +#2540000 +1)/ +b11110111 O +b11110111 (/ +0e/ +b11100000000000000000000111111111 " +b11100000000000000000000111111111 R +b0 F +b0 d/ +1|$ +1}$ +0+% +1%% +b10101111 z$ +b10101111 8% +1$% +0:- +b11100000000000000000000111111111 Q +0;- +1G- +0A- +b10100100 8- +b10100100 T- +0@- +#2570000 +0=% +1Y- +0u$ +b0 y$ +b0 :% +0v$ +13- +bz0011111111111111111111000000000 . +b1 7- +b1 V- +14- +#2580000 +1N% +0j- +#2600000 +1,/ +b11110111 P +b11110111 +/ +0h/ +b11000000000000000000001111111111 " +b11000000000000000000001111111111 R +b0 G +b0 g/ +1E% +1F% +0R% +1L% +b10101111 C% +b10101111 _% +1K% +0a- +b11000000000000000000001111111111 Q +0b- +1n- +0h- +b10100100 _- +b10100100 {- +0g- +#2630000 +0d% +1". +0>% +b0 B% +b0 a% +0?% +1Z- +bz0111111111111111111110000000000 . +b1 ^- +b1 }- +1[- +#2640000 +1u% +03. +#2660000 +1// +b11110111 3 +b11110111 ./ +0k/ +b10000000000000000000011111111111 " +b10000000000000000000011111111111 R +b0 I +b0 j/ +1l% +1m% +0y% +1s% +b10101111 j% +b10101111 (& +1r% +0*. +b10000000000000000000011111111111 Q +0+. +17. +01. +b10100100 (. +b10100100 D. +00. +#2690000 +0-& +1I. +0e% +b0 i% +b0 *& +0f% +1#. +bz1111111111111111111100000000000 . +b1 '. +b1 F. +1$. +#2700000 +1>& +0Y. +#2720000 +12/ +b11110111 4 +b11110111 1/ +0n/ +b111111111111 " +b111111111111 R +b0 J +b0 m/ +15& +16& +0B& +1<& +b10101111 3& +b10101111 O& +1;& +0P. +b111111111111 Q +0Q. +1]. +0W. +b10100100 N. +b10100100 j. +0V. +1# +#2750000 +0T& +0.& +bz1111111111111111111000000000000 . +b0 2& +b0 Q& +0/& +1! +b1 M. +b1 l. +1J. +#2760000 +1e& +#2780000 +15/ +b1111111111111 " +b1111111111111 R +b11110111 5 +b11110111 4/ +1\& +b1111111111111 Q +1]& +0i& +1c& +b10101111 Z& +b10101111 v& +1b& +0# +#2810000 +0{& +0U& +bz1111111111111111110000000000000 . +b0 Y& +b0 x& +0V& +0S +b11110111 1 +b11110111 n. +#2820000 +1.' +#2840000 +18/ +b11111111111111 " +b11111111111111 R +b11110111 6 +b11110111 7/ +1%' +b11111111111111 Q +1&' +02' +1,' +b10101111 #' +b10101111 ?' +1+' +#2870000 +0D' +0|& +bz1111111111111111100000000000000 . +b0 "' +b0 A' +0}& +#2880000 +1U' +#2900000 +1;/ +b111111111111111 " +b111111111111111 R +b11110111 7 +b11110111 :/ +1L' +b111111111111111 Q +1M' +0Y' +1S' +b10101111 J' +b10101111 f' +1R' +#2930000 +0k' +0E' +bz1111111111111111000000000000000 . +b0 I' +b0 h' +0F' +#2940000 +1|' +#2960000 +1>/ +b1111111111111111 " +b1111111111111111 R +b11110111 8 +b11110111 =/ +1s' +b1111111111111111 Q +1t' +0"( +1z' +b10101111 q' +b10101111 /( +1y' +#2990000 +04( +0l' +bz1111111111111110000000000000000 . +b0 p' +b0 1( +0m' +#3000000 +1E( +#3020000 +1A/ +b11111111111111111 " +b11111111111111111 R +b11110111 9 +b11110111 @/ +1<( +b11111111111111111 Q +1=( +0I( +1C( +b10101111 :( +b10101111 V( +1B( +#3050000 +0[( +05( +bz1111111111111100000000000000000 . +b0 9( +b0 X( +06( +#3060000 +1l( +#3080000 +1D/ +b111111111111111111 " +b111111111111111111 R +b11110111 : +b11110111 C/ +1c( +b111111111111111111 Q +1d( +0p( +1j( +b10101111 a( +b10101111 }( +1i( +#3110000 +0$) +0\( +bz1111111111111000000000000000000 . +b0 `( +b0 !) +0]( +#3120000 +15) +#3140000 +1G/ +b1111111111111111111 " +b1111111111111111111 R +b11110111 ; +b11110111 F/ +1,) +b1111111111111111111 Q +1-) +09) +13) +b10101111 *) +b10101111 F) +12) +#3170000 +0K) +0%) +bz1111111111110000000000000000000 . +b0 )) +b0 H) +0&) +#3180000 +1\) +#3200000 +1J/ +b11111111111111111111 " +b11111111111111111111 R +b11110111 < +b11110111 I/ +1S) +b11111111111111111111 Q +1T) +0`) +1Z) +b10101111 Q) +b10101111 m) +1Y) +#3230000 +0r) +0L) +bz1111111111100000000000000000000 . +b0 P) +b0 o) +0M) +#3240000 +1%* +#3260000 +1M/ +b111111111111111111111 " +b111111111111111111111 R +b11110111 > +b11110111 L/ +1z) +b111111111111111111111 Q +1{) +0)* +1#* +b10101111 x) +b10101111 6* +1"* +#3290000 +0;* +0s) +bz1111111111000000000000000000000 . +b0 w) +b0 8* +0t) +#3300000 +1L* +#3320000 +1P/ +b1111111111111111111111 " +b1111111111111111111111 R +b11110111 ? +b11110111 O/ +1C* +b1111111111111111111111 Q +1D* +0P* +1J* +b10101111 A* +b10101111 ]* +1I* +#3350000 +0b* +0<* +bz1111111110000000000000000000000 . +b0 @* +b0 _* +0=* +#3360000 +1s* +#3380000 +1S/ +b11111111111111111111111 " +b11111111111111111111111 R +b11110111 @ +b11110111 R/ +1j* +b11111111111111111111111 Q +1k* +0w* +1q* +b10101111 h* +b10101111 &+ +1p* +#3410000 +0++ +0c* +bz1111111100000000000000000000000 . +b0 g* +b0 (+ +0d* +#3420000 +1<+ +#3440000 +1V/ +b111111111111111111111111 " +b111111111111111111111111 R +b11110111 A +b11110111 U/ +13+ +b111111111111111111111111 Q +14+ +0@+ +1:+ +b10101111 1+ +b10101111 M+ +19+ +#3470000 +0R+ +0,+ +bz1111111000000000000000000000000 . +b0 0+ +b0 O+ +0-+ +#3480000 +1c+ +#3500000 +1Y/ +b1111111111111111111111111 " +b1111111111111111111111111 R +b11110111 B +b11110111 X/ +1Z+ +b1111111111111111111111111 Q +1[+ +0g+ +1a+ +b10101111 X+ +b10101111 t+ +1`+ +#3530000 +0y+ +0S+ +bz1111110000000000000000000000000 . +b0 W+ +b0 v+ +0T+ +#3540000 +1,, +#3560000 +1\/ +b11111111111111111111111111 " +b11111111111111111111111111 R +b11110111 C +b11110111 [/ +1#, +b11111111111111111111111111 Q +1$, +00, +1*, +b10101111 !, +b10101111 =, +1), +#3590000 +0B, +0z+ +bz1111100000000000000000000000000 . +b0 ~+ +b0 ?, +0{+ +#3600000 +1S, +#3620000 +1_/ +b111111111111111111111111111 " +b111111111111111111111111111 R +b11110111 D +b11110111 ^/ +1J, +b111111111111111111111111111 Q +1K, +0W, +1Q, +b10101111 H, +b10101111 d, +1P, +#3650000 +0i, +0C, +bz1111000000000000000000000000000 . +b0 G, +b0 f, +0D, +#3660000 +1z, +#3680000 +1b/ +b1111111111111111111111111111 " +b1111111111111111111111111111 R +b11110111 E +b11110111 a/ +1q, +b1111111111111111111111111111 Q +1r, +0~, +1x, +b10101111 o, +b10101111 -- +1w, +#3710000 +02- +0j, +bz1110000000000000000000000000000 . +b0 n, +b0 /- +0k, +#3720000 +1C- +#3740000 +1e/ +b11111111111111111111111111111 " +b11111111111111111111111111111 R +b11110111 F +b11110111 d/ +1:- +b11111111111111111111111111111 Q +1;- +0G- +1A- +b10101111 8- +b10101111 T- +1@- +#3770000 +0Y- +03- +bz1100000000000000000000000000000 . +b0 7- +b0 V- +04- +#3780000 +1j- +#3800000 +1h/ +b111111111111111111111111111111 " +b111111111111111111111111111111 R +b11110111 G +b11110111 g/ +1a- +b111111111111111111111111111111 Q +1b- +0n- +1h- +b10101111 _- +b10101111 {- +1g- +#3830000 +0". +0Z- +bz1000000000000000000000000000000 . +b0 ^- +b0 }- +0[- +#3840000 +13. +#3860000 +1k/ +b1111111111111111111111111111111 " +b1111111111111111111111111111111 R +b11110111 I +b11110111 j/ +1*. +b1111111111111111111111111111111 Q +1+. +07. +11. +b10101111 (. +b10101111 D. +10. +#3890000 +0I. +0#. +bz0000000000000000000000000000000 . +b0 '. +b0 F. +0$. +#3900000 +1Y. +#3920000 +1n/ +b11111111111111111111111111111111 " +b11111111111111111111111111111111 R +b11110111 J +b11110111 m/ +1P. +b11111111111111111111111111111111 Q +1Q. +0]. +1W. +b10101111 N. +b10101111 j. +1V. +1# +#3950000 +0! +b0 M. +b0 l. +0J. +#3980000 +0# +#4000000 +1U +b1 & +b1 0 +b10 ( +b10 ) +#4010000 +1S +b11111111 1 +b11111111 n. +#4020000 +0a +b10001111 \ +b10001111 x +#4030000 +0f +1` +b10011011 \ +b10011011 x +0l +1h +0m +0r +#4040000 +1p +1u +#4060000 +0o. +b11111111111111111111111111111110 " +b11111111111111111111111111111110 R +b1000 1 +b1000 n. +0^ +b11111111111111111111111111111110 Q +0_ +1j +0e +b10010000 \ +b10010000 x +0d +#4090000 +1} +1W +bz0000000000000000000000000000001 . +b1 [ +b1 z +1X +#4100000 +00" +#4120000 +0r. +b11111111111111111111111111111100 " +b11111111111111111111111111111100 R +b0 2 +b0 q. +0'" +b11111111111111111111111111111100 Q +0(" +14" +0." +b10100100 %" +b10100100 A" +0-" +#4150000 +1F" +1~ +bz0000000000000000000000000000011 . +b1 $" +b1 C" +1!" +#4160000 +0W" +#4180000 +0u. +b11111111111111111111111111111000 " +b11111111111111111111111111111000 R +b0 = +b0 t. +0N" +b11111111111111111111111111111000 Q +0O" +1[" +0U" +b10100100 L" +b10100100 h" +0T" +#4210000 +1m" +1G" +bz0000000000000000000000000000111 . +b1 K" +b1 j" +1H" +#4220000 +0~" +#4240000 +0x. +b11111111111111111111111111110000 " +b11111111111111111111111111110000 R +b0 H +b0 w. +0u" +b11111111111111111111111111110000 Q +0v" +1$# +0|" +b10100100 s" +b10100100 1# +0{" +#4270000 +16# +1n" +bz0000000000000000000000000001111 . +b1 r" +b1 3# +1o" +#4280000 +0G# +#4300000 +0{. +b11111111111111111111111111100000 " +b11111111111111111111111111100000 R +b0 K +b0 z. +0># +b11111111111111111111111111100000 Q +0?# +1K# +0E# +b10100100 <# +b10100100 X# +0D# +#4330000 +1]# +17# +bz0000000000000000000000000011111 . +b1 ;# +b1 Z# +18# +#4340000 +0n# +#4360000 +0~. +b11111111111111111111111111000000 " +b11111111111111111111111111000000 R +b0 L +b0 }. +0e# +b11111111111111111111111111000000 Q +0f# +1r# +0l# +b10100100 c# +b10100100 !$ +0k# +#4390000 +1&$ +1^# +bz0000000000000000000000000111111 . +b1 b# +b1 #$ +1_# +#4400000 +07$ +#4420000 +0#/ +b11111111111111111111111110000000 " +b11111111111111111111111110000000 R +b0 M +b0 "/ +0.$ +b11111111111111111111111110000000 Q +0/$ +1;$ +05$ +b10100100 ,$ +b10100100 H$ +04$ +#4450000 +1M$ +1'$ +bz0000000000000000000000001111111 . +b1 +$ +b1 J$ +1($ +#4460000 +0^$ +#4480000 +0&/ +b11111111111111111111111100000000 " +b11111111111111111111111100000000 R +b0 N +b0 %/ +0U$ +b11111111111111111111111100000000 Q +0V$ +1b$ +0\$ +b10100100 S$ +b10100100 o$ +0[$ +#4510000 +1t$ +1N$ +bz0000000000000000000000011111111 . +b1 R$ +b1 q$ +1O$ +#4520000 +0'% +#4540000 +0)/ +b11111111111111111111111000000000 " +b11111111111111111111111000000000 R +b0 O +b0 (/ +0|$ +b11111111111111111111111000000000 Q +0}$ +1+% +0%% +b10100100 z$ +b10100100 8% +0$% +#4570000 +1=% +1u$ +bz0000000000000000000000111111111 . +b1 y$ +b1 :% +1v$ +#4580000 +0N% +#4600000 +0,/ +b11111111111111111111110000000000 " +b11111111111111111111110000000000 R +b0 P +b0 +/ +0E% +b11111111111111111111110000000000 Q +0F% +1R% +0L% +b10100100 C% +b10100100 _% +0K% +#4630000 +1d% +1>% +bz0000000000000000000001111111111 . +b1 B% +b1 a% +1?% +#4640000 +0u% +#4660000 +0// +b11111111111111111111100000000000 " +b11111111111111111111100000000000 R +b0 3 +b0 ./ +0l% +b11111111111111111111100000000000 Q +0m% +1y% +0s% +b10100100 j% +b10100100 (& +0r% +#4690000 +1-& +1e% +bz0000000000000000000011111111111 . +b1 i% +b1 *& +1f% +#4700000 +0>& +#4720000 +02/ +b11111111111111111111000000000000 " +b11111111111111111111000000000000 R +b0 4 +b0 1/ +05& +b11111111111111111111000000000000 Q +06& +1B& +0<& +b10100100 3& +b10100100 O& +0;& +#4750000 +1T& +1.& +bz0000000000000000000111111111111 . +b1 2& +b1 Q& +1/& +#4760000 +0e& +#4780000 +05/ +b11111111111111111110000000000000 " +b11111111111111111110000000000000 R +b0 5 +b0 4/ +0\& +b11111111111111111110000000000000 Q +0]& +1i& +0c& +b10100100 Z& +b10100100 v& +0b& +#4810000 +1{& +1U& +bz0000000000000000001111111111111 . +b1 Y& +b1 x& +1V& +#4820000 +0.' +#4840000 +08/ +b11111111111111111100000000000000 " +b11111111111111111100000000000000 R +b0 6 +b0 7/ +0%' +b11111111111111111100000000000000 Q +0&' +12' +0,' +b10100100 #' +b10100100 ?' +0+' +#4870000 +1D' +1|& +bz0000000000000000011111111111111 . +b1 "' +b1 A' +1}& +#4880000 +0U' +#4900000 +0;/ +b11111111111111111000000000000000 " +b11111111111111111000000000000000 R +b0 7 +b0 :/ +0L' +b11111111111111111000000000000000 Q +0M' +1Y' +0S' +b10100100 J' +b10100100 f' +0R' +#4930000 +1k' +1E' +bz0000000000000000111111111111111 . +b1 I' +b1 h' +1F' +#4940000 +0|' +#4960000 +0>/ +b11111111111111110000000000000000 " +b11111111111111110000000000000000 R +b0 8 +b0 =/ +0s' +b11111111111111110000000000000000 Q +0t' +1"( +0z' +b10100100 q' +b10100100 /( +0y' +#4990000 +14( +1l' +bz0000000000000001111111111111111 . +b1 p' +b1 1( +1m' +#5000000 +0E( +#5020000 +0A/ +b11111111111111100000000000000000 " +b11111111111111100000000000000000 R +b0 9 +b0 @/ +0<( +b11111111111111100000000000000000 Q +0=( +1I( +0C( +b10100100 :( +b10100100 V( +0B( +#5050000 +1[( +15( +bz0000000000000011111111111111111 . +b1 9( +b1 X( +16( +#5060000 +0l( +#5080000 +0D/ +b11111111111111000000000000000000 " +b11111111111111000000000000000000 R +b0 : +b0 C/ +0c( +b11111111111111000000000000000000 Q +0d( +1p( +0j( +b10100100 a( +b10100100 }( +0i( +#5110000 +1$) +1\( +bz0000000000000111111111111111111 . +b1 `( +b1 !) +1]( +#5120000 +05) +#5140000 +0G/ +b11111111111110000000000000000000 " +b11111111111110000000000000000000 R +b0 ; +b0 F/ +0,) +b11111111111110000000000000000000 Q +0-) +19) +03) +b10100100 *) +b10100100 F) +02) +#5170000 +1K) +1%) +bz0000000000001111111111111111111 . +b1 )) +b1 H) +1&) +#5180000 +0\) +#5200000 +0J/ +b11111111111100000000000000000000 " +b11111111111100000000000000000000 R +b0 < +b0 I/ +0S) +b11111111111100000000000000000000 Q +0T) +1`) +0Z) +b10100100 Q) +b10100100 m) +0Y) +#5230000 +1r) +1L) +bz0000000000011111111111111111111 . +b1 P) +b1 o) +1M) +#5240000 +0%* +#5260000 +0M/ +b11111111111000000000000000000000 " +b11111111111000000000000000000000 R +b0 > +b0 L/ +0z) +b11111111111000000000000000000000 Q +0{) +1)* +0#* +b10100100 x) +b10100100 6* +0"* +#5290000 +1;* +1s) +bz0000000000111111111111111111111 . +b1 w) +b1 8* +1t) +#5300000 +0L* +#5320000 +0P/ +b11111111110000000000000000000000 " +b11111111110000000000000000000000 R +b0 ? +b0 O/ +0C* +b11111111110000000000000000000000 Q +0D* +1P* +0J* +b10100100 A* +b10100100 ]* +0I* +#5350000 +1b* +1<* +bz0000000001111111111111111111111 . +b1 @* +b1 _* +1=* +#5360000 +0s* +#5380000 +0S/ +b11111111100000000000000000000000 " +b11111111100000000000000000000000 R +b0 @ +b0 R/ +0j* +b11111111100000000000000000000000 Q +0k* +1w* +0q* +b10100100 h* +b10100100 &+ +0p* +#5410000 +1++ +1c* +bz0000000011111111111111111111111 . +b1 g* +b1 (+ +1d* +#5420000 +0<+ +#5440000 +0V/ +b11111111000000000000000000000000 " +b11111111000000000000000000000000 R +b0 A +b0 U/ +03+ +b11111111000000000000000000000000 Q +04+ +1@+ +0:+ +b10100100 1+ +b10100100 M+ +09+ +#5470000 +1R+ +1,+ +bz0000000111111111111111111111111 . +b1 0+ +b1 O+ +1-+ +#5480000 +0c+ +#5500000 +0Y/ +b11111110000000000000000000000000 " +b11111110000000000000000000000000 R +b0 B +b0 X/ +0Z+ +b11111110000000000000000000000000 Q +0[+ +1g+ +0a+ +b10100100 X+ +b10100100 t+ +0`+ +#5530000 +1y+ +1S+ +bz0000001111111111111111111111111 . +b1 W+ +b1 v+ +1T+ +#5540000 +0,, +#5560000 +0\/ +b11111100000000000000000000000000 " +b11111100000000000000000000000000 R +b0 C +b0 [/ +0#, +b11111100000000000000000000000000 Q +0$, +10, +0*, +b10100100 !, +b10100100 =, +0), +#5590000 +1B, +1z+ +bz0000011111111111111111111111111 . +b1 ~+ +b1 ?, +1{+ +#5600000 +0S, +#5620000 +0_/ +b11111000000000000000000000000000 " +b11111000000000000000000000000000 R +b0 D +b0 ^/ +0J, +b11111000000000000000000000000000 Q +0K, +1W, +0Q, +b10100100 H, +b10100100 d, +0P, +#5650000 +1i, +1C, +bz0000111111111111111111111111111 . +b1 G, +b1 f, +1D, +#5660000 +0z, +#5680000 +0b/ +b11110000000000000000000000000000 " +b11110000000000000000000000000000 R +b0 E +b0 a/ +0q, +b11110000000000000000000000000000 Q +0r, +1~, +0x, +b10100100 o, +b10100100 -- +0w, +#5710000 +12- +1j, +bz0001111111111111111111111111111 . +b1 n, +b1 /- +1k, +#5720000 +0C- +#5740000 +0e/ +b11100000000000000000000000000000 " +b11100000000000000000000000000000 R +b0 F +b0 d/ +0:- +b11100000000000000000000000000000 Q +0;- +1G- +0A- +b10100100 8- +b10100100 T- +0@- +#5770000 +1Y- +13- +bz0011111111111111111111111111111 . +b1 7- +b1 V- +14- +#5780000 +0j- +#5800000 +0h/ +b11000000000000000000000000000000 " +b11000000000000000000000000000000 R +b0 G +b0 g/ +0a- +b11000000000000000000000000000000 Q +0b- +1n- +0h- +b10100100 _- +b10100100 {- +0g- +#5830000 +1". +1Z- +bz0111111111111111111111111111111 . +b1 ^- +b1 }- +1[- +#5840000 +03. +#5860000 +0k/ +b10000000000000000000000000000000 " +b10000000000000000000000000000000 R +b0 I +b0 j/ +0*. +b10000000000000000000000000000000 Q +0+. +17. +01. +b10100100 (. +b10100100 D. +00. +#5890000 +1I. +1#. +bz1111111111111111111111111111111 . +b1 '. +b1 F. +1$. +#5900000 +0Y. +#5920000 +0n/ +b0 " +b0 R +b0 J +b0 m/ +0P. +b0 Q +0Q. +1]. +0W. +b10100100 N. +b10100100 j. +0V. +1# +#5950000 +1! +b1 M. +b1 l. +1J. +#5980000 +0# +#6000000 +1!. +1H. +0T +0{ +0D" +0k" +04# +0[# +0$$ +0K$ +0r$ +0;% +0b% +0+& +0R& +0y& +0B' +0i' +02( +0Y( +0") +0I) +0p) +09* +0`* +0)+ +0P+ +0w+ +0@, +0g, +0~- +b11000000000000000000000000000001 & +b11000000000000000000000000000001 0 +b10110000000000000000000000000000 % +b10110000000000000000000000000000 / +b11 ( +b11 ) +#6010000 +1n +1s +17" +1<" +1^" +1c" +1'# +1,# +1N# +1S# +1u# +1z# +1>$ +1C$ +1e$ +1j$ +1.% +13% +1U% +1Z% +1|% +1#& +1E& +1J& +1l& +1q& +15' +1:' +1\' +1a' +1%( +1*( +1L( +1Q( +1s( +1x( +1<) +1A) +1c) +1h) +1,* +11* +1S* +1X* +1z* +1!+ +1C+ +1H+ +1j+ +1o+ +13, +18, +1Z, +1_, +1#- +1(- +1:. +1?. +0S +b0 1 +b0 n. +#6020000 +0S. +b10000100 N. +b10000100 j. +1a +b10110000 \ +b10110000 x +1+" +b11100100 %" +b11100100 A" +1R" +b11100100 L" +b11100100 h" +1y" +b11100100 s" +b11100100 1# +1B# +b11100100 <# +b11100100 X# +1i# +b11100100 c# +b11100100 !$ +12$ +b11100100 ,$ +b11100100 H$ +1Y$ +b11100100 S$ +b11100100 o$ +1"% +b11100100 z$ +b11100100 8% +1I% +b11100100 C% +b11100100 _% +1p% +b11100100 j% +b11100100 (& +19& +b11100100 3& +b11100100 O& +1`& +b11100100 Z& +b11100100 v& +1)' +b11100100 #' +b11100100 ?' +1P' +b11100100 J' +b11100100 f' +1w' +b11100100 q' +b11100100 /( +1@( +b11100100 :( +b11100100 V( +1g( +b11100100 a( +b11100100 }( +10) +b11100100 *) +b11100100 F) +1W) +b11100100 Q) +b11100100 m) +1~) +b11100100 x) +b11100100 6* +1G* +b11100100 A* +b11100100 ]* +1n* +b11100100 h* +b11100100 &+ +17+ +b11100100 1+ +b11100100 M+ +1^+ +b11100100 X+ +b11100100 t+ +1', +b11100100 !, +b11100100 =, +1N, +b11100100 H, +b11100100 d, +1u, +b11100100 o, +b11100100 -- +#6030000 +0X. +1R. +b10010000 N. +b10010000 j. +0^. +1Z. +0_. +0d. +1f +0` +b10100100 \ +b10100100 x +1l +0h +1m +1r +0/" +0," +b1100000 %" +b1100000 A" +05" +02" +06" +0;" +0V" +0S" +b1100000 L" +b1100000 h" +0\" +0Y" +0]" +0b" +0}" +0z" +b1100000 s" +b1100000 1# +0%# +0"# +0&# +0+# +0F# +0C# +b1100000 <# +b1100000 X# +0L# +0I# +0M# +0R# +0m# +0j# +b1100000 c# +b1100000 !$ +0s# +0p# +0t# +0y# +06$ +03$ +b1100000 ,$ +b1100000 H$ +0<$ +09$ +0=$ +0B$ +0]$ +0Z$ +b1100000 S$ +b1100000 o$ +0c$ +0`$ +0d$ +0i$ +0&% +0#% +b1100000 z$ +b1100000 8% +0,% +0)% +0-% +02% +0M% +0J% +b1100000 C% +b1100000 _% +0S% +0P% +0T% +0Y% +0t% +0q% +b1100000 j% +b1100000 (& +0z% +0w% +0{% +0"& +0=& +0:& +b1100000 3& +b1100000 O& +0C& +0@& +0D& +0I& +0d& +0a& +b1100000 Z& +b1100000 v& +0j& +0g& +0k& +0p& +0-' +0*' +b1100000 #' +b1100000 ?' +03' +00' +04' +09' +0T' +0Q' +b1100000 J' +b1100000 f' +0Z' +0W' +0[' +0`' +0{' +0x' +b1100000 q' +b1100000 /( +0#( +0~' +0$( +0)( +0D( +0A( +b1100000 :( +b1100000 V( +0J( +0G( +0K( +0P( +0k( +0h( +b1100000 a( +b1100000 }( +0q( +0n( +0r( +0w( +04) +01) +b1100000 *) +b1100000 F) +0:) +07) +0;) +0@) +0[) +0X) +b1100000 Q) +b1100000 m) +0a) +0^) +0b) +0g) +0$* +0!* +b1100000 x) +b1100000 6* +0** +0'* +0+* +00* +0K* +0H* +b1100000 A* +b1100000 ]* +0Q* +0N* +0R* +0W* +0r* +0o* +b1100000 h* +b1100000 &+ +0x* +0u* +0y* +0~* +0;+ +08+ +b1100000 1+ +b1100000 M+ +0A+ +0>+ +0B+ +0G+ +0b+ +0_+ +b1100000 X+ +b1100000 t+ +0h+ +0e+ +0i+ +0n+ +0+, +0(, +b1100000 !, +b1100000 =, +01, +0., +02, +07, +0R, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +0^, +0y, +0v, +b1100000 o, +b1100000 -- +0!- +0|, +0"- +0'- +#6040000 +1b. +1g. +0p +0u +19" +1>" +1`" +1e" +1)# +1.# +1P# +1U# +1w# +1|# +1@$ +1E$ +1g$ +1l$ +10% +15% +1W% +1\% +1~% +1%& +1G& +1L& +1n& +1s& +17' +1<' +1^' +1c' +1'( +1,( +1N( +1S( +1u( +1z( +1>) +1C) +1e) +1j) +1.* +13* +1U* +1Z* +1|* +1#+ +1E+ +1J+ +1l+ +1q+ +15, +1:, +1\, +1a, +1%- +1*- +1o +1t +1;. +1@. +#6060000 +1n/ +b11110111 J +b11110111 m/ +1o. +b11110111 1 +b11110111 n. +1r. +b11110111 2 +b11110111 q. +1u. +b11110111 = +b11110111 t. +1x. +b11110111 H +b11110111 w. +1{. +b11110111 K +b11110111 z. +1~. +b11110111 L +b11110111 }. +1#/ +b11110111 M +b11110111 "/ +1&/ +b11110111 N +b11110111 %/ +1)/ +b11110111 O +b11110111 (/ +1,/ +b11110111 P +b11110111 +/ +1// +b11110111 3 +b11110111 ./ +12/ +b11110111 4 +b11110111 1/ +15/ +b11110111 5 +b11110111 4/ +18/ +b11110111 6 +b11110111 7/ +1;/ +b11110111 7 +b11110111 :/ +1>/ +b11110111 8 +b11110111 =/ +1A/ +b11110111 9 +b11110111 @/ +1D/ +b11110111 : +b11110111 C/ +1G/ +b11110111 ; +b11110111 F/ +1J/ +b11110111 < +b11110111 I/ +1M/ +b11110111 > +b11110111 L/ +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b10001111111111111111111111111111 " +b10001111111111111111111111111111 R +b11110111 E +b11110111 a/ +1P. +1Q. +1W. +b10011011 N. +b10011011 j. +1V. +1^ +1_ +0j +1e +b10101111 \ +b10101111 x +1d +1'" +1(" +04" +1." +b1101011 %" +b1101011 A" +1-" +1N" +1O" +0[" +1U" +b1101011 L" +b1101011 h" +1T" +1u" +1v" +0$# +1|" +b1101011 s" +b1101011 1# +1{" +1># +1?# +0K# +1E# +b1101011 <# +b1101011 X# +1D# +1e# +1f# +0r# +1l# +b1101011 c# +b1101011 !$ +1k# +1.$ +1/$ +0;$ +15$ +b1101011 ,$ +b1101011 H$ +14$ +1U$ +1V$ +0b$ +1\$ +b1101011 S$ +b1101011 o$ +1[$ +1|$ +1}$ +0+% +1%% +b1101011 z$ +b1101011 8% +1$% +1E% +1F% +0R% +1L% +b1101011 C% +b1101011 _% +1K% +1l% +1m% +0y% +1s% +b1101011 j% +b1101011 (& +1r% +15& +16& +0B& +1<& +b1101011 3& +b1101011 O& +1;& +1\& +1]& +0i& +1c& +b1101011 Z& +b1101011 v& +1b& +1%' +1&' +02' +1,' +b1101011 #' +b1101011 ?' +1+' +1L' +1M' +0Y' +1S' +b1101011 J' +b1101011 f' +1R' +1s' +1t' +0"( +1z' +b1101011 q' +b1101011 /( +1y' +1<( +1=( +0I( +1C( +b1101011 :( +b1101011 V( +1B( +1c( +1d( +0p( +1j( +b1101011 a( +b1101011 }( +1i( +1,) +1-) +09) +13) +b1101011 *) +b1101011 F) +12) +1S) +1T) +0`) +1Z) +b1101011 Q) +b1101011 m) +1Y) +1z) +1{) +0)* +1#* +b1101011 x) +b1101011 6* +1"* +1C* +1D* +0P* +1J* +b1101011 A* +b1101011 ]* +1I* +1j* +1k* +0w* +1q* +b1101011 h* +b1101011 &+ +1p* +13+ +14+ +0@+ +1:+ +b1101011 1+ +b1101011 M+ +19+ +1Z+ +1[+ +0g+ +1a+ +b1101011 X+ +b1101011 t+ +1`+ +1#, +1$, +00, +1*, +b1101011 !, +b1101011 =, +1), +1J, +1K, +0W, +1Q, +b1101011 H, +b1101011 d, +1P, +1q, +b10001111111111111111111111111111 Q +1r, +0~, +1x, +b1101011 o, +b1101011 -- +1w, +#6070000 +1c. +1h. +1:" +1?" +1a" +1f" +1*# +1/# +1Q# +1V# +1x# +1}# +1A$ +1F$ +1h$ +1m$ +11% +16% +1X% +1]% +1!& +1&& +1H& +1M& +1o& +1t& +18' +1=' +1_' +1d' +1(( +1-( +1O( +1T( +1v( +1{( +1?) +1D) +1f) +1k) +1/* +14* +1V* +1[* +1}* +1$+ +1F+ +1K+ +1m+ +1r+ +16, +1;, +1], +1b, +1&- +1+- +1Z +b1011 [ +b1011 z +1Y +1&. +b1011 '. +b1011 F. +1%. +#6090000 +0} +0F" +0m" +06# +0]# +0&$ +0M$ +0t$ +0=% +0d% +0-& +0T& +0{& +0D' +0k' +04( +0[( +0$) +0K) +0r) +0;* +0b* +0++ +0R+ +0y+ +0B, +0i, +02- +1S +b11111111 1 +b11111111 n. +0W +b1010 [ +b1010 z +0X +0~ +b0 $" +b0 C" +0!" +0G" +b0 K" +b0 j" +0H" +0n" +b0 r" +b0 3# +0o" +07# +b0 ;# +b0 Z# +08# +0^# +b0 b# +b0 #$ +0_# +0'$ +b0 +$ +b0 J$ +0($ +0N$ +b0 R$ +b0 q$ +0O$ +0u$ +b0 y$ +b0 :% +0v$ +0>% +b0 B% +b0 a% +0?% +0e% +b0 i% +b0 *& +0f% +0.& +b0 2& +b0 Q& +0/& +0U& +b0 Y& +b0 x& +0V& +0|& +b0 "' +b0 A' +0}& +0E' +b0 I' +b0 h' +0F' +0l' +b0 p' +b0 1( +0m' +05( +b0 9( +b0 X( +06( +0\( +b0 `( +b0 !) +0]( +0%) +b0 )) +b0 H) +0&) +0L) +b0 P) +b0 o) +0M) +0s) +b0 w) +b0 8* +0t) +0<* +b0 @* +b0 _* +0=* +0c* +b0 g* +b0 (+ +0d* +0,+ +b0 0+ +b0 O+ +0-+ +0S+ +b0 W+ +b0 v+ +0T+ +0z+ +b0 ~+ +b0 ?, +0{+ +0C, +b0 G, +b0 f, +0D, +0j, +bz1110000000000000000000000000000 . +b0 n, +b0 /- +0k, +#6100000 +10" +1W" +1~" +1G# +1n# +17$ +1^$ +1'% +1N% +1u% +1>& +1e& +1.' +1U' +1|' +1E( +1l( +15) +1\) +1%* +1L* +1s* +1<+ +1c+ +1,, +1S, +1z, +1C- +1L. +b1011 M. +b1011 l. +1K. +1#" +b1010 $" +b1010 C" +1"" +1J" +b1010 K" +b1010 j" +1I" +1q" +b1010 r" +b1010 3# +1p" +1:# +b1010 ;# +b1010 Z# +19# +1a# +b1010 b# +b1010 #$ +1`# +1*$ +b1010 +$ +b1010 J$ +1)$ +1Q$ +b1010 R$ +b1010 q$ +1P$ +1x$ +b1010 y$ +b1010 :% +1w$ +1A% +b1010 B% +b1010 a% +1@% +1h% +b1010 i% +b1010 *& +1g% +11& +b1010 2& +b1010 Q& +10& +1X& +b1010 Y& +b1010 x& +1W& +1!' +b1010 "' +b1010 A' +1~& +1H' +b1010 I' +b1010 h' +1G' +1o' +b1010 p' +b1010 1( +1n' +18( +b1010 9( +b1010 X( +17( +1_( +b1010 `( +b1010 !) +1^( +1() +b1010 )) +b1010 H) +1') +1O) +b1010 P) +b1010 o) +1N) +1v) +b1010 w) +b1010 8* +1u) +1?* +b1010 @* +b1010 _* +1>* +1f* +b1010 g* +b1010 (+ +1e* +1/+ +b1010 0+ +b1010 O+ +1.+ +1V+ +b1010 W+ +b1010 v+ +1U+ +1}+ +b1010 ~+ +b1010 ?, +1|+ +1F, +b1010 G, +b1010 f, +1E, +1m, +b1010 n, +b1010 /- +1l, +#6120000 +0r. +b0 2 +b0 q. +0u. +b0 = +b0 t. +0x. +b0 H +b0 w. +0{. +b0 K +b0 z. +0~. +b0 L +b0 }. +0#/ +b0 M +b0 "/ +0&/ +b0 N +b0 %/ +0)/ +b0 O +b0 (/ +0,/ +b0 P +b0 +/ +0// +b0 3 +b0 ./ +02/ +b0 4 +b0 1/ +05/ +b0 5 +b0 4/ +08/ +b0 6 +b0 7/ +0;/ +b0 7 +b0 :/ +0>/ +b0 8 +b0 =/ +0A/ +b0 9 +b0 @/ +0D/ +b0 : +b0 C/ +0G/ +b0 ; +b0 F/ +0J/ +b0 < +b0 I/ +0M/ +b0 > +b0 L/ +0P/ +b0 ? +b0 O/ +0S/ +b0 @ +b0 R/ +0V/ +b0 A +b0 U/ +0Y/ +b0 B +b0 X/ +0\/ +b0 C +b0 [/ +0_/ +b0 D +b0 ^/ +0b/ +b0 E +b0 a/ +1e/ +b10010000000000000000000000000001 " +b10010000000000000000000000000001 R +b11110111 F +b11110111 d/ +0'" +0(" +0." +0:" +b1100000 %" +b1100000 A" +0-" +0?" +0N" +0O" +0U" +0a" +b1100000 L" +b1100000 h" +0T" +0f" +0u" +0v" +0|" +0*# +b1100000 s" +b1100000 1# +0{" +0/# +0># +0?# +0E# +0Q# +b1100000 <# +b1100000 X# +0D# +0V# +0e# +0f# +0l# +0x# +b1100000 c# +b1100000 !$ +0k# +0}# +0.$ +0/$ +05$ +0A$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0U$ +0V$ +0\$ +0h$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0|$ +0}$ +0%% +01% +b1100000 z$ +b1100000 8% +0$% +06% +0E% +0F% +0L% +0X% +b1100000 C% +b1100000 _% +0K% +0]% +0l% +0m% +0s% +0!& +b1100000 j% +b1100000 (& +0r% +0&& +05& +06& +0<& +0H& +b1100000 3& +b1100000 O& +0;& +0M& +0\& +0]& +0c& +0o& +b1100000 Z& +b1100000 v& +0b& +0t& +0%' +0&' +0,' +08' +b1100000 #' +b1100000 ?' +0+' +0=' +0L' +0M' +0S' +0_' +b1100000 J' +b1100000 f' +0R' +0d' +0s' +0t' +0z' +0(( +b1100000 q' +b1100000 /( +0y' +0-( +0<( +0=( +0C( +0O( +b1100000 :( +b1100000 V( +0B( +0T( +0c( +0d( +0j( +0v( +b1100000 a( +b1100000 }( +0i( +0{( +0,) +0-) +03) +0?) +b1100000 *) +b1100000 F) +02) +0D) +0S) +0T) +0Z) +0f) +b1100000 Q) +b1100000 m) +0Y) +0k) +0z) +0{) +0#* +0/* +b1100000 x) +b1100000 6* +0"* +04* +0C* +0D* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +0j* +0k* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +03+ +04+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0Z+ +0[+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0#, +0$, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +0J, +0K, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +0q, +0r, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +1:- +b10010000000000000000000000000001 Q +1;- +0G- +1A- +b10101111 8- +b10101111 T- +1@- +#6150000 +0Y- +0#" +b0 $" +b0 C" +0"" +0J" +b0 K" +b0 j" +0I" +0q" +b0 r" +b0 3# +0p" +0:# +b0 ;# +b0 Z# +09# +0a# +b0 b# +b0 #$ +0`# +0*$ +b0 +$ +b0 J$ +0)$ +0Q$ +b0 R$ +b0 q$ +0P$ +0x$ +b0 y$ +b0 :% +0w$ +0A% +b0 B% +b0 a% +0@% +0h% +b0 i% +b0 *& +0g% +01& +b0 2& +b0 Q& +00& +0X& +b0 Y& +b0 x& +0W& +0!' +b0 "' +b0 A' +0~& +0H' +b0 I' +b0 h' +0G' +0o' +b0 p' +b0 1( +0n' +08( +b0 9( +b0 X( +07( +0_( +b0 `( +b0 !) +0^( +0() +b0 )) +b0 H) +0') +0O) +b0 P) +b0 o) +0N) +0v) +b0 w) +b0 8* +0u) +0?* +b0 @* +b0 _* +0>* +0f* +b0 g* +b0 (+ +0e* +0/+ +b0 0+ +b0 O+ +0.+ +0V+ +b0 W+ +b0 v+ +0U+ +0}+ +b0 ~+ +b0 ?, +0|+ +0F, +b0 G, +b0 f, +0E, +0m, +b0 n, +b0 /- +0l, +03- +bz1100000000000000000000000000000 . +b0 7- +b0 V- +04- +#6160000 +1j- +#6180000 +1h/ +b10110000000000000000000000000001 " +b10110000000000000000000000000001 R +b11110111 G +b11110111 g/ +1a- +b10110000000000000000000000000001 Q +1b- +0n- +1h- +b10101111 _- +b10101111 {- +1g- +#6210000 +0". +0Z- +bz1000000000000000000000000000000 . +b0 ^- +b0 }- +0[- +#6220000 +13. +#6240000 +1k/ +b11110000000000000000000000000001 " +b11110000000000000000000000000001 R +b11110111 I +b11110111 j/ +1*. +b11110000000000000000000000000001 Q +1+. +07. +11. +b10101111 (. +b10101111 D. +10. +#6270000 +0I. +0#. +bz0000000000000000000000000000000 . +b1010 '. +b1010 F. +0$. +#6280000 +1Y. +#6300000 +0n/ +b1110000000000000000000000000001 " +b1110000000000000000000000000001 R +b0 J +b0 m/ +0P. +b1110000000000000000000000000001 Q +0Q. +0]. +0W. +0c. +b10010000 N. +b10010000 j. +0V. +0h. +1# +#6310000 +1\. +#6330000 +0L. +b1 M. +b1 l. +0K. +#8000000 +1z& +1j' +1Z( +1J) +1D" +1k" +1[# +1K$ +1;% +1b% +1B' +1i' +00- +0W- +b11000000000010101010000000000001 & +b11000000000010101010000000000001 0 +b10000000000000001100011010101100 % +b10000000000000001100011010101100 / +b100 ( +b100 ) +#8010000 +0^" +0c" +0'# +0,# +0u# +0z# +0e$ +0j$ +0U% +0Z% +0|% +0#& +0\' +0a' +0%( +0*( +1J- +1O- +1q- +1v- +#8020000 +0)' +b100000 #' +b100000 ?' +0g( +b100000 a( +b100000 }( +0W) +b100000 Q) +b100000 m) +0R" +b100000 L" +b100000 h" +0y" +b100000 s" +b100000 1# +0i# +b100000 c# +b100000 !$ +0Y$ +b100000 S$ +b100000 o$ +0I% +b100000 C% +b100000 _% +0p% +b100000 j% +b100000 (& +0P' +b100000 J' +b100000 f' +0v' +0w' +b0 q' +b0 /( +1>- +b11101111 8- +b11101111 T- +1e- +b11101111 _- +b11101111 {- +#8030000 +1-' +1*' +b10100100 #' +b10100100 ?' +13' +10' +14' +16' +19' +1;' +1k( +1h( +b10100100 a( +b10100100 }( +1q( +1n( +1r( +1t( +1w( +1y( +1[) +1X) +b10100100 Q) +b10100100 m) +1a) +1^) +1b) +1d) +1g) +1i) +1V" +1S" +b10100100 L" +b10100100 h" +1\" +1Y" +1]" +1b" +1}" +1z" +b10100100 s" +b10100100 1# +1%# +1"# +1&# +1+# +1m# +1j# +b10100100 c# +b10100100 !$ +1s# +1p# +1t# +1y# +1]$ +1Z$ +b10100100 S$ +b10100100 o$ +1c$ +1`$ +1d$ +1i$ +1M% +1J% +b10100100 C% +b10100100 _% +1S% +1P% +1T% +1Y% +1t% +1q% +b10100100 j% +b10100100 (& +1z% +1w% +1{% +1"& +1T' +1Q' +b10100100 J' +b10100100 f' +1Z' +1W' +1[' +1`' +1u' +1x' +b10010000 q' +b10010000 /( +1}' +1~' +0B- +0?- +b1101011 8- +b1101011 T- +0H- +0E- +0I- +0N- +0i- +0f- +b1101011 _- +b1101011 {- +0o- +0l- +0p- +0u- +#8040000 +07' +0<' +0u( +0z( +0e) +0j) +0`" +0e" +0)# +0.# +0w# +0|# +0g$ +0l$ +0W% +0\% +0~% +0%& +0^' +0c' +1L- +1Q- +1s- +1x- +#8060000 +18/ +b11110111 6 +b11110111 7/ +1D/ +b11110111 : +b11110111 C/ +1J/ +b11110111 < +b11110111 I/ +1u. +b11110111 = +b11110111 t. +1x. +b11110111 H +b11110111 w. +1~. +b11110111 L +b11110111 }. +1&/ +b11110111 N +b11110111 %/ +1,/ +b11110111 P +b11110111 +/ +1// +b11110111 3 +b11110111 ./ +1;/ +b11110111 7 +b11110111 :/ +0e/ +b0 F +b0 d/ +0h/ +b1000000000010100110011010101101 " +b1000000000010100110011010101101 R +b0 G +b0 g/ +1%' +1&' +1,' +1!' +b10101111 #' +b10101111 ?' +1+' +b1010 "' +b1010 A' +1~& +1c( +1d( +1j( +1_( +b10101111 a( +b10101111 }( +1i( +b1010 `( +b1010 !) +1^( +1S) +1T) +1Z) +1O) +b10101111 Q) +b10101111 m) +1Y) +b1010 P) +b1010 o) +1N) +1N" +1O" +1U" +b10101111 L" +b10101111 h" +1T" +1u" +1v" +1|" +b10101111 s" +b10101111 1# +1{" +1e# +1f# +1l# +b10101111 c# +b10101111 !$ +1k# +1U$ +1V$ +1\$ +b10101111 S$ +b10101111 o$ +1[$ +1E% +1F% +1L% +b10101111 C% +b10101111 _% +1K% +1l% +1m% +1s% +b10101111 j% +b10101111 (& +1r% +1L' +1M' +1S' +b10101111 J' +b10101111 f' +1R' +1!( +0:- +0;- +0A- +b1100000 8- +b1100000 T- +0@- +0a- +b1000000000010100110011010101101 Q +0b- +0h- +b1100000 _- +b1100000 {- +0g- +#8090000 +14( +1l' +bz0000000000000001000000000000000 . +b1 p' +b1 1( +1m' +#8100000 +0E( +#8120000 +1A/ +b1000000000010110110011010101101 " +b1000000000010110110011010101101 R +b11110111 9 +b11110111 @/ +1<( +b1000000000010110110011010101101 Q +1=( +1C( +1O( +b1101011 :( +b1101011 V( +1B( +1T( +#8150000 +18( +b1010 9( +b1010 X( +17( +#10000000 +0z& +0j' +0Z( +0J) +0!. +0H. +1T +1{ +14# +1$$ +1r$ +1+& +1R& +1y& +12( +1Y( +1") +1I) +0G. +1} +1D' +04( +1[( +1$) +1r) +1I. +b1 & +b1 0 +b11111111111111111111 % +b11111111111111111111 / +b1 ' +b1 - +b1 ] +b1 w +b1 y +b1 &" +b1 @" +b1 B" +b1 M" +b1 g" +b1 i" +b1 t" +b1 0# +b1 2# +b1 =# +b1 W# +b1 Y# +b1 d# +b1 ~# +b1 "$ +b1 -$ +b1 G$ +b1 I$ +b1 T$ +b1 n$ +b1 p$ +b1 {$ +b1 7% +b1 9% +b1 D% +b1 ^% +b1 `% +b1 k% +b1 '& +b1 )& +b1 4& +b1 N& +b1 P& +b1 [& +b1 u& +b1 w& +b1 $' +b1 >' +b1 @' +b1 K' +b1 e' +b1 g' +b1 r' +b1 .( +b1 0( +b1 ;( +b1 U( +b1 W( +b1 b( +b1 |( +b1 ~( +b1 +) +b1 E) +b1 G) +b1 R) +b1 l) +b1 n) +b1 y) +b1 5* +b1 7* +b1 B* +b1 \* +b1 ^* +b1 i* +b1 %+ +b1 '+ +b1 2+ +b1 L+ +b1 N+ +b1 Y+ +b1 s+ +b1 u+ +b1 ", +b1 <, +b1 >, +b1 I, +b1 c, +b1 e, +b1 p, +b1 ,- +b1 .- +b1 9- +b1 S- +b1 U- +b1 `- +b1 z- +b1 |- +b1 ). +b1 C. +b1 E. +b1 O. +b1 i. +b1 k. +b1 m. +b1 p. +b1 s. +b1 v. +b1 y. +b1 |. +b1 !/ +b1 $/ +b1 '/ +b1 */ +b1 -/ +b1 0/ +b1 3/ +b1 6/ +b1 9/ +b1 $ +0C$ +0.% +03% +0E& +0J& +0l& +0q& +05' +0:' +0L( +0Q( +0s( +0x( +0<) +0A) +0c) +0h) +1`. +1e. +00" +0U' +1E( +0l( +05) +0%* +0Y. +#10020000 +1v' +b10110000 q' +b10110000 /( +1.. +b11101111 (. +b11101111 D. +0a +b10001111 \ +b10001111 x +0+" +b100000 %" +b100000 A" +0B# +b100000 <# +b100000 X# +02$ +b100000 ,$ +b100000 H$ +0"% +b100000 z$ +b100000 8% +09& +b100000 3& +b100000 O& +0`& +b100000 Z& +b100000 v& +0@( +b101011 :( +b101011 V( +00) +b100000 *) +b100000 F) +1S. +1T. +b11110000 N. +b11110000 j. +#10030000 +1r. +b11110111 2 +b11110111 q. +0;/ +b0 7 +b0 :/ +0A/ +b0 9 +b0 @/ +0D/ +b0 : +b0 C/ +1G/ +b11110111 ; +b11110111 F/ +1M/ +b11110111 > +b11110111 L/ +1n/ +b11000000000111000010011010101111 " +b11000000000111000010011010101111 R +b11110111 J +b11110111 m/ +06' +0;' +1{' +0u' +b10100100 q' +b10100100 /( +1#( +0}' +1$( +1)( +0t( +0y( +0d) +0i) +02. +0/. +b1101011 (. +b1101011 D. +08. +05. +09. +0;. +0>. +0@. +0f +1` +b10011011 \ +b10011011 x +0l +1h +0m +0r +1/" +1," +15" +12" +16" +1;" +1F# +1C# +b10100100 <# +b10100100 X# +1L# +1I# +1M# +1R# +16$ +13$ +b10100100 ,$ +b10100100 H$ +1<$ +19$ +1=$ +1B$ +1&% +1#% +b10100100 z$ +b10100100 8% +1,% +1)% +1-% +12% +1=& +1:& +b10100100 3& +b10100100 O& +1C& +1@& +1D& +1I& +1d& +1a& +b10100100 Z& +b10100100 v& +1j& +1g& +1k& +1p& +1D( +1A( +1J( +1G( +1K( +1P( +14) +11) +1:) +17) +1;) +1@) +0R. +0U. +0Z. +0[. +1(" +1'" +1." +1:" +b10101111 %" +b10101111 A" +1-" +1?" +0M' +1Y' +0L' +0S' +b10100100 J' +b10100100 f' +0R' +0=( +0<( +0C( +0O( +b10100100 :( +b10100100 V( +0B( +0T( +0d( +1p( +0c( +0j( +b10100100 a( +b10100100 }( +0i( +1-) +1,) +13) +1?) +b10101111 *) +b10101111 F) +12) +1D) +1{) +1z) +1#* +1/* +b1101011 x) +b1101011 6* +1"* +14* +1Q. +1]. +1P. +b11000000000111000010011010101111 Q +1W. +1c. +b1101011 N. +b1101011 j. +1V. +1h. +#10040000 +0'( +0,( +1<. +1A. +1p +1u +09" +0>" +0P# +0U# +0@$ +0E$ +00% +05% +0G& +0L& +0n& +0s& +0N( +0S( +0>) +0C) +0o +0t +0\. +#10060000 +0D' +1>/ +b11110111 8 +b11110111 =/ +0$) +0r) +0k/ +b0 I +b0 j/ +0I. +0o. +0r. +b0 2 +b0 q. +1{. +b11110111 K +b11110111 z. +1#/ +b11110111 M +b11110111 "/ +1)/ +b11110111 O +b11110111 (/ +12/ +b11110111 4 +b11110111 1/ +15/ +b11110111 5 +b11110111 4/ +1A/ +b11110111 9 +b11110111 @/ +0G/ +b10000000000110011011111111111100 " +b10000000000110011011111111111100 R +b0 ; +b0 F/ +1F" +0[( +1K) +1;* +0S +b0 1 +b0 n. +0|& +0!' +b0 "' +b0 A' +0~& +1t' +0!( +1s' +1z' +b10101111 q' +b10101111 /( +1y' +0\( +0_( +0^( +0L) +0O) +b0 P) +b0 o) +0N) +0+. +0*. +01. +0#. +0&. +b1100000 (. +b1100000 D. +00. +b0 '. +b0 F. +0%. +0_ +1j +0^ +0e +b10010000 \ +b10010000 x +0d +0(" +14" +0'" +0." +b10100100 %" +b10100100 A" +0-" +1?# +1># +1E# +b10101111 <# +b10101111 X# +1D# +1/$ +1.$ +15$ +b10101111 ,$ +b10101111 H$ +14$ +1}$ +1|$ +1%% +b10101111 z$ +b10101111 8% +1$% +16& +15& +1<& +b10101111 3& +b10101111 O& +1;& +1]& +1\& +1c& +b10101111 Z& +b10101111 v& +1b& +1=( +1<( +1C( +b10101111 :( +b10101111 V( +1B( +0-) +19) +0,) +b10000000000110011011111111111100 Q +03) +b10100100 *) +b10100100 F) +02) +0]. +1~ +1#" +b1010 $" +b1010 C" +1"" +b1 I' +b1 h' +1F' +05( +08( +b0 9( +b0 X( +07( +b1 `( +b1 !) +1]( +1%) +1() +b1010 )) +b1010 H) +1') +1s) +bz0000000000101000000000000000011 . +1v) +b1010 w) +b1010 8* +1u) +1! +1L. +b1011 M. +b1011 l. +1K. +#10070000 +0} +1U' +15) +1%* +1Y. +0W" +1l( +0\) +0L* +0:" +0?" +0?) +0D) +0W +bz0000000000101000000000000000010 . +0Z +b0 [ +b0 z +0Y +#10080000 +10" +#10090000 +1;/ +b11110111 7 +b11110111 :/ +1G/ +b11110111 ; +b11110111 F/ +0M/ +b0 > +b0 L/ +0n/ +b0 J +b0 m/ +0u. +b0 = +b0 t. +1D/ +b11110111 : +b11110111 C/ +0J/ +b0 < +b0 I/ +1P/ +b1001111111111111111000 " +b1001111111111111111000 R +b11110111 ? +b11110111 O/ +1M' +0Y' +1L' +1S' +b10101111 J' +b10101111 f' +1R' +1-) +09) +1,) +13) +b10101111 *) +b10101111 F) +12) +0{) +0z) +0#* +0/* +b1100000 x) +b1100000 6* +0"* +04* +0Q. +0P. +0W. +0c. +b1100000 N. +b1100000 j. +0V. +0h. +0O" +1[" +0N" +0U" +b10100100 L" +b10100100 h" +0T" +1d( +0p( +1c( +1j( +b10101111 a( +b10101111 }( +1i( +0T) +1`) +0S) +0Z) +b10100100 Q) +b10100100 m) +0Y) +1D* +1C* +b1001111111111111111000 Q +1J* +1V* +b1101011 A* +b1101011 ]* +1I* +1[* +b0 p' +b0 1( +0m' +b1 [ +b1 z +1X +b1011 $" +b1011 C" +1!" +b1011 )) +b1011 H) +1&) +b1010 M. +b1010 l. +0J. +#10100000 +1r. +b1001111111111111111010 " +b1001111111111111111010 R +b11110111 2 +b11110111 q. +0F" +0K) +1(" +04" +1'" +b1001111111111111111010 Q +1." +b10101111 %" +b10101111 A" +1-" +0~ +0#" +b1 $" +b1 C" +0"" +0%) +bz0000000000100000000000000000000 . +0() +b1 )) +b1 H) +0') +#10110000 +1W" +1\) +#10120000 +0;* +1b* +1S +b1000 1 +b1000 n. +b0 I' +b0 h' +0F' +b0 )) +b0 H) +0&) +0s) +0v) +b0 w) +b0 8* +0u) +0! +0L. +b0 M. +b0 l. +0K. +b1 K" +b1 j" +1H" +b0 `( +b0 !) +0]( +b1 P) +b1 o) +1M) +1<* +bz0000000001000000000000000000000 . +1?* +b1010 @* +b1010 _* +1>* +#10130000 +1u. +b11110111 = +b11110111 t. +1J/ +b1011111111111111111110 " +b1011111111111111111110 R +b11110111 < +b11110111 I/ +1L* +0s* +1O" +0[" +1N" +1U" +b10101111 L" +b10101111 h" +1T" +1T) +0`) +1S) +b1011111111111111111110 Q +1Z) +b10101111 Q) +b10101111 m) +1Y) +b0 $" +b0 C" +0!" +#10150000 +0P/ +b0 ? +b0 O/ +1S/ +b10011111111111111111110 " +b10011111111111111111110 R +b11110111 @ +b11110111 R/ +0D* +0C* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +1k* +1j* +b10011111111111111111110 Q +1q* +1}* +b1101011 h* +b1101011 &+ +1p* +1$+ +0# +#10160000 +b0 K" +b0 j" +0H" +b0 P) +b0 o) +0M) +#10180000 +0b* +1++ +0<* +0?* +b0 @* +b0 _* +0>* +1c* +bz0000000010000000000000000000000 . +1f* +b1010 g* +b1010 (+ +1e* +0S +b0 1 +b0 n. +#10190000 +1s* +0<+ +#10210000 +0S/ +b0 @ +b0 R/ +1V/ +b100011111111111111111110 " +b100011111111111111111110 R +b11110111 A +b11110111 U/ +0k* +0j* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +14+ +13+ +b100011111111111111111110 Q +1:+ +1F+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +#10240000 +0++ +1R+ +0c* +0f* +b0 g* +b0 (+ +0e* +1,+ +bz0000000100000000000000000000000 . +1/+ +b1010 0+ +b1010 O+ +1.+ +#10250000 +1<+ +0c+ +#10270000 +0V/ +b0 A +b0 U/ +1Y/ +b1000011111111111111111110 " +b1000011111111111111111110 R +b11110111 B +b11110111 X/ +04+ +03+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +1[+ +1Z+ +b1000011111111111111111110 Q +1a+ +1m+ +b1101011 X+ +b1101011 t+ +1`+ +1r+ +#10300000 +0R+ +1y+ +0,+ +0/+ +b0 0+ +b0 O+ +0.+ +1S+ +bz0000001000000000000000000000000 . +1V+ +b1010 W+ +b1010 v+ +1U+ +#10310000 +1c+ +0,, +#10330000 +0Y/ +b0 B +b0 X/ +1\/ +b10000011111111111111111110 " +b10000011111111111111111110 R +b11110111 C +b11110111 [/ +0[+ +0Z+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +1$, +1#, +b10000011111111111111111110 Q +1*, +16, +b1101011 !, +b1101011 =, +1), +1;, +#10360000 +0y+ +1B, +0S+ +0V+ +b0 W+ +b0 v+ +0U+ +1z+ +bz0000010000000000000000000000000 . +1}+ +b1010 ~+ +b1010 ?, +1|+ +#10370000 +1,, +0S, +#10390000 +0\/ +b0 C +b0 [/ +1_/ +b100000011111111111111111110 " +b100000011111111111111111110 R +b11110111 D +b11110111 ^/ +0$, +0#, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +1K, +1J, +b100000011111111111111111110 Q +1Q, +1], +b1101011 H, +b1101011 d, +1P, +1b, +#10420000 +0B, +1i, +0z+ +0}+ +b0 ~+ +b0 ?, +0|+ +1C, +bz0000100000000000000000000000000 . +1F, +b1010 G, +b1010 f, +1E, +#10430000 +1S, +0z, +#10450000 +0_/ +b0 D +b0 ^/ +1b/ +b1000000011111111111111111110 " +b1000000011111111111111111110 R +b11110111 E +b11110111 a/ +0K, +0J, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +1r, +1q, +b1000000011111111111111111110 Q +1x, +1&- +b1101011 o, +b1101011 -- +1w, +1+- +#10480000 +0i, +12- +0C, +0F, +b0 G, +b0 f, +0E, +1j, +bz0001000000000000000000000000000 . +1m, +b1010 n, +b1010 /- +1l, +#10490000 +1z, +0C- +#10510000 +0b/ +b0 E +b0 a/ +1e/ +b10000000011111111111111111110 " +b10000000011111111111111111110 R +b11110111 F +b11110111 d/ +0r, +0q, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +1;- +1:- +b10000000011111111111111111110 Q +1A- +1M- +b1101011 8- +b1101011 T- +1@- +1R- +#10540000 +02- +1Y- +0j, +0m, +b0 n, +b0 /- +0l, +13- +bz0010000000000000000000000000000 . +16- +b1010 7- +b1010 V- +15- +#10550000 +1C- +0j- +#10570000 +0e/ +b0 F +b0 d/ +1h/ +b100000000011111111111111111110 " +b100000000011111111111111111110 R +b11110111 G +b11110111 g/ +0;- +0:- +0A- +0M- +b1100000 8- +b1100000 T- +0@- +0R- +1b- +1a- +b100000000011111111111111111110 Q +1h- +1t- +b1101011 _- +b1101011 {- +1g- +1y- +#10600000 +0Y- +1". +03- +06- +b0 7- +b0 V- +05- +1Z- +bz0100000000000000000000000000000 . +1]- +b1010 ^- +b1010 }- +1\- +#10610000 +1j- +03. +#10630000 +0h/ +b0 G +b0 g/ +1k/ +b1000000000011111111111111111110 " +b1000000000011111111111111111110 R +b11110111 I +b11110111 j/ +0b- +0a- +0h- +0t- +b1100000 _- +b1100000 {- +0g- +0y- +1+. +1*. +b1000000000011111111111111111110 Q +11. +1=. +b1101011 (. +b1101011 D. +10. +1B. +#10660000 +0". +1I. +0Z- +0]- +b0 ^- +b0 }- +0\- +1#. +bz1000000000000000000000000000000 . +1&. +b1010 '. +b1010 F. +1%. +#10670000 +13. +0Y. +#10690000 +0k/ +b0 I +b0 j/ +1n/ +b10000000000011111111111111111110 " +b10000000000011111111111111111110 R +b11110111 J +b11110111 m/ +0+. +0*. +01. +0=. +b1100000 (. +b1100000 D. +00. +0B. +1Q. +1P. +b10000000000011111111111111111110 Q +1W. +1c. +b1101011 N. +b1101011 j. +1V. +1h. +1# +#10720000 +0I. +0#. +bz0000000000000000000000000000000 . +0&. +b0 '. +b0 F. +0%. +1! +1L. +b1010 M. +b1010 l. +1K. +#10730000 +1Y. +#10750000 +0n/ +b11111111111111111110 " +b11111111111111111110 R +b0 J +b0 m/ +0Q. +0P. +b11111111111111111110 Q +0W. +0c. +b1100000 N. +b1100000 j. +0V. +0h. +#10780000 +1S +b1000 1 +b1000 n. +0! +0L. +b0 M. +b0 l. +0K. +#10810000 +0# +#10840000 +0S +b0 1 +b0 n. +#12000000 +0U +1p) +19* +1`* +1)+ +1P+ +1w+ +1@, +1g, +10- +1W- +1~- +1G. +b0 & +b0 0 +b11111111111111111111111111111111 % +b11111111111111111111111111111111 / +b110 ( +b110 ) +#12010000 +0,* +01* +0S* +0X* +0z* +0!+ +0C+ +0H+ +0j+ +0o+ +03, +08, +0Z, +0_, +0#- +0(- +0J- +0O- +0q- +0v- +0:. +0?. +0`. +0e. +#12020000 +1a +b10110000 \ +b10110000 x +0~) +b100000 x) +b100000 6* +0G* +b100000 A* +b100000 ]* +0n* +b100000 h* +b100000 &+ +07+ +b100000 1+ +b100000 M+ +0^+ +b100000 X+ +b100000 t+ +0', +b100000 !, +b100000 =, +0N, +b100000 H, +b100000 d, +0u, +b100000 o, +b100000 -- +0>- +b100000 8- +b100000 T- +0e- +b100000 _- +b100000 {- +0.. +b100000 (. +b100000 D. +0T. +b100000 N. +b100000 j. +#12030000 +1f +0` +b10100100 \ +b10100100 x +1l +0h +1m +1r +1$* +1!* +b10100100 x) +b10100100 6* +1** +1'* +1+* +10* +1K* +1H* +b10100100 A* +b10100100 ]* +1Q* +1N* +1R* +1W* +1r* +1o* +b10100100 h* +b10100100 &+ +1x* +1u* +1y* +1~* +1;+ +18+ +b10100100 1+ +b10100100 M+ +1A+ +1>+ +1B+ +1G+ +1b+ +1_+ +b10100100 X+ +b10100100 t+ +1h+ +1e+ +1i+ +1n+ +1+, +1(, +b10100100 !, +b10100100 =, +11, +1., +12, +17, +1R, +1O, +b10100100 H, +b10100100 d, +1X, +1U, +1Y, +1^, +1y, +1v, +b10100100 o, +b10100100 -- +1!- +1|, +1"- +1'- +1B- +1?- +b10100100 8- +b10100100 T- +1H- +1E- +1I- +1N- +1i- +1f- +b10100100 _- +b10100100 {- +1o- +1l- +1p- +1u- +12. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +1>. +1X. +1U. +b10100100 N. +b10100100 j. +1^. +1[. +1_. +1d. +#12040000 +0p +0u +0.* +03* +0U* +0Z* +0|* +0#+ +0E+ +0J+ +0l+ +0q+ +05, +0:, +0\, +0a, +0%- +0*- +0L- +0Q- +0s- +0x- +0<. +0A. +0b. +0g. +#12060000 +1o. +b11110111 1 +b11110111 n. +1M/ +b11110111 > +b11110111 L/ +1P/ +b11110111 ? +b11110111 O/ +1S/ +b11110111 @ +b11110111 R/ +1V/ +b11110111 A +b11110111 U/ +1Y/ +b11110111 B +b11110111 X/ +1\/ +b11110111 C +b11110111 [/ +1_/ +b11110111 D +b11110111 ^/ +1b/ +b11110111 E +b11110111 a/ +1e/ +b11110111 F +b11110111 d/ +1h/ +b11110111 G +b11110111 g/ +1k/ +b11110111 I +b11110111 j/ +1n/ +b11111111111111111111111111111111 " +b11111111111111111111111111111111 R +b11110111 J +b11110111 m/ +1_ +0j +1^ +1e +b10101111 \ +b10101111 x +1d +1{) +1z) +1#* +b10101111 x) +b10101111 6* +1"* +1D* +1C* +1J* +b10101111 A* +b10101111 ]* +1I* +1k* +1j* +1q* +b10101111 h* +b10101111 &+ +1p* +14+ +13+ +1:+ +b10101111 1+ +b10101111 M+ +19+ +1[+ +1Z+ +1a+ +b10101111 X+ +b10101111 t+ +1`+ +1$, +1#, +1*, +b10101111 !, +b10101111 =, +1), +1K, +1J, +1Q, +b10101111 H, +b10101111 d, +1P, +1r, +1q, +1x, +b10101111 o, +b10101111 -- +1w, +1;- +1:- +1A- +b10101111 8- +b10101111 T- +1@- +1b- +1a- +1h- +b10101111 _- +b10101111 {- +1g- +1+. +1*. +11. +b10101111 (. +b10101111 D. +10. +1Q. +1P. +b11111111111111111111111111111111 Q +1W. +b10101111 N. +b10101111 j. +1V. +#12090000 +1S +b11111111 1 +b11111111 n. +b0 [ +b0 z +0X +#14000000 +1U +1!. +1H. +0T +0{ +0D" +0k" +04# +0[# +0$$ +0K$ +0r$ +0;% +0b% +0+& +0R& +0y& +0B' +0i' +02( +0Y( +0") +0I) +0p) +09* +0`* +0)+ +0P+ +0w+ +0@, +0g, +0~- +b11000000000000000000000000000001 & +b11000000000000000000000000000001 0 +b10110000000000000000000000000000 % +b10110000000000000000000000000000 / +b111 ( +b111 ) +#14010000 +1n +1s +17" +1<" +1^" +1c" +1'# +1,# +1N# +1S# +1u# +1z# +1>$ +1C$ +1e$ +1j$ +1.% +13% +1U% +1Z% +1|% +1#& +1E& +1J& +1l& +1q& +15' +1:' +1\' +1a' +1%( +1*( +1L( +1Q( +1s( +1x( +1<) +1A) +1c) +1h) +1,* +11* +1S* +1X* +1z* +1!+ +1C+ +1H+ +1j+ +1o+ +13, +18, +1Z, +1_, +1#- +1(- +1:. +1?. +#14020000 +0S. +b10001111 N. +b10001111 j. +1+" +b11101111 %" +b11101111 A" +1R" +b11101111 L" +b11101111 h" +1y" +b11101111 s" +b11101111 1# +1B# +b11101111 <# +b11101111 X# +1i# +b11101111 c# +b11101111 !$ +12$ +b11101111 ,$ +b11101111 H$ +1Y$ +b11101111 S$ +b11101111 o$ +1"% +b11101111 z$ +b11101111 8% +1I% +b11101111 C% +b11101111 _% +1p% +b11101111 j% +b11101111 (& +19& +b11101111 3& +b11101111 O& +1`& +b11101111 Z& +b11101111 v& +1)' +b11101111 #' +b11101111 ?' +1P' +b11101111 J' +b11101111 f' +1w' +b11101111 q' +b11101111 /( +1@( +b11101111 :( +b11101111 V( +1g( +b11101111 a( +b11101111 }( +10) +b11101111 *) +b11101111 F) +1W) +b11101111 Q) +b11101111 m) +1~) +b11101111 x) +b11101111 6* +1G* +b11101111 A* +b11101111 ]* +1n* +b11101111 h* +b11101111 &+ +17+ +b11101111 1+ +b11101111 M+ +1^+ +b11101111 X+ +b11101111 t+ +1', +b11101111 !, +b11101111 =, +1N, +b11101111 H, +b11101111 d, +1u, +b11101111 o, +b11101111 -- +#14030000 +0X. +1R. +b10011011 N. +b10011011 j. +0^. +1Z. +0_. +0d. +0/" +0," +b1101011 %" +b1101011 A" +05" +02" +06" +0;" +0V" +0S" +b1101011 L" +b1101011 h" +0\" +0Y" +0]" +0b" +0}" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +0+# +0F# +0C# +b1101011 <# +b1101011 X# +0L# +0I# +0M# +0R# +0m# +0j# +b1101011 c# +b1101011 !$ +0s# +0p# +0t# +0y# +06$ +03$ +b1101011 ,$ +b1101011 H$ +0<$ +09$ +0=$ +0B$ +0]$ +0Z$ +b1101011 S$ +b1101011 o$ +0c$ +0`$ +0d$ +0i$ +0&% +0#% +b1101011 z$ +b1101011 8% +0,% +0)% +0-% +02% +0M% +0J% +b1101011 C% +b1101011 _% +0S% +0P% +0T% +0Y% +0t% +0q% +b1101011 j% +b1101011 (& +0z% +0w% +0{% +0"& +0=& +0:& +b1101011 3& +b1101011 O& +0C& +0@& +0D& +0I& +0d& +0a& +b1101011 Z& +b1101011 v& +0j& +0g& +0k& +0p& +0-' +0*' +b1101011 #' +b1101011 ?' +03' +00' +04' +09' +0T' +0Q' +b1101011 J' +b1101011 f' +0Z' +0W' +0[' +0`' +0{' +0x' +b1101011 q' +b1101011 /( +0#( +0~' +0$( +0)( +0D( +0A( +b1101011 :( +b1101011 V( +0J( +0G( +0K( +0P( +0k( +0h( +b1101011 a( +b1101011 }( +0q( +0n( +0r( +0w( +04) +01) +b1101011 *) +b1101011 F) +0:) +07) +0;) +0@) +0[) +0X) +b1101011 Q) +b1101011 m) +0a) +0^) +0b) +0g) +0$* +0!* +b1101011 x) +b1101011 6* +0** +0'* +0+* +00* +0K* +0H* +b1101011 A* +b1101011 ]* +0Q* +0N* +0R* +0W* +0r* +0o* +b1101011 h* +b1101011 &+ +0x* +0u* +0y* +0~* +0;+ +08+ +b1101011 1+ +b1101011 M+ +0A+ +0>+ +0B+ +0G+ +0b+ +0_+ +b1101011 X+ +b1101011 t+ +0h+ +0e+ +0i+ +0n+ +0+, +0(, +b1101011 !, +b1101011 =, +01, +0., +02, +07, +0R, +0O, +b1101011 H, +b1101011 d, +0X, +0U, +0Y, +0^, +0y, +0v, +b1101011 o, +b1101011 -- +0!- +0|, +0"- +0'- +#14040000 +1b. +1g. +19" +1>" +1`" +1e" +1)# +1.# +1P# +1U# +1w# +1|# +1@$ +1E$ +1g$ +1l$ +10% +15% +1W% +1\% +1~% +1%& +1G& +1L& +1n& +1s& +17' +1<' +1^' +1c' +1'( +1,( +1N( +1S( +1u( +1z( +1>) +1C) +1e) +1j) +1.* +13* +1U* +1Z* +1|* +1#+ +1E+ +1J+ +1l+ +1q+ +15, +1:, +1\, +1a, +1%- +1*- +1o +1t +1;. +1@. +#14060000 +0n/ +b0 J +b0 m/ +0r. +b0 2 +b0 q. +0u. +b0 = +b0 t. +0x. +b0 H +b0 w. +0{. +b0 K +b0 z. +0~. +b0 L +b0 }. +0#/ +b0 M +b0 "/ +0&/ +b0 N +b0 %/ +0)/ +b0 O +b0 (/ +0,/ +b0 P +b0 +/ +0// +b0 3 +b0 ./ +02/ +b0 4 +b0 1/ +05/ +b0 5 +b0 4/ +08/ +b0 6 +b0 7/ +0;/ +b0 7 +b0 :/ +0>/ +b0 8 +b0 =/ +0A/ +b0 9 +b0 @/ +0D/ +b0 : +b0 C/ +0G/ +b0 ; +b0 F/ +0J/ +b0 < +b0 I/ +0M/ +b0 > +b0 L/ +0P/ +b0 ? +b0 O/ +0S/ +b0 @ +b0 R/ +0V/ +b0 A +b0 U/ +0Y/ +b0 B +b0 X/ +0\/ +b0 C +b0 [/ +0_/ +b0 D +b0 ^/ +0b/ +b1110000000000000000000000000001 " +b1110000000000000000000000000001 R +b0 E +b0 a/ +0Q. +1\. +0P. +0W. +b10010000 N. +b10010000 j. +0V. +0(" +0'" +0." +b1100000 %" +b1100000 A" +0-" +0O" +0N" +0U" +b1100000 L" +b1100000 h" +0T" +0v" +0u" +0|" +b1100000 s" +b1100000 1# +0{" +0?# +0># +0E# +b1100000 <# +b1100000 X# +0D# +0f# +0e# +0l# +b1100000 c# +b1100000 !$ +0k# +0/$ +0.$ +05$ +b1100000 ,$ +b1100000 H$ +04$ +0V$ +0U$ +0\$ +b1100000 S$ +b1100000 o$ +0[$ +0}$ +0|$ +0%% +b1100000 z$ +b1100000 8% +0$% +0F% +0E% +0L% +b1100000 C% +b1100000 _% +0K% +0m% +0l% +0s% +b1100000 j% +b1100000 (& +0r% +06& +05& +0<& +b1100000 3& +b1100000 O& +0;& +0]& +0\& +0c& +b1100000 Z& +b1100000 v& +0b& +0&' +0%' +0,' +b1100000 #' +b1100000 ?' +0+' +0M' +0L' +0S' +b1100000 J' +b1100000 f' +0R' +0t' +0s' +0z' +b1100000 q' +b1100000 /( +0y' +0=( +0<( +0C( +b1100000 :( +b1100000 V( +0B( +0d( +0c( +0j( +b1100000 a( +b1100000 }( +0i( +0-) +0,) +03) +b1100000 *) +b1100000 F) +02) +0T) +0S) +0Z) +b1100000 Q) +b1100000 m) +0Y) +0{) +0z) +0#* +b1100000 x) +b1100000 6* +0"* +0D* +0C* +0J* +b1100000 A* +b1100000 ]* +0I* +0k* +0j* +0q* +b1100000 h* +b1100000 &+ +0p* +04+ +03+ +0:+ +b1100000 1+ +b1100000 M+ +09+ +0[+ +0Z+ +0a+ +b1100000 X+ +b1100000 t+ +0`+ +0$, +0#, +0*, +b1100000 !, +b1100000 =, +0), +0K, +0J, +0Q, +b1100000 H, +b1100000 d, +0P, +0r, +0q, +b1110000000000000000000000000001 Q +0x, +b1100000 o, +b1100000 -- +0w, +#14070000 +1} +1I. +1W +1Z +b1010 [ +b1010 z +1Y +1#. +bz1000000000000000000000000000001 . +1&. +b1010 '. +b1010 F. +1%. +#14080000 +00" +0Y. +#14090000 +0S +b11110111 1 +b11110111 n. +b1 M. +b1 l. +1J. +#14100000 +1r. +b11110111 2 +b11110111 q. +1n/ +b11110000000000000000000000000011 " +b11110000000000000000000000000011 R +b11110111 J +b11110111 m/ +1(" +1'" +1." +1:" +b1101011 %" +b1101011 A" +1-" +1?" +1Q. +1]. +1P. +b11110000000000000000000000000011 Q +1W. +1c. +b10011011 N. +b10011011 j. +1V. +1h. +1# +#14110000 +0\. +#14130000 +1F" +1~ +bz1000000000000000000000000000011 . +1#" +b1010 $" +b1010 C" +1"" +1! +1L. +b1011 M. +b1011 l. +1K. +#14140000 +0W" +#14160000 +1u. +b11110000000000000000000000000111 " +b11110000000000000000000000000111 R +b11110111 = +b11110111 t. +1O" +1N" +b11110000000000000000000000000111 Q +1U" +1a" +b1101011 L" +b1101011 h" +1T" +1f" +0# +#14190000 +1m" +1G" +bz1000000000000000000000000000111 . +1J" +b1010 K" +b1010 j" +1I" +1S +b11111111 1 +b11111111 n. +#14200000 +0~" +#14220000 +1x. +b11110000000000000000000000001111 " +b11110000000000000000000000001111 R +b11110111 H +b11110111 w. +1v" +1u" +b11110000000000000000000000001111 Q +1|" +1*# +b1101011 s" +b1101011 1# +1{" +1/# +#14250000 +16# +1n" +bz1000000000000000000000000001111 . +1q" +b1010 r" +b1010 3# +1p" +#14260000 +0G# +#14280000 +1{. +b11110000000000000000000000011111 " +b11110000000000000000000000011111 R +b11110111 K +b11110111 z. +1?# +1># +b11110000000000000000000000011111 Q +1E# +1Q# +b1101011 <# +b1101011 X# +1D# +1V# +#14310000 +1]# +17# +bz1000000000000000000000000011111 . +1:# +b1010 ;# +b1010 Z# +19# +#14320000 +0n# +#14340000 +1~. +b11110000000000000000000000111111 " +b11110000000000000000000000111111 R +b11110111 L +b11110111 }. +1f# +1e# +b11110000000000000000000000111111 Q +1l# +1x# +b1101011 c# +b1101011 !$ +1k# +1}# +#14370000 +1&$ +1^# +bz1000000000000000000000000111111 . +1a# +b1010 b# +b1010 #$ +1`# +#14380000 +07$ +#14400000 +1#/ +b11110000000000000000000001111111 " +b11110000000000000000000001111111 R +b11110111 M +b11110111 "/ +1/$ +1.$ +b11110000000000000000000001111111 Q +15$ +1A$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +#14430000 +1M$ +1'$ +bz1000000000000000000000001111111 . +1*$ +b1010 +$ +b1010 J$ +1)$ +#14440000 +0^$ +#14460000 +1&/ +b11110000000000000000000011111111 " +b11110000000000000000000011111111 R +b11110111 N +b11110111 %/ +1V$ +1U$ +b11110000000000000000000011111111 Q +1\$ +1h$ +b1101011 S$ +b1101011 o$ +1[$ +1m$ +#14490000 +1t$ +1N$ +bz1000000000000000000000011111111 . +1Q$ +b1010 R$ +b1010 q$ +1P$ +#14500000 +0'% +#14520000 +1)/ +b11110000000000000000000111111111 " +b11110000000000000000000111111111 R +b11110111 O +b11110111 (/ +1}$ +1|$ +b11110000000000000000000111111111 Q +1%% +11% +b1101011 z$ +b1101011 8% +1$% +16% +#14550000 +1=% +1u$ +bz1000000000000000000000111111111 . +1x$ +b1010 y$ +b1010 :% +1w$ +#14560000 +0N% +#14580000 +1,/ +b11110000000000000000001111111111 " +b11110000000000000000001111111111 R +b11110111 P +b11110111 +/ +1F% +1E% +b11110000000000000000001111111111 Q +1L% +1X% +b1101011 C% +b1101011 _% +1K% +1]% +#14610000 +1d% +1>% +bz1000000000000000000001111111111 . +1A% +b1010 B% +b1010 a% +1@% +#14620000 +0u% +#14640000 +1// +b11110000000000000000011111111111 " +b11110000000000000000011111111111 R +b11110111 3 +b11110111 ./ +1m% +1l% +b11110000000000000000011111111111 Q +1s% +1!& +b1101011 j% +b1101011 (& +1r% +1&& +#14670000 +1-& +1e% +bz1000000000000000000011111111111 . +1h% +b1010 i% +b1010 *& +1g% +#14680000 +0>& +#14700000 +12/ +b11110000000000000000111111111111 " +b11110000000000000000111111111111 R +b11110111 4 +b11110111 1/ +16& +15& +b11110000000000000000111111111111 Q +1<& +1H& +b1101011 3& +b1101011 O& +1;& +1M& +#14730000 +1T& +1.& +bz1000000000000000000111111111111 . +11& +b1010 2& +b1010 Q& +10& +#14740000 +0e& +#14760000 +15/ +b11110000000000000001111111111111 " +b11110000000000000001111111111111 R +b11110111 5 +b11110111 4/ +1]& +1\& +b11110000000000000001111111111111 Q +1c& +1o& +b1101011 Z& +b1101011 v& +1b& +1t& +#14790000 +1{& +1U& +bz1000000000000000001111111111111 . +1X& +b1010 Y& +b1010 x& +1W& +#14800000 +0.' +#14820000 +18/ +b11110000000000000011111111111111 " +b11110000000000000011111111111111 R +b11110111 6 +b11110111 7/ +1&' +1%' +b11110000000000000011111111111111 Q +1,' +18' +b1101011 #' +b1101011 ?' +1+' +1=' +#14850000 +1D' +1|& +bz1000000000000000011111111111111 . +1!' +b1010 "' +b1010 A' +1~& +#14860000 +0U' +#14880000 +1;/ +b11110000000000000111111111111111 " +b11110000000000000111111111111111 R +b11110111 7 +b11110111 :/ +1M' +1L' +b11110000000000000111111111111111 Q +1S' +1_' +b1101011 J' +b1101011 f' +1R' +1d' +#14910000 +1k' +1E' +bz1000000000000000111111111111111 . +1H' +b1010 I' +b1010 h' +1G' +#14920000 +0|' +#14940000 +1>/ +b11110000000000001111111111111111 " +b11110000000000001111111111111111 R +b11110111 8 +b11110111 =/ +1t' +1s' +b11110000000000001111111111111111 Q +1z' +1(( +b1101011 q' +b1101011 /( +1y' +1-( +#14970000 +14( +1l' +bz1000000000000001111111111111111 . +1o' +b1010 p' +b1010 1( +1n' +#14980000 +0E( +#15000000 +1A/ +b11110000000000011111111111111111 " +b11110000000000011111111111111111 R +b11110111 9 +b11110111 @/ +1=( +1<( +b11110000000000011111111111111111 Q +1C( +1O( +b1101011 :( +b1101011 V( +1B( +1T( +#15030000 +1[( +15( +bz1000000000000011111111111111111 . +18( +b1010 9( +b1010 X( +17( +#15040000 +0l( +#15060000 +1D/ +b11110000000000111111111111111111 " +b11110000000000111111111111111111 R +b11110111 : +b11110111 C/ +1d( +1c( +b11110000000000111111111111111111 Q +1j( +1v( +b1101011 a( +b1101011 }( +1i( +1{( +#15090000 +1$) +1\( +bz1000000000000111111111111111111 . +1_( +b1010 `( +b1010 !) +1^( +#15100000 +05) +#15120000 +1G/ +b11110000000001111111111111111111 " +b11110000000001111111111111111111 R +b11110111 ; +b11110111 F/ +1-) +1,) +b11110000000001111111111111111111 Q +13) +1?) +b1101011 *) +b1101011 F) +12) +1D) +#15150000 +1K) +1%) +bz1000000000001111111111111111111 . +1() +b1010 )) +b1010 H) +1') +#15160000 +0\) +#15180000 +1J/ +b11110000000011111111111111111111 " +b11110000000011111111111111111111 R +b11110111 < +b11110111 I/ +1T) +1S) +b11110000000011111111111111111111 Q +1Z) +1f) +b1101011 Q) +b1101011 m) +1Y) +1k) +#15210000 +1r) +1L) +bz1000000000011111111111111111111 . +1O) +b1010 P) +b1010 o) +1N) +#15220000 +0%* +#15240000 +1M/ +b11110000000111111111111111111111 " +b11110000000111111111111111111111 R +b11110111 > +b11110111 L/ +1{) +1z) +b11110000000111111111111111111111 Q +1#* +1/* +b1101011 x) +b1101011 6* +1"* +14* +#15270000 +1;* +1s) +bz1000000000111111111111111111111 . +1v) +b1010 w) +b1010 8* +1u) +#15280000 +0L* +#15300000 +1P/ +b11110000001111111111111111111111 " +b11110000001111111111111111111111 R +b11110111 ? +b11110111 O/ +1D* +1C* +b11110000001111111111111111111111 Q +1J* +1V* +b1101011 A* +b1101011 ]* +1I* +1[* +#15330000 +1b* +1<* +bz1000000001111111111111111111111 . +1?* +b1010 @* +b1010 _* +1>* +#15340000 +0s* +#15360000 +1S/ +b11110000011111111111111111111111 " +b11110000011111111111111111111111 R +b11110111 @ +b11110111 R/ +1k* +1j* +b11110000011111111111111111111111 Q +1q* +1}* +b1101011 h* +b1101011 &+ +1p* +1$+ +#15390000 +1++ +1c* +bz1000000011111111111111111111111 . +1f* +b1010 g* +b1010 (+ +1e* +#15400000 +0<+ +#15420000 +1V/ +b11110000111111111111111111111111 " +b11110000111111111111111111111111 R +b11110111 A +b11110111 U/ +14+ +13+ +b11110000111111111111111111111111 Q +1:+ +1F+ +b1101011 1+ +b1101011 M+ +19+ +1K+ +#15450000 +1R+ +1,+ +bz1000000111111111111111111111111 . +1/+ +b1010 0+ +b1010 O+ +1.+ +#15460000 +0c+ +#15480000 +1Y/ +b11110001111111111111111111111111 " +b11110001111111111111111111111111 R +b11110111 B +b11110111 X/ +1[+ +1Z+ +b11110001111111111111111111111111 Q +1a+ +1m+ +b1101011 X+ +b1101011 t+ +1`+ +1r+ +#15510000 +1y+ +1S+ +bz1000001111111111111111111111111 . +1V+ +b1010 W+ +b1010 v+ +1U+ +#15520000 +0,, +#15540000 +1\/ +b11110011111111111111111111111111 " +b11110011111111111111111111111111 R +b11110111 C +b11110111 [/ +1$, +1#, +b11110011111111111111111111111111 Q +1*, +16, +b1101011 !, +b1101011 =, +1), +1;, +#15570000 +1B, +1z+ +bz1000011111111111111111111111111 . +1}+ +b1010 ~+ +b1010 ?, +1|+ +#15580000 +0S, +#15600000 +1_/ +b11110111111111111111111111111111 " +b11110111111111111111111111111111 R +b11110111 D +b11110111 ^/ +1K, +1J, +b11110111111111111111111111111111 Q +1Q, +1], +b1101011 H, +b1101011 d, +1P, +1b, +#15630000 +1i, +1C, +bz1000111111111111111111111111111 . +1F, +b1010 G, +b1010 f, +1E, +#15640000 +0z, +#15660000 +1b/ +b11111111111111111111111111111111 " +b11111111111111111111111111111111 R +b11110111 E +b11110111 a/ +1r, +1q, +b11111111111111111111111111111111 Q +1x, +1&- +b1101011 o, +b1101011 -- +1w, +1+- +#15690000 +12- +1j, +bz1001111111111111111111111111111 . +1m, +b1010 n, +b1010 /- +1l, +#15700000 +0C- +#15720000 +0e/ +b11101111111111111111111111111111 " +b11101111111111111111111111111111 R +b0 F +b0 d/ +0;- +1G- +0:- +b11101111111111111111111111111111 Q +0A- +b10100100 8- +b10100100 T- +0@- +#15750000 +b1 7- +b1 V- +14- +#16000000 +1z& +1j' +1Z( +1J) +1D" +1k" +1[# +1K$ +1;% +1b% +1B' +1i' +00- +0W- +b11000000000010101010000000000001 & +b11000000000010101010000000000001 0 +b10000000000000001100011010101100 % +b10000000000000001100011010101100 / +b1000 ( +b1000 ) +#16010000 +0^" +0c" +0'# +0,# +0u# +0z# +0e$ +0j$ +0U% +0Z% +0|% +0#& +0\' +0a' +0%( +0*( +1J- +1O- +1q- +1v- +#16020000 +0)' +b101011 #' +b101011 ?' +0g( +b101011 a( +b101011 }( +0W) +b101011 Q) +b101011 m) +0R" +b101011 L" +b101011 h" +0y" +b101011 s" +b101011 1# +0i# +b101011 c# +b101011 !$ +0Y$ +b101011 S$ +b101011 o$ +0I% +b101011 C% +b101011 _% +0p% +b101011 j% +b101011 (& +0P' +b101011 J' +b101011 f' +0v' +0w' +b1011 q' +b1011 /( +1>- +b11100100 8- +b11100100 T- +1e- +b11101111 _- +b11101111 {- +#16030000 +1-' +1*' +b10101111 #' +b10101111 ?' +13' +10' +14' +16' +19' +1;' +1k( +1h( +b10101111 a( +b10101111 }( +1q( +1n( +1r( +1t( +1w( +1y( +1[) +1X) +b10101111 Q) +b10101111 m) +1a) +1^) +1b) +1d) +1g) +1i) +1V" +1S" +b10101111 L" +b10101111 h" +1\" +1Y" +1]" +1b" +1}" +1z" +b10101111 s" +b10101111 1# +1%# +1"# +1&# +1+# +1m# +1j# +b10101111 c# +b10101111 !$ +1s# +1p# +1t# +1y# +1]$ +1Z$ +b10101111 S$ +b10101111 o$ +1c$ +1`$ +1d$ +1i$ +1M% +1J% +b10101111 C% +b10101111 _% +1S% +1P% +1T% +1Y% +1t% +1q% +b10101111 j% +b10101111 (& +1z% +1w% +1{% +1"& +1T' +1Q' +b10101111 J' +b10101111 f' +1Z' +1W' +1[' +1`' +1u' +1x' +b10011011 q' +b10011011 /( +1}' +1~' +0B- +0?- +b1100000 8- +b1100000 T- +0H- +0E- +0I- +0N- +0i- +0f- +b1101011 _- +b1101011 {- +0o- +0l- +0p- +0u- +#16040000 +07' +0<' +0u( +0z( +0e) +0j) +0`" +0e" +0)# +0.# +0w# +0|# +0g$ +0l$ +0W% +0\% +0~% +0%& +0^' +0c' +1L- +1Q- +1s- +1x- +#16060000 +08/ +b0 6 +b0 7/ +0D/ +b0 : +b0 C/ +0J/ +b0 < +b0 I/ +0u. +b0 = +b0 t. +0x. +b0 H +b0 w. +0~. +b0 L +b0 }. +0&/ +b0 N +b0 %/ +0,/ +b0 P +b0 +/ +0// +b0 3 +b0 ./ +0;/ +b0 7 +b0 :/ +1e/ +b11110111 F +b11110111 d/ +0h/ +b11011111111101011001100101010011 " +b11011111111101011001100101010011 R +b0 G +b0 g/ +0&' +12' +0%' +0,' +b10100100 #' +b10100100 ?' +0+' +0d( +1p( +0c( +0j( +b10100100 a( +b10100100 }( +0i( +0T) +1`) +0S) +0Z) +b10100100 Q) +b10100100 m) +0Y) +0O" +1[" +0N" +0U" +b10100100 L" +b10100100 h" +0T" +0v" +1$# +0u" +0|" +b10100100 s" +b10100100 1# +0{" +0f# +1r# +0e# +0l# +b10100100 c# +b10100100 !$ +0k# +0V$ +1b$ +0U$ +0\$ +b10100100 S$ +b10100100 o$ +0[$ +0F% +1R% +0E% +0L% +b10100100 C% +b10100100 _% +0K% +0m% +1y% +0l% +0s% +b10100100 j% +b10100100 (& +0r% +0M' +1Y' +0L' +0S' +b10100100 J' +b10100100 f' +0R' +1"( +1;- +0G- +1:- +1A- +b1101011 8- +b1101011 T- +1@- +0b- +0a- +b11011111111101011001100101010011 Q +0h- +b1100000 _- +b1100000 {- +0g- +#16070000 +08' +0=' +0v( +0{( +0f) +0k) +0a" +0f" +0*# +0/# +0x# +0}# +0h$ +0m$ +0X% +0]% +0!& +0&& +0_' +0d' +1M- +1R- +#16090000 +b1011 "' +b1011 A' +1}& +b1011 `( +b1011 !) +1]( +b1011 P) +b1011 o) +1M) +b1011 K" +b1011 j" +1H" +b1011 r" +b1011 3# +1o" +b1011 b# +b1011 #$ +1_# +b1011 R$ +b1011 q$ +1O$ +b1011 B% +b1011 a% +1?% +b1011 i% +b1011 *& +1f% +b1011 I' +b1011 h' +1F' +b1011 p' +b1011 1( +1m' +b0 7- +b0 V- +04- +#16100000 +0m" +06# +0&$ +0t$ +0d% +0-& +0k' +1Y- +0G" +0J" +b1 K" +b1 j" +0I" +0n" +0q" +b1 r" +b1 3# +0p" +0^# +0a# +b1 b# +b1 #$ +0`# +0N$ +0Q$ +b1 R$ +b1 q$ +0P$ +0>% +0A% +b1 B% +b1 a% +0@% +0e% +0h% +b1 i% +b1 *& +0g% +0E' +0H' +b1 I' +b1 h' +0G' +13- +bz1011111111111111011100101010011 . +16- +b1010 7- +b1010 V- +15- +#16110000 +1~" +1G# +17$ +1'% +1u% +1>& +1|' +0j- +#16130000 +1x. +b11110111 H +b11110111 w. +0{. +b0 K +b0 z. +0#/ +b0 M +b0 "/ +0)/ +b0 O +b0 (/ +1// +b11110111 3 +b11110111 ./ +02/ +b0 4 +b0 1/ +0>/ +b0 8 +b0 =/ +1h/ +b11111111111101010001010000001011 " +b11111111111101010001010000001011 R +b11110111 G +b11110111 g/ +1v" +0$# +1u" +1|" +b10101111 s" +b10101111 1# +1{" +0?# +0># +0E# +0Q# +b1100000 <# +b1100000 X# +0D# +0V# +0/$ +0.$ +05$ +0A$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0}$ +0|$ +0%% +01% +b1100000 z$ +b1100000 8% +0$% +06% +1m% +0y% +1l% +1s% +b10101111 j% +b10101111 (& +1r% +06& +05& +0<& +0H& +b1100000 3& +b1100000 O& +0;& +0M& +0t' +0"( +0s' +0z' +0(( +b10010000 q' +b10010000 /( +0y' +0-( +1b- +1a- +b11111111111101010001010000001011 Q +1h- +1t- +b1101011 _- +b1101011 {- +1g- +1y- +#16140000 +1!( +#16160000 +0]# +0M$ +0=% +0T& +04( +1". +b0 r" +b0 3# +0o" +07# +0:# +b0 ;# +b0 Z# +09# +0'$ +0*$ +b0 +$ +b0 J$ +0)$ +0u$ +0x$ +b0 y$ +b0 :% +0w$ +b0 i% +b0 *& +0f% +0.& +01& +b0 2& +b0 Q& +00& +0l' +0o' +b1 p' +b1 1( +0n' +1Z- +bz1111111111111110011000000000011 . +1]- +b1010 ^- +b1010 }- +1\- +#16170000 +1n# +1^$ +1N% +1e& +1E( +03. +#16190000 +1~. +b11110111 L +b11110111 }. +1&/ +b11110111 N +b11110111 %/ +1,/ +b11110111 P +b11110111 +/ +05/ +b0 5 +b0 4/ +0A/ +b0 9 +b0 @/ +0k/ +b10111111111101000000011010101011 " +b10111111111101000000011010101011 R +b0 I +b0 j/ +1f# +0r# +1e# +1l# +b10101111 c# +b10101111 !$ +1k# +1V$ +0b$ +1U$ +1\$ +b10101111 S$ +b10101111 o$ +1[$ +1F% +0R% +1E% +1L% +b10101111 C% +b10101111 _% +1K% +0]& +0\& +0c& +0o& +b1100000 Z& +b1100000 v& +0b& +0t& +0=( +0<( +0C( +0O( +b1100000 :( +b1100000 V( +0B( +0T( +0+. +17. +0*. +b10111111111101000000011010101011 Q +01. +b10100100 (. +b10100100 D. +00. +#16220000 +0{& +0[( +b0 b# +b0 #$ +0_# +b0 R$ +b0 q$ +0O$ +b0 B% +b0 a% +0?% +0U& +0X& +b0 Y& +b0 x& +0W& +05( +bz1111111111111100010000000000011 . +08( +b0 9( +b0 X( +07( +b1011 '. +b1011 F. +1$. +#16230000 +1.' +1l( +#16250000 +18/ +b11110111 6 +b11110111 7/ +1D/ +b10111111111101100010011010101011 " +b10111111111101100010011010101011 R +b11110111 : +b11110111 C/ +1&' +02' +1%' +1,' +b10101111 #' +b10101111 ?' +1+' +1d( +0p( +1c( +b10111111111101100010011010101011 Q +1j( +b10101111 a( +b10101111 }( +1i( +#16280000 +b1010 "' +b1010 A' +0}& +b1010 `( +b1010 !) +0]( +#18000000 +0!. +0D" +0k" +0[# +0K$ +0;% +0b% +0B' +0i' +1~- +0G. +b10000000000010101010000000000001 & +b10000000000010101010000000000001 0 +b1000000000000000000000000000000 % +b1000000000000000000000000000000 / +b1001 ( +b1001 ) +#18010000 +1^" +1c" +1'# +1,# +1u# +1z# +1e$ +1j$ +1U% +1Z% +1|% +1#& +1\' +1a' +1%( +1*( +0:. +0?. +1`. +1e. +#18020000 +1R" +b11100100 L" +b11100100 h" +1y" +b11101111 s" +b11101111 1# +1i# +b11101111 c# +b11101111 !$ +1Y$ +b11101111 S$ +b11101111 o$ +1I% +b11101111 C% +b11101111 _% +1p% +b11101111 j% +b11101111 (& +1P' +b11100100 J' +b11100100 f' +1v' +b10110000 q' +b10110000 /( +1S. +b10111011 N. +b10111011 j. +#18030000 +0;. +0@. +0V" +0S" +b1100000 L" +b1100000 h" +0\" +0Y" +0]" +0b" +0}" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +0+# +0m# +0j# +b1101011 c# +b1101011 !$ +0s# +0p# +0t# +0y# +0]$ +0Z$ +b1101011 S$ +b1101011 o$ +0c$ +0`$ +0d$ +0i$ +0M% +0J% +b1101011 C% +b1101011 _% +0S% +0P% +0T% +0Y% +0t% +0q% +b1101011 j% +b1101011 (& +0z% +0w% +0{% +0"& +0T' +0Q' +b1100000 J' +b1100000 f' +0Z' +0W' +0[' +0`' +1{' +0u' +b10100100 q' +b10100100 /( +1#( +0}' +1$( +1)( +1X. +0R. +b10101111 N. +b10101111 j. +1^. +0Z. +1_. +1d. +#18040000 +1`" +1e" +1)# +1.# +1w# +1|# +1g$ +1l$ +1W% +1\% +1~% +1%& +1^' +1c' +0'( +0,( +0b. +0g. +1&( +1+( +1a. +1f. +#18060000 +0I. +1u. +b11110111 = +b11110111 t. +0x. +b0 H +b0 w. +0~. +b0 L +b0 }. +0&/ +b0 N +b0 %/ +0,/ +b0 P +b0 +/ +0// +b0 3 +b0 ./ +1;/ +b11110111 7 +b11110111 :/ +1>/ +b11110111 8 +b11110111 =/ +0n/ +b111111111101101110000000000111 " +b111111111101101110000000000111 R +b0 J +b0 m/ +0#. +bz0111111111111100010000000000011 . +0&. +b1 '. +b1 F. +0%. +1O" +0[" +1N" +1U" +b1101011 L" +b1101011 h" +1T" +0v" +0u" +0|" +b1100000 s" +b1100000 1# +0{" +0f# +0e# +0l# +b1100000 c# +b1100000 !$ +0k# +0V$ +0U$ +0\$ +b1100000 S$ +b1100000 o$ +0[$ +0F% +0E% +0L% +b1100000 C% +b1100000 _% +0K% +0m% +0l% +0s% +b1100000 j% +b1100000 (& +0r% +1M' +0Y' +1L' +1S' +b1101011 J' +b1101011 f' +1R' +1t' +0!( +1s' +1z' +b10101111 q' +b10101111 /( +1y' +0Q. +0P. +b111111111101101110000000000111 Q +0W. +b10100100 N. +b10100100 j. +0V. +#18070000 +14( +1Y. +1a" +1f" +1_' +1d' +0c. +0h. +1l' +bz0111111111111101010000000000011 . +1o' +b1011 p' +b1011 1( +1n' +#18080000 +0E( +#18090000 +1n/ +b10111111111101101110000000000111 " +b10111111111101101110000000000111 R +b11110111 J +b11110111 m/ +1Q. +0]. +1P. +b10111111111101101110000000000111 Q +1W. +b10101111 N. +b10101111 j. +1V. +1# +0S +b11110111 1 +b11110111 n. +b0 K" +b0 j" +0H" +b0 I' +b0 h' +0F' +b1010 p' +b1010 1( +0m' +#18100000 +1A/ +b10111111111101111110000000000111 " +b10111111111101111110000000000111 R +b11110111 9 +b11110111 @/ +1m" +1k' +1=( +1<( +b10111111111101111110000000000111 Q +1C( +1O( +b1101011 :( +b1101011 V( +1B( +1T( +1G" +1J" +b1010 K" +b1010 j" +1I" +1E' +bz0111111111111101110000000000111 . +1H' +b1010 I' +b1010 h' +1G' +#18110000 +0~" +0|' +#18120000 +b1010 M. +b1010 l. +0J. +#18130000 +1x. +b11110111 H +b11110111 w. +0>/ +b10111111111101110110000000001111 " +b10111111111101110110000000001111 R +b0 8 +b0 =/ +1[( +1v" +1u" +1|" +1*# +b1101011 s" +b1101011 1# +1{" +1/# +0t' +1"( +0s' +b10111111111101110110000000001111 Q +0z' +b10100100 q' +b10100100 /( +0y' +15( +bz0111111111111111110000000000111 . +18( +b1010 9( +b1010 X( +17( +#18140000 +0l( +#18160000 +0D/ +b10111111111101010110000000001111 " +b10111111111101010110000000001111 R +b0 : +b0 C/ +16# +0d( +1p( +0c( +b10111111111101010110000000001111 Q +0j( +b10100100 a( +b10100100 }( +0i( +1n" +bz0111111111111111110000000001111 . +1q" +b1010 r" +b1010 3# +1p" +b1011 p' +b1011 1( +1m' +#18170000 +0G# +#18190000 +1{. +b10111111111101010110000000011111 " +b10111111111101010110000000011111 R +b11110111 K +b11110111 z. +1?# +1># +b10111111111101010110000000011111 Q +1E# +1Q# +b1101011 <# +b1101011 X# +1D# +1V# +b1011 `( +b1011 !) +1]( +#18220000 +1]# +17# +bz0111111111111111110000000011111 . +1:# +b1010 ;# +b1010 Z# +19# +#18230000 +0n# +#18250000 +1~. +b10111111111101010110000000111111 " +b10111111111101010110000000111111 R +b11110111 L +b11110111 }. +1f# +1e# +b10111111111101010110000000111111 Q +1l# +1x# +b1101011 c# +b1101011 !$ +1k# +1}# +#18280000 +1&$ +1^# +bz0111111111111111110000000111111 . +1a# +b1010 b# +b1010 #$ +1`# +#18290000 +07$ +#18310000 +1#/ +b10111111111101010110000001111111 " +b10111111111101010110000001111111 R +b11110111 M +b11110111 "/ +1/$ +1.$ +b10111111111101010110000001111111 Q +15$ +1A$ +b1101011 ,$ +b1101011 H$ +14$ +1F$ +#18340000 +1M$ +1'$ +bz0111111111111111110000001111111 . +1*$ +b1010 +$ +b1010 J$ +1)$ +#18350000 +0^$ +#18370000 +1&/ +b10111111111101010110000011111111 " +b10111111111101010110000011111111 R +b11110111 N +b11110111 %/ +1V$ +1U$ +b10111111111101010110000011111111 Q +1\$ +1h$ +b1101011 S$ +b1101011 o$ +1[$ +1m$ +#18400000 +1t$ +1N$ +bz0111111111111111110000011111111 . +1Q$ +b1010 R$ +b1010 q$ +1P$ +#18410000 +0'% +#18430000 +1)/ +b10111111111101010110000111111111 " +b10111111111101010110000111111111 R +b11110111 O +b11110111 (/ +1}$ +1|$ +b10111111111101010110000111111111 Q +1%% +11% +b1101011 z$ +b1101011 8% +1$% +16% +#18460000 +1=% +1u$ +bz0111111111111111110000111111111 . +1x$ +b1010 y$ +b1010 :% +1w$ +#18470000 +0N% +#18490000 +1,/ +b10111111111101010110001111111111 " +b10111111111101010110001111111111 R +b11110111 P +b11110111 +/ +1F% +1E% +b10111111111101010110001111111111 Q +1L% +1X% +b1101011 C% +b1101011 _% +1K% +1]% +#18520000 +1d% +1>% +bz0111111111111111110001111111111 . +1A% +b1010 B% +b1010 a% +1@% +#18530000 +0u% +#18550000 +1// +b10111111111101010110011111111111 " +b10111111111101010110011111111111 R +b11110111 3 +b11110111 ./ +1m% +1l% +b10111111111101010110011111111111 Q +1s% +1!& +b1101011 j% +b1101011 (& +1r% +1&& +#18580000 +1-& +1e% +bz0111111111111111110011111111111 . +1h% +b1010 i% +b1010 *& +1g% +#18590000 +0>& +#18610000 +12/ +b10111111111101010110111111111111 " +b10111111111101010110111111111111 R +b11110111 4 +b11110111 1/ +16& +15& +b10111111111101010110111111111111 Q +1<& +1H& +b1101011 3& +b1101011 O& +1;& +1M& +#18640000 +1T& +1.& +bz0111111111111111110111111111111 . +11& +b1010 2& +b1010 Q& +10& +#18650000 +0e& +#18670000 +15/ +b10111111111101010111111111111111 " +b10111111111101010111111111111111 R +b11110111 5 +b11110111 4/ +1]& +1\& +b10111111111101010111111111111111 Q +1c& +1o& +b1101011 Z& +b1101011 v& +1b& +1t& +#18700000 +1{& +1U& +bz0111111111111111111111111111111 . +1X& +b1010 Y& +b1010 x& +1W& +#18710000 +0.' +#18730000 +08/ +b10111111111101010101111111111111 " +b10111111111101010101111111111111 R +b0 6 +b0 7/ +0&' +12' +0%' +b10111111111101010101111111111111 Q +0,' +b10100100 #' +b10100100 ?' +0+' +#18760000 +b1011 "' +b1011 A' +1}& +#20000000 +1!. +0H. +0~- +1G. +b1000000000010101010000000000001 & +b1000000000010101010000000000001 0 +b10000000000000000000000000000000 % +b10000000000000000000000000000000 / +b1010 ( +b1010 ) +#20010000 +1:. +1?. +0`. +0e. +#20030000 +0a. +0f. +#20040000 +1;. +1@. +#20060000 +0! +0L. +b0 M. +b0 l. +0K. +#20070000 +1I. +1#. +bz1111111111111111111111111111111 . +1&. +b1011 '. +b1011 F. +1%. +#20080000 +0Y. +#20100000 +0n/ +b111111111101010101111111111111 " +b111111111101010101111111111111 R +b0 J +b0 m/ +0Q. +1]. +0P. +b111111111101010101111111111111 Q +0W. +b10100100 N. +b10100100 j. +0V. +#20130000 +1S +b11111111 1 +b11111111 n. +b1 M. +b1 l. +1J. +#21000000 +0z& +0j' +0Z( +0J) +0!. +0G. +0} +0r. +b0 2 +b0 q. +0F" +0u. +b0 = +b0 t. +0m" +0x. +b0 H +b0 w. +06# +0{. +b0 K +b0 z. +0]# +0~. +b0 L +b0 }. +0&$ +0#/ +b0 M +b0 "/ +0M$ +0&/ +b0 N +b0 %/ +0t$ +0)/ +b0 O +b0 (/ +0=% +0,/ +b0 P +b0 +/ +0d% +0// +b0 3 +b0 ./ +0-& +02/ +b0 4 +b0 1/ +0T& +05/ +b0 5 +b0 4/ +0{& +18/ +b11110111 6 +b11110111 7/ +0D' +0;/ +b0 7 +b0 :/ +0k' +1>/ +b11110111 8 +b11110111 =/ +04( +0A/ +b0 9 +b0 @/ +0[( +1D/ +b11110111 : +b11110111 C/ +0$) +0G/ +b0 ; +b0 F/ +0K) +1J/ +b11110111 < +b11110111 I/ +0r) +0M/ +b0 > +b0 L/ +0;* +0P/ +b0 ? +b0 O/ +0b* +0S/ +b0 @ +b0 R/ +0++ +0V/ +b0 A +b0 U/ +0R+ +0Y/ +b0 B +b0 X/ +0y+ +0\/ +b0 C +b0 [/ +0B, +0_/ +b0 D +b0 ^/ +0i, +0b/ +b0 E +b0 a/ +02- +0e/ +b0 F +b0 d/ +0Y- +0h/ +b0 G +b0 g/ +0". +1k/ +b11110111 I +b11110111 j/ +0I. +1n/ +b11000000000010101010000000000001 " +b11000000000010101010000000000001 R +b11110111 J +b11110111 m/ +b1 & +b1 0 +b0 % +b0 / +b10 ' +b10 - +b10 ] +b10 w +b10 y +b10 &" +b10 @" +b10 B" +b10 M" +b10 g" +b10 i" +b10 t" +b10 0# +b10 2# +b10 =# +b10 W# +b10 Y# +b10 d# +b10 ~# +b10 "$ +b10 -$ +b10 G$ +b10 I$ +b10 T$ +b10 n$ +b10 p$ +b10 {$ +b10 7% +b10 9% +b10 D% +b10 ^% +b10 `% +b10 k% +b10 '& +b10 )& +b10 4& +b10 N& +b10 P& +b10 [& +b10 u& +b10 w& +b10 $' +b10 >' +b10 @' +b10 K' +b10 e' +b10 g' +b10 r' +b10 .( +b10 0( +b10 ;( +b10 U( +b10 W( +b10 b( +b10 |( +b10 ~( +b10 +) +b10 E) +b10 G) +b10 R) +b10 l) +b10 n) +b10 y) +b10 5* +b10 7* +b10 B* +b10 \* +b10 ^* +b10 i* +b10 %+ +b10 '+ +b10 2+ +b10 L+ +b10 N+ +b10 Y+ +b10 s+ +b10 u+ +b10 ", +b10 <, +b10 >, +b10 I, +b10 c, +b10 e, +b10 p, +b10 ,- +b10 .- +b10 9- +b10 S- +b10 U- +b10 `- +b10 z- +b10 |- +b10 ). +b10 C. +b10 E. +b10 O. +b10 i. +b10 k. +b10 m. +b10 p. +b10 s. +b10 v. +b10 y. +b10 |. +b10 !/ +b10 $/ +b10 '/ +b10 */ +b10 -/ +b10 0/ +b10 3/ +b10 6/ +b10 9/ +b10 # +07# +0e# +0^# +0.$ +0'$ +0U$ +0N$ +0|$ +0u$ +0E% +0>% +0l% +0e% +05& +0.& +0\& +0U& +1%' +0|& +0L' +0E' +1s' +0l' +0<( +05( +1c( +0\( +0,) +0%) +1S) +0L) +0z) +0s) +0C* +0<* +0j* +0c* +03+ +0,+ +0Z+ +0S+ +0#, +0z+ +0J, +0C, +0q, +0j, +0:- +03- +0a- +0Z- +1*. +0#. +bz0000000000000000000000000000000 . +1P. +b11000000000010101010000000000001 Q +b1011 ( +b1011 ) +#21010000 +1`. +1e. +10" +1W" +1~" +1G# +1n# +17$ +1^$ +1'% +1N% +1u% +1>& +1e& +1.' +1U' +1|' +1E( +1l( +15) +1\) +1%* +1L* +1s* +1<+ +1c+ +1,, +1S, +1z, +1C- +1j- +13. +1Y. +#21020000 +1)' +b11100100 #' +b11100100 ?' +1w' +b11100100 q' +b11100100 /( +1g( +b11100100 a( +b11100100 }( +1W) +b11100100 Q) +b11100100 m) +1.. +b11100100 (. +b11100100 D. +1T. +b11100100 N. +b11100100 j. +#21030000 +08/ +b0 6 +b0 7/ +0>/ +b0 8 +b0 =/ +0D/ +b0 : +b0 C/ +0J/ +b0 < +b0 I/ +0k/ +b0 I +b0 j/ +0n/ +b1 " +b1 R +b0 J +b0 m/ +0-' +0%' +0*' +03' +00' +04' +06' +09' +0;' +0{' +0s' +0x' +0#( +0~' +0$( +0&( +0)( +0+( +0k( +0c( +0h( +0q( +0n( +0r( +0t( +0w( +0y( +0[) +0S) +0X) +0a) +0^) +0b) +0d) +0g) +0i) +02. +0*. +0/. +08. +05. +09. +0;. +0>. +0@. +0X. +0P. +b1 Q +0U. +0^. +0[. +0_. +0d. +0(" +0." +0:" +b1100000 %" +b1100000 A" +0-" +0?" +0O" +0U" +0a" +b1100000 L" +b1100000 h" +0T" +0f" +0v" +0|" +0*# +b1100000 s" +b1100000 1# +0{" +0/# +0?# +0E# +0Q# +b1100000 <# +b1100000 X# +0D# +0V# +0f# +0l# +0x# +b1100000 c# +b1100000 !$ +0k# +0}# +0/$ +05$ +0A$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +0V$ +0\$ +0h$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +0}$ +0%% +01% +b1100000 z$ +b1100000 8% +0$% +06% +0F% +0L% +0X% +b1100000 C% +b1100000 _% +0K% +0]% +0m% +0s% +0!& +b1100000 j% +b1100000 (& +0r% +0&& +06& +0<& +0H& +b1100000 3& +b1100000 O& +0;& +0M& +0]& +0c& +0o& +b1100000 Z& +b1100000 v& +0b& +0t& +1&' +02' +1,' +b1101011 #' +b1101011 ?' +1+' +0M' +0S' +0_' +b1100000 J' +b1100000 f' +0R' +0d' +1t' +0"( +1z' +b1101011 q' +b1101011 /( +1y' +0=( +0C( +0O( +b1100000 :( +b1100000 V( +0B( +0T( +1d( +0p( +1j( +b1101011 a( +b1101011 }( +1i( +0-) +03) +0?) +b1100000 *) +b1100000 F) +02) +0D) +1T) +0`) +1Z) +b1101011 Q) +b1101011 m) +1Y) +0{) +0#* +0/* +b1100000 x) +b1100000 6* +0"* +04* +0D* +0J* +0V* +b1100000 A* +b1100000 ]* +0I* +0[* +0k* +0q* +0}* +b1100000 h* +b1100000 &+ +0p* +0$+ +04+ +0:+ +0F+ +b1100000 1+ +b1100000 M+ +09+ +0K+ +0[+ +0a+ +0m+ +b1100000 X+ +b1100000 t+ +0`+ +0r+ +0$, +0*, +06, +b1100000 !, +b1100000 =, +0), +0;, +0K, +0Q, +0], +b1100000 H, +b1100000 d, +0P, +0b, +0r, +0x, +0&- +b1100000 o, +b1100000 -- +0w, +0+- +0;- +0A- +0M- +b1100000 8- +b1100000 T- +0@- +0R- +0b- +0h- +0t- +b1100000 _- +b1100000 {- +0g- +0y- +1+. +07. +11. +b1101011 (. +b1101011 D. +10. +1Q. +0]. +1W. +b1101011 N. +b1101011 j. +1V. +0# +0S +b11110111 1 +b11110111 n. +#21040000 +17' +1<' +1'( +1,( +1u( +1z( +1e) +1j) +1<. +1A. +1b. +1g. +#21060000 +0&' +0,' +0!' +b1100000 #' +b1100000 ?' +0+' +0~& +0t' +0z' +0o' +b1100000 q' +b1100000 /( +0y' +0n' +0d( +0j( +0_( +b1100000 a( +b1100000 }( +0i( +0^( +0T) +0Z) +0O) +b1100000 Q) +b1100000 m) +0Y) +0N) +0+. +01. +0&. +b1100000 (. +b1100000 D. +00. +0%. +0Q. +0W. +b1100000 N. +b1100000 j. +0V. +0#" +b0 $" +b0 C" +0"" +0J" +b0 K" +b0 j" +0I" +0q" +b0 r" +b0 3# +0p" +0:# +b0 ;# +b0 Z# +09# +0a# +b0 b# +b0 #$ +0`# +0*$ +b0 +$ +b0 J$ +0)$ +0Q$ +b0 R$ +b0 q$ +0P$ +0x$ +b0 y$ +b0 :% +0w$ +0A% +b0 B% +b0 a% +0@% +0h% +b0 i% +b0 *& +0g% +01& +b0 2& +b0 Q& +00& +0X& +b0 Y& +b0 x& +0W& +b0 "' +b0 A' +0}& +0H' +b0 I' +b0 h' +0G' +b0 p' +b0 1( +0m' +08( +b0 9( +b0 X( +07( +b0 `( +b0 !) +0]( +0() +b0 )) +b0 H) +0') +b0 P) +b0 o) +0M) +0v) +b0 w) +b0 8* +0u) +0?* +b0 @* +b0 _* +0>* +0f* +b0 g* +b0 (+ +0e* +0/+ +b0 0+ +b0 O+ +0.+ +0V+ +b0 W+ +b0 v+ +0U+ +0}+ +b0 ~+ +b0 ?, +0|+ +0F, +b0 G, +b0 f, +0E, +0m, +b0 n, +b0 /- +0l, +06- +b0 7- +b0 V- +05- +0]- +b0 ^- +b0 }- +0\- +b0 '. +b0 F. +0$. +b0 M. +b0 l. +0J. +#23000000 +0U +1| +1T +1} +b10 & +b10 0 +b1 % +b1 / +b11 ' +b11 - +b11 ] +b11 w +b11 y +b11 &" +b11 @" +b11 B" +b11 M" +b11 g" +b11 i" +b11 t" +b11 0# +b11 2# +b11 =# +b11 W# +b11 Y# +b11 d# +b11 ~# +b11 "$ +b11 -$ +b11 G$ +b11 I$ +b11 T$ +b11 n$ +b11 p$ +b11 {$ +b11 7% +b11 9% +b11 D% +b11 ^% +b11 `% +b11 k% +b11 '& +b11 )& +b11 4& +b11 N& +b11 P& +b11 [& +b11 u& +b11 w& +b11 $' +b11 >' +b11 @' +b11 K' +b11 e' +b11 g' +b11 r' +b11 .( +b11 0( +b11 ;( +b11 U( +b11 W( +b11 b( +b11 |( +b11 ~( +b11 +) +b11 E) +b11 G) +b11 R) +b11 l) +b11 n) +b11 y) +b11 5* +b11 7* +b11 B* +b11 \* +b11 ^* +b11 i* +b11 %+ +b11 '+ +b11 2+ +b11 L+ +b11 N+ +b11 Y+ +b11 s+ +b11 u+ +b11 ", +b11 <, +b11 >, +b11 I, +b11 c, +b11 e, +b11 p, +b11 ,- +b11 .- +b11 9- +b11 S- +b11 U- +b11 `- +b11 z- +b11 |- +b11 ). +b11 C. +b11 E. +b11 O. +b11 i. +b11 k. +b11 m. +b11 p. +b11 s. +b11 v. +b11 y. +b11 |. +b11 !/ +b11 $/ +b11 '/ +b11 */ +b11 -/ +b11 0/ +b11 3/ +b11 6/ +b11 9/ +b11 " +#23060000 +0} +b0 2 +b0 q. +1F" +0Z +0W +b0 [ +b0 z +0Y +0(" +14" +0." +0'" +b1 Q +b10100100 %" +b10100100 A" +0-" +1#" +1~ +bz0000000000000000000000000000010 . +b1010 $" +b1010 C" +1"" +#23070000 +10" +0W" +0:" +0?" +#23090000 +b11110111 2 +b11110111 q. +b11110111 = +b11110111 t. +1(" +04" +1." +1'" +b10101111 %" +b10101111 A" +1-" +1O" +1U" +1a" +1N" +b111 Q +b1101011 L" +b1101011 h" +1T" +1f" +b1011 $" +b1011 C" +1!" +#23120000 +1m" +b1010 $" +b1010 C" +0!" +1J" +1G" +bz0000000000000000000000000000110 . +b1010 K" +b1010 j" +1I" +#23130000 +0~" +#23150000 +b11110111 H +b11110111 w. +1v" +1|" +1*# +1u" +b1111 Q +b1101011 s" +b1101011 1# +1{" +1/# +#23180000 +16# +1q" +1n" +bz0000000000000000000000000001110 . +b1010 r" +b1010 3# +1p" +#23190000 +0G# +#23210000 +b11110111 K +b11110111 z. +1?# +1E# +1Q# +1># +b11111 Q +b1101011 <# +b1101011 X# +1D# +1V# +#23240000 +1]# +1:# +17# +bz0000000000000000000000000011110 . +b1010 ;# +b1010 Z# +19# +#23250000 +0n# +#23270000 +b11110111 L +b11110111 }. +1f# +1l# +1x# +1e# +b111111 Q +b1101011 c# +b1101011 !$ +1k# +1}# +#23300000 +1&$ +1a# +1^# +bz0000000000000000000000000111110 . +b1010 b# +b1010 #$ +1`# +#23310000 +07$ +#23330000 +b11110111 M +b11110111 "/ +1/$ +15$ +1A$ +1.$ +b1111111 Q +b1101011 ,$ +b1101011 H$ +14$ +1F$ +#23360000 +1M$ +1*$ +1'$ +bz0000000000000000000000001111110 . +b1010 +$ +b1010 J$ +1)$ +#23370000 +0^$ +#23390000 +b11110111 N +b11110111 %/ +1V$ +1\$ +1h$ +1U$ +b11111111 Q +b1101011 S$ +b1101011 o$ +1[$ +1m$ +#23420000 +1t$ +1Q$ +1N$ +bz0000000000000000000000011111110 . +b1010 R$ +b1010 q$ +1P$ +#23430000 +0'% +#23450000 +b11110111 O +b11110111 (/ +1}$ +1%% +11% +1|$ +b111111111 Q +b1101011 z$ +b1101011 8% +1$% +16% +#23480000 +1=% +1x$ +1u$ +bz0000000000000000000000111111110 . +b1010 y$ +b1010 :% +1w$ +#23490000 +0N% +#23510000 +b11110111 P +b11110111 +/ +1F% +1L% +1X% +1E% +b1111111111 Q +b1101011 C% +b1101011 _% +1K% +1]% +#23540000 +1d% +1A% +1>% +bz0000000000000000000001111111110 . +b1010 B% +b1010 a% +1@% +#23550000 +0u% +#23570000 +b11110111 3 +b11110111 ./ +1m% +1s% +1!& +1l% +b11111111111 Q +b1101011 j% +b1101011 (& +1r% +1&& +#23600000 +1-& +1h% +1e% +bz0000000000000000000011111111110 . +b1010 i% +b1010 *& +1g% +#23610000 +0>& +#23630000 +b11110111 4 +b11110111 1/ +16& +1<& +1H& +15& +b111111111111 Q +b1101011 3& +b1101011 O& +1;& +1M& +#23660000 +1T& +11& +1.& +bz0000000000000000000111111111110 . +b1010 2& +b1010 Q& +10& +#23670000 +0e& +#23690000 +b11110111 5 +b11110111 4/ +1]& +1c& +1o& +1\& +b1111111111111 Q +b1101011 Z& +b1101011 v& +1b& +1t& +#23720000 +1{& +1X& +1U& +bz0000000000000000001111111111110 . +b1010 Y& +b1010 x& +1W& +#23730000 +0.' +#23750000 +b11110111 6 +b11110111 7/ +1&' +1,' +18' +1%' +b11111111111111 Q +b1101011 #' +b1101011 ?' +1+' +1=' +#23780000 +1D' +1!' +1|& +bz0000000000000000011111111111110 . +b1010 "' +b1010 A' +1~& +#23790000 +0U' +#23810000 +b11110111 7 +b11110111 :/ +1M' +1S' +1_' +1L' +b111111111111111 Q +b1101011 J' +b1101011 f' +1R' +1d' +#23840000 +1k' +1H' +1E' +bz0000000000000000111111111111110 . +b1010 I' +b1010 h' +1G' +#23850000 +0|' +#23870000 +b11110111 8 +b11110111 =/ +1t' +1z' +1(( +1s' +b1111111111111111 Q +b1101011 q' +b1101011 /( +1y' +1-( +#23900000 +14( +1o' +1l' +bz0000000000000001111111111111110 . +b1010 p' +b1010 1( +1n' +#23910000 +0E( +#23930000 +b11110111 9 +b11110111 @/ +1=( +1C( +1O( +1<( +b11111111111111111 Q +b1101011 :( +b1101011 V( +1B( +1T( +#23960000 +1[( +18( +15( +bz0000000000000011111111111111110 . +b1010 9( +b1010 X( +17( +#23970000 +0l( +#23990000 +b11110111 : +b11110111 C/ +1d( +1j( +1v( +1c( +b111111111111111111 Q +b1101011 a( +b1101011 }( +1i( +1{( +#24020000 +1$) +1_( +1\( +bz0000000000000111111111111111110 . +b1010 `( +b1010 !) +1^( +#24030000 +05) +#24050000 +b11110111 ; +b11110111 F/ +1-) +13) +1?) +1,) +b1111111111111111111 Q +b1101011 *) +b1101011 F) +12) +1D) +#24080000 +1K) +1() +1%) +bz0000000000001111111111111111110 . +b1010 )) +b1010 H) +1') +#24090000 +0\) +#24110000 +b11110111 < +b11110111 I/ +1T) +1Z) +1f) +1S) +b11111111111111111111 Q +b1101011 Q) +b1101011 m) +1Y) +1k) +#24140000 +1r) +1O) +1L) +bz0000000000011111111111111111110 . +b1010 P) +b1010 o) +1N) +#24150000 +0%* +#24170000 +b11110111 > +b11110111 L/ +1{) +1#* +1/* +1z) +b111111111111111111111 Q +b1101011 x) +b1101011 6* +1"* +14* +#24200000 +1;* +1v) +1s) +bz0000000000111111111111111111110 . +b1010 w) +b1010 8* +1u) +#24210000 +0L* +#24230000 +b11110111 ? +b11110111 O/ +1D* +1J* +1V* +1C* +b1111111111111111111111 Q +b1101011 A* +b1101011 ]* +1I* +1[* +#24260000 +1b* +1?* +1<* +bz0000000001111111111111111111110 . +b1010 @* +b1010 _* +1>* +#24270000 +0s* +#24290000 +b11110111 @ +b11110111 R/ +1k* +1q* +1}* +1j* +b11111111111111111111111 Q +b1101011 h* +b1101011 &+ +1p* +1$+ +#24320000 +1++ +1f* +1c* +bz0000000011111111111111111111110 . +b1010 g* +b1010 (+ +1e* +#24330000 +0<+ +#24350000 +b11110111 A +b11110111 U/ +14+ +1:+ +1F+ +13+ +b111111111111111111111111 Q +b1101011 1+ +b1101011 M+ +19+ +1K+ +#24380000 +1R+ +1/+ +1,+ +bz0000000111111111111111111111110 . +b1010 0+ +b1010 O+ +1.+ +#24390000 +0c+ +#24410000 +b11110111 B +b11110111 X/ +1[+ +1a+ +1m+ +1Z+ +b1111111111111111111111111 Q +b1101011 X+ +b1101011 t+ +1`+ +1r+ +#24440000 +1y+ +1V+ +1S+ +bz0000001111111111111111111111110 . +b1010 W+ +b1010 v+ +1U+ +#24450000 +0,, +#24470000 +b11110111 C +b11110111 [/ +1$, +1*, +16, +1#, +b11111111111111111111111111 Q +b1101011 !, +b1101011 =, +1), +1;, +#24500000 +1B, +1}+ +1z+ +bz0000011111111111111111111111110 . +b1010 ~+ +b1010 ?, +1|+ +#24510000 +0S, +#24530000 +b11110111 D +b11110111 ^/ +1K, +1Q, +1], +1J, +b111111111111111111111111111 Q +b1101011 H, +b1101011 d, +1P, +1b, +#24560000 +1i, +1F, +1C, +bz0000111111111111111111111111110 . +b1010 G, +b1010 f, +1E, +#24570000 +0z, +#24590000 +b11110111 E +b11110111 a/ +1r, +1x, +1&- +1q, +b1111111111111111111111111111 Q +b1101011 o, +b1101011 -- +1w, +1+- +#24620000 +12- +1m, +1j, +bz0001111111111111111111111111110 . +b1010 n, +b1010 /- +1l, +#24630000 +0C- +#24650000 +b11110111 F +b11110111 d/ +1;- +1A- +1M- +1:- +b11111111111111111111111111111 Q +b1101011 8- +b1101011 T- +1@- +1R- +#24680000 +1Y- +16- +13- +bz0011111111111111111111111111110 . +b1010 7- +b1010 V- +15- +#24690000 +0j- +#24710000 +b11110111 G +b11110111 g/ +1b- +1h- +1t- +1a- +b111111111111111111111111111111 Q +b1101011 _- +b1101011 {- +1g- +1y- +#24740000 +1". +1]- +1Z- +bz0111111111111111111111111111110 . +b1010 ^- +b1010 }- +1\- +#24750000 +03. +#24770000 +b11110111 I +b11110111 j/ +1+. +11. +1=. +1*. +b1111111111111111111111111111111 Q +b1101011 (. +b1101011 D. +10. +1B. +#24800000 +1I. +1&. +1#. +bz1111111111111111111111111111110 . +b1010 '. +b1010 F. +1%. +#24810000 +0Y. +#24830000 +b11110111 J +b11110111 m/ +1Q. +1W. +1c. +1P. +b11111111111111111111111111111111 Q +b1101011 N. +b1101011 j. +1V. +1h. +1# +#24860000 +1L. +1! +b1010 M. +b1010 l. +1K. +#24890000 +0# +#24920000 +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +#25000000 +0T +1k" +b1000 % +b1000 / +b1101 ( +1* +b1101 ) +#25010000 +1n +1s +0'# +0,# +#25020000 +1b +b11101111 \ +b11101111 x +0y" +b101011 s" +b101011 1# +#25030000 +0f +0c +b1101011 \ +b1101011 x +0l +0i +0m +0r +1}" +1z" +b10101111 s" +b10101111 1# +1%# +1"# +1&# +1+# +#25040000 +1p +1u +0)# +0.# +#25060000 +b1000 1 +b1000 n. +b0 H +b0 w. +0_ +0e +0^ +b1100000 \ +b1100000 x +0d +0v" +1$# +0|" +0u" +b11111111111111111111111111110110 Q +b10100100 s" +b10100100 1# +0{" +#25070000 +0*# +0/# +#25090000 +b1011 r" +b1011 3# +1o" +#25100000 +06# +0q" +0n" +bz1111111111111111111111111110110 . +b1 r" +b1 3# +0p" +#25110000 +1G# +#25130000 +b0 K +b0 z. +0?# +0E# +0Q# +0># +b11111111111111111111111111100110 Q +b1100000 <# +b1100000 X# +0D# +0V# +#25160000 +0]# +0:# +07# +bz1111111111111111111111111100110 . +b0 ;# +b0 Z# +09# +#25170000 +1n# +#25190000 +b0 L +b0 }. +0f# +0l# +0x# +0e# +b11111111111111111111111111000110 Q +b1100000 c# +b1100000 !$ +0k# +0}# +#25220000 +0&$ +0a# +0^# +bz1111111111111111111111111000110 . +b0 b# +b0 #$ +0`# +#25230000 +17$ +#25250000 +b0 M +b0 "/ +0/$ +05$ +0A$ +0.$ +b11111111111111111111111110000110 Q +b1100000 ,$ +b1100000 H$ +04$ +0F$ +#25280000 +0M$ +0*$ +0'$ +bz1111111111111111111111110000110 . +b0 +$ +b0 J$ +0)$ +#25290000 +1^$ +#25310000 +b0 N +b0 %/ +0V$ +0\$ +0h$ +0U$ +b11111111111111111111111100000110 Q +b1100000 S$ +b1100000 o$ +0[$ +0m$ +#25340000 +0t$ +0Q$ +0N$ +bz1111111111111111111111100000110 . +b0 R$ +b0 q$ +0P$ +#25350000 +1'% +#25370000 +b0 O +b0 (/ +0}$ +0%% +01% +0|$ +b11111111111111111111111000000110 Q +b1100000 z$ +b1100000 8% +0$% +06% +#25400000 +0=% +0x$ +0u$ +bz1111111111111111111111000000110 . +b0 y$ +b0 :% +0w$ +#25410000 +1N% +#25430000 +b0 P +b0 +/ +0F% +0L% +0X% +0E% +b11111111111111111111110000000110 Q +b1100000 C% +b1100000 _% +0K% +0]% +#25460000 +0d% +0A% +0>% +bz1111111111111111111110000000110 . +b0 B% +b0 a% +0@% +#25470000 +1u% +#25490000 +b0 3 +b0 ./ +0m% +0s% +0!& +0l% +b11111111111111111111100000000110 Q +b1100000 j% +b1100000 (& +0r% +0&& +#25520000 +0-& +0h% +0e% +bz1111111111111111111100000000110 . +b0 i% +b0 *& +0g% +#25530000 +1>& +#25550000 +b0 4 +b0 1/ +06& +0<& +0H& +05& +b11111111111111111111000000000110 Q +b1100000 3& +b1100000 O& +0;& +0M& +#25580000 +0T& +01& +0.& +bz1111111111111111111000000000110 . +b0 2& +b0 Q& +00& +#25590000 +1e& +#25610000 +b0 5 +b0 4/ +0]& +0c& +0o& +0\& +b11111111111111111110000000000110 Q +b1100000 Z& +b1100000 v& +0b& +0t& +#25640000 +0{& +0X& +0U& +bz1111111111111111110000000000110 . +b0 Y& +b0 x& +0W& +#25650000 +1.' +#25670000 +b0 6 +b0 7/ +0&' +0,' +08' +0%' +b11111111111111111100000000000110 Q +b1100000 #' +b1100000 ?' +0+' +0=' +#25700000 +0D' +0!' +0|& +bz1111111111111111100000000000110 . +b0 "' +b0 A' +0~& +#25710000 +1U' +#25730000 +b0 7 +b0 :/ +0M' +0S' +0_' +0L' +b11111111111111111000000000000110 Q +b1100000 J' +b1100000 f' +0R' +0d' +#25760000 +0k' +0H' +0E' +bz1111111111111111000000000000110 . +b0 I' +b0 h' +0G' +#25770000 +1|' +#25790000 +b0 8 +b0 =/ +0t' +0z' +0(( +0s' +b11111111111111110000000000000110 Q +b1100000 q' +b1100000 /( +0y' +0-( +#25820000 +04( +0o' +0l' +bz1111111111111110000000000000110 . +b0 p' +b0 1( +0n' +#25830000 +1E( +#25850000 +b0 9 +b0 @/ +0=( +0C( +0O( +0<( +b11111111111111100000000000000110 Q +b1100000 :( +b1100000 V( +0B( +0T( +#25880000 +0[( +08( +05( +bz1111111111111100000000000000110 . +b0 9( +b0 X( +07( +#25890000 +1l( +#25910000 +b0 : +b0 C/ +0d( +0j( +0v( +0c( +b11111111111111000000000000000110 Q +b1100000 a( +b1100000 }( +0i( +0{( +#25940000 +0$) +0_( +0\( +bz1111111111111000000000000000110 . +b0 `( +b0 !) +0^( +#25950000 +15) +#25970000 +b0 ; +b0 F/ +0-) +03) +0?) +0,) +b11111111111110000000000000000110 Q +b1100000 *) +b1100000 F) +02) +0D) +#26000000 +0K) +0() +0%) +bz1111111111110000000000000000110 . +b0 )) +b0 H) +0') +#26010000 +1\) +#26030000 +b0 < +b0 I/ +0T) +0Z) +0f) +0S) +b11111111111100000000000000000110 Q +b1100000 Q) +b1100000 m) +0Y) +0k) +#26060000 +0r) +0O) +0L) +bz1111111111100000000000000000110 . +b0 P) +b0 o) +0N) +#26070000 +1%* +#26090000 +b0 > +b0 L/ +0{) +0#* +0/* +0z) +b11111111111000000000000000000110 Q +b1100000 x) +b1100000 6* +0"* +04* +#26120000 +0;* +0v) +0s) +bz1111111111000000000000000000110 . +b0 w) +b0 8* +0u) +#26130000 +1L* +#26150000 +b0 ? +b0 O/ +0D* +0J* +0V* +0C* +b11111111110000000000000000000110 Q +b1100000 A* +b1100000 ]* +0I* +0[* +#26180000 +0b* +0?* +0<* +bz1111111110000000000000000000110 . +b0 @* +b0 _* +0>* +#26190000 +1s* +#26210000 +b0 @ +b0 R/ +0k* +0q* +0}* +0j* +b11111111100000000000000000000110 Q +b1100000 h* +b1100000 &+ +0p* +0$+ +#26240000 +0++ +0f* +0c* +bz1111111100000000000000000000110 . +b0 g* +b0 (+ +0e* +#26250000 +1<+ +#26270000 +b0 A +b0 U/ +04+ +0:+ +0F+ +03+ +b11111111000000000000000000000110 Q +b1100000 1+ +b1100000 M+ +09+ +0K+ +#26300000 +0R+ +0/+ +0,+ +bz1111111000000000000000000000110 . +b0 0+ +b0 O+ +0.+ +#26310000 +1c+ +#26330000 +b0 B +b0 X/ +0[+ +0a+ +0m+ +0Z+ +b11111110000000000000000000000110 Q +b1100000 X+ +b1100000 t+ +0`+ +0r+ +#26360000 +0y+ +0V+ +0S+ +bz1111110000000000000000000000110 . +b0 W+ +b0 v+ +0U+ +#26370000 +1,, +#26390000 +b0 C +b0 [/ +0$, +0*, +06, +0#, +b11111100000000000000000000000110 Q +b1100000 !, +b1100000 =, +0), +0;, +#26420000 +0B, +0}+ +0z+ +bz1111100000000000000000000000110 . +b0 ~+ +b0 ?, +0|+ +#26430000 +1S, +#26450000 +b0 D +b0 ^/ +0K, +0Q, +0], +0J, +b11111000000000000000000000000110 Q +b1100000 H, +b1100000 d, +0P, +0b, +#26480000 +0i, +0F, +0C, +bz1111000000000000000000000000110 . +b0 G, +b0 f, +0E, +#26490000 +1z, +#26510000 +b0 E +b0 a/ +0r, +0x, +0&- +0q, +b11110000000000000000000000000110 Q +b1100000 o, +b1100000 -- +0w, +0+- +#26540000 +02- +0m, +0j, +bz1110000000000000000000000000110 . +b0 n, +b0 /- +0l, +#26550000 +1C- +#26570000 +b0 F +b0 d/ +0;- +0A- +0M- +0:- +b11100000000000000000000000000110 Q +b1100000 8- +b1100000 T- +0@- +0R- +#26600000 +0Y- +06- +03- +bz1100000000000000000000000000110 . +b0 7- +b0 V- +05- +#26610000 +1j- +#26630000 +b0 G +b0 g/ +0b- +0h- +0t- +0a- +b11000000000000000000000000000110 Q +b1100000 _- +b1100000 {- +0g- +0y- +#26660000 +0". +0]- +0Z- +bz1000000000000000000000000000110 . +b0 ^- +b0 }- +0\- +#26670000 +13. +#26690000 +b0 I +b0 j/ +0+. +01. +0=. +0*. +b10000000000000000000000000000110 Q +b1100000 (. +b1100000 D. +00. +0B. +#26720000 +0I. +0&. +0#. +bz0000000000000000000000000000110 . +b0 '. +b0 F. +0%. +#26730000 +1Y. +#26750000 +b0 J +b0 m/ +0Q. +0W. +0c. +0P. +b110 Q +b1100000 N. +b1100000 j. +0V. +0h. +1# +#26780000 +0L. +0! +b0 M. +b0 l. +0K. +#26810000 +0# +#26840000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#27000000 +1G. +b10000000000000000000000000001000 % +b10000000000000000000000000001000 / +b1110 ( +b1110 ) +#27010000 +0`. +0e. +#27020000 +0T. +b100000 N. +b100000 j. +#27030000 +1X. +1U. +b10100100 N. +b10100100 j. +1^. +1[. +1_. +1d. +#27040000 +0b. +0g. +#27060000 +b11110111 J +b11110111 m/ +1Q. +1W. +1P. +b10000000000000000000000000000110 Q +b10101111 N. +b10101111 j. +1V. +#27090000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#29000000 +1H. +0G. +b10000000000000000000000000000010 & +b10000000000000000000000000000010 0 +b1000 % +b1000 / +b1111 ( +b1111 ) +#29010000 +1`. +1e. +#29040000 +1a. +1f. +#29070000 +1L. +1! +b1010 M. +b1010 l. +1K. +#29100000 +1# +#29130000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#31000000 +0| +1<% +1G. +b10000000000000000000001000000000 & +b10000000000000000000001000000000 0 +b10000000000000000000000000001000 % +b10000000000000000000000000001000 / +b10000 ( +b10000 ) +#31010000 +0`. +0e. +#31020000 +1+" +b11101111 %" +b11101111 A" +0I% +b100000 C% +b100000 _% +0S. +b10001111 N. +b10001111 j. +#31030000 +0/" +0," +b1101011 %" +b1101011 A" +05" +02" +06" +08" +0;" +0=" +1M% +1J% +b10100100 C% +b10100100 _% +1S% +1P% +1T% +1V% +1Y% +1[% +0X. +1R. +b10011011 N. +b10011011 j. +0^. +1Z. +0_. +0d. +#31040000 +19" +1>" +0W% +0\% +1b. +1g. +0a. +0f. +#31060000 +b0 2 +b0 q. +0F" +b11110111 P +b11110111 +/ +1d% +b0 J +b0 m/ +0(" +0." +0#" +0'" +b1100000 %" +b1100000 A" +0-" +0~ +b0 $" +b0 C" +0"" +1F% +1L% +1A% +1E% +b10101111 C% +b10101111 _% +1K% +1>% +bz0000000000000000000001000000100 . +b1010 B% +b1010 a% +1@% +0Q. +1\. +0W. +0P. +b1000000100 Q +b10010000 N. +b10010000 j. +0V. +#31070000 +1W" +0u% +0L. +0! +b0 M. +b0 l. +0K. +#31090000 +b0 = +b0 t. +b11110111 3 +b11110111 ./ +0O" +0U" +0a" +0N" +b1100000 L" +b1100000 h" +0T" +0f" +1m% +1s% +1!& +1l% +b11000000000 Q +b1101011 j% +b1101011 (& +1r% +1&& +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +b1 M. +b1 l. +1J. +#31100000 +0# +#31120000 +0m" +1-& +0J" +0G" +b0 K" +b0 j" +0I" +1h% +1e% +bz0000000000000000000011000000000 . +b1010 i% +b1010 *& +1g% +#31130000 +1~" +0>& +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#31150000 +b11110111 H +b11110111 w. +b11110111 4 +b11110111 1/ +1v" +0$# +1|" +1u" +b10101111 s" +b10101111 1# +1{" +16& +1<& +1H& +15& +b111000001000 Q +b1101011 3& +b1101011 O& +1;& +1M& +#31180000 +1T& +b0 r" +b0 3# +0o" +11& +1.& +bz0000000000000000000111000000000 . +b1010 2& +b1010 Q& +10& +#31190000 +0e& +#31210000 +b11110111 5 +b11110111 4/ +1]& +1c& +1o& +1\& +b1111000001000 Q +b1101011 Z& +b1101011 v& +1b& +1t& +#31240000 +1{& +1X& +1U& +bz0000000000000000001111000000000 . +b1010 Y& +b1010 x& +1W& +#31250000 +0.' +#31270000 +b11110111 6 +b11110111 7/ +1&' +1,' +18' +1%' +b11111000001000 Q +b1101011 #' +b1101011 ?' +1+' +1=' +#31300000 +1D' +1!' +1|& +bz0000000000000000011111000000000 . +b1010 "' +b1010 A' +1~& +#31310000 +0U' +#31330000 +b11110111 7 +b11110111 :/ +1M' +1S' +1_' +1L' +b111111000001000 Q +b1101011 J' +b1101011 f' +1R' +1d' +#31360000 +1k' +1H' +1E' +bz0000000000000000111111000000000 . +b1010 I' +b1010 h' +1G' +#31370000 +0|' +#31390000 +b11110111 8 +b11110111 =/ +1t' +1z' +1(( +1s' +b1111111000001000 Q +b1101011 q' +b1101011 /( +1y' +1-( +#31420000 +14( +1o' +1l' +bz0000000000000001111111000000000 . +b1010 p' +b1010 1( +1n' +#31430000 +0E( +#31450000 +b11110111 9 +b11110111 @/ +1=( +1C( +1O( +1<( +b11111111000001000 Q +b1101011 :( +b1101011 V( +1B( +1T( +#31480000 +1[( +18( +15( +bz0000000000000011111111000000000 . +b1010 9( +b1010 X( +17( +#31490000 +0l( +#31510000 +b11110111 : +b11110111 C/ +1d( +1j( +1v( +1c( +b111111111000001000 Q +b1101011 a( +b1101011 }( +1i( +1{( +#31540000 +1$) +1_( +1\( +bz0000000000000111111111000000000 . +b1010 `( +b1010 !) +1^( +#31550000 +05) +#31570000 +b11110111 ; +b11110111 F/ +1-) +13) +1?) +1,) +b1111111111000001000 Q +b1101011 *) +b1101011 F) +12) +1D) +#31600000 +1K) +1() +1%) +bz0000000000001111111111000000000 . +b1010 )) +b1010 H) +1') +#31610000 +0\) +#31630000 +b11110111 < +b11110111 I/ +1T) +1Z) +1f) +1S) +b11111111111000001000 Q +b1101011 Q) +b1101011 m) +1Y) +1k) +#31660000 +1r) +1O) +1L) +bz0000000000011111111111000000000 . +b1010 P) +b1010 o) +1N) +#31670000 +0%* +#31690000 +b11110111 > +b11110111 L/ +1{) +1#* +1/* +1z) +b111111111111000001000 Q +b1101011 x) +b1101011 6* +1"* +14* +#31720000 +1;* +1v) +1s) +bz0000000000111111111111000000000 . +b1010 w) +b1010 8* +1u) +#31730000 +0L* +#31750000 +b11110111 ? +b11110111 O/ +1D* +1J* +1V* +1C* +b1111111111111000001000 Q +b1101011 A* +b1101011 ]* +1I* +1[* +#31780000 +1b* +1?* +1<* +bz0000000001111111111111000000000 . +b1010 @* +b1010 _* +1>* +#31790000 +0s* +#31810000 +b11110111 @ +b11110111 R/ +1k* +1q* +1}* +1j* +b11111111111111000001000 Q +b1101011 h* +b1101011 &+ +1p* +1$+ +#31840000 +1++ +1f* +1c* +bz0000000011111111111111000000000 . +b1010 g* +b1010 (+ +1e* +#31850000 +0<+ +#31870000 +b11110111 A +b11110111 U/ +14+ +1:+ +1F+ +13+ +b111111111111111000001000 Q +b1101011 1+ +b1101011 M+ +19+ +1K+ +#31900000 +1R+ +1/+ +1,+ +bz0000000111111111111111000000000 . +b1010 0+ +b1010 O+ +1.+ +#31910000 +0c+ +#31930000 +b11110111 B +b11110111 X/ +1[+ +1a+ +1m+ +1Z+ +b1111111111111111000001000 Q +b1101011 X+ +b1101011 t+ +1`+ +1r+ +#31960000 +1y+ +1V+ +1S+ +bz0000001111111111111111000000000 . +b1010 W+ +b1010 v+ +1U+ +#31970000 +0,, +#31990000 +b11110111 C +b11110111 [/ +1$, +1*, +16, +1#, +b11111111111111111000001000 Q +b1101011 !, +b1101011 =, +1), +1;, +#32020000 +1B, +1}+ +1z+ +bz0000011111111111111111000000000 . +b1010 ~+ +b1010 ?, +1|+ +#32030000 +0S, +#32050000 +b11110111 D +b11110111 ^/ +1K, +1Q, +1], +1J, +b111111111111111111000001000 Q +b1101011 H, +b1101011 d, +1P, +1b, +#32080000 +1i, +1F, +1C, +bz0000111111111111111111000000000 . +b1010 G, +b1010 f, +1E, +#32090000 +0z, +#32110000 +b11110111 E +b11110111 a/ +1r, +1x, +1&- +1q, +b1111111111111111111000001000 Q +b1101011 o, +b1101011 -- +1w, +1+- +#32140000 +12- +1m, +1j, +bz0001111111111111111111000000000 . +b1010 n, +b1010 /- +1l, +#32150000 +0C- +#32170000 +b11110111 F +b11110111 d/ +1;- +1A- +1M- +1:- +b11111111111111111111000001000 Q +b1101011 8- +b1101011 T- +1@- +1R- +#32200000 +1Y- +16- +13- +bz0011111111111111111111000000000 . +b1010 7- +b1010 V- +15- +#32210000 +0j- +#32230000 +b11110111 G +b11110111 g/ +1b- +1h- +1t- +1a- +b111111111111111111111000001000 Q +b1101011 _- +b1101011 {- +1g- +1y- +#32260000 +1". +1]- +1Z- +bz0111111111111111111111000000000 . +b1010 ^- +b1010 }- +1\- +#32270000 +03. +#32290000 +b11110111 I +b11110111 j/ +1+. +11. +1=. +1*. +b1111111111111111111111000001000 Q +b1101011 (. +b1101011 D. +10. +1B. +#32320000 +1I. +1&. +1#. +bz1111111111111111111111000000000 . +b1010 '. +b1010 F. +1%. +#32330000 +0Y. +#32350000 +b11110111 J +b11110111 m/ +1Q. +1]. +1W. +1c. +1P. +b11111111111111111111111000001000 Q +b10011011 N. +b10011011 j. +1V. +1h. +1# +#32360000 +0\. +#32380000 +1L. +1! +b1011 M. +b1011 l. +1K. +#32410000 +0# +#32440000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#33000000 +0<% +1:* +0H. +b1000000000000000000000 & +b1000000000000000000000 0 +b10001 ( +b10001 ) +#33020000 +1I% +b11101111 C% +b11101111 _% +0G* +b101011 A* +b101011 ]* +1S. +b10111011 N. +b10111011 j. +#33030000 +0M% +0J% +b1101011 C% +b1101011 _% +0S% +0P% +0T% +0V% +0Y% +0[% +1K* +1H* +b10101111 A* +b10101111 ]* +1Q* +1N* +1R* +1T* +1W* +1Y* +1X. +0R. +b10101111 N. +b10101111 j. +1^. +0Z. +1_. +1d. +#33040000 +1W% +1\% +0U* +0Z* +0b. +0g. +#33060000 +b0 P +b0 +/ +0d% +b0 ? +b0 O/ +b0 J +b0 m/ +0F% +0L% +0A% +0E% +b1100000 C% +b1100000 _% +0K% +0>% +bz1111111111111111111110000000000 . +b0 B% +b0 a% +0@% +0D* +1P* +0J* +0C* +b10100100 A* +b10100100 ]* +0I* +0Q. +0W. +0P. +b1111111110111111111110000001000 Q +b10100100 N. +b10100100 j. +0V. +#33070000 +1u% +0V* +0[* +0c. +0h. +#33090000 +b0 3 +b0 ./ +0m% +0s% +0!& +0l% +b1111111110111111111100000001000 Q +b1100000 j% +b1100000 (& +0r% +0&& +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +b1011 @* +b1011 _* +1=* +#33100000 +0L. +0! +b1 M. +b1 l. +0K. +#33120000 +0-& +0h% +0e% +bz1111111111111111111100000000000 . +b0 i% +b0 *& +0g% +#33130000 +1>& +1# +#33150000 +b0 4 +b0 1/ +06& +0<& +0H& +05& +b1111111110111111111000000001000 Q +b1100000 3& +b1100000 O& +0;& +0M& +#33160000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#33180000 +0T& +01& +0.& +bz1111111111111111111000000000000 . +b0 2& +b0 Q& +00& +#33190000 +1e& +#33210000 +b0 5 +b0 4/ +0]& +0c& +0o& +0\& +b1111111110111111110000000001000 Q +b1100000 Z& +b1100000 v& +0b& +0t& +#33240000 +0{& +0X& +0U& +bz1111111111111111110000000000000 . +b0 Y& +b0 x& +0W& +#33250000 +1.' +#33270000 +b0 6 +b0 7/ +0&' +0,' +08' +0%' +b1111111110111111100000000001000 Q +b1100000 #' +b1100000 ?' +0+' +0=' +#33300000 +0D' +0!' +0|& +bz1111111111111111100000000000000 . +b0 "' +b0 A' +0~& +#33310000 +1U' +#33330000 +b0 7 +b0 :/ +0M' +0S' +0_' +0L' +b1111111110111111000000000001000 Q +b1100000 J' +b1100000 f' +0R' +0d' +#33360000 +0k' +0H' +0E' +bz1111111111111111000000000000000 . +b0 I' +b0 h' +0G' +#33370000 +1|' +#33390000 +b0 8 +b0 =/ +0t' +0z' +0(( +0s' +b1111111110111110000000000001000 Q +b1100000 q' +b1100000 /( +0y' +0-( +#33420000 +04( +0o' +0l' +bz1111111111111110000000000000000 . +b0 p' +b0 1( +0n' +#33430000 +1E( +#33450000 +b0 9 +b0 @/ +0=( +0C( +0O( +0<( +b1111111110111100000000000001000 Q +b1100000 :( +b1100000 V( +0B( +0T( +#33480000 +0[( +08( +05( +bz1111111111111100000000000000000 . +b0 9( +b0 X( +07( +#33490000 +1l( +#33510000 +b0 : +b0 C/ +0d( +0j( +0v( +0c( +b1111111110111000000000000001000 Q +b1100000 a( +b1100000 }( +0i( +0{( +#33540000 +0$) +0_( +0\( +bz1111111111111000000000000000000 . +b0 `( +b0 !) +0^( +#33550000 +15) +#33570000 +b0 ; +b0 F/ +0-) +03) +0?) +0,) +b1111111110110000000000000001000 Q +b1100000 *) +b1100000 F) +02) +0D) +#33600000 +0K) +0() +0%) +bz1111111111110000000000000000000 . +b0 )) +b0 H) +0') +#33610000 +1\) +#33630000 +b0 < +b0 I/ +0T) +0Z) +0f) +0S) +b1111111110100000000000000001000 Q +b1100000 Q) +b1100000 m) +0Y) +0k) +#33660000 +0r) +0O) +0L) +bz1111111111100000000000000000000 . +b0 P) +b0 o) +0N) +#33670000 +1%* +#33690000 +b0 > +b0 L/ +0{) +0#* +0/* +0z) +b1111111110000000000000000001000 Q +b1100000 x) +b1100000 6* +0"* +04* +#33720000 +0;* +0v) +0s) +bz1111111111000000000000000000000 . +b0 w) +b0 8* +0u) +#33730000 +1L* +#33750000 +b11110111 ? +b11110111 O/ +1D* +0P* +1J* +1C* +b1111111111000000000000000001000 Q +b10101111 A* +b10101111 ]* +1I* +#33780000 +b1010 @* +b1010 _* +0=* +#35000000 +11- +1X- +1!. +0G. +b1110000001000000000000000000000 & +b1110000001000000000000000000000 0 +b1000 % +b1000 / +b10010 ( +b10010 ) +#35010000 +1`. +1e. +#35020000 +0>- +b101011 8- +b101011 T- +0e- +b101011 _- +b101011 {- +0.. +b101011 (. +b101011 D. +1T. +b11100100 N. +b11100100 j. +#35030000 +1B- +1?- +b10101111 8- +b10101111 T- +1H- +1E- +1I- +1K- +1N- +1P- +1i- +1f- +b10101111 _- +b10101111 {- +1o- +1l- +1p- +1r- +1u- +1w- +12. +1/. +b10101111 (. +b10101111 D. +18. +15. +19. +1;. +1>. +1@. +0X. +0U. +b1100000 N. +b1100000 j. +0^. +0[. +0_. +0d. +#35040000 +0L- +0Q- +0s- +0x- +0<. +0A. +1b. +1g. +#35060000 +b0 F +b0 d/ +b0 G +b0 g/ +b0 I +b0 j/ +b11110111 J +b11110111 m/ +0;- +1G- +0A- +0:- +b10100100 8- +b10100100 T- +0@- +0b- +1n- +0h- +0a- +b10100100 _- +b10100100 {- +0g- +0+. +17. +01. +0*. +b10100100 (. +b10100100 D. +00. +1Q. +0]. +1W. +1P. +b10001111111000000000000000001000 Q +b1101011 N. +b1101011 j. +1V. +#35070000 +0M- +0R- +0t- +0y- +0=. +0B. +1c. +1h. +#35090000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +b1011 7- +b1011 V- +14- +b1011 ^- +b1011 }- +1[- +b1011 '. +b1011 F. +1$. +b0 M. +b0 l. +0J. +#35100000 +1L. +1! +b1010 M. +b1010 l. +1K. +#35130000 +0# +#35160000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#37000000 +01- +0X- +0!. +10- +1W- +1~- +b1000000000000000000000 & +b1000000000000000000000 0 +b1110000000000000000000000001000 % +b1110000000000000000000000001000 / +b10011 ( +b10011 ) +#37010000 +0J- +0O- +0q- +0v- +0:. +0?. +#37030000 +0K- +0P- +0r- +0w- +0;. +0@. +#37060000 +0Y- +0". +0I. +06- +03- +b1 7- +b1 V- +05- +0]- +0Z- +b1 ^- +b1 }- +0\- +0&. +0#. +bz0001111111000000000000000000000 . +b1 '. +b1 F. +0%. +#37070000 +1j- +13. +1Y. +#37090000 +b11110111 G +b11110111 g/ +b11110111 I +b11110111 j/ +b0 J +b0 m/ +1b- +0n- +1h- +1a- +b10101111 _- +b10101111 {- +1g- +1+. +07. +11. +1*. +b10101111 (. +b10101111 D. +10. +0Q. +0W. +0c. +0P. +b1101111111000000000000000001000 Q +b1100000 N. +b1100000 j. +0V. +0h. +1# +#37120000 +b0 ^- +b0 }- +0[- +b0 '. +b0 F. +0$. +0L. +0! +b0 M. +b0 l. +0K. +#37150000 +0# +#37180000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#39000000 +0:* +11- +1X- +1!. +b1110000000000000000000000000000 & +b1110000000000000000000000000000 0 +b10100 ( +b10100 ) +#39020000 +1G* +b11101111 A* +b11101111 ]* +0=- +b10000100 8- +b10000100 T- +0d- +b10001111 _- +b10001111 {- +0-. +b10001111 (. +b10001111 D. +#39030000 +0K* +0H* +b1101011 A* +b1101011 ]* +0Q* +0N* +0R* +0T* +0W* +0Y* +0B- +1<- +b10010000 8- +b10010000 T- +0H- +1D- +0I- +0N- +0i- +1c- +b10011011 _- +b10011011 {- +0o- +1k- +0p- +0u- +02. +1,. +b10011011 (. +b10011011 D. +08. +14. +09. +0>. +#39040000 +1U* +1Z* +1L- +1Q- +1s- +1x- +1<. +1A. +#39060000 +b0 ? +b0 O/ +0b* +b11110111 F +b11110111 d/ +b0 G +b0 g/ +b0 I +b0 j/ +0D* +0J* +0?* +0C* +b1100000 A* +b1100000 ]* +0I* +0<* +bz0001111110000000000000000000000 . +b0 @* +b0 _* +0>* +1;- +1A- +1:- +b10011011 8- +b10011011 T- +1@- +0b- +1m- +0h- +0a- +b10010000 _- +b10010000 {- +0g- +0+. +16. +01. +0*. +b11111110000000000000000001000 Q +b10010000 (. +b10010000 D. +00. +#39070000 +1s* +1M- +1R- +#39090000 +b0 @ +b0 R/ +0k* +0q* +0}* +0j* +b11111100000000000000000001000 Q +b1100000 h* +b1100000 &+ +0p* +0$+ +b1 ^- +b1 }- +1[- +b1 '. +b1 F. +1$. +#39100000 +1Y- +16- +13- +bz0011111110000000000000000000000 . +b1011 7- +b1011 V- +15- +#39110000 +0j- +#39120000 +0++ +0f* +0c* +bz0011111100000000000000000000000 . +b0 g* +b0 (+ +0e* +#39130000 +b11110111 G +b11110111 g/ +1<+ +1b- +1n- +1h- +1t- +1a- +b111111100000000000000000001000 Q +b10011011 _- +b10011011 {- +1g- +1y- +#39140000 +0m- +#39150000 +b0 A +b0 U/ +04+ +0:+ +0F+ +03+ +b111111000000000000000000001000 Q +b1100000 1+ +b1100000 M+ +09+ +0K+ +#39160000 +1". +1]- +1Z- +bz0111111100000000000000000000000 . +b1011 ^- +b1011 }- +1\- +#39170000 +03. +#39180000 +0R+ +0/+ +0,+ +bz0111111000000000000000000000000 . +b0 0+ +b0 O+ +0.+ +#39190000 +b11110111 I +b11110111 j/ +1c+ +1+. +17. +11. +1=. +1*. +b1111111000000000000000000001000 Q +b10011011 (. +b10011011 D. +10. +1B. +#39200000 +06. +#39210000 +b0 B +b0 X/ +0[+ +0a+ +0m+ +0Z+ +b1111110000000000000000000001000 Q +b1100000 X+ +b1100000 t+ +0`+ +0r+ +#39220000 +1I. +1&. +1#. +bz1111111000000000000000000000000 . +b1011 '. +b1011 F. +1%. +#39230000 +0Y. +#39240000 +0y+ +0V+ +0S+ +bz1111110000000000000000000000000 . +b0 W+ +b0 v+ +0U+ +#39250000 +b11110111 J +b11110111 m/ +1,, +1Q. +1W. +1c. +1P. +b11111110000000000000000000001000 Q +b1101011 N. +b1101011 j. +1V. +1h. +1# +#39270000 +b0 C +b0 [/ +0$, +0*, +06, +0#, +b11111100000000000000000000001000 Q +b1100000 !, +b1100000 =, +0), +0;, +#39280000 +1L. +1! +b1010 M. +b1010 l. +1K. +#39300000 +0B, +0}+ +0z+ +bz1111100000000000000000000000000 . +b0 ~+ +b0 ?, +0|+ +#39310000 +1S, +0# +#39330000 +b0 D +b0 ^/ +0K, +0Q, +0], +0J, +b11111000000000000000000000001000 Q +b1100000 H, +b1100000 d, +0P, +0b, +#39340000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#39360000 +0i, +0F, +0C, +bz1111000000000000000000000000000 . +b0 G, +b0 f, +0E, +#39370000 +1z, +#39390000 +b0 E +b0 a/ +0r, +0x, +0&- +0q, +b11110000000000000000000000001000 Q +b1100000 o, +b1100000 -- +0w, +0+- +#39420000 +02- +0m, +0j, +bz1110000000000000000000000000000 . +b0 n, +b0 /- +0l, +#39430000 +1C- +#39450000 +b0 F +b0 d/ +0;- +0G- +0A- +0M- +0:- +b11100000000000000000000000001000 Q +b10010000 8- +b10010000 T- +0@- +0R- +#39460000 +1F- +#39480000 +0Y- +06- +03- +bz1100000000000000000000000000000 . +b1 7- +b1 V- +05- +#39490000 +1j- +#39510000 +b0 G +b0 g/ +0b- +0n- +0h- +0t- +0a- +b11000000000000000000000000001000 Q +b10010000 _- +b10010000 {- +0g- +0y- +#39520000 +1m- +#39540000 +0". +0]- +0Z- +bz1000000000000000000000000000000 . +b1 ^- +b1 }- +0\- +#39550000 +13. +#39570000 +b0 I +b0 j/ +0+. +07. +01. +0=. +0*. +b10000000000000000000000000001000 Q +b10010000 (. +b10010000 D. +00. +0B. +#39580000 +16. +#39600000 +0I. +0&. +0#. +bz0000000000000000000000000000000 . +b1 '. +b1 F. +0%. +#39610000 +1Y. +#39630000 +b0 J +b0 m/ +0Q. +0W. +0c. +0P. +b1000 Q +b1100000 N. +b1100000 j. +0V. +0h. +1# +#39660000 +0L. +0! +b0 M. +b0 l. +0K. +#39690000 +0# +#39720000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#41000000 +1U +1| +1E" +01- +0X- +0!. +1H. +1P+ +1w+ +1@, +1g, +1G. +b10000000000000000000000000000111 & +b10000000000000000000000000000111 0 +b11111111000000000000000000001000 % +b11111111000000000000000000001000 / +b10101 ( +b10101 ) +#41010000 +0j+ +0o+ +03, +08, +0Z, +0_, +0#- +0(- +0`. +0e. +#41020000 +0b +b100000 \ +b100000 x +0+" +b100000 %" +b100000 A" +0R" +b100000 L" +b100000 h" +1=- +b10110000 8- +b10110000 T- +1d- +b10110000 _- +b10110000 {- +1-. +b10110000 (. +b10110000 D. +0^+ +b100000 X+ +b100000 t+ +0', +b100000 !, +b100000 =, +0N, +b100000 H, +b100000 d, +0u, +b100000 o, +b100000 -- +0S. +0T. +b0 N. +b0 j. +#41030000 +1f +1c +b10100100 \ +b10100100 x +1l +1i +1m +1o +1r +1t +1/" +1," +b10100100 %" +b10100100 A" +15" +12" +16" +18" +1;" +1=" +1V" +1S" +b10100100 L" +b10100100 h" +1\" +1Y" +1]" +1_" +1b" +1d" +1B- +0<- +b10100100 8- +b10100100 T- +1H- +0D- +1I- +1N- +1i- +0c- +b10100100 _- +b10100100 {- +1o- +0k- +1p- +1u- +12. +0,. +b10100100 (. +b10100100 D. +18. +04. +19. +1>. +1b+ +1_+ +b10100100 X+ +b10100100 t+ +1h+ +1e+ +1i+ +1n+ +1+, +1(, +b10100100 !, +b10100100 =, +11, +1., +12, +17, +1R, +1O, +b10100100 H, +b10100100 d, +1X, +1U, +1Y, +1^, +1y, +1v, +b10100100 o, +b10100100 -- +1!- +1|, +1"- +1'- +1R. +1U. +b10010000 N. +b10010000 j. +1Z. +1[. +#41040000 +0p +0u +09" +0>" +0`" +0e" +0L- +0Q- +0s- +0x- +0<. +0A. +0l+ +0q+ +05, +0:, +0\, +0a, +0%- +0*- +#41060000 +b11110111 1 +b11110111 n. +1} +b11110111 2 +b11110111 q. +1F" +b11110111 = +b11110111 t. +1m" +b11110111 F +b11110111 d/ +b11110111 G +b11110111 g/ +b11110111 I +b11110111 j/ +b11110111 B +b11110111 X/ +b11110111 C +b11110111 [/ +b11110111 D +b11110111 ^/ +b11110111 E +b11110111 a/ +1_ +1e +1Z +1^ +b10101111 \ +b10101111 x +1d +1W +b1010 [ +b1010 z +1Y +1(" +1." +1#" +1'" +b10101111 %" +b10101111 A" +1-" +1~ +b1010 $" +b1010 C" +1"" +1O" +1U" +1J" +1N" +b10101111 L" +b10101111 h" +1T" +1G" +bz0000000000000000000000000000111 . +b1010 K" +b1010 j" +1I" +1;- +0F- +1A- +1:- +b10101111 8- +b10101111 T- +1@- +1b- +0m- +1h- +1a- +b10101111 _- +b10101111 {- +1g- +1+. +06. +11. +1*. +b10101111 (. +b10101111 D. +10. +1[+ +1a+ +1Z+ +b10101111 X+ +b10101111 t+ +1`+ +1$, +1*, +1#, +b10101111 !, +b10101111 =, +1), +1K, +1Q, +1J, +b10101111 H, +b10101111 d, +1P, +1r, +1x, +1q, +b1111111000000000000000000001111 Q +b10101111 o, +b10101111 -- +1w, +1\. +#41070000 +00" +0W" +0~" +#41090000 +b0 2 +b0 q. +b0 = +b0 t. +b0 H +b0 w. +0(" +14" +0." +0'" +b10100100 %" +b10100100 A" +0-" +0O" +1[" +0U" +0N" +b10100100 L" +b10100100 h" +0T" +0v" +1$# +0|" +0u" +b1111111000000000000000000000001 Q +b10100100 s" +b10100100 1# +0{" +b0 7- +b0 V- +04- +b0 ^- +b0 }- +0[- +b0 '. +b0 F. +0$. +b1 M. +b1 l. +1J. +#41120000 +b1011 $" +b1011 C" +1!" +b1011 K" +b1011 j" +1H" +b1 r" +b1 3# +1o" +#43000000 +0U +0| +0E" +1l" +1Q+ +1x+ +1A, +1h, +11- +1X- +1!. +1T +1{ +1D" +0k" +0P+ +0w+ +0@, +0g, +00- +0W- +0~- +b11111111000000000000000000001000 & +b11111111000000000000000000001000 0 +b10000000000000000000000000000111 % +b10000000000000000000000000000111 / +b10110 ( +b10110 ) +#43010000 +0n +0s +07" +0<" +0^" +0c" +1'# +1,# +1j+ +1o+ +13, +18, +1Z, +1_, +1#- +1(- +1J- +1O- +1q- +1v- +1:. +1?. +#43030000 +0o +0t +08" +0=" +0_" +0d" +#43040000 +1(# +1-# +1k+ +1p+ +14, +19, +1[, +1`, +1$- +1)- +1K- +1P- +1r- +1w- +1;. +1@. +#43060000 +0} +0F" +0m" +0Z +0W +b0 [ +b0 z +0Y +0#" +0~ +b1 $" +b1 C" +0"" +0J" +0G" +bz0000000000000000000000000000000 . +b1 K" +b1 j" +0I" +#43070000 +16# +1y+ +1B, +1i, +12- +1Y- +1". +1I. +10" +1W" +1~" +1q" +1n" +b1011 r" +b1011 3# +1p" +1V+ +1S+ +b1010 W+ +b1010 v+ +1U+ +1}+ +1z+ +b1010 ~+ +b1010 ?, +1|+ +1F, +1C, +b1010 G, +b1010 f, +1E, +1m, +1j, +b1010 n, +b1010 /- +1l, +16- +13- +b1010 7- +b1010 V- +15- +1]- +1Z- +b1010 ^- +b1010 }- +1\- +1&. +1#. +bz1111111000000000000000000001000 . +b1010 '. +b1010 F. +1%. +#43080000 +0G# +0,, +0S, +0z, +0C- +0j- +03. +0Y. +#43090000 +b11110111 2 +b11110111 q. +b11110111 = +b11110111 t. +b11110111 H +b11110111 w. +1(" +04" +1." +1'" +b10101111 %" +b10101111 A" +1-" +1O" +0[" +1U" +1N" +b10101111 L" +b10101111 h" +1T" +1v" +0$# +1|" +1u" +b1111111000000000000000000001111 Q +b10101111 s" +b10101111 1# +1{" +#43100000 +b11110111 K +b11110111 z. +b0 C +b0 [/ +b0 D +b0 ^/ +b0 E +b0 a/ +b0 F +b0 d/ +b0 G +b0 g/ +b0 I +b0 j/ +b11110111 J +b11110111 m/ +1?# +1E# +1Q# +1># +b1101011 <# +b1101011 X# +1D# +1V# +0$, +10, +0*, +0#, +b10100100 !, +b10100100 =, +0), +0K, +1W, +0Q, +0J, +b10100100 H, +b10100100 d, +0P, +0r, +1~, +0x, +0q, +b10100100 o, +b10100100 -- +0w, +0;- +1G- +0A- +0:- +b10100100 8- +b10100100 T- +0@- +0b- +1n- +0h- +0a- +b10100100 _- +b10100100 {- +0g- +0+. +17. +01. +0*. +b10100100 (. +b10100100 D. +00. +1Q. +1]. +1W. +1c. +1P. +b10000001000000000000000000011111 Q +b10011011 N. +b10011011 j. +1V. +1h. +1# +#43110000 +0\. +#43120000 +b0 $" +b0 C" +0!" +b0 K" +b0 j" +0H" +b1010 r" +b1010 3# +0o" +#43130000 +1]# +1:# +17# +bz1111111000000000000000000011000 . +b1010 ;# +b1010 Z# +19# +b1011 ~+ +b1011 ?, +1{+ +b1011 G, +b1011 f, +1D, +b1011 n, +b1011 /- +1k, +b1011 7- +b1011 V- +14- +b1011 ^- +b1011 }- +1[- +b1011 '. +b1011 F. +1$. +1L. +1! +b1011 M. +b1011 l. +1K. +#43140000 +0n# +#43160000 +b11110111 L +b11110111 }. +1f# +1l# +1x# +1e# +b10000001000000000000000000111111 Q +b1101011 c# +b1101011 !$ +1k# +1}# +0# +#43190000 +1&$ +1a# +1^# +bz1111111000000000000000000111000 . +b1010 b# +b1010 #$ +1`# +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +#43200000 +07$ +#43220000 +b11110111 M +b11110111 "/ +1/$ +15$ +1A$ +1.$ +b10000001000000000000000001111111 Q +b1101011 ,$ +b1101011 H$ +14$ +1F$ +#43250000 +1M$ +1*$ +1'$ +bz1111111000000000000000001111000 . +b1010 +$ +b1010 J$ +1)$ +#43260000 +0^$ +#43280000 +b11110111 N +b11110111 %/ +1V$ +1\$ +1h$ +1U$ +b10000001000000000000000011111111 Q +b1101011 S$ +b1101011 o$ +1[$ +1m$ +#43310000 +1t$ +1Q$ +1N$ +bz1111111000000000000000011111000 . +b1010 R$ +b1010 q$ +1P$ +#43320000 +0'% +#43340000 +b11110111 O +b11110111 (/ +1}$ +1%% +11% +1|$ +b10000001000000000000000111111111 Q +b1101011 z$ +b1101011 8% +1$% +16% +#43370000 +1=% +1x$ +1u$ +bz1111111000000000000000111111000 . +b1010 y$ +b1010 :% +1w$ +#43380000 +0N% +#43400000 +b11110111 P +b11110111 +/ +1F% +1L% +1X% +1E% +b10000001000000000000001111111111 Q +b1101011 C% +b1101011 _% +1K% +1]% +#43430000 +1d% +1A% +1>% +bz1111111000000000000001111111000 . +b1010 B% +b1010 a% +1@% +#43440000 +0u% +#43460000 +b11110111 3 +b11110111 ./ +1m% +1s% +1!& +1l% +b10000001000000000000011111111111 Q +b1101011 j% +b1101011 (& +1r% +1&& +#43490000 +1-& +1h% +1e% +bz1111111000000000000011111111000 . +b1010 i% +b1010 *& +1g% +#43500000 +0>& +#43520000 +b11110111 4 +b11110111 1/ +16& +1<& +1H& +15& +b10000001000000000000111111111111 Q +b1101011 3& +b1101011 O& +1;& +1M& +#43550000 +1T& +11& +1.& +bz1111111000000000000111111111000 . +b1010 2& +b1010 Q& +10& +#43560000 +0e& +#43580000 +b11110111 5 +b11110111 4/ +1]& +1c& +1o& +1\& +b10000001000000000001111111111111 Q +b1101011 Z& +b1101011 v& +1b& +1t& +#43610000 +1{& +1X& +1U& +bz1111111000000000001111111111000 . +b1010 Y& +b1010 x& +1W& +#43620000 +0.' +#43640000 +b11110111 6 +b11110111 7/ +1&' +1,' +18' +1%' +b10000001000000000011111111111111 Q +b1101011 #' +b1101011 ?' +1+' +1=' +#43670000 +1D' +1!' +1|& +bz1111111000000000011111111111000 . +b1010 "' +b1010 A' +1~& +#43680000 +0U' +#43700000 +b11110111 7 +b11110111 :/ +1M' +1S' +1_' +1L' +b10000001000000000111111111111111 Q +b1101011 J' +b1101011 f' +1R' +1d' +#43730000 +1k' +1H' +1E' +bz1111111000000000111111111111000 . +b1010 I' +b1010 h' +1G' +#43740000 +0|' +#43760000 +b11110111 8 +b11110111 =/ +1t' +1z' +1(( +1s' +b10000001000000001111111111111111 Q +b1101011 q' +b1101011 /( +1y' +1-( +#43790000 +14( +1o' +1l' +bz1111111000000001111111111111000 . +b1010 p' +b1010 1( +1n' +#43800000 +0E( +#43820000 +b11110111 9 +b11110111 @/ +1=( +1C( +1O( +1<( +b10000001000000011111111111111111 Q +b1101011 :( +b1101011 V( +1B( +1T( +#43850000 +1[( +18( +15( +bz1111111000000011111111111111000 . +b1010 9( +b1010 X( +17( +#43860000 +0l( +#43880000 +b11110111 : +b11110111 C/ +1d( +1j( +1v( +1c( +b10000001000000111111111111111111 Q +b1101011 a( +b1101011 }( +1i( +1{( +#43910000 +1$) +1_( +1\( +bz1111111000000111111111111111000 . +b1010 `( +b1010 !) +1^( +#43920000 +05) +#43940000 +b11110111 ; +b11110111 F/ +1-) +13) +1?) +1,) +b10000001000001111111111111111111 Q +b1101011 *) +b1101011 F) +12) +1D) +#43970000 +1K) +1() +1%) +bz1111111000001111111111111111000 . +b1010 )) +b1010 H) +1') +#43980000 +0\) +#44000000 +b11110111 < +b11110111 I/ +1T) +1Z) +1f) +1S) +b10000001000011111111111111111111 Q +b1101011 Q) +b1101011 m) +1Y) +1k) +#44030000 +1r) +1O) +1L) +bz1111111000011111111111111111000 . +b1010 P) +b1010 o) +1N) +#44040000 +0%* +#44060000 +b11110111 > +b11110111 L/ +1{) +1#* +1/* +1z) +b10000001000111111111111111111111 Q +b1101011 x) +b1101011 6* +1"* +14* +#44090000 +1;* +1v) +1s) +bz1111111000111111111111111111000 . +b1010 w) +b1010 8* +1u) +#44100000 +0L* +#44120000 +b11110111 ? +b11110111 O/ +1D* +1J* +1V* +1C* +b10000001001111111111111111111111 Q +b1101011 A* +b1101011 ]* +1I* +1[* +#44150000 +1b* +1?* +1<* +bz1111111001111111111111111111000 . +b1010 @* +b1010 _* +1>* +#44160000 +0s* +#44180000 +b11110111 @ +b11110111 R/ +1k* +1q* +1}* +1j* +b10000001011111111111111111111111 Q +b1101011 h* +b1101011 &+ +1p* +1$+ +#44210000 +1++ +1f* +1c* +bz1111111011111111111111111111000 . +b1010 g* +b1010 (+ +1e* +#44220000 +0<+ +#44240000 +b11110111 A +b11110111 U/ +14+ +1:+ +1F+ +13+ +b10000001111111111111111111111111 Q +b1101011 1+ +b1101011 M+ +19+ +1K+ +#44270000 +1R+ +1/+ +1,+ +bz1111111111111111111111111111000 . +b1010 0+ +b1010 O+ +1.+ +#44280000 +0c+ +#44300000 +b0 B +b0 X/ +0[+ +1g+ +0a+ +0Z+ +b10000000111111111111111111111111 Q +b10100100 X+ +b10100100 t+ +0`+ +#44330000 +b1011 W+ +b1011 v+ +1T+ +#45000000 +1U +1| +1E" +0l" +0Q+ +0x+ +0A, +0h, +01- +0X- +0!. +b10000000000000000000000000000111 & +b10000000000000000000000000000111 0 +b10111 ( +b10111 ) +#45020000 +0a +b10001111 \ +b10001111 x +0*" +b10001111 %" +b10001111 A" +0Q" +b10001111 L" +b10001111 h" +1y" +b11101111 s" +b11101111 1# +1^+ +b11100100 X+ +b11100100 t+ +1', +b11100100 !, +b11100100 =, +1N, +b11100100 H, +b11100100 d, +1u, +b11100100 o, +b11100100 -- +1>- +b11100100 8- +b11100100 T- +1e- +b11100100 _- +b11100100 {- +1.. +b11100100 (. +b11100100 D. +#45030000 +0f +1` +b10011011 \ +b10011011 x +0l +1h +0m +0r +0/" +1)" +b10011011 %" +b10011011 A" +05" +11" +06" +0;" +0V" +1P" +b10011011 L" +b10011011 h" +0\" +1X" +0]" +0b" +0}" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +0(# +0+# +0-# +0b+ +0_+ +b1100000 X+ +b1100000 t+ +0h+ +0e+ +0i+ +0k+ +0n+ +0p+ +0+, +0(, +b1100000 !, +b1100000 =, +01, +0., +02, +04, +07, +09, +0R, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +0[, +0^, +0`, +0y, +0v, +b1100000 o, +b1100000 -- +0!- +0|, +0"- +0$- +0'- +0)- +0B- +0?- +b1100000 8- +b1100000 T- +0H- +0E- +0I- +0K- +0N- +0P- +0i- +0f- +b1100000 _- +b1100000 {- +0o- +0l- +0p- +0r- +0u- +0w- +02. +0/. +b1100000 (. +b1100000 D. +08. +05. +09. +0;. +0>. +0@. +#45040000 +1p +1u +19" +1>" +1`" +1e" +1)# +1.# +1l+ +1q+ +15, +1:, +1\, +1a, +1%- +1*- +1L- +1Q- +1s- +1x- +1<. +1A. +#45060000 +b1000 1 +b1000 n. +b0 2 +b0 q. +b0 = +b0 t. +b0 H +b0 w. +06# +b11110111 B +b11110111 X/ +0y+ +b11110111 C +b11110111 [/ +0B, +b11110111 D +b11110111 ^/ +0i, +b11110111 E +b11110111 a/ +02- +b11110111 F +b11110111 d/ +0Y- +b11110111 G +b11110111 g/ +0". +b11110111 I +b11110111 j/ +0I. +0_ +1j +0e +0^ +b10010000 \ +b10010000 x +0d +0(" +13" +0." +0'" +b10010000 %" +b10010000 A" +0-" +0O" +1Z" +0U" +0N" +b10010000 L" +b10010000 h" +0T" +0v" +0|" +0q" +0u" +b1100000 s" +b1100000 1# +0{" +0n" +b0 r" +b0 3# +0p" +1[+ +0g+ +1a+ +0V+ +1Z+ +b1101011 X+ +b1101011 t+ +1`+ +0S+ +b1 W+ +b1 v+ +0U+ +1$, +00, +1*, +0}+ +1#, +b1101011 !, +b1101011 =, +1), +0z+ +b1 ~+ +b1 ?, +0|+ +1K, +0W, +1Q, +0F, +1J, +b1101011 H, +b1101011 d, +1P, +0C, +b1 G, +b1 f, +0E, +1r, +0~, +1x, +0m, +1q, +b1101011 o, +b1101011 -- +1w, +0j, +b1 n, +b1 /- +0l, +1;- +0G- +1A- +06- +1:- +b1101011 8- +b1101011 T- +1@- +03- +b1 7- +b1 V- +05- +1b- +0n- +1h- +0]- +1a- +b1101011 _- +b1101011 {- +1g- +0Z- +b1 ^- +b1 }- +0\- +1+. +07. +11. +0&. +1*. +b11111111111111111111111111110000 Q +b1101011 (. +b1101011 D. +10. +0#. +bz0000000111111111111111111110000 . +b1 '. +b1 F. +0%. +#45070000 +1G# +1,, +1S, +1z, +1C- +1j- +13. +1Y. +1m+ +1r+ +#45090000 +b0 K +b0 z. +b0 C +b0 [/ +b0 D +b0 ^/ +b0 E +b0 a/ +b0 F +b0 d/ +b0 G +b0 g/ +b0 I +b0 j/ +b0 J +b0 m/ +0?# +0E# +0Q# +0># +b1100000 <# +b1100000 X# +0D# +0V# +0$, +0*, +0#, +b1100000 !, +b1100000 =, +0), +0K, +0Q, +0J, +b1100000 H, +b1100000 d, +0P, +0r, +0x, +0q, +b1100000 o, +b1100000 -- +0w, +0;- +0A- +0:- +b1100000 8- +b1100000 T- +0@- +0b- +0h- +0a- +b1100000 _- +b1100000 {- +0g- +0+. +01. +0*. +b1100000 (. +b1100000 D. +00. +0Q. +0]. +0W. +0c. +0P. +b1111111111111111111100000 Q +b10010000 N. +b10010000 j. +0V. +0h. +1# +b1 [ +b1 z +1X +b1 $" +b1 C" +1!" +b1 K" +b1 j" +1H" +b0 W+ +b0 v+ +0T+ +b0 ~+ +b0 ?, +0{+ +b0 G, +b0 f, +0D, +b0 n, +b0 /- +0k, +b0 7- +b0 V- +04- +b0 ^- +b0 }- +0[- +b0 '. +b0 F. +0$. +#45100000 +1y+ +1\. +1V+ +1S+ +bz0000001111111111111111111110000 . +b1010 W+ +b1010 v+ +1U+ +#45110000 +0,, +#45120000 +0]# +0:# +07# +bz0000001111111111111111111100000 . +b0 ;# +b0 Z# +09# +0L. +0! +b1 M. +b1 l. +0K. +#45130000 +b11110111 C +b11110111 [/ +1n# +1$, +1*, +16, +1#, +b11111111111111111111100000 Q +b1101011 !, +b1101011 =, +1), +1;, +#45150000 +b0 L +b0 }. +0f# +0l# +0x# +0e# +b11111111111111111111000000 Q +b1100000 c# +b1100000 !$ +0k# +0}# +0# +#45160000 +1B, +1}+ +1z+ +bz0000011111111111111111111100000 . +b1010 ~+ +b1010 ?, +1|+ +#45170000 +0S, +#45180000 +0&$ +0a# +0^# +bz0000011111111111111111111000000 . +b0 b# +b0 #$ +0`# +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#45190000 +b11110111 D +b11110111 ^/ +17$ +1K, +1Q, +1], +1J, +b111111111111111111111000000 Q +b1101011 H, +b1101011 d, +1P, +1b, +#45210000 +b0 M +b0 "/ +0/$ +05$ +0A$ +0.$ +b111111111111111111110000000 Q +b1100000 ,$ +b1100000 H$ +04$ +0F$ +#45220000 +1i, +1F, +1C, +bz0000111111111111111111111000000 . +b1010 G, +b1010 f, +1E, +#45230000 +0z, +#45240000 +0M$ +0*$ +0'$ +bz0000111111111111111111110000000 . +b0 +$ +b0 J$ +0)$ +#45250000 +b11110111 E +b11110111 a/ +1^$ +1r, +1x, +1&- +1q, +b1111111111111111111110000000 Q +b1101011 o, +b1101011 -- +1w, +1+- +#45270000 +b0 N +b0 %/ +0V$ +0\$ +0h$ +0U$ +b1111111111111111111100000000 Q +b1100000 S$ +b1100000 o$ +0[$ +0m$ +#45280000 +12- +1m, +1j, +bz0001111111111111111111110000000 . +b1010 n, +b1010 /- +1l, +#45290000 +0C- +#45300000 +0t$ +0Q$ +0N$ +bz0001111111111111111111100000000 . +b0 R$ +b0 q$ +0P$ +#45310000 +b11110111 F +b11110111 d/ +1'% +1;- +1A- +1M- +1:- +b11111111111111111111100000000 Q +b1101011 8- +b1101011 T- +1@- +1R- +#45330000 +b0 O +b0 (/ +0}$ +0%% +01% +0|$ +b11111111111111111111000000000 Q +b1100000 z$ +b1100000 8% +0$% +06% +#45340000 +1Y- +16- +13- +bz0011111111111111111111100000000 . +b1010 7- +b1010 V- +15- +#45350000 +0j- +#45360000 +0=% +0x$ +0u$ +bz0011111111111111111111000000000 . +b0 y$ +b0 :% +0w$ +#45370000 +b11110111 G +b11110111 g/ +1N% +1b- +1h- +1t- +1a- +b111111111111111111111000000000 Q +b1101011 _- +b1101011 {- +1g- +1y- +#45390000 +b0 P +b0 +/ +0F% +0L% +0X% +0E% +b111111111111111111110000000000 Q +b1100000 C% +b1100000 _% +0K% +0]% +#45400000 +1". +1]- +1Z- +bz0111111111111111111111000000000 . +b1010 ^- +b1010 }- +1\- +#45410000 +03. +#45420000 +0d% +0A% +0>% +bz0111111111111111111110000000000 . +b0 B% +b0 a% +0@% +#45430000 +b11110111 I +b11110111 j/ +1u% +1+. +11. +1=. +1*. +b1111111111111111111110000000000 Q +b1101011 (. +b1101011 D. +10. +1B. +#45450000 +b0 3 +b0 ./ +0m% +0s% +0!& +0l% +b1111111111111111111100000000000 Q +b1100000 j% +b1100000 (& +0r% +0&& +#45460000 +1I. +1&. +1#. +bz1111111111111111111110000000000 . +b1010 '. +b1010 F. +1%. +#45470000 +0Y. +#45480000 +0-& +0h% +0e% +bz1111111111111111111100000000000 . +b0 i% +b0 *& +0g% +#45490000 +b11110111 J +b11110111 m/ +1>& +1Q. +1]. +1W. +1c. +1P. +b11111111111111111111100000000000 Q +b10011011 N. +b10011011 j. +1V. +1h. +1# +#45500000 +0\. +#45510000 +b0 4 +b0 1/ +06& +0<& +0H& +05& +b11111111111111111111000000000000 Q +b1100000 3& +b1100000 O& +0;& +0M& +#45520000 +1L. +1! +b1011 M. +b1011 l. +1K. +#45540000 +0T& +01& +0.& +bz1111111111111111111000000000000 . +b0 2& +b0 Q& +00& +#45550000 +1e& +0# +#45570000 +b0 5 +b0 4/ +0]& +0c& +0o& +0\& +b11111111111111111110000000000000 Q +b1100000 Z& +b1100000 v& +0b& +0t& +#45580000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#45600000 +0{& +0X& +0U& +bz1111111111111111110000000000000 . +b0 Y& +b0 x& +0W& +#45610000 +1.' +#45630000 +b0 6 +b0 7/ +0&' +0,' +08' +0%' +b11111111111111111100000000000000 Q +b1100000 #' +b1100000 ?' +0+' +0=' +#45660000 +0D' +0!' +0|& +bz1111111111111111100000000000000 . +b0 "' +b0 A' +0~& +#45670000 +1U' +#45690000 +b0 7 +b0 :/ +0M' +0S' +0_' +0L' +b11111111111111111000000000000000 Q +b1100000 J' +b1100000 f' +0R' +0d' +#45720000 +0k' +0H' +0E' +bz1111111111111111000000000000000 . +b0 I' +b0 h' +0G' +#45730000 +1|' +#45750000 +b0 8 +b0 =/ +0t' +0z' +0(( +0s' +b11111111111111110000000000000000 Q +b1100000 q' +b1100000 /( +0y' +0-( +#45780000 +04( +0o' +0l' +bz1111111111111110000000000000000 . +b0 p' +b0 1( +0n' +#45790000 +1E( +#45810000 +b0 9 +b0 @/ +0=( +0C( +0O( +0<( +b11111111111111100000000000000000 Q +b1100000 :( +b1100000 V( +0B( +0T( +#45840000 +0[( +08( +05( +bz1111111111111100000000000000000 . +b0 9( +b0 X( +07( +#45850000 +1l( +#45870000 +b0 : +b0 C/ +0d( +0j( +0v( +0c( +b11111111111111000000000000000000 Q +b1100000 a( +b1100000 }( +0i( +0{( +#45900000 +0$) +0_( +0\( +bz1111111111111000000000000000000 . +b0 `( +b0 !) +0^( +#45910000 +15) +#45930000 +b0 ; +b0 F/ +0-) +03) +0?) +0,) +b11111111111110000000000000000000 Q +b1100000 *) +b1100000 F) +02) +0D) +#45960000 +0K) +0() +0%) +bz1111111111110000000000000000000 . +b0 )) +b0 H) +0') +#45970000 +1\) +#45990000 +b0 < +b0 I/ +0T) +0Z) +0f) +0S) +b11111111111100000000000000000000 Q +b1100000 Q) +b1100000 m) +0Y) +0k) +#46020000 +0r) +0O) +0L) +bz1111111111100000000000000000000 . +b0 P) +b0 o) +0N) +#46030000 +1%* +#46050000 +b0 > +b0 L/ +0{) +0#* +0/* +0z) +b11111111111000000000000000000000 Q +b1100000 x) +b1100000 6* +0"* +04* +#46080000 +0;* +0v) +0s) +bz1111111111000000000000000000000 . +b0 w) +b0 8* +0u) +#46090000 +1L* +#46110000 +b0 ? +b0 O/ +0D* +0J* +0V* +0C* +b11111111110000000000000000000000 Q +b1100000 A* +b1100000 ]* +0I* +0[* +#46140000 +0b* +0?* +0<* +bz1111111110000000000000000000000 . +b0 @* +b0 _* +0>* +#46150000 +1s* +#46170000 +b0 @ +b0 R/ +0k* +0q* +0}* +0j* +b11111111100000000000000000000000 Q +b1100000 h* +b1100000 &+ +0p* +0$+ +#46200000 +0++ +0f* +0c* +bz1111111100000000000000000000000 . +b0 g* +b0 (+ +0e* +#46210000 +1<+ +#46230000 +b0 A +b0 U/ +04+ +0:+ +0F+ +03+ +b11111111000000000000000000000000 Q +b1100000 1+ +b1100000 M+ +09+ +0K+ +#46260000 +0R+ +0/+ +0,+ +bz1111111000000000000000000000000 . +b0 0+ +b0 O+ +0.+ +#46270000 +1c+ +#46290000 +b0 B +b0 X/ +0[+ +0a+ +0m+ +0Z+ +b11111110000000000000000000000000 Q +b1100000 X+ +b1100000 t+ +0`+ +0r+ +#46320000 +0y+ +0V+ +0S+ +bz1111110000000000000000000000000 . +b0 W+ +b0 v+ +0U+ +#46330000 +1,, +#46350000 +b0 C +b0 [/ +0$, +0*, +06, +0#, +b11111100000000000000000000000000 Q +b1100000 !, +b1100000 =, +0), +0;, +#46380000 +0B, +0}+ +0z+ +bz1111100000000000000000000000000 . +b0 ~+ +b0 ?, +0|+ +#46390000 +1S, +#46410000 +b0 D +b0 ^/ +0K, +0Q, +0], +0J, +b11111000000000000000000000000000 Q +b1100000 H, +b1100000 d, +0P, +0b, +#46440000 +0i, +0F, +0C, +bz1111000000000000000000000000000 . +b0 G, +b0 f, +0E, +#46450000 +1z, +#46470000 +b0 E +b0 a/ +0r, +0x, +0&- +0q, +b11110000000000000000000000000000 Q +b1100000 o, +b1100000 -- +0w, +0+- +#46500000 +02- +0m, +0j, +bz1110000000000000000000000000000 . +b0 n, +b0 /- +0l, +#46510000 +1C- +#46530000 +b0 F +b0 d/ +0;- +0A- +0M- +0:- +b11100000000000000000000000000000 Q +b1100000 8- +b1100000 T- +0@- +0R- +#46560000 +0Y- +06- +03- +bz1100000000000000000000000000000 . +b0 7- +b0 V- +05- +#46570000 +1j- +#46590000 +b0 G +b0 g/ +0b- +0h- +0t- +0a- +b11000000000000000000000000000000 Q +b1100000 _- +b1100000 {- +0g- +0y- +#46620000 +0". +0]- +0Z- +bz1000000000000000000000000000000 . +b0 ^- +b0 }- +0\- +#46630000 +13. +#46650000 +b0 I +b0 j/ +0+. +01. +0=. +0*. +b10000000000000000000000000000000 Q +b1100000 (. +b1100000 D. +00. +0B. +#46680000 +0I. +0&. +0#. +bz0000000000000000000000000000000 . +b0 '. +b0 F. +0%. +#46690000 +1Y. +#46710000 +b0 J +b0 m/ +0Q. +0]. +0W. +0c. +0P. +b0 Q +b10010000 N. +b10010000 j. +0V. +0h. +1# +#46720000 +1\. +#46740000 +0L. +0! +b1 M. +b1 l. +0K. +#46770000 +0# +#46800000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +#47000000 +0U +0| +0E" +15# +0{ +0D" +1~- +0G. +b10000000000000000000000000010000 & +b10000000000000000000000000010000 0 +b1000000000000000000000000000001 % +b1000000000000000000000000000001 / +b11000 ( +b11000 ) +#47010000 +17" +1<" +1^" +1c" +0:. +0?. +1`. +1e. +#47020000 +1a +b10110000 \ +b10110000 x +0B# +b100000 <# +b100000 X# +1*" +1+" +b11110000 %" +b11110000 A" +1Q" +1R" +b11110000 L" +b11110000 h" +0.. +b100000 (. +b100000 D. +1S. +b10110000 N. +b10110000 j. +#47030000 +1f +0` +b10100100 \ +b10100100 x +1l +0h +1m +1r +1F# +1C# +b10100100 <# +b10100100 X# +1L# +1I# +1M# +1O# +1R# +1T# +0)" +0," +b1100000 %" +b1100000 A" +01" +02" +0P" +0S" +b1100000 L" +b1100000 h" +0X" +0Y" +12. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +1>. +1X. +0R. +b10100100 N. +b10100100 j. +1^. +0Z. +1_. +1d. +#47040000 +0p +0u +0P# +0U# +0<. +0A. +0b. +0g. +1a. +1f. +#47060000 +b11110111 1 +b11110111 n. +b11110111 K +b11110111 z. +1]# +b11110111 I +b11110111 j/ +b11110111 J +b11110111 m/ +1_ +0j +1e +1^ +b10101111 \ +b10101111 x +1d +1?# +1E# +1:# +1># +b10101111 <# +b10101111 X# +1D# +17# +bz0000000000000000000000000010000 . +b1010 ;# +b1010 Z# +19# +03" +0Z" +1+. +11. +1*. +b10101111 (. +b10101111 D. +10. +1Q. +0\. +1W. +1P. +b11000000000000000000000000010001 Q +b10101111 N. +b10101111 j. +1V. +#47070000 +0n# +1L. +1! +b1011 M. +b1011 l. +1K. +#47090000 +b11110111 L +b11110111 }. +1f# +1l# +1x# +1e# +b11000000000000000000000000110001 Q +b1101011 c# +b1101011 !$ +1k# +1}# +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +b0 [ +b0 z +0X +b0 $" +b0 C" +0!" +b0 K" +b0 j" +0H" +b1010 M. +b1010 l. +0J. +#47100000 +1# +#47120000 +1&$ +1a# +1^# +bz0000000000000000000000000110000 . +b1010 b# +b1010 #$ +1`# +#47130000 +07$ +0S +0o. +b0 " +b0 R +b11110111 1 +b11110111 n. +#47150000 +b11110111 M +b11110111 "/ +1/$ +15$ +1A$ +1.$ +b11000000000000000000000001110001 Q +b1101011 ,$ +b1101011 H$ +14$ +1F$ +#47180000 +1M$ +1*$ +1'$ +bz0000000000000000000000001110000 . +b1010 +$ +b1010 J$ +1)$ +#47190000 +0^$ +#47210000 +b11110111 N +b11110111 %/ +1V$ +1\$ +1h$ +1U$ +b11000000000000000000000011110001 Q +b1101011 S$ +b1101011 o$ +1[$ +1m$ +#47240000 +1t$ +1Q$ +1N$ +bz0000000000000000000000011110000 . +b1010 R$ +b1010 q$ +1P$ +#47250000 +0'% +#47270000 +b11110111 O +b11110111 (/ +1}$ +1%% +11% +1|$ +b11000000000000000000000111110001 Q +b1101011 z$ +b1101011 8% +1$% +16% +#47300000 +1=% +1x$ +1u$ +bz0000000000000000000000111110000 . +b1010 y$ +b1010 :% +1w$ +#47310000 +0N% +#47330000 +b11110111 P +b11110111 +/ +1F% +1L% +1X% +1E% +b11000000000000000000001111110001 Q +b1101011 C% +b1101011 _% +1K% +1]% +#47360000 +1d% +1A% +1>% +bz0000000000000000000001111110000 . +b1010 B% +b1010 a% +1@% +#47370000 +0u% +#47390000 +b11110111 3 +b11110111 ./ +1m% +1s% +1!& +1l% +b11000000000000000000011111110001 Q +b1101011 j% +b1101011 (& +1r% +1&& +#47420000 +1-& +1h% +1e% +bz0000000000000000000011111110000 . +b1010 i% +b1010 *& +1g% +#47430000 +0>& +#47450000 +b11110111 4 +b11110111 1/ +16& +1<& +1H& +15& +b11000000000000000000111111110001 Q +b1101011 3& +b1101011 O& +1;& +1M& +#47480000 +1T& +11& +1.& +bz0000000000000000000111111110000 . +b1010 2& +b1010 Q& +10& +#47490000 +0e& +#47510000 +b11110111 5 +b11110111 4/ +1]& +1c& +1o& +1\& +b11000000000000000001111111110001 Q +b1101011 Z& +b1101011 v& +1b& +1t& +#47540000 +1{& +1X& +1U& +bz0000000000000000001111111110000 . +b1010 Y& +b1010 x& +1W& +#47550000 +0.' +#47570000 +b11110111 6 +b11110111 7/ +1&' +1,' +18' +1%' +b11000000000000000011111111110001 Q +b1101011 #' +b1101011 ?' +1+' +1=' +#47600000 +1D' +1!' +1|& +bz0000000000000000011111111110000 . +b1010 "' +b1010 A' +1~& +#47610000 +0U' +#47630000 +b11110111 7 +b11110111 :/ +1M' +1S' +1_' +1L' +b11000000000000000111111111110001 Q +b1101011 J' +b1101011 f' +1R' +1d' +#47660000 +1k' +1H' +1E' +bz0000000000000000111111111110000 . +b1010 I' +b1010 h' +1G' +#47670000 +0|' +#47690000 +b11110111 8 +b11110111 =/ +1t' +1z' +1(( +1s' +b11000000000000001111111111110001 Q +b1101011 q' +b1101011 /( +1y' +1-( +#47720000 +14( +1o' +1l' +bz0000000000000001111111111110000 . +b1010 p' +b1010 1( +1n' +#47730000 +0E( +#47750000 +b11110111 9 +b11110111 @/ +1=( +1C( +1O( +1<( +b11000000000000011111111111110001 Q +b1101011 :( +b1101011 V( +1B( +1T( +#47780000 +1[( +18( +15( +bz0000000000000011111111111110000 . +b1010 9( +b1010 X( +17( +#47790000 +0l( +#47810000 +b11110111 : +b11110111 C/ +1d( +1j( +1v( +1c( +b11000000000000111111111111110001 Q +b1101011 a( +b1101011 }( +1i( +1{( +#47840000 +1$) +1_( +1\( +bz0000000000000111111111111110000 . +b1010 `( +b1010 !) +1^( +#47850000 +05) +#47870000 +b11110111 ; +b11110111 F/ +1-) +13) +1?) +1,) +b11000000000001111111111111110001 Q +b1101011 *) +b1101011 F) +12) +1D) +#47900000 +1K) +1() +1%) +bz0000000000001111111111111110000 . +b1010 )) +b1010 H) +1') +#47910000 +0\) +#47930000 +b11110111 < +b11110111 I/ +1T) +1Z) +1f) +1S) +b11000000000011111111111111110001 Q +b1101011 Q) +b1101011 m) +1Y) +1k) +#47960000 +1r) +1O) +1L) +bz0000000000011111111111111110000 . +b1010 P) +b1010 o) +1N) +#47970000 +0%* +#47990000 +b11110111 > +b11110111 L/ +1{) +1#* +1/* +1z) +b11000000000111111111111111110001 Q +b1101011 x) +b1101011 6* +1"* +14* +#48000000 +05# +1A, +0H. +0~- +1G. +b100000000000000000000000000 & +b100000000000000000000000000 0 +b10000000000000000000000000000001 % +b10000000000000000000000000000001 / +b11001 ( +b11001 ) +#48010000 +1:. +1?. +0`. +0e. +#48020000 +1;* +1B# +b11101111 <# +b11101111 X# +0N, +b100000 H, +b100000 d, +1.. +b11101111 (. +b11101111 D. +1v) +1s) +bz0000000000111111111111111110000 . +b1010 w) +b1010 8* +1u) +#48030000 +0L* +0F# +0C# +b1101011 <# +b1101011 X# +0L# +0I# +0M# +0O# +0R# +0T# +1R, +1O, +b10100100 H, +b10100100 d, +1X, +1U, +1Y, +1[, +1^, +1`, +0a. +0f. +02. +0/. +b1101011 (. +b1101011 D. +08. +05. +09. +0>. +#48040000 +1P# +1U# +0\, +0a, +1<. +1A. +#48050000 +b11110111 ? +b11110111 O/ +1D* +1J* +1V* +1C* +b11000000001111111111111111110001 Q +b1101011 A* +b1101011 ]* +1I* +1[* +#48060000 +b0 K +b0 z. +0]# +b11110111 D +b11110111 ^/ +1i, +b0 I +b0 j/ +0?# +0E# +0:# +0># +b1100000 <# +b1100000 X# +0D# +07# +b0 ;# +b0 Z# +09# +1K, +1Q, +1F, +1J, +b10101111 H, +b10101111 d, +1P, +1C, +bz0000100000111111111111111100000 . +b1010 G, +b1010 f, +1E, +0L. +0! +b0 M. +b0 l. +0K. +0+. +01. +0*. +b10000100001111111111111111100001 Q +b1100000 (. +b1100000 D. +00. +#48070000 +1n# +0z, +#48080000 +1b* +1?* +1<* +bz0000100001111111111111111100000 . +b1010 @* +b1010 _* +1>* +#48090000 +b0 L +b0 }. +b11110111 E +b11110111 a/ +0s* +0f# +0l# +0x# +0e# +b1100000 c# +b1100000 !$ +0k# +0}# +1r, +1x, +1&- +1q, +b10001100001111111111111111000001 Q +b1101011 o, +b1101011 -- +1w, +1+- +0# +#48110000 +b11110111 @ +b11110111 R/ +1k* +1q* +1}* +1j* +b10001100011111111111111111000001 Q +b1101011 h* +b1101011 &+ +1p* +1$+ +#48120000 +0&$ +12- +0a# +0^# +b0 b# +b0 #$ +0`# +1m, +1j, +bz0001100001111111111111111000000 . +b1010 n, +b1010 /- +1l, +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +#48130000 +17$ +0C- +#48140000 +1++ +1f* +1c* +bz0001100011111111111111111000000 . +b1010 g* +b1010 (+ +1e* +#48150000 +b0 M +b0 "/ +b11110111 F +b11110111 d/ +0<+ +0/$ +05$ +0A$ +0.$ +b1100000 ,$ +b1100000 H$ +04$ +0F$ +1;- +1A- +1M- +1:- +b10011100011111111111111110000001 Q +b1101011 8- +b1101011 T- +1@- +1R- +#48170000 +b11110111 A +b11110111 U/ +14+ +1:+ +1F+ +13+ +b10011100111111111111111110000001 Q +b1101011 1+ +b1101011 M+ +19+ +1K+ +#48180000 +0M$ +1Y- +0*$ +0'$ +b0 +$ +b0 J$ +0)$ +16- +13- +bz0011100011111111111111110000000 . +b1010 7- +b1010 V- +15- +#48190000 +1^$ +0j- +#48200000 +1R+ +1/+ +1,+ +bz0011100111111111111111110000000 . +b1010 0+ +b1010 O+ +1.+ +#48210000 +b0 N +b0 %/ +b11110111 G +b11110111 g/ +0c+ +0V$ +0\$ +0h$ +0U$ +b1100000 S$ +b1100000 o$ +0[$ +0m$ +1b- +1h- +1t- +1a- +b10111100111111111111111100000001 Q +b1101011 _- +b1101011 {- +1g- +1y- +#48230000 +b11110111 B +b11110111 X/ +1[+ +1a+ +1m+ +1Z+ +b10111101111111111111111100000001 Q +b1101011 X+ +b1101011 t+ +1`+ +1r+ +#48240000 +0t$ +1". +0Q$ +0N$ +b0 R$ +b0 q$ +0P$ +1]- +1Z- +bz0111100111111111111111100000000 . +b1010 ^- +b1010 }- +1\- +#48250000 +1'% +03. +#48260000 +1y+ +1V+ +1S+ +bz0111101111111111111111100000000 . +b1010 W+ +b1010 v+ +1U+ +#48270000 +b0 O +b0 (/ +b11110111 I +b11110111 j/ +0,, +0}$ +0%% +01% +0|$ +b1100000 z$ +b1100000 8% +0$% +06% +1+. +11. +1=. +1*. +b11111101111111111111111000000001 Q +b1101011 (. +b1101011 D. +10. +1B. +#48290000 +b11110111 C +b11110111 [/ +1$, +1*, +16, +1#, +b11111111111111111111111000000001 Q +b1101011 !, +b1101011 =, +1), +1;, +#48300000 +0=% +1I. +0x$ +0u$ +b0 y$ +b0 :% +0w$ +1&. +1#. +bz1111101111111111111111000000000 . +b1010 '. +b1010 F. +1%. +#48310000 +1N% +0Y. +#48320000 +1B, +1}+ +1z+ +bz1111111111111111111111000000000 . +b1010 ~+ +b1010 ?, +1|+ +#48330000 +b0 P +b0 +/ +b0 J +b0 m/ +0S, +0F% +0L% +0X% +0E% +b1100000 C% +b1100000 _% +0K% +0]% +0Q. +1]. +0W. +0P. +b1111111111111111111110000000001 Q +b10100100 N. +b10100100 j. +0V. +1# +#48350000 +b0 D +b0 ^/ +0K, +1W, +0Q, +0J, +b1111011111111111111110000000001 Q +b10100100 H, +b10100100 d, +0P, +#48360000 +0d% +0A% +0>% +bz1111111111111111111110000000000 . +b0 B% +b0 a% +0@% +b1 M. +b1 l. +1J. +#48370000 +1u% +#48380000 +b1011 G, +b1011 f, +1D, +#48390000 +b0 3 +b0 ./ +0m% +0s% +0!& +0l% +b1111011111111111111100000000001 Q +b1100000 j% +b1100000 (& +0r% +0&& +#48420000 +0-& +0h% +0e% +bz1111111111111111111100000000000 . +b0 i% +b0 *& +0g% +#48430000 +1>& +#48450000 +b0 4 +b0 1/ +06& +0<& +0H& +05& +b1111011111111111111000000000001 Q +b1100000 3& +b1100000 O& +0;& +0M& +#48480000 +0T& +01& +0.& +bz1111111111111111111000000000000 . +b0 2& +b0 Q& +00& +#48490000 +1e& +#48510000 +b0 5 +b0 4/ +0]& +0c& +0o& +0\& +b1111011111111111110000000000001 Q +b1100000 Z& +b1100000 v& +0b& +0t& +#48540000 +0{& +0X& +0U& +bz1111111111111111110000000000000 . +b0 Y& +b0 x& +0W& +#48550000 +1.' +#48570000 +b0 6 +b0 7/ +0&' +0,' +08' +0%' +b1111011111111111100000000000001 Q +b1100000 #' +b1100000 ?' +0+' +0=' +#48600000 +0D' +0!' +0|& +bz1111111111111111100000000000000 . +b0 "' +b0 A' +0~& +#48610000 +1U' +#48630000 +b0 7 +b0 :/ +0M' +0S' +0_' +0L' +b1111011111111111000000000000001 Q +b1100000 J' +b1100000 f' +0R' +0d' +#48660000 +0k' +0H' +0E' +bz1111111111111111000000000000000 . +b0 I' +b0 h' +0G' +#48670000 +1|' +#48690000 +b0 8 +b0 =/ +0t' +0z' +0(( +0s' +b1111011111111110000000000000001 Q +b1100000 q' +b1100000 /( +0y' +0-( +#48720000 +04( +0o' +0l' +bz1111111111111110000000000000000 . +b0 p' +b0 1( +0n' +#48730000 +1E( +#48750000 +b0 9 +b0 @/ +0=( +0C( +0O( +0<( +b1111011111111100000000000000001 Q +b1100000 :( +b1100000 V( +0B( +0T( +#48780000 +0[( +08( +05( +bz1111111111111100000000000000000 . +b0 9( +b0 X( +07( +#48790000 +1l( +#48810000 +b0 : +b0 C/ +0d( +0j( +0v( +0c( +b1111011111111000000000000000001 Q +b1100000 a( +b1100000 }( +0i( +0{( +#48840000 +0$) +0_( +0\( +bz1111111111111000000000000000000 . +b0 `( +b0 !) +0^( +#48850000 +15) +#48870000 +b0 ; +b0 F/ +0-) +03) +0?) +0,) +b1111011111110000000000000000001 Q +b1100000 *) +b1100000 F) +02) +0D) +#48900000 +0K) +0() +0%) +bz1111111111110000000000000000000 . +b0 )) +b0 H) +0') +#48910000 +1\) +#48930000 +b0 < +b0 I/ +0T) +0Z) +0f) +0S) +b1111011111100000000000000000001 Q +b1100000 Q) +b1100000 m) +0Y) +0k) +#48960000 +0r) +0O) +0L) +bz1111111111100000000000000000000 . +b0 P) +b0 o) +0N) +#48970000 +1%* +#48990000 +b0 > +b0 L/ +0{) +0#* +0/* +0z) +b1111011111000000000000000000001 Q +b1100000 x) +b1100000 6* +0"* +04* +#49000000 +1:* +0A, +0T +1k" +0G. +b1000000000000000000000 & +b1000000000000000000000 0 +b1000 % +b1000 / +b11010 ( +b11010 ) +#49010000 +1n +1s +0'# +0,# +1`. +1e. +#49020000 +0;* +0G* +b101011 A* +b101011 ]* +1N, +b11100100 H, +b11100100 d, +1b +b11101111 \ +b11101111 x +0y" +b100000 s" +b100000 1# +1T. +b11100100 N. +b11100100 j. +0v) +0s) +bz1111111111000000000000000000000 . +b0 w) +b0 8* +0u) +#49030000 +1L* +1K* +1H* +b10101111 A* +b10101111 ]* +1Q* +1N* +1R* +1T* +1W* +1Y* +0R, +0O, +b1100000 H, +b1100000 d, +0X, +0U, +0Y, +0[, +0^, +0`, +0f +0c +b1101011 \ +b1101011 x +0l +0i +0m +0r +1}" +1z" +b10100100 s" +b10100100 1# +1%# +1"# +1&# +1+# +0X. +0U. +b1100000 N. +b1100000 j. +0^. +0[. +0_. +0d. +#49040000 +0U* +0Z* +1\, +1a, +1p +1u +0)# +0.# +1b. +1g. +#49050000 +0V* +0[* +#49060000 +b11110111 D +b11110111 ^/ +0i, +b1000 1 +b1000 n. +b11110111 H +b11110111 w. +b11110111 J +b11110111 m/ +1K, +0W, +1Q, +0F, +1J, +b1101011 H, +b1101011 d, +1P, +0C, +bz1111011111000000000000000000000 . +b1 G, +b1 f, +0E, +0_ +0e +0^ +b1100000 \ +b1100000 x +0d +1v" +1|" +1u" +b10101111 s" +b10101111 1# +1{" +1Q. +0]. +1W. +1P. +b11111111111000000000000000001000 Q +b1101011 N. +b1101011 j. +1V. +#49070000 +1z, +1], +1b, +1c. +1h. +#49090000 +b0 E +b0 a/ +0r, +0x, +0&- +0q, +b11110111111000000000000000001000 Q +b1100000 o, +b1100000 -- +0w, +0+- +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +b0 G, +b0 f, +0D, +b0 M. +b0 l. +0J. +#49100000 +1i, +1F, +1C, +bz1111111111000000000000000000000 . +b1010 G, +b1010 f, +1E, +1L. +1! +b1010 M. +b1010 l. +1K. +#49110000 +0z, +#49120000 +02- +0m, +0j, +bz1110111111000000000000000000000 . +b0 n, +b0 /- +0l, +#49130000 +b11110111 E +b11110111 a/ +1C- +1r, +1x, +1&- +1q, +b11111111111000000000000000001000 Q +b1101011 o, +b1101011 -- +1w, +1+- +0# +#49150000 +b0 F +b0 d/ +0;- +0A- +0M- +0:- +b11101111111000000000000000001000 Q +b1100000 8- +b1100000 T- +0@- +0R- +#49160000 +12- +1m, +1j, +bz1111111111000000000000000000000 . +b1010 n, +b1010 /- +1l, +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#49170000 +0C- +#49180000 +0Y- +06- +03- +bz1101111111000000000000000000000 . +b0 7- +b0 V- +05- +#49190000 +b11110111 F +b11110111 d/ +1j- +1;- +1A- +1M- +1:- +b11111111111000000000000000001000 Q +b1101011 8- +b1101011 T- +1@- +1R- +#49210000 +b0 G +b0 g/ +0b- +0h- +0t- +0a- +b11011111111000000000000000001000 Q +b1100000 _- +b1100000 {- +0g- +0y- +#49220000 +1Y- +16- +13- +bz1111111111000000000000000000000 . +b1010 7- +b1010 V- +15- +#49230000 +0j- +#49240000 +0". +0]- +0Z- +bz1011111111000000000000000000000 . +b0 ^- +b0 }- +0\- +#49250000 +b11110111 G +b11110111 g/ +13. +1b- +1h- +1t- +1a- +b11111111111000000000000000001000 Q +b1101011 _- +b1101011 {- +1g- +1y- +#49270000 +b0 I +b0 j/ +0+. +01. +0=. +0*. +b10111111111000000000000000001000 Q +b1100000 (. +b1100000 D. +00. +0B. +#49280000 +1". +1]- +1Z- +bz1111111111000000000000000000000 . +b1010 ^- +b1010 }- +1\- +#49290000 +03. +#49300000 +0I. +0&. +0#. +bz0111111111000000000000000000000 . +b0 '. +b0 F. +0%. +#49310000 +b11110111 I +b11110111 j/ +1Y. +1+. +11. +1=. +1*. +b11111111111000000000000000001000 Q +b1101011 (. +b1101011 D. +10. +1B. +#49330000 +b0 J +b0 m/ +0Q. +0W. +0c. +0P. +b1111111111000000000000000001000 Q +b1100000 N. +b1100000 j. +0V. +0h. +1# +#49340000 +1I. +1&. +1#. +bz1111111111000000000000000000000 . +b1010 '. +b1010 F. +1%. +#49350000 +0Y. +#49360000 +0L. +0! +b0 M. +b0 l. +0K. +#49370000 +b11110111 J +b11110111 m/ +1Q. +1W. +1c. +1P. +b11111111111000000000000000001000 Q +b1101011 N. +b1101011 j. +1V. +1h. +#49400000 +0S +0o. +b0 " +b0 R +b0 1 +b0 n. +1L. +1! +b1010 M. +b1010 l. +1K. +#49430000 +0# +#49460000 +1S +1o. +b1 " +b1 R +b1000 1 +b1000 n. +#50000000 +0:* +1H. +1T +0k" +1W- +b10000000000000000000000000000000 & +b10000000000000000000000000000000 0 +b100000000000000000000000000001 % +b100000000000000000000000000001 / +b11011 ( +b11011 ) +#50010000 +0n +0s +1'# +1,# +0q- +0v- +#50020000 +1G* +b11101111 A* +b11101111 ]* +0T. +b101011 N. +b101011 j. +0b +b100000 \ +b100000 x +1y" +b11101111 s" +b11101111 1# +0e- +b101011 _- +b101011 {- +#50030000 +0K* +0H* +b1101011 A* +b1101011 ]* +0Q* +0N* +0R* +0T* +0W* +0Y* +1X. +1U. +b10101111 N. +b10101111 j. +1^. +1[. +1_. +1a. +1d. +1f. +1f +1c +b10100100 \ +b10100100 x +1l +1i +1m +1r +0}" +0z" +b1101011 s" +b1101011 1# +0%# +0"# +0&# +0+# +1i- +1f- +b10101111 _- +b10101111 {- +1o- +1l- +1p- +1u- +#50040000 +1U* +1Z* +0b. +0g. +0p +0u +1)# +1.# +0s- +0x- +#50060000 +b0 ? +b0 O/ +0b* +b0 J +b0 m/ +b11111111 1 +b11111111 n. +b0 H +b0 w. +b0 G +b0 g/ +0D* +0J* +0?* +0C* +b1100000 A* +b1100000 ]* +0I* +0<* +bz1111111110000000000000000000000 . +b0 @* +b0 _* +0>* +0Q. +1]. +0W. +0P. +b10100100 N. +b10100100 j. +0V. +1_ +1e +1^ +b10101111 \ +b10101111 x +1d +0v" +0|" +0u" +b1100000 s" +b1100000 1# +0{" +0b- +1n- +0h- +0a- +b1011111110000000000000000000001 Q +b10100100 _- +b10100100 {- +0g- +#50070000 +1s* +0c. +0h. +0t- +0y- +#50090000 +b0 @ +b0 R/ +0k* +0q* +0}* +0j* +b1011111100000000000000000000001 Q +b1100000 h* +b1100000 &+ +0p* +0$+ +0S +0o. +b0 " +b0 R +b11110111 1 +b11110111 n. +b1011 M. +b1011 l. +1J. +b1011 ^- +b1011 }- +1[- +#50100000 +0". +0]- +0Z- +bz1011111110000000000000000000000 . +b1 ^- +b1 }- +0\- +#50110000 +13. +#50120000 +0++ +0f* +0c* +bz1011111100000000000000000000000 . +b0 g* +b0 (+ +0e* +#50130000 +b0 I +b0 j/ +1<+ +0+. +01. +0=. +0*. +b11111100000000000000000000001 Q +b1100000 (. +b1100000 D. +00. +0B. +#50150000 +b0 A +b0 U/ +04+ +0:+ +0F+ +03+ +b11111000000000000000000000001 Q +b1100000 1+ +b1100000 M+ +09+ +0K+ +#50160000 +0I. +0&. +0#. +bz0011111100000000000000000000000 . +b0 '. +b0 F. +0%. +#50170000 +1Y. +#50180000 +0R+ +0/+ +0,+ +bz0011111000000000000000000000000 . +b0 0+ +b0 O+ +0.+ +#50190000 +b11110111 J +b11110111 m/ +1c+ +1Q. +0]. +1W. +1P. +b10011111000000000000000000000001 Q +b10101111 N. +b10101111 j. +1V. +1# +#50210000 +b0 B +b0 X/ +0[+ +0a+ +0m+ +0Z+ +b10011110000000000000000000000001 Q +b1100000 X+ +b1100000 t+ +0`+ +0r+ +#50220000 +b1010 M. +b1010 l. +0J. +#50240000 +0y+ +0V+ +0S+ +bz0011110000000000000000000000000 . +b0 W+ +b0 v+ +0U+ +#50250000 +1,, +#50270000 +b0 C +b0 [/ +0$, +0*, +06, +0#, +b10011100000000000000000000000001 Q +b1100000 !, +b1100000 =, +0), +0;, +#50300000 +0B, +0}+ +0z+ +bz0011100000000000000000000000000 . +b0 ~+ +b0 ?, +0|+ +#50310000 +1S, +#50330000 +b0 D +b0 ^/ +0K, +0Q, +0], +0J, +b10011000000000000000000000000001 Q +b1100000 H, +b1100000 d, +0P, +0b, +#50360000 +0i, +0F, +0C, +bz0011000000000000000000000000000 . +b0 G, +b0 f, +0E, +#50370000 +1z, +#50390000 +b0 E +b0 a/ +0r, +0x, +0&- +0q, +b10010000000000000000000000000001 Q +b1100000 o, +b1100000 -- +0w, +0+- +#50420000 +02- +0m, +0j, +bz0010000000000000000000000000000 . +b0 n, +b0 /- +0l, +#50430000 +1C- +#50450000 +b0 F +b0 d/ +0;- +0A- +0M- +0:- +b10000000000000000000000000000001 Q +b1100000 8- +b1100000 T- +0@- +0R- +#50480000 +0Y- +06- +03- +bz0000000000000000000000000000000 . +b0 7- +b0 V- +05- +#50490000 +1j- +#50510000 +b11110111 G +b11110111 g/ +1b- +0n- +1h- +1a- +b10100000000000000000000000000001 Q +b10101111 _- +b10101111 {- +1g- +#50540000 +b0 ^- +b0 }- +0[- +#51000000 +1U +1| +1E" +1l" +15# +1\# +1%$ +1L$ +1s$ +1<% +1c% +1,& +1S& +1z& +1C' +1j' +13( +1Z( +1#) +1J) +1q) +1:* +1a* +1*+ +1Q+ +1x+ +1A, +1h, +11- +1X- +1!. +0H. +0T +0W- +1G. +b1111111111111111111111111111111 & +b1111111111111111111111111111111 0 +b10000000000000000000000000000000 % +b10000000000000000000000000000000 / +b11100 ( +b11100 ) +#51010000 +1n +1s +1q- +1v- +0`. +0e. +#51020000 +0+" +b100000 %" +b100000 A" +0R" +b100000 L" +b100000 h" +0y" +b100000 s" +b100000 1# +0B# +b100000 <# +b100000 X# +0i# +b100000 c# +b100000 !$ +02$ +b100000 ,$ +b100000 H$ +0Y$ +b100000 S$ +b100000 o$ +0"% +b100000 z$ +b100000 8% +0I% +b100000 C% +b100000 _% +0p% +b100000 j% +b100000 (& +09& +b100000 3& +b100000 O& +0`& +b100000 Z& +b100000 v& +0)' +b100000 #' +b100000 ?' +0P' +b100000 J' +b100000 f' +0w' +b100000 q' +b100000 /( +0@( +b100000 :( +b100000 V( +0g( +b100000 a( +b100000 }( +00) +b100000 *) +b100000 F) +0W) +b100000 Q) +b100000 m) +0~) +b100000 x) +b100000 6* +0G* +b100000 A* +b100000 ]* +0n* +b100000 h* +b100000 &+ +07+ +b100000 1+ +b100000 M+ +0^+ +b100000 X+ +b100000 t+ +0', +b100000 !, +b100000 =, +0N, +b100000 H, +b100000 d, +0u, +b100000 o, +b100000 -- +0>- +b100000 8- +b100000 T- +0.. +b100000 (. +b100000 D. +#51030000 +1/" +1," +b10100100 %" +b10100100 A" +15" +12" +16" +18" +1;" +1=" +1V" +1S" +b10100100 L" +b10100100 h" +1\" +1Y" +1]" +1_" +1b" +1d" +1}" +1z" +b10100100 s" +b10100100 1# +1%# +1"# +1&# +1(# +1+# +1-# +1F# +1C# +b10100100 <# +b10100100 X# +1L# +1I# +1M# +1O# +1R# +1T# +1m# +1j# +b10100100 c# +b10100100 !$ +1s# +1p# +1t# +1v# +1y# +1{# +16$ +13$ +b10100100 ,$ +b10100100 H$ +1<$ +19$ +1=$ +1?$ +1B$ +1D$ +1]$ +1Z$ +b10100100 S$ +b10100100 o$ +1c$ +1`$ +1d$ +1f$ +1i$ +1k$ +1&% +1#% +b10100100 z$ +b10100100 8% +1,% +1)% +1-% +1/% +12% +14% +1M% +1J% +b10100100 C% +b10100100 _% +1S% +1P% +1T% +1V% +1Y% +1[% +1t% +1q% +b10100100 j% +b10100100 (& +1z% +1w% +1{% +1}% +1"& +1$& +1=& +1:& +b10100100 3& +b10100100 O& +1C& +1@& +1D& +1F& +1I& +1K& +1d& +1a& +b10100100 Z& +b10100100 v& +1j& +1g& +1k& +1m& +1p& +1r& +1-' +1*' +b10100100 #' +b10100100 ?' +13' +10' +14' +16' +19' +1;' +1T' +1Q' +b10100100 J' +b10100100 f' +1Z' +1W' +1[' +1]' +1`' +1b' +1{' +1x' +b10100100 q' +b10100100 /( +1#( +1~' +1$( +1&( +1)( +1+( +1D( +1A( +b10100100 :( +b10100100 V( +1J( +1G( +1K( +1M( +1P( +1R( +1k( +1h( +b10100100 a( +b10100100 }( +1q( +1n( +1r( +1t( +1w( +1y( +14) +11) +b10100100 *) +b10100100 F) +1:) +17) +1;) +1=) +1@) +1B) +1[) +1X) +b10100100 Q) +b10100100 m) +1a) +1^) +1b) +1d) +1g) +1i) +1$* +1!* +b10100100 x) +b10100100 6* +1** +1'* +1+* +1-* +10* +12* +1K* +1H* +b10100100 A* +b10100100 ]* +1Q* +1N* +1R* +1T* +1W* +1Y* +1r* +1o* +b10100100 h* +b10100100 &+ +1x* +1u* +1y* +1{* +1~* +1"+ +1;+ +18+ +b10100100 1+ +b10100100 M+ +1A+ +1>+ +1B+ +1D+ +1G+ +1I+ +1b+ +1_+ +b10100100 X+ +b10100100 t+ +1h+ +1e+ +1i+ +1k+ +1n+ +1p+ +1+, +1(, +b10100100 !, +b10100100 =, +11, +1., +12, +14, +17, +19, +1R, +1O, +b10100100 H, +b10100100 d, +1X, +1U, +1Y, +1[, +1^, +1`, +1y, +1v, +b10100100 o, +b10100100 -- +1!- +1|, +1"- +1$- +1'- +1)- +1B- +1?- +b10100100 8- +b10100100 T- +1H- +1E- +1I- +1K- +1N- +1P- +12. +1/. +b10100100 (. +b10100100 D. +18. +15. +19. +1;. +1>. +1@. +0a. +0f. +#51040000 +09" +0>" +0`" +0e" +0)# +0.# +0P# +0U# +0w# +0|# +0@$ +0E$ +0g$ +0l$ +00% +05% +0W% +0\% +0~% +0%& +0G& +0L& +0n& +0s& +07' +0<' +0^' +0c' +0'( +0,( +0N( +0S( +0u( +0z( +0>) +0C) +0e) +0j) +0.* +03* +0U* +0Z* +0|* +0#+ +0E+ +0J+ +0l+ +0q+ +05, +0:, +0\, +0a, +0%- +0*- +0L- +0Q- +0<. +0A. +1o +1t +1r- +1w- +#51060000 +b11110111 2 +b11110111 q. +1F" +b11110111 = +b11110111 t. +1m" +b11110111 H +b11110111 w. +16# +b11110111 K +b11110111 z. +1]# +b11110111 L +b11110111 }. +1&$ +b11110111 M +b11110111 "/ +1M$ +b11110111 N +b11110111 %/ +1t$ +b11110111 O +b11110111 (/ +1=% +b11110111 P +b11110111 +/ +1d% +b11110111 3 +b11110111 ./ +1-& +b11110111 4 +b11110111 1/ +1T& +b11110111 5 +b11110111 4/ +1{& +b11110111 6 +b11110111 7/ +1D' +b11110111 7 +b11110111 :/ +1k' +b11110111 8 +b11110111 =/ +14( +b11110111 9 +b11110111 @/ +1[( +b11110111 : +b11110111 C/ +1$) +b11110111 ; +b11110111 F/ +1K) +b11110111 < +b11110111 I/ +1r) +b11110111 > +b11110111 L/ +1;* +b11110111 ? +b11110111 O/ +1b* +b11110111 @ +b11110111 R/ +1++ +b11110111 A +b11110111 U/ +1R+ +b11110111 B +b11110111 X/ +1y+ +b11110111 C +b11110111 [/ +1B, +b11110111 D +b11110111 ^/ +1i, +b11110111 E +b11110111 a/ +12- +b11110111 F +b11110111 d/ +1Y- +b11110111 I +b11110111 j/ +1I. +1(" +1." +1#" +1'" +b10101111 %" +b10101111 A" +1-" +1~ +b1010 $" +b1010 C" +1"" +1O" +1U" +1J" +1N" +b10101111 L" +b10101111 h" +1T" +1G" +b1010 K" +b1010 j" +1I" +1v" +1|" +1q" +1u" +b10101111 s" +b10101111 1# +1{" +1n" +b1010 r" +b1010 3# +1p" +1?# +1E# +1:# +1># +b10101111 <# +b10101111 X# +1D# +17# +b1010 ;# +b1010 Z# +19# +1f# +1l# +1a# +1e# +b10101111 c# +b10101111 !$ +1k# +1^# +b1010 b# +b1010 #$ +1`# +1/$ +15$ +1*$ +1.$ +b10101111 ,$ +b10101111 H$ +14$ +1'$ +b1010 +$ +b1010 J$ +1)$ +1V$ +1\$ +1Q$ +1U$ +b10101111 S$ +b10101111 o$ +1[$ +1N$ +b1010 R$ +b1010 q$ +1P$ +1}$ +1%% +1x$ +1|$ +b10101111 z$ +b10101111 8% +1$% +1u$ +b1010 y$ +b1010 :% +1w$ +1F% +1L% +1A% +1E% +b10101111 C% +b10101111 _% +1K% +1>% +b1010 B% +b1010 a% +1@% +1m% +1s% +1h% +1l% +b10101111 j% +b10101111 (& +1r% +1e% +b1010 i% +b1010 *& +1g% +16& +1<& +11& +15& +b10101111 3& +b10101111 O& +1;& +1.& +b1010 2& +b1010 Q& +10& +1]& +1c& +1X& +1\& +b10101111 Z& +b10101111 v& +1b& +1U& +b1010 Y& +b1010 x& +1W& +1&' +1,' +1!' +1%' +b10101111 #' +b10101111 ?' +1+' +1|& +b1010 "' +b1010 A' +1~& +1M' +1S' +1H' +1L' +b10101111 J' +b10101111 f' +1R' +1E' +b1010 I' +b1010 h' +1G' +1t' +1z' +1o' +1s' +b10101111 q' +b10101111 /( +1y' +1l' +b1010 p' +b1010 1( +1n' +1=( +1C( +18( +1<( +b10101111 :( +b10101111 V( +1B( +15( +b1010 9( +b1010 X( +17( +1d( +1j( +1_( +1c( +b10101111 a( +b10101111 }( +1i( +1\( +b1010 `( +b1010 !) +1^( +1-) +13) +1() +1,) +b10101111 *) +b10101111 F) +12) +1%) +b1010 )) +b1010 H) +1') +1T) +1Z) +1O) +1S) +b10101111 Q) +b10101111 m) +1Y) +1L) +b1010 P) +b1010 o) +1N) +1{) +1#* +1v) +1z) +b10101111 x) +b10101111 6* +1"* +1s) +b1010 w) +b1010 8* +1u) +1D* +1J* +1?* +1C* +b10101111 A* +b10101111 ]* +1I* +1<* +b1010 @* +b1010 _* +1>* +1k* +1q* +1f* +1j* +b10101111 h* +b10101111 &+ +1p* +1c* +b1010 g* +b1010 (+ +1e* +14+ +1:+ +1/+ +13+ +b10101111 1+ +b10101111 M+ +19+ +1,+ +b1010 0+ +b1010 O+ +1.+ +1[+ +1a+ +1V+ +1Z+ +b10101111 X+ +b10101111 t+ +1`+ +1S+ +b1010 W+ +b1010 v+ +1U+ +1$, +1*, +1}+ +1#, +b10101111 !, +b10101111 =, +1), +1z+ +b1010 ~+ +b1010 ?, +1|+ +1K, +1Q, +1F, +1J, +b10101111 H, +b10101111 d, +1P, +1C, +b1010 G, +b1010 f, +1E, +1r, +1x, +1m, +1q, +b10101111 o, +b10101111 -- +1w, +1j, +b1010 n, +b1010 /- +1l, +1;- +1A- +16- +1:- +b10101111 8- +b10101111 T- +1@- +13- +b1010 7- +b1010 V- +15- +1+. +11. +1&. +1*. +b11111111111111111111111111111111 Q +b10101111 (. +b10101111 D. +10. +1#. +bz1011111111111111111111111111110 . +b1010 '. +b1010 F. +1%. +0L. +0! +b0 M. +b0 l. +0K. +#51070000 +1} +1". +0W" +0~" +0G# +0n# +07$ +0^$ +0'% +0N% +0u% +0>& +0e& +0.' +0U' +0|' +0E( +0l( +05) +0\) +0%* +0L* +0s* +0<+ +0c+ +0,, +0S, +0z, +0C- +0j- +0Y. +1Z +1W +b1010 [ +b1010 z +1Y +1]- +1Z- +bz1111111111111111111111111111111 . +b1010 ^- +b1010 }- +1\- +#51080000 +00" +03. +#51090000 +b0 = +b0 t. +b0 H +b0 w. +b0 K +b0 z. +b0 L +b0 }. +b0 M +b0 "/ +b0 N +b0 %/ +b0 O +b0 (/ +b0 P +b0 +/ +b0 3 +b0 ./ +b0 4 +b0 1/ +b0 5 +b0 4/ +b0 6 +b0 7/ +b0 7 +b0 :/ +b0 8 +b0 =/ +b0 9 +b0 @/ +b0 : +b0 C/ +b0 ; +b0 F/ +b0 < +b0 I/ +b0 > +b0 L/ +b0 ? +b0 O/ +b0 @ +b0 R/ +b0 A +b0 U/ +b0 B +b0 X/ +b0 C +b0 [/ +b0 D +b0 ^/ +b0 E +b0 a/ +b0 F +b0 d/ +b0 G +b0 g/ +b0 J +b0 m/ +0O" +1[" +0U" +0N" +b10100100 L" +b10100100 h" +0T" +0v" +1$# +0|" +0u" +b10100100 s" +b10100100 1# +0{" +0?# +1K# +0E# +0># +b10100100 <# +b10100100 X# +0D# +0f# +1r# +0l# +0e# +b10100100 c# +b10100100 !$ +0k# +0/$ +1;$ +05$ +0.$ +b10100100 ,$ +b10100100 H$ +04$ +0V$ +1b$ +0\$ +0U$ +b10100100 S$ +b10100100 o$ +0[$ +0}$ +1+% +0%% +0|$ +b10100100 z$ +b10100100 8% +0$% +0F% +1R% +0L% +0E% +b10100100 C% +b10100100 _% +0K% +0m% +1y% +0s% +0l% +b10100100 j% +b10100100 (& +0r% +06& +1B& +0<& +05& +b10100100 3& +b10100100 O& +0;& +0]& +1i& +0c& +0\& +b10100100 Z& +b10100100 v& +0b& +0&' +12' +0,' +0%' +b10100100 #' +b10100100 ?' +0+' +0M' +1Y' +0S' +0L' +b10100100 J' +b10100100 f' +0R' +0t' +1"( +0z' +0s' +b10100100 q' +b10100100 /( +0y' +0=( +1I( +0C( +0<( +b10100100 :( +b10100100 V( +0B( +0d( +1p( +0j( +0c( +b10100100 a( +b10100100 }( +0i( +0-) +19) +03) +0,) +b10100100 *) +b10100100 F) +02) +0T) +1`) +0Z) +0S) +b10100100 Q) +b10100100 m) +0Y) +0{) +1)* +0#* +0z) +b10100100 x) +b10100100 6* +0"* +0D* +1P* +0J* +0C* +b10100100 A* +b10100100 ]* +0I* +0k* +1w* +0q* +0j* +b10100100 h* +b10100100 &+ +0p* +04+ +1@+ +0:+ +03+ +b10100100 1+ +b10100100 M+ +09+ +0[+ +1g+ +0a+ +0Z+ +b10100100 X+ +b10100100 t+ +0`+ +0$, +10, +0*, +0#, +b10100100 !, +b10100100 =, +0), +0K, +1W, +0Q, +0J, +b10100100 H, +b10100100 d, +0P, +0r, +1~, +0x, +0q, +b10100100 o, +b10100100 -- +0w, +0;- +1G- +0A- +0:- +b10100100 8- +b10100100 T- +0@- +0b- +1n- +0h- +0a- +b10100100 _- +b10100100 {- +0g- +0Q. +1]. +0W. +0P. +b1000000000000000000000000000011 Q +b10100100 N. +b10100100 j. +0V. +#51100000 +b0 2 +b0 q. +b0 I +b0 j/ +0(" +14" +0." +0'" +b10100100 %" +b10100100 A" +0-" +0+. +17. +01. +0*. +b1 Q +b10100100 (. +b10100100 D. +00. +#51120000 +1S +1o. +b1 " +b1 R +b11111111 1 +b11111111 n. +b1011 K" +b1011 j" +1H" +b1011 r" +b1011 3# +1o" +b1011 ;# +b1011 Z# +18# +b1011 b# +b1011 #$ +1_# +b1011 +$ +b1011 J$ +1($ +b1011 R$ +b1011 q$ +1O$ +b1011 y$ +b1011 :% +1v$ +b1011 B% +b1011 a% +1?% +b1011 i% +b1011 *& +1f% +b1011 2& +b1011 Q& +1/& +b1011 Y& +b1011 x& +1V& +b1011 "' +b1011 A' +1}& +b1011 I' +b1011 h' +1F' +b1011 p' +b1011 1( +1m' +b1011 9( +b1011 X( +16( +b1011 `( +b1011 !) +1]( +b1011 )) +b1011 H) +1&) +b1011 P) +b1011 o) +1M) +b1011 w) +b1011 8* +1t) +b1011 @* +b1011 _* +1=* +b1011 g* +b1011 (+ +1d* +b1011 0+ +b1011 O+ +1-+ +b1011 W+ +b1011 v+ +1T+ +b1011 ~+ +b1011 ?, +1{+ +b1011 G, +b1011 f, +1D, +b1011 n, +b1011 /- +1k, +b1011 7- +b1011 V- +14- +b1011 ^- +b1011 }- +1[- +b1 M. +b1 l. +1J. +#51130000 +b1011 $" +b1011 C" +1!" +b1011 '. +b1011 F. +1$. +#52000000 +b11101 ( +b11101 ) diff --git a/alu1bit.t.v b/alu1bit.t.v new file mode 100644 index 0000000..4591a50 --- /dev/null +++ b/alu1bit.t.v @@ -0,0 +1,209 @@ +// 1 Bit alu test bench +`timescale 1 ns / 1 ps +`include "alu1bit.v" + +module testALU1bit (); + wire out, cout; + reg a, b, cin; + reg[2:0] op; + + integer i, j; + integer passed_tests = 0; + integer tests = 0; + + ALU1bit alu (out,cout,a,b,cin,op); + + initial begin + + // Test ADD + $display("ADD:"); + op=3'b000; + // without cin + cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a + b) == out) & ((a & b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); end + end + end + // with cin + cin = 1; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a ~^ b) == out) & ((a | b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + // Test SUB + $display("SUB:"); + op=3'b001; + // without cin + cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a - b) == out) & ((a < b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + // with cin + cin = 1; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a ~^ b) == out) & ((a <= b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + + // Test XOR + $display("XOR:"); + op=3'b010; cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if ((a ^ b) == out) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + //Test SLT + $display("SLT:"); + op=3'b001; + // without cin + cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a - b) == out) & ((a < b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + // with cin + cin = 1; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (((a ~^ b) == out) & ((a <= b) == cout)) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + // Test AND + $display("AND:"); + op=3'b100; cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if ((a & b) == out) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + // Test NAND + $display("NAND:"); + op=3'b101; cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if (~(a&b) == out) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + // Test NOR + $display("NOR:"); + op=3'b110; cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if ((a ~| b) == out) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + + // Test OR + $display("OR:"); + op=3'b111; cin = 0; + for (i=0; i<2; i=i+1) begin + for (j=0; j<2; j=j+1) begin + a=i;b=j;#1000 + tests = tests + 1; + if ((a|b) == out) begin + passed_tests = passed_tests + 1; + $display("Passed test with: %b %b %b %b | %b %b", op, a, b, cin, out, cout); + end + else begin + $display("Failed test with: %b %b %b %b | %b %b*", op, a, b, cin, out, cout); + end + end + end + $display(" op a b cin|out cout "); + + $display("%2d/%2d Test Cases Passed", passed_tests, tests); + + end +endmodule diff --git a/alu1bit.v b/alu1bit.v new file mode 100644 index 0000000..b1602dc --- /dev/null +++ b/alu1bit.v @@ -0,0 +1,73 @@ +// ALU1bit is a 1-Bit arithmetic logic unit +// It performs the following operations: +// b000 -> ADD +// b001 -> SUB +// b010 -> XOR +// b011 -> SLT +// b100 -> AND +// b101 -> NAND +// b110 -> NOR +// b111 -> OR + +`include "mux3bit.v" +`include "adder1bit.v" +`include "subtractor1bit.v" +`define AND and #30 +`define OR or #30 +`define NOT not #10 +`define XOR xor #30 +`define NOR nor #20 +`define NAND nand #20 + + +module ALU1bit +( + output out, + output cout, + input a, + input b, + input cin, + input[2:0] op +); + // Add + wire res_ADD; + wire cout_ADD; + Adder1bit adder(res_ADD, cout_ADD, a, b, cin); + + // Subtract + wire res_SUB; + wire cout_SUB; + Subtractor1bit subtractor(res_SUB, cout_SUB, a, b, cin); + + // Xor + wire res_XOR; + `XOR(res_XOR, a, b); + + // SLT + wire res_SLT; + wire cout_SLT; + Subtractor1bit slt(res_SLT, cout_SLT, a, b, cin); + + // And + wire res_AND; + `AND(res_AND, a, b); + + // Nand + wire res_NAND; + `NAND(res_NAND, a, b); + + // Nor + wire res_NOR; + `NOR(res_NOR, a, b); + + // Or + wire res_OR; + `OR(res_OR, a, b); + + // Use a behavioral mux to select operation + wire[7:0] muxRes = {res_OR, res_NOR, res_NAND, res_AND, res_SLT, res_XOR, res_SUB, res_ADD}; + wire[7:0] muxCout = {1'b0, 1'b0, 1'b0, 1'b0, cout_SLT, 1'b0, cout_SUB, cout_ADD}; + MUX3bit mux1(out, op, muxRes); + MUX3bit mux2(cout, op, muxCout); + +endmodule diff --git a/mux3bit.t.v b/mux3bit.t.v new file mode 100644 index 0000000..0dc67c9 --- /dev/null +++ b/mux3bit.t.v @@ -0,0 +1,26 @@ +// Multiplexer testbench +`timescale 1 ns / 1 ps +`include "mux3bit.v" + +module testMultiplexer (); + reg[2:0] address; + reg[7:0] inputs; + wire out; + + //behavioralMultiplexer mux(out, addr0, addr1, in0, in1, in2, in3); + MUX3bit mux(out, address, inputs); + + initial begin + $display("address | inputs | Out | Expected Output"); + inputs=8'b00000001;address=3'b000; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b00000100;address=3'b010; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b10000000;address=3'b111; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b01000000;address=3'b110; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b00000000;address=3'b100; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b0); + end +endmodule diff --git a/mux3bit.v b/mux3bit.v new file mode 100644 index 0000000..f8c5a20 --- /dev/null +++ b/mux3bit.v @@ -0,0 +1,8 @@ +module MUX3bit +( + output out, + input[2:0] address, + input[7:0] inputs +); + assign out = inputs[address]; +endmodule diff --git a/muxTest.t.v b/muxTest.t.v new file mode 100644 index 0000000..7dd39ea --- /dev/null +++ b/muxTest.t.v @@ -0,0 +1,26 @@ +// Multiplexer testbench +`timescale 1 ns / 1 ps +`include "alu1bit.v" + +module testMultiplexer (); + reg[2:0] address; + reg[7:0] inputs; + wire out; + + //behavioralMultiplexer mux(out, addr0, addr1, in0, in1, in2, in3); + behavioralMultiplexer mux(out, address, inputs); + + initial begin + $display("address | inputs | Out | Expected Output"); + inputs=8'b00000001;address=3'b000; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b00000100;address=3'b010; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b10000000;address=3'b111; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b01000000;address=3'b110; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b1); + inputs=8'b00000000;address=3'b100; #1000 + $display("%b | %b | %b | %b", address, inputs, out, 1'b0); + end +endmodule diff --git a/subtractor1bit.v b/subtractor1bit.v new file mode 100644 index 0000000..a08ad11 --- /dev/null +++ b/subtractor1bit.v @@ -0,0 +1,25 @@ +`define AND and #30 +`define OR or #30 +`define NOT not #10 +`define XOR xor #30 +`define NOR nor #20 +`define NAND nand #20 + +module Subtractor1bit +( + output diff, + output borrowout, + input a, + input b, + input borrowin +); + wire axorb; + `XOR(axorb, a, b); + `XOR(diff, axorb, borrowin); + wire nota, noteaandb, notaxorb, notaxorbandborrowin; + `NOT(nota, a); + `AND(notaandb, nota, b); + `NOT(notaxorb, axorb); + `AND(notaxorbandborrowin, notaxorb, borrowin); + `OR(borrowout, notaandb, notaxorbandborrowin); +endmodule