From c22e83d7127b47c26383040d184964e723b566a4 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 15:37:31 -0400 Subject: [PATCH 01/20] commit mux/lut --- adder.t.v | 89 +++++++++++++++++++++++++++++++++++++++++++++++++++ adder.v | 44 +++++++++++++++++++++++++ alu.v | 19 +++++++++++ lut.v | 34 ++++++++++++++++++++ multiplexer.v | 34 ++++++++++++++++++++ 5 files changed, 220 insertions(+) create mode 100644 adder.t.v create mode 100644 adder.v create mode 100644 alu.v create mode 100644 lut.v create mode 100644 multiplexer.v diff --git a/adder.t.v b/adder.t.v new file mode 100644 index 0000000..15be0b5 --- /dev/null +++ b/adder.t.v @@ -0,0 +1,89 @@ +`timescale 1 ns / 1 ps +`include "adder.v" + +module test4BitFullAdder(); + reg[3:0] a; //Bus for a registers. + reg[3:0] b; //Bus for b registers. + wire[3:0] sum; //Bus for the individual sums. + wire carryout; //final carryout wire. + wire carryout2; + wire overflow; //Overflow wire. + + FullAdder4bit adder(sum, carryout, carryout2, overflow, a, b); + + initial begin + $dumpfile("fulladder.vcd"); + $dumpvars(0, a[3:0], b[3:0], sum[3:0], carryout, carryout2, overflow); + + + $display(" a | b | S C2 | COut | OverFlow | Sum | ECout | EOvrflow"); + a = 0; //Set register a. + b = 0; //Set register b. + #1000 //Delay. + $display(" %d | %d | %d %b | %b | %b | 0 | 0 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = -1; + b = -1; + #1000 + $display(" %d | %d | %d %b | %b | %b | -2 | 1 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 1; + b = 1; + #1000 + $display(" %d | %d | %d %b | %b | %b | 2 | 0 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 7; + b = -7; + #1000 + $display(" %d | %d | %d %b | %b | %b | 0 | 1 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 6; + b = -2; + #1000 + $display(" %d | %d | %d %b | %b | %b | 4 | 1 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 6; + b = 5; + #1000 + $display(" %d | %d | %d %b | %b | %b |11(-5)| 0 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 5; + b = -7; + #1000 + $display(" %d | %d | %d %b | %b | %b | -2 | 0 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 7; + b = 3; + #1000 + $display(" %d | %d | %d %b | %b | %b |10(-6)| 0 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = -5; + b = -5; + #1000 + $display(" %d | %d | %d %b | %b | %b |-10(6)| 1 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = -8; + b = -8; + #1000 + $display(" %d | %d | %d %b | %b | %b |-16(0)| 1 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 7; + b = -8; + #1000 + $display(" %d | %d | %d %b | %b | %b | -1 | 0 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 7; + b = 7; + #1000 + $display(" %d | %d | %d %b | %b | %b |14(-2)| 0 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 5; + b = 2; + #1000 + $display(" %d | %d | %d %b | %b | %b | 7 | 0 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = 5; + b = 3; + #1000 + $display(" %d | %d | %d %b | %b | %b |8(-8) | 0 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = -5; + b = -3; + #1000 + $display(" %d | %d | %d %b | %b | %b | -8 | 1 | 0 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + a = -5; + b = -4; + #1000 + $display(" %d | %d | %d %b | %b | %b |-9(7) | 1 | 1 ", $signed(a), $signed(b), $signed(sum), carryout2, carryout, overflow); + + //end + //end + + end +endmodule diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..b6cfd34 --- /dev/null +++ b/adder.v @@ -0,0 +1,44 @@ +`define AND and #30 +`define OR or #30 +`define XOR xor #50 + +// Adder circuit + +module structFullAdder +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire AxorB; + wire AandB; + wire AxorBandCarryIn; + + `XOR (AxorB, a, b); + `XOR (sum, AxorB, carryin); + `AND (AandB, a, b); + `AND (AxorBandCarryIn, AxorB, carryin); + `OR (carryout, AxorBandCarryIn, AandB); +endmodule + +module FullAdder4bit +( + output[3:0] sum, // 2's complement sum of a and b + output carryout, carryout2, // Carry out of the summation of a and b + output overflow, // True if the calculation resulted in an overflow + input[3:0] a, // First operand in 2's complement format + input[3:0] b // Second operand in 2's complement format +); + + wire carryout0; //Carryout of first adder. + wire carryout1; //Carryout of second adder. + + structFullAdder a0(sum[0], carryout0, a[0], b[0], 1'b0); //Structural Full Adder with specific initial values. + structFullAdder a1(sum[1], carryout1, a[1], b[1], carryout0); + structFullAdder a2(sum[2], carryout2, a[2], b[2], carryout1); + structFullAdder a3(sum[3], carryout, a[3], b[3], carryout2); + + `XOR (overflow, carryout2, carryout); //XOR handles overflow. +endmodule diff --git a/alu.v b/alu.v new file mode 100644 index 0000000..6488d67 --- /dev/null +++ b/alu.v @@ -0,0 +1,19 @@ +`define AND and #30 +`define OR or #30 +`define NOT not #10 +`define XOR xor #50 +`define NAND nand #20 +`define NOR nor #20 + +module ALU +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input[2:0] command +); + // Your code here +endmodule \ No newline at end of file diff --git a/lut.v b/lut.v new file mode 100644 index 0000000..a49bea0 --- /dev/null +++ b/lut.v @@ -0,0 +1,34 @@ +// LUT for translating commands to control logic + +// define commands +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module ALU_LUT +( + output reg[2:0] select, + output reg invert, + input[2:0] operation +); + wire[2:0] operation; + + always @(operation) begin + case (operation) + `ADD: begin select = 0; invert = 0; end + `SUB: begin select = 0; invert = 1; end + `AND: begin select = 1; invert = 0; end + `NAND: begin select = 2; invert = 0; end + `NOR: begin select = 3; invert = 0; end + `OR: begin select = 4; invert = 0; end + `XOR: begin select = 5; invert = 0; end + `SLT: begin select = 6; invert = 0; end + endcase + end + +endmodule \ No newline at end of file diff --git a/multiplexer.v b/multiplexer.v new file mode 100644 index 0000000..2cec912 --- /dev/null +++ b/multiplexer.v @@ -0,0 +1,34 @@ +`define AND and #50 +`define OR or #90 +`define NOT not #10 + +// Multiplexer circuit + +module ALUMultiplexer +( + output out, + input [2:0] select, + input in0, in1, in2, in3, in4, in5, in6, in7 +); + + wire [2:0] select; + wire in0, in1, in2, in3, in4, in5, in6, in7; + + wire [2:0] nSelect; + wire [7:0] partialOutput; + + `NOT (nSelect[0], select[0]); + `NOT (nSelect[1], select[1]); + `NOT (nSelect[2], select[2]); + + `AND (partialOutput[0], in0, nSelect[2], nSelect[1], nSelect[0]); + `AND (partialOutput[1], in1, nSelect[2], nSelect[1], select[0]); + `AND (partialOutput[2], in2, nSelect[2], select[1], nSelect[0]); + `AND (partialOutput[3], in3, nSelect[2], select[1], select[0]); + `AND (partialOutput[4], in4, select[2], nSelect[1], nSelect[0]); + `AND (partialOutput[5], in5, select[2], nSelect[1], select[0]); + `AND (partialOutput[6], in6, select[2], select[1], nSelect[0]); + `AND (partialOutput[7], in7, select[2], select[1], select[0]); + `OR (out, partialOutput[0], partialOutput[1], partialOutput[2], partialOutput[3], partialOutput[4], partialOutput[5], partialOutput[6], partialOutput[7]); + +endmodule \ No newline at end of file From 529bccd2e3658fa748958570168e93c8aaba7f4f Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 18:12:10 -0400 Subject: [PATCH 02/20] commit new alu --- .lut.v.un~ | Bin 0 -> 551 bytes alu.v | 26 +++++++++++++++++++++++++- lut.v | 4 ++-- lut.v~ | 34 ++++++++++++++++++++++++++++++++++ 4 files changed, 61 insertions(+), 3 deletions(-) create mode 100644 .lut.v.un~ create mode 100644 lut.v~ diff --git a/.lut.v.un~ b/.lut.v.un~ new file mode 100644 index 0000000000000000000000000000000000000000..f6a33629a9b727bfe8c5f665bd2622b34d94fc91 GIT binary patch literal 551 zcmWH`%$*;a=aT=Ff$6((YvW|^V%Ij+JI0cy*QQ)h*!A*=q=AK%rNpt_21_Lv7#Ng* zm@hX!r8Fm1!O Date: Wed, 11 Oct 2017 18:37:32 -0400 Subject: [PATCH 03/20] adding my files --- aluBit.t.v | 67 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ aluBit.v | 47 ++++++++++++++++++++++++++++++++++++++ mux.t.v | 39 +++++++++++++++++++++++++++++++ mux.v | 29 +++++++++++++++++++++++ 4 files changed, 182 insertions(+) create mode 100644 aluBit.t.v create mode 100644 aluBit.v create mode 100644 mux.t.v create mode 100644 mux.v diff --git a/aluBit.t.v b/aluBit.t.v new file mode 100644 index 0000000..6261ccb --- /dev/null +++ b/aluBit.t.v @@ -0,0 +1,67 @@ +// Test bench for bitslice of ALU without MUX + +`timescale 1 ns / 1 ps +`include "aluBit.v" + +module testBitslice(); + reg a, b, c, i0; + reg[4:0] i; + wire sumXOR, carryAND, nab, orNOR; + wire val; + reg[4:0] count; + + aluFullBitslice bitslice (sumXOR, carryAND, nab, orNOR, a, b, c, i0); + +initial begin + count = 0; + i = 5'b00000; + for (i=5'b00000; i<5'b10000; i=i+1) + begin + a = i[0]; + b = i[1]; + c = i[2]; + i0 = i[3]; + #1000 + if ((sumXOR^(a^b))&~c) + begin + $display("failed xor: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, sumXOR); + end + else if ((carryAND^(a&b))&~c) + begin + $display("failed and: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, carryAND); + end + else if (nab^(a~&b)) + begin + $display("failed nand: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, nab); + end + else if ((orNOR^(a~|b))&~i0) + begin + $display("failed nor: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, orNOR); + end + else if ((orNOR^(a|b))&i0) + begin + $display("failed or: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, orNOR); + end + else if (sumXOR^(a^b^c)) + begin + $display("failed sum: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, sumXOR); + end + else if (carryAND^((a&b)|(a&c)|(b&c))) + begin + $display("failed carry: a b c i0 result"); + $display(" %b %b %b %b %b", a, b, c, i0, carryAND); + end + else + begin + count = count + 1; + end + end + $display("all tests passed for %d cases", count); +end +endmodule diff --git a/aluBit.v b/aluBit.v new file mode 100644 index 0000000..50677e6 --- /dev/null +++ b/aluBit.v @@ -0,0 +1,47 @@ +// ALU main circuit +// Calculates the solutions for addition, subtraction, SLT. xor, and, nand, nor, or +// but doesn't include MUX +// Note: this also doesn't fully manage the carryout, something else must determine +// wheter or not to pass the "carryAND" out the carryout line. +// external reqirements: +// i0 is the 2^0 digit of the control signal (according to the spec) +// c can only be true if carryout is allowed (for all bits) +`define NAND nand #10 +`define NOR nor #10 +`define NOT not #10 +`define XOR xor #10 + +module aluFullBitslice +( + output sumXOR, + output carryAND, + output nab, + output orNOR, + input a, + input b, + input carryin, + input i0 +); + wire nab; + wire nbc; + wire nac; + wire sumXOR; + wire ab; + wire carryout; + wire anorb; + wire orNOR; + wire carryAND; + // level 1 for plus/minus, nand, and + `NAND aNANDb(nab, a, b); + `NAND bNANDc(nbc, b, carryin); + `NAND aNANDc(nac, a, carryin); + // level 2 for plus/minus, and + `NAND cand(carryAND, nab, nac, nbc); + // xor/sum + `XOR sumxor(sumXOR, a, b, carryin); + // or/nor + `NOR aNORb(anorb, a, b); + `XOR ornor(orNOR, anorb, i0); + + +endmodule diff --git a/mux.t.v b/mux.t.v new file mode 100644 index 0000000..45fdb3e --- /dev/null +++ b/mux.t.v @@ -0,0 +1,39 @@ +// MUX testbench +`timescale 1 ns / 1 ps +`include "mux.v" + +module testMUX(); + reg a, b, c, d; + reg s0, s1; + wire out; + + reg[4:0] i; + reg[2:0] sel; + reg err; + + multiplexer mux (out, a, b, c, d, s1, s0); + +initial begin + err = 0; + for (sel=0; sel<3'b100; sel=sel+1) + begin + s0=sel[0]; + s1=sel[1]; + for (i=0; i<5'b10000; i=i+1) + begin + a=i[3]; b=i[2]; c=i[1]; d=i[0]; + #1000 + //if (1) + if (out^i[sel]) + begin + $display("failed case input: %b, select: %b, output: %b", i[3:0], sel[1:0], out); + err = 1; + end + end + end + if (~err) + begin + $display("all tests passed"); + end +end +endmodule diff --git a/mux.v b/mux.v new file mode 100644 index 0000000..cd19375 --- /dev/null +++ b/mux.v @@ -0,0 +1,29 @@ +// 4 input MUX +// takes in 4 inputs and two selects and passes through the selected input + +`define NAND nand #10 +`define NOR nor #10 +`define NOT not #10 + +module multiplexer +( + output out, + input a, + input b, + input c, + input d, + input s1, + input s0 +); + wire ns0, ns1; + wire aout, bout, cout, dout; + + `NOT(ns0, s0); + `NOT(ns1, s1); + `NAND(aout, s1, s0, a); + `NAND(bout, s1, ns0, b); + `NAND(cout, ns1, s0, c); + `NAND(dout, ns1, ns0, d); + + `NAND(out, aout, bout, cout, dout); +endmodule From 9048f24f4b85d8bacdd5a7308d727b9f5aa9164d Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Wed, 11 Oct 2017 19:57:30 -0400 Subject: [PATCH 04/20] added full bitslice and test bench --- aluBit.v | 2 +- aluFullBit.t.v | 112 +++++++++++++++++++++++++++++++++++++++++++++++++ aluFullBit.v | 22 ++++++++++ mux.v | 12 ++++-- 4 files changed, 144 insertions(+), 4 deletions(-) create mode 100644 aluFullBit.t.v create mode 100644 aluFullBit.v diff --git a/aluBit.v b/aluBit.v index 50677e6..6095b6a 100644 --- a/aluBit.v +++ b/aluBit.v @@ -11,7 +11,7 @@ `define NOT not #10 `define XOR xor #10 -module aluFullBitslice +module aluBit ( output sumXOR, output carryAND, diff --git a/aluFullBit.t.v b/aluFullBit.t.v new file mode 100644 index 0000000..fdf0863 --- /dev/null +++ b/aluFullBit.t.v @@ -0,0 +1,112 @@ +// Full BitSlice testbench +`timescale 1 ns / 1 ps +`include "aluFullBit.v" + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module testFullBit(); + reg a, b, c, i0; + reg[3:0] in; + reg s0, s1; + wire out, carry; + + reg[4:0] i; + reg[1:0] sel; + reg err; + reg tmp_s0; + reg tmp_s1; + + aluFullBit aluSlice (out, carry, a, b, c, i0, {s1, s0}); + +initial begin + err = 0; + for (in=0; in<4'b1000; in=in+1) + begin + tmp_s0 = in[1]|in[0]; + tmp_s1 = ~in[1]; + s0 = tmp_s0~&in[2]; + s1 = tmp_s1~&in[2]; + sel = {s1, s0}; + for (i=0; i<5'b10000; i=i+1) + begin + a=i[3]; b=i[2]; c=i[1]; i0=in[0]; + #10000 + case (in[2:0]) + `ADD: + begin + if (out^(a^b^c)) + begin + $display("failed sum: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + if (carry^((a&b)|(a&c)|(b&c))) + begin + $display("failed carry: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + `SUB: begin end + `XOR: + begin + if ((out^(a^b))&~c) + begin + $display("failed xor: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + `SLT: begin end + `AND: + begin + if ((out^(a&b))&~c) + begin + $display("failed and: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + `NAND: + begin + if (out^(a~&b)) + begin + $display("failed nand: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + `NOR: + begin + if (out^(a~|b)) + begin + $display("failed nor: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + `OR: + begin + if (out^(a|b)) + begin + $display("failed or: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end + endcase + end + end + if (~err) + begin + $display("all tests passed"); + end +end +endmodule diff --git a/aluFullBit.v b/aluFullBit.v new file mode 100644 index 0000000..94748b3 --- /dev/null +++ b/aluFullBit.v @@ -0,0 +1,22 @@ +// Full bitslice of ALUE (attaching logic to mux) + +`include "aluBit.v" +`include "mux.v" + +module aluFullBit +( + output res, + output cout, + input a, + input b, + input cin, + input ctrl0, + input[1:0] sel +); + + wire sumXOR, carryAND, nab, orNOR; + aluBit alu (sumXOR, carryAND, nab, orNOR, a, b, cin, ctrl0); + + multiplexer mux (res, sumXOR, orNOR, carryAND, nab, sel[1], sel[0]); + +endmodule diff --git a/mux.v b/mux.v index cd19375..7c23cf6 100644 --- a/mux.v +++ b/mux.v @@ -1,8 +1,7 @@ // 4 input MUX // takes in 4 inputs and two selects and passes through the selected input -`define NAND nand #10 -`define NOR nor #10 +`define NAND nand #30 `define NOT not #10 module multiplexer @@ -25,5 +24,12 @@ module multiplexer `NAND(cout, ns1, s0, c); `NAND(dout, ns1, ns0, d); - `NAND(out, aout, bout, cout, dout); + nand #40 (out, aout, bout, cout, dout); endmodule + +// propogation delays: +// 3 layers: +// 1: nots (10) +// 2: nands (30) +// 3: nand (40) +// total: 80 From ba38a26787d6ae2397071e7d922ca40293a02255 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 20:09:05 -0400 Subject: [PATCH 05/20] more ALU more ALU --- .lut.v.un~ | Bin 551 -> 0 bytes alu.v | 60 ++++++++++++++++++++++++++++++++++++----------------- lut.v~ | 34 ------------------------------ 3 files changed, 41 insertions(+), 53 deletions(-) delete mode 100644 .lut.v.un~ delete mode 100644 lut.v~ diff --git a/.lut.v.un~ b/.lut.v.un~ deleted file mode 100644 index f6a33629a9b727bfe8c5f665bd2622b34d94fc91..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 551 zcmWH`%$*;a=aT=Ff$6((YvW|^V%Ij+JI0cy*QQ)h*!A*=q=AK%rNpt_21_Lv7#Ng* zm@hX!r8Fm1!O Date: Wed, 11 Oct 2017 20:07:42 -0400 Subject: [PATCH 06/20] added correct gate delays --- aluBit.t.v | 2 +- aluBit.v | 14 +++++++------- 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/aluBit.t.v b/aluBit.t.v index 6261ccb..4bf0cbe 100644 --- a/aluBit.t.v +++ b/aluBit.t.v @@ -10,7 +10,7 @@ module testBitslice(); wire val; reg[4:0] count; - aluFullBitslice bitslice (sumXOR, carryAND, nab, orNOR, a, b, c, i0); + aluBit bitslice (sumXOR, carryAND, nab, orNOR, a, b, c, i0); initial begin count = 0; diff --git a/aluBit.v b/aluBit.v index 6095b6a..469a533 100644 --- a/aluBit.v +++ b/aluBit.v @@ -6,10 +6,10 @@ // external reqirements: // i0 is the 2^0 digit of the control signal (according to the spec) // c can only be true if carryout is allowed (for all bits) -`define NAND nand #10 -`define NOR nor #10 -`define NOT not #10 -`define XOR xor #10 +`define NAND nand #20 +`define NOR nor #20 +`define XOR2 xor #20 +`define XOR3 xor #30 module aluBit ( @@ -36,12 +36,12 @@ module aluBit `NAND bNANDc(nbc, b, carryin); `NAND aNANDc(nac, a, carryin); // level 2 for plus/minus, and - `NAND cand(carryAND, nab, nac, nbc); + nand #30 cand(carryAND, nab, nac, nbc); // xor/sum - `XOR sumxor(sumXOR, a, b, carryin); + `XOR3 sumxor(sumXOR, a, b, carryin); // or/nor `NOR aNORb(anorb, a, b); - `XOR ornor(orNOR, anorb, i0); + `XOR2 ornor(orNOR, anorb, i0); endmodule From a318f8334059b1101f0843c1a14adc6f06f4413c Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Wed, 11 Oct 2017 20:13:02 -0400 Subject: [PATCH 07/20] added test cases for subtraction and SLT --- aluFullBit.t.v | 32 ++++++++++++++++++++++++++++++-- 1 file changed, 30 insertions(+), 2 deletions(-) diff --git a/aluFullBit.t.v b/aluFullBit.t.v index fdf0863..b9d465e 100644 --- a/aluFullBit.t.v +++ b/aluFullBit.t.v @@ -54,7 +54,21 @@ initial begin err = 1; end end - `SUB: begin end + `SUB: + begin + if (out^(a^b^c)) + begin + $display("failed subtract: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + if (carry^((a&b)|(a&c)|(b&c))) + begin + $display("failed carry: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end `XOR: begin if ((out^(a^b))&~c) @@ -64,7 +78,21 @@ initial begin err = 1; end end - `SLT: begin end + `SLT: + begin + if (out^(a^b^c)) + begin + $display("failed slt: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + if (carry^((a&b)|(a&c)|(b&c))) + begin + $display("failed carry: a b c i0 sel result"); + $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + err = 1; + end + end `AND: begin if ((out^(a&b))&~c) From 63aa169e3a0fc2e194b0bb249081d8e04441995e Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 20:26:02 -0400 Subject: [PATCH 08/20] fix --- alu.v | 17 ++++++----------- multiplexer.v | 34 ---------------------------------- 2 files changed, 6 insertions(+), 45 deletions(-) delete mode 100644 multiplexer.v diff --git a/alu.v b/alu.v index 579c901..2f93fb1 100644 --- a/alu.v +++ b/alu.v @@ -1,5 +1,4 @@ `include "adder.v" -`include "multiplexer.v" `include "lut.v" `include "aluFullBit.v" @@ -27,17 +26,13 @@ wire [2:0] command; wire [1:0] select; -ALULut (select, invert, command); - -//invert B - -aluFullBit (result[0], cOut[0], operandA[0], operandB[0], 1'b0, command[0], select[1:0]); +aluFullBit bit1(result[0], cOut[0], operandA[0], operandB[0], 1'b0, command[0], select[1:0]); genvar i; generate for (i=1; i < 32; i=i+1) begin : aluBits - aluFullBit (result[i], cOut[i], operandA[i], operandB[i], cIn[i], command[0], select[1:0] + aluFullBit _bit(result[i], cOut[i], operandA[i], operandB[i], cIn[i], command[0], select[1:0]); end endgenerate @@ -45,11 +40,11 @@ wire partialOverflow; `XOR (partialOverflow, cOut[30], cOut[31]); wire [1:0] nSelect; -`NOT (notSel[0], sel[0]); -`NOT (notSel[1], sel[1]); +`NOT (nSelect[0], select[0]); +`NOT (nSelect[1], select[1]); -and #40 (carryout, carryoutSlice[31], notSel[0], notSel[1]); -and #40 (overflow, initialOverflow, notSel[0], notSel[1]); +and #40 (carryout, cOut[31], nSelect[0], nSelect[1]); +and #40 (overflow, partialOverflow, nSelect[0], nSelect[1]); wire [30:0] ors; `OR (ors[0], result[0], result[1]); diff --git a/multiplexer.v b/multiplexer.v deleted file mode 100644 index 2cec912..0000000 --- a/multiplexer.v +++ /dev/null @@ -1,34 +0,0 @@ -`define AND and #50 -`define OR or #90 -`define NOT not #10 - -// Multiplexer circuit - -module ALUMultiplexer -( - output out, - input [2:0] select, - input in0, in1, in2, in3, in4, in5, in6, in7 -); - - wire [2:0] select; - wire in0, in1, in2, in3, in4, in5, in6, in7; - - wire [2:0] nSelect; - wire [7:0] partialOutput; - - `NOT (nSelect[0], select[0]); - `NOT (nSelect[1], select[1]); - `NOT (nSelect[2], select[2]); - - `AND (partialOutput[0], in0, nSelect[2], nSelect[1], nSelect[0]); - `AND (partialOutput[1], in1, nSelect[2], nSelect[1], select[0]); - `AND (partialOutput[2], in2, nSelect[2], select[1], nSelect[0]); - `AND (partialOutput[3], in3, nSelect[2], select[1], select[0]); - `AND (partialOutput[4], in4, select[2], nSelect[1], nSelect[0]); - `AND (partialOutput[5], in5, select[2], nSelect[1], select[0]); - `AND (partialOutput[6], in6, select[2], select[1], nSelect[0]); - `AND (partialOutput[7], in7, select[2], select[1], select[0]); - `OR (out, partialOutput[0], partialOutput[1], partialOutput[2], partialOutput[3], partialOutput[4], partialOutput[5], partialOutput[6], partialOutput[7]); - -endmodule \ No newline at end of file From 7124032176272d031d6603642e8d75ba2439f335 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 20:33:15 -0400 Subject: [PATCH 09/20] here --- alu.t.v | 36 ++++++++++++++++++++++++++++++++++++ 1 file changed, 36 insertions(+) create mode 100644 alu.t.v diff --git a/alu.t.v b/alu.t.v new file mode 100644 index 0000000..6020c61 --- /dev/null +++ b/alu.t.v @@ -0,0 +1,36 @@ +// 32-bit alu testbench + +`timescale 1 ns / 1 ps +`include "alu.v" + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module testALU(); + + reg signed [31:0] operandA; + reg signed [31:0] operandB; + reg[2:0] command; + + wire signed [31:0] result; + wire carryout, zero, overflow; + + ALU alu(result, carryout, zero, overflow, operandA, operandB, command); + + initial begin + + $display(); + $display("operandA operandB cmd | result cOut Overflow Zero"); + operandA=32'b11010101110101010001010111110000;operandB=32'b10101010010001110111101110010000;command=`AND; + $display("%b %b AND | %b %b %b %b", operandA, operandB, result, carryout, overflow, zero); + + // $dumpflush; + end + +endmodule From 3bb8e22f717e87190ecc2a41f56661051ff3992c Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Wed, 11 Oct 2017 20:33:18 -0400 Subject: [PATCH 10/20] fixed test and added select logic --- alu.t.v | 1 + alu.v | 7 ++++++- 2 files changed, 7 insertions(+), 1 deletion(-) diff --git a/alu.t.v b/alu.t.v index 6020c61..4ad68b5 100644 --- a/alu.t.v +++ b/alu.t.v @@ -28,6 +28,7 @@ module testALU(); $display(); $display("operandA operandB cmd | result cOut Overflow Zero"); operandA=32'b11010101110101010001010111110000;operandB=32'b10101010010001110111101110010000;command=`AND; + #10000; $display("%b %b AND | %b %b %b %b", operandA, operandB, result, carryout, overflow, zero); // $dumpflush; diff --git a/alu.v b/alu.v index 2f93fb1..82fcc63 100644 --- a/alu.v +++ b/alu.v @@ -24,7 +24,12 @@ input[2:0] command wire [31:0] operandA, operandB, result, cIn, cOut; wire [2:0] command; +wire[1:0] tmp_s; wire [1:0] select; +`OR(tmp_s[0], command[0], command[1]); +`NOT(tmp_s[1], command[1]); +`NAND(select[0], command[2], tmp_s[0]); +`NAND(select[1], command[2], tmp_s[1]); aluFullBit bit1(result[0], cOut[0], operandA[0], operandB[0], 1'b0, command[0], select[1:0]); @@ -57,4 +62,4 @@ endgenerate `NOT (zero, ors[30]); -endmodule \ No newline at end of file +endmodule From a0d752ade500f4eb495fc315b1a637512637db8e Mon Sep 17 00:00:00 2001 From: rdiverdi Date: Wed, 11 Oct 2017 21:18:32 -0400 Subject: [PATCH 11/20] actually connected the carry out flag for the full bitslice --- aluFullBit.t.v | 4 ++-- aluFullBit.v | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/aluFullBit.t.v b/aluFullBit.t.v index b9d465e..0c14a7f 100644 --- a/aluFullBit.t.v +++ b/aluFullBit.t.v @@ -49,8 +49,8 @@ initial begin end if (carry^((a&b)|(a&c)|(b&c))) begin - $display("failed carry: a b c i0 sel result"); - $display(" %b %b %b %b %b %b", a, b, c, i0, sel, out); + $display("failed carry: a b c i0 sel result carry"); + $display(" %b %b %b %b %b %b %b", a, b, c, i0, sel, out, carry); err = 1; end end diff --git a/aluFullBit.v b/aluFullBit.v index 94748b3..c44868f 100644 --- a/aluFullBit.v +++ b/aluFullBit.v @@ -6,7 +6,7 @@ module aluFullBit ( output res, - output cout, + output carryAND, input a, input b, input cin, @@ -14,7 +14,7 @@ module aluFullBit input[1:0] sel ); - wire sumXOR, carryAND, nab, orNOR; + wire sumXOR, nab, orNOR; aluBit alu (sumXOR, carryAND, nab, orNOR, a, b, cin, ctrl0); multiplexer mux (res, sumXOR, orNOR, carryAND, nab, sel[1], sel[0]); From d982ba0f23eefcdc6b642dd30341bdd8d9875e8b Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Wed, 11 Oct 2017 22:34:39 -0400 Subject: [PATCH 12/20] new --- alu.t.v | 6 +++--- alu.v | 46 +++++++++++++++++++++++++++++++++------------- lut.v | 19 ++++++++++--------- 3 files changed, 46 insertions(+), 25 deletions(-) diff --git a/alu.t.v b/alu.t.v index 4ad68b5..a69b8f7 100644 --- a/alu.t.v +++ b/alu.t.v @@ -26,10 +26,10 @@ module testALU(); initial begin $display(); - $display("operandA operandB cmd | result cOut Overflow Zero"); - operandA=32'b11010101110101010001010111110000;operandB=32'b10101010010001110111101110010000;command=`AND; + $display("operandA operandB cmd | result | cOut | Overflow | Zero"); + operandA=32'b00000000000000000000000000000010;operandB=32'b00000000000000000000000000000011;command=`SLT; #10000; - $display("%b %b AND | %b %b %b %b", operandA, operandB, result, carryout, overflow, zero); + $display("%b %b AND | %b %b %b %b", operandA, operandB, result, carryout, overflow, zero); // $dumpflush; end diff --git a/alu.v b/alu.v index 82fcc63..9ff0028 100644 --- a/alu.v +++ b/alu.v @@ -21,23 +21,39 @@ input[31:0] operandB, input[2:0] command ); -wire [31:0] operandA, operandB, result, cIn, cOut; +wire [31:0] operandA, operandB, finalB, partialResult, result, cIn, cOut; wire [2:0] command; -wire[1:0] tmp_s; +//wire[1:0] tmp_s; wire [1:0] select; -`OR(tmp_s[0], command[0], command[1]); +/*`OR(tmp_s[0], command[0], command[1]); `NOT(tmp_s[1], command[1]); `NAND(select[0], command[2], tmp_s[0]); `NAND(select[1], command[2], tmp_s[1]); +*/ +wire sltFlag; +wire aLessB; +wire nCommand; -aluFullBit bit1(result[0], cOut[0], operandA[0], operandB[0], 1'b0, command[0], select[1:0]); +ALULut _lut(select[1:0], invert, carry, command[2:0]); + +`XOR (finalB[0], operandB[0], invert); +`AND (cIn[0], carry, command[0]); + +`NOT (nCommand, command[0]); +`NOR (sltFlag, command[2], command[1], nCommand); +`AND (result[0], partialResult[0], sltFlag, aLessB); + +aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); genvar i; generate for (i=1; i < 32; i=i+1) begin : aluBits - aluFullBit _bit(result[i], cOut[i], operandA[i], operandB[i], cIn[i], command[0], select[1:0]); + `XOR (finalB[i], operandB[i], invert); + `AND (cIn[i], carry, cOut[i-1]); + `AND (result[i], partialResult[i], sltFlag); + aluFullBit _bit(partialResult[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); end endgenerate @@ -45,21 +61,25 @@ wire partialOverflow; `XOR (partialOverflow, cOut[30], cOut[31]); wire [1:0] nSelect; -`NOT (nSelect[0], select[0]); -`NOT (nSelect[1], select[1]); -and #40 (carryout, cOut[31], nSelect[0], nSelect[1]); -and #40 (overflow, partialOverflow, nSelect[0], nSelect[1]); +and #40 (carryout, cOut[31], select[0], select[1]); +and #40 (overflow, partialOverflow, select[0], select[1]); -wire [30:0] ors; -`OR (ors[0], result[0], result[1]); + +wire [30:0] zeroFlags; +`OR (zeroFlags[0], result[0], result[1]); generate for (i=0; i < 30; i=i+1) begin : zeroFlag - `OR (ors[i+1], result[i+1], ors[i]); + `OR (zeroFlags[i+1], result[i+1], zeroFlags[i]); end endgenerate -`NOT (zero, ors[30]); +wire zeroPartial; +`NOT (zeroPartial, zeroFlags[30]); +and #40 (zero, zeroPartial, select[0], select[1]); + +//`XOR (aLessB, partialOverflow, result[31]); + endmodule diff --git a/lut.v b/lut.v index a0f3315..5d3ec5f 100644 --- a/lut.v +++ b/lut.v @@ -12,22 +12,23 @@ module ALULut ( - output reg[2:0] select, + output reg[1:0] select, output reg invert, + output reg carry, input[2:0] operation ); wire[2:0] operation; always @(operation) begin case (operation) - `ADD: begin select = 0; invert = 0; end - `SUB: begin select = 0; invert = 1; end - `AND: begin select = 1; invert = 0; end - `NAND: begin select = 2; invert = 0; end - `NOR: begin select = 3; invert = 0; end - `OR: begin select = 4; invert = 0; end - `XOR: begin select = 5; invert = 0; end - `SLT: begin select = 6; invert = 0; end + `ADD: begin select = 3; invert = 0; carry = 1; end + `SUB: begin select = 3; invert = 1; carry = 1; end + `AND: begin select = 1; invert = 0; carry = 0; end + `NAND: begin select = 0; invert = 0; carry = 0; end + `NOR: begin select = 2; invert = 0; carry = 0; end + `OR: begin select = 2; invert = 0; carry = 0; end + `XOR: begin select = 3; invert = 0; carry = 0; end + `SLT: begin select = 3; invert = 1; carry = 1; end endcase end From f3b53d2c736e52276e3a579acf15d365873b9a24 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 18:18:17 -0400 Subject: [PATCH 13/20] fixes and tests temp fix for alu.v and added actual tests to alu.t.v --- .alu.t.v.un~ | Bin 0 -> 189683 bytes .alu.v.un~ | Bin 0 -> 4564 bytes alu | 4320 ++++++++++++++++++++++++++++++++++++++++++++++++++ alu.t.v | 74 +- alu.t.v~ | 103 ++ alu.v | 10 +- alu.v~ | 85 + 7 files changed, 4583 insertions(+), 9 deletions(-) create mode 100644 .alu.t.v.un~ create mode 100644 .alu.v.un~ create mode 100644 alu create mode 100644 alu.t.v~ create mode 100644 alu.v~ diff --git a/.alu.t.v.un~ b/.alu.t.v.un~ new file mode 100644 index 0000000000000000000000000000000000000000..7ddd678a8d8a2b5ec9149f79503f7b4280494d14 GIT binary patch literal 189683 zcmeI537{NDdFM682Fs^xV}UJ$+ma8-de3@?o_t8cmMj||*v2+C7MDlIGcrh)k!2f$ zAR!4POAeAvve|G1mQ4r&mOF$i!6acJ*M=h@5J&_VL!4}mB_W&L4Pn1ub$#96^z_Ws zbj^EuQtGoyRb6lT`v3p+)zQ_}x8J?z&9~jNW$&Ylj(Yf2&phg{|Lq??|D`V;z37|o zc=cC*bM5MXy6{`S^SamVIQvO!zxSOtFIu$dg%aMFc{_LK-S^$Qd)q@RmmkQ^+n)J< zHr==-)AacGc%GC!4rG&VKld|#gag^QPx)Qk?_{6lW7*z&XZLNpXXmCOwRtStH+$dx zyZ4V}JGSlH_t4(^CAIf~*?o8I-uvKK_OjW1dsnTO>wA`jOC_}A|C=QyDY1q{i?$wo z>>rMnzb?9N(Vd@le_yodC?6B?r}HE%X5;%}E{Ba|bAZ6sgMWFhqhryc?>1JMZ5;JM${X7nzSUKZ3iJx}Eq^B<3ov@^yhZ5!B=?7KD)FiG!%4+4csB zXX!>FPLXIBgXC#`-vU+Hen5{W#Esd4woLx;6bYY`0CA_OFD@MWs`Z{Zm^wy+8Y~v) zNX#iL4dbDiJJZ7tb4AVNoM)9&EqW04cyoD>$!XV;mk0!f2m^I3dZg8C(VM9&dNe;M zdav&)dds!_qkrj+{Vz6m|3!0l?Ab}fDsMJvW?g(B+i}zV`{k!M`Sz3~pCb*b<%I`8 zFi+6?k{B@|pe^Jj0f9na7CD=J&eZyB#modI2m9gtU?q~~Sgs4a zOK$eOUHmWoWQn)?{|{u-*#!Sd&)8o|(J>y-MW$n1c9ada7u4VX)MeQ?0IVEeyGrh1 zd`7PO`e8uWb@kO%6n0&GsfFP+&0*LzdG#eDM`A@i64M?Dq%b)O6ec>v@apC$OioOW z9E8j2L0EfPwrj1^ubgwWNpH+{U6SWd2{zBp_YNy2x?@*qF@Gov&5t+7Nsl)rMS3Ee z9AV+{lBs&86*HH~iB?P4m{H(|@*dkKs^x~95{L-zf$tCqMh`&6Gp`W2IPWpp1b|&# z0FYAx@XVn^c9*v*sJldVr3#cv%-L0f!?bAG zZ*CUQdaJMoj^a37s0dD_3SV1cXt_2F2BV@^h7v~#P7iTNt%x`PrN4)(L1SmPI67eH z>EQ;$F#581e!V#Ga5DnH%JCW6M_|yq@~go>6>()%5#|r2FcuUd-Ax2|!RKp5J1M~I z%3B4}TnKF<)S=|t7Trw*J-RJEJ(~!Fb)#4A>c^3mpE$IJa=P2QU?OLMs;Jwq(5FL1 zsAo5cot(i9VEI*nvu`^zZUA$} z{%wcRH-LK}A#I0FBoH*c$KDzYLn5p|4@SZ@6GrOjdLSVUg~={W439)tX$#8%_DT6hg z)c~#6kUCY)+}|0Ez6kcXe{n|Ba{qMX2DH^{?!UXO-Z6aDyJb4?{zu&P|?RF(MBDxq~($AF?^|!VX z-Px>9q58TmcQ`c;YBS z!ooq_4MG?ahw`o~b$M7Nv+!`x+UAbo^w1NB@(&}C#pvtYqk7DSxKTQjF+Qnp`=+~J z&*?hHddL|()+4_fop+Km_HSE{zBcHAM8{YUNT{Fc{fHLp~}E z9M*7vl(*l^Uo9L!I!1o1LV7tZiYL_gN)8w8? z66&C?=~-QL`=`cZ(SY3FKaIXPcHXvgOL7_NjUsP5tdTzWwny)lJ8#=LAI~;EXQYD_PG9UWeb}ptV+ugUl5ZQhLcqcP#uyWR`uhlo@`Mv)QnVO#OeIUz5__6~EI!=Nb>OxV> z{z-}ggcu7^)Pa7A`ejwj5Q;ifQbIafWB?6WA*CxMBq;$xjD<+)2b`21*ZJOzI;S_! zm6S&7kWkGD1}GGTig>7(R0Iey7NVk`a4I^wUPW)38x@UKLulqi0~2~eLu<%OuUUaY zgoSA6XPt(Qsn<}=vKoV$Nda}*XeESXPBI`NCnUrxLP#jw4qsTz;A zUP3ab7?h9`5=yIE7*HA~LnQQeC!r_Q*Gq?kgpkas1|sBygi;U7fYLY_BBA#?2_0K6 zp~IqSgJyIY7@$H=XlMf)sc8cW5eAZX`27o1Wt)X89Ky1Y#V4JH7T0U2fg75?9#+Td zD6Y8p<`E)#qQ7k-1V(##6&p!Zpb%jpqWY{8)p5C~s_o^wwPjO|Mdyol%vEz4{!ce| zj5g2%OD85h078s~=;13)569Q*;l;sv$QME#K?e#2xZbnBanyk#oYzPm!lTkO3Q@#2 zogz-CSH$jKia5~aB1R?&B=972$H;>lxrn?Z2~dcz5J}`K44+uv5^1p9-{6*rtiv_B z<^B+it5G~mh>6?2hV3LEP>8S)0sXV{DJRtn=ndUIMaSUuFJ19GD+tA$VK72X)Jkbs z&w$c68K9tpr#l7p80Wo5_0iF8brsMY)=P+h#cS0N2%={B8Bhv&|%^02}FXD$>Lz zMI25iqq;n#Y=|p6uf)o=Bpr%SY7C7zurBXAdHcbY7kI}un=A3Up;tYy)N!+ zrVHc|s|-|dj=A?t(&VirFDU~QA}mB1`9}**uUE$Yp_Ea&j8RA>^s$b-h+fN@445<&M!D6Ek z2Vywa+&)4<9K7|O!~qB~79x%p_7lgj&O^m9GJT*2JDU!zppOl}lAH%9L|BME?(V0L z2c0XBfBHXGaUEAQ(V>s{Rw-(5&r&=FC?$E12tjo08lsLDIdv?pZMVk4n=hL?5r2BM0K!tFaCQLGVcaLXQDr;kJ@MJRng~h(KQJ1oGtEf#~yb8iu_4 zJ2$fmWnd(9rpMsVP@@Bs za{&dd#qLeFOp*x44OaL!-C)YSZYwbcihB(nBwctgqN5O9e5#)=?wwzMQMe0a;NCLv5Fn9E z!rg2qcL53!79xw!SXor-kryqVZ$E(m+`Jrr+lV8Ra3b4D5TFoYne6M3l$i8M5->Uq z?nQO!G<lL4RK@=k{~=FQBi@U9EEgmK`hJ1A*vqY?hX&pqh+a| zfo|NuGa_8g-h==Ga{iP8hg5nYr@dlYD$!Ovy1BfpNkW7JwN0l5`4#!;0 zQZ^E=pb%jpyykBh`;o}L|Fhf-}02r-t)&QJ3PAnj0}C>p zu$T%lAjDWEI}c*?3Rj5Fsvm+K>&xiQT1uhtdUMs#n@LzgUaE;fA;L1*cMzqw_4CW) z{VAQQW0~wch|~Nv_a1X{s+>-DG^lsha|(wyn9GLWR?4ACJy1WV@ZHPoX&LC!S$TN;mzi<;g?DDsSY-vG)@*s$`Ois zOVYLu-fin($|!F)YB^m1bufy!MS>b?p%O@Sup$x@hbUx^RR}v!(emPvse@qz;gGF$ zFihRbwl`Shk=Gm9POT?Uh_DdmUTvA4L_CUvon; z&yt{qoH7ZidQe1SVhXK8Qer&6bEy*B>fvXsglK6|?XU4aUI5htinvXJ8fxKQl0p=b zm>8F?3c=yjDJ1_CvmcnDm4U1#{EruaLQur*64X!&mv}rGsTu->2xGKH6#}Yt3VEOP zA;<_>@pcM7u66}0-G=(1J2-r{1U2~O>?f0vI0c0W3*q#G{WvvGO?dJdL@5;BVJ;ea zq11glCsAsUX_5?~^g}~XI@Q^^uP>!gxYb-O^g?MGurZ)CPKHqW;eM1F&r2yal)Cu} z^_;@tbIdivFE0HU@=`Gcg$N7b^kbG&nz|GztxZo}yk<={K9jA^rm~IM__QAmho$G5 z>w-a8dpvoGHBgAK5Y|3EkhQ5U)?n#*=DJ`I){Z4Fu?7ke7Q$M`A}`glb`oW6s#??_ z>G|feAP~}0cf)|vI2l4(zIw+~@(Ddv(v~P`E5|!LBs9IiTox1-Crf3`Ak!pSASpXj zUDfpxKW#lEJ;VzoXuY-o#t~4&of6bg3zxWrjHD1yh_Dca9JC7IAq0=9Sk$2LI078D zC8)tKk1*VbM&eXqX&4ts%KkcMgG1TznH<2s=0m~*XX zX@liG)d}BGg`}MlwoAYu@Ei$=G|-5(5YoP9Nz3GnJn-uzZCXg1k>Q0YPZ}i6N>GD9 zNK0KFMIn3 zO-EN$#yn~*2EhaDBp;OIr>s^AaKQc*LY zG){(ac3MBq@}hR>MAbt=(~HezK_RrIHlzWiaS|jK`@KmY=hKYDoI-l*!EY?o)op6Zx; zYW}1O*J^9GCmQBfR;Yujd(5RlBPV`-aaEPZplf1+mC`4EYZG!@C&Dzgj7-i zA;tnYedO7W({uA0waV#5m6AGLDX8_NLgEja>xSU7B>WJ0shI={5eAa4^81r!ViCp= zoJ(&#_{erg>UlY-%j6HN>!<~FLBFrm=@siN97LqIElDRZe)q#{BvFAvgcV52 zF-ZRhe=J1h(juxCJ5l-fbE~5IMCQ$Ws8l~EpnvL$N4yBYzS;Zk-@U);vbpn1+ z?$@~~fBz`1z$U58qtY}gko2eyt+J0OmxoYgdI>f0V11z{=dnD5Xbn_8$ac~fC`4F+ zq#T3vyZy27VlFLS>}UIVu}>?hhfrU$FTHnm$Nt%!w?ufep0y}?`w@={5n3!zOZqd& zG)WdndQ|K5_jCR9_eY1L{&w7S|9<%*`ArYZ?z?OE-UlW5oY{SQm#@-~1ER548#vJ) zNTrsW0i|&gBwy?IE>M+ie4ayCeBSH(Y3`2?N6lqd4N@H{dyU712n81SBu{6MX_Aaq z-Dehn>V~Ld2g(h3{is0_=>d{+d`WHwnI_2)xxKNUll#2|Ah%(fbOZHHN(!&yx+yEiQ3MAzqq(9^jMwh{*rOWWnBS>zuSN7_m_8ccca6j&0AwrA=Y{}ml zWSS%kBt5Ei0(@6L0e*fVH0Q#r*KPs!94tX-KVdLMguwM#@{;gCA;LiN5B>fHs%ec^ByUhiQ#l=hPz8zNK)MqgkfDGwAPEJS(l?Wepi9%;&xabg)G?pXsP zzn?OIBh4g714vXdM2;WmC&xcO0_E8G*aE@5&VY;>LT}B(x+ffJMbn#Lq}jLoJzv zRb(WEfI@^tE95|pk2-I=G<@7Yn{M2aX__1{_ZPpDPcI)UKBiNoHjicbT(_}o$F_a@ z9@=~Ve)*e!{yvtyOdh}cmQ^OVubS1Fl!)X%8dwleCgEE0l4w97!a_vzh;u6!RXOk0?swRy&aIC8QN?mB?XAMf|i97$V{!35>c)PlMuN@UnEI?D9)%xs;|`hJOtp4IMn5k>4T)tEZYLwj3KSwNlYIxt z>ezmb?zhdItmdGaL_Gh=z=g0f3C|)gi3t=UER%f)iD^kcG5yM1h{+vu4zz@Je!-xH zt}+QPBrjef4uhr05mdU<@Nee)zNKf>kC<_5cBb%l;zZ;(S{nS|u93@DA0AUQ}^`KoiP>W8u3Jzu)g z^Nd7Pi0BOlGz6AOaH|6)XJwFSk_5>?q8fLNtPAT!^&TZVdTg{9OgNC$)Pg=w&NdQN zp`te$z))BwA*srM(l`l{gH$!+RJFQZRr6K_=1LP)jc61e9lgomhQ=}pbXro=3J_u} zlbr|YYLnB|SiP?1tIb||t5FFHA^noU4w0QJfkj!8umB;(K=S4O00pYDO|f+d%UHwn z>{!DJ`NMe<)=6kdIFvIF+yDYstrw(^mC?wXC8(hvW~wC3C?YX2NUCNER3*=9=1!}b z3*?VX16eSd;i~n5R5NJgEfUnw&zOXy8AT)}21(USfvV(L&1|!pSuKBL&A0`l8LnC{ zNHv2-{)+^)w@6|_Leh*P5)*@@YNkL{@~mcdSk15i@1%qUqZzJRFGw}>7Rh_71U2-7 zwhoYyy7izCVFi+M4AQUg$3inME$x{-Rx?#UQ@5;F^jv|y-Fj^(OU&^lVvxMXAD}>0wyok`Z56kmD$L0k zkLDD|Jy)CcDC}(>6C%{d@2+DbRiU5|VIhk9(SC~i=xC}?nXx`;>c-GET9oAcJOl*w zs|G^ErehLGUM*ZF^`6&(o>v?Sdpq0SP#?cbE=>`M zi9zxje*n_1X(F*z+)q2jjpvVNs)`GDX_I|zVzP-#8%4JhLH(MCfavWK7@AM5G|-4O zki6C(q(D`+t-yZ43GAX=VAaPohq+7Rp3jqDT^b5|hXgg$NB`kAGEyrI6e27{alc_J z(dIqTz8-B-K47jBK?lr_sr)W>APqge(;$e}XuGBtCJZQzlLe9TW#&t7Pb8M7497QJpJ-thU8d@t*N&ZV=X&4tsdQ^wj*N6scJN4xy z31AHJo~KUj~%M$pT4_YMs13Vcpl9G|1$|`bO?+6b*j#q#u<4MzmI- zlH3=NL|B2O9D{UkeXXf)@Q=iORrM9U|Q_V3FUQKt}Q%pb%jo`8mITfvRldJ5D2Cd`HLEd8^F* z$zt=$%z|4ACeCRfwf6&pH)L3Vl%%JyG>k)}_h+u!n#fy4Rq35VlBciw&9y}P$Pv~u}!|3npreqDkZ>?KdHXvD@LjQw3d#!e_1%ak%G`jELY z=!3H3$xDR{6e28yvV5gL|K09tAv?)ZR(!G(l77Qn76hhpW&nvwhLHB1e&x)NHc>XH zAnC*A!XOaRQs3Et(l{AH+IJmklby}r?&_>4W-#;-b49SXT*9g3CEo}N5f;GMqbqGO zJ4a5&BLijZ@SVTmn@i~FqXt2=cD{t9F9S;BWQe|2TYWK^hDm56)mQa#0Z+-xr{;M& zpJr?GNAubfdit2b53OA&;S}w3(?n@)fdzLn6WXuz6Q(&I#lx;(bLBbf@lqW zCI4kWX`Bqv*F{IPzR=Te8vM}OMG}&}3@DA0A^MuM`r?%!W;71(zxsU$fxlH|k%iQc zqnK@mo_@>Vht{SfBz+lB8Ye^aHD&cRDW{s2FucA7)Q?T{HH!Hf=;;#%KeUFvT$?|& zzYH=>k|FxKxT>$UawgSR%?l=OHmm=~e*b5I3JgI#Y_LOYl%Vv4#DLN`86vO^R$!EE z1a_$eM)gi8pR5*RN>KDk32F~Z0?N{OyCM=3hfsD!Kgv!jDO+9+-99YW^xNjTAdpj? zNnUc~pb%lme}~_nG(`vFNu-;QxAowo*ILpTHP0kyIXlykmTlUyCA;#b8*UKyT@nak zzav2nGS8OaPL&8$SQ^IoJSC8HZvvVMl@UJt-;jqN>GDEc2D!x z6p@%XgtfsK<=9QmjhLHZyK!?b1T%RQ`2@4b=EJRp8Z--E- zj?2FKH&0Gyj!#qiG^F-N23%yAq-Ow$N`^=;UjfZ`v#Qej!r@tG_Lgs{Je2l747#Y0 z+kX{#$?1VYgoP;Yt3C`gxw*aUgcFJrw2IXdX$_M&;>Lmt2@frD+tRu#fg@w;gGf=Yg{FL}B`FF!-Xv zq&$!qg@q{Z<4$>(mPGbb@}*7$^`{0v#I{^Q5|{y{aWX_;zwZQgY2G$FM^3du!e|91 zpW$irA)ZmZwSu6&XaGcP0!#jEDs?*zGEI^ospuA{N*;4AT+3G`eB~JlcvKlu|1F8Lm1T|z>fRvnu!qPAfm)_IzW)e=r zO7C-4diqY{f}M_EZH;$rmz5Pd`%8l=8eAt~4S7j-pb%joNy`T-P?a<;&mk->uVY<2 zGufrPhGHcYQ5jw>^7&@@7TL%&$fGZZfr|0a=o7_2$KgTsKIh^ z4T)KWrC}V+Y_U*WAhSvv2D?fl6ScT<$1j1x#E!>?K3qja~?f}vivp4`-TKH7~d>`r?rV?K!~vd zNjV7V34btHrj~`}3Cr>($-7d5vOHO`>}Z{us1#>1Ix1gRo;jBuMwy1$MNoeNc8Hd5JPm zh_DdKIu^LA^^7ywcn4>2^jGGp;174Yl)S_lC`4EYXPa#?qqxM34&8d&W*tU=$;ZsK z!!nC$UQ1qL78D{ZgxQW|@L-jp1Z}6(d=I17D=&TKwl$x66#c>rdiraFAX-CTJc&#C z0)!X~(bx6XbIh5(CYw#pjn)@>`WpiwT0>vgkeB=yC`4F@zHXQwec1)S^D%Sh2>o-B z{NpP5hUSwOtuOTSKMjIt4F|oLyreHsh_DcS-EQ?o(Porf>&H{*6OK<$NKbxdLb~!( z6TUAGPk(E!3=ZM#6!H>ppb%jpygk?Pc6t6By(e$u72e?K@646KA!F`o+{}Q|I2pp* zE?eF<$f z!68pSQvGH?X`C#O^r#-^kHq5$S2E;r#LF#jSI8fDYNX}uR9C;r(wqYSO{?)s|5BUjt)U5aS-*t&80yi#HIF$0i|&=gt)i&D{-z}IVF#0tGvO}znJTS z!^E2bBq|xg+pqQGZ3&f}<1HUIQ9lVy|HoV!6hd3-e;ZI5Cqrm^M?cz5E@@-5OId@Z z|7)%a24U?a@>1;v3K15<+PnI(c9OC-V@ZRg|7R`>0%^=FBQKE#3K14U+IuZ&l$WPV z(6;0dGjGlO6-fM7bJ-9Ksb`ayNCkxm3nBG`{YW*hNBHT}9dE2nm9wc0r*QZ^bJg(6 zpy*lTB~C#h!a_Lxh~xB%{Mj8l=vxdeNe}ljgy9t)|IJ)E9B+_tA$f^cP>3*)yw2}m zpeoxuYj6n5vxe{5vbtXWz#}?!z@wRK)_fhc=5saN%QoDz-j2S7fx^BoK@Ig?At5PF z5s8UGQWZzqp(?Ra+_xWR6~|j|c#{T-o0Fv>BNcarxgAxUC=4H280w=vl7>$TNFowf z$i9OVcaBrsro8T|HZljaN6hP*rrr_`TZUAZWPV}tA`w&;yMm;0TA(U<){Ert1#Qkb zrPFY&gwcBuJI8R+O(xX2glvp{j-ji!xM#f`??q5p>nQohn^PZCsBR-8wUj(8O``%y zIRNR~{ee)NOK&~+?dxp4HCMByWkpa?)p^^kx^&y-<>~Tw&FiiF=?r>mxv{GJ&Yji@ zRFb~D9-2l4l5&7X`uZ@0V}n0*()X>8PJOu}&WpUnb+t~G@Hj6oq=uVwg)7M`N2T$< z)PI7mvjSDwvhM3k&V5}y*A=FH>0>@BOz*x#Pc1ijBL@MkxhnJvY$tu?s5JhU#)v~w zV%`o_LtoCe9{j6iwt7T_*Gtd_*^#@Zr}JuV;%1J7Qz4C4*C$BI%Ta0kZ;0~FvdUwJ zwuEO&aE<20u1O6e2$F1VQj5Q6oyvA@Em-7t>9uo@NK^!p>X8dnB@dsmoFj|R$g0di z(X0fR`_sjCWkuXUZj~+{%HS&q!Sb$Jz8i zTEU5fX`L?l-W=~#fUC(!TzgoWMg@{`0MZxv1HttSY2kXEt>9=3-z-779%eyE#-1I| zoJkL&JWF|QEtPz4j`L^9#ws!r&mNYhQGui!fb^I@5Ij$l7M?G*Jl`sL49hCd<_W`q z1tANTIgcJfS(cLAdXnUObBy018%}qLWyPgoTp%g?lb-a)fn`SQx>$ZTc@mW6sp>L; zc0tHuiO6C_$bxE4rpHmLr7*XiEcxCX?az~qm1HEUJuFS50!cXlX;&Re4AojmcPu;i zT=~QEB`DQnCDoMz&1U6^q4V0DMvtH*OIdE6A^F}M;cI2XJ>^LxD=rP=5RyM(y*93# zYFkO}_@u-U*83FS(mJyQ*2$1QlN+5+(kqc^lnRmFC$01tv+6zaamP)!97!Eeae1v} zC9Y0~#VB9WUWrVjREYLEzRjy6_3HMH;E{Uqc&)QaRGkX(`d=U~DX&DPQHth`YoAI? zx~^6ADeLuUYEj|dC4uqYBUpLj?^;ihTlD5s$Y9OUWF+NzSeiy4d7|IBKvlMB&mT{| zbkP6RDvzi&4RMdPEOCrF-Y!9jE8kGgmv+0@mp3VEt#kZ= zC2D2z4?|dqHUS~pK=O2dlmb=RwzM5(X=4QOITDn%({YV%)fBfTz{CX0aA=XsgfI8_ zU3L(2JknfC+&MfV{{cyvisr09Rkkd59q+%;UweTB<*xHRWxtdnB(+w!gSvklf$aGT zHd9-~fzte+0!cXp=~wzgL7Gc%J^0wMw!rClu#z^K*W}#1T{HPRboQjoxLiI~dh-c^3dfArKk*Wa~7PHP1! zNna&0jZy`YvUekWjcoF_tUai+y$@ue`ihsAxUSZDC3H?|^uL@-OY$m_X_P9El)Xu7 zLB@T#w79R6t^1m5X;Q zb3HTMNn#chA`B!IUD9^4kV}g*UeS-)D+7w`c2-o#|C2`Jr2Jn_2sC9_%5Td}0n6{l zvCcIuWjisQqtf_akkoFvO;hcwOuytX1V@?Fc zB_`raWE!OkBxP@#w#0XQP_|0^&2_|Y@0jA-UBdhF?&cVAE!wQpIYv_aTlyeQ{_Ir2 z?=lI=1pq>ffh04wumV*{ql8R?jEq0lF_EmQgqPGRL4CV8_T0Nyxwp-?A(i4saK$^c zv}%&;bQ<9foa0I!0`4L#L>KG(H3FVLNEeea-ok4@{6cHIyi2DCuH4OhO&TbXX_N}l zz|~FzPtRY;uKI?(wHm-7&;Yv(&G+tD_P_@LGrwsUjp1DosE zPKwJ>Y5Z@9;(o;Wp=)wKR8`zZ)ej9`d8D(!!WKTt^;hyfOM}tp7|HpHPTW~*q6E;1 zjYr+drInyGPl2Q>suCBdO4_=#H`&IHz8=-UrJq=Cd)(Rw&lPZyEl#15Zf^UV3Z)#m!V&k;bmhI%9N>G}oKvMk^X@{!B z)<6BK^-p)oAGb+p&ke?wYF>|eJLa{F-S(~tC@eA$6lQH zrq-n;L{3Y$%l66SrTVQzrctUbdkxZ0#|P$alN}f-Q2!LZ$dj3&CH|mwnHN?f*81{_9lyK{Dev&4a$4fjFx8g* z266fU+m5(dcHoJFmeXMt-7~C8DQ#_#!+3KH!|D<;Qc3l&G>zJ_;~-W))Q{Ebmm;T4 zS!K%mMC}AwDW#g1OQ?=tcdr6n?rQf=955Ty@WN~_*?qFlYybz=;t-OJRPl6r;Ey1Be$M=`Xf zj!g+l^Hf`Q9YpJI_oKDiu`#r|MQWvzEfya(tV%&`U0GhXV;EN5!da=XmdG?pwPmkC ztadDlS1qh&SgBfAd-rRkl(x2%*X#IYSm{ji5~n3HjZ$sdYY?ZOwk37QVWs+VDrK~F zRe8ORUj`sjIW0kHo@&dkgE;*I$LY0sU$r`{)To?F8Ex?;Xm5_+wrmhmIR%6mYs=0A ztSw<139$zxxU{@$@#nUj(v{&4+MRjhQ1faI&D=h539YBgHG6Y%YRd*8NeB>PtSvhe zu(pJuCG>{5mQeiSJmP>_*KmWmIW;lc!`*9YEGRAwN{GEB{Yv-NIX#MdhRecCnqGti`A(ql!Maz-?r>Z zz}gb(B=pE3mC$&6TSz=m>lxf(Zca{AWJy8_Nle_9oe5Z5LY;&jwGx{5ifjZD5)agJ za^Wt$oM=ub2{}9>|7pwq1gtHgPD0N#v+Om-(q>#kKAW6X5 z66z%Mn3d4H4-1VzLL!ycP26E_PEKt}U&?ms)Pq8VwPjxd)|OBwp}(0o361L*lNo^= zfrP{ZwVufx=H}!?MV2I_ki^7o*_nW~CDcjiZ>@ypv?7}$35f@4@u0+;lM~J9Bq4<) zCT`2l1gtHgPC|cYB{Zka={b^+@j%?ClM|i#Bq894wYD69fVCymN$BsLgs#gUd(P>& z&>TtVD3Q(4+@ZuLNqC$jc;LZ|h}0GWgcv(pc3$icK>App<|(V2mb>-fw{LI~x-yp# zPb+y?K=ZUEVTcv&ig!3`6Nl=>PSI2A7`YK|PHP1csjaQJG>k*^^`Ncg*ddePx<78w zL8cOG4vIU2?_*76tFx)G$+Z`ct-WM=Y~9+6SLdkYq^FRV=qr(FlnSBm<^AY;QkA~W!Y2i|b)>1saloF#d$y7RL+S1nh=0S%fvGC4b$ZBlR7hW2;bI zl;4YMNtcq`a!sN9)|>!1$H{Cb(sNWA{~JPj$2;qpoJzi)T9oD`O7RM}AoY6vM7=4e zT%4m2+R{=3z!7Uh(+2cPTUmNFhqAh?O5}K1F!PFa(HG@sU-Fm!Wr_bx!c!!qVFC|I zR79^IgfY^8;8OYP8=oh=_28o&Psq-aJbuWd0T?`Karwo9<@%{(N0R)pFbIS+*FsAE zv%sZUB80T>xYp2yyx3JqyTg!nK#Smjc;N32l=MF+_w|s!w^vI1QVA6MOhT$Y0U^f5 zWoPaFlh*DeqYG~IZR^2re9_UrJf|N&yh6e{36$CDOU%`Ed}hqck!4HRvG_9CT@8k5 z1*fj7A`%k^u=+^Hmo8zH-lekoDlNdJL(b0Io~<08o>-MnS~-wyx^YXUY5AL&eyQA~ zBfoR+O8(0Y6leyWJc+#I{6QhYKysPipY&3nru4h?)`O3%vt8i@s}po_z+XKPn&FNz z2}vh_5Mv=axxAlFerTXS5$7>44h3Pn!eD`DxIOB%Bosi1v7v>+4qEZ#4UDRb`O$&W z(OPL<^kbb2NTDUYiKHJ8iLnsHGgvJ%t_d?QV!2PSxZmSJKW4uK`Yve< z$%7IV1+;R%k&DGIS6S`53|fQKES6@vE|5qANezNihg8vsjYCMyzrvf1v_q=JRIeX~ z(Tkgwk~$g+OT##X)wemneooG6CMTj$t&`A_u!JY4ii%{n`>+ zMkz@=W7m54W*wj^TE=+e5%^|!UT^LS4J6(TAW_K>-cK2t_njl)9iBIsdqV?UZF+ac zfYLY_!uzHDc)z8qyt|(72#AO1jpoJ>0hha!yyWOXA;LiND!)Hzo=jlW-7dZL;Mdmo zBmUNU;wMMIJWM~0i}8jEifc^FD=ZD;5av4`c2}$T?)L*+k?&-_w(SOy)*v|%YY~Y_ zhY)+EE7E7?&G9O+YeR~3k7oI5bL9-=FbwD3=OI6pSx|^Dn7YI7PkMt-p<21|a< zdTXFR-~T8l8>g;0ElHoLWLM(@{tbaejGn4N?}ZXLd1`qnDlsug4x;qOETzk22Z}h< zLSXj_xY=^;bX7Smwhv@FE?Q(&69=*#dv-F}ao_BH_wU|c{j=GYU*2^8e);8156ten VYxmv`^HLna zqG_3Vi8)RX1)g~jIzKlTC?9PA)Kr|BlbT!-ZD?f>t7#3i(*lTPfS3`8Ie{1iKr{?9 zMBaa}fdwSX#1MZ0Bnt#g5DH9!q*P%1|3CmX3MMd0Q#k~H(a`~p4hDv=5jUPS&Q4;3xx$GXpUUfTB+i#u<$=SV+){21Z#Aa+K);Ez$>K zSd^J0IQqFLXcR$mHYk&#=5=tk%_(uqNle#)#;hhRYC%!Sg5+>eG=p*@IERi#EmFwP zgb9q=N#Lku#GV`Z^!2giM^GfOBDn_?fultzQh?Bw35>J_$oY|&A`}!wY)H-mMV|o> z3xLE%^BE`R);BPH~N>FfX3B-W6 zmOvb6YYD6X*jfV9xLQll+7uM^>_7|ypkx7e^k`Cm1q+!p#YrIsJt-inRjMTcP?U2Z zc@UHw%z+r($Qn%oNFhTGlb9s17P&Mq1KI-W$G}PheNIkJlr|Npw}jfJ0*Mqu+Enni z6et#9T_BLVK`{*L9*vgqpb()1fI<@1$bp9{Pz+SYgF2v~j^=vgSOsM$OCW~DD&2d> w(DEKRql4TDN+NI%jAnLFkWc`KPZms&CN_Gq$Uqu1QNUjIQ)jTmwDI{W0GKUVTmS$7 literal 0 HcmV?d00001 diff --git a/alu b/alu new file mode 100644 index 0000000..f439490 --- /dev/null +++ b/alu @@ -0,0 +1,4320 @@ +#! c:/iverilog-x64/bin/vvp +:ivl_version "10.1 (stable)" "(v10_1_1)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "system"; +:vpi_module "vhdl_sys"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0000000002933df0 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; + .timescale -9 -12; + .port_info 0 /OUTPUT 4 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "carryout2" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 4 "a" + .port_info 5 /INPUT 4 "b" +L_0000000002a0c640/d .functor XOR 1, L_0000000002a0c2c0, L_0000000002a0c3a0, C4<0>, C4<0>; +L_0000000002a0c640 .delay 1 (50000,50000,50000) L_0000000002a0c640/d; +o0000000002a31028 .functor BUFZ 4, C4; HiZ drive +v0000000002a05d60_0 .net "a", 3 0, o0000000002a31028; 0 drivers +o0000000002a31058 .functor BUFZ 4, C4; HiZ drive +v0000000002a07840_0 .net "b", 3 0, o0000000002a31058; 0 drivers +v0000000002a08100_0 .net "carryout", 0 0, L_0000000002a0c3a0; 1 drivers +v0000000002a072a0_0 .net "carryout0", 0 0, L_0000000002a0ce20; 1 drivers +v0000000002a05ea0_0 .net "carryout1", 0 0, L_0000000002a0cd40; 1 drivers +v0000000002a068a0_0 .net "carryout2", 0 0, L_0000000002a0c2c0; 1 drivers +v0000000002a069e0_0 .net "overflow", 0 0, L_0000000002a0c640; 1 drivers +v0000000002a08240_0 .net "sum", 3 0, L_0000000002acab40; 1 drivers +L_0000000002acba40 .part o0000000002a31028, 0, 1; +L_0000000002acc3a0 .part o0000000002a31058, 0, 1; +L_0000000002acbae0 .part o0000000002a31028, 1, 1; +L_0000000002acc120 .part o0000000002a31058, 1, 1; +L_0000000002acc940 .part o0000000002a31028, 2, 1; +L_0000000002acb180 .part o0000000002a31058, 2, 1; +L_0000000002acab40 .concat8 [ 1 1 1 1], L_0000000002a0cf70, L_0000000002a0c9c0, L_0000000002a0c330, L_0000000002a0c1e0; +L_0000000002acbcc0 .part o0000000002a31028, 3, 1; +L_0000000002acb4a0 .part o0000000002a31058, 3, 1; +S_0000000002a1cb50 .scope module, "a0" "structFullAdder" 2 38, 2 7 0, S_0000000002933df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0000000002a0c4f0/d .functor XOR 1, L_0000000002acba40, L_0000000002acc3a0, C4<0>, C4<0>; +L_0000000002a0c4f0 .delay 1 (50000,50000,50000) L_0000000002a0c4f0/d; +L_0000000002af0088 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0000000002a0cf70/d .functor XOR 1, L_0000000002a0c4f0, L_0000000002af0088, C4<0>, C4<0>; +L_0000000002a0cf70 .delay 1 (50000,50000,50000) L_0000000002a0cf70/d; +L_0000000002a0ce90/d .functor AND 1, L_0000000002acba40, L_0000000002acc3a0, C4<1>, C4<1>; +L_0000000002a0ce90 .delay 1 (30000,30000,30000) L_0000000002a0ce90/d; +L_0000000002a0c5d0/d .functor AND 1, L_0000000002a0c4f0, L_0000000002af0088, C4<1>, C4<1>; +L_0000000002a0c5d0 .delay 1 (30000,30000,30000) L_0000000002a0c5d0/d; +L_0000000002a0ce20/d .functor OR 1, L_0000000002a0c5d0, L_0000000002a0ce90, C4<0>, C4<0>; +L_0000000002a0ce20 .delay 1 (30000,30000,30000) L_0000000002a0ce20/d; +v0000000002a07fc0_0 .net "AandB", 0 0, L_0000000002a0ce90; 1 drivers +v0000000002a07340_0 .net "AxorB", 0 0, L_0000000002a0c4f0; 1 drivers +v0000000002a06120_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0c5d0; 1 drivers +v0000000002a06300_0 .net "a", 0 0, L_0000000002acba40; 1 drivers +v0000000002a07660_0 .net "b", 0 0, L_0000000002acc3a0; 1 drivers +v0000000002a07ac0_0 .net "carryin", 0 0, L_0000000002af0088; 1 drivers +v0000000002a077a0_0 .net "carryout", 0 0, L_0000000002a0ce20; alias, 1 drivers +v0000000002a06da0_0 .net "sum", 0 0, L_0000000002a0cf70; 1 drivers +S_0000000002841510 .scope module, "a1" "structFullAdder" 2 39, 2 7 0, S_0000000002933df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0000000002a0c100/d .functor XOR 1, L_0000000002acbae0, L_0000000002acc120, C4<0>, C4<0>; +L_0000000002a0c100 .delay 1 (50000,50000,50000) L_0000000002a0c100/d; +L_0000000002a0c9c0/d .functor XOR 1, L_0000000002a0c100, L_0000000002a0ce20, C4<0>, C4<0>; +L_0000000002a0c9c0 .delay 1 (50000,50000,50000) L_0000000002a0c9c0/d; +L_0000000002a0c170/d .functor AND 1, L_0000000002acbae0, L_0000000002acc120, C4<1>, C4<1>; +L_0000000002a0c170 .delay 1 (30000,30000,30000) L_0000000002a0c170/d; +L_0000000002a0cf00/d .functor AND 1, L_0000000002a0c100, L_0000000002a0ce20, C4<1>, C4<1>; +L_0000000002a0cf00 .delay 1 (30000,30000,30000) L_0000000002a0cf00/d; +L_0000000002a0cd40/d .functor OR 1, L_0000000002a0cf00, L_0000000002a0c170, C4<0>, C4<0>; +L_0000000002a0cd40 .delay 1 (30000,30000,30000) L_0000000002a0cd40/d; +v0000000002a070c0_0 .net "AandB", 0 0, L_0000000002a0c170; 1 drivers +v0000000002a06f80_0 .net "AxorB", 0 0, L_0000000002a0c100; 1 drivers +v0000000002a05e00_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0cf00; 1 drivers +v0000000002a06940_0 .net "a", 0 0, L_0000000002acbae0; 1 drivers +v0000000002a061c0_0 .net "b", 0 0, L_0000000002acc120; 1 drivers +v0000000002a05f40_0 .net "carryin", 0 0, L_0000000002a0ce20; alias, 1 drivers +v0000000002a08060_0 .net "carryout", 0 0, L_0000000002a0cd40; alias, 1 drivers +v0000000002a07980_0 .net "sum", 0 0, L_0000000002a0c9c0; 1 drivers +S_0000000002841690 .scope module, "a2" "structFullAdder" 2 40, 2 7 0, S_0000000002933df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0000000002a0ca30/d .functor XOR 1, L_0000000002acc940, L_0000000002acb180, C4<0>, C4<0>; +L_0000000002a0ca30 .delay 1 (50000,50000,50000) L_0000000002a0ca30/d; +L_0000000002a0c330/d .functor XOR 1, L_0000000002a0ca30, L_0000000002a0cd40, C4<0>, C4<0>; +L_0000000002a0c330 .delay 1 (50000,50000,50000) L_0000000002a0c330/d; +L_0000000002a0c800/d .functor AND 1, L_0000000002acc940, L_0000000002acb180, C4<1>, C4<1>; +L_0000000002a0c800 .delay 1 (30000,30000,30000) L_0000000002a0c800/d; +L_0000000002a0caa0/d .functor AND 1, L_0000000002a0ca30, L_0000000002a0cd40, C4<1>, C4<1>; +L_0000000002a0caa0 .delay 1 (30000,30000,30000) L_0000000002a0caa0/d; +L_0000000002a0c2c0/d .functor OR 1, L_0000000002a0caa0, L_0000000002a0c800, C4<0>, C4<0>; +L_0000000002a0c2c0 .delay 1 (30000,30000,30000) L_0000000002a0c2c0/d; +v0000000002a06e40_0 .net "AandB", 0 0, L_0000000002a0c800; 1 drivers +v0000000002a06260_0 .net "AxorB", 0 0, L_0000000002a0ca30; 1 drivers +v0000000002a07ca0_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0caa0; 1 drivers +v0000000002a07a20_0 .net "a", 0 0, L_0000000002acc940; 1 drivers +v0000000002a066c0_0 .net "b", 0 0, L_0000000002acb180; 1 drivers +v0000000002a063a0_0 .net "carryin", 0 0, L_0000000002a0cd40; alias, 1 drivers +v0000000002a07520_0 .net "carryout", 0 0, L_0000000002a0c2c0; alias, 1 drivers +v0000000002a05cc0_0 .net "sum", 0 0, L_0000000002a0c330; 1 drivers +S_00000000028472c0 .scope module, "a3" "structFullAdder" 2 41, 2 7 0, S_0000000002933df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "carryin" +L_0000000002a0cfe0/d .functor XOR 1, L_0000000002acbcc0, L_0000000002acb4a0, C4<0>, C4<0>; +L_0000000002a0cfe0 .delay 1 (50000,50000,50000) L_0000000002a0cfe0/d; +L_0000000002a0c1e0/d .functor XOR 1, L_0000000002a0cfe0, L_0000000002a0c2c0, C4<0>, C4<0>; +L_0000000002a0c1e0 .delay 1 (50000,50000,50000) L_0000000002a0c1e0/d; +L_0000000002a0c250/d .functor AND 1, L_0000000002acbcc0, L_0000000002acb4a0, C4<1>, C4<1>; +L_0000000002a0c250 .delay 1 (30000,30000,30000) L_0000000002a0c250/d; +L_0000000002a0c560/d .functor AND 1, L_0000000002a0cfe0, L_0000000002a0c2c0, C4<1>, C4<1>; +L_0000000002a0c560 .delay 1 (30000,30000,30000) L_0000000002a0c560/d; +L_0000000002a0c3a0/d .functor OR 1, L_0000000002a0c560, L_0000000002a0c250, C4<0>, C4<0>; +L_0000000002a0c3a0 .delay 1 (30000,30000,30000) L_0000000002a0c3a0/d; +v0000000002a05fe0_0 .net "AandB", 0 0, L_0000000002a0c250; 1 drivers +v0000000002a081a0_0 .net "AxorB", 0 0, L_0000000002a0cfe0; 1 drivers +v0000000002a07020_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0c560; 1 drivers +v0000000002a073e0_0 .net "a", 0 0, L_0000000002acbcc0; 1 drivers +v0000000002a07160_0 .net "b", 0 0, L_0000000002acb4a0; 1 drivers +v0000000002a06440_0 .net "carryin", 0 0, L_0000000002a0c2c0; alias, 1 drivers +v0000000002a07b60_0 .net "carryout", 0 0, L_0000000002a0c3a0; alias, 1 drivers +v0000000002a07200_0 .net "sum", 0 0, L_0000000002a0c1e0; 1 drivers +S_0000000002a1c9d0 .scope module, "testALU" "testALU" 3 15; + .timescale -9 -12; +v0000000002acc260_0 .net "carryout", 0 0, L_0000000002b6c240; 1 drivers +v0000000002acb9a0_0 .var "command", 2 0; +v0000000002acbb80_0 .var/s "operandA", 31 0; +v0000000002accb20_0 .var/s "operandB", 31 0; +v0000000002acb680_0 .net "overflow", 0 0, L_0000000002b6c400; 1 drivers +v0000000002acc580_0 .net/s "result", 31 0, L_0000000002b45e70; 1 drivers +v0000000002acbc20_0 .net "zero", 0 0, L_0000000002b6b9f0; 1 drivers +S_0000000002847440 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000002a1c9d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 3 "command" +L_0000000002b6c7f0/d .functor XOR 1, L_0000000002b458d0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b6c7f0 .delay 1 (50000,50000,50000) L_0000000002b6c7f0/d; +L_0000000002b6bc20/d .functor AND 1, v0000000002a01300_0, L_0000000002b47090, C4<1>, C4<1>; +L_0000000002b6bc20 .delay 1 (30000,30000,30000) L_0000000002b6bc20/d; +L_0000000002b6b910/d .functor NOT 1, L_0000000002b45010, C4<0>, C4<0>, C4<0>; +L_0000000002b6b910 .delay 1 (10000,10000,10000) L_0000000002b6b910/d; +L_0000000002b6b0c0/d .functor NOR 1, L_0000000002b44930, L_0000000002b45150, L_0000000002b6b910, C4<0>; +L_0000000002b6b0c0 .delay 1 (20000,20000,20000) L_0000000002b6b0c0/d; +L_0000000002b6c1d0/d .functor XOR 1, L_0000000002b49250, L_0000000002b471d0, C4<0>, C4<0>; +L_0000000002b6c1d0 .delay 1 (50000,50000,50000) L_0000000002b6c1d0/d; +L_0000000002b6c240/d .functor AND 1, L_0000000002b473b0, L_0000000002b487b0, L_0000000002b483f0, C4<1>; +L_0000000002b6c240 .delay 1 (40000,40000,40000) L_0000000002b6c240/d; +L_0000000002b6c400/d .functor AND 1, L_0000000002b6c1d0, L_0000000002b48350, L_0000000002b47ef0, C4<1>; +L_0000000002b6c400 .delay 1 (40000,40000,40000) L_0000000002b6c400/d; +L_0000000002b6bfa0/d .functor OR 1, L_0000000002b49430, L_0000000002b492f0, C4<0>, C4<0>; +L_0000000002b6bfa0 .delay 1 (30000,30000,30000) L_0000000002b6bfa0/d; +L_0000000002b6bd70/d .functor NOT 1, L_0000000002b47310, C4<0>, C4<0>, C4<0>; +L_0000000002b6bd70 .delay 1 (10000,10000,10000) L_0000000002b6bd70/d; +L_0000000002b6b9f0/d .functor AND 1, L_0000000002b6bd70, L_0000000002b49390, L_0000000002b48670, C4<1>; +L_0000000002b6b9f0 .delay 1 (40000,40000,40000) L_0000000002b6b9f0/d; +v0000000002ac6ea0_0 .net *"_s0", 0 0, L_0000000002a0cdb0; 1 drivers +v0000000002ac7080_0 .net *"_s101", 0 0, L_0000000002ae6f80; 1 drivers +v0000000002ac6fe0_0 .net *"_s112", 0 0, L_0000000002ae5d90; 1 drivers +v0000000002ac73a0_0 .net *"_s115", 0 0, L_0000000002ae7060; 1 drivers +v0000000002ac7800_0 .net *"_s126", 0 0, L_0000000002ae60a0; 1 drivers +v0000000002ac5aa0_0 .net *"_s129", 0 0, L_0000000002ae6ea0; 1 drivers +v0000000002ac80c0_0 .net *"_s14", 0 0, L_0000000002adfa60; 1 drivers +v0000000002ac7d00_0 .net *"_s140", 0 0, L_0000000002b40320; 1 drivers +v0000000002ac6720_0 .net *"_s143", 0 0, L_0000000002b41120; 1 drivers +v0000000002ac71c0_0 .net *"_s154", 0 0, L_0000000002b40860; 1 drivers +v0000000002ac6d60_0 .net *"_s157", 0 0, L_0000000002b41660; 1 drivers +v0000000002ac7440_0 .net *"_s168", 0 0, L_0000000002b41c10; 1 drivers +v0000000002ac7b20_0 .net *"_s17", 0 0, L_0000000002ae02b0; 1 drivers +v0000000002ac7940_0 .net *"_s171", 0 0, L_0000000002b41970; 1 drivers +v0000000002ac7c60_0 .net *"_s182", 0 0, L_0000000002b400f0; 1 drivers +v0000000002ac6f40_0 .net *"_s185", 0 0, L_0000000002b40550; 1 drivers +v0000000002ac74e0_0 .net *"_s196", 0 0, L_0000000002b42000; 1 drivers +v0000000002ac5a00_0 .net *"_s199", 0 0, L_0000000002b41cf0; 1 drivers +v0000000002ac78a0_0 .net *"_s210", 0 0, L_0000000002aea7c0; 1 drivers +v0000000002ac76c0_0 .net *"_s213", 0 0, L_0000000002aeafa0; 1 drivers +v0000000002ac8020_0 .net *"_s224", 0 0, L_0000000002ae9c60; 1 drivers +v0000000002ac6cc0_0 .net *"_s227", 0 0, L_0000000002aeade0; 1 drivers +v0000000002ac7bc0_0 .net *"_s238", 0 0, L_0000000002aea590; 1 drivers +v0000000002ac5dc0_0 .net *"_s241", 0 0, L_0000000002aeb240; 1 drivers +v0000000002ac7da0_0 .net *"_s252", 0 0, L_0000000002aea8a0; 1 drivers +v0000000002ac79e0_0 .net *"_s255", 0 0, L_0000000002aea0c0; 1 drivers +v0000000002ac7580_0 .net *"_s266", 0 0, L_0000000002b627c0; 1 drivers +v0000000002ac6c20_0 .net *"_s269", 0 0, L_0000000002b62910; 1 drivers +v0000000002ac5c80_0 .net *"_s28", 0 0, L_0000000002ae09b0; 1 drivers +v0000000002ac5960_0 .net *"_s280", 0 0, L_0000000002b5fdc0; 1 drivers +v0000000002ac6e00_0 .net *"_s283", 0 0, L_0000000002b5f960; 1 drivers +v0000000002ac6b80_0 .net *"_s294", 0 0, L_0000000002b5f650; 1 drivers +v0000000002ac64a0_0 .net *"_s297", 0 0, L_0000000002b5f5e0; 1 drivers +v0000000002ac5be0_0 .net *"_s3", 0 0, L_0000000002a0c410; 1 drivers +v0000000002ac7260_0 .net *"_s308", 0 0, L_0000000002b607d0; 1 drivers +v0000000002ac6860_0 .net *"_s31", 0 0, L_0000000002adfe50; 1 drivers +v0000000002ac67c0_0 .net *"_s311", 0 0, L_0000000002b5fb20; 1 drivers +v0000000002ac5b40_0 .net *"_s322", 0 0, L_0000000002b5fe30; 1 drivers +v0000000002ac6180_0 .net *"_s325", 0 0, L_0000000002b5f340; 1 drivers +v0000000002ac7300_0 .net *"_s336", 0 0, L_0000000002b62130; 1 drivers +v0000000002ac7620_0 .net *"_s339", 0 0, L_0000000002b60f40; 1 drivers +v0000000002ac5d20_0 .net *"_s350", 0 0, L_0000000002b61cd0; 1 drivers +v0000000002ac65e0_0 .net *"_s353", 0 0, L_0000000002b62280; 1 drivers +v0000000002ac5e60_0 .net *"_s364", 0 0, L_0000000002b623d0; 1 drivers +v0000000002ac7760_0 .net *"_s367", 0 0, L_0000000002b60ca0; 1 drivers +v0000000002ac6ae0_0 .net *"_s378", 0 0, L_0000000002b62050; 1 drivers +v0000000002ac6400_0 .net *"_s381", 0 0, L_0000000002b61640; 1 drivers +v0000000002ac7e40_0 .net *"_s392", 0 0, L_0000000002b6dac0; 1 drivers +v0000000002ac60e0_0 .net *"_s395", 0 0, L_0000000002b6cfd0; 1 drivers +v0000000002ac5f00_0 .net *"_s406", 0 0, L_0000000002b6ca20; 1 drivers +v0000000002ac7a80_0 .net *"_s409", 0 0, L_0000000002b6d5f0; 1 drivers +v0000000002ac5fa0_0 .net *"_s42", 0 0, L_0000000002ae0f60; 1 drivers +v0000000002ac6220_0 .net *"_s420", 0 0, L_0000000002b6ca90; 1 drivers +v0000000002ac6040_0 .net *"_s423", 0 0, L_0000000002b6dd60; 1 drivers +v0000000002ac62c0_0 .net *"_s434", 0 0, L_0000000002b6d740; 1 drivers +v0000000002ac6540_0 .net *"_s438", 0 0, L_0000000002b6d890; 1 drivers +v0000000002ac6360_0 .net *"_s442", 0 0, L_0000000002b6cef0; 1 drivers +v0000000002ac6680_0 .net *"_s446", 0 0, L_0000000002b6d9e0; 1 drivers +v0000000002ac6900_0 .net *"_s45", 0 0, L_0000000002ae0080; 1 drivers +v0000000002ac97e0_0 .net *"_s450", 0 0, L_0000000002b6da50; 1 drivers +v0000000002ac9a60_0 .net *"_s454", 0 0, L_0000000002b6dba0; 1 drivers +v0000000002ac8480_0 .net *"_s458", 0 0, L_0000000002b6df20; 1 drivers +v0000000002aca320_0 .net *"_s462", 0 0, L_0000000002b6e3f0; 1 drivers +v0000000002aca3c0_0 .net *"_s466", 0 0, L_0000000002b6df90; 1 drivers +v0000000002aca140_0 .net *"_s470", 0 0, L_0000000002b6e070; 1 drivers +v0000000002ac9560_0 .net *"_s474", 0 0, L_0000000002b6cf60; 1 drivers +v0000000002ac9740_0 .net *"_s478", 0 0, L_0000000002b6e380; 1 drivers +v0000000002ac8700_0 .net *"_s482", 0 0, L_0000000002b6c860; 1 drivers +v0000000002ac8200_0 .net *"_s486", 0 0, L_0000000002b6e690; 1 drivers +v0000000002ac8d40_0 .net *"_s490", 0 0, L_0000000002b6e700; 1 drivers +v0000000002ac8fc0_0 .net *"_s494", 0 0, L_0000000002b6e930; 1 drivers +v0000000002ac9880_0 .net *"_s498", 0 0, L_0000000002b6e620; 1 drivers +v0000000002ac9380_0 .net *"_s502", 0 0, L_0000000002b6e9a0; 1 drivers +v0000000002ac9060_0 .net *"_s506", 0 0, L_0000000002b6e540; 1 drivers +v0000000002aca8c0_0 .net *"_s510", 0 0, L_0000000002b6e770; 1 drivers +v0000000002ac88e0_0 .net *"_s514", 0 0, L_0000000002b6ea10; 1 drivers +v0000000002ac99c0_0 .net *"_s518", 0 0, L_0000000002b6e4d0; 1 drivers +v0000000002aca280_0 .net *"_s522", 0 0, L_0000000002b6e5b0; 1 drivers +v0000000002ac9ce0_0 .net *"_s526", 0 0, L_0000000002b6ea80; 1 drivers +v0000000002aca460_0 .net *"_s530", 0 0, L_0000000002b6e850; 1 drivers +v0000000002ac9920_0 .net *"_s534", 0 0, L_0000000002b6e460; 1 drivers +v0000000002ac8520_0 .net *"_s538", 0 0, L_0000000002b6e7e0; 1 drivers +v0000000002ac91a0_0 .net *"_s542", 0 0, L_0000000002b6e8c0; 1 drivers +v0000000002ac9b00_0 .net *"_s546", 0 0, L_0000000002b6eaf0; 1 drivers +v0000000002ac96a0_0 .net *"_s550", 0 0, L_0000000002b6eb60; 1 drivers +v0000000002ac8c00_0 .net *"_s555", 0 0, L_0000000002b6c7f0; 1 drivers +v0000000002ac9ba0_0 .net *"_s559", 0 0, L_0000000002b458d0; 1 drivers +v0000000002ac85c0_0 .net *"_s56", 0 0, L_0000000002ae0710; 1 drivers +v0000000002ac8e80_0 .net *"_s561", 0 0, L_0000000002b6bc20; 1 drivers +v0000000002ac9c40_0 .net *"_s565", 0 0, L_0000000002b47090; 1 drivers +v0000000002ac9240_0 .net *"_s568", 0 0, L_0000000002b45010; 1 drivers +v0000000002ac82a0_0 .net *"_s571", 0 0, L_0000000002b44930; 1 drivers +v0000000002ac8de0_0 .net *"_s573", 0 0, L_0000000002b45150; 1 drivers +v0000000002ac9d80_0 .net *"_s59", 0 0, L_0000000002ae0550; 1 drivers +v0000000002ac9e20_0 .net *"_s590", 0 0, L_0000000002b49250; 1 drivers +v0000000002ac9600_0 .net *"_s592", 0 0, L_0000000002b471d0; 1 drivers +v0000000002ac9ec0_0 .net *"_s595", 0 0, L_0000000002b473b0; 1 drivers +v0000000002aca500_0 .net *"_s597", 0 0, L_0000000002b487b0; 1 drivers +v0000000002aca1e0_0 .net *"_s599", 0 0, L_0000000002b483f0; 1 drivers +v0000000002aca5a0_0 .net *"_s602", 0 0, L_0000000002b48350; 1 drivers +v0000000002ac9f60_0 .net *"_s604", 0 0, L_0000000002b47ef0; 1 drivers +v0000000002aca000_0 .net *"_s606", 0 0, L_0000000002b6bfa0; 1 drivers +v0000000002ac8660_0 .net *"_s610", 0 0, L_0000000002b49430; 1 drivers +v0000000002aca640_0 .net *"_s612", 0 0, L_0000000002b492f0; 1 drivers +v0000000002ac87a0_0 .net *"_s615", 0 0, L_0000000002b47310; 1 drivers +v0000000002ac8340_0 .net *"_s618", 0 0, L_0000000002b49390; 1 drivers +v0000000002aca0a0_0 .net *"_s620", 0 0, L_0000000002b48670; 1 drivers +v0000000002ac83e0_0 .net *"_s70", 0 0, L_0000000002ae17b0; 1 drivers +v0000000002ac8ca0_0 .net *"_s73", 0 0, L_0000000002ae1660; 1 drivers +v0000000002aca6e0_0 .net *"_s84", 0 0, L_0000000002ae7530; 1 drivers +v0000000002ac8840_0 .net *"_s87", 0 0, L_0000000002ae6730; 1 drivers +v0000000002ac8980_0 .net *"_s98", 0 0, L_0000000002ae69d0; 1 drivers +v0000000002ac8a20_0 .net "cIn", 31 0, L_0000000002b44e30; 1 drivers +v0000000002aca780_0 .net "cOut", 31 0, L_0000000002b45790; 1 drivers +v0000000002ac8ac0_0 .net "carry", 0 0, v0000000002a01300_0; 1 drivers +v0000000002aca820_0 .net "carryout", 0 0, L_0000000002b6c240; alias, 1 drivers +v0000000002ac8160_0 .net "command", 2 0, v0000000002acb9a0_0; 1 drivers +v0000000002ac9420_0 .net "finalB", 31 0, L_0000000002b45c90; 1 drivers +v0000000002ac8f20_0 .net "invert", 0 0, v0000000002a02840_0; 1 drivers +v0000000002ac8b60_0 .net "nCommand", 0 0, L_0000000002b6b910; 1 drivers +v0000000002ac9100_0 .net "operandA", 31 0, v0000000002acbb80_0; 1 drivers +v0000000002ac92e0_0 .net "operandB", 31 0, v0000000002accb20_0; 1 drivers +v0000000002ac94c0_0 .net "overflow", 0 0, L_0000000002b6c400; alias, 1 drivers +v0000000002acb720_0 .net "partialOverflow", 0 0, L_0000000002b6c1d0; 1 drivers +v0000000002acc6c0_0 .net "result", 31 0, L_0000000002b45e70; alias, 1 drivers +v0000000002accc60_0 .net "select", 1 0, v0000000002a01440_0; 1 drivers +v0000000002accd00_0 .net "sltFlag", 0 0, L_0000000002b6b0c0; 1 drivers +v0000000002acc080_0 .net "zero", 0 0, L_0000000002b6b9f0; alias, 1 drivers +v0000000002acc1c0_0 .net "zeroFlags", 30 0, L_0000000002b49890; 1 drivers +v0000000002acc300_0 .net "zeroPartial", 0 0, L_0000000002b6bd70; 1 drivers +L_0000000002accda0 .part v0000000002accb20_0, 1, 1; +L_0000000002acb7c0 .part L_0000000002b45790, 0, 1; +L_0000000002acbfe0 .part v0000000002acbb80_0, 1, 1; +L_0000000002acad20 .part L_0000000002b45c90, 1, 1; +L_0000000002acb860 .part L_0000000002b44e30, 1, 1; +L_0000000002acbf40 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acaa00 .part v0000000002accb20_0, 2, 1; +L_0000000002acc440 .part L_0000000002b45790, 1, 1; +L_0000000002accf80 .part v0000000002acbb80_0, 2, 1; +L_0000000002acaf00 .part L_0000000002b45c90, 2, 1; +L_0000000002acb5e0 .part L_0000000002b44e30, 2, 1; +L_0000000002acc4e0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acc620 .part v0000000002accb20_0, 3, 1; +L_0000000002acc760 .part L_0000000002b45790, 2, 1; +L_0000000002accbc0 .part v0000000002acbb80_0, 3, 1; +L_0000000002acadc0 .part L_0000000002b45c90, 3, 1; +L_0000000002acc800 .part L_0000000002b44e30, 3, 1; +L_0000000002acaaa0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acce40 .part v0000000002accb20_0, 4, 1; +L_0000000002accee0 .part L_0000000002b45790, 3, 1; +L_0000000002acd0c0 .part v0000000002acbb80_0, 4, 1; +L_0000000002aca960 .part L_0000000002b45c90, 4, 1; +L_0000000002acc9e0 .part L_0000000002b44e30, 4, 1; +L_0000000002acca80 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acabe0 .part v0000000002accb20_0, 5, 1; +L_0000000002acae60 .part L_0000000002b45790, 4, 1; +L_0000000002acafa0 .part v0000000002acbb80_0, 5, 1; +L_0000000002acb040 .part L_0000000002b45c90, 5, 1; +L_0000000002acb0e0 .part L_0000000002b44e30, 5, 1; +L_0000000002acb2c0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acb360 .part v0000000002accb20_0, 6, 1; +L_0000000002ace380 .part L_0000000002b45790, 5, 1; +L_0000000002ace740 .part v0000000002acbb80_0, 6, 1; +L_0000000002acf6e0 .part L_0000000002b45c90, 6, 1; +L_0000000002acd2a0 .part L_0000000002b44e30, 6, 1; +L_0000000002ace1a0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acf5a0 .part v0000000002accb20_0, 7, 1; +L_0000000002aced80 .part L_0000000002b45790, 6, 1; +L_0000000002acf780 .part v0000000002acbb80_0, 7, 1; +L_0000000002acdd40 .part L_0000000002b45c90, 7, 1; +L_0000000002acf320 .part L_0000000002b44e30, 7, 1; +L_0000000002ace240 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acf1e0 .part v0000000002accb20_0, 8, 1; +L_0000000002acf3c0 .part L_0000000002b45790, 7, 1; +L_0000000002acd340 .part v0000000002acbb80_0, 8, 1; +L_0000000002acf820 .part L_0000000002b45c90, 8, 1; +L_0000000002ace6a0 .part L_0000000002b44e30, 8, 1; +L_0000000002acdde0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acd200 .part v0000000002accb20_0, 9, 1; +L_0000000002acf460 .part L_0000000002b45790, 8, 1; +L_0000000002acf280 .part v0000000002acbb80_0, 9, 1; +L_0000000002acdfc0 .part L_0000000002b45c90, 9, 1; +L_0000000002acf500 .part L_0000000002b44e30, 9, 1; +L_0000000002acda20 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acd5c0 .part v0000000002accb20_0, 10, 1; +L_0000000002acf8c0 .part L_0000000002b45790, 9, 1; +L_0000000002acd480 .part v0000000002acbb80_0, 10, 1; +L_0000000002acd700 .part L_0000000002b45c90, 10, 1; +L_0000000002ace060 .part L_0000000002b44e30, 10, 1; +L_0000000002aceba0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acd7a0 .part v0000000002accb20_0, 11, 1; +L_0000000002ace9c0 .part L_0000000002b45790, 10, 1; +L_0000000002ace100 .part v0000000002acbb80_0, 11, 1; +L_0000000002ace880 .part L_0000000002b45c90, 11, 1; +L_0000000002acee20 .part L_0000000002b44e30, 11, 1; +L_0000000002ace920 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acea60 .part v0000000002accb20_0, 12, 1; +L_0000000002acd840 .part L_0000000002b45790, 11, 1; +L_0000000002acd980 .part v0000000002acbb80_0, 12, 1; +L_0000000002acdac0 .part L_0000000002b45c90, 12, 1; +L_0000000002aceb00 .part L_0000000002b44e30, 12, 1; +L_0000000002ace2e0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acf140 .part v0000000002accb20_0, 13, 1; +L_0000000002ace420 .part L_0000000002b45790, 12, 1; +L_0000000002ace600 .part v0000000002acbb80_0, 13, 1; +L_0000000002acece0 .part L_0000000002b45c90, 13, 1; +L_0000000002acef60 .part L_0000000002b44e30, 13, 1; +L_0000000002acdb60 .part v0000000002acb9a0_0, 0, 1; +L_0000000002acf000 .part v0000000002accb20_0, 14, 1; +L_0000000002b504b0 .part L_0000000002b45790, 13, 1; +L_0000000002b4f8d0 .part v0000000002acbb80_0, 14, 1; +L_0000000002b50af0 .part L_0000000002b45c90, 14, 1; +L_0000000002b51090 .part L_0000000002b44e30, 14, 1; +L_0000000002b50e10 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b4eed0 .part v0000000002accb20_0, 15, 1; +L_0000000002b4ef70 .part L_0000000002b45790, 14, 1; +L_0000000002b4eb10 .part v0000000002acbb80_0, 15, 1; +L_0000000002b50eb0 .part L_0000000002b45c90, 15, 1; +L_0000000002b50230 .part L_0000000002b44e30, 15, 1; +L_0000000002b50190 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b507d0 .part v0000000002accb20_0, 16, 1; +L_0000000002b4f6f0 .part L_0000000002b45790, 15, 1; +L_0000000002b50a50 .part v0000000002acbb80_0, 16, 1; +L_0000000002b50730 .part L_0000000002b45c90, 16, 1; +L_0000000002b4ff10 .part L_0000000002b44e30, 16, 1; +L_0000000002b50f50 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b4f790 .part v0000000002accb20_0, 17, 1; +L_0000000002b4ed90 .part L_0000000002b45790, 16, 1; +L_0000000002b4f150 .part v0000000002acbb80_0, 17, 1; +L_0000000002b50370 .part L_0000000002b45c90, 17, 1; +L_0000000002b50910 .part L_0000000002b44e30, 17, 1; +L_0000000002b4f3d0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b50b90 .part v0000000002accb20_0, 18, 1; +L_0000000002b4ebb0 .part L_0000000002b45790, 17, 1; +L_0000000002b50d70 .part v0000000002acbb80_0, 18, 1; +L_0000000002b50050 .part L_0000000002b45c90, 18, 1; +L_0000000002b4ee30 .part L_0000000002b44e30, 18, 1; +L_0000000002b4fc90 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b50690 .part v0000000002accb20_0, 19, 1; +L_0000000002b50410 .part L_0000000002b45790, 18, 1; +L_0000000002b4f970 .part v0000000002acbb80_0, 19, 1; +L_0000000002b509b0 .part L_0000000002b45c90, 19, 1; +L_0000000002b500f0 .part L_0000000002b44e30, 19, 1; +L_0000000002b50870 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b4e9d0 .part v0000000002accb20_0, 20, 1; +L_0000000002b4fa10 .part L_0000000002b45790, 19, 1; +L_0000000002b50c30 .part v0000000002acbb80_0, 20, 1; +L_0000000002b4f470 .part L_0000000002b45c90, 20, 1; +L_0000000002b4f1f0 .part L_0000000002b44e30, 20, 1; +L_0000000002b4e930 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b4ea70 .part v0000000002accb20_0, 21, 1; +L_0000000002b4f330 .part L_0000000002b45790, 20, 1; +L_0000000002b4f5b0 .part v0000000002acbb80_0, 21, 1; +L_0000000002b4fab0 .part L_0000000002b45c90, 21, 1; +L_0000000002b4fdd0 .part L_0000000002b44e30, 21, 1; +L_0000000002b4fbf0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b4fe70 .part v0000000002accb20_0, 22, 1; +L_0000000002b51db0 .part L_0000000002b45790, 21, 1; +L_0000000002b511d0 .part v0000000002acbb80_0, 22, 1; +L_0000000002b51630 .part L_0000000002b45c90, 22, 1; +L_0000000002b51bd0 .part L_0000000002b44e30, 22, 1; +L_0000000002b51c70 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b519f0 .part v0000000002accb20_0, 23, 1; +L_0000000002b51270 .part L_0000000002b45790, 22, 1; +L_0000000002b514f0 .part v0000000002acbb80_0, 23, 1; +L_0000000002b516d0 .part L_0000000002b45c90, 23, 1; +L_0000000002b51770 .part L_0000000002b44e30, 23, 1; +L_0000000002b51810 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b51b30 .part v0000000002accb20_0, 24, 1; +L_0000000002b51130 .part L_0000000002b45790, 23, 1; +L_0000000002b51450 .part v0000000002acbb80_0, 24, 1; +L_0000000002b51f90 .part L_0000000002b45c90, 24, 1; +L_0000000002b51590 .part L_0000000002b44e30, 24, 1; +L_0000000002b51e50 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b51ef0 .part v0000000002accb20_0, 25, 1; +L_0000000002b43cb0 .part L_0000000002b45790, 24, 1; +L_0000000002b44110 .part v0000000002acbb80_0, 25, 1; +L_0000000002b42130 .part L_0000000002b45c90, 25, 1; +L_0000000002b43710 .part L_0000000002b44e30, 25, 1; +L_0000000002b43df0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b421d0 .part v0000000002accb20_0, 26, 1; +L_0000000002b42310 .part L_0000000002b45790, 25, 1; +L_0000000002b42270 .part v0000000002acbb80_0, 26, 1; +L_0000000002b43d50 .part L_0000000002b45c90, 26, 1; +L_0000000002b437b0 .part L_0000000002b44e30, 26, 1; +L_0000000002b42f90 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b43530 .part v0000000002accb20_0, 27, 1; +L_0000000002b43490 .part L_0000000002b45790, 26, 1; +L_0000000002b43850 .part v0000000002acbb80_0, 27, 1; +L_0000000002b42450 .part L_0000000002b45c90, 27, 1; +L_0000000002b433f0 .part L_0000000002b44e30, 27, 1; +L_0000000002b42810 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b43f30 .part v0000000002accb20_0, 28, 1; +L_0000000002b438f0 .part L_0000000002b45790, 27, 1; +L_0000000002b42950 .part v0000000002acbb80_0, 28, 1; +L_0000000002b43990 .part L_0000000002b45c90, 28, 1; +L_0000000002b447f0 .part L_0000000002b44e30, 28, 1; +L_0000000002b424f0 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b42590 .part v0000000002accb20_0, 29, 1; +L_0000000002b42630 .part L_0000000002b45790, 28, 1; +L_0000000002b43b70 .part v0000000002acbb80_0, 29, 1; +L_0000000002b42db0 .part L_0000000002b45c90, 29, 1; +L_0000000002b426d0 .part L_0000000002b44e30, 29, 1; +L_0000000002b43030 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b44430 .part v0000000002accb20_0, 30, 1; +L_0000000002b44890 .part L_0000000002b45790, 29, 1; +L_0000000002b429f0 .part v0000000002acbb80_0, 30, 1; +L_0000000002b435d0 .part L_0000000002b45c90, 30, 1; +L_0000000002b444d0 .part L_0000000002b44e30, 30, 1; +L_0000000002b42d10 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b43e90 .part v0000000002accb20_0, 31, 1; +L_0000000002b43670 .part L_0000000002b45790, 30, 1; +L_0000000002b42a90 .part v0000000002acbb80_0, 31, 1; +L_0000000002b44570 .part L_0000000002b45c90, 31, 1; +L_0000000002b430d0 .part L_0000000002b44e30, 31, 1; +L_0000000002b44610 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b42b30 .part L_0000000002b45e70, 1, 1; +L_0000000002b43fd0 .part L_0000000002b49890, 0, 1; +L_0000000002b44070 .part L_0000000002b45e70, 2, 1; +L_0000000002b42c70 .part L_0000000002b49890, 1, 1; +L_0000000002b432b0 .part L_0000000002b45e70, 3, 1; +L_0000000002b441b0 .part L_0000000002b49890, 2, 1; +L_0000000002b446b0 .part L_0000000002b45e70, 4, 1; +L_0000000002b44250 .part L_0000000002b49890, 3, 1; +L_0000000002b442f0 .part L_0000000002b45e70, 5, 1; +L_0000000002b44cf0 .part L_0000000002b49890, 4, 1; +L_0000000002b46730 .part L_0000000002b45e70, 6, 1; +L_0000000002b45830 .part L_0000000002b49890, 5, 1; +L_0000000002b45f10 .part L_0000000002b45e70, 7, 1; +L_0000000002b45970 .part L_0000000002b49890, 6, 1; +L_0000000002b46d70 .part L_0000000002b45e70, 8, 1; +L_0000000002b45ab0 .part L_0000000002b49890, 7, 1; +L_0000000002b46eb0 .part L_0000000002b45e70, 9, 1; +L_0000000002b46e10 .part L_0000000002b49890, 8, 1; +L_0000000002b467d0 .part L_0000000002b45e70, 10, 1; +L_0000000002b46870 .part L_0000000002b49890, 9, 1; +L_0000000002b449d0 .part L_0000000002b45e70, 11, 1; +L_0000000002b46910 .part L_0000000002b49890, 10, 1; +L_0000000002b46550 .part L_0000000002b45e70, 12, 1; +L_0000000002b44c50 .part L_0000000002b49890, 11, 1; +L_0000000002b469b0 .part L_0000000002b45e70, 13, 1; +L_0000000002b45b50 .part L_0000000002b49890, 12, 1; +L_0000000002b44ed0 .part L_0000000002b45e70, 14, 1; +L_0000000002b46370 .part L_0000000002b49890, 13, 1; +L_0000000002b46050 .part L_0000000002b45e70, 15, 1; +L_0000000002b45dd0 .part L_0000000002b49890, 14, 1; +L_0000000002b451f0 .part L_0000000002b45e70, 16, 1; +L_0000000002b44b10 .part L_0000000002b49890, 15, 1; +L_0000000002b460f0 .part L_0000000002b45e70, 17, 1; +L_0000000002b46f50 .part L_0000000002b49890, 16, 1; +L_0000000002b464b0 .part L_0000000002b45e70, 18, 1; +L_0000000002b44bb0 .part L_0000000002b49890, 17, 1; +L_0000000002b46190 .part L_0000000002b45e70, 19, 1; +L_0000000002b455b0 .part L_0000000002b49890, 18, 1; +L_0000000002b44f70 .part L_0000000002b45e70, 20, 1; +L_0000000002b46af0 .part L_0000000002b49890, 19, 1; +L_0000000002b45650 .part L_0000000002b45e70, 21, 1; +L_0000000002b465f0 .part L_0000000002b49890, 20, 1; +L_0000000002b453d0 .part L_0000000002b45e70, 22, 1; +L_0000000002b45290 .part L_0000000002b49890, 21, 1; +L_0000000002b45bf0 .part L_0000000002b45e70, 23, 1; +L_0000000002b450b0 .part L_0000000002b49890, 22, 1; +L_0000000002b46690 .part L_0000000002b45e70, 24, 1; +L_0000000002b46a50 .part L_0000000002b49890, 23, 1; +L_0000000002b46230 .part L_0000000002b45e70, 25, 1; +L_0000000002b45330 .part L_0000000002b49890, 24, 1; +L_0000000002b46410 .part L_0000000002b45e70, 26, 1; +L_0000000002b456f0 .part L_0000000002b49890, 25, 1; +L_0000000002b46cd0 .part L_0000000002b45e70, 27, 1; +L_0000000002b44a70 .part L_0000000002b49890, 26, 1; +L_0000000002b45fb0 .part L_0000000002b45e70, 28, 1; +L_0000000002b46b90 .part L_0000000002b49890, 27, 1; +L_0000000002b462d0 .part L_0000000002b45e70, 29, 1; +L_0000000002b46c30 .part L_0000000002b49890, 28, 1; +L_0000000002b44d90 .part L_0000000002b45e70, 30, 1; +L_0000000002b46ff0 .part L_0000000002b49890, 29, 1; +LS_0000000002b45c90_0_0 .concat8 [ 1 1 1 1], L_0000000002b6c7f0, L_0000000002a0cdb0, L_0000000002adfa60, L_0000000002ae09b0; +LS_0000000002b45c90_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0f60, L_0000000002ae0710, L_0000000002ae17b0, L_0000000002ae7530; +LS_0000000002b45c90_0_8 .concat8 [ 1 1 1 1], L_0000000002ae69d0, L_0000000002ae5d90, L_0000000002ae60a0, L_0000000002b40320; +LS_0000000002b45c90_0_12 .concat8 [ 1 1 1 1], L_0000000002b40860, L_0000000002b41c10, L_0000000002b400f0, L_0000000002b42000; +LS_0000000002b45c90_0_16 .concat8 [ 1 1 1 1], L_0000000002aea7c0, L_0000000002ae9c60, L_0000000002aea590, L_0000000002aea8a0; +LS_0000000002b45c90_0_20 .concat8 [ 1 1 1 1], L_0000000002b627c0, L_0000000002b5fdc0, L_0000000002b5f650, L_0000000002b607d0; +LS_0000000002b45c90_0_24 .concat8 [ 1 1 1 1], L_0000000002b5fe30, L_0000000002b62130, L_0000000002b61cd0, L_0000000002b623d0; +LS_0000000002b45c90_0_28 .concat8 [ 1 1 1 1], L_0000000002b62050, L_0000000002b6dac0, L_0000000002b6ca20, L_0000000002b6ca90; +LS_0000000002b45c90_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45c90_0_0, LS_0000000002b45c90_0_4, LS_0000000002b45c90_0_8, LS_0000000002b45c90_0_12; +LS_0000000002b45c90_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45c90_0_16, LS_0000000002b45c90_0_20, LS_0000000002b45c90_0_24, LS_0000000002b45c90_0_28; +L_0000000002b45c90 .concat8 [ 16 16 0 0], LS_0000000002b45c90_1_0, LS_0000000002b45c90_1_4; +L_0000000002b458d0 .part v0000000002accb20_0, 0, 1; +LS_0000000002b44e30_0_0 .concat8 [ 1 1 1 1], L_0000000002b6bc20, L_0000000002a0c410, L_0000000002ae02b0, L_0000000002adfe50; +LS_0000000002b44e30_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0080, L_0000000002ae0550, L_0000000002ae1660, L_0000000002ae6730; +LS_0000000002b44e30_0_8 .concat8 [ 1 1 1 1], L_0000000002ae6f80, L_0000000002ae7060, L_0000000002ae6ea0, L_0000000002b41120; +LS_0000000002b44e30_0_12 .concat8 [ 1 1 1 1], L_0000000002b41660, L_0000000002b41970, L_0000000002b40550, L_0000000002b41cf0; +LS_0000000002b44e30_0_16 .concat8 [ 1 1 1 1], L_0000000002aeafa0, L_0000000002aeade0, L_0000000002aeb240, L_0000000002aea0c0; +LS_0000000002b44e30_0_20 .concat8 [ 1 1 1 1], L_0000000002b62910, L_0000000002b5f960, L_0000000002b5f5e0, L_0000000002b5fb20; +LS_0000000002b44e30_0_24 .concat8 [ 1 1 1 1], L_0000000002b5f340, L_0000000002b60f40, L_0000000002b62280, L_0000000002b60ca0; +LS_0000000002b44e30_0_28 .concat8 [ 1 1 1 1], L_0000000002b61640, L_0000000002b6cfd0, L_0000000002b6d5f0, L_0000000002b6dd60; +LS_0000000002b44e30_1_0 .concat8 [ 4 4 4 4], LS_0000000002b44e30_0_0, LS_0000000002b44e30_0_4, LS_0000000002b44e30_0_8, LS_0000000002b44e30_0_12; +LS_0000000002b44e30_1_4 .concat8 [ 4 4 4 4], LS_0000000002b44e30_0_16, LS_0000000002b44e30_0_20, LS_0000000002b44e30_0_24, LS_0000000002b44e30_0_28; +L_0000000002b44e30 .concat8 [ 16 16 0 0], LS_0000000002b44e30_1_0, LS_0000000002b44e30_1_4; +L_0000000002b47090 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b45010 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b44930 .part v0000000002acb9a0_0, 2, 1; +L_0000000002b45150 .part v0000000002acb9a0_0, 1, 1; +LS_0000000002b45e70_0_0 .concat8 [ 1 1 1 1], L_0000000002b6b8a0, L_0000000002ae0b00, L_0000000002ae1120, L_0000000002ae0010; +LS_0000000002b45e70_0_4 .concat8 [ 1 1 1 1], L_0000000002adf980, L_0000000002ae1890, L_0000000002ae6880, L_0000000002ae62d0; +LS_0000000002b45e70_0_8 .concat8 [ 1 1 1 1], L_0000000002ae6e30, L_0000000002ae6030, L_0000000002b405c0, L_0000000002b41430; +LS_0000000002b45e70_0_12 .concat8 [ 1 1 1 1], L_0000000002b409b0, L_0000000002b414a0, L_0000000002b41eb0, L_0000000002aea1a0; +LS_0000000002b45e70_0_16 .concat8 [ 1 1 1 1], L_0000000002aea6e0, L_0000000002ae9f70, L_0000000002aea360, L_0000000002b62980; +LS_0000000002b45e70_0_20 .concat8 [ 1 1 1 1], L_0000000002b5ef50, L_0000000002b5fb90, L_0000000002b5fa40, L_0000000002b601b0; +LS_0000000002b45e70_0_24 .concat8 [ 1 1 1 1], L_0000000002b62210, L_0000000002b611e0, L_0000000002b60bc0, L_0000000002b61560; +LS_0000000002b45e70_0_28 .concat8 [ 1 1 1 1], L_0000000002b6ce10, L_0000000002b6d270, L_0000000002b6d350, L_0000000002b6cd30; +LS_0000000002b45e70_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45e70_0_0, LS_0000000002b45e70_0_4, LS_0000000002b45e70_0_8, LS_0000000002b45e70_0_12; +LS_0000000002b45e70_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45e70_0_16, LS_0000000002b45e70_0_20, LS_0000000002b45e70_0_24, LS_0000000002b45e70_0_28; +L_0000000002b45e70 .concat8 [ 16 16 0 0], LS_0000000002b45e70_1_0, LS_0000000002b45e70_1_4; +LS_0000000002b45790_0_0 .concat8 [ 1 1 1 1], L_0000000002b6c010, L_0000000002a0c8e0, L_0000000002adfad0, L_0000000002ae0390; +LS_0000000002b45790_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0a20, L_0000000002ae1510, L_0000000002ae7140, L_0000000002ae68f0; +LS_0000000002b45790_0_8 .concat8 [ 1 1 1 1], L_0000000002ae5fc0, L_0000000002ae6570, L_0000000002ae75a0, L_0000000002b41190; +LS_0000000002b45790_0_12 .concat8 [ 1 1 1 1], L_0000000002b41510, L_0000000002b401d0, L_0000000002b40780, L_0000000002aea910; +LS_0000000002b45790_0_16 .concat8 [ 1 1 1 1], L_0000000002aea130, L_0000000002ae9d40, L_0000000002aeb400, L_0000000002aeb630; +LS_0000000002b45790_0_20 .concat8 [ 1 1 1 1], L_0000000002b62520, L_0000000002b5f1f0, L_0000000002b5fea0, L_0000000002b5f420; +LS_0000000002b45790_0_24 .concat8 [ 1 1 1 1], L_0000000002b60530, L_0000000002b615d0, L_0000000002b60d10, L_0000000002b60d80; +LS_0000000002b45790_0_28 .concat8 [ 1 1 1 1], L_0000000002b61170, L_0000000002b6d200, L_0000000002b6e1c0, L_0000000002b6cb70; +LS_0000000002b45790_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45790_0_0, LS_0000000002b45790_0_4, LS_0000000002b45790_0_8, LS_0000000002b45790_0_12; +LS_0000000002b45790_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45790_0_16, LS_0000000002b45790_0_20, LS_0000000002b45790_0_24, LS_0000000002b45790_0_28; +L_0000000002b45790 .concat8 [ 16 16 0 0], LS_0000000002b45790_1_0, LS_0000000002b45790_1_4; +L_0000000002b45a10 .part v0000000002acbb80_0, 0, 1; +L_0000000002b45d30 .part L_0000000002b45c90, 0, 1; +L_0000000002b48f30 .part L_0000000002b44e30, 0, 1; +L_0000000002b49610 .part v0000000002acb9a0_0, 0, 1; +L_0000000002b49250 .part L_0000000002b45790, 30, 1; +L_0000000002b471d0 .part L_0000000002b45790, 31, 1; +L_0000000002b473b0 .part L_0000000002b45790, 31, 1; +L_0000000002b487b0 .part v0000000002a01440_0, 0, 1; +L_0000000002b483f0 .part v0000000002a01440_0, 1, 1; +L_0000000002b48350 .part v0000000002a01440_0, 0, 1; +L_0000000002b47ef0 .part v0000000002a01440_0, 1, 1; +LS_0000000002b49890_0_0 .concat8 [ 1 1 1 1], L_0000000002b6bfa0, L_0000000002b6d740, L_0000000002b6d890, L_0000000002b6cef0; +LS_0000000002b49890_0_4 .concat8 [ 1 1 1 1], L_0000000002b6d9e0, L_0000000002b6da50, L_0000000002b6dba0, L_0000000002b6df20; +LS_0000000002b49890_0_8 .concat8 [ 1 1 1 1], L_0000000002b6e3f0, L_0000000002b6df90, L_0000000002b6e070, L_0000000002b6cf60; +LS_0000000002b49890_0_12 .concat8 [ 1 1 1 1], L_0000000002b6e380, L_0000000002b6c860, L_0000000002b6e690, L_0000000002b6e700; +LS_0000000002b49890_0_16 .concat8 [ 1 1 1 1], L_0000000002b6e930, L_0000000002b6e620, L_0000000002b6e9a0, L_0000000002b6e540; +LS_0000000002b49890_0_20 .concat8 [ 1 1 1 1], L_0000000002b6e770, L_0000000002b6ea10, L_0000000002b6e4d0, L_0000000002b6e5b0; +LS_0000000002b49890_0_24 .concat8 [ 1 1 1 1], L_0000000002b6ea80, L_0000000002b6e850, L_0000000002b6e460, L_0000000002b6e7e0; +LS_0000000002b49890_0_28 .concat8 [ 1 1 1 0], L_0000000002b6e8c0, L_0000000002b6eaf0, L_0000000002b6eb60; +LS_0000000002b49890_1_0 .concat8 [ 4 4 4 4], LS_0000000002b49890_0_0, LS_0000000002b49890_0_4, LS_0000000002b49890_0_8, LS_0000000002b49890_0_12; +LS_0000000002b49890_1_4 .concat8 [ 4 4 4 3], LS_0000000002b49890_0_16, LS_0000000002b49890_0_20, LS_0000000002b49890_0_24, LS_0000000002b49890_0_28; +L_0000000002b49890 .concat8 [ 16 15 0 0], LS_0000000002b49890_1_0, LS_0000000002b49890_1_4; +L_0000000002b49430 .part L_0000000002b45e70, 0, 1; +L_0000000002b492f0 .part L_0000000002b45e70, 1, 1; +L_0000000002b47310 .part L_0000000002b49890, 30, 1; +L_0000000002b49390 .part v0000000002a01440_0, 0, 1; +L_0000000002b48670 .part v0000000002a01440_0, 1, 1; +S_000000000283eb70 .scope module, "_bit" "aluFullBit" 4 48, 5 6 0, S_0000000002847440; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a089c0_0 .net "a", 0 0, L_0000000002b45a10; 1 drivers +v0000000002a08920_0 .net "b", 0 0, L_0000000002b45d30; 1 drivers +v0000000002a08a60_0 .net "carryAND", 0 0, L_0000000002b6c010; 1 drivers +v0000000002a08b00_0 .net "cin", 0 0, L_0000000002b48f30; 1 drivers +v0000000002a08420_0 .net "ctrl0", 0 0, L_0000000002b49610; 1 drivers +v0000000002a08600_0 .net "nab", 0 0, L_0000000002b6c320; 1 drivers +v0000000002a01120_0 .net "orNOR", 0 0, L_0000000002b6c780; 1 drivers +v0000000002a023e0_0 .net "res", 0 0, L_0000000002b6b8a0; 1 drivers +v0000000002a01a80_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a016c0_0 .net "sumXOR", 0 0, L_0000000002b6c710; 1 drivers +L_0000000002b45470 .part v0000000002a01440_0, 1, 1; +L_0000000002b45510 .part v0000000002a01440_0, 0, 1; +S_000000000283ecf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000283eb70; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b6c320/d .functor NAND 1, L_0000000002b45a10, L_0000000002b45d30, C4<1>, C4<1>; +L_0000000002b6c320 .delay 1 (20000,20000,20000) L_0000000002b6c320/d; +L_0000000002b6b130/d .functor NAND 1, L_0000000002b45d30, L_0000000002b48f30, C4<1>, C4<1>; +L_0000000002b6b130 .delay 1 (20000,20000,20000) L_0000000002b6b130/d; +L_0000000002b6ac60/d .functor NAND 1, L_0000000002b45a10, L_0000000002b48f30, C4<1>, C4<1>; +L_0000000002b6ac60 .delay 1 (20000,20000,20000) L_0000000002b6ac60/d; +L_0000000002b6c010/d .functor NAND 1, L_0000000002b6c320, L_0000000002b6ac60, L_0000000002b6b130, C4<1>; +L_0000000002b6c010 .delay 1 (30000,30000,30000) L_0000000002b6c010/d; +L_0000000002b6c710/d .functor XOR 1, L_0000000002b45a10, L_0000000002b45d30, L_0000000002b48f30, C4<0>; +L_0000000002b6c710 .delay 1 (30000,30000,30000) L_0000000002b6c710/d; +L_0000000002b6ba60/d .functor NOR 1, L_0000000002b45a10, L_0000000002b45d30, C4<0>, C4<0>; +L_0000000002b6ba60 .delay 1 (20000,20000,20000) L_0000000002b6ba60/d; +L_0000000002b6c780/d .functor XOR 1, L_0000000002b6ba60, L_0000000002b49610, C4<0>, C4<0>; +L_0000000002b6c780 .delay 1 (20000,20000,20000) L_0000000002b6c780/d; +v0000000002a05c20_0 .net "a", 0 0, L_0000000002b45a10; alias, 1 drivers +v0000000002a06a80_0 .net "anorb", 0 0, L_0000000002b6ba60; 1 drivers +v0000000002a082e0_0 .net "b", 0 0, L_0000000002b45d30; alias, 1 drivers +v0000000002a078e0_0 .net "carryAND", 0 0, L_0000000002b6c010; alias, 1 drivers +v0000000002a08380_0 .net "carryin", 0 0, L_0000000002b48f30; alias, 1 drivers +v0000000002a07de0_0 .net "i0", 0 0, L_0000000002b49610; alias, 1 drivers +v0000000002a075c0_0 .net "nab", 0 0, L_0000000002b6c320; alias, 1 drivers +v0000000002a064e0_0 .net "nac", 0 0, L_0000000002b6ac60; 1 drivers +v0000000002a06580_0 .net "nbc", 0 0, L_0000000002b6b130; 1 drivers +v0000000002a06b20_0 .net "orNOR", 0 0, L_0000000002b6c780; alias, 1 drivers +v0000000002a06620_0 .net "sumXOR", 0 0, L_0000000002b6c710; alias, 1 drivers +S_00000000028365f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000283eb70; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b6bc90/d .functor NOT 1, L_0000000002b45510, C4<0>, C4<0>, C4<0>; +L_0000000002b6bc90 .delay 1 (10000,10000,10000) L_0000000002b6bc90/d; +L_0000000002b6bbb0/d .functor NOT 1, L_0000000002b45470, C4<0>, C4<0>, C4<0>; +L_0000000002b6bbb0 .delay 1 (10000,10000,10000) L_0000000002b6bbb0/d; +L_0000000002b6b3d0/d .functor NAND 1, L_0000000002b45470, L_0000000002b45510, L_0000000002b6c710, C4<1>; +L_0000000002b6b3d0 .delay 1 (30000,30000,30000) L_0000000002b6b3d0/d; +L_0000000002b6b980/d .functor NAND 1, L_0000000002b45470, L_0000000002b6bc90, L_0000000002b6c780, C4<1>; +L_0000000002b6b980 .delay 1 (30000,30000,30000) L_0000000002b6b980/d; +L_0000000002b6bd00/d .functor NAND 1, L_0000000002b6bbb0, L_0000000002b45510, L_0000000002b6c010, C4<1>; +L_0000000002b6bd00 .delay 1 (30000,30000,30000) L_0000000002b6bd00/d; +L_0000000002b6b4b0/d .functor NAND 1, L_0000000002b6bbb0, L_0000000002b6bc90, L_0000000002b6c320, C4<1>; +L_0000000002b6b4b0 .delay 1 (30000,30000,30000) L_0000000002b6b4b0/d; +L_0000000002b6b8a0/d .functor NAND 1, L_0000000002b6b3d0, L_0000000002b6b980, L_0000000002b6bd00, L_0000000002b6b4b0; +L_0000000002b6b8a0 .delay 1 (40000,40000,40000) L_0000000002b6b8a0/d; +v0000000002a06760_0 .net "a", 0 0, L_0000000002b6c710; alias, 1 drivers +v0000000002a07d40_0 .net "aout", 0 0, L_0000000002b6b3d0; 1 drivers +v0000000002a07e80_0 .net "b", 0 0, L_0000000002b6c780; alias, 1 drivers +v0000000002a06800_0 .net "bout", 0 0, L_0000000002b6b980; 1 drivers +v0000000002a06bc0_0 .net "c", 0 0, L_0000000002b6c010; alias, 1 drivers +v0000000002a06d00_0 .net "cout", 0 0, L_0000000002b6bd00; 1 drivers +v0000000002a06ee0_0 .net "d", 0 0, L_0000000002b6c320; alias, 1 drivers +v0000000002a08740_0 .net "dout", 0 0, L_0000000002b6b4b0; 1 drivers +v0000000002a087e0_0 .net "ns0", 0 0, L_0000000002b6bc90; 1 drivers +v0000000002a086a0_0 .net "ns1", 0 0, L_0000000002b6bbb0; 1 drivers +v0000000002a08560_0 .net "out", 0 0, L_0000000002b6b8a0; alias, 1 drivers +v0000000002a08880_0 .net "s0", 0 0, L_0000000002b45510; 1 drivers +v0000000002a084c0_0 .net "s1", 0 0, L_0000000002b45470; 1 drivers +S_0000000002836770 .scope module, "_lut" "ALULut" 4 39, 8 13 0, S_0000000002847440; + .timescale -9 -12; + .port_info 0 /OUTPUT 2 "select" + .port_info 1 /OUTPUT 1 "invert" + .port_info 2 /OUTPUT 1 "carry" + .port_info 3 /INPUT 3 "operation" +v0000000002a01300_0 .var "carry", 0 0; +v0000000002a02840_0 .var "invert", 0 0; +v0000000002a022a0_0 .net "operation", 2 0, v0000000002acb9a0_0; alias, 1 drivers +v0000000002a01440_0 .var "select", 1 0; +E_00000000029f63e0 .event edge, v0000000002a022a0_0; +S_00000000008ee880 .scope generate, "aluBits[1]" "aluBits[1]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f5ee0 .param/l "i" 0 4 52, +C4<01>; +L_0000000002a0cdb0/d .functor XOR 1, L_0000000002accda0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002a0cdb0 .delay 1 (50000,50000,50000) L_0000000002a0cdb0/d; +L_0000000002a0c410/d .functor AND 1, v0000000002a01300_0, L_0000000002acb7c0, C4<1>, C4<1>; +L_0000000002a0c410 .delay 1 (30000,30000,30000) L_0000000002a0c410/d; +v0000000002a03380_0 .net *"_s1", 0 0, L_0000000002accda0; 1 drivers +v0000000002a01d00_0 .net *"_s3", 0 0, L_0000000002acb7c0; 1 drivers +S_00000000008eea00 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008ee880; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a00e00_0 .net "a", 0 0, L_0000000002acbfe0; 1 drivers +v0000000002a027a0_0 .net "b", 0 0, L_0000000002acad20; 1 drivers +v0000000002a01c60_0 .net "carryAND", 0 0, L_0000000002a0c8e0; 1 drivers +v0000000002a01940_0 .net "cin", 0 0, L_0000000002acb860; 1 drivers +v0000000002a03100_0 .net "ctrl0", 0 0, L_0000000002acbf40; 1 drivers +v0000000002a02e80_0 .net "nab", 0 0, L_0000000002a0c480; 1 drivers +v0000000002a028e0_0 .net "orNOR", 0 0, L_0000000002a0cb80; 1 drivers +v0000000002a01580_0 .net "res", 0 0, L_0000000002ae0b00; 1 drivers +v0000000002a032e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a02a20_0 .net "sumXOR", 0 0, L_0000000002a0c950; 1 drivers +L_0000000002acbea0 .part v0000000002a01440_0, 1, 1; +L_0000000002acb400 .part v0000000002a01440_0, 0, 1; +S_0000000002848890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008eea00; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002a0c480/d .functor NAND 1, L_0000000002acbfe0, L_0000000002acad20, C4<1>, C4<1>; +L_0000000002a0c480 .delay 1 (20000,20000,20000) L_0000000002a0c480/d; +L_0000000002a0c6b0/d .functor NAND 1, L_0000000002acad20, L_0000000002acb860, C4<1>, C4<1>; +L_0000000002a0c6b0 .delay 1 (20000,20000,20000) L_0000000002a0c6b0/d; +L_0000000002a0c870/d .functor NAND 1, L_0000000002acbfe0, L_0000000002acb860, C4<1>, C4<1>; +L_0000000002a0c870 .delay 1 (20000,20000,20000) L_0000000002a0c870/d; +L_0000000002a0c8e0/d .functor NAND 1, L_0000000002a0c480, L_0000000002a0c870, L_0000000002a0c6b0, C4<1>; +L_0000000002a0c8e0 .delay 1 (30000,30000,30000) L_0000000002a0c8e0/d; +L_0000000002a0c950/d .functor XOR 1, L_0000000002acbfe0, L_0000000002acad20, L_0000000002acb860, C4<0>; +L_0000000002a0c950 .delay 1 (30000,30000,30000) L_0000000002a0c950/d; +L_0000000002a0cb10/d .functor NOR 1, L_0000000002acbfe0, L_0000000002acad20, C4<0>, C4<0>; +L_0000000002a0cb10 .delay 1 (20000,20000,20000) L_0000000002a0cb10/d; +L_0000000002a0cb80/d .functor XOR 1, L_0000000002a0cb10, L_0000000002acbf40, C4<0>, C4<0>; +L_0000000002a0cb80 .delay 1 (20000,20000,20000) L_0000000002a0cb80/d; +v0000000002a01080_0 .net "a", 0 0, L_0000000002acbfe0; alias, 1 drivers +v0000000002a03240_0 .net "anorb", 0 0, L_0000000002a0cb10; 1 drivers +v0000000002a02de0_0 .net "b", 0 0, L_0000000002acad20; alias, 1 drivers +v0000000002a011c0_0 .net "carryAND", 0 0, L_0000000002a0c8e0; alias, 1 drivers +v0000000002a02ca0_0 .net "carryin", 0 0, L_0000000002acb860; alias, 1 drivers +v0000000002a02980_0 .net "i0", 0 0, L_0000000002acbf40; alias, 1 drivers +v0000000002a01760_0 .net "nab", 0 0, L_0000000002a0c480; alias, 1 drivers +v0000000002a01800_0 .net "nac", 0 0, L_0000000002a0c870; 1 drivers +v0000000002a031a0_0 .net "nbc", 0 0, L_0000000002a0c6b0; 1 drivers +v0000000002a00cc0_0 .net "orNOR", 0 0, L_0000000002a0cb80; alias, 1 drivers +v0000000002a02340_0 .net "sumXOR", 0 0, L_0000000002a0c950; alias, 1 drivers +S_0000000002848a10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008eea00; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002a0cbf0/d .functor NOT 1, L_0000000002acb400, C4<0>, C4<0>, C4<0>; +L_0000000002a0cbf0 .delay 1 (10000,10000,10000) L_0000000002a0cbf0/d; +L_0000000002a0cc60/d .functor NOT 1, L_0000000002acbea0, C4<0>, C4<0>, C4<0>; +L_0000000002a0cc60 .delay 1 (10000,10000,10000) L_0000000002a0cc60/d; +L_0000000002a0ccd0/d .functor NAND 1, L_0000000002acbea0, L_0000000002acb400, L_0000000002a0c950, C4<1>; +L_0000000002a0ccd0 .delay 1 (30000,30000,30000) L_0000000002a0ccd0/d; +L_0000000002ae0ef0/d .functor NAND 1, L_0000000002acbea0, L_0000000002a0cbf0, L_0000000002a0cb80, C4<1>; +L_0000000002ae0ef0 .delay 1 (30000,30000,30000) L_0000000002ae0ef0/d; +L_0000000002adfec0/d .functor NAND 1, L_0000000002a0cc60, L_0000000002acb400, L_0000000002a0c8e0, C4<1>; +L_0000000002adfec0 .delay 1 (30000,30000,30000) L_0000000002adfec0/d; +L_0000000002ae0cc0/d .functor NAND 1, L_0000000002a0cc60, L_0000000002a0cbf0, L_0000000002a0c480, C4<1>; +L_0000000002ae0cc0 .delay 1 (30000,30000,30000) L_0000000002ae0cc0/d; +L_0000000002ae0b00/d .functor NAND 1, L_0000000002a0ccd0, L_0000000002ae0ef0, L_0000000002adfec0, L_0000000002ae0cc0; +L_0000000002ae0b00 .delay 1 (40000,40000,40000) L_0000000002ae0b00/d; +v0000000002a02020_0 .net "a", 0 0, L_0000000002a0c950; alias, 1 drivers +v0000000002a02480_0 .net "aout", 0 0, L_0000000002a0ccd0; 1 drivers +v0000000002a00c20_0 .net "b", 0 0, L_0000000002a0cb80; alias, 1 drivers +v0000000002a00ea0_0 .net "bout", 0 0, L_0000000002ae0ef0; 1 drivers +v0000000002a02200_0 .net "c", 0 0, L_0000000002a0c8e0; alias, 1 drivers +v0000000002a01260_0 .net "cout", 0 0, L_0000000002adfec0; 1 drivers +v0000000002a02660_0 .net "d", 0 0, L_0000000002a0c480; alias, 1 drivers +v0000000002a018a0_0 .net "dout", 0 0, L_0000000002ae0cc0; 1 drivers +v0000000002a00d60_0 .net "ns0", 0 0, L_0000000002a0cbf0; 1 drivers +v0000000002a02520_0 .net "ns1", 0 0, L_0000000002a0cc60; 1 drivers +v0000000002a02f20_0 .net "out", 0 0, L_0000000002ae0b00; alias, 1 drivers +v0000000002a025c0_0 .net "s0", 0 0, L_0000000002acb400; 1 drivers +v0000000002a02700_0 .net "s1", 0 0, L_0000000002acbea0; 1 drivers +S_00000000008e6820 .scope generate, "aluBits[2]" "aluBits[2]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f66a0 .param/l "i" 0 4 52, +C4<010>; +L_0000000002adfa60/d .functor XOR 1, L_0000000002acaa00, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002adfa60 .delay 1 (50000,50000,50000) L_0000000002adfa60/d; +L_0000000002ae02b0/d .functor AND 1, v0000000002a01300_0, L_0000000002acc440, C4<1>, C4<1>; +L_0000000002ae02b0 .delay 1 (30000,30000,30000) L_0000000002ae02b0/d; +v00000000029cb0d0_0 .net *"_s1", 0 0, L_0000000002acaa00; 1 drivers +v00000000029cb3f0_0 .net *"_s3", 0 0, L_0000000002acc440; 1 drivers +S_00000000008e69a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008e6820; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v00000000029c9a50_0 .net "a", 0 0, L_0000000002accf80; 1 drivers +v00000000029c9410_0 .net "b", 0 0, L_0000000002acaf00; 1 drivers +v00000000029ca590_0 .net "carryAND", 0 0, L_0000000002adfad0; 1 drivers +v00000000029c9f50_0 .net "cin", 0 0, L_0000000002acb5e0; 1 drivers +v00000000029cac70_0 .net "ctrl0", 0 0, L_0000000002acc4e0; 1 drivers +v00000000029c94b0_0 .net "nab", 0 0, L_0000000002adfd70; 1 drivers +v00000000029c9b90_0 .net "orNOR", 0 0, L_0000000002adfbb0; 1 drivers +v00000000029cae50_0 .net "res", 0 0, L_0000000002ae1120; 1 drivers +v00000000029c8790_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v00000000029cbd50_0 .net "sumXOR", 0 0, L_0000000002ae0160; 1 drivers +L_0000000002acb540 .part v0000000002a01440_0, 1, 1; +L_0000000002acb900 .part v0000000002a01440_0, 0, 1; +S_0000000002a861e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008e69a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002adfd70/d .functor NAND 1, L_0000000002accf80, L_0000000002acaf00, C4<1>, C4<1>; +L_0000000002adfd70 .delay 1 (20000,20000,20000) L_0000000002adfd70/d; +L_0000000002ae0860/d .functor NAND 1, L_0000000002acaf00, L_0000000002acb5e0, C4<1>, C4<1>; +L_0000000002ae0860 .delay 1 (20000,20000,20000) L_0000000002ae0860/d; +L_0000000002ae0e80/d .functor NAND 1, L_0000000002accf80, L_0000000002acb5e0, C4<1>, C4<1>; +L_0000000002ae0e80 .delay 1 (20000,20000,20000) L_0000000002ae0e80/d; +L_0000000002adfad0/d .functor NAND 1, L_0000000002adfd70, L_0000000002ae0e80, L_0000000002ae0860, C4<1>; +L_0000000002adfad0 .delay 1 (30000,30000,30000) L_0000000002adfad0/d; +L_0000000002ae0160/d .functor XOR 1, L_0000000002accf80, L_0000000002acaf00, L_0000000002acb5e0, C4<0>; +L_0000000002ae0160 .delay 1 (30000,30000,30000) L_0000000002ae0160/d; +L_0000000002adfb40/d .functor NOR 1, L_0000000002accf80, L_0000000002acaf00, C4<0>, C4<0>; +L_0000000002adfb40 .delay 1 (20000,20000,20000) L_0000000002adfb40/d; +L_0000000002adfbb0/d .functor XOR 1, L_0000000002adfb40, L_0000000002acc4e0, C4<0>, C4<0>; +L_0000000002adfbb0 .delay 1 (20000,20000,20000) L_0000000002adfbb0/d; +v0000000002a019e0_0 .net "a", 0 0, L_0000000002accf80; alias, 1 drivers +v0000000002a01620_0 .net "anorb", 0 0, L_0000000002adfb40; 1 drivers +v0000000002a020c0_0 .net "b", 0 0, L_0000000002acaf00; alias, 1 drivers +v0000000002a02ac0_0 .net "carryAND", 0 0, L_0000000002adfad0; alias, 1 drivers +v0000000002a02b60_0 .net "carryin", 0 0, L_0000000002acb5e0; alias, 1 drivers +v0000000002a01b20_0 .net "i0", 0 0, L_0000000002acc4e0; alias, 1 drivers +v0000000002a00f40_0 .net "nab", 0 0, L_0000000002adfd70; alias, 1 drivers +v0000000002a02c00_0 .net "nac", 0 0, L_0000000002ae0e80; 1 drivers +v0000000002a02160_0 .net "nbc", 0 0, L_0000000002ae0860; 1 drivers +v0000000002a01e40_0 .net "orNOR", 0 0, L_0000000002adfbb0; alias, 1 drivers +v0000000002a02d40_0 .net "sumXOR", 0 0, L_0000000002ae0160; alias, 1 drivers +S_0000000002a86360 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008e69a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae0630/d .functor NOT 1, L_0000000002acb900, C4<0>, C4<0>, C4<0>; +L_0000000002ae0630 .delay 1 (10000,10000,10000) L_0000000002ae0630/d; +L_0000000002ae0e10/d .functor NOT 1, L_0000000002acb540, C4<0>, C4<0>, C4<0>; +L_0000000002ae0e10 .delay 1 (10000,10000,10000) L_0000000002ae0e10/d; +L_0000000002ae0320/d .functor NAND 1, L_0000000002acb540, L_0000000002acb900, L_0000000002ae0160, C4<1>; +L_0000000002ae0320 .delay 1 (30000,30000,30000) L_0000000002ae0320/d; +L_0000000002ae1270/d .functor NAND 1, L_0000000002acb540, L_0000000002ae0630, L_0000000002adfbb0, C4<1>; +L_0000000002ae1270 .delay 1 (30000,30000,30000) L_0000000002ae1270/d; +L_0000000002adfc90/d .functor NAND 1, L_0000000002ae0e10, L_0000000002acb900, L_0000000002adfad0, C4<1>; +L_0000000002adfc90 .delay 1 (30000,30000,30000) L_0000000002adfc90/d; +L_0000000002adfc20/d .functor NAND 1, L_0000000002ae0e10, L_0000000002ae0630, L_0000000002adfd70, C4<1>; +L_0000000002adfc20 .delay 1 (30000,30000,30000) L_0000000002adfc20/d; +L_0000000002ae1120/d .functor NAND 1, L_0000000002ae0320, L_0000000002ae1270, L_0000000002adfc90, L_0000000002adfc20; +L_0000000002ae1120 .delay 1 (40000,40000,40000) L_0000000002ae1120/d; +v0000000002a00fe0_0 .net "a", 0 0, L_0000000002ae0160; alias, 1 drivers +v0000000002a02fc0_0 .net "aout", 0 0, L_0000000002ae0320; 1 drivers +v0000000002a03060_0 .net "b", 0 0, L_0000000002adfbb0; alias, 1 drivers +v0000000002a01da0_0 .net "bout", 0 0, L_0000000002ae1270; 1 drivers +v0000000002a013a0_0 .net "c", 0 0, L_0000000002adfad0; alias, 1 drivers +v0000000002a014e0_0 .net "cout", 0 0, L_0000000002adfc90; 1 drivers +v0000000002a01ee0_0 .net "d", 0 0, L_0000000002adfd70; alias, 1 drivers +v0000000002a01bc0_0 .net "dout", 0 0, L_0000000002adfc20; 1 drivers +v0000000002a01f80_0 .net "ns0", 0 0, L_0000000002ae0630; 1 drivers +v00000000029cff90_0 .net "ns1", 0 0, L_0000000002ae0e10; 1 drivers +v00000000029d02b0_0 .net "out", 0 0, L_0000000002ae1120; alias, 1 drivers +v00000000029d00d0_0 .net "s0", 0 0, L_0000000002acb900; 1 drivers +v00000000029ca310_0 .net "s1", 0 0, L_0000000002acb540; 1 drivers +S_0000000002a864e0 .scope generate, "aluBits[3]" "aluBits[3]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7de0 .param/l "i" 0 4 52, +C4<011>; +L_0000000002ae09b0/d .functor XOR 1, L_0000000002acc620, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae09b0 .delay 1 (50000,50000,50000) L_0000000002ae09b0/d; +L_0000000002adfe50/d .functor AND 1, v0000000002a01300_0, L_0000000002acc760, C4<1>, C4<1>; +L_0000000002adfe50 .delay 1 (30000,30000,30000) L_0000000002adfe50/d; +v0000000002973ac0_0 .net *"_s1", 0 0, L_0000000002acc620; 1 drivers +v0000000002974060_0 .net *"_s3", 0 0, L_0000000002acc760; 1 drivers +S_0000000002a86060 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a864e0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002973020_0 .net "a", 0 0, L_0000000002accbc0; 1 drivers +v00000000029723a0_0 .net "b", 0 0, L_0000000002acadc0; 1 drivers +v00000000029735c0_0 .net "carryAND", 0 0, L_0000000002ae0390; 1 drivers +v0000000002972620_0 .net "cin", 0 0, L_0000000002acc800; 1 drivers +v0000000002973980_0 .net "ctrl0", 0 0, L_0000000002acaaa0; 1 drivers +v0000000002972800_0 .net "nab", 0 0, L_0000000002ae1040; 1 drivers +v0000000002971720_0 .net "orNOR", 0 0, L_0000000002adfd00; 1 drivers +v0000000002972c60_0 .net "res", 0 0, L_0000000002ae0010; 1 drivers +v0000000002972d00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002975000_0 .net "sumXOR", 0 0, L_0000000002ae0a90; 1 drivers +L_0000000002acd020 .part v0000000002a01440_0, 1, 1; +L_0000000002acbd60 .part v0000000002a01440_0, 0, 1; +S_0000000002a86960 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a86060; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae1040/d .functor NAND 1, L_0000000002accbc0, L_0000000002acadc0, C4<1>, C4<1>; +L_0000000002ae1040 .delay 1 (20000,20000,20000) L_0000000002ae1040/d; +L_0000000002adf9f0/d .functor NAND 1, L_0000000002acadc0, L_0000000002acc800, C4<1>, C4<1>; +L_0000000002adf9f0 .delay 1 (20000,20000,20000) L_0000000002adf9f0/d; +L_0000000002adffa0/d .functor NAND 1, L_0000000002accbc0, L_0000000002acc800, C4<1>, C4<1>; +L_0000000002adffa0 .delay 1 (20000,20000,20000) L_0000000002adffa0/d; +L_0000000002ae0390/d .functor NAND 1, L_0000000002ae1040, L_0000000002adffa0, L_0000000002adf9f0, C4<1>; +L_0000000002ae0390 .delay 1 (30000,30000,30000) L_0000000002ae0390/d; +L_0000000002ae0a90/d .functor XOR 1, L_0000000002accbc0, L_0000000002acadc0, L_0000000002acc800, C4<0>; +L_0000000002ae0a90 .delay 1 (30000,30000,30000) L_0000000002ae0a90/d; +L_0000000002ae10b0/d .functor NOR 1, L_0000000002accbc0, L_0000000002acadc0, C4<0>, C4<0>; +L_0000000002ae10b0 .delay 1 (20000,20000,20000) L_0000000002ae10b0/d; +L_0000000002adfd00/d .functor XOR 1, L_0000000002ae10b0, L_0000000002acaaa0, C4<0>, C4<0>; +L_0000000002adfd00 .delay 1 (20000,20000,20000) L_0000000002adfd00/d; +v00000000029cc1b0_0 .net "a", 0 0, L_0000000002accbc0; alias, 1 drivers +v00000000029ccd90_0 .net "anorb", 0 0, L_0000000002ae10b0; 1 drivers +v00000000029cc430_0 .net "b", 0 0, L_0000000002acadc0; alias, 1 drivers +v00000000029cced0_0 .net "carryAND", 0 0, L_0000000002ae0390; alias, 1 drivers +v00000000029cc4d0_0 .net "carryin", 0 0, L_0000000002acc800; alias, 1 drivers +v00000000029cc750_0 .net "i0", 0 0, L_0000000002acaaa0; alias, 1 drivers +v00000000029cd0b0_0 .net "nab", 0 0, L_0000000002ae1040; alias, 1 drivers +v00000000029cf130_0 .net "nac", 0 0, L_0000000002adffa0; 1 drivers +v00000000029cf270_0 .net "nbc", 0 0, L_0000000002adf9f0; 1 drivers +v00000000029cd830_0 .net "orNOR", 0 0, L_0000000002adfd00; alias, 1 drivers +v00000000029cdb50_0 .net "sumXOR", 0 0, L_0000000002ae0a90; alias, 1 drivers +S_0000000002a86ae0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a86060; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae08d0/d .functor NOT 1, L_0000000002acbd60, C4<0>, C4<0>, C4<0>; +L_0000000002ae08d0 .delay 1 (10000,10000,10000) L_0000000002ae08d0/d; +L_0000000002adff30/d .functor NOT 1, L_0000000002acd020, C4<0>, C4<0>, C4<0>; +L_0000000002adff30 .delay 1 (10000,10000,10000) L_0000000002adff30/d; +L_0000000002ae13c0/d .functor NAND 1, L_0000000002acd020, L_0000000002acbd60, L_0000000002ae0a90, C4<1>; +L_0000000002ae13c0 .delay 1 (30000,30000,30000) L_0000000002ae13c0/d; +L_0000000002ae0400/d .functor NAND 1, L_0000000002acd020, L_0000000002ae08d0, L_0000000002adfd00, C4<1>; +L_0000000002ae0400 .delay 1 (30000,30000,30000) L_0000000002ae0400/d; +L_0000000002adfde0/d .functor NAND 1, L_0000000002adff30, L_0000000002acbd60, L_0000000002ae0390, C4<1>; +L_0000000002adfde0 .delay 1 (30000,30000,30000) L_0000000002adfde0/d; +L_0000000002ae0940/d .functor NAND 1, L_0000000002adff30, L_0000000002ae08d0, L_0000000002ae1040, C4<1>; +L_0000000002ae0940 .delay 1 (30000,30000,30000) L_0000000002ae0940/d; +L_0000000002ae0010/d .functor NAND 1, L_0000000002ae13c0, L_0000000002ae0400, L_0000000002adfde0, L_0000000002ae0940; +L_0000000002ae0010 .delay 1 (40000,40000,40000) L_0000000002ae0010/d; +v00000000029cf310_0 .net "a", 0 0, L_0000000002ae0a90; alias, 1 drivers +v00000000029cf4f0_0 .net "aout", 0 0, L_0000000002ae13c0; 1 drivers +v00000000029cecd0_0 .net "b", 0 0, L_0000000002adfd00; alias, 1 drivers +v00000000029cdd30_0 .net "bout", 0 0, L_0000000002ae0400; 1 drivers +v00000000029cfb30_0 .net "c", 0 0, L_0000000002ae0390; alias, 1 drivers +v00000000029ce7d0_0 .net "cout", 0 0, L_0000000002adfde0; 1 drivers +v00000000029cfc70_0 .net "d", 0 0, L_0000000002ae1040; alias, 1 drivers +v00000000029cd8d0_0 .net "dout", 0 0, L_0000000002ae0940; 1 drivers +v00000000029ce370_0 .net "ns0", 0 0, L_0000000002ae08d0; 1 drivers +v00000000029cdfb0_0 .net "ns1", 0 0, L_0000000002adff30; 1 drivers +v00000000029ce050_0 .net "out", 0 0, L_0000000002ae0010; alias, 1 drivers +v00000000029ce910_0 .net "s0", 0 0, L_0000000002acbd60; 1 drivers +v0000000002973480_0 .net "s1", 0 0, L_0000000002acd020; 1 drivers +S_0000000002a86de0 .scope generate, "aluBits[4]" "aluBits[4]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8420 .param/l "i" 0 4 52, +C4<0100>; +L_0000000002ae0f60/d .functor XOR 1, L_0000000002acce40, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae0f60 .delay 1 (50000,50000,50000) L_0000000002ae0f60/d; +L_0000000002ae0080/d .functor AND 1, v0000000002a01300_0, L_0000000002accee0, C4<1>, C4<1>; +L_0000000002ae0080 .delay 1 (30000,30000,30000) L_0000000002ae0080/d; +v000000000299e4b0_0 .net *"_s1", 0 0, L_0000000002acce40; 1 drivers +v000000000299f090_0 .net *"_s3", 0 0, L_0000000002accee0; 1 drivers +S_0000000002a86c60 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a86de0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v00000000029c09f0_0 .net "a", 0 0, L_0000000002acd0c0; 1 drivers +v00000000029be010_0 .net "b", 0 0, L_0000000002aca960; 1 drivers +v00000000029bf690_0 .net "carryAND", 0 0, L_0000000002ae0a20; 1 drivers +v00000000029bfe10_0 .net "cin", 0 0, L_0000000002acc9e0; 1 drivers +v00000000029bdcf0_0 .net "ctrl0", 0 0, L_0000000002acca80; 1 drivers +v00000000029be470_0 .net "nab", 0 0, L_0000000002ae0fd0; 1 drivers +v00000000029bed30_0 .net "orNOR", 0 0, L_0000000002ae0470; 1 drivers +v00000000029bf0f0_0 .net "res", 0 0, L_0000000002adf980; 1 drivers +v00000000029bf190_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v000000000299e410_0 .net "sumXOR", 0 0, L_0000000002ae1350; 1 drivers +L_0000000002acc8a0 .part v0000000002a01440_0, 1, 1; +L_0000000002acbe00 .part v0000000002a01440_0, 0, 1; +S_0000000002a86660 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a86c60; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae0fd0/d .functor NAND 1, L_0000000002acd0c0, L_0000000002aca960, C4<1>, C4<1>; +L_0000000002ae0fd0 .delay 1 (20000,20000,20000) L_0000000002ae0fd0/d; +L_0000000002ae0be0/d .functor NAND 1, L_0000000002aca960, L_0000000002acc9e0, C4<1>, C4<1>; +L_0000000002ae0be0 .delay 1 (20000,20000,20000) L_0000000002ae0be0/d; +L_0000000002ae00f0/d .functor NAND 1, L_0000000002acd0c0, L_0000000002acc9e0, C4<1>, C4<1>; +L_0000000002ae00f0 .delay 1 (20000,20000,20000) L_0000000002ae00f0/d; +L_0000000002ae0a20/d .functor NAND 1, L_0000000002ae0fd0, L_0000000002ae00f0, L_0000000002ae0be0, C4<1>; +L_0000000002ae0a20 .delay 1 (30000,30000,30000) L_0000000002ae0a20/d; +L_0000000002ae1350/d .functor XOR 1, L_0000000002acd0c0, L_0000000002aca960, L_0000000002acc9e0, C4<0>; +L_0000000002ae1350 .delay 1 (30000,30000,30000) L_0000000002ae1350/d; +L_0000000002ae06a0/d .functor NOR 1, L_0000000002acd0c0, L_0000000002aca960, C4<0>, C4<0>; +L_0000000002ae06a0 .delay 1 (20000,20000,20000) L_0000000002ae06a0/d; +L_0000000002ae0470/d .functor XOR 1, L_0000000002ae06a0, L_0000000002acca80, C4<0>, C4<0>; +L_0000000002ae0470 .delay 1 (20000,20000,20000) L_0000000002ae0470/d; +v00000000029750a0_0 .net "a", 0 0, L_0000000002acd0c0; alias, 1 drivers +v0000000002974600_0 .net "anorb", 0 0, L_0000000002ae06a0; 1 drivers +v0000000002974ba0_0 .net "b", 0 0, L_0000000002aca960; alias, 1 drivers +v00000000029b1680_0 .net "carryAND", 0 0, L_0000000002ae0a20; alias, 1 drivers +v00000000029b1720_0 .net "carryin", 0 0, L_0000000002acc9e0; alias, 1 drivers +v00000000029b1ae0_0 .net "i0", 0 0, L_0000000002acca80; alias, 1 drivers +v00000000029b1d60_0 .net "nab", 0 0, L_0000000002ae0fd0; alias, 1 drivers +v00000000029b23a0_0 .net "nac", 0 0, L_0000000002ae00f0; 1 drivers +v00000000029b0dc0_0 .net "nbc", 0 0, L_0000000002ae0be0; 1 drivers +v00000000029b0640_0 .net "orNOR", 0 0, L_0000000002ae0470; alias, 1 drivers +v00000000029b0960_0 .net "sumXOR", 0 0, L_0000000002ae1350; alias, 1 drivers +S_0000000002a867e0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a86c60; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae01d0/d .functor NOT 1, L_0000000002acbe00, C4<0>, C4<0>, C4<0>; +L_0000000002ae01d0 .delay 1 (10000,10000,10000) L_0000000002ae01d0/d; +L_0000000002ae0240/d .functor NOT 1, L_0000000002acc8a0, C4<0>, C4<0>, C4<0>; +L_0000000002ae0240 .delay 1 (10000,10000,10000) L_0000000002ae0240/d; +L_0000000002ae04e0/d .functor NAND 1, L_0000000002acc8a0, L_0000000002acbe00, L_0000000002ae1350, C4<1>; +L_0000000002ae04e0 .delay 1 (30000,30000,30000) L_0000000002ae04e0/d; +L_0000000002ae0b70/d .functor NAND 1, L_0000000002acc8a0, L_0000000002ae01d0, L_0000000002ae0470, C4<1>; +L_0000000002ae0b70 .delay 1 (30000,30000,30000) L_0000000002ae0b70/d; +L_0000000002ae1190/d .functor NAND 1, L_0000000002ae0240, L_0000000002acbe00, L_0000000002ae0a20, C4<1>; +L_0000000002ae1190 .delay 1 (30000,30000,30000) L_0000000002ae1190/d; +L_0000000002ae0c50/d .functor NAND 1, L_0000000002ae0240, L_0000000002ae01d0, L_0000000002ae0fd0, C4<1>; +L_0000000002ae0c50 .delay 1 (30000,30000,30000) L_0000000002ae0c50/d; +L_0000000002adf980/d .functor NAND 1, L_0000000002ae04e0, L_0000000002ae0b70, L_0000000002ae1190, L_0000000002ae0c50; +L_0000000002adf980 .delay 1 (40000,40000,40000) L_0000000002adf980/d; +v00000000029afe20_0 .net "a", 0 0, L_0000000002ae1350; alias, 1 drivers +v00000000029aeb60_0 .net "aout", 0 0, L_0000000002ae04e0; 1 drivers +v00000000029af380_0 .net "b", 0 0, L_0000000002ae0470; alias, 1 drivers +v00000000029aff60_0 .net "bout", 0 0, L_0000000002ae0b70; 1 drivers +v00000000029b0e60_0 .net "c", 0 0, L_0000000002ae0a20; alias, 1 drivers +v00000000029aeac0_0 .net "cout", 0 0, L_0000000002ae1190; 1 drivers +v00000000029afec0_0 .net "d", 0 0, L_0000000002ae0fd0; alias, 1 drivers +v00000000029aeca0_0 .net "dout", 0 0, L_0000000002ae0c50; 1 drivers +v00000000029c0d10_0 .net "ns0", 0 0, L_0000000002ae01d0; 1 drivers +v00000000029c15d0_0 .net "ns1", 0 0, L_0000000002ae0240; 1 drivers +v00000000029c03b0_0 .net "out", 0 0, L_0000000002adf980; alias, 1 drivers +v00000000029c04f0_0 .net "s0", 0 0, L_0000000002acbe00; 1 drivers +v00000000029c0810_0 .net "s1", 0 0, L_0000000002acc8a0; 1 drivers +S_0000000002a87f70 .scope generate, "aluBits[5]" "aluBits[5]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7d60 .param/l "i" 0 4 52, +C4<0101>; +L_0000000002ae0710/d .functor XOR 1, L_0000000002acabe0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae0710 .delay 1 (50000,50000,50000) L_0000000002ae0710/d; +L_0000000002ae0550/d .functor AND 1, v0000000002a01300_0, L_0000000002acae60, C4<1>, C4<1>; +L_0000000002ae0550 .delay 1 (30000,30000,30000) L_0000000002ae0550/d; +v0000000002945760_0 .net *"_s1", 0 0, L_0000000002acabe0; 1 drivers +v0000000002944ea0_0 .net *"_s3", 0 0, L_0000000002acae60; 1 drivers +S_0000000002a883f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87f70; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v000000000295b1e0_0 .net "a", 0 0, L_0000000002acafa0; 1 drivers +v000000000296cdc0_0 .net "b", 0 0, L_0000000002acb040; 1 drivers +v000000000296c960_0 .net "carryAND", 0 0, L_0000000002ae1510; 1 drivers +v000000000296c1e0_0 .net "cin", 0 0, L_0000000002acb0e0; 1 drivers +v000000000296d040_0 .net "ctrl0", 0 0, L_0000000002acb2c0; 1 drivers +v000000000296d220_0 .net "nab", 0 0, L_0000000002ae0d30; 1 drivers +v000000000296d680_0 .net "orNOR", 0 0, L_0000000002ae07f0; 1 drivers +v000000000296dfe0_0 .net "res", 0 0, L_0000000002ae1890; 1 drivers +v000000000296c5a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002944c20_0 .net "sumXOR", 0 0, L_0000000002ae12e0; 1 drivers +L_0000000002acac80 .part v0000000002a01440_0, 1, 1; +L_0000000002acb220 .part v0000000002a01440_0, 0, 1; +S_0000000002a88270 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a883f0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae0d30/d .functor NAND 1, L_0000000002acafa0, L_0000000002acb040, C4<1>, C4<1>; +L_0000000002ae0d30 .delay 1 (20000,20000,20000) L_0000000002ae0d30/d; +L_0000000002ae05c0/d .functor NAND 1, L_0000000002acb040, L_0000000002acb0e0, C4<1>, C4<1>; +L_0000000002ae05c0 .delay 1 (20000,20000,20000) L_0000000002ae05c0/d; +L_0000000002ae1200/d .functor NAND 1, L_0000000002acafa0, L_0000000002acb0e0, C4<1>, C4<1>; +L_0000000002ae1200 .delay 1 (20000,20000,20000) L_0000000002ae1200/d; +L_0000000002ae1510/d .functor NAND 1, L_0000000002ae0d30, L_0000000002ae1200, L_0000000002ae05c0, C4<1>; +L_0000000002ae1510 .delay 1 (30000,30000,30000) L_0000000002ae1510/d; +L_0000000002ae12e0/d .functor XOR 1, L_0000000002acafa0, L_0000000002acb040, L_0000000002acb0e0, C4<0>; +L_0000000002ae12e0 .delay 1 (30000,30000,30000) L_0000000002ae12e0/d; +L_0000000002ae0780/d .functor NOR 1, L_0000000002acafa0, L_0000000002acb040, C4<0>, C4<0>; +L_0000000002ae0780 .delay 1 (20000,20000,20000) L_0000000002ae0780/d; +L_0000000002ae07f0/d .functor XOR 1, L_0000000002ae0780, L_0000000002acb2c0, C4<0>, C4<0>; +L_0000000002ae07f0 .delay 1 (20000,20000,20000) L_0000000002ae07f0/d; +v000000000299e870_0 .net "a", 0 0, L_0000000002acafa0; alias, 1 drivers +v000000000299c890_0 .net "anorb", 0 0, L_0000000002ae0780; 1 drivers +v000000000299bc10_0 .net "b", 0 0, L_0000000002acb040; alias, 1 drivers +v000000000299b350_0 .net "carryAND", 0 0, L_0000000002ae1510; alias, 1 drivers +v000000000299c610_0 .net "carryin", 0 0, L_0000000002acb0e0; alias, 1 drivers +v000000000299cd90_0 .net "i0", 0 0, L_0000000002acb2c0; alias, 1 drivers +v000000000299b670_0 .net "nab", 0 0, L_0000000002ae0d30; alias, 1 drivers +v000000000299c070_0 .net "nac", 0 0, L_0000000002ae1200; 1 drivers +v000000000299cbb0_0 .net "nbc", 0 0, L_0000000002ae05c0; 1 drivers +v0000000002953240_0 .net "orNOR", 0 0, L_0000000002ae07f0; alias, 1 drivers +v0000000002952340_0 .net "sumXOR", 0 0, L_0000000002ae12e0; alias, 1 drivers +S_0000000002a877f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a883f0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae0da0/d .functor NOT 1, L_0000000002acb220, C4<0>, C4<0>, C4<0>; +L_0000000002ae0da0 .delay 1 (10000,10000,10000) L_0000000002ae0da0/d; +L_0000000002ae1430/d .functor NOT 1, L_0000000002acac80, C4<0>, C4<0>, C4<0>; +L_0000000002ae1430 .delay 1 (10000,10000,10000) L_0000000002ae1430/d; +L_0000000002ae14a0/d .functor NAND 1, L_0000000002acac80, L_0000000002acb220, L_0000000002ae12e0, C4<1>; +L_0000000002ae14a0 .delay 1 (30000,30000,30000) L_0000000002ae14a0/d; +L_0000000002ae1820/d .functor NAND 1, L_0000000002acac80, L_0000000002ae0da0, L_0000000002ae07f0, C4<1>; +L_0000000002ae1820 .delay 1 (30000,30000,30000) L_0000000002ae1820/d; +L_0000000002ae1580/d .functor NAND 1, L_0000000002ae1430, L_0000000002acb220, L_0000000002ae1510, C4<1>; +L_0000000002ae1580 .delay 1 (30000,30000,30000) L_0000000002ae1580/d; +L_0000000002ae1740/d .functor NAND 1, L_0000000002ae1430, L_0000000002ae0da0, L_0000000002ae0d30, C4<1>; +L_0000000002ae1740 .delay 1 (30000,30000,30000) L_0000000002ae1740/d; +L_0000000002ae1890/d .functor NAND 1, L_0000000002ae14a0, L_0000000002ae1820, L_0000000002ae1580, L_0000000002ae1740; +L_0000000002ae1890 .delay 1 (40000,40000,40000) L_0000000002ae1890/d; +v0000000002952f20_0 .net "a", 0 0, L_0000000002ae12e0; alias, 1 drivers +v00000000029520c0_0 .net "aout", 0 0, L_0000000002ae14a0; 1 drivers +v00000000029525c0_0 .net "b", 0 0, L_0000000002ae07f0; alias, 1 drivers +v0000000002952700_0 .net "bout", 0 0, L_0000000002ae1820; 1 drivers +v0000000002952de0_0 .net "c", 0 0, L_0000000002ae1510; alias, 1 drivers +v0000000002952fc0_0 .net "cout", 0 0, L_0000000002ae1580; 1 drivers +v000000000295a880_0 .net "d", 0 0, L_0000000002ae0d30; alias, 1 drivers +v000000000295a920_0 .net "dout", 0 0, L_0000000002ae1740; 1 drivers +v0000000002959d40_0 .net "ns0", 0 0, L_0000000002ae0da0; 1 drivers +v000000000295a380_0 .net "ns1", 0 0, L_0000000002ae1430; 1 drivers +v0000000002959de0_0 .net "out", 0 0, L_0000000002ae1890; alias, 1 drivers +v000000000295a4c0_0 .net "s0", 0 0, L_0000000002acb220; 1 drivers +v000000000295a9c0_0 .net "s1", 0 0, L_0000000002acac80; 1 drivers +S_0000000002a87af0 .scope generate, "aluBits[6]" "aluBits[6]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8320 .param/l "i" 0 4 52, +C4<0110>; +L_0000000002ae17b0/d .functor XOR 1, L_0000000002acb360, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae17b0 .delay 1 (50000,50000,50000) L_0000000002ae17b0/d; +L_0000000002ae1660/d .functor AND 1, v0000000002a01300_0, L_0000000002ace380, C4<1>, C4<1>; +L_0000000002ae1660 .delay 1 (30000,30000,30000) L_0000000002ae1660/d; +v0000000002a8b890_0 .net *"_s1", 0 0, L_0000000002acb360; 1 drivers +v0000000002a8d5f0_0 .net *"_s3", 0 0, L_0000000002ace380; 1 drivers +S_0000000002a87df0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87af0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a8bb10_0 .net "a", 0 0, L_0000000002ace740; 1 drivers +v0000000002a8c330_0 .net "b", 0 0, L_0000000002acf6e0; 1 drivers +v0000000002a8bcf0_0 .net "carryAND", 0 0, L_0000000002ae7140; 1 drivers +v0000000002a8dcd0_0 .net "cin", 0 0, L_0000000002acd2a0; 1 drivers +v0000000002a8bbb0_0 .net "ctrl0", 0 0, L_0000000002ace1a0; 1 drivers +v0000000002a8d190_0 .net "nab", 0 0, L_0000000002ae15f0; 1 drivers +v0000000002a8c830_0 .net "orNOR", 0 0, L_0000000002ae6ff0; 1 drivers +v0000000002a8df50_0 .net "res", 0 0, L_0000000002ae6880; 1 drivers +v0000000002a8da50_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a8bf70_0 .net "sumXOR", 0 0, L_0000000002ae6490; 1 drivers +L_0000000002acd520 .part v0000000002a01440_0, 1, 1; +L_0000000002acde80 .part v0000000002a01440_0, 0, 1; +S_0000000002a871f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a87df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae15f0/d .functor NAND 1, L_0000000002ace740, L_0000000002acf6e0, C4<1>, C4<1>; +L_0000000002ae15f0 .delay 1 (20000,20000,20000) L_0000000002ae15f0/d; +L_0000000002ae16d0/d .functor NAND 1, L_0000000002acf6e0, L_0000000002acd2a0, C4<1>, C4<1>; +L_0000000002ae16d0 .delay 1 (20000,20000,20000) L_0000000002ae16d0/d; +L_0000000002ae7450/d .functor NAND 1, L_0000000002ace740, L_0000000002acd2a0, C4<1>, C4<1>; +L_0000000002ae7450 .delay 1 (20000,20000,20000) L_0000000002ae7450/d; +L_0000000002ae7140/d .functor NAND 1, L_0000000002ae15f0, L_0000000002ae7450, L_0000000002ae16d0, C4<1>; +L_0000000002ae7140 .delay 1 (30000,30000,30000) L_0000000002ae7140/d; +L_0000000002ae6490/d .functor XOR 1, L_0000000002ace740, L_0000000002acf6e0, L_0000000002acd2a0, C4<0>; +L_0000000002ae6490 .delay 1 (30000,30000,30000) L_0000000002ae6490/d; +L_0000000002ae5e00/d .functor NOR 1, L_0000000002ace740, L_0000000002acf6e0, C4<0>, C4<0>; +L_0000000002ae5e00 .delay 1 (20000,20000,20000) L_0000000002ae5e00/d; +L_0000000002ae6ff0/d .functor XOR 1, L_0000000002ae5e00, L_0000000002ace1a0, C4<0>, C4<0>; +L_0000000002ae6ff0 .delay 1 (20000,20000,20000) L_0000000002ae6ff0/d; +v0000000002943be0_0 .net "a", 0 0, L_0000000002ace740; alias, 1 drivers +v0000000002945080_0 .net "anorb", 0 0, L_0000000002ae5e00; 1 drivers +v00000000029453a0_0 .net "b", 0 0, L_0000000002acf6e0; alias, 1 drivers +v0000000002943d20_0 .net "carryAND", 0 0, L_0000000002ae7140; alias, 1 drivers +v000000000293e500_0 .net "carryin", 0 0, L_0000000002acd2a0; alias, 1 drivers +v000000000293e960_0 .net "i0", 0 0, L_0000000002ace1a0; alias, 1 drivers +v000000000293f680_0 .net "nab", 0 0, L_0000000002ae15f0; alias, 1 drivers +v000000000293ec80_0 .net "nac", 0 0, L_0000000002ae7450; 1 drivers +v000000000293dce0_0 .net "nbc", 0 0, L_0000000002ae16d0; 1 drivers +v000000000293d920_0 .net "orNOR", 0 0, L_0000000002ae6ff0; alias, 1 drivers +v00000000029600a0_0 .net "sumXOR", 0 0, L_0000000002ae6490; alias, 1 drivers +S_0000000002a88b70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a87df0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae67a0/d .functor NOT 1, L_0000000002acde80, C4<0>, C4<0>, C4<0>; +L_0000000002ae67a0 .delay 1 (10000,10000,10000) L_0000000002ae67a0/d; +L_0000000002ae61f0/d .functor NOT 1, L_0000000002acd520, C4<0>, C4<0>, C4<0>; +L_0000000002ae61f0 .delay 1 (10000,10000,10000) L_0000000002ae61f0/d; +L_0000000002ae74c0/d .functor NAND 1, L_0000000002acd520, L_0000000002acde80, L_0000000002ae6490, C4<1>; +L_0000000002ae74c0 .delay 1 (30000,30000,30000) L_0000000002ae74c0/d; +L_0000000002ae5ee0/d .functor NAND 1, L_0000000002acd520, L_0000000002ae67a0, L_0000000002ae6ff0, C4<1>; +L_0000000002ae5ee0 .delay 1 (30000,30000,30000) L_0000000002ae5ee0/d; +L_0000000002ae6ce0/d .functor NAND 1, L_0000000002ae61f0, L_0000000002acde80, L_0000000002ae7140, C4<1>; +L_0000000002ae6ce0 .delay 1 (30000,30000,30000) L_0000000002ae6ce0/d; +L_0000000002ae5c40/d .functor NAND 1, L_0000000002ae61f0, L_0000000002ae67a0, L_0000000002ae15f0, C4<1>; +L_0000000002ae5c40 .delay 1 (30000,30000,30000) L_0000000002ae5c40/d; +L_0000000002ae6880/d .functor NAND 1, L_0000000002ae74c0, L_0000000002ae5ee0, L_0000000002ae6ce0, L_0000000002ae5c40; +L_0000000002ae6880 .delay 1 (40000,40000,40000) L_0000000002ae6880/d; +v0000000002960140_0 .net "a", 0 0, L_0000000002ae6490; alias, 1 drivers +v00000000029605a0_0 .net "aout", 0 0, L_0000000002ae74c0; 1 drivers +v0000000002960a00_0 .net "b", 0 0, L_0000000002ae6ff0; alias, 1 drivers +v0000000002960dc0_0 .net "bout", 0 0, L_0000000002ae5ee0; 1 drivers +v0000000002960e60_0 .net "c", 0 0, L_0000000002ae7140; alias, 1 drivers +v0000000002966f70_0 .net "cout", 0 0, L_0000000002ae6ce0; 1 drivers +v0000000002967ab0_0 .net "d", 0 0, L_0000000002ae15f0; alias, 1 drivers +v0000000002967c90_0 .net "dout", 0 0, L_0000000002ae5c40; 1 drivers +v00000000029661b0_0 .net "ns0", 0 0, L_0000000002ae67a0; 1 drivers +v0000000002966250_0 .net "ns1", 0 0, L_0000000002ae61f0; 1 drivers +v00000000029662f0_0 .net "out", 0 0, L_0000000002ae6880; alias, 1 drivers +v0000000002892550_0 .net "s0", 0 0, L_0000000002acde80; 1 drivers +v0000000002a8d9b0_0 .net "s1", 0 0, L_0000000002acd520; 1 drivers +S_0000000002a87370 .scope generate, "aluBits[7]" "aluBits[7]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8260 .param/l "i" 0 4 52, +C4<0111>; +L_0000000002ae7530/d .functor XOR 1, L_0000000002acf5a0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae7530 .delay 1 (50000,50000,50000) L_0000000002ae7530/d; +L_0000000002ae6730/d .functor AND 1, v0000000002a01300_0, L_0000000002aced80, C4<1>, C4<1>; +L_0000000002ae6730 .delay 1 (30000,30000,30000) L_0000000002ae6730/d; +v0000000002a8dd70_0 .net *"_s1", 0 0, L_0000000002acf5a0; 1 drivers +v0000000002a8d2d0_0 .net *"_s3", 0 0, L_0000000002aced80; 1 drivers +S_0000000002a88570 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87370; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a8c650_0 .net "a", 0 0, L_0000000002acf780; 1 drivers +v0000000002a8be30_0 .net "b", 0 0, L_0000000002acdd40; 1 drivers +v0000000002a8cdd0_0 .net "carryAND", 0 0, L_0000000002ae68f0; 1 drivers +v0000000002a8cd30_0 .net "cin", 0 0, L_0000000002acf320; 1 drivers +v0000000002a8d4b0_0 .net "ctrl0", 0 0, L_0000000002ace240; 1 drivers +v0000000002a8c150_0 .net "nab", 0 0, L_0000000002ae73e0; 1 drivers +v0000000002a8c6f0_0 .net "orNOR", 0 0, L_0000000002ae59a0; 1 drivers +v0000000002a8c010_0 .net "res", 0 0, L_0000000002ae62d0; 1 drivers +v0000000002a8ca10_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a8ce70_0 .net "sumXOR", 0 0, L_0000000002ae6b20; 1 drivers +L_0000000002acf0a0 .part v0000000002a01440_0, 1, 1; +L_0000000002acd3e0 .part v0000000002a01440_0, 0, 1; +S_0000000002a88cf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a88570; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae73e0/d .functor NAND 1, L_0000000002acf780, L_0000000002acdd40, C4<1>, C4<1>; +L_0000000002ae73e0 .delay 1 (20000,20000,20000) L_0000000002ae73e0/d; +L_0000000002ae6c00/d .functor NAND 1, L_0000000002acdd40, L_0000000002acf320, C4<1>, C4<1>; +L_0000000002ae6c00 .delay 1 (20000,20000,20000) L_0000000002ae6c00/d; +L_0000000002ae65e0/d .functor NAND 1, L_0000000002acf780, L_0000000002acf320, C4<1>, C4<1>; +L_0000000002ae65e0 .delay 1 (20000,20000,20000) L_0000000002ae65e0/d; +L_0000000002ae68f0/d .functor NAND 1, L_0000000002ae73e0, L_0000000002ae65e0, L_0000000002ae6c00, C4<1>; +L_0000000002ae68f0 .delay 1 (30000,30000,30000) L_0000000002ae68f0/d; +L_0000000002ae6b20/d .functor XOR 1, L_0000000002acf780, L_0000000002acdd40, L_0000000002acf320, C4<0>; +L_0000000002ae6b20 .delay 1 (30000,30000,30000) L_0000000002ae6b20/d; +L_0000000002ae5bd0/d .functor NOR 1, L_0000000002acf780, L_0000000002acdd40, C4<0>, C4<0>; +L_0000000002ae5bd0 .delay 1 (20000,20000,20000) L_0000000002ae5bd0/d; +L_0000000002ae59a0/d .functor XOR 1, L_0000000002ae5bd0, L_0000000002ace240, C4<0>, C4<0>; +L_0000000002ae59a0 .delay 1 (20000,20000,20000) L_0000000002ae59a0/d; +v0000000002a8bc50_0 .net "a", 0 0, L_0000000002acf780; alias, 1 drivers +v0000000002a8c510_0 .net "anorb", 0 0, L_0000000002ae5bd0; 1 drivers +v0000000002a8ba70_0 .net "b", 0 0, L_0000000002acdd40; alias, 1 drivers +v0000000002a8d0f0_0 .net "carryAND", 0 0, L_0000000002ae68f0; alias, 1 drivers +v0000000002a8c3d0_0 .net "carryin", 0 0, L_0000000002acf320; alias, 1 drivers +v0000000002a8cbf0_0 .net "i0", 0 0, L_0000000002ace240; alias, 1 drivers +v0000000002a8cab0_0 .net "nab", 0 0, L_0000000002ae73e0; alias, 1 drivers +v0000000002a8db90_0 .net "nac", 0 0, L_0000000002ae65e0; 1 drivers +v0000000002a8daf0_0 .net "nbc", 0 0, L_0000000002ae6c00; 1 drivers +v0000000002a8c5b0_0 .net "orNOR", 0 0, L_0000000002ae59a0; alias, 1 drivers +v0000000002a8cc90_0 .net "sumXOR", 0 0, L_0000000002ae6b20; alias, 1 drivers +S_0000000002a889f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a88570; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae6340/d .functor NOT 1, L_0000000002acd3e0, C4<0>, C4<0>, C4<0>; +L_0000000002ae6340 .delay 1 (10000,10000,10000) L_0000000002ae6340/d; +L_0000000002ae5a10/d .functor NOT 1, L_0000000002acf0a0, C4<0>, C4<0>, C4<0>; +L_0000000002ae5a10 .delay 1 (10000,10000,10000) L_0000000002ae5a10/d; +L_0000000002ae5a80/d .functor NAND 1, L_0000000002acf0a0, L_0000000002acd3e0, L_0000000002ae6b20, C4<1>; +L_0000000002ae5a80 .delay 1 (30000,30000,30000) L_0000000002ae5a80/d; +L_0000000002ae6960/d .functor NAND 1, L_0000000002acf0a0, L_0000000002ae6340, L_0000000002ae59a0, C4<1>; +L_0000000002ae6960 .delay 1 (30000,30000,30000) L_0000000002ae6960/d; +L_0000000002ae7370/d .functor NAND 1, L_0000000002ae5a10, L_0000000002acd3e0, L_0000000002ae68f0, C4<1>; +L_0000000002ae7370 .delay 1 (30000,30000,30000) L_0000000002ae7370/d; +L_0000000002ae6650/d .functor NAND 1, L_0000000002ae5a10, L_0000000002ae6340, L_0000000002ae73e0, C4<1>; +L_0000000002ae6650 .delay 1 (30000,30000,30000) L_0000000002ae6650/d; +L_0000000002ae62d0/d .functor NAND 1, L_0000000002ae5a80, L_0000000002ae6960, L_0000000002ae7370, L_0000000002ae6650; +L_0000000002ae62d0 .delay 1 (40000,40000,40000) L_0000000002ae62d0/d; +v0000000002a8dc30_0 .net "a", 0 0, L_0000000002ae6b20; alias, 1 drivers +v0000000002a8c8d0_0 .net "aout", 0 0, L_0000000002ae5a80; 1 drivers +v0000000002a8bd90_0 .net "b", 0 0, L_0000000002ae59a0; alias, 1 drivers +v0000000002a8cf10_0 .net "bout", 0 0, L_0000000002ae6960; 1 drivers +v0000000002a8c790_0 .net "c", 0 0, L_0000000002ae68f0; alias, 1 drivers +v0000000002a8d910_0 .net "cout", 0 0, L_0000000002ae7370; 1 drivers +v0000000002a8d230_0 .net "d", 0 0, L_0000000002ae73e0; alias, 1 drivers +v0000000002a8d410_0 .net "dout", 0 0, L_0000000002ae6650; 1 drivers +v0000000002a8bed0_0 .net "ns0", 0 0, L_0000000002ae6340; 1 drivers +v0000000002a8b930_0 .net "ns1", 0 0, L_0000000002ae5a10; 1 drivers +v0000000002a8c470_0 .net "out", 0 0, L_0000000002ae62d0; alias, 1 drivers +v0000000002a8b9d0_0 .net "s0", 0 0, L_0000000002acd3e0; 1 drivers +v0000000002a8cb50_0 .net "s1", 0 0, L_0000000002acf0a0; 1 drivers +S_0000000002a87970 .scope generate, "aluBits[8]" "aluBits[8]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f85a0 .param/l "i" 0 4 52, +C4<01000>; +L_0000000002ae69d0/d .functor XOR 1, L_0000000002acf1e0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae69d0 .delay 1 (50000,50000,50000) L_0000000002ae69d0/d; +L_0000000002ae6f80/d .functor AND 1, v0000000002a01300_0, L_0000000002acf3c0, C4<1>, C4<1>; +L_0000000002ae6f80 .delay 1 (30000,30000,30000) L_0000000002ae6f80/d; +v0000000002a8f530_0 .net *"_s1", 0 0, L_0000000002acf1e0; 1 drivers +v0000000002a8ec70_0 .net *"_s3", 0 0, L_0000000002acf3c0; 1 drivers +S_0000000002a886f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87970; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a90610_0 .net "a", 0 0, L_0000000002acd340; 1 drivers +v0000000002a8f490_0 .net "b", 0 0, L_0000000002acf820; 1 drivers +v0000000002a8f8f0_0 .net "carryAND", 0 0, L_0000000002ae5fc0; 1 drivers +v0000000002a8e090_0 .net "cin", 0 0, L_0000000002ace6a0; 1 drivers +v0000000002a8e450_0 .net "ctrl0", 0 0, L_0000000002acdde0; 1 drivers +v0000000002a8f670_0 .net "nab", 0 0, L_0000000002ae71b0; 1 drivers +v0000000002a907f0_0 .net "orNOR", 0 0, L_0000000002ae70d0; 1 drivers +v0000000002a8ef90_0 .net "res", 0 0, L_0000000002ae6e30; 1 drivers +v0000000002a8e1d0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a8e270_0 .net "sumXOR", 0 0, L_0000000002ae5cb0; 1 drivers +L_0000000002acf640 .part v0000000002a01440_0, 1, 1; +L_0000000002acd660 .part v0000000002a01440_0, 0, 1; +S_0000000002a880f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a886f0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae71b0/d .functor NAND 1, L_0000000002acd340, L_0000000002acf820, C4<1>, C4<1>; +L_0000000002ae71b0 .delay 1 (20000,20000,20000) L_0000000002ae71b0/d; +L_0000000002ae6500/d .functor NAND 1, L_0000000002acf820, L_0000000002ace6a0, C4<1>, C4<1>; +L_0000000002ae6500 .delay 1 (20000,20000,20000) L_0000000002ae6500/d; +L_0000000002ae5af0/d .functor NAND 1, L_0000000002acd340, L_0000000002ace6a0, C4<1>, C4<1>; +L_0000000002ae5af0 .delay 1 (20000,20000,20000) L_0000000002ae5af0/d; +L_0000000002ae5fc0/d .functor NAND 1, L_0000000002ae71b0, L_0000000002ae5af0, L_0000000002ae6500, C4<1>; +L_0000000002ae5fc0 .delay 1 (30000,30000,30000) L_0000000002ae5fc0/d; +L_0000000002ae5cb0/d .functor XOR 1, L_0000000002acd340, L_0000000002acf820, L_0000000002ace6a0, C4<0>; +L_0000000002ae5cb0 .delay 1 (30000,30000,30000) L_0000000002ae5cb0/d; +L_0000000002ae5b60/d .functor NOR 1, L_0000000002acd340, L_0000000002acf820, C4<0>, C4<0>; +L_0000000002ae5b60 .delay 1 (20000,20000,20000) L_0000000002ae5b60/d; +L_0000000002ae70d0/d .functor XOR 1, L_0000000002ae5b60, L_0000000002acdde0, C4<0>, C4<0>; +L_0000000002ae70d0 .delay 1 (20000,20000,20000) L_0000000002ae70d0/d; +v0000000002a8d370_0 .net "a", 0 0, L_0000000002acd340; alias, 1 drivers +v0000000002a8d050_0 .net "anorb", 0 0, L_0000000002ae5b60; 1 drivers +v0000000002a8cfb0_0 .net "b", 0 0, L_0000000002acf820; alias, 1 drivers +v0000000002a8c0b0_0 .net "carryAND", 0 0, L_0000000002ae5fc0; alias, 1 drivers +v0000000002a8c970_0 .net "carryin", 0 0, L_0000000002ace6a0; alias, 1 drivers +v0000000002a8d550_0 .net "i0", 0 0, L_0000000002acdde0; alias, 1 drivers +v0000000002a8d690_0 .net "nab", 0 0, L_0000000002ae71b0; alias, 1 drivers +v0000000002a8d730_0 .net "nac", 0 0, L_0000000002ae5af0; 1 drivers +v0000000002a8c1f0_0 .net "nbc", 0 0, L_0000000002ae6500; 1 drivers +v0000000002a8c290_0 .net "orNOR", 0 0, L_0000000002ae70d0; alias, 1 drivers +v0000000002a8d7d0_0 .net "sumXOR", 0 0, L_0000000002ae5cb0; alias, 1 drivers +S_0000000002a88870 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a886f0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae6110/d .functor NOT 1, L_0000000002acd660, C4<0>, C4<0>, C4<0>; +L_0000000002ae6110 .delay 1 (10000,10000,10000) L_0000000002ae6110/d; +L_0000000002ae6dc0/d .functor NOT 1, L_0000000002acf640, C4<0>, C4<0>, C4<0>; +L_0000000002ae6dc0 .delay 1 (10000,10000,10000) L_0000000002ae6dc0/d; +L_0000000002ae5d20/d .functor NAND 1, L_0000000002acf640, L_0000000002acd660, L_0000000002ae5cb0, C4<1>; +L_0000000002ae5d20 .delay 1 (30000,30000,30000) L_0000000002ae5d20/d; +L_0000000002ae6c70/d .functor NAND 1, L_0000000002acf640, L_0000000002ae6110, L_0000000002ae70d0, C4<1>; +L_0000000002ae6c70 .delay 1 (30000,30000,30000) L_0000000002ae6c70/d; +L_0000000002ae63b0/d .functor NAND 1, L_0000000002ae6dc0, L_0000000002acd660, L_0000000002ae5fc0, C4<1>; +L_0000000002ae63b0 .delay 1 (30000,30000,30000) L_0000000002ae63b0/d; +L_0000000002ae6420/d .functor NAND 1, L_0000000002ae6dc0, L_0000000002ae6110, L_0000000002ae71b0, C4<1>; +L_0000000002ae6420 .delay 1 (30000,30000,30000) L_0000000002ae6420/d; +L_0000000002ae6e30/d .functor NAND 1, L_0000000002ae5d20, L_0000000002ae6c70, L_0000000002ae63b0, L_0000000002ae6420; +L_0000000002ae6e30 .delay 1 (40000,40000,40000) L_0000000002ae6e30/d; +v0000000002a8de10_0 .net "a", 0 0, L_0000000002ae5cb0; alias, 1 drivers +v0000000002a8d870_0 .net "aout", 0 0, L_0000000002ae5d20; 1 drivers +v0000000002a8deb0_0 .net "b", 0 0, L_0000000002ae70d0; alias, 1 drivers +v0000000002a8dff0_0 .net "bout", 0 0, L_0000000002ae6c70; 1 drivers +v0000000002a8e4f0_0 .net "c", 0 0, L_0000000002ae5fc0; alias, 1 drivers +v0000000002a8e8b0_0 .net "cout", 0 0, L_0000000002ae63b0; 1 drivers +v0000000002a8fdf0_0 .net "d", 0 0, L_0000000002ae71b0; alias, 1 drivers +v0000000002a8eb30_0 .net "dout", 0 0, L_0000000002ae6420; 1 drivers +v0000000002a8ebd0_0 .net "ns0", 0 0, L_0000000002ae6110; 1 drivers +v0000000002a8f990_0 .net "ns1", 0 0, L_0000000002ae6dc0; 1 drivers +v0000000002a8e130_0 .net "out", 0 0, L_0000000002ae6e30; alias, 1 drivers +v0000000002a8f850_0 .net "s0", 0 0, L_0000000002acd660; 1 drivers +v0000000002a8fa30_0 .net "s1", 0 0, L_0000000002acf640; 1 drivers +S_0000000002a87c70 .scope generate, "aluBits[9]" "aluBits[9]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f85e0 .param/l "i" 0 4 52, +C4<01001>; +L_0000000002ae5d90/d .functor XOR 1, L_0000000002acd200, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae5d90 .delay 1 (50000,50000,50000) L_0000000002ae5d90/d; +L_0000000002ae7060/d .functor AND 1, v0000000002a01300_0, L_0000000002acf460, C4<1>, C4<1>; +L_0000000002ae7060 .delay 1 (30000,30000,30000) L_0000000002ae7060/d; +v0000000002a8ff30_0 .net *"_s1", 0 0, L_0000000002acd200; 1 drivers +v0000000002a8ffd0_0 .net *"_s3", 0 0, L_0000000002acf460; 1 drivers +S_0000000002a87070 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87c70; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a8f170_0 .net "a", 0 0, L_0000000002acf280; 1 drivers +v0000000002a8f5d0_0 .net "b", 0 0, L_0000000002acdfc0; 1 drivers +v0000000002a8e810_0 .net "carryAND", 0 0, L_0000000002ae6570; 1 drivers +v0000000002a8fb70_0 .net "cin", 0 0, L_0000000002acf500; 1 drivers +v0000000002a901b0_0 .net "ctrl0", 0 0, L_0000000002acda20; 1 drivers +v0000000002a8f7b0_0 .net "nab", 0 0, L_0000000002ae5e70; 1 drivers +v0000000002a8e950_0 .net "orNOR", 0 0, L_0000000002ae7220; 1 drivers +v0000000002a8e9f0_0 .net "res", 0 0, L_0000000002ae6030; 1 drivers +v0000000002a8f0d0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a8fe90_0 .net "sumXOR", 0 0, L_0000000002ae6ab0; 1 drivers +L_0000000002acdf20 .part v0000000002a01440_0, 1, 1; +L_0000000002ace7e0 .part v0000000002a01440_0, 0, 1; +S_0000000002a874f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a87070; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae5e70/d .functor NAND 1, L_0000000002acf280, L_0000000002acdfc0, C4<1>, C4<1>; +L_0000000002ae5e70 .delay 1 (20000,20000,20000) L_0000000002ae5e70/d; +L_0000000002ae66c0/d .functor NAND 1, L_0000000002acdfc0, L_0000000002acf500, C4<1>, C4<1>; +L_0000000002ae66c0 .delay 1 (20000,20000,20000) L_0000000002ae66c0/d; +L_0000000002ae6a40/d .functor NAND 1, L_0000000002acf280, L_0000000002acf500, C4<1>, C4<1>; +L_0000000002ae6a40 .delay 1 (20000,20000,20000) L_0000000002ae6a40/d; +L_0000000002ae6570/d .functor NAND 1, L_0000000002ae5e70, L_0000000002ae6a40, L_0000000002ae66c0, C4<1>; +L_0000000002ae6570 .delay 1 (30000,30000,30000) L_0000000002ae6570/d; +L_0000000002ae6ab0/d .functor XOR 1, L_0000000002acf280, L_0000000002acdfc0, L_0000000002acf500, C4<0>; +L_0000000002ae6ab0 .delay 1 (30000,30000,30000) L_0000000002ae6ab0/d; +L_0000000002ae6260/d .functor NOR 1, L_0000000002acf280, L_0000000002acdfc0, C4<0>, C4<0>; +L_0000000002ae6260 .delay 1 (20000,20000,20000) L_0000000002ae6260/d; +L_0000000002ae7220/d .functor XOR 1, L_0000000002ae6260, L_0000000002acda20, C4<0>, C4<0>; +L_0000000002ae7220 .delay 1 (20000,20000,20000) L_0000000002ae7220/d; +v0000000002a8edb0_0 .net "a", 0 0, L_0000000002acf280; alias, 1 drivers +v0000000002a90750_0 .net "anorb", 0 0, L_0000000002ae6260; 1 drivers +v0000000002a8e310_0 .net "b", 0 0, L_0000000002acdfc0; alias, 1 drivers +v0000000002a8ed10_0 .net "carryAND", 0 0, L_0000000002ae6570; alias, 1 drivers +v0000000002a8ee50_0 .net "carryin", 0 0, L_0000000002acf500; alias, 1 drivers +v0000000002a906b0_0 .net "i0", 0 0, L_0000000002acda20; alias, 1 drivers +v0000000002a8e630_0 .net "nab", 0 0, L_0000000002ae5e70; alias, 1 drivers +v0000000002a902f0_0 .net "nac", 0 0, L_0000000002ae6a40; 1 drivers +v0000000002a90250_0 .net "nbc", 0 0, L_0000000002ae66c0; 1 drivers +v0000000002a8fc10_0 .net "orNOR", 0 0, L_0000000002ae7220; alias, 1 drivers +v0000000002a8e3b0_0 .net "sumXOR", 0 0, L_0000000002ae6ab0; alias, 1 drivers +S_0000000002a88e70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a87070; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae7290/d .functor NOT 1, L_0000000002ace7e0, C4<0>, C4<0>, C4<0>; +L_0000000002ae7290 .delay 1 (10000,10000,10000) L_0000000002ae7290/d; +L_0000000002ae6d50/d .functor NOT 1, L_0000000002acdf20, C4<0>, C4<0>, C4<0>; +L_0000000002ae6d50 .delay 1 (10000,10000,10000) L_0000000002ae6d50/d; +L_0000000002ae7300/d .functor NAND 1, L_0000000002acdf20, L_0000000002ace7e0, L_0000000002ae6ab0, C4<1>; +L_0000000002ae7300 .delay 1 (30000,30000,30000) L_0000000002ae7300/d; +L_0000000002ae6810/d .functor NAND 1, L_0000000002acdf20, L_0000000002ae7290, L_0000000002ae7220, C4<1>; +L_0000000002ae6810 .delay 1 (30000,30000,30000) L_0000000002ae6810/d; +L_0000000002ae5f50/d .functor NAND 1, L_0000000002ae6d50, L_0000000002ace7e0, L_0000000002ae6570, C4<1>; +L_0000000002ae5f50 .delay 1 (30000,30000,30000) L_0000000002ae5f50/d; +L_0000000002ae6b90/d .functor NAND 1, L_0000000002ae6d50, L_0000000002ae7290, L_0000000002ae5e70, C4<1>; +L_0000000002ae6b90 .delay 1 (30000,30000,30000) L_0000000002ae6b90/d; +L_0000000002ae6030/d .functor NAND 1, L_0000000002ae7300, L_0000000002ae6810, L_0000000002ae5f50, L_0000000002ae6b90; +L_0000000002ae6030 .delay 1 (40000,40000,40000) L_0000000002ae6030/d; +v0000000002a8e590_0 .net "a", 0 0, L_0000000002ae6ab0; alias, 1 drivers +v0000000002a8eef0_0 .net "aout", 0 0, L_0000000002ae7300; 1 drivers +v0000000002a8f2b0_0 .net "b", 0 0, L_0000000002ae7220; alias, 1 drivers +v0000000002a90110_0 .net "bout", 0 0, L_0000000002ae6810; 1 drivers +v0000000002a8f710_0 .net "c", 0 0, L_0000000002ae6570; alias, 1 drivers +v0000000002a8e6d0_0 .net "cout", 0 0, L_0000000002ae5f50; 1 drivers +v0000000002a8f030_0 .net "d", 0 0, L_0000000002ae5e70; alias, 1 drivers +v0000000002a8e770_0 .net "dout", 0 0, L_0000000002ae6b90; 1 drivers +v0000000002a8fad0_0 .net "ns0", 0 0, L_0000000002ae7290; 1 drivers +v0000000002a8f350_0 .net "ns1", 0 0, L_0000000002ae6d50; 1 drivers +v0000000002a8f3f0_0 .net "out", 0 0, L_0000000002ae6030; alias, 1 drivers +v0000000002a8fcb0_0 .net "s0", 0 0, L_0000000002ace7e0; 1 drivers +v0000000002a8fd50_0 .net "s1", 0 0, L_0000000002acdf20; 1 drivers +S_0000000002a87670 .scope generate, "aluBits[10]" "aluBits[10]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8a20 .param/l "i" 0 4 52, +C4<01010>; +L_0000000002ae60a0/d .functor XOR 1, L_0000000002acd5c0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae60a0 .delay 1 (50000,50000,50000) L_0000000002ae60a0/d; +L_0000000002ae6ea0/d .functor AND 1, v0000000002a01300_0, L_0000000002acf8c0, C4<1>, C4<1>; +L_0000000002ae6ea0 .delay 1 (30000,30000,30000) L_0000000002ae6ea0/d; +v0000000002a89810_0 .net *"_s1", 0 0, L_0000000002acd5c0; 1 drivers +v0000000002a8a710_0 .net *"_s3", 0 0, L_0000000002acf8c0; 1 drivers +S_0000000002a92a10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87670; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a893b0_0 .net "a", 0 0, L_0000000002acd480; 1 drivers +v0000000002a8ab70_0 .net "b", 0 0, L_0000000002acd700; 1 drivers +v0000000002a8a7b0_0 .net "carryAND", 0 0, L_0000000002ae75a0; 1 drivers +v0000000002a8a530_0 .net "cin", 0 0, L_0000000002ace060; 1 drivers +v0000000002a8aa30_0 .net "ctrl0", 0 0, L_0000000002aceba0; 1 drivers +v0000000002a8a8f0_0 .net "nab", 0 0, L_0000000002ae6180; 1 drivers +v0000000002a894f0_0 .net "orNOR", 0 0, L_0000000002ae7610; 1 drivers +v0000000002a89270_0 .net "res", 0 0, L_0000000002b405c0; 1 drivers +v0000000002a89770_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a89b30_0 .net "sumXOR", 0 0, L_0000000002ae78b0; 1 drivers +L_0000000002acd160 .part v0000000002a01440_0, 1, 1; +L_0000000002aceec0 .part v0000000002a01440_0, 0, 1; +S_0000000002a91510 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92a10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002ae6180/d .functor NAND 1, L_0000000002acd480, L_0000000002acd700, C4<1>, C4<1>; +L_0000000002ae6180 .delay 1 (20000,20000,20000) L_0000000002ae6180/d; +L_0000000002ae6f10/d .functor NAND 1, L_0000000002acd700, L_0000000002ace060, C4<1>, C4<1>; +L_0000000002ae6f10 .delay 1 (20000,20000,20000) L_0000000002ae6f10/d; +L_0000000002ae7760/d .functor NAND 1, L_0000000002acd480, L_0000000002ace060, C4<1>, C4<1>; +L_0000000002ae7760 .delay 1 (20000,20000,20000) L_0000000002ae7760/d; +L_0000000002ae75a0/d .functor NAND 1, L_0000000002ae6180, L_0000000002ae7760, L_0000000002ae6f10, C4<1>; +L_0000000002ae75a0 .delay 1 (30000,30000,30000) L_0000000002ae75a0/d; +L_0000000002ae78b0/d .functor XOR 1, L_0000000002acd480, L_0000000002acd700, L_0000000002ace060, C4<0>; +L_0000000002ae78b0 .delay 1 (30000,30000,30000) L_0000000002ae78b0/d; +L_0000000002ae77d0/d .functor NOR 1, L_0000000002acd480, L_0000000002acd700, C4<0>, C4<0>; +L_0000000002ae77d0 .delay 1 (20000,20000,20000) L_0000000002ae77d0/d; +L_0000000002ae7610/d .functor XOR 1, L_0000000002ae77d0, L_0000000002aceba0, C4<0>, C4<0>; +L_0000000002ae7610 .delay 1 (20000,20000,20000) L_0000000002ae7610/d; +v0000000002a8ea90_0 .net "a", 0 0, L_0000000002acd480; alias, 1 drivers +v0000000002a8f210_0 .net "anorb", 0 0, L_0000000002ae77d0; 1 drivers +v0000000002a90070_0 .net "b", 0 0, L_0000000002acd700; alias, 1 drivers +v0000000002a90390_0 .net "carryAND", 0 0, L_0000000002ae75a0; alias, 1 drivers +v0000000002a90430_0 .net "carryin", 0 0, L_0000000002ace060; alias, 1 drivers +v0000000002a904d0_0 .net "i0", 0 0, L_0000000002aceba0; alias, 1 drivers +v0000000002a90570_0 .net "nab", 0 0, L_0000000002ae6180; alias, 1 drivers +v0000000002a90930_0 .net "nac", 0 0, L_0000000002ae7760; 1 drivers +v0000000002a90cf0_0 .net "nbc", 0 0, L_0000000002ae6f10; 1 drivers +v0000000002a90bb0_0 .net "orNOR", 0 0, L_0000000002ae7610; alias, 1 drivers +v0000000002a90b10_0 .net "sumXOR", 0 0, L_0000000002ae78b0; alias, 1 drivers +S_0000000002a92890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92a10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae7840/d .functor NOT 1, L_0000000002aceec0, C4<0>, C4<0>, C4<0>; +L_0000000002ae7840 .delay 1 (10000,10000,10000) L_0000000002ae7840/d; +L_0000000002ae7680/d .functor NOT 1, L_0000000002acd160, C4<0>, C4<0>, C4<0>; +L_0000000002ae7680 .delay 1 (10000,10000,10000) L_0000000002ae7680/d; +L_0000000002ae76f0/d .functor NAND 1, L_0000000002acd160, L_0000000002aceec0, L_0000000002ae78b0, C4<1>; +L_0000000002ae76f0 .delay 1 (30000,30000,30000) L_0000000002ae76f0/d; +L_0000000002b40630/d .functor NAND 1, L_0000000002acd160, L_0000000002ae7840, L_0000000002ae7610, C4<1>; +L_0000000002b40630 .delay 1 (30000,30000,30000) L_0000000002b40630/d; +L_0000000002b41b30/d .functor NAND 1, L_0000000002ae7680, L_0000000002aceec0, L_0000000002ae75a0, C4<1>; +L_0000000002b41b30 .delay 1 (30000,30000,30000) L_0000000002b41b30/d; +L_0000000002b410b0/d .functor NAND 1, L_0000000002ae7680, L_0000000002ae7840, L_0000000002ae6180, C4<1>; +L_0000000002b410b0 .delay 1 (30000,30000,30000) L_0000000002b410b0/d; +L_0000000002b405c0/d .functor NAND 1, L_0000000002ae76f0, L_0000000002b40630, L_0000000002b41b30, L_0000000002b410b0; +L_0000000002b405c0 .delay 1 (40000,40000,40000) L_0000000002b405c0/d; +v0000000002a90ed0_0 .net "a", 0 0, L_0000000002ae78b0; alias, 1 drivers +v0000000002a90d90_0 .net "aout", 0 0, L_0000000002ae76f0; 1 drivers +v0000000002a90f70_0 .net "b", 0 0, L_0000000002ae7610; alias, 1 drivers +v0000000002a90890_0 .net "bout", 0 0, L_0000000002b40630; 1 drivers +v0000000002a909d0_0 .net "c", 0 0, L_0000000002ae75a0; alias, 1 drivers +v0000000002a90c50_0 .net "cout", 0 0, L_0000000002b41b30; 1 drivers +v0000000002a90a70_0 .net "d", 0 0, L_0000000002ae6180; alias, 1 drivers +v0000000002a90e30_0 .net "dout", 0 0, L_0000000002b410b0; 1 drivers +v0000000002a89c70_0 .net "ns0", 0 0, L_0000000002ae7840; 1 drivers +v0000000002a8a5d0_0 .net "ns1", 0 0, L_0000000002ae7680; 1 drivers +v0000000002a8a490_0 .net "out", 0 0, L_0000000002b405c0; alias, 1 drivers +v0000000002a89310_0 .net "s0", 0 0, L_0000000002aceec0; 1 drivers +v0000000002a8a670_0 .net "s1", 0 0, L_0000000002acd160; 1 drivers +S_0000000002a91090 .scope generate, "aluBits[11]" "aluBits[11]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7fa0 .param/l "i" 0 4 52, +C4<01011>; +L_0000000002b40320/d .functor XOR 1, L_0000000002acd7a0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b40320 .delay 1 (50000,50000,50000) L_0000000002b40320/d; +L_0000000002b41120/d .functor AND 1, v0000000002a01300_0, L_0000000002ace9c0, C4<1>, C4<1>; +L_0000000002b41120 .delay 1 (30000,30000,30000) L_0000000002b41120/d; +v0000000002a8b610_0 .net *"_s1", 0 0, L_0000000002acd7a0; 1 drivers +v0000000002a89f90_0 .net *"_s3", 0 0, L_0000000002ace9c0; 1 drivers +S_0000000002a91210 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a91090; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a8b110_0 .net "a", 0 0, L_0000000002ace100; 1 drivers +v0000000002a8b2f0_0 .net "b", 0 0, L_0000000002ace880; 1 drivers +v0000000002a89130_0 .net "carryAND", 0 0, L_0000000002b41190; 1 drivers +v0000000002a8b390_0 .net "cin", 0 0, L_0000000002acee20; 1 drivers +v0000000002a89450_0 .net "ctrl0", 0 0, L_0000000002ace920; 1 drivers +v0000000002a89ef0_0 .net "nab", 0 0, L_0000000002b40400; 1 drivers +v0000000002a8b430_0 .net "orNOR", 0 0, L_0000000002b40be0; 1 drivers +v0000000002a8b4d0_0 .net "res", 0 0, L_0000000002b41430; 1 drivers +v0000000002a899f0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a8b570_0 .net "sumXOR", 0 0, L_0000000002b41ba0; 1 drivers +L_0000000002acdc00 .part v0000000002a01440_0, 1, 1; +L_0000000002acdca0 .part v0000000002a01440_0, 0, 1; +S_0000000002a91c90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a91210; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b40400/d .functor NAND 1, L_0000000002ace100, L_0000000002ace880, C4<1>, C4<1>; +L_0000000002b40400 .delay 1 (20000,20000,20000) L_0000000002b40400/d; +L_0000000002b40c50/d .functor NAND 1, L_0000000002ace880, L_0000000002acee20, C4<1>, C4<1>; +L_0000000002b40c50 .delay 1 (20000,20000,20000) L_0000000002b40c50/d; +L_0000000002b41890/d .functor NAND 1, L_0000000002ace100, L_0000000002acee20, C4<1>, C4<1>; +L_0000000002b41890 .delay 1 (20000,20000,20000) L_0000000002b41890/d; +L_0000000002b41190/d .functor NAND 1, L_0000000002b40400, L_0000000002b41890, L_0000000002b40c50, C4<1>; +L_0000000002b41190 .delay 1 (30000,30000,30000) L_0000000002b41190/d; +L_0000000002b41ba0/d .functor XOR 1, L_0000000002ace100, L_0000000002ace880, L_0000000002acee20, C4<0>; +L_0000000002b41ba0 .delay 1 (30000,30000,30000) L_0000000002b41ba0/d; +L_0000000002b40fd0/d .functor NOR 1, L_0000000002ace100, L_0000000002ace880, C4<0>, C4<0>; +L_0000000002b40fd0 .delay 1 (20000,20000,20000) L_0000000002b40fd0/d; +L_0000000002b40be0/d .functor XOR 1, L_0000000002b40fd0, L_0000000002ace920, C4<0>, C4<0>; +L_0000000002b40be0 .delay 1 (20000,20000,20000) L_0000000002b40be0/d; +v0000000002a89590_0 .net "a", 0 0, L_0000000002ace100; alias, 1 drivers +v0000000002a8a850_0 .net "anorb", 0 0, L_0000000002b40fd0; 1 drivers +v0000000002a8a990_0 .net "b", 0 0, L_0000000002ace880; alias, 1 drivers +v0000000002a8a2b0_0 .net "carryAND", 0 0, L_0000000002b41190; alias, 1 drivers +v0000000002a89db0_0 .net "carryin", 0 0, L_0000000002acee20; alias, 1 drivers +v0000000002a896d0_0 .net "i0", 0 0, L_0000000002ace920; alias, 1 drivers +v0000000002a8b250_0 .net "nab", 0 0, L_0000000002b40400; alias, 1 drivers +v0000000002a8aad0_0 .net "nac", 0 0, L_0000000002b41890; 1 drivers +v0000000002a89e50_0 .net "nbc", 0 0, L_0000000002b40c50; 1 drivers +v0000000002a8a350_0 .net "orNOR", 0 0, L_0000000002b40be0; alias, 1 drivers +v0000000002a8ac10_0 .net "sumXOR", 0 0, L_0000000002b41ba0; alias, 1 drivers +S_0000000002a91690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a91210; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b40390/d .functor NOT 1, L_0000000002acdca0, C4<0>, C4<0>, C4<0>; +L_0000000002b40390 .delay 1 (10000,10000,10000) L_0000000002b40390/d; +L_0000000002b41740/d .functor NOT 1, L_0000000002acdc00, C4<0>, C4<0>, C4<0>; +L_0000000002b41740 .delay 1 (10000,10000,10000) L_0000000002b41740/d; +L_0000000002b40ef0/d .functor NAND 1, L_0000000002acdc00, L_0000000002acdca0, L_0000000002b41ba0, C4<1>; +L_0000000002b40ef0 .delay 1 (30000,30000,30000) L_0000000002b40ef0/d; +L_0000000002b41820/d .functor NAND 1, L_0000000002acdc00, L_0000000002b40390, L_0000000002b40be0, C4<1>; +L_0000000002b41820 .delay 1 (30000,30000,30000) L_0000000002b41820/d; +L_0000000002b40a90/d .functor NAND 1, L_0000000002b41740, L_0000000002acdca0, L_0000000002b41190, C4<1>; +L_0000000002b40a90 .delay 1 (30000,30000,30000) L_0000000002b40a90/d; +L_0000000002b40d30/d .functor NAND 1, L_0000000002b41740, L_0000000002b40390, L_0000000002b40400, C4<1>; +L_0000000002b40d30 .delay 1 (30000,30000,30000) L_0000000002b40d30/d; +L_0000000002b41430/d .functor NAND 1, L_0000000002b40ef0, L_0000000002b41820, L_0000000002b40a90, L_0000000002b40d30; +L_0000000002b41430 .delay 1 (40000,40000,40000) L_0000000002b41430/d; +v0000000002a8afd0_0 .net "a", 0 0, L_0000000002b41ba0; alias, 1 drivers +v0000000002a8acb0_0 .net "aout", 0 0, L_0000000002b40ef0; 1 drivers +v0000000002a89950_0 .net "b", 0 0, L_0000000002b40be0; alias, 1 drivers +v0000000002a8a3f0_0 .net "bout", 0 0, L_0000000002b41820; 1 drivers +v0000000002a8b7f0_0 .net "c", 0 0, L_0000000002b41190; alias, 1 drivers +v0000000002a898b0_0 .net "cout", 0 0, L_0000000002b40a90; 1 drivers +v0000000002a8a210_0 .net "d", 0 0, L_0000000002b40400; alias, 1 drivers +v0000000002a8ad50_0 .net "dout", 0 0, L_0000000002b40d30; 1 drivers +v0000000002a8b1b0_0 .net "ns0", 0 0, L_0000000002b40390; 1 drivers +v0000000002a8adf0_0 .net "ns1", 0 0, L_0000000002b41740; 1 drivers +v0000000002a8ae90_0 .net "out", 0 0, L_0000000002b41430; alias, 1 drivers +v0000000002a8af30_0 .net "s0", 0 0, L_0000000002acdca0; 1 drivers +v0000000002a8b070_0 .net "s1", 0 0, L_0000000002acdc00; 1 drivers +S_0000000002a92110 .scope generate, "aluBits[12]" "aluBits[12]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8a60 .param/l "i" 0 4 52, +C4<01100>; +L_0000000002b40860/d .functor XOR 1, L_0000000002acea60, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b40860 .delay 1 (50000,50000,50000) L_0000000002b40860/d; +L_0000000002b41660/d .functor AND 1, v0000000002a01300_0, L_0000000002acd840, C4<1>, C4<1>; +L_0000000002b41660 .delay 1 (30000,30000,30000) L_0000000002b41660/d; +v0000000002a9c5c0_0 .net *"_s1", 0 0, L_0000000002acea60; 1 drivers +v0000000002a9d7e0_0 .net *"_s3", 0 0, L_0000000002acd840; 1 drivers +S_0000000002a92410 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92110; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a9d420_0 .net "a", 0 0, L_0000000002acd980; 1 drivers +v0000000002a9e5a0_0 .net "b", 0 0, L_0000000002acdac0; 1 drivers +v0000000002a9e280_0 .net "carryAND", 0 0, L_0000000002b41510; 1 drivers +v0000000002a9cde0_0 .net "cin", 0 0, L_0000000002aceb00; 1 drivers +v0000000002a9d4c0_0 .net "ctrl0", 0 0, L_0000000002ace2e0; 1 drivers +v0000000002a9da60_0 .net "nab", 0 0, L_0000000002b419e0; 1 drivers +v0000000002a9dec0_0 .net "orNOR", 0 0, L_0000000002b416d0; 1 drivers +v0000000002a9cd40_0 .net "res", 0 0, L_0000000002b409b0; 1 drivers +v0000000002a9ce80_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a9e6e0_0 .net "sumXOR", 0 0, L_0000000002b40160; 1 drivers +L_0000000002ace4c0 .part v0000000002a01440_0, 1, 1; +L_0000000002acd8e0 .part v0000000002a01440_0, 0, 1; +S_0000000002a91810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92410; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b419e0/d .functor NAND 1, L_0000000002acd980, L_0000000002acdac0, C4<1>, C4<1>; +L_0000000002b419e0 .delay 1 (20000,20000,20000) L_0000000002b419e0/d; +L_0000000002b40470/d .functor NAND 1, L_0000000002acdac0, L_0000000002aceb00, C4<1>, C4<1>; +L_0000000002b40470 .delay 1 (20000,20000,20000) L_0000000002b40470/d; +L_0000000002b40e10/d .functor NAND 1, L_0000000002acd980, L_0000000002aceb00, C4<1>, C4<1>; +L_0000000002b40e10 .delay 1 (20000,20000,20000) L_0000000002b40e10/d; +L_0000000002b41510/d .functor NAND 1, L_0000000002b419e0, L_0000000002b40e10, L_0000000002b40470, C4<1>; +L_0000000002b41510 .delay 1 (30000,30000,30000) L_0000000002b41510/d; +L_0000000002b40160/d .functor XOR 1, L_0000000002acd980, L_0000000002acdac0, L_0000000002aceb00, C4<0>; +L_0000000002b40160 .delay 1 (30000,30000,30000) L_0000000002b40160/d; +L_0000000002b40710/d .functor NOR 1, L_0000000002acd980, L_0000000002acdac0, C4<0>, C4<0>; +L_0000000002b40710 .delay 1 (20000,20000,20000) L_0000000002b40710/d; +L_0000000002b416d0/d .functor XOR 1, L_0000000002b40710, L_0000000002ace2e0, C4<0>, C4<0>; +L_0000000002b416d0 .delay 1 (20000,20000,20000) L_0000000002b416d0/d; +v0000000002a89a90_0 .net "a", 0 0, L_0000000002acd980; alias, 1 drivers +v0000000002a8b6b0_0 .net "anorb", 0 0, L_0000000002b40710; 1 drivers +v0000000002a8b750_0 .net "b", 0 0, L_0000000002acdac0; alias, 1 drivers +v0000000002a89090_0 .net "carryAND", 0 0, L_0000000002b41510; alias, 1 drivers +v0000000002a89bd0_0 .net "carryin", 0 0, L_0000000002aceb00; alias, 1 drivers +v0000000002a89630_0 .net "i0", 0 0, L_0000000002ace2e0; alias, 1 drivers +v0000000002a891d0_0 .net "nab", 0 0, L_0000000002b419e0; alias, 1 drivers +v0000000002a89d10_0 .net "nac", 0 0, L_0000000002b40e10; 1 drivers +v0000000002a8a030_0 .net "nbc", 0 0, L_0000000002b40470; 1 drivers +v0000000002a8a0d0_0 .net "orNOR", 0 0, L_0000000002b416d0; alias, 1 drivers +v0000000002a8a170_0 .net "sumXOR", 0 0, L_0000000002b40160; alias, 1 drivers +S_0000000002a92590 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92410; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b417b0/d .functor NOT 1, L_0000000002acd8e0, C4<0>, C4<0>, C4<0>; +L_0000000002b417b0 .delay 1 (10000,10000,10000) L_0000000002b417b0/d; +L_0000000002b41900/d .functor NOT 1, L_0000000002ace4c0, C4<0>, C4<0>, C4<0>; +L_0000000002b41900 .delay 1 (10000,10000,10000) L_0000000002b41900/d; +L_0000000002b415f0/d .functor NAND 1, L_0000000002ace4c0, L_0000000002acd8e0, L_0000000002b40160, C4<1>; +L_0000000002b415f0 .delay 1 (30000,30000,30000) L_0000000002b415f0/d; +L_0000000002b41040/d .functor NAND 1, L_0000000002ace4c0, L_0000000002b417b0, L_0000000002b416d0, C4<1>; +L_0000000002b41040 .delay 1 (30000,30000,30000) L_0000000002b41040/d; +L_0000000002b41580/d .functor NAND 1, L_0000000002b41900, L_0000000002acd8e0, L_0000000002b41510, C4<1>; +L_0000000002b41580 .delay 1 (30000,30000,30000) L_0000000002b41580/d; +L_0000000002b41200/d .functor NAND 1, L_0000000002b41900, L_0000000002b417b0, L_0000000002b419e0, C4<1>; +L_0000000002b41200 .delay 1 (30000,30000,30000) L_0000000002b41200/d; +L_0000000002b409b0/d .functor NAND 1, L_0000000002b415f0, L_0000000002b41040, L_0000000002b41580, L_0000000002b41200; +L_0000000002b409b0 .delay 1 (40000,40000,40000) L_0000000002b409b0/d; +v0000000002a9e3c0_0 .net "a", 0 0, L_0000000002b40160; alias, 1 drivers +v0000000002a9d6a0_0 .net "aout", 0 0, L_0000000002b415f0; 1 drivers +v0000000002a9c660_0 .net "b", 0 0, L_0000000002b416d0; alias, 1 drivers +v0000000002a9c160_0 .net "bout", 0 0, L_0000000002b41040; 1 drivers +v0000000002a9d060_0 .net "c", 0 0, L_0000000002b41510; alias, 1 drivers +v0000000002a9dba0_0 .net "cout", 0 0, L_0000000002b41580; 1 drivers +v0000000002a9dc40_0 .net "d", 0 0, L_0000000002b419e0; alias, 1 drivers +v0000000002a9e640_0 .net "dout", 0 0, L_0000000002b41200; 1 drivers +v0000000002a9e460_0 .net "ns0", 0 0, L_0000000002b417b0; 1 drivers +v0000000002a9d600_0 .net "ns1", 0 0, L_0000000002b41900; 1 drivers +v0000000002a9c340_0 .net "out", 0 0, L_0000000002b409b0; alias, 1 drivers +v0000000002a9d920_0 .net "s0", 0 0, L_0000000002acd8e0; 1 drivers +v0000000002a9c520_0 .net "s1", 0 0, L_0000000002ace4c0; 1 drivers +S_0000000002a92d10 .scope generate, "aluBits[13]" "aluBits[13]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8720 .param/l "i" 0 4 52, +C4<01101>; +L_0000000002b41c10/d .functor XOR 1, L_0000000002acf140, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b41c10 .delay 1 (50000,50000,50000) L_0000000002b41c10/d; +L_0000000002b41970/d .functor AND 1, v0000000002a01300_0, L_0000000002ace420, C4<1>, C4<1>; +L_0000000002b41970 .delay 1 (30000,30000,30000) L_0000000002b41970/d; +v0000000002a9e820_0 .net *"_s1", 0 0, L_0000000002acf140; 1 drivers +v0000000002a9cac0_0 .net *"_s3", 0 0, L_0000000002ace420; 1 drivers +S_0000000002a92e90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92d10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a9e500_0 .net "a", 0 0, L_0000000002ace600; 1 drivers +v0000000002a9e000_0 .net "b", 0 0, L_0000000002acece0; 1 drivers +v0000000002a9c8e0_0 .net "carryAND", 0 0, L_0000000002b401d0; 1 drivers +v0000000002a9e0a0_0 .net "cin", 0 0, L_0000000002acef60; 1 drivers +v0000000002a9c2a0_0 .net "ctrl0", 0 0, L_0000000002acdb60; 1 drivers +v0000000002a9d240_0 .net "nab", 0 0, L_0000000002b40cc0; 1 drivers +v0000000002a9c980_0 .net "orNOR", 0 0, L_0000000002b41c80; 1 drivers +v0000000002a9e320_0 .net "res", 0 0, L_0000000002b414a0; 1 drivers +v0000000002a9ca20_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a9e780_0 .net "sumXOR", 0 0, L_0000000002b40f60; 1 drivers +L_0000000002ace560 .part v0000000002a01440_0, 1, 1; +L_0000000002acec40 .part v0000000002a01440_0, 0, 1; +S_0000000002a91390 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92e90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b40cc0/d .functor NAND 1, L_0000000002ace600, L_0000000002acece0, C4<1>, C4<1>; +L_0000000002b40cc0 .delay 1 (20000,20000,20000) L_0000000002b40cc0/d; +L_0000000002b40da0/d .functor NAND 1, L_0000000002acece0, L_0000000002acef60, C4<1>, C4<1>; +L_0000000002b40da0 .delay 1 (20000,20000,20000) L_0000000002b40da0/d; +L_0000000002b404e0/d .functor NAND 1, L_0000000002ace600, L_0000000002acef60, C4<1>, C4<1>; +L_0000000002b404e0 .delay 1 (20000,20000,20000) L_0000000002b404e0/d; +L_0000000002b401d0/d .functor NAND 1, L_0000000002b40cc0, L_0000000002b404e0, L_0000000002b40da0, C4<1>; +L_0000000002b401d0 .delay 1 (30000,30000,30000) L_0000000002b401d0/d; +L_0000000002b40f60/d .functor XOR 1, L_0000000002ace600, L_0000000002acece0, L_0000000002acef60, C4<0>; +L_0000000002b40f60 .delay 1 (30000,30000,30000) L_0000000002b40f60/d; +L_0000000002b41a50/d .functor NOR 1, L_0000000002ace600, L_0000000002acece0, C4<0>, C4<0>; +L_0000000002b41a50 .delay 1 (20000,20000,20000) L_0000000002b41a50/d; +L_0000000002b41c80/d .functor XOR 1, L_0000000002b41a50, L_0000000002acdb60, C4<0>, C4<0>; +L_0000000002b41c80 .delay 1 (20000,20000,20000) L_0000000002b41c80/d; +v0000000002a9e140_0 .net "a", 0 0, L_0000000002ace600; alias, 1 drivers +v0000000002a9d560_0 .net "anorb", 0 0, L_0000000002b41a50; 1 drivers +v0000000002a9d740_0 .net "b", 0 0, L_0000000002acece0; alias, 1 drivers +v0000000002a9c3e0_0 .net "carryAND", 0 0, L_0000000002b401d0; alias, 1 drivers +v0000000002a9db00_0 .net "carryin", 0 0, L_0000000002acef60; alias, 1 drivers +v0000000002a9d2e0_0 .net "i0", 0 0, L_0000000002acdb60; alias, 1 drivers +v0000000002a9d380_0 .net "nab", 0 0, L_0000000002b40cc0; alias, 1 drivers +v0000000002a9dce0_0 .net "nac", 0 0, L_0000000002b404e0; 1 drivers +v0000000002a9dd80_0 .net "nbc", 0 0, L_0000000002b40da0; 1 drivers +v0000000002a9cf20_0 .net "orNOR", 0 0, L_0000000002b41c80; alias, 1 drivers +v0000000002a9c480_0 .net "sumXOR", 0 0, L_0000000002b40f60; alias, 1 drivers +S_0000000002a91b10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92e90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b40e80/d .functor NOT 1, L_0000000002acec40, C4<0>, C4<0>, C4<0>; +L_0000000002b40e80 .delay 1 (10000,10000,10000) L_0000000002b40e80/d; +L_0000000002b41ac0/d .functor NOT 1, L_0000000002ace560, C4<0>, C4<0>, C4<0>; +L_0000000002b41ac0 .delay 1 (10000,10000,10000) L_0000000002b41ac0/d; +L_0000000002b41270/d .functor NAND 1, L_0000000002ace560, L_0000000002acec40, L_0000000002b40f60, C4<1>; +L_0000000002b41270 .delay 1 (30000,30000,30000) L_0000000002b41270/d; +L_0000000002b412e0/d .functor NAND 1, L_0000000002ace560, L_0000000002b40e80, L_0000000002b41c80, C4<1>; +L_0000000002b412e0 .delay 1 (30000,30000,30000) L_0000000002b412e0/d; +L_0000000002b41350/d .functor NAND 1, L_0000000002b41ac0, L_0000000002acec40, L_0000000002b401d0, C4<1>; +L_0000000002b41350 .delay 1 (30000,30000,30000) L_0000000002b41350/d; +L_0000000002b413c0/d .functor NAND 1, L_0000000002b41ac0, L_0000000002b40e80, L_0000000002b40cc0, C4<1>; +L_0000000002b413c0 .delay 1 (30000,30000,30000) L_0000000002b413c0/d; +L_0000000002b414a0/d .functor NAND 1, L_0000000002b41270, L_0000000002b412e0, L_0000000002b41350, L_0000000002b413c0; +L_0000000002b414a0 .delay 1 (40000,40000,40000) L_0000000002b414a0/d; +v0000000002a9e1e0_0 .net "a", 0 0, L_0000000002b40f60; alias, 1 drivers +v0000000002a9d880_0 .net "aout", 0 0, L_0000000002b41270; 1 drivers +v0000000002a9c700_0 .net "b", 0 0, L_0000000002b41c80; alias, 1 drivers +v0000000002a9d100_0 .net "bout", 0 0, L_0000000002b412e0; 1 drivers +v0000000002a9cb60_0 .net "c", 0 0, L_0000000002b401d0; alias, 1 drivers +v0000000002a9cfc0_0 .net "cout", 0 0, L_0000000002b41350; 1 drivers +v0000000002a9de20_0 .net "d", 0 0, L_0000000002b40cc0; alias, 1 drivers +v0000000002a9cc00_0 .net "dout", 0 0, L_0000000002b413c0; 1 drivers +v0000000002a9c7a0_0 .net "ns0", 0 0, L_0000000002b40e80; 1 drivers +v0000000002a9c840_0 .net "ns1", 0 0, L_0000000002b41ac0; 1 drivers +v0000000002a9df60_0 .net "out", 0 0, L_0000000002b414a0; alias, 1 drivers +v0000000002a9d1a0_0 .net "s0", 0 0, L_0000000002acec40; 1 drivers +v0000000002a9d9c0_0 .net "s1", 0 0, L_0000000002ace560; 1 drivers +S_0000000002a91990 .scope generate, "aluBits[14]" "aluBits[14]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7fe0 .param/l "i" 0 4 52, +C4<01110>; +L_0000000002b400f0/d .functor XOR 1, L_0000000002acf000, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b400f0 .delay 1 (50000,50000,50000) L_0000000002b400f0/d; +L_0000000002b40550/d .functor AND 1, v0000000002a01300_0, L_0000000002b504b0, C4<1>, C4<1>; +L_0000000002b40550 .delay 1 (30000,30000,30000) L_0000000002b40550/d; +v0000000002a972a0_0 .net *"_s1", 0 0, L_0000000002acf000; 1 drivers +v0000000002a986a0_0 .net *"_s3", 0 0, L_0000000002b504b0; 1 drivers +S_0000000002a92290 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a91990; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a98ce0_0 .net "a", 0 0, L_0000000002b4f8d0; 1 drivers +v0000000002a99320_0 .net "b", 0 0, L_0000000002b50af0; 1 drivers +v0000000002a98100_0 .net "carryAND", 0 0, L_0000000002b40780; 1 drivers +v0000000002a97520_0 .net "cin", 0 0, L_0000000002b51090; 1 drivers +v0000000002a99640_0 .net "ctrl0", 0 0, L_0000000002b50e10; 1 drivers +v0000000002a97fc0_0 .net "nab", 0 0, L_0000000002b40240; 1 drivers +v0000000002a996e0_0 .net "orNOR", 0 0, L_0000000002b40940; 1 drivers +v0000000002a982e0_0 .net "res", 0 0, L_0000000002b41eb0; 1 drivers +v0000000002a97de0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a97700_0 .net "sumXOR", 0 0, L_0000000002b407f0; 1 drivers +L_0000000002b4fb50 .part v0000000002a01440_0, 1, 1; +L_0000000002b50550 .part v0000000002a01440_0, 0, 1; +S_0000000002a91e10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92290; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b40240/d .functor NAND 1, L_0000000002b4f8d0, L_0000000002b50af0, C4<1>, C4<1>; +L_0000000002b40240 .delay 1 (20000,20000,20000) L_0000000002b40240/d; +L_0000000002b406a0/d .functor NAND 1, L_0000000002b50af0, L_0000000002b51090, C4<1>, C4<1>; +L_0000000002b406a0 .delay 1 (20000,20000,20000) L_0000000002b406a0/d; +L_0000000002b402b0/d .functor NAND 1, L_0000000002b4f8d0, L_0000000002b51090, C4<1>, C4<1>; +L_0000000002b402b0 .delay 1 (20000,20000,20000) L_0000000002b402b0/d; +L_0000000002b40780/d .functor NAND 1, L_0000000002b40240, L_0000000002b402b0, L_0000000002b406a0, C4<1>; +L_0000000002b40780 .delay 1 (30000,30000,30000) L_0000000002b40780/d; +L_0000000002b407f0/d .functor XOR 1, L_0000000002b4f8d0, L_0000000002b50af0, L_0000000002b51090, C4<0>; +L_0000000002b407f0 .delay 1 (30000,30000,30000) L_0000000002b407f0/d; +L_0000000002b408d0/d .functor NOR 1, L_0000000002b4f8d0, L_0000000002b50af0, C4<0>, C4<0>; +L_0000000002b408d0 .delay 1 (20000,20000,20000) L_0000000002b408d0/d; +L_0000000002b40940/d .functor XOR 1, L_0000000002b408d0, L_0000000002b50e10, C4<0>, C4<0>; +L_0000000002b40940 .delay 1 (20000,20000,20000) L_0000000002b40940/d; +v0000000002a9c0c0_0 .net "a", 0 0, L_0000000002b4f8d0; alias, 1 drivers +v0000000002a9cca0_0 .net "anorb", 0 0, L_0000000002b408d0; 1 drivers +v0000000002a9c200_0 .net "b", 0 0, L_0000000002b50af0; alias, 1 drivers +v0000000002a9ec80_0 .net "carryAND", 0 0, L_0000000002b40780; alias, 1 drivers +v0000000002a9ea00_0 .net "carryin", 0 0, L_0000000002b51090; alias, 1 drivers +v0000000002a9eb40_0 .net "i0", 0 0, L_0000000002b50e10; alias, 1 drivers +v0000000002a9ed20_0 .net "nab", 0 0, L_0000000002b40240; alias, 1 drivers +v0000000002a9e8c0_0 .net "nac", 0 0, L_0000000002b402b0; 1 drivers +v0000000002a9edc0_0 .net "nbc", 0 0, L_0000000002b406a0; 1 drivers +v0000000002a9eaa0_0 .net "orNOR", 0 0, L_0000000002b40940; alias, 1 drivers +v0000000002a9ee60_0 .net "sumXOR", 0 0, L_0000000002b407f0; alias, 1 drivers +S_0000000002a91f90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92290; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b40a20/d .functor NOT 1, L_0000000002b50550, C4<0>, C4<0>, C4<0>; +L_0000000002b40a20 .delay 1 (10000,10000,10000) L_0000000002b40a20/d; +L_0000000002b40b00/d .functor NOT 1, L_0000000002b4fb50, C4<0>, C4<0>, C4<0>; +L_0000000002b40b00 .delay 1 (10000,10000,10000) L_0000000002b40b00/d; +L_0000000002b40b70/d .functor NAND 1, L_0000000002b4fb50, L_0000000002b50550, L_0000000002b407f0, C4<1>; +L_0000000002b40b70 .delay 1 (30000,30000,30000) L_0000000002b40b70/d; +L_0000000002b41f90/d .functor NAND 1, L_0000000002b4fb50, L_0000000002b40a20, L_0000000002b40940, C4<1>; +L_0000000002b41f90 .delay 1 (30000,30000,30000) L_0000000002b41f90/d; +L_0000000002b41e40/d .functor NAND 1, L_0000000002b40b00, L_0000000002b50550, L_0000000002b40780, C4<1>; +L_0000000002b41e40 .delay 1 (30000,30000,30000) L_0000000002b41e40/d; +L_0000000002b41dd0/d .functor NAND 1, L_0000000002b40b00, L_0000000002b40a20, L_0000000002b40240, C4<1>; +L_0000000002b41dd0 .delay 1 (30000,30000,30000) L_0000000002b41dd0/d; +L_0000000002b41eb0/d .functor NAND 1, L_0000000002b40b70, L_0000000002b41f90, L_0000000002b41e40, L_0000000002b41dd0; +L_0000000002b41eb0 .delay 1 (40000,40000,40000) L_0000000002b41eb0/d; +v0000000002a9ef00_0 .net "a", 0 0, L_0000000002b407f0; alias, 1 drivers +v0000000002a9efa0_0 .net "aout", 0 0, L_0000000002b40b70; 1 drivers +v0000000002a9ebe0_0 .net "b", 0 0, L_0000000002b40940; alias, 1 drivers +v0000000002a9e960_0 .net "bout", 0 0, L_0000000002b41f90; 1 drivers +v0000000002a990a0_0 .net "c", 0 0, L_0000000002b40780; alias, 1 drivers +v0000000002a97200_0 .net "cout", 0 0, L_0000000002b41e40; 1 drivers +v0000000002a97b60_0 .net "d", 0 0, L_0000000002b40240; alias, 1 drivers +v0000000002a995a0_0 .net "dout", 0 0, L_0000000002b41dd0; 1 drivers +v0000000002a98740_0 .net "ns0", 0 0, L_0000000002b40a20; 1 drivers +v0000000002a987e0_0 .net "ns1", 0 0, L_0000000002b40b00; 1 drivers +v0000000002a97a20_0 .net "out", 0 0, L_0000000002b41eb0; alias, 1 drivers +v0000000002a99780_0 .net "s0", 0 0, L_0000000002b50550; 1 drivers +v0000000002a98a60_0 .net "s1", 0 0, L_0000000002b4fb50; 1 drivers +S_0000000002a92710 .scope generate, "aluBits[15]" "aluBits[15]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8760 .param/l "i" 0 4 52, +C4<01111>; +L_0000000002b42000/d .functor XOR 1, L_0000000002b4eed0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b42000 .delay 1 (50000,50000,50000) L_0000000002b42000/d; +L_0000000002b41cf0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ef70, C4<1>, C4<1>; +L_0000000002b41cf0 .delay 1 (30000,30000,30000) L_0000000002b41cf0/d; +v0000000002a97980_0 .net *"_s1", 0 0, L_0000000002b4eed0; 1 drivers +v0000000002a97ac0_0 .net *"_s3", 0 0, L_0000000002b4ef70; 1 drivers +S_0000000002a92b90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92710; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a98ba0_0 .net "a", 0 0, L_0000000002b4eb10; 1 drivers +v0000000002a977a0_0 .net "b", 0 0, L_0000000002b50eb0; 1 drivers +v0000000002a993c0_0 .net "carryAND", 0 0, L_0000000002aea910; 1 drivers +v0000000002a98d80_0 .net "cin", 0 0, L_0000000002b50230; 1 drivers +v0000000002a98e20_0 .net "ctrl0", 0 0, L_0000000002b50190; 1 drivers +v0000000002a99460_0 .net "nab", 0 0, L_0000000002b41d60; 1 drivers +v0000000002a97840_0 .net "orNOR", 0 0, L_0000000002aeaf30; 1 drivers +v0000000002a99000_0 .net "res", 0 0, L_0000000002aea1a0; 1 drivers +v0000000002a978e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a99500_0 .net "sumXOR", 0 0, L_0000000002aeaa60; 1 drivers +L_0000000002b4ffb0 .part v0000000002a01440_0, 1, 1; +L_0000000002b4f010 .part v0000000002a01440_0, 0, 1; +S_0000000002aa22d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92b90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b41d60/d .functor NAND 1, L_0000000002b4eb10, L_0000000002b50eb0, C4<1>, C4<1>; +L_0000000002b41d60 .delay 1 (20000,20000,20000) L_0000000002b41d60/d; +L_0000000002b41f20/d .functor NAND 1, L_0000000002b50eb0, L_0000000002b50230, C4<1>, C4<1>; +L_0000000002b41f20 .delay 1 (20000,20000,20000) L_0000000002b41f20/d; +L_0000000002aeb4e0/d .functor NAND 1, L_0000000002b4eb10, L_0000000002b50230, C4<1>, C4<1>; +L_0000000002aeb4e0 .delay 1 (20000,20000,20000) L_0000000002aeb4e0/d; +L_0000000002aea910/d .functor NAND 1, L_0000000002b41d60, L_0000000002aeb4e0, L_0000000002b41f20, C4<1>; +L_0000000002aea910 .delay 1 (30000,30000,30000) L_0000000002aea910/d; +L_0000000002aeaa60/d .functor XOR 1, L_0000000002b4eb10, L_0000000002b50eb0, L_0000000002b50230, C4<0>; +L_0000000002aeaa60 .delay 1 (30000,30000,30000) L_0000000002aeaa60/d; +L_0000000002aea980/d .functor NOR 1, L_0000000002b4eb10, L_0000000002b50eb0, C4<0>, C4<0>; +L_0000000002aea980 .delay 1 (20000,20000,20000) L_0000000002aea980/d; +L_0000000002aeaf30/d .functor XOR 1, L_0000000002aea980, L_0000000002b50190, C4<0>, C4<0>; +L_0000000002aeaf30 .delay 1 (20000,20000,20000) L_0000000002aeaf30/d; +v0000000002a98240_0 .net "a", 0 0, L_0000000002b4eb10; alias, 1 drivers +v0000000002a981a0_0 .net "anorb", 0 0, L_0000000002aea980; 1 drivers +v0000000002a98380_0 .net "b", 0 0, L_0000000002b50eb0; alias, 1 drivers +v0000000002a97340_0 .net "carryAND", 0 0, L_0000000002aea910; alias, 1 drivers +v0000000002a98c40_0 .net "carryin", 0 0, L_0000000002b50230; alias, 1 drivers +v0000000002a991e0_0 .net "i0", 0 0, L_0000000002b50190; alias, 1 drivers +v0000000002a98420_0 .net "nab", 0 0, L_0000000002b41d60; alias, 1 drivers +v0000000002a989c0_0 .net "nac", 0 0, L_0000000002aeb4e0; 1 drivers +v0000000002a97480_0 .net "nbc", 0 0, L_0000000002b41f20; 1 drivers +v0000000002a984c0_0 .net "orNOR", 0 0, L_0000000002aeaf30; alias, 1 drivers +v0000000002a97c00_0 .net "sumXOR", 0 0, L_0000000002aeaa60; alias, 1 drivers +S_0000000002aa2450 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92b90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae9aa0/d .functor NOT 1, L_0000000002b4f010, C4<0>, C4<0>, C4<0>; +L_0000000002ae9aa0 .delay 1 (10000,10000,10000) L_0000000002ae9aa0/d; +L_0000000002aeb320/d .functor NOT 1, L_0000000002b4ffb0, C4<0>, C4<0>, C4<0>; +L_0000000002aeb320 .delay 1 (10000,10000,10000) L_0000000002aeb320/d; +L_0000000002aea2f0/d .functor NAND 1, L_0000000002b4ffb0, L_0000000002b4f010, L_0000000002aeaa60, C4<1>; +L_0000000002aea2f0 .delay 1 (30000,30000,30000) L_0000000002aea2f0/d; +L_0000000002aeae50/d .functor NAND 1, L_0000000002b4ffb0, L_0000000002ae9aa0, L_0000000002aeaf30, C4<1>; +L_0000000002aeae50 .delay 1 (30000,30000,30000) L_0000000002aeae50/d; +L_0000000002aeaec0/d .functor NAND 1, L_0000000002aeb320, L_0000000002b4f010, L_0000000002aea910, C4<1>; +L_0000000002aeaec0 .delay 1 (30000,30000,30000) L_0000000002aeaec0/d; +L_0000000002ae9b10/d .functor NAND 1, L_0000000002aeb320, L_0000000002ae9aa0, L_0000000002b41d60, C4<1>; +L_0000000002ae9b10 .delay 1 (30000,30000,30000) L_0000000002ae9b10/d; +L_0000000002aea1a0/d .functor NAND 1, L_0000000002aea2f0, L_0000000002aeae50, L_0000000002aeaec0, L_0000000002ae9b10; +L_0000000002aea1a0 .delay 1 (40000,40000,40000) L_0000000002aea1a0/d; +v0000000002a97e80_0 .net "a", 0 0, L_0000000002aeaa60; alias, 1 drivers +v0000000002a98880_0 .net "aout", 0 0, L_0000000002aea2f0; 1 drivers +v0000000002a98560_0 .net "b", 0 0, L_0000000002aeaf30; alias, 1 drivers +v0000000002a973e0_0 .net "bout", 0 0, L_0000000002aeae50; 1 drivers +v0000000002a98600_0 .net "c", 0 0, L_0000000002aea910; alias, 1 drivers +v0000000002a99140_0 .net "cout", 0 0, L_0000000002aeaec0; 1 drivers +v0000000002a97f20_0 .net "d", 0 0, L_0000000002b41d60; alias, 1 drivers +v0000000002a97660_0 .net "dout", 0 0, L_0000000002ae9b10; 1 drivers +v0000000002a98920_0 .net "ns0", 0 0, L_0000000002ae9aa0; 1 drivers +v0000000002a975c0_0 .net "ns1", 0 0, L_0000000002aeb320; 1 drivers +v0000000002a98060_0 .net "out", 0 0, L_0000000002aea1a0; alias, 1 drivers +v0000000002a99280_0 .net "s0", 0 0, L_0000000002b4f010; 1 drivers +v0000000002a98b00_0 .net "s1", 0 0, L_0000000002b4ffb0; 1 drivers +S_0000000002aa1fd0 .scope generate, "aluBits[16]" "aluBits[16]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7c60 .param/l "i" 0 4 52, +C4<010000>; +L_0000000002aea7c0/d .functor XOR 1, L_0000000002b507d0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002aea7c0 .delay 1 (50000,50000,50000) L_0000000002aea7c0/d; +L_0000000002aeafa0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4f6f0, C4<1>, C4<1>; +L_0000000002aeafa0 .delay 1 (30000,30000,30000) L_0000000002aeafa0/d; +v0000000002a99c80_0 .net *"_s1", 0 0, L_0000000002b507d0; 1 drivers +v0000000002a9a040_0 .net *"_s3", 0 0, L_0000000002b4f6f0; 1 drivers +S_0000000002aa2bd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1fd0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a9b300_0 .net "a", 0 0, L_0000000002b50a50; 1 drivers +v0000000002a99960_0 .net "b", 0 0, L_0000000002b50730; 1 drivers +v0000000002a99a00_0 .net "carryAND", 0 0, L_0000000002aea130; 1 drivers +v0000000002a9a9a0_0 .net "cin", 0 0, L_0000000002b4ff10; 1 drivers +v0000000002a9acc0_0 .net "ctrl0", 0 0, L_0000000002b50f50; 1 drivers +v0000000002a9ad60_0 .net "nab", 0 0, L_0000000002aeb470; 1 drivers +v0000000002a9bc60_0 .net "orNOR", 0 0, L_0000000002aeb550; 1 drivers +v0000000002a99b40_0 .net "res", 0 0, L_0000000002aea6e0; 1 drivers +v0000000002a9bd00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a9b080_0 .net "sumXOR", 0 0, L_0000000002aeb160; 1 drivers +L_0000000002b4f0b0 .part v0000000002a01440_0, 1, 1; +L_0000000002b4ecf0 .part v0000000002a01440_0, 0, 1; +S_0000000002aa2ed0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2bd0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002aeb470/d .functor NAND 1, L_0000000002b50a50, L_0000000002b50730, C4<1>, C4<1>; +L_0000000002aeb470 .delay 1 (20000,20000,20000) L_0000000002aeb470/d; +L_0000000002aeaad0/d .functor NAND 1, L_0000000002b50730, L_0000000002b4ff10, C4<1>, C4<1>; +L_0000000002aeaad0 .delay 1 (20000,20000,20000) L_0000000002aeaad0/d; +L_0000000002ae9cd0/d .functor NAND 1, L_0000000002b50a50, L_0000000002b4ff10, C4<1>, C4<1>; +L_0000000002ae9cd0 .delay 1 (20000,20000,20000) L_0000000002ae9cd0/d; +L_0000000002aea130/d .functor NAND 1, L_0000000002aeb470, L_0000000002ae9cd0, L_0000000002aeaad0, C4<1>; +L_0000000002aea130 .delay 1 (30000,30000,30000) L_0000000002aea130/d; +L_0000000002aeb160/d .functor XOR 1, L_0000000002b50a50, L_0000000002b50730, L_0000000002b4ff10, C4<0>; +L_0000000002aeb160 .delay 1 (30000,30000,30000) L_0000000002aeb160/d; +L_0000000002aea670/d .functor NOR 1, L_0000000002b50a50, L_0000000002b50730, C4<0>, C4<0>; +L_0000000002aea670 .delay 1 (20000,20000,20000) L_0000000002aea670/d; +L_0000000002aeb550/d .functor XOR 1, L_0000000002aea670, L_0000000002b50f50, C4<0>, C4<0>; +L_0000000002aeb550 .delay 1 (20000,20000,20000) L_0000000002aeb550/d; +v0000000002a97ca0_0 .net "a", 0 0, L_0000000002b50a50; alias, 1 drivers +v0000000002a97d40_0 .net "anorb", 0 0, L_0000000002aea670; 1 drivers +v0000000002a98ec0_0 .net "b", 0 0, L_0000000002b50730; alias, 1 drivers +v0000000002a98f60_0 .net "carryAND", 0 0, L_0000000002aea130; alias, 1 drivers +v0000000002a99820_0 .net "carryin", 0 0, L_0000000002b4ff10; alias, 1 drivers +v0000000002a970c0_0 .net "i0", 0 0, L_0000000002b50f50; alias, 1 drivers +v0000000002a97160_0 .net "nab", 0 0, L_0000000002aeb470; alias, 1 drivers +v0000000002a9a540_0 .net "nac", 0 0, L_0000000002ae9cd0; 1 drivers +v0000000002a9a5e0_0 .net "nbc", 0 0, L_0000000002aeaad0; 1 drivers +v0000000002a99fa0_0 .net "orNOR", 0 0, L_0000000002aeb550; alias, 1 drivers +v0000000002a9b8a0_0 .net "sumXOR", 0 0, L_0000000002aeb160; alias, 1 drivers +S_0000000002aa16d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa2bd0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002aea520/d .functor NOT 1, L_0000000002b4ecf0, C4<0>, C4<0>, C4<0>; +L_0000000002aea520 .delay 1 (10000,10000,10000) L_0000000002aea520/d; +L_0000000002aea4b0/d .functor NOT 1, L_0000000002b4f0b0, C4<0>, C4<0>, C4<0>; +L_0000000002aea4b0 .delay 1 (10000,10000,10000) L_0000000002aea4b0/d; +L_0000000002ae9bf0/d .functor NAND 1, L_0000000002b4f0b0, L_0000000002b4ecf0, L_0000000002aeb160, C4<1>; +L_0000000002ae9bf0 .delay 1 (30000,30000,30000) L_0000000002ae9bf0/d; +L_0000000002aeac20/d .functor NAND 1, L_0000000002b4f0b0, L_0000000002aea520, L_0000000002aeb550, C4<1>; +L_0000000002aeac20 .delay 1 (30000,30000,30000) L_0000000002aeac20/d; +L_0000000002aea830/d .functor NAND 1, L_0000000002aea4b0, L_0000000002b4ecf0, L_0000000002aea130, C4<1>; +L_0000000002aea830 .delay 1 (30000,30000,30000) L_0000000002aea830/d; +L_0000000002ae9db0/d .functor NAND 1, L_0000000002aea4b0, L_0000000002aea520, L_0000000002aeb470, C4<1>; +L_0000000002ae9db0 .delay 1 (30000,30000,30000) L_0000000002ae9db0/d; +L_0000000002aea6e0/d .functor NAND 1, L_0000000002ae9bf0, L_0000000002aeac20, L_0000000002aea830, L_0000000002ae9db0; +L_0000000002aea6e0 .delay 1 (40000,40000,40000) L_0000000002aea6e0/d; +v0000000002a9bf80_0 .net "a", 0 0, L_0000000002aeb160; alias, 1 drivers +v0000000002a998c0_0 .net "aout", 0 0, L_0000000002ae9bf0; 1 drivers +v0000000002a9a680_0 .net "b", 0 0, L_0000000002aeb550; alias, 1 drivers +v0000000002a9bda0_0 .net "bout", 0 0, L_0000000002aeac20; 1 drivers +v0000000002a9bee0_0 .net "c", 0 0, L_0000000002aea130; alias, 1 drivers +v0000000002a9c020_0 .net "cout", 0 0, L_0000000002aea830; 1 drivers +v0000000002a9a4a0_0 .net "d", 0 0, L_0000000002aeb470; alias, 1 drivers +v0000000002a9a900_0 .net "dout", 0 0, L_0000000002ae9db0; 1 drivers +v0000000002a9b440_0 .net "ns0", 0 0, L_0000000002aea520; 1 drivers +v0000000002a9be40_0 .net "ns1", 0 0, L_0000000002aea4b0; 1 drivers +v0000000002a9afe0_0 .net "out", 0 0, L_0000000002aea6e0; alias, 1 drivers +v0000000002a9b120_0 .net "s0", 0 0, L_0000000002b4ecf0; 1 drivers +v0000000002a9b940_0 .net "s1", 0 0, L_0000000002b4f0b0; 1 drivers +S_0000000002aa2150 .scope generate, "aluBits[17]" "aluBits[17]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8aa0 .param/l "i" 0 4 52, +C4<010001>; +L_0000000002ae9c60/d .functor XOR 1, L_0000000002b4f790, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002ae9c60 .delay 1 (50000,50000,50000) L_0000000002ae9c60/d; +L_0000000002aeade0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ed90, C4<1>, C4<1>; +L_0000000002aeade0 .delay 1 (30000,30000,30000) L_0000000002aeade0/d; +v0000000002a9b800_0 .net *"_s1", 0 0, L_0000000002b4f790; 1 drivers +v0000000002ab2e80_0 .net *"_s3", 0 0, L_0000000002b4ed90; 1 drivers +S_0000000002aa2a50 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa2150; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002a9b260_0 .net "a", 0 0, L_0000000002b4f150; 1 drivers +v0000000002a9a400_0 .net "b", 0 0, L_0000000002b50370; 1 drivers +v0000000002a9a720_0 .net "carryAND", 0 0, L_0000000002ae9d40; 1 drivers +v0000000002a9a7c0_0 .net "cin", 0 0, L_0000000002b50910; 1 drivers +v0000000002a9a860_0 .net "ctrl0", 0 0, L_0000000002b4f3d0; 1 drivers +v0000000002a9aae0_0 .net "nab", 0 0, L_0000000002aeab40; 1 drivers +v0000000002a9ab80_0 .net "orNOR", 0 0, L_0000000002aea600; 1 drivers +v0000000002a9b4e0_0 .net "res", 0 0, L_0000000002ae9f70; 1 drivers +v0000000002a9ac20_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002a9b6c0_0 .net "sumXOR", 0 0, L_0000000002aeb010; 1 drivers +L_0000000002b502d0 .part v0000000002a01440_0, 1, 1; +L_0000000002b4f650 .part v0000000002a01440_0, 0, 1; +S_0000000002aa10d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2a50; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002aeab40/d .functor NAND 1, L_0000000002b4f150, L_0000000002b50370, C4<1>, C4<1>; +L_0000000002aeab40 .delay 1 (20000,20000,20000) L_0000000002aeab40/d; +L_0000000002aeac90/d .functor NAND 1, L_0000000002b50370, L_0000000002b50910, C4<1>, C4<1>; +L_0000000002aeac90 .delay 1 (20000,20000,20000) L_0000000002aeac90/d; +L_0000000002aea9f0/d .functor NAND 1, L_0000000002b4f150, L_0000000002b50910, C4<1>, C4<1>; +L_0000000002aea9f0 .delay 1 (20000,20000,20000) L_0000000002aea9f0/d; +L_0000000002ae9d40/d .functor NAND 1, L_0000000002aeab40, L_0000000002aea9f0, L_0000000002aeac90, C4<1>; +L_0000000002ae9d40 .delay 1 (30000,30000,30000) L_0000000002ae9d40/d; +L_0000000002aeb010/d .functor XOR 1, L_0000000002b4f150, L_0000000002b50370, L_0000000002b50910, C4<0>; +L_0000000002aeb010 .delay 1 (30000,30000,30000) L_0000000002aeb010/d; +L_0000000002aeb1d0/d .functor NOR 1, L_0000000002b4f150, L_0000000002b50370, C4<0>, C4<0>; +L_0000000002aeb1d0 .delay 1 (20000,20000,20000) L_0000000002aeb1d0/d; +L_0000000002aea600/d .functor XOR 1, L_0000000002aeb1d0, L_0000000002b4f3d0, C4<0>, C4<0>; +L_0000000002aea600 .delay 1 (20000,20000,20000) L_0000000002aea600/d; +v0000000002a99dc0_0 .net "a", 0 0, L_0000000002b4f150; alias, 1 drivers +v0000000002a9ae00_0 .net "anorb", 0 0, L_0000000002aeb1d0; 1 drivers +v0000000002a9b580_0 .net "b", 0 0, L_0000000002b50370; alias, 1 drivers +v0000000002a99aa0_0 .net "carryAND", 0 0, L_0000000002ae9d40; alias, 1 drivers +v0000000002a9af40_0 .net "carryin", 0 0, L_0000000002b50910; alias, 1 drivers +v0000000002a99d20_0 .net "i0", 0 0, L_0000000002b4f3d0; alias, 1 drivers +v0000000002a9b1c0_0 .net "nab", 0 0, L_0000000002aeab40; alias, 1 drivers +v0000000002a9b760_0 .net "nac", 0 0, L_0000000002aea9f0; 1 drivers +v0000000002a9aea0_0 .net "nbc", 0 0, L_0000000002aeac90; 1 drivers +v0000000002a9aa40_0 .net "orNOR", 0 0, L_0000000002aea600; alias, 1 drivers +v0000000002a99be0_0 .net "sumXOR", 0 0, L_0000000002aeb010; alias, 1 drivers +S_0000000002aa1550 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa2a50; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002aeb0f0/d .functor NOT 1, L_0000000002b4f650, C4<0>, C4<0>, C4<0>; +L_0000000002aeb0f0 .delay 1 (10000,10000,10000) L_0000000002aeb0f0/d; +L_0000000002aeabb0/d .functor NOT 1, L_0000000002b502d0, C4<0>, C4<0>, C4<0>; +L_0000000002aeabb0 .delay 1 (10000,10000,10000) L_0000000002aeabb0/d; +L_0000000002aead00/d .functor NAND 1, L_0000000002b502d0, L_0000000002b4f650, L_0000000002aeb010, C4<1>; +L_0000000002aead00 .delay 1 (30000,30000,30000) L_0000000002aead00/d; +L_0000000002aead70/d .functor NAND 1, L_0000000002b502d0, L_0000000002aeb0f0, L_0000000002aea600, C4<1>; +L_0000000002aead70 .delay 1 (30000,30000,30000) L_0000000002aead70/d; +L_0000000002aeb080/d .functor NAND 1, L_0000000002aeabb0, L_0000000002b4f650, L_0000000002ae9d40, C4<1>; +L_0000000002aeb080 .delay 1 (30000,30000,30000) L_0000000002aeb080/d; +L_0000000002ae9e20/d .functor NAND 1, L_0000000002aeabb0, L_0000000002aeb0f0, L_0000000002aeab40, C4<1>; +L_0000000002ae9e20 .delay 1 (30000,30000,30000) L_0000000002ae9e20/d; +L_0000000002ae9f70/d .functor NAND 1, L_0000000002aead00, L_0000000002aead70, L_0000000002aeb080, L_0000000002ae9e20; +L_0000000002ae9f70 .delay 1 (40000,40000,40000) L_0000000002ae9f70/d; +v0000000002a9b3a0_0 .net "a", 0 0, L_0000000002aeb010; alias, 1 drivers +v0000000002a9ba80_0 .net "aout", 0 0, L_0000000002aead00; 1 drivers +v0000000002a9b9e0_0 .net "b", 0 0, L_0000000002aea600; alias, 1 drivers +v0000000002a9bbc0_0 .net "bout", 0 0, L_0000000002aead70; 1 drivers +v0000000002a9b620_0 .net "c", 0 0, L_0000000002ae9d40; alias, 1 drivers +v0000000002a99e60_0 .net "cout", 0 0, L_0000000002aeb080; 1 drivers +v0000000002a9bb20_0 .net "d", 0 0, L_0000000002aeab40; alias, 1 drivers +v0000000002a99f00_0 .net "dout", 0 0, L_0000000002ae9e20; 1 drivers +v0000000002a9a0e0_0 .net "ns0", 0 0, L_0000000002aeb0f0; 1 drivers +v0000000002a9a180_0 .net "ns1", 0 0, L_0000000002aeabb0; 1 drivers +v0000000002a9a220_0 .net "out", 0 0, L_0000000002ae9f70; alias, 1 drivers +v0000000002a9a2c0_0 .net "s0", 0 0, L_0000000002b4f650; 1 drivers +v0000000002a9a360_0 .net "s1", 0 0, L_0000000002b502d0; 1 drivers +S_0000000002aa1850 .scope generate, "aluBits[18]" "aluBits[18]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8ae0 .param/l "i" 0 4 52, +C4<010010>; +L_0000000002aea590/d .functor XOR 1, L_0000000002b50b90, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002aea590 .delay 1 (50000,50000,50000) L_0000000002aea590/d; +L_0000000002aeb240/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ebb0, C4<1>, C4<1>; +L_0000000002aeb240 .delay 1 (30000,30000,30000) L_0000000002aeb240/d; +v0000000002ab1d00_0 .net *"_s1", 0 0, L_0000000002b50b90; 1 drivers +v0000000002ab28e0_0 .net *"_s3", 0 0, L_0000000002b4ebb0; 1 drivers +S_0000000002aa25d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1850; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab20c0_0 .net "a", 0 0, L_0000000002b50d70; 1 drivers +v0000000002ab2f20_0 .net "b", 0 0, L_0000000002b50050; 1 drivers +v0000000002ab2b60_0 .net "carryAND", 0 0, L_0000000002aeb400; 1 drivers +v0000000002ab14e0_0 .net "cin", 0 0, L_0000000002b4ee30; 1 drivers +v0000000002ab22a0_0 .net "ctrl0", 0 0, L_0000000002b4fc90; 1 drivers +v0000000002ab2200_0 .net "nab", 0 0, L_0000000002aeb2b0; 1 drivers +v0000000002ab2c00_0 .net "orNOR", 0 0, L_0000000002ae9e90; 1 drivers +v0000000002ab3740_0 .net "res", 0 0, L_0000000002aea360; 1 drivers +v0000000002ab13a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ab2d40_0 .net "sumXOR", 0 0, L_0000000002ae9a30; 1 drivers +L_0000000002b50cd0 .part v0000000002a01440_0, 1, 1; +L_0000000002b4f830 .part v0000000002a01440_0, 0, 1; +S_0000000002aa19d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa25d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002aeb2b0/d .functor NAND 1, L_0000000002b50d70, L_0000000002b50050, C4<1>, C4<1>; +L_0000000002aeb2b0 .delay 1 (20000,20000,20000) L_0000000002aeb2b0/d; +L_0000000002ae99c0/d .functor NAND 1, L_0000000002b50050, L_0000000002b4ee30, C4<1>, C4<1>; +L_0000000002ae99c0 .delay 1 (20000,20000,20000) L_0000000002ae99c0/d; +L_0000000002aeb390/d .functor NAND 1, L_0000000002b50d70, L_0000000002b4ee30, C4<1>, C4<1>; +L_0000000002aeb390 .delay 1 (20000,20000,20000) L_0000000002aeb390/d; +L_0000000002aeb400/d .functor NAND 1, L_0000000002aeb2b0, L_0000000002aeb390, L_0000000002ae99c0, C4<1>; +L_0000000002aeb400 .delay 1 (30000,30000,30000) L_0000000002aeb400/d; +L_0000000002ae9a30/d .functor XOR 1, L_0000000002b50d70, L_0000000002b50050, L_0000000002b4ee30, C4<0>; +L_0000000002ae9a30 .delay 1 (30000,30000,30000) L_0000000002ae9a30/d; +L_0000000002ae9b80/d .functor NOR 1, L_0000000002b50d70, L_0000000002b50050, C4<0>, C4<0>; +L_0000000002ae9b80 .delay 1 (20000,20000,20000) L_0000000002ae9b80/d; +L_0000000002ae9e90/d .functor XOR 1, L_0000000002ae9b80, L_0000000002b4fc90, C4<0>, C4<0>; +L_0000000002ae9e90 .delay 1 (20000,20000,20000) L_0000000002ae9e90/d; +v0000000002ab2980_0 .net "a", 0 0, L_0000000002b50d70; alias, 1 drivers +v0000000002ab2160_0 .net "anorb", 0 0, L_0000000002ae9b80; 1 drivers +v0000000002ab1bc0_0 .net "b", 0 0, L_0000000002b50050; alias, 1 drivers +v0000000002ab3420_0 .net "carryAND", 0 0, L_0000000002aeb400; alias, 1 drivers +v0000000002ab32e0_0 .net "carryin", 0 0, L_0000000002b4ee30; alias, 1 drivers +v0000000002ab1e40_0 .net "i0", 0 0, L_0000000002b4fc90; alias, 1 drivers +v0000000002ab2520_0 .net "nab", 0 0, L_0000000002aeb2b0; alias, 1 drivers +v0000000002ab36a0_0 .net "nac", 0 0, L_0000000002aeb390; 1 drivers +v0000000002ab1120_0 .net "nbc", 0 0, L_0000000002ae99c0; 1 drivers +v0000000002ab1da0_0 .net "orNOR", 0 0, L_0000000002ae9e90; alias, 1 drivers +v0000000002ab1ee0_0 .net "sumXOR", 0 0, L_0000000002ae9a30; alias, 1 drivers +S_0000000002aa2750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa25d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002ae9f00/d .functor NOT 1, L_0000000002b4f830, C4<0>, C4<0>, C4<0>; +L_0000000002ae9f00 .delay 1 (10000,10000,10000) L_0000000002ae9f00/d; +L_0000000002aea3d0/d .functor NOT 1, L_0000000002b50cd0, C4<0>, C4<0>, C4<0>; +L_0000000002aea3d0 .delay 1 (10000,10000,10000) L_0000000002aea3d0/d; +L_0000000002ae9fe0/d .functor NAND 1, L_0000000002b50cd0, L_0000000002b4f830, L_0000000002ae9a30, C4<1>; +L_0000000002ae9fe0 .delay 1 (30000,30000,30000) L_0000000002ae9fe0/d; +L_0000000002aea050/d .functor NAND 1, L_0000000002b50cd0, L_0000000002ae9f00, L_0000000002ae9e90, C4<1>; +L_0000000002aea050 .delay 1 (30000,30000,30000) L_0000000002aea050/d; +L_0000000002aea750/d .functor NAND 1, L_0000000002aea3d0, L_0000000002b4f830, L_0000000002aeb400, C4<1>; +L_0000000002aea750 .delay 1 (30000,30000,30000) L_0000000002aea750/d; +L_0000000002aea440/d .functor NAND 1, L_0000000002aea3d0, L_0000000002ae9f00, L_0000000002aeb2b0, C4<1>; +L_0000000002aea440 .delay 1 (30000,30000,30000) L_0000000002aea440/d; +L_0000000002aea360/d .functor NAND 1, L_0000000002ae9fe0, L_0000000002aea050, L_0000000002aea750, L_0000000002aea440; +L_0000000002aea360 .delay 1 (40000,40000,40000) L_0000000002aea360/d; +v0000000002ab27a0_0 .net "a", 0 0, L_0000000002ae9a30; alias, 1 drivers +v0000000002ab2a20_0 .net "aout", 0 0, L_0000000002ae9fe0; 1 drivers +v0000000002ab2340_0 .net "b", 0 0, L_0000000002ae9e90; alias, 1 drivers +v0000000002ab2ca0_0 .net "bout", 0 0, L_0000000002aea050; 1 drivers +v0000000002ab1760_0 .net "c", 0 0, L_0000000002aeb400; alias, 1 drivers +v0000000002ab11c0_0 .net "cout", 0 0, L_0000000002aea750; 1 drivers +v0000000002ab1c60_0 .net "d", 0 0, L_0000000002aeb2b0; alias, 1 drivers +v0000000002ab1260_0 .net "dout", 0 0, L_0000000002aea440; 1 drivers +v0000000002ab23e0_0 .net "ns0", 0 0, L_0000000002ae9f00; 1 drivers +v0000000002ab31a0_0 .net "ns1", 0 0, L_0000000002aea3d0; 1 drivers +v0000000002ab2700_0 .net "out", 0 0, L_0000000002aea360; alias, 1 drivers +v0000000002ab2ac0_0 .net "s0", 0 0, L_0000000002b4f830; 1 drivers +v0000000002ab25c0_0 .net "s1", 0 0, L_0000000002b50cd0; 1 drivers +S_0000000002aa28d0 .scope generate, "aluBits[19]" "aluBits[19]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8020 .param/l "i" 0 4 52, +C4<010011>; +L_0000000002aea8a0/d .functor XOR 1, L_0000000002b50690, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002aea8a0 .delay 1 (50000,50000,50000) L_0000000002aea8a0/d; +L_0000000002aea0c0/d .functor AND 1, v0000000002a01300_0, L_0000000002b50410, C4<1>, C4<1>; +L_0000000002aea0c0 .delay 1 (30000,30000,30000) L_0000000002aea0c0/d; +v0000000002ab5e00_0 .net *"_s1", 0 0, L_0000000002b50690; 1 drivers +v0000000002ab43c0_0 .net *"_s3", 0 0, L_0000000002b50410; 1 drivers +S_0000000002aa13d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa28d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab18a0_0 .net "a", 0 0, L_0000000002b4f970; 1 drivers +v0000000002ab19e0_0 .net "b", 0 0, L_0000000002b509b0; 1 drivers +v0000000002ab1a80_0 .net "carryAND", 0 0, L_0000000002aeb630; 1 drivers +v0000000002ab5360_0 .net "cin", 0 0, L_0000000002b500f0; 1 drivers +v0000000002ab46e0_0 .net "ctrl0", 0 0, L_0000000002b50870; 1 drivers +v0000000002ab5f40_0 .net "nab", 0 0, L_0000000002aea210; 1 drivers +v0000000002ab5c20_0 .net "orNOR", 0 0, L_0000000002aeb6a0; 1 drivers +v0000000002ab5cc0_0 .net "res", 0 0, L_0000000002b62980; 1 drivers +v0000000002ab5040_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ab4dc0_0 .net "sumXOR", 0 0, L_0000000002aeb7f0; 1 drivers +L_0000000002b505f0 .part v0000000002a01440_0, 1, 1; +L_0000000002b50ff0 .part v0000000002a01440_0, 0, 1; +S_0000000002aa2d50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa13d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002aea210/d .functor NAND 1, L_0000000002b4f970, L_0000000002b509b0, C4<1>, C4<1>; +L_0000000002aea210 .delay 1 (20000,20000,20000) L_0000000002aea210/d; +L_0000000002aea280/d .functor NAND 1, L_0000000002b509b0, L_0000000002b500f0, C4<1>, C4<1>; +L_0000000002aea280 .delay 1 (20000,20000,20000) L_0000000002aea280/d; +L_0000000002aeb5c0/d .functor NAND 1, L_0000000002b4f970, L_0000000002b500f0, C4<1>, C4<1>; +L_0000000002aeb5c0 .delay 1 (20000,20000,20000) L_0000000002aeb5c0/d; +L_0000000002aeb630/d .functor NAND 1, L_0000000002aea210, L_0000000002aeb5c0, L_0000000002aea280, C4<1>; +L_0000000002aeb630 .delay 1 (30000,30000,30000) L_0000000002aeb630/d; +L_0000000002aeb7f0/d .functor XOR 1, L_0000000002b4f970, L_0000000002b509b0, L_0000000002b500f0, C4<0>; +L_0000000002aeb7f0 .delay 1 (30000,30000,30000) L_0000000002aeb7f0/d; +L_0000000002aeb860/d .functor NOR 1, L_0000000002b4f970, L_0000000002b509b0, C4<0>, C4<0>; +L_0000000002aeb860 .delay 1 (20000,20000,20000) L_0000000002aeb860/d; +L_0000000002aeb6a0/d .functor XOR 1, L_0000000002aeb860, L_0000000002b50870, C4<0>, C4<0>; +L_0000000002aeb6a0 .delay 1 (20000,20000,20000) L_0000000002aeb6a0/d; +v0000000002ab2de0_0 .net "a", 0 0, L_0000000002b4f970; alias, 1 drivers +v0000000002ab2660_0 .net "anorb", 0 0, L_0000000002aeb860; 1 drivers +v0000000002ab2840_0 .net "b", 0 0, L_0000000002b509b0; alias, 1 drivers +v0000000002ab2fc0_0 .net "carryAND", 0 0, L_0000000002aeb630; alias, 1 drivers +v0000000002ab2480_0 .net "carryin", 0 0, L_0000000002b500f0; alias, 1 drivers +v0000000002ab1300_0 .net "i0", 0 0, L_0000000002b50870; alias, 1 drivers +v0000000002ab1f80_0 .net "nab", 0 0, L_0000000002aea210; alias, 1 drivers +v0000000002ab3380_0 .net "nac", 0 0, L_0000000002aeb5c0; 1 drivers +v0000000002ab3060_0 .net "nbc", 0 0, L_0000000002aea280; 1 drivers +v0000000002ab1940_0 .net "orNOR", 0 0, L_0000000002aeb6a0; alias, 1 drivers +v0000000002ab37e0_0 .net "sumXOR", 0 0, L_0000000002aeb7f0; alias, 1 drivers +S_0000000002aa1250 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa13d0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002aeb8d0/d .functor NOT 1, L_0000000002b50ff0, C4<0>, C4<0>, C4<0>; +L_0000000002aeb8d0 .delay 1 (10000,10000,10000) L_0000000002aeb8d0/d; +L_0000000002aeb710/d .functor NOT 1, L_0000000002b505f0, C4<0>, C4<0>, C4<0>; +L_0000000002aeb710 .delay 1 (10000,10000,10000) L_0000000002aeb710/d; +L_0000000002aeb780/d .functor NAND 1, L_0000000002b505f0, L_0000000002b50ff0, L_0000000002aeb7f0, C4<1>; +L_0000000002aeb780 .delay 1 (30000,30000,30000) L_0000000002aeb780/d; +L_0000000002b62440/d .functor NAND 1, L_0000000002b505f0, L_0000000002aeb8d0, L_0000000002aeb6a0, C4<1>; +L_0000000002b62440 .delay 1 (30000,30000,30000) L_0000000002b62440/d; +L_0000000002b628a0/d .functor NAND 1, L_0000000002aeb710, L_0000000002b50ff0, L_0000000002aeb630, C4<1>; +L_0000000002b628a0 .delay 1 (30000,30000,30000) L_0000000002b628a0/d; +L_0000000002b62b40/d .functor NAND 1, L_0000000002aeb710, L_0000000002aeb8d0, L_0000000002aea210, C4<1>; +L_0000000002b62b40 .delay 1 (30000,30000,30000) L_0000000002b62b40/d; +L_0000000002b62980/d .functor NAND 1, L_0000000002aeb780, L_0000000002b62440, L_0000000002b628a0, L_0000000002b62b40; +L_0000000002b62980 .delay 1 (40000,40000,40000) L_0000000002b62980/d; +v0000000002ab3100_0 .net "a", 0 0, L_0000000002aeb7f0; alias, 1 drivers +v0000000002ab1b20_0 .net "aout", 0 0, L_0000000002aeb780; 1 drivers +v0000000002ab2020_0 .net "b", 0 0, L_0000000002aeb6a0; alias, 1 drivers +v0000000002ab3240_0 .net "bout", 0 0, L_0000000002b62440; 1 drivers +v0000000002ab1580_0 .net "c", 0 0, L_0000000002aeb630; alias, 1 drivers +v0000000002ab3560_0 .net "cout", 0 0, L_0000000002b628a0; 1 drivers +v0000000002ab1440_0 .net "d", 0 0, L_0000000002aea210; alias, 1 drivers +v0000000002ab3880_0 .net "dout", 0 0, L_0000000002b62b40; 1 drivers +v0000000002ab34c0_0 .net "ns0", 0 0, L_0000000002aeb8d0; 1 drivers +v0000000002ab3600_0 .net "ns1", 0 0, L_0000000002aeb710; 1 drivers +v0000000002ab1620_0 .net "out", 0 0, L_0000000002b62980; alias, 1 drivers +v0000000002ab16c0_0 .net "s0", 0 0, L_0000000002b50ff0; 1 drivers +v0000000002ab1800_0 .net "s1", 0 0, L_0000000002b505f0; 1 drivers +S_0000000002aa1b50 .scope generate, "aluBits[20]" "aluBits[20]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f7c20 .param/l "i" 0 4 52, +C4<010100>; +L_0000000002b627c0/d .functor XOR 1, L_0000000002b4e9d0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b627c0 .delay 1 (50000,50000,50000) L_0000000002b627c0/d; +L_0000000002b62910/d .functor AND 1, v0000000002a01300_0, L_0000000002b4fa10, C4<1>, C4<1>; +L_0000000002b62910 .delay 1 (30000,30000,30000) L_0000000002b62910/d; +v0000000002ab5680_0 .net *"_s1", 0 0, L_0000000002b4e9d0; 1 drivers +v0000000002ab3920_0 .net *"_s3", 0 0, L_0000000002b4fa10; 1 drivers +S_0000000002aa1cd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1b50; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab57c0_0 .net "a", 0 0, L_0000000002b50c30; 1 drivers +v0000000002ab5400_0 .net "b", 0 0, L_0000000002b4f470; 1 drivers +v0000000002ab39c0_0 .net "carryAND", 0 0, L_0000000002b62520; 1 drivers +v0000000002ab3e20_0 .net "cin", 0 0, L_0000000002b4f1f0; 1 drivers +v0000000002ab54a0_0 .net "ctrl0", 0 0, L_0000000002b4e930; 1 drivers +v0000000002ab4fa0_0 .net "nab", 0 0, L_0000000002b62830; 1 drivers +v0000000002ab5540_0 .net "orNOR", 0 0, L_0000000002b62670; 1 drivers +v0000000002ab3a60_0 .net "res", 0 0, L_0000000002b5ef50; 1 drivers +v0000000002ab55e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ab3ce0_0 .net "sumXOR", 0 0, L_0000000002b626e0; 1 drivers +L_0000000002b4ec50 .part v0000000002a01440_0, 1, 1; +L_0000000002b4f290 .part v0000000002a01440_0, 0, 1; +S_0000000002aa1e50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa1cd0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b62830/d .functor NAND 1, L_0000000002b50c30, L_0000000002b4f470, C4<1>, C4<1>; +L_0000000002b62830 .delay 1 (20000,20000,20000) L_0000000002b62830/d; +L_0000000002b629f0/d .functor NAND 1, L_0000000002b4f470, L_0000000002b4f1f0, C4<1>, C4<1>; +L_0000000002b629f0 .delay 1 (20000,20000,20000) L_0000000002b629f0/d; +L_0000000002b624b0/d .functor NAND 1, L_0000000002b50c30, L_0000000002b4f1f0, C4<1>, C4<1>; +L_0000000002b624b0 .delay 1 (20000,20000,20000) L_0000000002b624b0/d; +L_0000000002b62520/d .functor NAND 1, L_0000000002b62830, L_0000000002b624b0, L_0000000002b629f0, C4<1>; +L_0000000002b62520 .delay 1 (30000,30000,30000) L_0000000002b62520/d; +L_0000000002b626e0/d .functor XOR 1, L_0000000002b50c30, L_0000000002b4f470, L_0000000002b4f1f0, C4<0>; +L_0000000002b626e0 .delay 1 (30000,30000,30000) L_0000000002b626e0/d; +L_0000000002b62a60/d .functor NOR 1, L_0000000002b50c30, L_0000000002b4f470, C4<0>, C4<0>; +L_0000000002b62a60 .delay 1 (20000,20000,20000) L_0000000002b62a60/d; +L_0000000002b62670/d .functor XOR 1, L_0000000002b62a60, L_0000000002b4e930, C4<0>, C4<0>; +L_0000000002b62670 .delay 1 (20000,20000,20000) L_0000000002b62670/d; +v0000000002ab41e0_0 .net "a", 0 0, L_0000000002b50c30; alias, 1 drivers +v0000000002ab5ae0_0 .net "anorb", 0 0, L_0000000002b62a60; 1 drivers +v0000000002ab3ec0_0 .net "b", 0 0, L_0000000002b4f470; alias, 1 drivers +v0000000002ab4500_0 .net "carryAND", 0 0, L_0000000002b62520; alias, 1 drivers +v0000000002ab4960_0 .net "carryin", 0 0, L_0000000002b4f1f0; alias, 1 drivers +v0000000002ab3d80_0 .net "i0", 0 0, L_0000000002b4e930; alias, 1 drivers +v0000000002ab5ea0_0 .net "nab", 0 0, L_0000000002b62830; alias, 1 drivers +v0000000002ab50e0_0 .net "nac", 0 0, L_0000000002b624b0; 1 drivers +v0000000002ab5180_0 .net "nbc", 0 0, L_0000000002b629f0; 1 drivers +v0000000002ab4b40_0 .net "orNOR", 0 0, L_0000000002b62670; alias, 1 drivers +v0000000002ab4640_0 .net "sumXOR", 0 0, L_0000000002b626e0; alias, 1 drivers +S_0000000002ac0010 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa1cd0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b62750/d .functor NOT 1, L_0000000002b4f290, C4<0>, C4<0>, C4<0>; +L_0000000002b62750 .delay 1 (10000,10000,10000) L_0000000002b62750/d; +L_0000000002b62ad0/d .functor NOT 1, L_0000000002b4ec50, C4<0>, C4<0>, C4<0>; +L_0000000002b62ad0 .delay 1 (10000,10000,10000) L_0000000002b62ad0/d; +L_0000000002b62590/d .functor NAND 1, L_0000000002b4ec50, L_0000000002b4f290, L_0000000002b626e0, C4<1>; +L_0000000002b62590 .delay 1 (30000,30000,30000) L_0000000002b62590/d; +L_0000000002b62600/d .functor NAND 1, L_0000000002b4ec50, L_0000000002b62750, L_0000000002b62670, C4<1>; +L_0000000002b62600 .delay 1 (30000,30000,30000) L_0000000002b62600/d; +L_0000000002b5f570/d .functor NAND 1, L_0000000002b62ad0, L_0000000002b4f290, L_0000000002b62520, C4<1>; +L_0000000002b5f570 .delay 1 (30000,30000,30000) L_0000000002b5f570/d; +L_0000000002b600d0/d .functor NAND 1, L_0000000002b62ad0, L_0000000002b62750, L_0000000002b62830, C4<1>; +L_0000000002b600d0 .delay 1 (30000,30000,30000) L_0000000002b600d0/d; +L_0000000002b5ef50/d .functor NAND 1, L_0000000002b62590, L_0000000002b62600, L_0000000002b5f570, L_0000000002b600d0; +L_0000000002b5ef50 .delay 1 (40000,40000,40000) L_0000000002b5ef50/d; +v0000000002ab4d20_0 .net "a", 0 0, L_0000000002b626e0; alias, 1 drivers +v0000000002ab4e60_0 .net "aout", 0 0, L_0000000002b62590; 1 drivers +v0000000002ab3ba0_0 .net "b", 0 0, L_0000000002b62670; alias, 1 drivers +v0000000002ab5d60_0 .net "bout", 0 0, L_0000000002b62600; 1 drivers +v0000000002ab4be0_0 .net "c", 0 0, L_0000000002b62520; alias, 1 drivers +v0000000002ab4f00_0 .net "cout", 0 0, L_0000000002b5f570; 1 drivers +v0000000002ab5720_0 .net "d", 0 0, L_0000000002b62830; alias, 1 drivers +v0000000002ab5220_0 .net "dout", 0 0, L_0000000002b600d0; 1 drivers +v0000000002ab4780_0 .net "ns0", 0 0, L_0000000002b62750; 1 drivers +v0000000002ab6080_0 .net "ns1", 0 0, L_0000000002b62ad0; 1 drivers +v0000000002ab5fe0_0 .net "out", 0 0, L_0000000002b5ef50; alias, 1 drivers +v0000000002ab4a00_0 .net "s0", 0 0, L_0000000002b4f290; 1 drivers +v0000000002ab52c0_0 .net "s1", 0 0, L_0000000002b4ec50; 1 drivers +S_0000000002ac0190 .scope generate, "aluBits[21]" "aluBits[21]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f80e0 .param/l "i" 0 4 52, +C4<010101>; +L_0000000002b5fdc0/d .functor XOR 1, L_0000000002b4ea70, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b5fdc0 .delay 1 (50000,50000,50000) L_0000000002b5fdc0/d; +L_0000000002b5f960/d .functor AND 1, v0000000002a01300_0, L_0000000002b4f330, C4<1>, C4<1>; +L_0000000002b5f960 .delay 1 (30000,30000,30000) L_0000000002b5f960/d; +v0000000002ab6620_0 .net *"_s1", 0 0, L_0000000002b4ea70; 1 drivers +v0000000002ab6120_0 .net *"_s3", 0 0, L_0000000002b4f330; 1 drivers +S_0000000002abf110 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0190; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab6940_0 .net "a", 0 0, L_0000000002b4f5b0; 1 drivers +v0000000002ab6580_0 .net "b", 0 0, L_0000000002b4fab0; 1 drivers +v0000000002ab6260_0 .net "carryAND", 0 0, L_0000000002b5f1f0; 1 drivers +v0000000002ab6ee0_0 .net "cin", 0 0, L_0000000002b4fdd0; 1 drivers +v0000000002ab69e0_0 .net "ctrl0", 0 0, L_0000000002b4fbf0; 1 drivers +v0000000002ab6760_0 .net "nab", 0 0, L_0000000002b60140; 1 drivers +v0000000002ab6800_0 .net "orNOR", 0 0, L_0000000002b5f8f0; 1 drivers +v0000000002ab6a80_0 .net "res", 0 0, L_0000000002b5fb90; 1 drivers +v0000000002ab6e40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ab63a0_0 .net "sumXOR", 0 0, L_0000000002b5eee0; 1 drivers +L_0000000002b4fd30 .part v0000000002a01440_0, 1, 1; +L_0000000002b4f510 .part v0000000002a01440_0, 0, 1; +S_0000000002abf590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf110; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b60140/d .functor NAND 1, L_0000000002b4f5b0, L_0000000002b4fab0, C4<1>, C4<1>; +L_0000000002b60140 .delay 1 (20000,20000,20000) L_0000000002b60140/d; +L_0000000002b5ee00/d .functor NAND 1, L_0000000002b4fab0, L_0000000002b4fdd0, C4<1>, C4<1>; +L_0000000002b5ee00 .delay 1 (20000,20000,20000) L_0000000002b5ee00/d; +L_0000000002b5ee70/d .functor NAND 1, L_0000000002b4f5b0, L_0000000002b4fdd0, C4<1>, C4<1>; +L_0000000002b5ee70 .delay 1 (20000,20000,20000) L_0000000002b5ee70/d; +L_0000000002b5f1f0/d .functor NAND 1, L_0000000002b60140, L_0000000002b5ee70, L_0000000002b5ee00, C4<1>; +L_0000000002b5f1f0 .delay 1 (30000,30000,30000) L_0000000002b5f1f0/d; +L_0000000002b5eee0/d .functor XOR 1, L_0000000002b4f5b0, L_0000000002b4fab0, L_0000000002b4fdd0, C4<0>; +L_0000000002b5eee0 .delay 1 (30000,30000,30000) L_0000000002b5eee0/d; +L_0000000002b5ff80/d .functor NOR 1, L_0000000002b4f5b0, L_0000000002b4fab0, C4<0>, C4<0>; +L_0000000002b5ff80 .delay 1 (20000,20000,20000) L_0000000002b5ff80/d; +L_0000000002b5f8f0/d .functor XOR 1, L_0000000002b5ff80, L_0000000002b4fbf0, C4<0>, C4<0>; +L_0000000002b5f8f0 .delay 1 (20000,20000,20000) L_0000000002b5f8f0/d; +v0000000002ab3b00_0 .net "a", 0 0, L_0000000002b4f5b0; alias, 1 drivers +v0000000002ab5860_0 .net "anorb", 0 0, L_0000000002b5ff80; 1 drivers +v0000000002ab5900_0 .net "b", 0 0, L_0000000002b4fab0; alias, 1 drivers +v0000000002ab3f60_0 .net "carryAND", 0 0, L_0000000002b5f1f0; alias, 1 drivers +v0000000002ab3c40_0 .net "carryin", 0 0, L_0000000002b4fdd0; alias, 1 drivers +v0000000002ab4000_0 .net "i0", 0 0, L_0000000002b4fbf0; alias, 1 drivers +v0000000002ab4140_0 .net "nab", 0 0, L_0000000002b60140; alias, 1 drivers +v0000000002ab40a0_0 .net "nac", 0 0, L_0000000002b5ee70; 1 drivers +v0000000002ab4320_0 .net "nbc", 0 0, L_0000000002b5ee00; 1 drivers +v0000000002ab45a0_0 .net "orNOR", 0 0, L_0000000002b5f8f0; alias, 1 drivers +v0000000002ab5b80_0 .net "sumXOR", 0 0, L_0000000002b5eee0; alias, 1 drivers +S_0000000002abf410 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002abf110; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b606f0/d .functor NOT 1, L_0000000002b4f510, C4<0>, C4<0>, C4<0>; +L_0000000002b606f0 .delay 1 (10000,10000,10000) L_0000000002b606f0/d; +L_0000000002b60220/d .functor NOT 1, L_0000000002b4fd30, C4<0>, C4<0>, C4<0>; +L_0000000002b60220 .delay 1 (10000,10000,10000) L_0000000002b60220/d; +L_0000000002b60760/d .functor NAND 1, L_0000000002b4fd30, L_0000000002b4f510, L_0000000002b5eee0, C4<1>; +L_0000000002b60760 .delay 1 (30000,30000,30000) L_0000000002b60760/d; +L_0000000002b5f500/d .functor NAND 1, L_0000000002b4fd30, L_0000000002b606f0, L_0000000002b5f8f0, C4<1>; +L_0000000002b5f500 .delay 1 (30000,30000,30000) L_0000000002b5f500/d; +L_0000000002b5fff0/d .functor NAND 1, L_0000000002b60220, L_0000000002b4f510, L_0000000002b5f1f0, C4<1>; +L_0000000002b5fff0 .delay 1 (30000,30000,30000) L_0000000002b5fff0/d; +L_0000000002b605a0/d .functor NAND 1, L_0000000002b60220, L_0000000002b606f0, L_0000000002b60140, C4<1>; +L_0000000002b605a0 .delay 1 (30000,30000,30000) L_0000000002b605a0/d; +L_0000000002b5fb90/d .functor NAND 1, L_0000000002b60760, L_0000000002b5f500, L_0000000002b5fff0, L_0000000002b605a0; +L_0000000002b5fb90 .delay 1 (40000,40000,40000) L_0000000002b5fb90/d; +v0000000002ab4280_0 .net "a", 0 0, L_0000000002b5eee0; alias, 1 drivers +v0000000002ab4460_0 .net "aout", 0 0, L_0000000002b60760; 1 drivers +v0000000002ab59a0_0 .net "b", 0 0, L_0000000002b5f8f0; alias, 1 drivers +v0000000002ab4820_0 .net "bout", 0 0, L_0000000002b5f500; 1 drivers +v0000000002ab48c0_0 .net "c", 0 0, L_0000000002b5f1f0; alias, 1 drivers +v0000000002ab5a40_0 .net "cout", 0 0, L_0000000002b5fff0; 1 drivers +v0000000002ab4aa0_0 .net "d", 0 0, L_0000000002b60140; alias, 1 drivers +v0000000002ab4c80_0 .net "dout", 0 0, L_0000000002b605a0; 1 drivers +v0000000002ab68a0_0 .net "ns0", 0 0, L_0000000002b606f0; 1 drivers +v0000000002ab66c0_0 .net "ns1", 0 0, L_0000000002b60220; 1 drivers +v0000000002ab61c0_0 .net "out", 0 0, L_0000000002b5fb90; alias, 1 drivers +v0000000002ab6bc0_0 .net "s0", 0 0, L_0000000002b4f510; 1 drivers +v0000000002ab6f80_0 .net "s1", 0 0, L_0000000002b4fd30; 1 drivers +S_0000000002abf710 .scope generate, "aluBits[22]" "aluBits[22]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8220 .param/l "i" 0 4 52, +C4<010110>; +L_0000000002b5f650/d .functor XOR 1, L_0000000002b4fe70, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b5f650 .delay 1 (50000,50000,50000) L_0000000002b5f650/d; +L_0000000002b5f5e0/d .functor AND 1, v0000000002a01300_0, L_0000000002b51db0, C4<1>, C4<1>; +L_0000000002b5f5e0 .delay 1 (30000,30000,30000) L_0000000002b5f5e0/d; +v0000000002aa8340_0 .net *"_s1", 0 0, L_0000000002b4fe70; 1 drivers +v0000000002aa9420_0 .net *"_s3", 0 0, L_0000000002b51db0; 1 drivers +S_0000000002abf890 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002abf710; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002aa7800_0 .net "a", 0 0, L_0000000002b511d0; 1 drivers +v0000000002aa8980_0 .net "b", 0 0, L_0000000002b51630; 1 drivers +v0000000002aa88e0_0 .net "carryAND", 0 0, L_0000000002b5fea0; 1 drivers +v0000000002aa7260_0 .net "cin", 0 0, L_0000000002b51bd0; 1 drivers +v0000000002aa8c00_0 .net "ctrl0", 0 0, L_0000000002b51c70; 1 drivers +v0000000002aa8520_0 .net "nab", 0 0, L_0000000002b5f810; 1 drivers +v0000000002aa7d00_0 .net "orNOR", 0 0, L_0000000002b5ed90; 1 drivers +v0000000002aa7f80_0 .net "res", 0 0, L_0000000002b5fa40; 1 drivers +v0000000002aa7e40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aa7620_0 .net "sumXOR", 0 0, L_0000000002b5ff10; 1 drivers +L_0000000002b51950 .part v0000000002a01440_0, 1, 1; +L_0000000002b51310 .part v0000000002a01440_0, 0, 1; +S_0000000002abfd10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf890; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b5f810/d .functor NAND 1, L_0000000002b511d0, L_0000000002b51630, C4<1>, C4<1>; +L_0000000002b5f810 .delay 1 (20000,20000,20000) L_0000000002b5f810/d; +L_0000000002b5efc0/d .functor NAND 1, L_0000000002b51630, L_0000000002b51bd0, C4<1>, C4<1>; +L_0000000002b5efc0 .delay 1 (20000,20000,20000) L_0000000002b5efc0/d; +L_0000000002b5f3b0/d .functor NAND 1, L_0000000002b511d0, L_0000000002b51bd0, C4<1>, C4<1>; +L_0000000002b5f3b0 .delay 1 (20000,20000,20000) L_0000000002b5f3b0/d; +L_0000000002b5fea0/d .functor NAND 1, L_0000000002b5f810, L_0000000002b5f3b0, L_0000000002b5efc0, C4<1>; +L_0000000002b5fea0 .delay 1 (30000,30000,30000) L_0000000002b5fea0/d; +L_0000000002b5ff10/d .functor XOR 1, L_0000000002b511d0, L_0000000002b51630, L_0000000002b51bd0, C4<0>; +L_0000000002b5ff10 .delay 1 (30000,30000,30000) L_0000000002b5ff10/d; +L_0000000002b5f6c0/d .functor NOR 1, L_0000000002b511d0, L_0000000002b51630, C4<0>, C4<0>; +L_0000000002b5f6c0 .delay 1 (20000,20000,20000) L_0000000002b5f6c0/d; +L_0000000002b5ed90/d .functor XOR 1, L_0000000002b5f6c0, L_0000000002b51c70, C4<0>, C4<0>; +L_0000000002b5ed90 .delay 1 (20000,20000,20000) L_0000000002b5ed90/d; +v0000000002ab6c60_0 .net "a", 0 0, L_0000000002b511d0; alias, 1 drivers +v0000000002ab6440_0 .net "anorb", 0 0, L_0000000002b5f6c0; 1 drivers +v0000000002ab6300_0 .net "b", 0 0, L_0000000002b51630; alias, 1 drivers +v0000000002ab64e0_0 .net "carryAND", 0 0, L_0000000002b5fea0; alias, 1 drivers +v0000000002ab6b20_0 .net "carryin", 0 0, L_0000000002b51bd0; alias, 1 drivers +v0000000002ab6d00_0 .net "i0", 0 0, L_0000000002b51c70; alias, 1 drivers +v0000000002ab6da0_0 .net "nab", 0 0, L_0000000002b5f810; alias, 1 drivers +v0000000002aa8700_0 .net "nac", 0 0, L_0000000002b5f3b0; 1 drivers +v0000000002aa8ac0_0 .net "nbc", 0 0, L_0000000002b5efc0; 1 drivers +v0000000002aa7ee0_0 .net "orNOR", 0 0, L_0000000002b5ed90; alias, 1 drivers +v0000000002aa73a0_0 .net "sumXOR", 0 0, L_0000000002b5ff10; alias, 1 drivers +S_0000000002ac0310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002abf890; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b60290/d .functor NOT 1, L_0000000002b51310, C4<0>, C4<0>, C4<0>; +L_0000000002b60290 .delay 1 (10000,10000,10000) L_0000000002b60290/d; +L_0000000002b60060/d .functor NOT 1, L_0000000002b51950, C4<0>, C4<0>, C4<0>; +L_0000000002b60060 .delay 1 (10000,10000,10000) L_0000000002b60060/d; +L_0000000002b5f880/d .functor NAND 1, L_0000000002b51950, L_0000000002b51310, L_0000000002b5ff10, C4<1>; +L_0000000002b5f880 .delay 1 (30000,30000,30000) L_0000000002b5f880/d; +L_0000000002b5ecb0/d .functor NAND 1, L_0000000002b51950, L_0000000002b60290, L_0000000002b5ed90, C4<1>; +L_0000000002b5ecb0 .delay 1 (30000,30000,30000) L_0000000002b5ecb0/d; +L_0000000002b5f030/d .functor NAND 1, L_0000000002b60060, L_0000000002b51310, L_0000000002b5fea0, C4<1>; +L_0000000002b5f030 .delay 1 (30000,30000,30000) L_0000000002b5f030/d; +L_0000000002b603e0/d .functor NAND 1, L_0000000002b60060, L_0000000002b60290, L_0000000002b5f810, C4<1>; +L_0000000002b603e0 .delay 1 (30000,30000,30000) L_0000000002b603e0/d; +L_0000000002b5fa40/d .functor NAND 1, L_0000000002b5f880, L_0000000002b5ecb0, L_0000000002b5f030, L_0000000002b603e0; +L_0000000002b5fa40 .delay 1 (40000,40000,40000) L_0000000002b5fa40/d; +v0000000002aa74e0_0 .net "a", 0 0, L_0000000002b5ff10; alias, 1 drivers +v0000000002aa97e0_0 .net "aout", 0 0, L_0000000002b5f880; 1 drivers +v0000000002aa82a0_0 .net "b", 0 0, L_0000000002b5ed90; alias, 1 drivers +v0000000002aa96a0_0 .net "bout", 0 0, L_0000000002b5ecb0; 1 drivers +v0000000002aa7440_0 .net "c", 0 0, L_0000000002b5fea0; alias, 1 drivers +v0000000002aa8b60_0 .net "cout", 0 0, L_0000000002b5f030; 1 drivers +v0000000002aa9240_0 .net "d", 0 0, L_0000000002b5f810; alias, 1 drivers +v0000000002aa8200_0 .net "dout", 0 0, L_0000000002b603e0; 1 drivers +v0000000002aa8a20_0 .net "ns0", 0 0, L_0000000002b60290; 1 drivers +v0000000002aa71c0_0 .net "ns1", 0 0, L_0000000002b60060; 1 drivers +v0000000002aa8160_0 .net "out", 0 0, L_0000000002b5fa40; alias, 1 drivers +v0000000002aa7bc0_0 .net "s0", 0 0, L_0000000002b51310; 1 drivers +v0000000002aa8660_0 .net "s1", 0 0, L_0000000002b51950; 1 drivers +S_0000000002ac0490 .scope generate, "aluBits[23]" "aluBits[23]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8e20 .param/l "i" 0 4 52, +C4<010111>; +L_0000000002b607d0/d .functor XOR 1, L_0000000002b519f0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b607d0 .delay 1 (50000,50000,50000) L_0000000002b607d0/d; +L_0000000002b5fb20/d .functor AND 1, v0000000002a01300_0, L_0000000002b51270, C4<1>, C4<1>; +L_0000000002b5fb20 .delay 1 (30000,30000,30000) L_0000000002b5fb20/d; +v0000000002aa91a0_0 .net *"_s1", 0 0, L_0000000002b519f0; 1 drivers +v0000000002aab220_0 .net *"_s3", 0 0, L_0000000002b51270; 1 drivers +S_0000000002ac0c10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0490; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002aa9880_0 .net "a", 0 0, L_0000000002b514f0; 1 drivers +v0000000002aa8020_0 .net "b", 0 0, L_0000000002b516d0; 1 drivers +v0000000002aa7120_0 .net "carryAND", 0 0, L_0000000002b5f420; 1 drivers +v0000000002aa7c60_0 .net "cin", 0 0, L_0000000002b51770; 1 drivers +v0000000002aa80c0_0 .net "ctrl0", 0 0, L_0000000002b51810; 1 drivers +v0000000002aa8840_0 .net "nab", 0 0, L_0000000002b5f260; 1 drivers +v0000000002aa8de0_0 .net "orNOR", 0 0, L_0000000002b5fab0; 1 drivers +v0000000002aa8f20_0 .net "res", 0 0, L_0000000002b601b0; 1 drivers +v0000000002aa8fc0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aa9060_0 .net "sumXOR", 0 0, L_0000000002b5ed20; 1 drivers +L_0000000002b51a90 .part v0000000002a01440_0, 1, 1; +L_0000000002b51d10 .part v0000000002a01440_0, 0, 1; +S_0000000002ac0790 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0c10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b5f260/d .functor NAND 1, L_0000000002b514f0, L_0000000002b516d0, C4<1>, C4<1>; +L_0000000002b5f260 .delay 1 (20000,20000,20000) L_0000000002b5f260/d; +L_0000000002b5f9d0/d .functor NAND 1, L_0000000002b516d0, L_0000000002b51770, C4<1>, C4<1>; +L_0000000002b5f9d0 .delay 1 (20000,20000,20000) L_0000000002b5f9d0/d; +L_0000000002b5ec40/d .functor NAND 1, L_0000000002b514f0, L_0000000002b51770, C4<1>, C4<1>; +L_0000000002b5ec40 .delay 1 (20000,20000,20000) L_0000000002b5ec40/d; +L_0000000002b5f420/d .functor NAND 1, L_0000000002b5f260, L_0000000002b5ec40, L_0000000002b5f9d0, C4<1>; +L_0000000002b5f420 .delay 1 (30000,30000,30000) L_0000000002b5f420/d; +L_0000000002b5ed20/d .functor XOR 1, L_0000000002b514f0, L_0000000002b516d0, L_0000000002b51770, C4<0>; +L_0000000002b5ed20 .delay 1 (30000,30000,30000) L_0000000002b5ed20/d; +L_0000000002b60610/d .functor NOR 1, L_0000000002b514f0, L_0000000002b516d0, C4<0>, C4<0>; +L_0000000002b60610 .delay 1 (20000,20000,20000) L_0000000002b60610/d; +L_0000000002b5fab0/d .functor XOR 1, L_0000000002b60610, L_0000000002b51810, C4<0>, C4<0>; +L_0000000002b5fab0 .delay 1 (20000,20000,20000) L_0000000002b5fab0/d; +v0000000002aa8480_0 .net "a", 0 0, L_0000000002b514f0; alias, 1 drivers +v0000000002aa9380_0 .net "anorb", 0 0, L_0000000002b60610; 1 drivers +v0000000002aa7580_0 .net "b", 0 0, L_0000000002b516d0; alias, 1 drivers +v0000000002aa9560_0 .net "carryAND", 0 0, L_0000000002b5f420; alias, 1 drivers +v0000000002aa76c0_0 .net "carryin", 0 0, L_0000000002b51770; alias, 1 drivers +v0000000002aa7760_0 .net "i0", 0 0, L_0000000002b51810; alias, 1 drivers +v0000000002aa87a0_0 .net "nab", 0 0, L_0000000002b5f260; alias, 1 drivers +v0000000002aa83e0_0 .net "nac", 0 0, L_0000000002b5ec40; 1 drivers +v0000000002aa92e0_0 .net "nbc", 0 0, L_0000000002b5f9d0; 1 drivers +v0000000002aa78a0_0 .net "orNOR", 0 0, L_0000000002b5fab0; alias, 1 drivers +v0000000002aa8ca0_0 .net "sumXOR", 0 0, L_0000000002b5ed20; alias, 1 drivers +S_0000000002abfa10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0c10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b5f0a0/d .functor NOT 1, L_0000000002b51d10, C4<0>, C4<0>, C4<0>; +L_0000000002b5f0a0 .delay 1 (10000,10000,10000) L_0000000002b5f0a0/d; +L_0000000002b5fc00/d .functor NOT 1, L_0000000002b51a90, C4<0>, C4<0>, C4<0>; +L_0000000002b5fc00 .delay 1 (10000,10000,10000) L_0000000002b5fc00/d; +L_0000000002b60680/d .functor NAND 1, L_0000000002b51a90, L_0000000002b51d10, L_0000000002b5ed20, C4<1>; +L_0000000002b60680 .delay 1 (30000,30000,30000) L_0000000002b60680/d; +L_0000000002b5f110/d .functor NAND 1, L_0000000002b51a90, L_0000000002b5f0a0, L_0000000002b5fab0, C4<1>; +L_0000000002b5f110 .delay 1 (30000,30000,30000) L_0000000002b5f110/d; +L_0000000002b5f180/d .functor NAND 1, L_0000000002b5fc00, L_0000000002b51d10, L_0000000002b5f420, C4<1>; +L_0000000002b5f180 .delay 1 (30000,30000,30000) L_0000000002b5f180/d; +L_0000000002b5f2d0/d .functor NAND 1, L_0000000002b5fc00, L_0000000002b5f0a0, L_0000000002b5f260, C4<1>; +L_0000000002b5f2d0 .delay 1 (30000,30000,30000) L_0000000002b5f2d0/d; +L_0000000002b601b0/d .functor NAND 1, L_0000000002b60680, L_0000000002b5f110, L_0000000002b5f180, L_0000000002b5f2d0; +L_0000000002b601b0 .delay 1 (40000,40000,40000) L_0000000002b601b0/d; +v0000000002aa7940_0 .net "a", 0 0, L_0000000002b5ed20; alias, 1 drivers +v0000000002aa79e0_0 .net "aout", 0 0, L_0000000002b60680; 1 drivers +v0000000002aa8e80_0 .net "b", 0 0, L_0000000002b5fab0; alias, 1 drivers +v0000000002aa94c0_0 .net "bout", 0 0, L_0000000002b5f110; 1 drivers +v0000000002aa9600_0 .net "c", 0 0, L_0000000002b5f420; alias, 1 drivers +v0000000002aa9740_0 .net "cout", 0 0, L_0000000002b5f180; 1 drivers +v0000000002aa85c0_0 .net "d", 0 0, L_0000000002b5f260; alias, 1 drivers +v0000000002aa8d40_0 .net "dout", 0 0, L_0000000002b5f2d0; 1 drivers +v0000000002aa7da0_0 .net "ns0", 0 0, L_0000000002b5f0a0; 1 drivers +v0000000002aa7a80_0 .net "ns1", 0 0, L_0000000002b5fc00; 1 drivers +v0000000002aa7300_0 .net "out", 0 0, L_0000000002b601b0; alias, 1 drivers +v0000000002aa7b20_0 .net "s0", 0 0, L_0000000002b51d10; 1 drivers +v0000000002aa9100_0 .net "s1", 0 0, L_0000000002b51a90; 1 drivers +S_0000000002abfb90 .scope generate, "aluBits[24]" "aluBits[24]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9ae0 .param/l "i" 0 4 52, +C4<011000>; +L_0000000002b5fe30/d .functor XOR 1, L_0000000002b51b30, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b5fe30 .delay 1 (50000,50000,50000) L_0000000002b5fe30/d; +L_0000000002b5f340/d .functor AND 1, v0000000002a01300_0, L_0000000002b51130, C4<1>, C4<1>; +L_0000000002b5f340 .delay 1 (30000,30000,30000) L_0000000002b5f340/d; +v0000000002aa9b00_0 .net *"_s1", 0 0, L_0000000002b51b30; 1 drivers +v0000000002aab680_0 .net *"_s3", 0 0, L_0000000002b51130; 1 drivers +S_0000000002ac0a90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002abfb90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002aaafa0_0 .net "a", 0 0, L_0000000002b51450; 1 drivers +v0000000002aaadc0_0 .net "b", 0 0, L_0000000002b51f90; 1 drivers +v0000000002aab540_0 .net "carryAND", 0 0, L_0000000002b60530; 1 drivers +v0000000002aabae0_0 .net "cin", 0 0, L_0000000002b51590; 1 drivers +v0000000002aaac80_0 .net "ctrl0", 0 0, L_0000000002b51e50; 1 drivers +v0000000002aaa140_0 .net "nab", 0 0, L_0000000002b5fc70; 1 drivers +v0000000002aabf40_0 .net "orNOR", 0 0, L_0000000002b60370; 1 drivers +v0000000002aab5e0_0 .net "res", 0 0, L_0000000002b62210; 1 drivers +v0000000002aabcc0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aa9ec0_0 .net "sumXOR", 0 0, L_0000000002b5fce0; 1 drivers +L_0000000002b513b0 .part v0000000002a01440_0, 1, 1; +L_0000000002b518b0 .part v0000000002a01440_0, 0, 1; +S_0000000002abfe90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0a90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b5fc70/d .functor NAND 1, L_0000000002b51450, L_0000000002b51f90, C4<1>, C4<1>; +L_0000000002b5fc70 .delay 1 (20000,20000,20000) L_0000000002b5fc70/d; +L_0000000002b5f490/d .functor NAND 1, L_0000000002b51f90, L_0000000002b51590, C4<1>, C4<1>; +L_0000000002b5f490 .delay 1 (20000,20000,20000) L_0000000002b5f490/d; +L_0000000002b60300/d .functor NAND 1, L_0000000002b51450, L_0000000002b51590, C4<1>, C4<1>; +L_0000000002b60300 .delay 1 (20000,20000,20000) L_0000000002b60300/d; +L_0000000002b60530/d .functor NAND 1, L_0000000002b5fc70, L_0000000002b60300, L_0000000002b5f490, C4<1>; +L_0000000002b60530 .delay 1 (30000,30000,30000) L_0000000002b60530/d; +L_0000000002b5fce0/d .functor XOR 1, L_0000000002b51450, L_0000000002b51f90, L_0000000002b51590, C4<0>; +L_0000000002b5fce0 .delay 1 (30000,30000,30000) L_0000000002b5fce0/d; +L_0000000002b5f730/d .functor NOR 1, L_0000000002b51450, L_0000000002b51f90, C4<0>, C4<0>; +L_0000000002b5f730 .delay 1 (20000,20000,20000) L_0000000002b5f730/d; +L_0000000002b60370/d .functor XOR 1, L_0000000002b5f730, L_0000000002b51e50, C4<0>, C4<0>; +L_0000000002b60370 .delay 1 (20000,20000,20000) L_0000000002b60370/d; +v0000000002aaad20_0 .net "a", 0 0, L_0000000002b51450; alias, 1 drivers +v0000000002aa9ba0_0 .net "anorb", 0 0, L_0000000002b5f730; 1 drivers +v0000000002aabd60_0 .net "b", 0 0, L_0000000002b51f90; alias, 1 drivers +v0000000002aab040_0 .net "carryAND", 0 0, L_0000000002b60530; alias, 1 drivers +v0000000002aaaf00_0 .net "carryin", 0 0, L_0000000002b51590; alias, 1 drivers +v0000000002aaab40_0 .net "i0", 0 0, L_0000000002b51e50; alias, 1 drivers +v0000000002aab180_0 .net "nab", 0 0, L_0000000002b5fc70; alias, 1 drivers +v0000000002aaabe0_0 .net "nac", 0 0, L_0000000002b60300; 1 drivers +v0000000002aac080_0 .net "nbc", 0 0, L_0000000002b5f490; 1 drivers +v0000000002aaa0a0_0 .net "orNOR", 0 0, L_0000000002b60370; alias, 1 drivers +v0000000002aaaaa0_0 .net "sumXOR", 0 0, L_0000000002b5fce0; alias, 1 drivers +S_0000000002ac0910 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0a90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b5fd50/d .functor NOT 1, L_0000000002b518b0, C4<0>, C4<0>, C4<0>; +L_0000000002b5fd50 .delay 1 (10000,10000,10000) L_0000000002b5fd50/d; +L_0000000002b5f7a0/d .functor NOT 1, L_0000000002b513b0, C4<0>, C4<0>, C4<0>; +L_0000000002b5f7a0 .delay 1 (10000,10000,10000) L_0000000002b5f7a0/d; +L_0000000002b60450/d .functor NAND 1, L_0000000002b513b0, L_0000000002b518b0, L_0000000002b5fce0, C4<1>; +L_0000000002b60450 .delay 1 (30000,30000,30000) L_0000000002b60450/d; +L_0000000002b604c0/d .functor NAND 1, L_0000000002b513b0, L_0000000002b5fd50, L_0000000002b60370, C4<1>; +L_0000000002b604c0 .delay 1 (30000,30000,30000) L_0000000002b604c0/d; +L_0000000002b60df0/d .functor NAND 1, L_0000000002b5f7a0, L_0000000002b518b0, L_0000000002b60530, C4<1>; +L_0000000002b60df0 .delay 1 (30000,30000,30000) L_0000000002b60df0/d; +L_0000000002b60a00/d .functor NAND 1, L_0000000002b5f7a0, L_0000000002b5fd50, L_0000000002b5fc70, C4<1>; +L_0000000002b60a00 .delay 1 (30000,30000,30000) L_0000000002b60a00/d; +L_0000000002b62210/d .functor NAND 1, L_0000000002b60450, L_0000000002b604c0, L_0000000002b60df0, L_0000000002b60a00; +L_0000000002b62210 .delay 1 (40000,40000,40000) L_0000000002b62210/d; +v0000000002aa9ce0_0 .net "a", 0 0, L_0000000002b5fce0; alias, 1 drivers +v0000000002aaa960_0 .net "aout", 0 0, L_0000000002b60450; 1 drivers +v0000000002aab0e0_0 .net "b", 0 0, L_0000000002b60370; alias, 1 drivers +v0000000002aaae60_0 .net "bout", 0 0, L_0000000002b604c0; 1 drivers +v0000000002aab2c0_0 .net "c", 0 0, L_0000000002b60530; alias, 1 drivers +v0000000002aa9d80_0 .net "cout", 0 0, L_0000000002b60df0; 1 drivers +v0000000002aab360_0 .net "d", 0 0, L_0000000002b5fc70; alias, 1 drivers +v0000000002aa9e20_0 .net "dout", 0 0, L_0000000002b60a00; 1 drivers +v0000000002aab400_0 .net "ns0", 0 0, L_0000000002b5fd50; 1 drivers +v0000000002aab4a0_0 .net "ns1", 0 0, L_0000000002b5f7a0; 1 drivers +v0000000002aabea0_0 .net "out", 0 0, L_0000000002b62210; alias, 1 drivers +v0000000002aaaa00_0 .net "s0", 0 0, L_0000000002b518b0; 1 drivers +v0000000002aa9920_0 .net "s1", 0 0, L_0000000002b513b0; 1 drivers +S_0000000002ac0d90 .scope generate, "aluBits[25]" "aluBits[25]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9620 .param/l "i" 0 4 52, +C4<011001>; +L_0000000002b62130/d .functor XOR 1, L_0000000002b51ef0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b62130 .delay 1 (50000,50000,50000) L_0000000002b62130/d; +L_0000000002b60f40/d .functor AND 1, v0000000002a01300_0, L_0000000002b43cb0, C4<1>, C4<1>; +L_0000000002b60f40 .delay 1 (30000,30000,30000) L_0000000002b60f40/d; +v0000000002aad700_0 .net *"_s1", 0 0, L_0000000002b51ef0; 1 drivers +v0000000002aad520_0 .net *"_s3", 0 0, L_0000000002b43cb0; 1 drivers +S_0000000002ac0f10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0d90; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002aaa500_0 .net "a", 0 0, L_0000000002b44110; 1 drivers +v0000000002aaa460_0 .net "b", 0 0, L_0000000002b42130; 1 drivers +v0000000002aaa8c0_0 .net "carryAND", 0 0, L_0000000002b615d0; 1 drivers +v0000000002aad840_0 .net "cin", 0 0, L_0000000002b43710; 1 drivers +v0000000002aad980_0 .net "ctrl0", 0 0, L_0000000002b43df0; 1 drivers +v0000000002aad340_0 .net "nab", 0 0, L_0000000002b61870; 1 drivers +v0000000002aace40_0 .net "orNOR", 0 0, L_0000000002b61250; 1 drivers +v0000000002aac440_0 .net "res", 0 0, L_0000000002b611e0; 1 drivers +v0000000002aad0c0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aae600_0 .net "sumXOR", 0 0, L_0000000002b621a0; 1 drivers +L_0000000002b42e50 .part v0000000002a01440_0, 1, 1; +L_0000000002b43350 .part v0000000002a01440_0, 0, 1; +S_0000000002ac0610 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0f10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b61870/d .functor NAND 1, L_0000000002b44110, L_0000000002b42130, C4<1>, C4<1>; +L_0000000002b61870 .delay 1 (20000,20000,20000) L_0000000002b61870/d; +L_0000000002b614f0/d .functor NAND 1, L_0000000002b42130, L_0000000002b43710, C4<1>, C4<1>; +L_0000000002b614f0 .delay 1 (20000,20000,20000) L_0000000002b614f0/d; +L_0000000002b608b0/d .functor NAND 1, L_0000000002b44110, L_0000000002b43710, C4<1>, C4<1>; +L_0000000002b608b0 .delay 1 (20000,20000,20000) L_0000000002b608b0/d; +L_0000000002b615d0/d .functor NAND 1, L_0000000002b61870, L_0000000002b608b0, L_0000000002b614f0, C4<1>; +L_0000000002b615d0 .delay 1 (30000,30000,30000) L_0000000002b615d0/d; +L_0000000002b621a0/d .functor XOR 1, L_0000000002b44110, L_0000000002b42130, L_0000000002b43710, C4<0>; +L_0000000002b621a0 .delay 1 (30000,30000,30000) L_0000000002b621a0/d; +L_0000000002b60e60/d .functor NOR 1, L_0000000002b44110, L_0000000002b42130, C4<0>, C4<0>; +L_0000000002b60e60 .delay 1 (20000,20000,20000) L_0000000002b60e60/d; +L_0000000002b61250/d .functor XOR 1, L_0000000002b60e60, L_0000000002b43df0, C4<0>, C4<0>; +L_0000000002b61250 .delay 1 (20000,20000,20000) L_0000000002b61250/d; +v0000000002aa9c40_0 .net "a", 0 0, L_0000000002b44110; alias, 1 drivers +v0000000002aab720_0 .net "anorb", 0 0, L_0000000002b60e60; 1 drivers +v0000000002aaa280_0 .net "b", 0 0, L_0000000002b42130; alias, 1 drivers +v0000000002aa99c0_0 .net "carryAND", 0 0, L_0000000002b615d0; alias, 1 drivers +v0000000002aab7c0_0 .net "carryin", 0 0, L_0000000002b43710; alias, 1 drivers +v0000000002aa9f60_0 .net "i0", 0 0, L_0000000002b43df0; alias, 1 drivers +v0000000002aab860_0 .net "nab", 0 0, L_0000000002b61870; alias, 1 drivers +v0000000002aa9a60_0 .net "nac", 0 0, L_0000000002b608b0; 1 drivers +v0000000002aaa820_0 .net "nbc", 0 0, L_0000000002b614f0; 1 drivers +v0000000002aaa6e0_0 .net "orNOR", 0 0, L_0000000002b61250; alias, 1 drivers +v0000000002aab900_0 .net "sumXOR", 0 0, L_0000000002b621a0; alias, 1 drivers +S_0000000002abf290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0f10; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b620c0/d .functor NOT 1, L_0000000002b43350, C4<0>, C4<0>, C4<0>; +L_0000000002b620c0 .delay 1 (10000,10000,10000) L_0000000002b620c0/d; +L_0000000002b61aa0/d .functor NOT 1, L_0000000002b42e50, C4<0>, C4<0>, C4<0>; +L_0000000002b61aa0 .delay 1 (10000,10000,10000) L_0000000002b61aa0/d; +L_0000000002b60ae0/d .functor NAND 1, L_0000000002b42e50, L_0000000002b43350, L_0000000002b621a0, C4<1>; +L_0000000002b60ae0 .delay 1 (30000,30000,30000) L_0000000002b60ae0/d; +L_0000000002b60840/d .functor NAND 1, L_0000000002b42e50, L_0000000002b620c0, L_0000000002b61250, C4<1>; +L_0000000002b60840 .delay 1 (30000,30000,30000) L_0000000002b60840/d; +L_0000000002b61b10/d .functor NAND 1, L_0000000002b61aa0, L_0000000002b43350, L_0000000002b615d0, C4<1>; +L_0000000002b61b10 .delay 1 (30000,30000,30000) L_0000000002b61b10/d; +L_0000000002b61b80/d .functor NAND 1, L_0000000002b61aa0, L_0000000002b620c0, L_0000000002b61870, C4<1>; +L_0000000002b61b80 .delay 1 (30000,30000,30000) L_0000000002b61b80/d; +L_0000000002b611e0/d .functor NAND 1, L_0000000002b60ae0, L_0000000002b60840, L_0000000002b61b10, L_0000000002b61b80; +L_0000000002b611e0 .delay 1 (40000,40000,40000) L_0000000002b611e0/d; +v0000000002aaa5a0_0 .net "a", 0 0, L_0000000002b621a0; alias, 1 drivers +v0000000002aaa000_0 .net "aout", 0 0, L_0000000002b60ae0; 1 drivers +v0000000002aab9a0_0 .net "b", 0 0, L_0000000002b61250; alias, 1 drivers +v0000000002aaba40_0 .net "bout", 0 0, L_0000000002b60840; 1 drivers +v0000000002aabb80_0 .net "c", 0 0, L_0000000002b615d0; alias, 1 drivers +v0000000002aabe00_0 .net "cout", 0 0, L_0000000002b61b10; 1 drivers +v0000000002aabc20_0 .net "d", 0 0, L_0000000002b61870; alias, 1 drivers +v0000000002aaa1e0_0 .net "dout", 0 0, L_0000000002b61b80; 1 drivers +v0000000002aaa640_0 .net "ns0", 0 0, L_0000000002b620c0; 1 drivers +v0000000002aabfe0_0 .net "ns1", 0 0, L_0000000002b61aa0; 1 drivers +v0000000002aaa320_0 .net "out", 0 0, L_0000000002b611e0; alias, 1 drivers +v0000000002aaa3c0_0 .net "s0", 0 0, L_0000000002b43350; 1 drivers +v0000000002aaa780_0 .net "s1", 0 0, L_0000000002b42e50; 1 drivers +S_0000000002ac2aa0 .scope generate, "aluBits[26]" "aluBits[26]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9260 .param/l "i" 0 4 52, +C4<011010>; +L_0000000002b61cd0/d .functor XOR 1, L_0000000002b421d0, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b61cd0 .delay 1 (50000,50000,50000) L_0000000002b61cd0/d; +L_0000000002b62280/d .functor AND 1, v0000000002a01300_0, L_0000000002b42310, C4<1>, C4<1>; +L_0000000002b62280 .delay 1 (30000,30000,30000) L_0000000002b62280/d; +v0000000002aae420_0 .net *"_s1", 0 0, L_0000000002b421d0; 1 drivers +v0000000002aae7e0_0 .net *"_s3", 0 0, L_0000000002b42310; 1 drivers +S_0000000002ac1ea0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2aa0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002aac580_0 .net "a", 0 0, L_0000000002b42270; 1 drivers +v0000000002aae2e0_0 .net "b", 0 0, L_0000000002b43d50; 1 drivers +v0000000002aad480_0 .net "carryAND", 0 0, L_0000000002b60d10; 1 drivers +v0000000002aac4e0_0 .net "cin", 0 0, L_0000000002b437b0; 1 drivers +v0000000002aacb20_0 .net "ctrl0", 0 0, L_0000000002b42f90; 1 drivers +v0000000002aacda0_0 .net "nab", 0 0, L_0000000002b61f70; 1 drivers +v0000000002aae380_0 .net "orNOR", 0 0, L_0000000002b622f0; 1 drivers +v0000000002aacc60_0 .net "res", 0 0, L_0000000002b60bc0; 1 drivers +v0000000002aae6a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aac760_0 .net "sumXOR", 0 0, L_0000000002b612c0; 1 drivers +L_0000000002b42ef0 .part v0000000002a01440_0, 1, 1; +L_0000000002b42770 .part v0000000002a01440_0, 0, 1; +S_0000000002ac1420 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac1ea0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b61f70/d .functor NAND 1, L_0000000002b42270, L_0000000002b43d50, C4<1>, C4<1>; +L_0000000002b61f70 .delay 1 (20000,20000,20000) L_0000000002b61f70/d; +L_0000000002b619c0/d .functor NAND 1, L_0000000002b43d50, L_0000000002b437b0, C4<1>, C4<1>; +L_0000000002b619c0 .delay 1 (20000,20000,20000) L_0000000002b619c0/d; +L_0000000002b61800/d .functor NAND 1, L_0000000002b42270, L_0000000002b437b0, C4<1>, C4<1>; +L_0000000002b61800 .delay 1 (20000,20000,20000) L_0000000002b61800/d; +L_0000000002b60d10/d .functor NAND 1, L_0000000002b61f70, L_0000000002b61800, L_0000000002b619c0, C4<1>; +L_0000000002b60d10 .delay 1 (30000,30000,30000) L_0000000002b60d10/d; +L_0000000002b612c0/d .functor XOR 1, L_0000000002b42270, L_0000000002b43d50, L_0000000002b437b0, C4<0>; +L_0000000002b612c0 .delay 1 (30000,30000,30000) L_0000000002b612c0/d; +L_0000000002b60c30/d .functor NOR 1, L_0000000002b42270, L_0000000002b43d50, C4<0>, C4<0>; +L_0000000002b60c30 .delay 1 (20000,20000,20000) L_0000000002b60c30/d; +L_0000000002b622f0/d .functor XOR 1, L_0000000002b60c30, L_0000000002b42f90, C4<0>, C4<0>; +L_0000000002b622f0 .delay 1 (20000,20000,20000) L_0000000002b622f0/d; +v0000000002aad200_0 .net "a", 0 0, L_0000000002b42270; alias, 1 drivers +v0000000002aad3e0_0 .net "anorb", 0 0, L_0000000002b60c30; 1 drivers +v0000000002aac3a0_0 .net "b", 0 0, L_0000000002b43d50; alias, 1 drivers +v0000000002aadb60_0 .net "carryAND", 0 0, L_0000000002b60d10; alias, 1 drivers +v0000000002aae240_0 .net "carryin", 0 0, L_0000000002b437b0; alias, 1 drivers +v0000000002aad7a0_0 .net "i0", 0 0, L_0000000002b42f90; alias, 1 drivers +v0000000002aae740_0 .net "nab", 0 0, L_0000000002b61f70; alias, 1 drivers +v0000000002aac1c0_0 .net "nac", 0 0, L_0000000002b61800; 1 drivers +v0000000002aad160_0 .net "nbc", 0 0, L_0000000002b619c0; 1 drivers +v0000000002aad8e0_0 .net "orNOR", 0 0, L_0000000002b622f0; alias, 1 drivers +v0000000002aacf80_0 .net "sumXOR", 0 0, L_0000000002b612c0; alias, 1 drivers +S_0000000002ac24a0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac1ea0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b61950/d .functor NOT 1, L_0000000002b42770, C4<0>, C4<0>, C4<0>; +L_0000000002b61950 .delay 1 (10000,10000,10000) L_0000000002b61950/d; +L_0000000002b60b50/d .functor NOT 1, L_0000000002b42ef0, C4<0>, C4<0>, C4<0>; +L_0000000002b60b50 .delay 1 (10000,10000,10000) L_0000000002b60b50/d; +L_0000000002b61a30/d .functor NAND 1, L_0000000002b42ef0, L_0000000002b42770, L_0000000002b612c0, C4<1>; +L_0000000002b61a30 .delay 1 (30000,30000,30000) L_0000000002b61a30/d; +L_0000000002b618e0/d .functor NAND 1, L_0000000002b42ef0, L_0000000002b61950, L_0000000002b622f0, C4<1>; +L_0000000002b618e0 .delay 1 (30000,30000,30000) L_0000000002b618e0/d; +L_0000000002b60fb0/d .functor NAND 1, L_0000000002b60b50, L_0000000002b42770, L_0000000002b60d10, C4<1>; +L_0000000002b60fb0 .delay 1 (30000,30000,30000) L_0000000002b60fb0/d; +L_0000000002b62360/d .functor NAND 1, L_0000000002b60b50, L_0000000002b61950, L_0000000002b61f70, C4<1>; +L_0000000002b62360 .delay 1 (30000,30000,30000) L_0000000002b62360/d; +L_0000000002b60bc0/d .functor NAND 1, L_0000000002b61a30, L_0000000002b618e0, L_0000000002b60fb0, L_0000000002b62360; +L_0000000002b60bc0 .delay 1 (40000,40000,40000) L_0000000002b60bc0/d; +v0000000002aada20_0 .net "a", 0 0, L_0000000002b612c0; alias, 1 drivers +v0000000002aad2a0_0 .net "aout", 0 0, L_0000000002b61a30; 1 drivers +v0000000002aac260_0 .net "b", 0 0, L_0000000002b622f0; alias, 1 drivers +v0000000002aacd00_0 .net "bout", 0 0, L_0000000002b618e0; 1 drivers +v0000000002aacee0_0 .net "c", 0 0, L_0000000002b60d10; alias, 1 drivers +v0000000002aae560_0 .net "cout", 0 0, L_0000000002b60fb0; 1 drivers +v0000000002aac620_0 .net "d", 0 0, L_0000000002b61f70; alias, 1 drivers +v0000000002aad5c0_0 .net "dout", 0 0, L_0000000002b62360; 1 drivers +v0000000002aac300_0 .net "ns0", 0 0, L_0000000002b61950; 1 drivers +v0000000002aad020_0 .net "ns1", 0 0, L_0000000002b60b50; 1 drivers +v0000000002aac6c0_0 .net "out", 0 0, L_0000000002b60bc0; alias, 1 drivers +v0000000002aae100_0 .net "s0", 0 0, L_0000000002b42770; 1 drivers +v0000000002aac940_0 .net "s1", 0 0, L_0000000002b42ef0; 1 drivers +S_0000000002ac18a0 .scope generate, "aluBits[27]" "aluBits[27]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f91e0 .param/l "i" 0 4 52, +C4<011011>; +L_0000000002b623d0/d .functor XOR 1, L_0000000002b43530, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b623d0 .delay 1 (50000,50000,50000) L_0000000002b623d0/d; +L_0000000002b60ca0/d .functor AND 1, v0000000002a01300_0, L_0000000002b43490, C4<1>, C4<1>; +L_0000000002b60ca0 .delay 1 (30000,30000,30000) L_0000000002b60ca0/d; +v0000000002ab1080_0 .net *"_s1", 0 0, L_0000000002b43530; 1 drivers +v0000000002aafa00_0 .net *"_s3", 0 0, L_0000000002b43490; 1 drivers +S_0000000002ac12a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac18a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab0b80_0 .net "a", 0 0, L_0000000002b43850; 1 drivers +v0000000002ab0900_0 .net "b", 0 0, L_0000000002b42450; 1 drivers +v0000000002aafd20_0 .net "carryAND", 0 0, L_0000000002b60d80; 1 drivers +v0000000002aaff00_0 .net "cin", 0 0, L_0000000002b433f0; 1 drivers +v0000000002ab02c0_0 .net "ctrl0", 0 0, L_0000000002b42810; 1 drivers +v0000000002aaf640_0 .net "nab", 0 0, L_0000000002b61fe0; 1 drivers +v0000000002aae920_0 .net "orNOR", 0 0, L_0000000002b61bf0; 1 drivers +v0000000002aaf500_0 .net "res", 0 0, L_0000000002b61560; 1 drivers +v0000000002ab0a40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aaf8c0_0 .net "sumXOR", 0 0, L_0000000002b60ed0; 1 drivers +L_0000000002b423b0 .part v0000000002a01440_0, 1, 1; +L_0000000002b44750 .part v0000000002a01440_0, 0, 1; +S_0000000002ac15a0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac12a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b61fe0/d .functor NAND 1, L_0000000002b43850, L_0000000002b42450, C4<1>, C4<1>; +L_0000000002b61fe0 .delay 1 (20000,20000,20000) L_0000000002b61fe0/d; +L_0000000002b61330/d .functor NAND 1, L_0000000002b42450, L_0000000002b433f0, C4<1>, C4<1>; +L_0000000002b61330 .delay 1 (20000,20000,20000) L_0000000002b61330/d; +L_0000000002b60920/d .functor NAND 1, L_0000000002b43850, L_0000000002b433f0, C4<1>, C4<1>; +L_0000000002b60920 .delay 1 (20000,20000,20000) L_0000000002b60920/d; +L_0000000002b60d80/d .functor NAND 1, L_0000000002b61fe0, L_0000000002b60920, L_0000000002b61330, C4<1>; +L_0000000002b60d80 .delay 1 (30000,30000,30000) L_0000000002b60d80/d; +L_0000000002b60ed0/d .functor XOR 1, L_0000000002b43850, L_0000000002b42450, L_0000000002b433f0, C4<0>; +L_0000000002b60ed0 .delay 1 (30000,30000,30000) L_0000000002b60ed0/d; +L_0000000002b61db0/d .functor NOR 1, L_0000000002b43850, L_0000000002b42450, C4<0>, C4<0>; +L_0000000002b61db0 .delay 1 (20000,20000,20000) L_0000000002b61db0/d; +L_0000000002b61bf0/d .functor XOR 1, L_0000000002b61db0, L_0000000002b42810, C4<0>, C4<0>; +L_0000000002b61bf0 .delay 1 (20000,20000,20000) L_0000000002b61bf0/d; +v0000000002aadd40_0 .net "a", 0 0, L_0000000002b43850; alias, 1 drivers +v0000000002aad660_0 .net "anorb", 0 0, L_0000000002b61db0; 1 drivers +v0000000002aae4c0_0 .net "b", 0 0, L_0000000002b42450; alias, 1 drivers +v0000000002aae880_0 .net "carryAND", 0 0, L_0000000002b60d80; alias, 1 drivers +v0000000002aadac0_0 .net "carryin", 0 0, L_0000000002b433f0; alias, 1 drivers +v0000000002aac800_0 .net "i0", 0 0, L_0000000002b42810; alias, 1 drivers +v0000000002aadc00_0 .net "nab", 0 0, L_0000000002b61fe0; alias, 1 drivers +v0000000002aac8a0_0 .net "nac", 0 0, L_0000000002b60920; 1 drivers +v0000000002aac9e0_0 .net "nbc", 0 0, L_0000000002b61330; 1 drivers +v0000000002aadca0_0 .net "orNOR", 0 0, L_0000000002b61bf0; alias, 1 drivers +v0000000002aacbc0_0 .net "sumXOR", 0 0, L_0000000002b60ed0; alias, 1 drivers +S_0000000002ac2320 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac12a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b61790/d .functor NOT 1, L_0000000002b44750, C4<0>, C4<0>, C4<0>; +L_0000000002b61790 .delay 1 (10000,10000,10000) L_0000000002b61790/d; +L_0000000002b60990/d .functor NOT 1, L_0000000002b423b0, C4<0>, C4<0>, C4<0>; +L_0000000002b60990 .delay 1 (10000,10000,10000) L_0000000002b60990/d; +L_0000000002b61020/d .functor NAND 1, L_0000000002b423b0, L_0000000002b44750, L_0000000002b60ed0, C4<1>; +L_0000000002b61020 .delay 1 (30000,30000,30000) L_0000000002b61020/d; +L_0000000002b61d40/d .functor NAND 1, L_0000000002b423b0, L_0000000002b61790, L_0000000002b61bf0, C4<1>; +L_0000000002b61d40 .delay 1 (30000,30000,30000) L_0000000002b61d40/d; +L_0000000002b60a70/d .functor NAND 1, L_0000000002b60990, L_0000000002b44750, L_0000000002b60d80, C4<1>; +L_0000000002b60a70 .delay 1 (30000,30000,30000) L_0000000002b60a70/d; +L_0000000002b61480/d .functor NAND 1, L_0000000002b60990, L_0000000002b61790, L_0000000002b61fe0, C4<1>; +L_0000000002b61480 .delay 1 (30000,30000,30000) L_0000000002b61480/d; +L_0000000002b61560/d .functor NAND 1, L_0000000002b61020, L_0000000002b61d40, L_0000000002b60a70, L_0000000002b61480; +L_0000000002b61560 .delay 1 (40000,40000,40000) L_0000000002b61560/d; +v0000000002aac120_0 .net "a", 0 0, L_0000000002b60ed0; alias, 1 drivers +v0000000002aadf20_0 .net "aout", 0 0, L_0000000002b61020; 1 drivers +v0000000002aaca80_0 .net "b", 0 0, L_0000000002b61bf0; alias, 1 drivers +v0000000002aadde0_0 .net "bout", 0 0, L_0000000002b61d40; 1 drivers +v0000000002aade80_0 .net "c", 0 0, L_0000000002b60d80; alias, 1 drivers +v0000000002aadfc0_0 .net "cout", 0 0, L_0000000002b60a70; 1 drivers +v0000000002aae060_0 .net "d", 0 0, L_0000000002b61fe0; alias, 1 drivers +v0000000002aae1a0_0 .net "dout", 0 0, L_0000000002b61480; 1 drivers +v0000000002aaed80_0 .net "ns0", 0 0, L_0000000002b61790; 1 drivers +v0000000002aaffa0_0 .net "ns1", 0 0, L_0000000002b60990; 1 drivers +v0000000002aaf820_0 .net "out", 0 0, L_0000000002b61560; alias, 1 drivers +v0000000002ab0e00_0 .net "s0", 0 0, L_0000000002b44750; 1 drivers +v0000000002aafb40_0 .net "s1", 0 0, L_0000000002b423b0; 1 drivers +S_0000000002ac2da0 .scope generate, "aluBits[28]" "aluBits[28]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9720 .param/l "i" 0 4 52, +C4<011100>; +L_0000000002b62050/d .functor XOR 1, L_0000000002b43f30, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b62050 .delay 1 (50000,50000,50000) L_0000000002b62050/d; +L_0000000002b61640/d .functor AND 1, v0000000002a01300_0, L_0000000002b438f0, C4<1>, C4<1>; +L_0000000002b61640 .delay 1 (30000,30000,30000) L_0000000002b61640/d; +v0000000002ab07c0_0 .net *"_s1", 0 0, L_0000000002b43f30; 1 drivers +v0000000002ab09a0_0 .net *"_s3", 0 0, L_0000000002b438f0; 1 drivers +S_0000000002ac27a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2da0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ab0d60_0 .net "a", 0 0, L_0000000002b42950; 1 drivers +v0000000002ab0860_0 .net "b", 0 0, L_0000000002b43990; 1 drivers +v0000000002ab0720_0 .net "carryAND", 0 0, L_0000000002b61170; 1 drivers +v0000000002aafbe0_0 .net "cin", 0 0, L_0000000002b447f0; 1 drivers +v0000000002ab0c20_0 .net "ctrl0", 0 0, L_0000000002b424f0; 1 drivers +v0000000002aaf000_0 .net "nab", 0 0, L_0000000002b61090; 1 drivers +v0000000002ab0220_0 .net "orNOR", 0 0, L_0000000002b61410; 1 drivers +v0000000002aafdc0_0 .net "res", 0 0, L_0000000002b6ce10; 1 drivers +v0000000002aaf280_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002aafc80_0 .net "sumXOR", 0 0, L_0000000002b61e20; 1 drivers +L_0000000002b428b0 .part v0000000002a01440_0, 1, 1; +L_0000000002b42bd0 .part v0000000002a01440_0, 0, 1; +S_0000000002ac1720 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac27a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b61090/d .functor NAND 1, L_0000000002b42950, L_0000000002b43990, C4<1>, C4<1>; +L_0000000002b61090 .delay 1 (20000,20000,20000) L_0000000002b61090/d; +L_0000000002b61100/d .functor NAND 1, L_0000000002b43990, L_0000000002b447f0, C4<1>, C4<1>; +L_0000000002b61100 .delay 1 (20000,20000,20000) L_0000000002b61100/d; +L_0000000002b61c60/d .functor NAND 1, L_0000000002b42950, L_0000000002b447f0, C4<1>, C4<1>; +L_0000000002b61c60 .delay 1 (20000,20000,20000) L_0000000002b61c60/d; +L_0000000002b61170/d .functor NAND 1, L_0000000002b61090, L_0000000002b61c60, L_0000000002b61100, C4<1>; +L_0000000002b61170 .delay 1 (30000,30000,30000) L_0000000002b61170/d; +L_0000000002b61e20/d .functor XOR 1, L_0000000002b42950, L_0000000002b43990, L_0000000002b447f0, C4<0>; +L_0000000002b61e20 .delay 1 (30000,30000,30000) L_0000000002b61e20/d; +L_0000000002b613a0/d .functor NOR 1, L_0000000002b42950, L_0000000002b43990, C4<0>, C4<0>; +L_0000000002b613a0 .delay 1 (20000,20000,20000) L_0000000002b613a0/d; +L_0000000002b61410/d .functor XOR 1, L_0000000002b613a0, L_0000000002b424f0, C4<0>, C4<0>; +L_0000000002b61410 .delay 1 (20000,20000,20000) L_0000000002b61410/d; +v0000000002aaf3c0_0 .net "a", 0 0, L_0000000002b42950; alias, 1 drivers +v0000000002aaf780_0 .net "anorb", 0 0, L_0000000002b613a0; 1 drivers +v0000000002ab0040_0 .net "b", 0 0, L_0000000002b43990; alias, 1 drivers +v0000000002aaee20_0 .net "carryAND", 0 0, L_0000000002b61170; alias, 1 drivers +v0000000002ab0360_0 .net "carryin", 0 0, L_0000000002b447f0; alias, 1 drivers +v0000000002ab0400_0 .net "i0", 0 0, L_0000000002b424f0; alias, 1 drivers +v0000000002aaf6e0_0 .net "nab", 0 0, L_0000000002b61090; alias, 1 drivers +v0000000002ab00e0_0 .net "nac", 0 0, L_0000000002b61c60; 1 drivers +v0000000002ab0ea0_0 .net "nbc", 0 0, L_0000000002b61100; 1 drivers +v0000000002ab04a0_0 .net "orNOR", 0 0, L_0000000002b61410; alias, 1 drivers +v0000000002aaea60_0 .net "sumXOR", 0 0, L_0000000002b61e20; alias, 1 drivers +S_0000000002ac2020 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac27a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b616b0/d .functor NOT 1, L_0000000002b42bd0, C4<0>, C4<0>, C4<0>; +L_0000000002b616b0 .delay 1 (10000,10000,10000) L_0000000002b616b0/d; +L_0000000002b61720/d .functor NOT 1, L_0000000002b428b0, C4<0>, C4<0>, C4<0>; +L_0000000002b61720 .delay 1 (10000,10000,10000) L_0000000002b61720/d; +L_0000000002b61e90/d .functor NAND 1, L_0000000002b428b0, L_0000000002b42bd0, L_0000000002b61e20, C4<1>; +L_0000000002b61e90 .delay 1 (30000,30000,30000) L_0000000002b61e90/d; +L_0000000002b61f00/d .functor NAND 1, L_0000000002b428b0, L_0000000002b616b0, L_0000000002b61410, C4<1>; +L_0000000002b61f00 .delay 1 (30000,30000,30000) L_0000000002b61f00/d; +L_0000000002b6d120/d .functor NAND 1, L_0000000002b61720, L_0000000002b42bd0, L_0000000002b61170, C4<1>; +L_0000000002b6d120 .delay 1 (30000,30000,30000) L_0000000002b6d120/d; +L_0000000002b6d580/d .functor NAND 1, L_0000000002b61720, L_0000000002b616b0, L_0000000002b61090, C4<1>; +L_0000000002b6d580 .delay 1 (30000,30000,30000) L_0000000002b6d580/d; +L_0000000002b6ce10/d .functor NAND 1, L_0000000002b61e90, L_0000000002b61f00, L_0000000002b6d120, L_0000000002b6d580; +L_0000000002b6ce10 .delay 1 (40000,40000,40000) L_0000000002b6ce10/d; +v0000000002aaf960_0 .net "a", 0 0, L_0000000002b61e20; alias, 1 drivers +v0000000002aaeec0_0 .net "aout", 0 0, L_0000000002b61e90; 1 drivers +v0000000002aaec40_0 .net "b", 0 0, L_0000000002b61410; alias, 1 drivers +v0000000002ab0f40_0 .net "bout", 0 0, L_0000000002b61f00; 1 drivers +v0000000002aafe60_0 .net "c", 0 0, L_0000000002b61170; alias, 1 drivers +v0000000002ab0540_0 .net "cout", 0 0, L_0000000002b6d120; 1 drivers +v0000000002aaef60_0 .net "d", 0 0, L_0000000002b61090; alias, 1 drivers +v0000000002ab05e0_0 .net "dout", 0 0, L_0000000002b6d580; 1 drivers +v0000000002ab0ae0_0 .net "ns0", 0 0, L_0000000002b616b0; 1 drivers +v0000000002aafaa0_0 .net "ns1", 0 0, L_0000000002b61720; 1 drivers +v0000000002ab0680_0 .net "out", 0 0, L_0000000002b6ce10; alias, 1 drivers +v0000000002ab0fe0_0 .net "s0", 0 0, L_0000000002b42bd0; 1 drivers +v0000000002ab0180_0 .net "s1", 0 0, L_0000000002b428b0; 1 drivers +S_0000000002ac2c20 .scope generate, "aluBits[29]" "aluBits[29]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9560 .param/l "i" 0 4 52, +C4<011101>; +L_0000000002b6dac0/d .functor XOR 1, L_0000000002b42590, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b6dac0 .delay 1 (50000,50000,50000) L_0000000002b6dac0/d; +L_0000000002b6cfd0/d .functor AND 1, v0000000002a01300_0, L_0000000002b42630, C4<1>, C4<1>; +L_0000000002b6cfd0 .delay 1 (30000,30000,30000) L_0000000002b6cfd0/d; +v0000000002ad0a40_0 .net *"_s1", 0 0, L_0000000002b42590; 1 drivers +v0000000002ad0ea0_0 .net *"_s3", 0 0, L_0000000002b42630; 1 drivers +S_0000000002ac21a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2c20; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ad0f40_0 .net "a", 0 0, L_0000000002b43b70; 1 drivers +v0000000002ad0b80_0 .net "b", 0 0, L_0000000002b42db0; 1 drivers +v0000000002ad07c0_0 .net "carryAND", 0 0, L_0000000002b6d200; 1 drivers +v0000000002ad20c0_0 .net "cin", 0 0, L_0000000002b426d0; 1 drivers +v0000000002ad2020_0 .net "ctrl0", 0 0, L_0000000002b43030; 1 drivers +v0000000002ad0ae0_0 .net "nab", 0 0, L_0000000002b6d040; 1 drivers +v0000000002ad0c20_0 .net "orNOR", 0 0, L_0000000002b6cbe0; 1 drivers +v0000000002ad1a80_0 .net "res", 0 0, L_0000000002b6d270; 1 drivers +v0000000002ad1d00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ad14e0_0 .net "sumXOR", 0 0, L_0000000002b6c9b0; 1 drivers +L_0000000002b43a30 .part v0000000002a01440_0, 1, 1; +L_0000000002b43ad0 .part v0000000002a01440_0, 0, 1; +S_0000000002ac1a20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac21a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b6d040/d .functor NAND 1, L_0000000002b43b70, L_0000000002b42db0, C4<1>, C4<1>; +L_0000000002b6d040 .delay 1 (20000,20000,20000) L_0000000002b6d040/d; +L_0000000002b6d970/d .functor NAND 1, L_0000000002b42db0, L_0000000002b426d0, C4<1>, C4<1>; +L_0000000002b6d970 .delay 1 (20000,20000,20000) L_0000000002b6d970/d; +L_0000000002b6db30/d .functor NAND 1, L_0000000002b43b70, L_0000000002b426d0, C4<1>, C4<1>; +L_0000000002b6db30 .delay 1 (20000,20000,20000) L_0000000002b6db30/d; +L_0000000002b6d200/d .functor NAND 1, L_0000000002b6d040, L_0000000002b6db30, L_0000000002b6d970, C4<1>; +L_0000000002b6d200 .delay 1 (30000,30000,30000) L_0000000002b6d200/d; +L_0000000002b6c9b0/d .functor XOR 1, L_0000000002b43b70, L_0000000002b42db0, L_0000000002b426d0, C4<0>; +L_0000000002b6c9b0 .delay 1 (30000,30000,30000) L_0000000002b6c9b0/d; +L_0000000002b6ccc0/d .functor NOR 1, L_0000000002b43b70, L_0000000002b42db0, C4<0>, C4<0>; +L_0000000002b6ccc0 .delay 1 (20000,20000,20000) L_0000000002b6ccc0/d; +L_0000000002b6cbe0/d .functor XOR 1, L_0000000002b6ccc0, L_0000000002b43030, C4<0>, C4<0>; +L_0000000002b6cbe0 .delay 1 (20000,20000,20000) L_0000000002b6cbe0/d; +v0000000002aaf0a0_0 .net "a", 0 0, L_0000000002b43b70; alias, 1 drivers +v0000000002aaf460_0 .net "anorb", 0 0, L_0000000002b6ccc0; 1 drivers +v0000000002ab0cc0_0 .net "b", 0 0, L_0000000002b42db0; alias, 1 drivers +v0000000002aae9c0_0 .net "carryAND", 0 0, L_0000000002b6d200; alias, 1 drivers +v0000000002aaeb00_0 .net "carryin", 0 0, L_0000000002b426d0; alias, 1 drivers +v0000000002aaeba0_0 .net "i0", 0 0, L_0000000002b43030; alias, 1 drivers +v0000000002aaf320_0 .net "nab", 0 0, L_0000000002b6d040; alias, 1 drivers +v0000000002aaece0_0 .net "nac", 0 0, L_0000000002b6db30; 1 drivers +v0000000002aaf140_0 .net "nbc", 0 0, L_0000000002b6d970; 1 drivers +v0000000002aaf1e0_0 .net "orNOR", 0 0, L_0000000002b6cbe0; alias, 1 drivers +v0000000002aaf5a0_0 .net "sumXOR", 0 0, L_0000000002b6c9b0; alias, 1 drivers +S_0000000002ac1d20 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac21a0; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b6dcf0/d .functor NOT 1, L_0000000002b43ad0, C4<0>, C4<0>, C4<0>; +L_0000000002b6dcf0 .delay 1 (10000,10000,10000) L_0000000002b6dcf0/d; +L_0000000002b6c8d0/d .functor NOT 1, L_0000000002b43a30, C4<0>, C4<0>, C4<0>; +L_0000000002b6c8d0 .delay 1 (10000,10000,10000) L_0000000002b6c8d0/d; +L_0000000002b6c940/d .functor NAND 1, L_0000000002b43a30, L_0000000002b43ad0, L_0000000002b6c9b0, C4<1>; +L_0000000002b6c940 .delay 1 (30000,30000,30000) L_0000000002b6c940/d; +L_0000000002b6e000/d .functor NAND 1, L_0000000002b43a30, L_0000000002b6dcf0, L_0000000002b6cbe0, C4<1>; +L_0000000002b6e000 .delay 1 (30000,30000,30000) L_0000000002b6e000/d; +L_0000000002b6d510/d .functor NAND 1, L_0000000002b6c8d0, L_0000000002b43ad0, L_0000000002b6d200, C4<1>; +L_0000000002b6d510 .delay 1 (30000,30000,30000) L_0000000002b6d510/d; +L_0000000002b6d7b0/d .functor NAND 1, L_0000000002b6c8d0, L_0000000002b6dcf0, L_0000000002b6d040, C4<1>; +L_0000000002b6d7b0 .delay 1 (30000,30000,30000) L_0000000002b6d7b0/d; +L_0000000002b6d270/d .functor NAND 1, L_0000000002b6c940, L_0000000002b6e000, L_0000000002b6d510, L_0000000002b6d7b0; +L_0000000002b6d270 .delay 1 (40000,40000,40000) L_0000000002b6d270/d; +v0000000002ad1bc0_0 .net "a", 0 0, L_0000000002b6c9b0; alias, 1 drivers +v0000000002ad0fe0_0 .net "aout", 0 0, L_0000000002b6c940; 1 drivers +v0000000002ad1260_0 .net "b", 0 0, L_0000000002b6cbe0; alias, 1 drivers +v0000000002acfc80_0 .net "bout", 0 0, L_0000000002b6e000; 1 drivers +v0000000002ad0900_0 .net "c", 0 0, L_0000000002b6d200; alias, 1 drivers +v0000000002ad1e40_0 .net "cout", 0 0, L_0000000002b6d510; 1 drivers +v0000000002acfaa0_0 .net "d", 0 0, L_0000000002b6d040; alias, 1 drivers +v0000000002ad1940_0 .net "dout", 0 0, L_0000000002b6d7b0; 1 drivers +v0000000002ad0e00_0 .net "ns0", 0 0, L_0000000002b6dcf0; 1 drivers +v0000000002ad09a0_0 .net "ns1", 0 0, L_0000000002b6c8d0; 1 drivers +v0000000002ad0d60_0 .net "out", 0 0, L_0000000002b6d270; alias, 1 drivers +v0000000002acff00_0 .net "s0", 0 0, L_0000000002b43ad0; 1 drivers +v0000000002ad0680_0 .net "s1", 0 0, L_0000000002b43a30; 1 drivers +S_0000000002ac2620 .scope generate, "aluBits[30]" "aluBits[30]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9660 .param/l "i" 0 4 52, +C4<011110>; +L_0000000002b6ca20/d .functor XOR 1, L_0000000002b44430, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b6ca20 .delay 1 (50000,50000,50000) L_0000000002b6ca20/d; +L_0000000002b6d5f0/d .functor AND 1, v0000000002a01300_0, L_0000000002b44890, C4<1>, C4<1>; +L_0000000002b6d5f0 .delay 1 (30000,30000,30000) L_0000000002b6d5f0/d; +v0000000002ad1620_0 .net *"_s1", 0 0, L_0000000002b44430; 1 drivers +v0000000002ad19e0_0 .net *"_s3", 0 0, L_0000000002b44890; 1 drivers +S_0000000002ac2920 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2620; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ad1080_0 .net "a", 0 0, L_0000000002b429f0; 1 drivers +v0000000002ad0360_0 .net "b", 0 0, L_0000000002b435d0; 1 drivers +v0000000002ad1120_0 .net "carryAND", 0 0, L_0000000002b6e1c0; 1 drivers +v0000000002ad11c0_0 .net "cin", 0 0, L_0000000002b444d0; 1 drivers +v0000000002ad0400_0 .net "ctrl0", 0 0, L_0000000002b42d10; 1 drivers +v0000000002ad16c0_0 .net "nab", 0 0, L_0000000002b6dc10; 1 drivers +v0000000002ad13a0_0 .net "orNOR", 0 0, L_0000000002b6d2e0; 1 drivers +v0000000002ad0540_0 .net "res", 0 0, L_0000000002b6d350; 1 drivers +v0000000002ad05e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ad1580_0 .net "sumXOR", 0 0, L_0000000002b6d190; 1 drivers +L_0000000002b43c10 .part v0000000002a01440_0, 1, 1; +L_0000000002b43170 .part v0000000002a01440_0, 0, 1; +S_0000000002ac2f20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac2920; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b6dc10/d .functor NAND 1, L_0000000002b429f0, L_0000000002b435d0, C4<1>, C4<1>; +L_0000000002b6dc10 .delay 1 (20000,20000,20000) L_0000000002b6dc10/d; +L_0000000002b6de40/d .functor NAND 1, L_0000000002b435d0, L_0000000002b444d0, C4<1>, C4<1>; +L_0000000002b6de40 .delay 1 (20000,20000,20000) L_0000000002b6de40/d; +L_0000000002b6d0b0/d .functor NAND 1, L_0000000002b429f0, L_0000000002b444d0, C4<1>, C4<1>; +L_0000000002b6d0b0 .delay 1 (20000,20000,20000) L_0000000002b6d0b0/d; +L_0000000002b6e1c0/d .functor NAND 1, L_0000000002b6dc10, L_0000000002b6d0b0, L_0000000002b6de40, C4<1>; +L_0000000002b6e1c0 .delay 1 (30000,30000,30000) L_0000000002b6e1c0/d; +L_0000000002b6d190/d .functor XOR 1, L_0000000002b429f0, L_0000000002b435d0, L_0000000002b444d0, C4<0>; +L_0000000002b6d190 .delay 1 (30000,30000,30000) L_0000000002b6d190/d; +L_0000000002b6d430/d .functor NOR 1, L_0000000002b429f0, L_0000000002b435d0, C4<0>, C4<0>; +L_0000000002b6d430 .delay 1 (20000,20000,20000) L_0000000002b6d430/d; +L_0000000002b6d2e0/d .functor XOR 1, L_0000000002b6d430, L_0000000002b42d10, C4<0>, C4<0>; +L_0000000002b6d2e0 .delay 1 (20000,20000,20000) L_0000000002b6d2e0/d; +v0000000002acf960_0 .net "a", 0 0, L_0000000002b429f0; alias, 1 drivers +v0000000002ad1da0_0 .net "anorb", 0 0, L_0000000002b6d430; 1 drivers +v0000000002ad0720_0 .net "b", 0 0, L_0000000002b435d0; alias, 1 drivers +v0000000002ad1ee0_0 .net "carryAND", 0 0, L_0000000002b6e1c0; alias, 1 drivers +v0000000002acfe60_0 .net "carryin", 0 0, L_0000000002b444d0; alias, 1 drivers +v0000000002acfd20_0 .net "i0", 0 0, L_0000000002b42d10; alias, 1 drivers +v0000000002ad1440_0 .net "nab", 0 0, L_0000000002b6dc10; alias, 1 drivers +v0000000002ad0860_0 .net "nac", 0 0, L_0000000002b6d0b0; 1 drivers +v0000000002acffa0_0 .net "nbc", 0 0, L_0000000002b6de40; 1 drivers +v0000000002acfdc0_0 .net "orNOR", 0 0, L_0000000002b6d2e0; alias, 1 drivers +v0000000002ad1c60_0 .net "sumXOR", 0 0, L_0000000002b6d190; alias, 1 drivers +S_0000000002ac1ba0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac2920; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b6ce80/d .functor NOT 1, L_0000000002b43170, C4<0>, C4<0>, C4<0>; +L_0000000002b6ce80 .delay 1 (10000,10000,10000) L_0000000002b6ce80/d; +L_0000000002b6cc50/d .functor NOT 1, L_0000000002b43c10, C4<0>, C4<0>, C4<0>; +L_0000000002b6cc50 .delay 1 (10000,10000,10000) L_0000000002b6cc50/d; +L_0000000002b6cb00/d .functor NAND 1, L_0000000002b43c10, L_0000000002b43170, L_0000000002b6d190, C4<1>; +L_0000000002b6cb00 .delay 1 (30000,30000,30000) L_0000000002b6cb00/d; +L_0000000002b6cda0/d .functor NAND 1, L_0000000002b43c10, L_0000000002b6ce80, L_0000000002b6d2e0, C4<1>; +L_0000000002b6cda0 .delay 1 (30000,30000,30000) L_0000000002b6cda0/d; +L_0000000002b6d820/d .functor NAND 1, L_0000000002b6cc50, L_0000000002b43170, L_0000000002b6e1c0, C4<1>; +L_0000000002b6d820 .delay 1 (30000,30000,30000) L_0000000002b6d820/d; +L_0000000002b6d900/d .functor NAND 1, L_0000000002b6cc50, L_0000000002b6ce80, L_0000000002b6dc10, C4<1>; +L_0000000002b6d900 .delay 1 (30000,30000,30000) L_0000000002b6d900/d; +L_0000000002b6d350/d .functor NAND 1, L_0000000002b6cb00, L_0000000002b6cda0, L_0000000002b6d820, L_0000000002b6d900; +L_0000000002b6d350 .delay 1 (40000,40000,40000) L_0000000002b6d350/d; +v0000000002ad0180_0 .net "a", 0 0, L_0000000002b6d190; alias, 1 drivers +v0000000002acfa00_0 .net "aout", 0 0, L_0000000002b6cb00; 1 drivers +v0000000002ad0cc0_0 .net "b", 0 0, L_0000000002b6d2e0; alias, 1 drivers +v0000000002ad1f80_0 .net "bout", 0 0, L_0000000002b6cda0; 1 drivers +v0000000002ad04a0_0 .net "c", 0 0, L_0000000002b6e1c0; alias, 1 drivers +v0000000002ad1300_0 .net "cout", 0 0, L_0000000002b6d820; 1 drivers +v0000000002acfb40_0 .net "d", 0 0, L_0000000002b6dc10; alias, 1 drivers +v0000000002ad18a0_0 .net "dout", 0 0, L_0000000002b6d900; 1 drivers +v0000000002acfbe0_0 .net "ns0", 0 0, L_0000000002b6ce80; 1 drivers +v0000000002ad0040_0 .net "ns1", 0 0, L_0000000002b6cc50; 1 drivers +v0000000002ad00e0_0 .net "out", 0 0, L_0000000002b6d350; alias, 1 drivers +v0000000002ad0220_0 .net "s0", 0 0, L_0000000002b43170; 1 drivers +v0000000002ad02c0_0 .net "s1", 0 0, L_0000000002b43c10; 1 drivers +S_0000000002ac1120 .scope generate, "aluBits[31]" "aluBits[31]" 4 52, 4 52 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9a20 .param/l "i" 0 4 52, +C4<011111>; +L_0000000002b6ca90/d .functor XOR 1, L_0000000002b43e90, v0000000002a02840_0, C4<0>, C4<0>; +L_0000000002b6ca90 .delay 1 (50000,50000,50000) L_0000000002b6ca90/d; +L_0000000002b6dd60/d .functor AND 1, v0000000002a01300_0, L_0000000002b43670, C4<1>, C4<1>; +L_0000000002b6dd60 .delay 1 (30000,30000,30000) L_0000000002b6dd60/d; +v0000000002ac4240_0 .net *"_s1", 0 0, L_0000000002b43e90; 1 drivers +v0000000002ac4560_0 .net *"_s3", 0 0, L_0000000002b43670; 1 drivers +S_0000000002ad4f40 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac1120; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "res" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b" + .port_info 4 /INPUT 1 "cin" + .port_info 5 /INPUT 1 "ctrl0" + .port_info 6 /INPUT 2 "sel" +v0000000002ad2200_0 .net "a", 0 0, L_0000000002b42a90; 1 drivers +v0000000002ad22a0_0 .net "b", 0 0, L_0000000002b44570; 1 drivers +v0000000002ad2520_0 .net "carryAND", 0 0, L_0000000002b6cb70; 1 drivers +v0000000002ac41a0_0 .net "cin", 0 0, L_0000000002b430d0; 1 drivers +v0000000002ac3c00_0 .net "ctrl0", 0 0, L_0000000002b44610; 1 drivers +v0000000002ac3fc0_0 .net "nab", 0 0, L_0000000002b6e150; 1 drivers +v0000000002ac4e20_0 .net "orNOR", 0 0, L_0000000002b6e230; 1 drivers +v0000000002ac3ca0_0 .net "res", 0 0, L_0000000002b6cd30; 1 drivers +v0000000002ac35c0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers +v0000000002ac3840_0 .net "sumXOR", 0 0, L_0000000002b6dc80; 1 drivers +L_0000000002b44390 .part v0000000002a01440_0, 1, 1; +L_0000000002b43210 .part v0000000002a01440_0, 0, 1; +S_0000000002ad3ec0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ad4f40; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "sumXOR" + .port_info 1 /OUTPUT 1 "carryAND" + .port_info 2 /OUTPUT 1 "nab" + .port_info 3 /OUTPUT 1 "orNOR" + .port_info 4 /INPUT 1 "a" + .port_info 5 /INPUT 1 "b" + .port_info 6 /INPUT 1 "carryin" + .port_info 7 /INPUT 1 "i0" +L_0000000002b6e150/d .functor NAND 1, L_0000000002b42a90, L_0000000002b44570, C4<1>, C4<1>; +L_0000000002b6e150 .delay 1 (20000,20000,20000) L_0000000002b6e150/d; +L_0000000002b6ddd0/d .functor NAND 1, L_0000000002b44570, L_0000000002b430d0, C4<1>, C4<1>; +L_0000000002b6ddd0 .delay 1 (20000,20000,20000) L_0000000002b6ddd0/d; +L_0000000002b6d660/d .functor NAND 1, L_0000000002b42a90, L_0000000002b430d0, C4<1>, C4<1>; +L_0000000002b6d660 .delay 1 (20000,20000,20000) L_0000000002b6d660/d; +L_0000000002b6cb70/d .functor NAND 1, L_0000000002b6e150, L_0000000002b6d660, L_0000000002b6ddd0, C4<1>; +L_0000000002b6cb70 .delay 1 (30000,30000,30000) L_0000000002b6cb70/d; +L_0000000002b6dc80/d .functor XOR 1, L_0000000002b42a90, L_0000000002b44570, L_0000000002b430d0, C4<0>; +L_0000000002b6dc80 .delay 1 (30000,30000,30000) L_0000000002b6dc80/d; +L_0000000002b6e2a0/d .functor NOR 1, L_0000000002b42a90, L_0000000002b44570, C4<0>, C4<0>; +L_0000000002b6e2a0 .delay 1 (20000,20000,20000) L_0000000002b6e2a0/d; +L_0000000002b6e230/d .functor XOR 1, L_0000000002b6e2a0, L_0000000002b44610, C4<0>, C4<0>; +L_0000000002b6e230 .delay 1 (20000,20000,20000) L_0000000002b6e230/d; +v0000000002ad1760_0 .net "a", 0 0, L_0000000002b42a90; alias, 1 drivers +v0000000002ad1800_0 .net "anorb", 0 0, L_0000000002b6e2a0; 1 drivers +v0000000002ad1b20_0 .net "b", 0 0, L_0000000002b44570; alias, 1 drivers +v0000000002ad2de0_0 .net "carryAND", 0 0, L_0000000002b6cb70; alias, 1 drivers +v0000000002ad28e0_0 .net "carryin", 0 0, L_0000000002b430d0; alias, 1 drivers +v0000000002ad2980_0 .net "i0", 0 0, L_0000000002b44610; alias, 1 drivers +v0000000002ad25c0_0 .net "nab", 0 0, L_0000000002b6e150; alias, 1 drivers +v0000000002ad2700_0 .net "nac", 0 0, L_0000000002b6d660; 1 drivers +v0000000002ad2a20_0 .net "nbc", 0 0, L_0000000002b6ddd0; 1 drivers +v0000000002ad2ac0_0 .net "orNOR", 0 0, L_0000000002b6e230; alias, 1 drivers +v0000000002ad2b60_0 .net "sumXOR", 0 0, L_0000000002b6dc80; alias, 1 drivers +S_0000000002ad47c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ad4f40; + .timescale -9 -12; + .port_info 0 /OUTPUT 1 "out" + .port_info 1 /INPUT 1 "a" + .port_info 2 /INPUT 1 "b" + .port_info 3 /INPUT 1 "c" + .port_info 4 /INPUT 1 "d" + .port_info 5 /INPUT 1 "s1" + .port_info 6 /INPUT 1 "s0" +L_0000000002b6d6d0/d .functor NOT 1, L_0000000002b43210, C4<0>, C4<0>, C4<0>; +L_0000000002b6d6d0 .delay 1 (10000,10000,10000) L_0000000002b6d6d0/d; +L_0000000002b6deb0/d .functor NOT 1, L_0000000002b44390, C4<0>, C4<0>, C4<0>; +L_0000000002b6deb0 .delay 1 (10000,10000,10000) L_0000000002b6deb0/d; +L_0000000002b6e310/d .functor NAND 1, L_0000000002b44390, L_0000000002b43210, L_0000000002b6dc80, C4<1>; +L_0000000002b6e310 .delay 1 (30000,30000,30000) L_0000000002b6e310/d; +L_0000000002b6d3c0/d .functor NAND 1, L_0000000002b44390, L_0000000002b6d6d0, L_0000000002b6e230, C4<1>; +L_0000000002b6d3c0 .delay 1 (30000,30000,30000) L_0000000002b6d3c0/d; +L_0000000002b6e0e0/d .functor NAND 1, L_0000000002b6deb0, L_0000000002b43210, L_0000000002b6cb70, C4<1>; +L_0000000002b6e0e0 .delay 1 (30000,30000,30000) L_0000000002b6e0e0/d; +L_0000000002b6d4a0/d .functor NAND 1, L_0000000002b6deb0, L_0000000002b6d6d0, L_0000000002b6e150, C4<1>; +L_0000000002b6d4a0 .delay 1 (30000,30000,30000) L_0000000002b6d4a0/d; +L_0000000002b6cd30/d .functor NAND 1, L_0000000002b6e310, L_0000000002b6d3c0, L_0000000002b6e0e0, L_0000000002b6d4a0; +L_0000000002b6cd30 .delay 1 (40000,40000,40000) L_0000000002b6cd30/d; +v0000000002ad2c00_0 .net "a", 0 0, L_0000000002b6dc80; alias, 1 drivers +v0000000002ad2340_0 .net "aout", 0 0, L_0000000002b6e310; 1 drivers +v0000000002ad2160_0 .net "b", 0 0, L_0000000002b6e230; alias, 1 drivers +v0000000002ad2660_0 .net "bout", 0 0, L_0000000002b6d3c0; 1 drivers +v0000000002ad2e80_0 .net "c", 0 0, L_0000000002b6cb70; alias, 1 drivers +v0000000002ad27a0_0 .net "cout", 0 0, L_0000000002b6e0e0; 1 drivers +v0000000002ad2ca0_0 .net "d", 0 0, L_0000000002b6e150; alias, 1 drivers +v0000000002ad2840_0 .net "dout", 0 0, L_0000000002b6d4a0; 1 drivers +v0000000002ad2d40_0 .net "ns0", 0 0, L_0000000002b6d6d0; 1 drivers +v0000000002ad23e0_0 .net "ns1", 0 0, L_0000000002b6deb0; 1 drivers +v0000000002ad2f20_0 .net "out", 0 0, L_0000000002b6cd30; alias, 1 drivers +v0000000002ad2480_0 .net "s0", 0 0, L_0000000002b43210; 1 drivers +v0000000002ad2fc0_0 .net "s1", 0 0, L_0000000002b44390; 1 drivers +S_0000000002ad3bc0 .scope generate, "zeroFlag[0]" "zeroFlag[0]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f97a0 .param/l "i" 0 4 73, +C4<00>; +L_0000000002b6d740/d .functor OR 1, L_0000000002b42b30, L_0000000002b43fd0, C4<0>, C4<0>; +L_0000000002b6d740 .delay 1 (30000,30000,30000) L_0000000002b6d740/d; +v0000000002ac3480_0 .net *"_s1", 0 0, L_0000000002b42b30; 1 drivers +v0000000002ac3e80_0 .net *"_s2", 0 0, L_0000000002b43fd0; 1 drivers +S_0000000002ad4940 .scope generate, "zeroFlag[1]" "zeroFlag[1]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9b60 .param/l "i" 0 4 73, +C4<01>; +L_0000000002b6d890/d .functor OR 1, L_0000000002b44070, L_0000000002b42c70, C4<0>, C4<0>; +L_0000000002b6d890 .delay 1 (30000,30000,30000) L_0000000002b6d890/d; +v0000000002ac5500_0 .net *"_s1", 0 0, L_0000000002b44070; 1 drivers +v0000000002ac3660_0 .net *"_s2", 0 0, L_0000000002b42c70; 1 drivers +S_0000000002ad4040 .scope generate, "zeroFlag[2]" "zeroFlag[2]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9860 .param/l "i" 0 4 73, +C4<010>; +L_0000000002b6cef0/d .functor OR 1, L_0000000002b432b0, L_0000000002b441b0, C4<0>, C4<0>; +L_0000000002b6cef0 .delay 1 (30000,30000,30000) L_0000000002b6cef0/d; +v0000000002ac46a0_0 .net *"_s1", 0 0, L_0000000002b432b0; 1 drivers +v0000000002ac53c0_0 .net *"_s2", 0 0, L_0000000002b441b0; 1 drivers +S_0000000002ad4dc0 .scope generate, "zeroFlag[3]" "zeroFlag[3]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8d60 .param/l "i" 0 4 73, +C4<011>; +L_0000000002b6d9e0/d .functor OR 1, L_0000000002b446b0, L_0000000002b44250, C4<0>, C4<0>; +L_0000000002b6d9e0 .delay 1 (30000,30000,30000) L_0000000002b6d9e0/d; +v0000000002ac4d80_0 .net *"_s1", 0 0, L_0000000002b446b0; 1 drivers +v0000000002ac38e0_0 .net *"_s2", 0 0, L_0000000002b44250; 1 drivers +S_0000000002ad35c0 .scope generate, "zeroFlag[4]" "zeroFlag[4]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9460 .param/l "i" 0 4 73, +C4<0100>; +L_0000000002b6da50/d .functor OR 1, L_0000000002b442f0, L_0000000002b44cf0, C4<0>, C4<0>; +L_0000000002b6da50 .delay 1 (30000,30000,30000) L_0000000002b6da50/d; +v0000000002ac3200_0 .net *"_s1", 0 0, L_0000000002b442f0; 1 drivers +v0000000002ac5460_0 .net *"_s2", 0 0, L_0000000002b44cf0; 1 drivers +S_0000000002ad41c0 .scope generate, "zeroFlag[5]" "zeroFlag[5]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f98e0 .param/l "i" 0 4 73, +C4<0101>; +L_0000000002b6dba0/d .functor OR 1, L_0000000002b46730, L_0000000002b45830, C4<0>, C4<0>; +L_0000000002b6dba0 .delay 1 (30000,30000,30000) L_0000000002b6dba0/d; +v0000000002ac4ec0_0 .net *"_s1", 0 0, L_0000000002b46730; 1 drivers +v0000000002ac3980_0 .net *"_s2", 0 0, L_0000000002b45830; 1 drivers +S_0000000002ad4c40 .scope generate, "zeroFlag[6]" "zeroFlag[6]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9920 .param/l "i" 0 4 73, +C4<0110>; +L_0000000002b6df20/d .functor OR 1, L_0000000002b45f10, L_0000000002b45970, C4<0>, C4<0>; +L_0000000002b6df20 .delay 1 (30000,30000,30000) L_0000000002b6df20/d; +v0000000002ac3de0_0 .net *"_s1", 0 0, L_0000000002b45f10; 1 drivers +v0000000002ac5640_0 .net *"_s2", 0 0, L_0000000002b45970; 1 drivers +S_0000000002ad38c0 .scope generate, "zeroFlag[7]" "zeroFlag[7]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9060 .param/l "i" 0 4 73, +C4<0111>; +L_0000000002b6e3f0/d .functor OR 1, L_0000000002b46d70, L_0000000002b45ab0, C4<0>, C4<0>; +L_0000000002b6e3f0 .delay 1 (30000,30000,30000) L_0000000002b6e3f0/d; +v0000000002ac47e0_0 .net *"_s1", 0 0, L_0000000002b46d70; 1 drivers +v0000000002ac3f20_0 .net *"_s2", 0 0, L_0000000002b45ab0; 1 drivers +S_0000000002ad4340 .scope generate, "zeroFlag[8]" "zeroFlag[8]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f90a0 .param/l "i" 0 4 73, +C4<01000>; +L_0000000002b6df90/d .functor OR 1, L_0000000002b46eb0, L_0000000002b46e10, C4<0>, C4<0>; +L_0000000002b6df90 .delay 1 (30000,30000,30000) L_0000000002b6df90/d; +v0000000002ac56e0_0 .net *"_s1", 0 0, L_0000000002b46eb0; 1 drivers +v0000000002ac3700_0 .net *"_s2", 0 0, L_0000000002b46e10; 1 drivers +S_0000000002ad4ac0 .scope generate, "zeroFlag[9]" "zeroFlag[9]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8de0 .param/l "i" 0 4 73, +C4<01001>; +L_0000000002b6e070/d .functor OR 1, L_0000000002b467d0, L_0000000002b46870, C4<0>, C4<0>; +L_0000000002b6e070 .delay 1 (30000,30000,30000) L_0000000002b6e070/d; +v0000000002ac55a0_0 .net *"_s1", 0 0, L_0000000002b467d0; 1 drivers +v0000000002ac50a0_0 .net *"_s2", 0 0, L_0000000002b46870; 1 drivers +S_0000000002ad4640 .scope generate, "zeroFlag[10]" "zeroFlag[10]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f99a0 .param/l "i" 0 4 73, +C4<01010>; +L_0000000002b6cf60/d .functor OR 1, L_0000000002b449d0, L_0000000002b46910, C4<0>, C4<0>; +L_0000000002b6cf60 .delay 1 (30000,30000,30000) L_0000000002b6cf60/d; +v0000000002ac32a0_0 .net *"_s1", 0 0, L_0000000002b449d0; 1 drivers +v0000000002ac4600_0 .net *"_s2", 0 0, L_0000000002b46910; 1 drivers +S_0000000002ad44c0 .scope generate, "zeroFlag[11]" "zeroFlag[11]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9960 .param/l "i" 0 4 73, +C4<01011>; +L_0000000002b6e380/d .functor OR 1, L_0000000002b46550, L_0000000002b44c50, C4<0>, C4<0>; +L_0000000002b6e380 .delay 1 (30000,30000,30000) L_0000000002b6e380/d; +v0000000002ac4880_0 .net *"_s1", 0 0, L_0000000002b46550; 1 drivers +v0000000002ac4060_0 .net *"_s2", 0 0, L_0000000002b44c50; 1 drivers +S_0000000002ad3140 .scope generate, "zeroFlag[12]" "zeroFlag[12]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f99e0 .param/l "i" 0 4 73, +C4<01100>; +L_0000000002b6c860/d .functor OR 1, L_0000000002b469b0, L_0000000002b45b50, C4<0>, C4<0>; +L_0000000002b6c860 .delay 1 (30000,30000,30000) L_0000000002b6c860/d; +v0000000002ac58c0_0 .net *"_s1", 0 0, L_0000000002b469b0; 1 drivers +v0000000002ac42e0_0 .net *"_s2", 0 0, L_0000000002b45b50; 1 drivers +S_0000000002ad32c0 .scope generate, "zeroFlag[13]" "zeroFlag[13]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9a60 .param/l "i" 0 4 73, +C4<01101>; +L_0000000002b6e690/d .functor OR 1, L_0000000002b44ed0, L_0000000002b46370, C4<0>, C4<0>; +L_0000000002b6e690 .delay 1 (30000,30000,30000) L_0000000002b6e690/d; +v0000000002ac4f60_0 .net *"_s1", 0 0, L_0000000002b44ed0; 1 drivers +v0000000002ac5780_0 .net *"_s2", 0 0, L_0000000002b46370; 1 drivers +S_0000000002ad3440 .scope generate, "zeroFlag[14]" "zeroFlag[14]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9aa0 .param/l "i" 0 4 73, +C4<01110>; +L_0000000002b6e700/d .functor OR 1, L_0000000002b46050, L_0000000002b45dd0, C4<0>, C4<0>; +L_0000000002b6e700 .delay 1 (30000,30000,30000) L_0000000002b6e700/d; +v0000000002ac4920_0 .net *"_s1", 0 0, L_0000000002b46050; 1 drivers +v0000000002ac49c0_0 .net *"_s2", 0 0, L_0000000002b45dd0; 1 drivers +S_0000000002ad3740 .scope generate, "zeroFlag[15]" "zeroFlag[15]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9ba0 .param/l "i" 0 4 73, +C4<01111>; +L_0000000002b6e930/d .functor OR 1, L_0000000002b451f0, L_0000000002b44b10, C4<0>, C4<0>; +L_0000000002b6e930 .delay 1 (30000,30000,30000) L_0000000002b6e930/d; +v0000000002ac4380_0 .net *"_s1", 0 0, L_0000000002b451f0; 1 drivers +v0000000002ac4740_0 .net *"_s2", 0 0, L_0000000002b44b10; 1 drivers +S_0000000002ad3a40 .scope generate, "zeroFlag[16]" "zeroFlag[16]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9be0 .param/l "i" 0 4 73, +C4<010000>; +L_0000000002b6e620/d .functor OR 1, L_0000000002b460f0, L_0000000002b46f50, C4<0>, C4<0>; +L_0000000002b6e620 .delay 1 (30000,30000,30000) L_0000000002b6e620/d; +v0000000002ac3520_0 .net *"_s1", 0 0, L_0000000002b460f0; 1 drivers +v0000000002ac4100_0 .net *"_s2", 0 0, L_0000000002b46f50; 1 drivers +S_0000000002ad3d40 .scope generate, "zeroFlag[17]" "zeroFlag[17]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8c60 .param/l "i" 0 4 73, +C4<010001>; +L_0000000002b6e9a0/d .functor OR 1, L_0000000002b464b0, L_0000000002b44bb0, C4<0>, C4<0>; +L_0000000002b6e9a0 .delay 1 (30000,30000,30000) L_0000000002b6e9a0/d; +v0000000002ac5820_0 .net *"_s1", 0 0, L_0000000002b464b0; 1 drivers +v0000000002ac37a0_0 .net *"_s2", 0 0, L_0000000002b44bb0; 1 drivers +S_0000000002ad5450 .scope generate, "zeroFlag[18]" "zeroFlag[18]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8ce0 .param/l "i" 0 4 73, +C4<010010>; +L_0000000002b6e540/d .functor OR 1, L_0000000002b46190, L_0000000002b455b0, C4<0>, C4<0>; +L_0000000002b6e540 .delay 1 (30000,30000,30000) L_0000000002b6e540/d; +v0000000002ac4420_0 .net *"_s1", 0 0, L_0000000002b46190; 1 drivers +v0000000002ac3d40_0 .net *"_s2", 0 0, L_0000000002b455b0; 1 drivers +S_0000000002ad6dd0 .scope generate, "zeroFlag[19]" "zeroFlag[19]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8d20 .param/l "i" 0 4 73, +C4<010011>; +L_0000000002b6e770/d .functor OR 1, L_0000000002b44f70, L_0000000002b46af0, C4<0>, C4<0>; +L_0000000002b6e770 .delay 1 (30000,30000,30000) L_0000000002b6e770/d; +v0000000002ac3a20_0 .net *"_s1", 0 0, L_0000000002b44f70; 1 drivers +v0000000002ac3ac0_0 .net *"_s2", 0 0, L_0000000002b46af0; 1 drivers +S_0000000002ad64d0 .scope generate, "zeroFlag[20]" "zeroFlag[20]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8fe0 .param/l "i" 0 4 73, +C4<010100>; +L_0000000002b6ea10/d .functor OR 1, L_0000000002b45650, L_0000000002b465f0, C4<0>, C4<0>; +L_0000000002b6ea10 .delay 1 (30000,30000,30000) L_0000000002b6ea10/d; +v0000000002ac5000_0 .net *"_s1", 0 0, L_0000000002b45650; 1 drivers +v0000000002ac4a60_0 .net *"_s2", 0 0, L_0000000002b465f0; 1 drivers +S_0000000002ad6650 .scope generate, "zeroFlag[21]" "zeroFlag[21]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8da0 .param/l "i" 0 4 73, +C4<010101>; +L_0000000002b6e4d0/d .functor OR 1, L_0000000002b453d0, L_0000000002b45290, C4<0>, C4<0>; +L_0000000002b6e4d0 .delay 1 (30000,30000,30000) L_0000000002b6e4d0/d; +v0000000002ac3b60_0 .net *"_s1", 0 0, L_0000000002b453d0; 1 drivers +v0000000002ac3160_0 .net *"_s2", 0 0, L_0000000002b45290; 1 drivers +S_0000000002ad67d0 .scope generate, "zeroFlag[22]" "zeroFlag[22]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9220 .param/l "i" 0 4 73, +C4<010110>; +L_0000000002b6e5b0/d .functor OR 1, L_0000000002b45bf0, L_0000000002b450b0, C4<0>, C4<0>; +L_0000000002b6e5b0 .delay 1 (30000,30000,30000) L_0000000002b6e5b0/d; +v0000000002ac3340_0 .net *"_s1", 0 0, L_0000000002b45bf0; 1 drivers +v0000000002ac44c0_0 .net *"_s2", 0 0, L_0000000002b450b0; 1 drivers +S_0000000002ad58d0 .scope generate, "zeroFlag[23]" "zeroFlag[23]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8e60 .param/l "i" 0 4 73, +C4<010111>; +L_0000000002b6ea80/d .functor OR 1, L_0000000002b46690, L_0000000002b46a50, C4<0>, C4<0>; +L_0000000002b6ea80 .delay 1 (30000,30000,30000) L_0000000002b6ea80/d; +v0000000002ac4b00_0 .net *"_s1", 0 0, L_0000000002b46690; 1 drivers +v0000000002ac4ba0_0 .net *"_s2", 0 0, L_0000000002b46a50; 1 drivers +S_0000000002ad5a50 .scope generate, "zeroFlag[24]" "zeroFlag[24]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8ea0 .param/l "i" 0 4 73, +C4<011000>; +L_0000000002b6e850/d .functor OR 1, L_0000000002b46230, L_0000000002b45330, C4<0>, C4<0>; +L_0000000002b6e850 .delay 1 (30000,30000,30000) L_0000000002b6e850/d; +v0000000002ac4c40_0 .net *"_s1", 0 0, L_0000000002b46230; 1 drivers +v0000000002ac5320_0 .net *"_s2", 0 0, L_0000000002b45330; 1 drivers +S_0000000002ad55d0 .scope generate, "zeroFlag[25]" "zeroFlag[25]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8ee0 .param/l "i" 0 4 73, +C4<011001>; +L_0000000002b6e460/d .functor OR 1, L_0000000002b46410, L_0000000002b456f0, C4<0>, C4<0>; +L_0000000002b6e460 .delay 1 (30000,30000,30000) L_0000000002b6e460/d; +v0000000002ac5140_0 .net *"_s1", 0 0, L_0000000002b46410; 1 drivers +v0000000002ac4ce0_0 .net *"_s2", 0 0, L_0000000002b456f0; 1 drivers +S_0000000002ad6ad0 .scope generate, "zeroFlag[26]" "zeroFlag[26]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8f20 .param/l "i" 0 4 73, +C4<011010>; +L_0000000002b6e7e0/d .functor OR 1, L_0000000002b46cd0, L_0000000002b44a70, C4<0>, C4<0>; +L_0000000002b6e7e0 .delay 1 (30000,30000,30000) L_0000000002b6e7e0/d; +v0000000002ac51e0_0 .net *"_s1", 0 0, L_0000000002b46cd0; 1 drivers +v0000000002ac5280_0 .net *"_s2", 0 0, L_0000000002b44a70; 1 drivers +S_0000000002ad6050 .scope generate, "zeroFlag[27]" "zeroFlag[27]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8f60 .param/l "i" 0 4 73, +C4<011011>; +L_0000000002b6e8c0/d .functor OR 1, L_0000000002b45fb0, L_0000000002b46b90, C4<0>, C4<0>; +L_0000000002b6e8c0 .delay 1 (30000,30000,30000) L_0000000002b6e8c0/d; +v0000000002ac33e0_0 .net *"_s1", 0 0, L_0000000002b45fb0; 1 drivers +v0000000002ac7120_0 .net *"_s2", 0 0, L_0000000002b46b90; 1 drivers +S_0000000002ad6350 .scope generate, "zeroFlag[28]" "zeroFlag[28]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f8fa0 .param/l "i" 0 4 73, +C4<011100>; +L_0000000002b6eaf0/d .functor OR 1, L_0000000002b462d0, L_0000000002b46c30, C4<0>, C4<0>; +L_0000000002b6eaf0 .delay 1 (30000,30000,30000) L_0000000002b6eaf0/d; +v0000000002ac6a40_0 .net *"_s1", 0 0, L_0000000002b462d0; 1 drivers +v0000000002ac7ee0_0 .net *"_s2", 0 0, L_0000000002b46c30; 1 drivers +S_0000000002ad6950 .scope generate, "zeroFlag[29]" "zeroFlag[29]" 4 73, 4 73 0, S_0000000002847440; + .timescale -9 -12; +P_00000000029f9020 .param/l "i" 0 4 73, +C4<011101>; +L_0000000002b6eb60/d .functor OR 1, L_0000000002b44d90, L_0000000002b46ff0, C4<0>, C4<0>; +L_0000000002b6eb60 .delay 1 (30000,30000,30000) L_0000000002b6eb60/d; +v0000000002ac7f80_0 .net *"_s1", 0 0, L_0000000002b44d90; 1 drivers +v0000000002ac69a0_0 .net *"_s2", 0 0, L_0000000002b46ff0; 1 drivers + .scope S_0000000002836770; +T_0 ; + %wait E_00000000029f63e0; + %load/vec4 v0000000002a022a0_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_0.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_0.1, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_0.2, 6; + %dup/vec4; + %pushi/vec4 5, 0, 3; + %cmp/u; + %jmp/1 T_0.3, 6; + %dup/vec4; + %pushi/vec4 6, 0, 3; + %cmp/u; + %jmp/1 T_0.4, 6; + %dup/vec4; + %pushi/vec4 7, 0, 3; + %cmp/u; + %jmp/1 T_0.5, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_0.6, 6; + %dup/vec4; + %pushi/vec4 3, 0, 3; + %cmp/u; + %jmp/1 T_0.7, 6; + %jmp T_0.8; +T_0.0 ; + %pushi/vec4 3, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.1 ; + %pushi/vec4 3, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.2 ; + %pushi/vec4 1, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.3 ; + %pushi/vec4 0, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.4 ; + %pushi/vec4 2, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.5 ; + %pushi/vec4 2, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.6 ; + %pushi/vec4 3, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.7 ; + %pushi/vec4 3, 0, 2; + %store/vec4 v0000000002a01440_0, 0, 2; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000000002a02840_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000000002a01300_0, 0, 1; + %jmp T_0.8; +T_0.8 ; + %pop/vec4 1; + %jmp T_0; + .thread T_0, $push; + .scope S_0000000002a1c9d0; +T_1 ; + %vpi_call 3 28 "$display", " operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero" {0 0 0}; + %vpi_call 3 29 "$display" {0 0 0}; + %vpi_call 3 30 "$display", "ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 3, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 0, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 34 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 4294967266, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 50, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 0, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 38 "$display", "| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 4294967291, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 0, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 42 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 4294967096, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 200, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 0, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 46 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 4294967294, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 4294967294, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 0, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 50 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 51 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 53 "$display", "SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 5, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 3, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 1, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 57 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 4294967266, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 50, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 1, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 61 "$display", "| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 4294967291, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 1, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 65 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 4294967096, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 4294967096, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 1, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 69 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 5, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 1, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 73 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 74 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 76 "$display", "XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 773499565, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 2860817687, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 80 "$display", "| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 84 "$display", "| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 88 "$display", "| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 92 "$display", "| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002acbb80_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002accb20_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v0000000002acb9a0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 96 "$display", "| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 97 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %end; + .thread T_1; +# The file index is used to find the file name in the following table. +:file_names 9; + "N/A"; + ""; + "./adder.v"; + "alu.t.v"; + "./alu.v"; + "./aluFullBit.v"; + "./aluBit.v"; + "./mux.v"; + "./lut.v"; diff --git a/alu.t.v b/alu.t.v index a69b8f7..3691286 100644 --- a/alu.t.v +++ b/alu.t.v @@ -25,13 +25,79 @@ module testALU(); initial begin - $display(); - $display("operandA operandB cmd | result | cOut | Overflow | Zero"); - operandA=32'b00000000000000000000000000000010;operandB=32'b00000000000000000000000000000011;command=`SLT; + $display(" operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero"); + $display(); + $display("ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // 2 + 3 = 5 + operandA=32'd2;operandB=32'd3;command=`ADD; #10000; - $display("%b %b AND | %b %b %b %b", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -30 + 50 = 20 + operandA=-32'd30;operandB=32'd50;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // 2 + (-5) = -3 + operandA=32'd2;operandB=-32'd5;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -200 + 200 = 0 + operandA=-32'd200;operandB=32'd200;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + // -2 + (-2) = -4 + operandA=-32'd2;operandB=-32'd2;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // 5 - 3 = 2 + operandA=32'd5;operandB=32'd3;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -30 - 50 = -80 + operandA=-32'd30;operandB=32'd50;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // 2 - (-5) = 7 + operandA=32'd2;operandB=-32'd5;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -200 - (-200) = 0 + operandA=-32'd200;operandB=-32'd200;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + // 2 - 5 = -3 + operandA=32'd2;operandB=32'd5;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // + operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); // $dumpflush; end endmodule + diff --git a/alu.t.v~ b/alu.t.v~ new file mode 100644 index 0000000..aad20ab --- /dev/null +++ b/alu.t.v~ @@ -0,0 +1,103 @@ +// 32-bit alu testbench + +`timescale 1 ns / 1 ps +`include "alu.v" + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module testALU(); + + reg signed [31:0] operandA; + reg signed [31:0] operandB; + reg[2:0] command; + + wire signed [31:0] result; + wire carryout, zero, overflow; + + ALU alu(result, carryout, zero, overflow, operandA, operandB, command); + + initial begin + + $display(" operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero"); + $display(); + $display("ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // 2 + 3 = 5 + operandA=32'd2;operandB=32'd3;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -30 + 50 = 20 + operandA=-32'd30;operandB=32'd50;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // 2 + (-5) = -3 + operandA=32'd2;operandB=-32'd5;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -200 + 200 = 0 + operandA=-32'd200;operandB=32'd200;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + // -2 + (-2) = -4 + operandA=-32'd2;operandB=-32'd2;command=`ADD; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // 5 - 3 = 2 + operandA=32'd5;operandB=32'd3;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -30 - 50 = -80 + operandA=-32'd30;operandB=32'd50;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // 2 - (-5) = 7 + operandA=32'd2;operandB=-32'd5;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // -200 - (-200) = 0 + operandA=-32'd200;operandB=-32'd200;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + // 2 - 5 = -3 + operandA=32'd2;operandB=32'd5;command=`SUB; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // + operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + // $dumpflush; + end + +endmodule + diff --git a/alu.v b/alu.v index 9ff0028..2eac6ed 100644 --- a/alu.v +++ b/alu.v @@ -33,7 +33,7 @@ wire [1:0] select; */ wire sltFlag; -wire aLessB; +//wire aLessB; wire nCommand; ALULut _lut(select[1:0], invert, carry, command[2:0]); @@ -43,17 +43,17 @@ ALULut _lut(select[1:0], invert, carry, command[2:0]); `NOT (nCommand, command[0]); `NOR (sltFlag, command[2], command[1], nCommand); -`AND (result[0], partialResult[0], sltFlag, aLessB); +//`AND (result[0], partialResult[0], sltFlag, aLessB); -aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); +aluFullBit _bit(result[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); genvar i; generate for (i=1; i < 32; i=i+1) begin : aluBits `XOR (finalB[i], operandB[i], invert); `AND (cIn[i], carry, cOut[i-1]); - `AND (result[i], partialResult[i], sltFlag); - aluFullBit _bit(partialResult[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); + //`AND (result[i], partialResult[i], sltFlag); + aluFullBit _bit(result[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); end endgenerate diff --git a/alu.v~ b/alu.v~ new file mode 100644 index 0000000..76207a7 --- /dev/null +++ b/alu.v~ @@ -0,0 +1,85 @@ +`include "adder.v" +`include "lut.v" +`include "aluFullBit.v" + +`define AND and #30 +`define OR or #30 +`define NOT not #10 +`define XOR xor #50 +`define NAND nand #20 +`define NOR nor #20 + + +module ALU +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input[2:0] command +); + +wire [31:0] operandA, operandB, finalB, partialResult, result, cIn, cOut; +wire [2:0] command; + +//wire[1:0] tmp_s; +wire [1:0] select; +/*`OR(tmp_s[0], command[0], command[1]); +`NOT(tmp_s[1], command[1]); +`NAND(select[0], command[2], tmp_s[0]); +`NAND(select[1], command[2], tmp_s[1]); +*/ + +wire sltFlag; +wire aLessB; +wire nCommand; + +ALULut _lut(select[1:0], invert, carry, command[2:0]); + +`XOR (finalB[0], operandB[0], invert); +`AND (cIn[0], carry, command[0]); + +`NOT (nCommand, command[0]); +`NOR (sltFlag, command[2], command[1], nCommand); +//`AND (result[0], partialResult[0], sltFlag, aLessB); + +aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); + +genvar i; + generate + for (i=1; i < 32; i=i+1) begin : aluBits + `XOR (finalB[i], operandB[i], invert); + `AND (cIn[i], carry, cOut[i-1]); + `AND (result[i], partialResult[i], sltFlag); + aluFullBit _bit(partialResult[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); + end + endgenerate + +wire partialOverflow; +`XOR (partialOverflow, cOut[30], cOut[31]); + +wire [1:0] nSelect; + +and #40 (carryout, cOut[31], select[0], select[1]); +and #40 (overflow, partialOverflow, select[0], select[1]); + + +wire [30:0] zeroFlags; +`OR (zeroFlags[0], result[0], result[1]); + +generate + for (i=0; i < 30; i=i+1) begin : zeroFlag + `OR (zeroFlags[i+1], result[i+1], zeroFlags[i]); + end +endgenerate + +wire zeroPartial; +`NOT (zeroPartial, zeroFlags[30]); +and #40 (zero, zeroPartial, select[0], select[1]); + +//`XOR (aLessB, partialOverflow, result[31]); + + +endmodule From e5311d0b8fe4ef7af7900074542606187885bf4c Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 19:28:03 -0400 Subject: [PATCH 14/20] final alu --- alu | 6844 ++++++++++++++++++++++++++++--------------------------- alu.t.v | 43 +- alu.v | 32 +- 3 files changed, 3584 insertions(+), 3335 deletions(-) diff --git a/alu b/alu index f439490..6508e2e 100644 --- a/alu +++ b/alu @@ -6,7 +6,7 @@ :vpi_module "vhdl_sys"; :vpi_module "v2005_math"; :vpi_module "va_math"; -S_0000000002933df0 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; +S_0000000000d8ff20 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; .timescale -9 -12; .port_info 0 /OUTPUT 4 "sum" .port_info 1 /OUTPUT 1 "carryout" @@ -14,138 +14,138 @@ S_0000000002933df0 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; .port_info 3 /OUTPUT 1 "overflow" .port_info 4 /INPUT 4 "a" .port_info 5 /INPUT 4 "b" -L_0000000002a0c640/d .functor XOR 1, L_0000000002a0c2c0, L_0000000002a0c3a0, C4<0>, C4<0>; -L_0000000002a0c640 .delay 1 (50000,50000,50000) L_0000000002a0c640/d; -o0000000002a31028 .functor BUFZ 4, C4; HiZ drive -v0000000002a05d60_0 .net "a", 3 0, o0000000002a31028; 0 drivers -o0000000002a31058 .functor BUFZ 4, C4; HiZ drive -v0000000002a07840_0 .net "b", 3 0, o0000000002a31058; 0 drivers -v0000000002a08100_0 .net "carryout", 0 0, L_0000000002a0c3a0; 1 drivers -v0000000002a072a0_0 .net "carryout0", 0 0, L_0000000002a0ce20; 1 drivers -v0000000002a05ea0_0 .net "carryout1", 0 0, L_0000000002a0cd40; 1 drivers -v0000000002a068a0_0 .net "carryout2", 0 0, L_0000000002a0c2c0; 1 drivers -v0000000002a069e0_0 .net "overflow", 0 0, L_0000000002a0c640; 1 drivers -v0000000002a08240_0 .net "sum", 3 0, L_0000000002acab40; 1 drivers -L_0000000002acba40 .part o0000000002a31028, 0, 1; -L_0000000002acc3a0 .part o0000000002a31058, 0, 1; -L_0000000002acbae0 .part o0000000002a31028, 1, 1; -L_0000000002acc120 .part o0000000002a31058, 1, 1; -L_0000000002acc940 .part o0000000002a31028, 2, 1; -L_0000000002acb180 .part o0000000002a31058, 2, 1; -L_0000000002acab40 .concat8 [ 1 1 1 1], L_0000000002a0cf70, L_0000000002a0c9c0, L_0000000002a0c330, L_0000000002a0c1e0; -L_0000000002acbcc0 .part o0000000002a31028, 3, 1; -L_0000000002acb4a0 .part o0000000002a31058, 3, 1; -S_0000000002a1cb50 .scope module, "a0" "structFullAdder" 2 38, 2 7 0, S_0000000002933df0; +L_0000000000e6b0e0/d .functor XOR 1, L_0000000000e69da0, L_0000000000e6aeb0, C4<0>, C4<0>; +L_0000000000e6b0e0 .delay 1 (50000,50000,50000) L_0000000000e6b0e0/d; +o0000000000e922f8 .functor BUFZ 4, C4; HiZ drive +v0000000000e5bad0_0 .net "a", 3 0, o0000000000e922f8; 0 drivers +o0000000000e92328 .functor BUFZ 4, C4; HiZ drive +v0000000000e5b990_0 .net "b", 3 0, o0000000000e92328; 0 drivers +v0000000000e5b7b0_0 .net "carryout", 0 0, L_0000000000e6aeb0; 1 drivers +v0000000000e5bb70_0 .net "carryout0", 0 0, L_0000000000e6b000; 1 drivers +v0000000000e5bc10_0 .net "carryout1", 0 0, L_0000000000e6b380; 1 drivers +v0000000000e5ba30_0 .net "carryout2", 0 0, L_0000000000e69da0; 1 drivers +v0000000000e5b530_0 .net "overflow", 0 0, L_0000000000e6b0e0; 1 drivers +v0000000000e5b710_0 .net "sum", 3 0, L_000000000265e320; 1 drivers +L_000000000265dc40 .part o0000000000e922f8, 0, 1; +L_000000000265fb80 .part o0000000000e92328, 0, 1; +L_000000000265fa40 .part o0000000000e922f8, 1, 1; +L_000000000265e1e0 .part o0000000000e92328, 1, 1; +L_000000000265dce0 .part o0000000000e922f8, 2, 1; +L_000000000265fae0 .part o0000000000e92328, 2, 1; +L_000000000265e320 .concat8 [ 1 1 1 1], L_0000000000e6ac80, L_0000000000e69b00, L_0000000000e6add0, L_0000000000e6a0b0; +L_000000000265ee60 .part o0000000000e922f8, 3, 1; +L_000000000265f400 .part o0000000000e92328, 3, 1; +S_0000000000e74c40 .scope module, "a0" "structFullAdder" 2 38, 2 7 0, S_0000000000d8ff20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000002a0c4f0/d .functor XOR 1, L_0000000002acba40, L_0000000002acc3a0, C4<0>, C4<0>; -L_0000000002a0c4f0 .delay 1 (50000,50000,50000) L_0000000002a0c4f0/d; -L_0000000002af0088 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0000000002a0cf70/d .functor XOR 1, L_0000000002a0c4f0, L_0000000002af0088, C4<0>, C4<0>; -L_0000000002a0cf70 .delay 1 (50000,50000,50000) L_0000000002a0cf70/d; -L_0000000002a0ce90/d .functor AND 1, L_0000000002acba40, L_0000000002acc3a0, C4<1>, C4<1>; -L_0000000002a0ce90 .delay 1 (30000,30000,30000) L_0000000002a0ce90/d; -L_0000000002a0c5d0/d .functor AND 1, L_0000000002a0c4f0, L_0000000002af0088, C4<1>, C4<1>; -L_0000000002a0c5d0 .delay 1 (30000,30000,30000) L_0000000002a0c5d0/d; -L_0000000002a0ce20/d .functor OR 1, L_0000000002a0c5d0, L_0000000002a0ce90, C4<0>, C4<0>; -L_0000000002a0ce20 .delay 1 (30000,30000,30000) L_0000000002a0ce20/d; -v0000000002a07fc0_0 .net "AandB", 0 0, L_0000000002a0ce90; 1 drivers -v0000000002a07340_0 .net "AxorB", 0 0, L_0000000002a0c4f0; 1 drivers -v0000000002a06120_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0c5d0; 1 drivers -v0000000002a06300_0 .net "a", 0 0, L_0000000002acba40; 1 drivers -v0000000002a07660_0 .net "b", 0 0, L_0000000002acc3a0; 1 drivers -v0000000002a07ac0_0 .net "carryin", 0 0, L_0000000002af0088; 1 drivers -v0000000002a077a0_0 .net "carryout", 0 0, L_0000000002a0ce20; alias, 1 drivers -v0000000002a06da0_0 .net "sum", 0 0, L_0000000002a0cf70; 1 drivers -S_0000000002841510 .scope module, "a1" "structFullAdder" 2 39, 2 7 0, S_0000000002933df0; +L_0000000000e6b310/d .functor XOR 1, L_000000000265dc40, L_000000000265fb80, C4<0>, C4<0>; +L_0000000000e6b310 .delay 1 (50000,50000,50000) L_0000000000e6b310/d; +L_00000000026688b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0000000000e6ac80/d .functor XOR 1, L_0000000000e6b310, L_00000000026688b8, C4<0>, C4<0>; +L_0000000000e6ac80 .delay 1 (50000,50000,50000) L_0000000000e6ac80/d; +L_0000000000e6a890/d .functor AND 1, L_000000000265dc40, L_000000000265fb80, C4<1>, C4<1>; +L_0000000000e6a890 .delay 1 (30000,30000,30000) L_0000000000e6a890/d; +L_0000000000e6b150/d .functor AND 1, L_0000000000e6b310, L_00000000026688b8, C4<1>, C4<1>; +L_0000000000e6b150 .delay 1 (30000,30000,30000) L_0000000000e6b150/d; +L_0000000000e6b000/d .functor OR 1, L_0000000000e6b150, L_0000000000e6a890, C4<0>, C4<0>; +L_0000000000e6b000 .delay 1 (30000,30000,30000) L_0000000000e6b000/d; +v0000000000e57cf0_0 .net "AandB", 0 0, L_0000000000e6a890; 1 drivers +v0000000000e57d90_0 .net "AxorB", 0 0, L_0000000000e6b310; 1 drivers +v0000000000e5a9f0_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6b150; 1 drivers +v0000000000e58fb0_0 .net "a", 0 0, L_000000000265dc40; 1 drivers +v0000000000e5a8b0_0 .net "b", 0 0, L_000000000265fb80; 1 drivers +v0000000000e5a090_0 .net "carryin", 0 0, L_00000000026688b8; 1 drivers +v0000000000e5b0d0_0 .net "carryout", 0 0, L_0000000000e6b000; alias, 1 drivers +v0000000000e59050_0 .net "sum", 0 0, L_0000000000e6ac80; 1 drivers +S_0000000000ca6660 .scope module, "a1" "structFullAdder" 2 39, 2 7 0, S_0000000000d8ff20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000002a0c100/d .functor XOR 1, L_0000000002acbae0, L_0000000002acc120, C4<0>, C4<0>; -L_0000000002a0c100 .delay 1 (50000,50000,50000) L_0000000002a0c100/d; -L_0000000002a0c9c0/d .functor XOR 1, L_0000000002a0c100, L_0000000002a0ce20, C4<0>, C4<0>; -L_0000000002a0c9c0 .delay 1 (50000,50000,50000) L_0000000002a0c9c0/d; -L_0000000002a0c170/d .functor AND 1, L_0000000002acbae0, L_0000000002acc120, C4<1>, C4<1>; -L_0000000002a0c170 .delay 1 (30000,30000,30000) L_0000000002a0c170/d; -L_0000000002a0cf00/d .functor AND 1, L_0000000002a0c100, L_0000000002a0ce20, C4<1>, C4<1>; -L_0000000002a0cf00 .delay 1 (30000,30000,30000) L_0000000002a0cf00/d; -L_0000000002a0cd40/d .functor OR 1, L_0000000002a0cf00, L_0000000002a0c170, C4<0>, C4<0>; -L_0000000002a0cd40 .delay 1 (30000,30000,30000) L_0000000002a0cd40/d; -v0000000002a070c0_0 .net "AandB", 0 0, L_0000000002a0c170; 1 drivers -v0000000002a06f80_0 .net "AxorB", 0 0, L_0000000002a0c100; 1 drivers -v0000000002a05e00_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0cf00; 1 drivers -v0000000002a06940_0 .net "a", 0 0, L_0000000002acbae0; 1 drivers -v0000000002a061c0_0 .net "b", 0 0, L_0000000002acc120; 1 drivers -v0000000002a05f40_0 .net "carryin", 0 0, L_0000000002a0ce20; alias, 1 drivers -v0000000002a08060_0 .net "carryout", 0 0, L_0000000002a0cd40; alias, 1 drivers -v0000000002a07980_0 .net "sum", 0 0, L_0000000002a0c9c0; 1 drivers -S_0000000002841690 .scope module, "a2" "structFullAdder" 2 40, 2 7 0, S_0000000002933df0; +L_0000000000e6af90/d .functor XOR 1, L_000000000265fa40, L_000000000265e1e0, C4<0>, C4<0>; +L_0000000000e6af90 .delay 1 (50000,50000,50000) L_0000000000e6af90/d; +L_0000000000e69b00/d .functor XOR 1, L_0000000000e6af90, L_0000000000e6b000, C4<0>, C4<0>; +L_0000000000e69b00 .delay 1 (50000,50000,50000) L_0000000000e69b00/d; +L_0000000000e6a6d0/d .functor AND 1, L_000000000265fa40, L_000000000265e1e0, C4<1>, C4<1>; +L_0000000000e6a6d0 .delay 1 (30000,30000,30000) L_0000000000e6a6d0/d; +L_0000000000e6a660/d .functor AND 1, L_0000000000e6af90, L_0000000000e6b000, C4<1>, C4<1>; +L_0000000000e6a660 .delay 1 (30000,30000,30000) L_0000000000e6a660/d; +L_0000000000e6b380/d .functor OR 1, L_0000000000e6a660, L_0000000000e6a6d0, C4<0>, C4<0>; +L_0000000000e6b380 .delay 1 (30000,30000,30000) L_0000000000e6b380/d; +v0000000000e5a6d0_0 .net "AandB", 0 0, L_0000000000e6a6d0; 1 drivers +v0000000000e5b170_0 .net "AxorB", 0 0, L_0000000000e6af90; 1 drivers +v0000000000e59f50_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6a660; 1 drivers +v0000000000e5b210_0 .net "a", 0 0, L_000000000265fa40; 1 drivers +v0000000000e5a130_0 .net "b", 0 0, L_000000000265e1e0; 1 drivers +v0000000000e5a1d0_0 .net "carryin", 0 0, L_0000000000e6b000; alias, 1 drivers +v0000000000e5b350_0 .net "carryout", 0 0, L_0000000000e6b380; alias, 1 drivers +v0000000000e5b2b0_0 .net "sum", 0 0, L_0000000000e69b00; 1 drivers +S_0000000000ca67e0 .scope module, "a2" "structFullAdder" 2 40, 2 7 0, S_0000000000d8ff20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000002a0ca30/d .functor XOR 1, L_0000000002acc940, L_0000000002acb180, C4<0>, C4<0>; -L_0000000002a0ca30 .delay 1 (50000,50000,50000) L_0000000002a0ca30/d; -L_0000000002a0c330/d .functor XOR 1, L_0000000002a0ca30, L_0000000002a0cd40, C4<0>, C4<0>; -L_0000000002a0c330 .delay 1 (50000,50000,50000) L_0000000002a0c330/d; -L_0000000002a0c800/d .functor AND 1, L_0000000002acc940, L_0000000002acb180, C4<1>, C4<1>; -L_0000000002a0c800 .delay 1 (30000,30000,30000) L_0000000002a0c800/d; -L_0000000002a0caa0/d .functor AND 1, L_0000000002a0ca30, L_0000000002a0cd40, C4<1>, C4<1>; -L_0000000002a0caa0 .delay 1 (30000,30000,30000) L_0000000002a0caa0/d; -L_0000000002a0c2c0/d .functor OR 1, L_0000000002a0caa0, L_0000000002a0c800, C4<0>, C4<0>; -L_0000000002a0c2c0 .delay 1 (30000,30000,30000) L_0000000002a0c2c0/d; -v0000000002a06e40_0 .net "AandB", 0 0, L_0000000002a0c800; 1 drivers -v0000000002a06260_0 .net "AxorB", 0 0, L_0000000002a0ca30; 1 drivers -v0000000002a07ca0_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0caa0; 1 drivers -v0000000002a07a20_0 .net "a", 0 0, L_0000000002acc940; 1 drivers -v0000000002a066c0_0 .net "b", 0 0, L_0000000002acb180; 1 drivers -v0000000002a063a0_0 .net "carryin", 0 0, L_0000000002a0cd40; alias, 1 drivers -v0000000002a07520_0 .net "carryout", 0 0, L_0000000002a0c2c0; alias, 1 drivers -v0000000002a05cc0_0 .net "sum", 0 0, L_0000000002a0c330; 1 drivers -S_00000000028472c0 .scope module, "a3" "structFullAdder" 2 41, 2 7 0, S_0000000002933df0; +L_0000000000e69a90/d .functor XOR 1, L_000000000265dce0, L_000000000265fae0, C4<0>, C4<0>; +L_0000000000e69a90 .delay 1 (50000,50000,50000) L_0000000000e69a90/d; +L_0000000000e6add0/d .functor XOR 1, L_0000000000e69a90, L_0000000000e6b380, C4<0>, C4<0>; +L_0000000000e6add0 .delay 1 (50000,50000,50000) L_0000000000e6add0/d; +L_0000000000e6ae40/d .functor AND 1, L_000000000265dce0, L_000000000265fae0, C4<1>, C4<1>; +L_0000000000e6ae40 .delay 1 (30000,30000,30000) L_0000000000e6ae40/d; +L_0000000000e6aac0/d .functor AND 1, L_0000000000e69a90, L_0000000000e6b380, C4<1>, C4<1>; +L_0000000000e6aac0 .delay 1 (30000,30000,30000) L_0000000000e6aac0/d; +L_0000000000e69da0/d .functor OR 1, L_0000000000e6aac0, L_0000000000e6ae40, C4<0>, C4<0>; +L_0000000000e69da0 .delay 1 (30000,30000,30000) L_0000000000e69da0/d; +v0000000000e5a4f0_0 .net "AandB", 0 0, L_0000000000e6ae40; 1 drivers +v0000000000e590f0_0 .net "AxorB", 0 0, L_0000000000e69a90; 1 drivers +v0000000000e59190_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6aac0; 1 drivers +v0000000000e5a270_0 .net "a", 0 0, L_000000000265dce0; 1 drivers +v0000000000e5a590_0 .net "b", 0 0, L_000000000265fae0; 1 drivers +v0000000000e59230_0 .net "carryin", 0 0, L_0000000000e6b380; alias, 1 drivers +v0000000000e5a450_0 .net "carryout", 0 0, L_0000000000e69da0; alias, 1 drivers +v0000000000e5a770_0 .net "sum", 0 0, L_0000000000e6add0; 1 drivers +S_0000000000c914e0 .scope module, "a3" "structFullAdder" 2 41, 2 7 0, S_0000000000d8ff20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000002a0cfe0/d .functor XOR 1, L_0000000002acbcc0, L_0000000002acb4a0, C4<0>, C4<0>; -L_0000000002a0cfe0 .delay 1 (50000,50000,50000) L_0000000002a0cfe0/d; -L_0000000002a0c1e0/d .functor XOR 1, L_0000000002a0cfe0, L_0000000002a0c2c0, C4<0>, C4<0>; -L_0000000002a0c1e0 .delay 1 (50000,50000,50000) L_0000000002a0c1e0/d; -L_0000000002a0c250/d .functor AND 1, L_0000000002acbcc0, L_0000000002acb4a0, C4<1>, C4<1>; -L_0000000002a0c250 .delay 1 (30000,30000,30000) L_0000000002a0c250/d; -L_0000000002a0c560/d .functor AND 1, L_0000000002a0cfe0, L_0000000002a0c2c0, C4<1>, C4<1>; -L_0000000002a0c560 .delay 1 (30000,30000,30000) L_0000000002a0c560/d; -L_0000000002a0c3a0/d .functor OR 1, L_0000000002a0c560, L_0000000002a0c250, C4<0>, C4<0>; -L_0000000002a0c3a0 .delay 1 (30000,30000,30000) L_0000000002a0c3a0/d; -v0000000002a05fe0_0 .net "AandB", 0 0, L_0000000002a0c250; 1 drivers -v0000000002a081a0_0 .net "AxorB", 0 0, L_0000000002a0cfe0; 1 drivers -v0000000002a07020_0 .net "AxorBandCarryIn", 0 0, L_0000000002a0c560; 1 drivers -v0000000002a073e0_0 .net "a", 0 0, L_0000000002acbcc0; 1 drivers -v0000000002a07160_0 .net "b", 0 0, L_0000000002acb4a0; 1 drivers -v0000000002a06440_0 .net "carryin", 0 0, L_0000000002a0c2c0; alias, 1 drivers -v0000000002a07b60_0 .net "carryout", 0 0, L_0000000002a0c3a0; alias, 1 drivers -v0000000002a07200_0 .net "sum", 0 0, L_0000000002a0c1e0; 1 drivers -S_0000000002a1c9d0 .scope module, "testALU" "testALU" 3 15; - .timescale -9 -12; -v0000000002acc260_0 .net "carryout", 0 0, L_0000000002b6c240; 1 drivers -v0000000002acb9a0_0 .var "command", 2 0; -v0000000002acbb80_0 .var/s "operandA", 31 0; -v0000000002accb20_0 .var/s "operandB", 31 0; -v0000000002acb680_0 .net "overflow", 0 0, L_0000000002b6c400; 1 drivers -v0000000002acc580_0 .net/s "result", 31 0, L_0000000002b45e70; 1 drivers -v0000000002acbc20_0 .net "zero", 0 0, L_0000000002b6b9f0; 1 drivers -S_0000000002847440 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000002a1c9d0; +L_0000000000e6b070/d .functor XOR 1, L_000000000265ee60, L_000000000265f400, C4<0>, C4<0>; +L_0000000000e6b070 .delay 1 (50000,50000,50000) L_0000000000e6b070/d; +L_0000000000e6a0b0/d .functor XOR 1, L_0000000000e6b070, L_0000000000e69da0, C4<0>, C4<0>; +L_0000000000e6a0b0 .delay 1 (50000,50000,50000) L_0000000000e6a0b0/d; +L_0000000000e6a9e0/d .functor AND 1, L_000000000265ee60, L_000000000265f400, C4<1>, C4<1>; +L_0000000000e6a9e0 .delay 1 (30000,30000,30000) L_0000000000e6a9e0/d; +L_0000000000e6af20/d .functor AND 1, L_0000000000e6b070, L_0000000000e69da0, C4<1>, C4<1>; +L_0000000000e6af20 .delay 1 (30000,30000,30000) L_0000000000e6af20/d; +L_0000000000e6aeb0/d .functor OR 1, L_0000000000e6af20, L_0000000000e6a9e0, C4<0>, C4<0>; +L_0000000000e6aeb0 .delay 1 (30000,30000,30000) L_0000000000e6aeb0/d; +v0000000000e5a810_0 .net "AandB", 0 0, L_0000000000e6a9e0; 1 drivers +v0000000000e5a950_0 .net "AxorB", 0 0, L_0000000000e6b070; 1 drivers +v0000000000e5ab30_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6af20; 1 drivers +v0000000000e5abd0_0 .net "a", 0 0, L_000000000265ee60; 1 drivers +v0000000000e5ac70_0 .net "b", 0 0, L_000000000265f400; 1 drivers +v0000000000e5b8f0_0 .net "carryin", 0 0, L_0000000000e69da0; alias, 1 drivers +v0000000000e5b5d0_0 .net "carryout", 0 0, L_0000000000e6aeb0; alias, 1 drivers +v0000000000e5b670_0 .net "sum", 0 0, L_0000000000e6a0b0; 1 drivers +S_0000000000e74ac0 .scope module, "testALU" "testALU" 3 15; + .timescale -9 -12; +v000000000265f720_0 .net "carryout", 0 0, L_00000000026f7ca0; 1 drivers +v000000000265fcc0_0 .var "command", 2 0; +v000000000265de20_0 .var/s "operandA", 31 0; +v000000000265ec80_0 .var/s "operandB", 31 0; +v000000000265e960_0 .net "overflow", 0 0, L_00000000026f6c00; 1 drivers +v000000000265f220_0 .net/s "result", 31 0, L_000000000265cb60; 1 drivers +v000000000265da60_0 .net "zero", 0 0, L_00000000026f6810; 1 drivers +S_0000000000c91660 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000000e74ac0; .timescale -9 -12; .port_info 0 /OUTPUT 32 "result" .port_info 1 /OUTPUT 1 "carryout" @@ -154,479 +154,572 @@ S_0000000002847440 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000002a1c9d0; .port_info 4 /INPUT 32 "operandA" .port_info 5 /INPUT 32 "operandB" .port_info 6 /INPUT 3 "command" -L_0000000002b6c7f0/d .functor XOR 1, L_0000000002b458d0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b6c7f0 .delay 1 (50000,50000,50000) L_0000000002b6c7f0/d; -L_0000000002b6bc20/d .functor AND 1, v0000000002a01300_0, L_0000000002b47090, C4<1>, C4<1>; -L_0000000002b6bc20 .delay 1 (30000,30000,30000) L_0000000002b6bc20/d; -L_0000000002b6b910/d .functor NOT 1, L_0000000002b45010, C4<0>, C4<0>, C4<0>; -L_0000000002b6b910 .delay 1 (10000,10000,10000) L_0000000002b6b910/d; -L_0000000002b6b0c0/d .functor NOR 1, L_0000000002b44930, L_0000000002b45150, L_0000000002b6b910, C4<0>; -L_0000000002b6b0c0 .delay 1 (20000,20000,20000) L_0000000002b6b0c0/d; -L_0000000002b6c1d0/d .functor XOR 1, L_0000000002b49250, L_0000000002b471d0, C4<0>, C4<0>; -L_0000000002b6c1d0 .delay 1 (50000,50000,50000) L_0000000002b6c1d0/d; -L_0000000002b6c240/d .functor AND 1, L_0000000002b473b0, L_0000000002b487b0, L_0000000002b483f0, C4<1>; -L_0000000002b6c240 .delay 1 (40000,40000,40000) L_0000000002b6c240/d; -L_0000000002b6c400/d .functor AND 1, L_0000000002b6c1d0, L_0000000002b48350, L_0000000002b47ef0, C4<1>; -L_0000000002b6c400 .delay 1 (40000,40000,40000) L_0000000002b6c400/d; -L_0000000002b6bfa0/d .functor OR 1, L_0000000002b49430, L_0000000002b492f0, C4<0>, C4<0>; -L_0000000002b6bfa0 .delay 1 (30000,30000,30000) L_0000000002b6bfa0/d; -L_0000000002b6bd70/d .functor NOT 1, L_0000000002b47310, C4<0>, C4<0>, C4<0>; -L_0000000002b6bd70 .delay 1 (10000,10000,10000) L_0000000002b6bd70/d; -L_0000000002b6b9f0/d .functor AND 1, L_0000000002b6bd70, L_0000000002b49390, L_0000000002b48670, C4<1>; -L_0000000002b6b9f0 .delay 1 (40000,40000,40000) L_0000000002b6b9f0/d; -v0000000002ac6ea0_0 .net *"_s0", 0 0, L_0000000002a0cdb0; 1 drivers -v0000000002ac7080_0 .net *"_s101", 0 0, L_0000000002ae6f80; 1 drivers -v0000000002ac6fe0_0 .net *"_s112", 0 0, L_0000000002ae5d90; 1 drivers -v0000000002ac73a0_0 .net *"_s115", 0 0, L_0000000002ae7060; 1 drivers -v0000000002ac7800_0 .net *"_s126", 0 0, L_0000000002ae60a0; 1 drivers -v0000000002ac5aa0_0 .net *"_s129", 0 0, L_0000000002ae6ea0; 1 drivers -v0000000002ac80c0_0 .net *"_s14", 0 0, L_0000000002adfa60; 1 drivers -v0000000002ac7d00_0 .net *"_s140", 0 0, L_0000000002b40320; 1 drivers -v0000000002ac6720_0 .net *"_s143", 0 0, L_0000000002b41120; 1 drivers -v0000000002ac71c0_0 .net *"_s154", 0 0, L_0000000002b40860; 1 drivers -v0000000002ac6d60_0 .net *"_s157", 0 0, L_0000000002b41660; 1 drivers -v0000000002ac7440_0 .net *"_s168", 0 0, L_0000000002b41c10; 1 drivers -v0000000002ac7b20_0 .net *"_s17", 0 0, L_0000000002ae02b0; 1 drivers -v0000000002ac7940_0 .net *"_s171", 0 0, L_0000000002b41970; 1 drivers -v0000000002ac7c60_0 .net *"_s182", 0 0, L_0000000002b400f0; 1 drivers -v0000000002ac6f40_0 .net *"_s185", 0 0, L_0000000002b40550; 1 drivers -v0000000002ac74e0_0 .net *"_s196", 0 0, L_0000000002b42000; 1 drivers -v0000000002ac5a00_0 .net *"_s199", 0 0, L_0000000002b41cf0; 1 drivers -v0000000002ac78a0_0 .net *"_s210", 0 0, L_0000000002aea7c0; 1 drivers -v0000000002ac76c0_0 .net *"_s213", 0 0, L_0000000002aeafa0; 1 drivers -v0000000002ac8020_0 .net *"_s224", 0 0, L_0000000002ae9c60; 1 drivers -v0000000002ac6cc0_0 .net *"_s227", 0 0, L_0000000002aeade0; 1 drivers -v0000000002ac7bc0_0 .net *"_s238", 0 0, L_0000000002aea590; 1 drivers -v0000000002ac5dc0_0 .net *"_s241", 0 0, L_0000000002aeb240; 1 drivers -v0000000002ac7da0_0 .net *"_s252", 0 0, L_0000000002aea8a0; 1 drivers -v0000000002ac79e0_0 .net *"_s255", 0 0, L_0000000002aea0c0; 1 drivers -v0000000002ac7580_0 .net *"_s266", 0 0, L_0000000002b627c0; 1 drivers -v0000000002ac6c20_0 .net *"_s269", 0 0, L_0000000002b62910; 1 drivers -v0000000002ac5c80_0 .net *"_s28", 0 0, L_0000000002ae09b0; 1 drivers -v0000000002ac5960_0 .net *"_s280", 0 0, L_0000000002b5fdc0; 1 drivers -v0000000002ac6e00_0 .net *"_s283", 0 0, L_0000000002b5f960; 1 drivers -v0000000002ac6b80_0 .net *"_s294", 0 0, L_0000000002b5f650; 1 drivers -v0000000002ac64a0_0 .net *"_s297", 0 0, L_0000000002b5f5e0; 1 drivers -v0000000002ac5be0_0 .net *"_s3", 0 0, L_0000000002a0c410; 1 drivers -v0000000002ac7260_0 .net *"_s308", 0 0, L_0000000002b607d0; 1 drivers -v0000000002ac6860_0 .net *"_s31", 0 0, L_0000000002adfe50; 1 drivers -v0000000002ac67c0_0 .net *"_s311", 0 0, L_0000000002b5fb20; 1 drivers -v0000000002ac5b40_0 .net *"_s322", 0 0, L_0000000002b5fe30; 1 drivers -v0000000002ac6180_0 .net *"_s325", 0 0, L_0000000002b5f340; 1 drivers -v0000000002ac7300_0 .net *"_s336", 0 0, L_0000000002b62130; 1 drivers -v0000000002ac7620_0 .net *"_s339", 0 0, L_0000000002b60f40; 1 drivers -v0000000002ac5d20_0 .net *"_s350", 0 0, L_0000000002b61cd0; 1 drivers -v0000000002ac65e0_0 .net *"_s353", 0 0, L_0000000002b62280; 1 drivers -v0000000002ac5e60_0 .net *"_s364", 0 0, L_0000000002b623d0; 1 drivers -v0000000002ac7760_0 .net *"_s367", 0 0, L_0000000002b60ca0; 1 drivers -v0000000002ac6ae0_0 .net *"_s378", 0 0, L_0000000002b62050; 1 drivers -v0000000002ac6400_0 .net *"_s381", 0 0, L_0000000002b61640; 1 drivers -v0000000002ac7e40_0 .net *"_s392", 0 0, L_0000000002b6dac0; 1 drivers -v0000000002ac60e0_0 .net *"_s395", 0 0, L_0000000002b6cfd0; 1 drivers -v0000000002ac5f00_0 .net *"_s406", 0 0, L_0000000002b6ca20; 1 drivers -v0000000002ac7a80_0 .net *"_s409", 0 0, L_0000000002b6d5f0; 1 drivers -v0000000002ac5fa0_0 .net *"_s42", 0 0, L_0000000002ae0f60; 1 drivers -v0000000002ac6220_0 .net *"_s420", 0 0, L_0000000002b6ca90; 1 drivers -v0000000002ac6040_0 .net *"_s423", 0 0, L_0000000002b6dd60; 1 drivers -v0000000002ac62c0_0 .net *"_s434", 0 0, L_0000000002b6d740; 1 drivers -v0000000002ac6540_0 .net *"_s438", 0 0, L_0000000002b6d890; 1 drivers -v0000000002ac6360_0 .net *"_s442", 0 0, L_0000000002b6cef0; 1 drivers -v0000000002ac6680_0 .net *"_s446", 0 0, L_0000000002b6d9e0; 1 drivers -v0000000002ac6900_0 .net *"_s45", 0 0, L_0000000002ae0080; 1 drivers -v0000000002ac97e0_0 .net *"_s450", 0 0, L_0000000002b6da50; 1 drivers -v0000000002ac9a60_0 .net *"_s454", 0 0, L_0000000002b6dba0; 1 drivers -v0000000002ac8480_0 .net *"_s458", 0 0, L_0000000002b6df20; 1 drivers -v0000000002aca320_0 .net *"_s462", 0 0, L_0000000002b6e3f0; 1 drivers -v0000000002aca3c0_0 .net *"_s466", 0 0, L_0000000002b6df90; 1 drivers -v0000000002aca140_0 .net *"_s470", 0 0, L_0000000002b6e070; 1 drivers -v0000000002ac9560_0 .net *"_s474", 0 0, L_0000000002b6cf60; 1 drivers -v0000000002ac9740_0 .net *"_s478", 0 0, L_0000000002b6e380; 1 drivers -v0000000002ac8700_0 .net *"_s482", 0 0, L_0000000002b6c860; 1 drivers -v0000000002ac8200_0 .net *"_s486", 0 0, L_0000000002b6e690; 1 drivers -v0000000002ac8d40_0 .net *"_s490", 0 0, L_0000000002b6e700; 1 drivers -v0000000002ac8fc0_0 .net *"_s494", 0 0, L_0000000002b6e930; 1 drivers -v0000000002ac9880_0 .net *"_s498", 0 0, L_0000000002b6e620; 1 drivers -v0000000002ac9380_0 .net *"_s502", 0 0, L_0000000002b6e9a0; 1 drivers -v0000000002ac9060_0 .net *"_s506", 0 0, L_0000000002b6e540; 1 drivers -v0000000002aca8c0_0 .net *"_s510", 0 0, L_0000000002b6e770; 1 drivers -v0000000002ac88e0_0 .net *"_s514", 0 0, L_0000000002b6ea10; 1 drivers -v0000000002ac99c0_0 .net *"_s518", 0 0, L_0000000002b6e4d0; 1 drivers -v0000000002aca280_0 .net *"_s522", 0 0, L_0000000002b6e5b0; 1 drivers -v0000000002ac9ce0_0 .net *"_s526", 0 0, L_0000000002b6ea80; 1 drivers -v0000000002aca460_0 .net *"_s530", 0 0, L_0000000002b6e850; 1 drivers -v0000000002ac9920_0 .net *"_s534", 0 0, L_0000000002b6e460; 1 drivers -v0000000002ac8520_0 .net *"_s538", 0 0, L_0000000002b6e7e0; 1 drivers -v0000000002ac91a0_0 .net *"_s542", 0 0, L_0000000002b6e8c0; 1 drivers -v0000000002ac9b00_0 .net *"_s546", 0 0, L_0000000002b6eaf0; 1 drivers -v0000000002ac96a0_0 .net *"_s550", 0 0, L_0000000002b6eb60; 1 drivers -v0000000002ac8c00_0 .net *"_s555", 0 0, L_0000000002b6c7f0; 1 drivers -v0000000002ac9ba0_0 .net *"_s559", 0 0, L_0000000002b458d0; 1 drivers -v0000000002ac85c0_0 .net *"_s56", 0 0, L_0000000002ae0710; 1 drivers -v0000000002ac8e80_0 .net *"_s561", 0 0, L_0000000002b6bc20; 1 drivers -v0000000002ac9c40_0 .net *"_s565", 0 0, L_0000000002b47090; 1 drivers -v0000000002ac9240_0 .net *"_s568", 0 0, L_0000000002b45010; 1 drivers -v0000000002ac82a0_0 .net *"_s571", 0 0, L_0000000002b44930; 1 drivers -v0000000002ac8de0_0 .net *"_s573", 0 0, L_0000000002b45150; 1 drivers -v0000000002ac9d80_0 .net *"_s59", 0 0, L_0000000002ae0550; 1 drivers -v0000000002ac9e20_0 .net *"_s590", 0 0, L_0000000002b49250; 1 drivers -v0000000002ac9600_0 .net *"_s592", 0 0, L_0000000002b471d0; 1 drivers -v0000000002ac9ec0_0 .net *"_s595", 0 0, L_0000000002b473b0; 1 drivers -v0000000002aca500_0 .net *"_s597", 0 0, L_0000000002b487b0; 1 drivers -v0000000002aca1e0_0 .net *"_s599", 0 0, L_0000000002b483f0; 1 drivers -v0000000002aca5a0_0 .net *"_s602", 0 0, L_0000000002b48350; 1 drivers -v0000000002ac9f60_0 .net *"_s604", 0 0, L_0000000002b47ef0; 1 drivers -v0000000002aca000_0 .net *"_s606", 0 0, L_0000000002b6bfa0; 1 drivers -v0000000002ac8660_0 .net *"_s610", 0 0, L_0000000002b49430; 1 drivers -v0000000002aca640_0 .net *"_s612", 0 0, L_0000000002b492f0; 1 drivers -v0000000002ac87a0_0 .net *"_s615", 0 0, L_0000000002b47310; 1 drivers -v0000000002ac8340_0 .net *"_s618", 0 0, L_0000000002b49390; 1 drivers -v0000000002aca0a0_0 .net *"_s620", 0 0, L_0000000002b48670; 1 drivers -v0000000002ac83e0_0 .net *"_s70", 0 0, L_0000000002ae17b0; 1 drivers -v0000000002ac8ca0_0 .net *"_s73", 0 0, L_0000000002ae1660; 1 drivers -v0000000002aca6e0_0 .net *"_s84", 0 0, L_0000000002ae7530; 1 drivers -v0000000002ac8840_0 .net *"_s87", 0 0, L_0000000002ae6730; 1 drivers -v0000000002ac8980_0 .net *"_s98", 0 0, L_0000000002ae69d0; 1 drivers -v0000000002ac8a20_0 .net "cIn", 31 0, L_0000000002b44e30; 1 drivers -v0000000002aca780_0 .net "cOut", 31 0, L_0000000002b45790; 1 drivers -v0000000002ac8ac0_0 .net "carry", 0 0, v0000000002a01300_0; 1 drivers -v0000000002aca820_0 .net "carryout", 0 0, L_0000000002b6c240; alias, 1 drivers -v0000000002ac8160_0 .net "command", 2 0, v0000000002acb9a0_0; 1 drivers -v0000000002ac9420_0 .net "finalB", 31 0, L_0000000002b45c90; 1 drivers -v0000000002ac8f20_0 .net "invert", 0 0, v0000000002a02840_0; 1 drivers -v0000000002ac8b60_0 .net "nCommand", 0 0, L_0000000002b6b910; 1 drivers -v0000000002ac9100_0 .net "operandA", 31 0, v0000000002acbb80_0; 1 drivers -v0000000002ac92e0_0 .net "operandB", 31 0, v0000000002accb20_0; 1 drivers -v0000000002ac94c0_0 .net "overflow", 0 0, L_0000000002b6c400; alias, 1 drivers -v0000000002acb720_0 .net "partialOverflow", 0 0, L_0000000002b6c1d0; 1 drivers -v0000000002acc6c0_0 .net "result", 31 0, L_0000000002b45e70; alias, 1 drivers -v0000000002accc60_0 .net "select", 1 0, v0000000002a01440_0; 1 drivers -v0000000002accd00_0 .net "sltFlag", 0 0, L_0000000002b6b0c0; 1 drivers -v0000000002acc080_0 .net "zero", 0 0, L_0000000002b6b9f0; alias, 1 drivers -v0000000002acc1c0_0 .net "zeroFlags", 30 0, L_0000000002b49890; 1 drivers -v0000000002acc300_0 .net "zeroPartial", 0 0, L_0000000002b6bd70; 1 drivers -L_0000000002accda0 .part v0000000002accb20_0, 1, 1; -L_0000000002acb7c0 .part L_0000000002b45790, 0, 1; -L_0000000002acbfe0 .part v0000000002acbb80_0, 1, 1; -L_0000000002acad20 .part L_0000000002b45c90, 1, 1; -L_0000000002acb860 .part L_0000000002b44e30, 1, 1; -L_0000000002acbf40 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acaa00 .part v0000000002accb20_0, 2, 1; -L_0000000002acc440 .part L_0000000002b45790, 1, 1; -L_0000000002accf80 .part v0000000002acbb80_0, 2, 1; -L_0000000002acaf00 .part L_0000000002b45c90, 2, 1; -L_0000000002acb5e0 .part L_0000000002b44e30, 2, 1; -L_0000000002acc4e0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acc620 .part v0000000002accb20_0, 3, 1; -L_0000000002acc760 .part L_0000000002b45790, 2, 1; -L_0000000002accbc0 .part v0000000002acbb80_0, 3, 1; -L_0000000002acadc0 .part L_0000000002b45c90, 3, 1; -L_0000000002acc800 .part L_0000000002b44e30, 3, 1; -L_0000000002acaaa0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acce40 .part v0000000002accb20_0, 4, 1; -L_0000000002accee0 .part L_0000000002b45790, 3, 1; -L_0000000002acd0c0 .part v0000000002acbb80_0, 4, 1; -L_0000000002aca960 .part L_0000000002b45c90, 4, 1; -L_0000000002acc9e0 .part L_0000000002b44e30, 4, 1; -L_0000000002acca80 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acabe0 .part v0000000002accb20_0, 5, 1; -L_0000000002acae60 .part L_0000000002b45790, 4, 1; -L_0000000002acafa0 .part v0000000002acbb80_0, 5, 1; -L_0000000002acb040 .part L_0000000002b45c90, 5, 1; -L_0000000002acb0e0 .part L_0000000002b44e30, 5, 1; -L_0000000002acb2c0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acb360 .part v0000000002accb20_0, 6, 1; -L_0000000002ace380 .part L_0000000002b45790, 5, 1; -L_0000000002ace740 .part v0000000002acbb80_0, 6, 1; -L_0000000002acf6e0 .part L_0000000002b45c90, 6, 1; -L_0000000002acd2a0 .part L_0000000002b44e30, 6, 1; -L_0000000002ace1a0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acf5a0 .part v0000000002accb20_0, 7, 1; -L_0000000002aced80 .part L_0000000002b45790, 6, 1; -L_0000000002acf780 .part v0000000002acbb80_0, 7, 1; -L_0000000002acdd40 .part L_0000000002b45c90, 7, 1; -L_0000000002acf320 .part L_0000000002b44e30, 7, 1; -L_0000000002ace240 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acf1e0 .part v0000000002accb20_0, 8, 1; -L_0000000002acf3c0 .part L_0000000002b45790, 7, 1; -L_0000000002acd340 .part v0000000002acbb80_0, 8, 1; -L_0000000002acf820 .part L_0000000002b45c90, 8, 1; -L_0000000002ace6a0 .part L_0000000002b44e30, 8, 1; -L_0000000002acdde0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acd200 .part v0000000002accb20_0, 9, 1; -L_0000000002acf460 .part L_0000000002b45790, 8, 1; -L_0000000002acf280 .part v0000000002acbb80_0, 9, 1; -L_0000000002acdfc0 .part L_0000000002b45c90, 9, 1; -L_0000000002acf500 .part L_0000000002b44e30, 9, 1; -L_0000000002acda20 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acd5c0 .part v0000000002accb20_0, 10, 1; -L_0000000002acf8c0 .part L_0000000002b45790, 9, 1; -L_0000000002acd480 .part v0000000002acbb80_0, 10, 1; -L_0000000002acd700 .part L_0000000002b45c90, 10, 1; -L_0000000002ace060 .part L_0000000002b44e30, 10, 1; -L_0000000002aceba0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acd7a0 .part v0000000002accb20_0, 11, 1; -L_0000000002ace9c0 .part L_0000000002b45790, 10, 1; -L_0000000002ace100 .part v0000000002acbb80_0, 11, 1; -L_0000000002ace880 .part L_0000000002b45c90, 11, 1; -L_0000000002acee20 .part L_0000000002b44e30, 11, 1; -L_0000000002ace920 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acea60 .part v0000000002accb20_0, 12, 1; -L_0000000002acd840 .part L_0000000002b45790, 11, 1; -L_0000000002acd980 .part v0000000002acbb80_0, 12, 1; -L_0000000002acdac0 .part L_0000000002b45c90, 12, 1; -L_0000000002aceb00 .part L_0000000002b44e30, 12, 1; -L_0000000002ace2e0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acf140 .part v0000000002accb20_0, 13, 1; -L_0000000002ace420 .part L_0000000002b45790, 12, 1; -L_0000000002ace600 .part v0000000002acbb80_0, 13, 1; -L_0000000002acece0 .part L_0000000002b45c90, 13, 1; -L_0000000002acef60 .part L_0000000002b44e30, 13, 1; -L_0000000002acdb60 .part v0000000002acb9a0_0, 0, 1; -L_0000000002acf000 .part v0000000002accb20_0, 14, 1; -L_0000000002b504b0 .part L_0000000002b45790, 13, 1; -L_0000000002b4f8d0 .part v0000000002acbb80_0, 14, 1; -L_0000000002b50af0 .part L_0000000002b45c90, 14, 1; -L_0000000002b51090 .part L_0000000002b44e30, 14, 1; -L_0000000002b50e10 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b4eed0 .part v0000000002accb20_0, 15, 1; -L_0000000002b4ef70 .part L_0000000002b45790, 14, 1; -L_0000000002b4eb10 .part v0000000002acbb80_0, 15, 1; -L_0000000002b50eb0 .part L_0000000002b45c90, 15, 1; -L_0000000002b50230 .part L_0000000002b44e30, 15, 1; -L_0000000002b50190 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b507d0 .part v0000000002accb20_0, 16, 1; -L_0000000002b4f6f0 .part L_0000000002b45790, 15, 1; -L_0000000002b50a50 .part v0000000002acbb80_0, 16, 1; -L_0000000002b50730 .part L_0000000002b45c90, 16, 1; -L_0000000002b4ff10 .part L_0000000002b44e30, 16, 1; -L_0000000002b50f50 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b4f790 .part v0000000002accb20_0, 17, 1; -L_0000000002b4ed90 .part L_0000000002b45790, 16, 1; -L_0000000002b4f150 .part v0000000002acbb80_0, 17, 1; -L_0000000002b50370 .part L_0000000002b45c90, 17, 1; -L_0000000002b50910 .part L_0000000002b44e30, 17, 1; -L_0000000002b4f3d0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b50b90 .part v0000000002accb20_0, 18, 1; -L_0000000002b4ebb0 .part L_0000000002b45790, 17, 1; -L_0000000002b50d70 .part v0000000002acbb80_0, 18, 1; -L_0000000002b50050 .part L_0000000002b45c90, 18, 1; -L_0000000002b4ee30 .part L_0000000002b44e30, 18, 1; -L_0000000002b4fc90 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b50690 .part v0000000002accb20_0, 19, 1; -L_0000000002b50410 .part L_0000000002b45790, 18, 1; -L_0000000002b4f970 .part v0000000002acbb80_0, 19, 1; -L_0000000002b509b0 .part L_0000000002b45c90, 19, 1; -L_0000000002b500f0 .part L_0000000002b44e30, 19, 1; -L_0000000002b50870 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b4e9d0 .part v0000000002accb20_0, 20, 1; -L_0000000002b4fa10 .part L_0000000002b45790, 19, 1; -L_0000000002b50c30 .part v0000000002acbb80_0, 20, 1; -L_0000000002b4f470 .part L_0000000002b45c90, 20, 1; -L_0000000002b4f1f0 .part L_0000000002b44e30, 20, 1; -L_0000000002b4e930 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b4ea70 .part v0000000002accb20_0, 21, 1; -L_0000000002b4f330 .part L_0000000002b45790, 20, 1; -L_0000000002b4f5b0 .part v0000000002acbb80_0, 21, 1; -L_0000000002b4fab0 .part L_0000000002b45c90, 21, 1; -L_0000000002b4fdd0 .part L_0000000002b44e30, 21, 1; -L_0000000002b4fbf0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b4fe70 .part v0000000002accb20_0, 22, 1; -L_0000000002b51db0 .part L_0000000002b45790, 21, 1; -L_0000000002b511d0 .part v0000000002acbb80_0, 22, 1; -L_0000000002b51630 .part L_0000000002b45c90, 22, 1; -L_0000000002b51bd0 .part L_0000000002b44e30, 22, 1; -L_0000000002b51c70 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b519f0 .part v0000000002accb20_0, 23, 1; -L_0000000002b51270 .part L_0000000002b45790, 22, 1; -L_0000000002b514f0 .part v0000000002acbb80_0, 23, 1; -L_0000000002b516d0 .part L_0000000002b45c90, 23, 1; -L_0000000002b51770 .part L_0000000002b44e30, 23, 1; -L_0000000002b51810 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b51b30 .part v0000000002accb20_0, 24, 1; -L_0000000002b51130 .part L_0000000002b45790, 23, 1; -L_0000000002b51450 .part v0000000002acbb80_0, 24, 1; -L_0000000002b51f90 .part L_0000000002b45c90, 24, 1; -L_0000000002b51590 .part L_0000000002b44e30, 24, 1; -L_0000000002b51e50 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b51ef0 .part v0000000002accb20_0, 25, 1; -L_0000000002b43cb0 .part L_0000000002b45790, 24, 1; -L_0000000002b44110 .part v0000000002acbb80_0, 25, 1; -L_0000000002b42130 .part L_0000000002b45c90, 25, 1; -L_0000000002b43710 .part L_0000000002b44e30, 25, 1; -L_0000000002b43df0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b421d0 .part v0000000002accb20_0, 26, 1; -L_0000000002b42310 .part L_0000000002b45790, 25, 1; -L_0000000002b42270 .part v0000000002acbb80_0, 26, 1; -L_0000000002b43d50 .part L_0000000002b45c90, 26, 1; -L_0000000002b437b0 .part L_0000000002b44e30, 26, 1; -L_0000000002b42f90 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b43530 .part v0000000002accb20_0, 27, 1; -L_0000000002b43490 .part L_0000000002b45790, 26, 1; -L_0000000002b43850 .part v0000000002acbb80_0, 27, 1; -L_0000000002b42450 .part L_0000000002b45c90, 27, 1; -L_0000000002b433f0 .part L_0000000002b44e30, 27, 1; -L_0000000002b42810 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b43f30 .part v0000000002accb20_0, 28, 1; -L_0000000002b438f0 .part L_0000000002b45790, 27, 1; -L_0000000002b42950 .part v0000000002acbb80_0, 28, 1; -L_0000000002b43990 .part L_0000000002b45c90, 28, 1; -L_0000000002b447f0 .part L_0000000002b44e30, 28, 1; -L_0000000002b424f0 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b42590 .part v0000000002accb20_0, 29, 1; -L_0000000002b42630 .part L_0000000002b45790, 28, 1; -L_0000000002b43b70 .part v0000000002acbb80_0, 29, 1; -L_0000000002b42db0 .part L_0000000002b45c90, 29, 1; -L_0000000002b426d0 .part L_0000000002b44e30, 29, 1; -L_0000000002b43030 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b44430 .part v0000000002accb20_0, 30, 1; -L_0000000002b44890 .part L_0000000002b45790, 29, 1; -L_0000000002b429f0 .part v0000000002acbb80_0, 30, 1; -L_0000000002b435d0 .part L_0000000002b45c90, 30, 1; -L_0000000002b444d0 .part L_0000000002b44e30, 30, 1; -L_0000000002b42d10 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b43e90 .part v0000000002accb20_0, 31, 1; -L_0000000002b43670 .part L_0000000002b45790, 30, 1; -L_0000000002b42a90 .part v0000000002acbb80_0, 31, 1; -L_0000000002b44570 .part L_0000000002b45c90, 31, 1; -L_0000000002b430d0 .part L_0000000002b44e30, 31, 1; -L_0000000002b44610 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b42b30 .part L_0000000002b45e70, 1, 1; -L_0000000002b43fd0 .part L_0000000002b49890, 0, 1; -L_0000000002b44070 .part L_0000000002b45e70, 2, 1; -L_0000000002b42c70 .part L_0000000002b49890, 1, 1; -L_0000000002b432b0 .part L_0000000002b45e70, 3, 1; -L_0000000002b441b0 .part L_0000000002b49890, 2, 1; -L_0000000002b446b0 .part L_0000000002b45e70, 4, 1; -L_0000000002b44250 .part L_0000000002b49890, 3, 1; -L_0000000002b442f0 .part L_0000000002b45e70, 5, 1; -L_0000000002b44cf0 .part L_0000000002b49890, 4, 1; -L_0000000002b46730 .part L_0000000002b45e70, 6, 1; -L_0000000002b45830 .part L_0000000002b49890, 5, 1; -L_0000000002b45f10 .part L_0000000002b45e70, 7, 1; -L_0000000002b45970 .part L_0000000002b49890, 6, 1; -L_0000000002b46d70 .part L_0000000002b45e70, 8, 1; -L_0000000002b45ab0 .part L_0000000002b49890, 7, 1; -L_0000000002b46eb0 .part L_0000000002b45e70, 9, 1; -L_0000000002b46e10 .part L_0000000002b49890, 8, 1; -L_0000000002b467d0 .part L_0000000002b45e70, 10, 1; -L_0000000002b46870 .part L_0000000002b49890, 9, 1; -L_0000000002b449d0 .part L_0000000002b45e70, 11, 1; -L_0000000002b46910 .part L_0000000002b49890, 10, 1; -L_0000000002b46550 .part L_0000000002b45e70, 12, 1; -L_0000000002b44c50 .part L_0000000002b49890, 11, 1; -L_0000000002b469b0 .part L_0000000002b45e70, 13, 1; -L_0000000002b45b50 .part L_0000000002b49890, 12, 1; -L_0000000002b44ed0 .part L_0000000002b45e70, 14, 1; -L_0000000002b46370 .part L_0000000002b49890, 13, 1; -L_0000000002b46050 .part L_0000000002b45e70, 15, 1; -L_0000000002b45dd0 .part L_0000000002b49890, 14, 1; -L_0000000002b451f0 .part L_0000000002b45e70, 16, 1; -L_0000000002b44b10 .part L_0000000002b49890, 15, 1; -L_0000000002b460f0 .part L_0000000002b45e70, 17, 1; -L_0000000002b46f50 .part L_0000000002b49890, 16, 1; -L_0000000002b464b0 .part L_0000000002b45e70, 18, 1; -L_0000000002b44bb0 .part L_0000000002b49890, 17, 1; -L_0000000002b46190 .part L_0000000002b45e70, 19, 1; -L_0000000002b455b0 .part L_0000000002b49890, 18, 1; -L_0000000002b44f70 .part L_0000000002b45e70, 20, 1; -L_0000000002b46af0 .part L_0000000002b49890, 19, 1; -L_0000000002b45650 .part L_0000000002b45e70, 21, 1; -L_0000000002b465f0 .part L_0000000002b49890, 20, 1; -L_0000000002b453d0 .part L_0000000002b45e70, 22, 1; -L_0000000002b45290 .part L_0000000002b49890, 21, 1; -L_0000000002b45bf0 .part L_0000000002b45e70, 23, 1; -L_0000000002b450b0 .part L_0000000002b49890, 22, 1; -L_0000000002b46690 .part L_0000000002b45e70, 24, 1; -L_0000000002b46a50 .part L_0000000002b49890, 23, 1; -L_0000000002b46230 .part L_0000000002b45e70, 25, 1; -L_0000000002b45330 .part L_0000000002b49890, 24, 1; -L_0000000002b46410 .part L_0000000002b45e70, 26, 1; -L_0000000002b456f0 .part L_0000000002b49890, 25, 1; -L_0000000002b46cd0 .part L_0000000002b45e70, 27, 1; -L_0000000002b44a70 .part L_0000000002b49890, 26, 1; -L_0000000002b45fb0 .part L_0000000002b45e70, 28, 1; -L_0000000002b46b90 .part L_0000000002b49890, 27, 1; -L_0000000002b462d0 .part L_0000000002b45e70, 29, 1; -L_0000000002b46c30 .part L_0000000002b49890, 28, 1; -L_0000000002b44d90 .part L_0000000002b45e70, 30, 1; -L_0000000002b46ff0 .part L_0000000002b49890, 29, 1; -LS_0000000002b45c90_0_0 .concat8 [ 1 1 1 1], L_0000000002b6c7f0, L_0000000002a0cdb0, L_0000000002adfa60, L_0000000002ae09b0; -LS_0000000002b45c90_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0f60, L_0000000002ae0710, L_0000000002ae17b0, L_0000000002ae7530; -LS_0000000002b45c90_0_8 .concat8 [ 1 1 1 1], L_0000000002ae69d0, L_0000000002ae5d90, L_0000000002ae60a0, L_0000000002b40320; -LS_0000000002b45c90_0_12 .concat8 [ 1 1 1 1], L_0000000002b40860, L_0000000002b41c10, L_0000000002b400f0, L_0000000002b42000; -LS_0000000002b45c90_0_16 .concat8 [ 1 1 1 1], L_0000000002aea7c0, L_0000000002ae9c60, L_0000000002aea590, L_0000000002aea8a0; -LS_0000000002b45c90_0_20 .concat8 [ 1 1 1 1], L_0000000002b627c0, L_0000000002b5fdc0, L_0000000002b5f650, L_0000000002b607d0; -LS_0000000002b45c90_0_24 .concat8 [ 1 1 1 1], L_0000000002b5fe30, L_0000000002b62130, L_0000000002b61cd0, L_0000000002b623d0; -LS_0000000002b45c90_0_28 .concat8 [ 1 1 1 1], L_0000000002b62050, L_0000000002b6dac0, L_0000000002b6ca20, L_0000000002b6ca90; -LS_0000000002b45c90_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45c90_0_0, LS_0000000002b45c90_0_4, LS_0000000002b45c90_0_8, LS_0000000002b45c90_0_12; -LS_0000000002b45c90_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45c90_0_16, LS_0000000002b45c90_0_20, LS_0000000002b45c90_0_24, LS_0000000002b45c90_0_28; -L_0000000002b45c90 .concat8 [ 16 16 0 0], LS_0000000002b45c90_1_0, LS_0000000002b45c90_1_4; -L_0000000002b458d0 .part v0000000002accb20_0, 0, 1; -LS_0000000002b44e30_0_0 .concat8 [ 1 1 1 1], L_0000000002b6bc20, L_0000000002a0c410, L_0000000002ae02b0, L_0000000002adfe50; -LS_0000000002b44e30_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0080, L_0000000002ae0550, L_0000000002ae1660, L_0000000002ae6730; -LS_0000000002b44e30_0_8 .concat8 [ 1 1 1 1], L_0000000002ae6f80, L_0000000002ae7060, L_0000000002ae6ea0, L_0000000002b41120; -LS_0000000002b44e30_0_12 .concat8 [ 1 1 1 1], L_0000000002b41660, L_0000000002b41970, L_0000000002b40550, L_0000000002b41cf0; -LS_0000000002b44e30_0_16 .concat8 [ 1 1 1 1], L_0000000002aeafa0, L_0000000002aeade0, L_0000000002aeb240, L_0000000002aea0c0; -LS_0000000002b44e30_0_20 .concat8 [ 1 1 1 1], L_0000000002b62910, L_0000000002b5f960, L_0000000002b5f5e0, L_0000000002b5fb20; -LS_0000000002b44e30_0_24 .concat8 [ 1 1 1 1], L_0000000002b5f340, L_0000000002b60f40, L_0000000002b62280, L_0000000002b60ca0; -LS_0000000002b44e30_0_28 .concat8 [ 1 1 1 1], L_0000000002b61640, L_0000000002b6cfd0, L_0000000002b6d5f0, L_0000000002b6dd60; -LS_0000000002b44e30_1_0 .concat8 [ 4 4 4 4], LS_0000000002b44e30_0_0, LS_0000000002b44e30_0_4, LS_0000000002b44e30_0_8, LS_0000000002b44e30_0_12; -LS_0000000002b44e30_1_4 .concat8 [ 4 4 4 4], LS_0000000002b44e30_0_16, LS_0000000002b44e30_0_20, LS_0000000002b44e30_0_24, LS_0000000002b44e30_0_28; -L_0000000002b44e30 .concat8 [ 16 16 0 0], LS_0000000002b44e30_1_0, LS_0000000002b44e30_1_4; -L_0000000002b47090 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b45010 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b44930 .part v0000000002acb9a0_0, 2, 1; -L_0000000002b45150 .part v0000000002acb9a0_0, 1, 1; -LS_0000000002b45e70_0_0 .concat8 [ 1 1 1 1], L_0000000002b6b8a0, L_0000000002ae0b00, L_0000000002ae1120, L_0000000002ae0010; -LS_0000000002b45e70_0_4 .concat8 [ 1 1 1 1], L_0000000002adf980, L_0000000002ae1890, L_0000000002ae6880, L_0000000002ae62d0; -LS_0000000002b45e70_0_8 .concat8 [ 1 1 1 1], L_0000000002ae6e30, L_0000000002ae6030, L_0000000002b405c0, L_0000000002b41430; -LS_0000000002b45e70_0_12 .concat8 [ 1 1 1 1], L_0000000002b409b0, L_0000000002b414a0, L_0000000002b41eb0, L_0000000002aea1a0; -LS_0000000002b45e70_0_16 .concat8 [ 1 1 1 1], L_0000000002aea6e0, L_0000000002ae9f70, L_0000000002aea360, L_0000000002b62980; -LS_0000000002b45e70_0_20 .concat8 [ 1 1 1 1], L_0000000002b5ef50, L_0000000002b5fb90, L_0000000002b5fa40, L_0000000002b601b0; -LS_0000000002b45e70_0_24 .concat8 [ 1 1 1 1], L_0000000002b62210, L_0000000002b611e0, L_0000000002b60bc0, L_0000000002b61560; -LS_0000000002b45e70_0_28 .concat8 [ 1 1 1 1], L_0000000002b6ce10, L_0000000002b6d270, L_0000000002b6d350, L_0000000002b6cd30; -LS_0000000002b45e70_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45e70_0_0, LS_0000000002b45e70_0_4, LS_0000000002b45e70_0_8, LS_0000000002b45e70_0_12; -LS_0000000002b45e70_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45e70_0_16, LS_0000000002b45e70_0_20, LS_0000000002b45e70_0_24, LS_0000000002b45e70_0_28; -L_0000000002b45e70 .concat8 [ 16 16 0 0], LS_0000000002b45e70_1_0, LS_0000000002b45e70_1_4; -LS_0000000002b45790_0_0 .concat8 [ 1 1 1 1], L_0000000002b6c010, L_0000000002a0c8e0, L_0000000002adfad0, L_0000000002ae0390; -LS_0000000002b45790_0_4 .concat8 [ 1 1 1 1], L_0000000002ae0a20, L_0000000002ae1510, L_0000000002ae7140, L_0000000002ae68f0; -LS_0000000002b45790_0_8 .concat8 [ 1 1 1 1], L_0000000002ae5fc0, L_0000000002ae6570, L_0000000002ae75a0, L_0000000002b41190; -LS_0000000002b45790_0_12 .concat8 [ 1 1 1 1], L_0000000002b41510, L_0000000002b401d0, L_0000000002b40780, L_0000000002aea910; -LS_0000000002b45790_0_16 .concat8 [ 1 1 1 1], L_0000000002aea130, L_0000000002ae9d40, L_0000000002aeb400, L_0000000002aeb630; -LS_0000000002b45790_0_20 .concat8 [ 1 1 1 1], L_0000000002b62520, L_0000000002b5f1f0, L_0000000002b5fea0, L_0000000002b5f420; -LS_0000000002b45790_0_24 .concat8 [ 1 1 1 1], L_0000000002b60530, L_0000000002b615d0, L_0000000002b60d10, L_0000000002b60d80; -LS_0000000002b45790_0_28 .concat8 [ 1 1 1 1], L_0000000002b61170, L_0000000002b6d200, L_0000000002b6e1c0, L_0000000002b6cb70; -LS_0000000002b45790_1_0 .concat8 [ 4 4 4 4], LS_0000000002b45790_0_0, LS_0000000002b45790_0_4, LS_0000000002b45790_0_8, LS_0000000002b45790_0_12; -LS_0000000002b45790_1_4 .concat8 [ 4 4 4 4], LS_0000000002b45790_0_16, LS_0000000002b45790_0_20, LS_0000000002b45790_0_24, LS_0000000002b45790_0_28; -L_0000000002b45790 .concat8 [ 16 16 0 0], LS_0000000002b45790_1_0, LS_0000000002b45790_1_4; -L_0000000002b45a10 .part v0000000002acbb80_0, 0, 1; -L_0000000002b45d30 .part L_0000000002b45c90, 0, 1; -L_0000000002b48f30 .part L_0000000002b44e30, 0, 1; -L_0000000002b49610 .part v0000000002acb9a0_0, 0, 1; -L_0000000002b49250 .part L_0000000002b45790, 30, 1; -L_0000000002b471d0 .part L_0000000002b45790, 31, 1; -L_0000000002b473b0 .part L_0000000002b45790, 31, 1; -L_0000000002b487b0 .part v0000000002a01440_0, 0, 1; -L_0000000002b483f0 .part v0000000002a01440_0, 1, 1; -L_0000000002b48350 .part v0000000002a01440_0, 0, 1; -L_0000000002b47ef0 .part v0000000002a01440_0, 1, 1; -LS_0000000002b49890_0_0 .concat8 [ 1 1 1 1], L_0000000002b6bfa0, L_0000000002b6d740, L_0000000002b6d890, L_0000000002b6cef0; -LS_0000000002b49890_0_4 .concat8 [ 1 1 1 1], L_0000000002b6d9e0, L_0000000002b6da50, L_0000000002b6dba0, L_0000000002b6df20; -LS_0000000002b49890_0_8 .concat8 [ 1 1 1 1], L_0000000002b6e3f0, L_0000000002b6df90, L_0000000002b6e070, L_0000000002b6cf60; -LS_0000000002b49890_0_12 .concat8 [ 1 1 1 1], L_0000000002b6e380, L_0000000002b6c860, L_0000000002b6e690, L_0000000002b6e700; -LS_0000000002b49890_0_16 .concat8 [ 1 1 1 1], L_0000000002b6e930, L_0000000002b6e620, L_0000000002b6e9a0, L_0000000002b6e540; -LS_0000000002b49890_0_20 .concat8 [ 1 1 1 1], L_0000000002b6e770, L_0000000002b6ea10, L_0000000002b6e4d0, L_0000000002b6e5b0; -LS_0000000002b49890_0_24 .concat8 [ 1 1 1 1], L_0000000002b6ea80, L_0000000002b6e850, L_0000000002b6e460, L_0000000002b6e7e0; -LS_0000000002b49890_0_28 .concat8 [ 1 1 1 0], L_0000000002b6e8c0, L_0000000002b6eaf0, L_0000000002b6eb60; -LS_0000000002b49890_1_0 .concat8 [ 4 4 4 4], LS_0000000002b49890_0_0, LS_0000000002b49890_0_4, LS_0000000002b49890_0_8, LS_0000000002b49890_0_12; -LS_0000000002b49890_1_4 .concat8 [ 4 4 4 3], LS_0000000002b49890_0_16, LS_0000000002b49890_0_20, LS_0000000002b49890_0_24, LS_0000000002b49890_0_28; -L_0000000002b49890 .concat8 [ 16 15 0 0], LS_0000000002b49890_1_0, LS_0000000002b49890_1_4; -L_0000000002b49430 .part L_0000000002b45e70, 0, 1; -L_0000000002b492f0 .part L_0000000002b45e70, 1, 1; -L_0000000002b47310 .part L_0000000002b49890, 30, 1; -L_0000000002b49390 .part v0000000002a01440_0, 0, 1; -L_0000000002b48670 .part v0000000002a01440_0, 1, 1; -S_000000000283eb70 .scope module, "_bit" "aluFullBit" 4 48, 5 6 0, S_0000000002847440; +L_00000000026f70d0/d .functor XOR 1, L_000000000265cca0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026f70d0 .delay 1 (20000,20000,20000) L_00000000026f70d0/d; +L_00000000026f7610/d .functor AND 1, v0000000000e20d10_0, L_000000000265cde0, C4<1>, C4<1>; +L_00000000026f7610 .delay 1 (30000,30000,30000) L_00000000026f7610/d; +L_00000000026f7c30/d .functor NOT 1, L_000000000265d240, C4<0>, C4<0>, C4<0>; +L_00000000026f7c30 .delay 1 (10000,10000,10000) L_00000000026f7c30/d; +L_00000000026f7b50/d .functor NAND 1, L_000000000265b440, L_000000000265d380, L_00000000026f7c30, C4<1>; +L_00000000026f7b50 .delay 1 (30000,30000,30000) L_00000000026f7b50/d; +L_00000000026f6b90/d .functor NOT 1, L_00000000026f7b50, C4<0>, C4<0>, C4<0>; +L_00000000026f6b90 .delay 1 (10000,10000,10000) L_00000000026f6b90/d; +L_00000000026f7f40/d .functor AND 1, L_000000000265d420, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026f7f40 .delay 1 (30000,30000,30000) L_00000000026f7f40/d; +L_00000000026f7ed0/d .functor XOR 1, L_000000000265cc00, L_000000000265bc60, C4<0>, C4<0>; +L_00000000026f7ed0 .delay 1 (20000,20000,20000) L_00000000026f7ed0/d; +L_00000000026f7ca0/d .functor AND 1, L_000000000265bd00, L_000000000265c020, L_000000000265ca20, C4<1>; +L_00000000026f7ca0 .delay 1 (40000,40000,40000) L_00000000026f7ca0/d; +L_00000000026f6c00/d .functor AND 1, L_00000000026f7ed0, L_000000000265d4c0, L_000000000265c480, C4<1>; +L_00000000026f6c00 .delay 1 (40000,40000,40000) L_00000000026f6c00/d; +L_00000000026f8100/d .functor OR 1, L_000000000265be40, L_000000000265bee0, C4<0>, C4<0>; +L_00000000026f8100 .delay 1 (30000,30000,30000) L_00000000026f8100/d; +L_00000000026f68f0/d .functor NOT 1, L_000000000265c520, C4<0>, C4<0>, C4<0>; +L_00000000026f68f0 .delay 1 (10000,10000,10000) L_00000000026f68f0/d; +L_00000000026f6810/d .functor AND 1, L_00000000026f68f0, L_000000000265d560, L_000000000265cac0, C4<1>; +L_00000000026f6810 .delay 1 (40000,40000,40000) L_00000000026f6810/d; +L_00000000026f6c70/d .functor XOR 1, L_00000000026f7ed0, L_000000000265c0c0, C4<0>, C4<0>; +L_00000000026f6c70 .delay 1 (20000,20000,20000) L_00000000026f6c70/d; +L_00000000026f77d0/d .functor AND 1, L_00000000026f6c70, L_00000000026f6b90, C4<1>, C4<1>; +L_00000000026f77d0 .delay 1 (30000,30000,30000) L_00000000026f77d0/d; +L_00000000026f6b20/d .functor OR 1, L_00000000026f7f40, L_00000000026f77d0, C4<0>, C4<0>; +L_00000000026f6b20 .delay 1 (30000,30000,30000) L_00000000026f6b20/d; +v0000000000f306b0_0 .net *"_s0", 0 0, L_0000000000e6a900; 1 drivers +v0000000000f30930_0 .net *"_s102", 0 0, L_0000000000f3ba10; 1 drivers +v0000000000f2f990_0 .net *"_s105", 0 0, L_0000000000f3ba80; 1 drivers +v0000000000f2fa30_0 .net *"_s116", 0 0, L_0000000000f3cf10; 1 drivers +v0000000000f30250_0 .net *"_s119", 0 0, L_0000000000f3cf80; 1 drivers +v0000000000f30a70_0 .net *"_s122", 0 0, L_0000000000f3cff0; 1 drivers +v0000000000f30cf0_0 .net *"_s133", 0 0, L_00000000026bcc40; 1 drivers +v0000000000f2f350_0 .net *"_s136", 0 0, L_00000000026bcd20; 1 drivers +v0000000000f301b0_0 .net *"_s139", 0 0, L_00000000026bccb0; 1 drivers +v0000000000f2eb30_0 .net *"_s14", 0 0, L_0000000000e6b620; 1 drivers +v0000000000f2ebd0_0 .net *"_s150", 0 0, L_00000000026bcd90; 1 drivers +v0000000000f2ffd0_0 .net *"_s153", 0 0, L_00000000026bdce0; 1 drivers +v0000000000f2fb70_0 .net *"_s156", 0 0, L_00000000026bdd50; 1 drivers +v0000000000f30070_0 .net *"_s167", 0 0, L_00000000026bcb60; 1 drivers +v0000000000f2ec70_0 .net *"_s17", 0 0, L_0000000000e69cc0; 1 drivers +v0000000000f2f170_0 .net *"_s170", 0 0, L_00000000026bd7a0; 1 drivers +v0000000000f2ee50_0 .net *"_s173", 0 0, L_00000000026bce70; 1 drivers +v0000000000f2eef0_0 .net *"_s184", 0 0, L_00000000026bddc0; 1 drivers +v0000000000f30110_0 .net *"_s187", 0 0, L_00000000026bde30; 1 drivers +v0000000000f2ef90_0 .net *"_s190", 0 0, L_00000000026bdf10; 1 drivers +v0000000000f2f3f0_0 .net *"_s20", 0 0, L_0000000000e6acf0; 1 drivers +v0000000000f2f530_0 .net *"_s201", 0 0, L_00000000026c74b0; 1 drivers +v0000000000f302f0_0 .net *"_s204", 0 0, L_00000000026c7d70; 1 drivers +v0000000000f32230_0 .net *"_s207", 0 0, L_00000000026c7910; 1 drivers +v0000000000f33130_0 .net *"_s218", 0 0, L_00000000026c6d40; 1 drivers +v0000000000f325f0_0 .net *"_s221", 0 0, L_00000000026c8010; 1 drivers +v0000000000f331d0_0 .net *"_s224", 0 0, L_00000000026c6b10; 1 drivers +v0000000000f33590_0 .net *"_s235", 0 0, L_00000000026c6f00; 1 drivers +v0000000000f33270_0 .net *"_s238", 0 0, L_00000000026c80f0; 1 drivers +v0000000000f32370_0 .net *"_s241", 0 0, L_00000000026c7c20; 1 drivers +v0000000000f31a10_0 .net *"_s252", 0 0, L_00000000026c7b40; 1 drivers +v0000000000f322d0_0 .net *"_s255", 0 0, L_00000000026c7bb0; 1 drivers +v0000000000f31470_0 .net *"_s258", 0 0, L_00000000026c7c90; 1 drivers +v0000000000f31970_0 .net *"_s269", 0 0, L_00000000026d6050; 1 drivers +v0000000000f338b0_0 .net *"_s272", 0 0, L_00000000026d5100; 1 drivers +v0000000000f33310_0 .net *"_s275", 0 0, L_00000000026d5330; 1 drivers +v0000000000f31ab0_0 .net *"_s286", 0 0, L_00000000026d4ed0; 1 drivers +v0000000000f31150_0 .net *"_s289", 0 0, L_00000000026d51e0; 1 drivers +v0000000000f32870_0 .net *"_s292", 0 0, L_00000000026d5e90; 1 drivers +v0000000000f31830_0 .net *"_s3", 0 0, L_0000000000e6b1c0; 1 drivers +v0000000000f32cd0_0 .net *"_s303", 0 0, L_00000000026d5a30; 1 drivers +v0000000000f333b0_0 .net *"_s306", 0 0, L_00000000026d53a0; 1 drivers +v0000000000f32af0_0 .net *"_s309", 0 0, L_00000000026d58e0; 1 drivers +v0000000000f32d70_0 .net *"_s31", 0 0, L_0000000000e6a270; 1 drivers +v0000000000f313d0_0 .net *"_s320", 0 0, L_00000000026d4ae0; 1 drivers +v0000000000f31bf0_0 .net *"_s323", 0 0, L_00000000026d4bc0; 1 drivers +v0000000000f32910_0 .net *"_s326", 0 0, L_00000000026d4d10; 1 drivers +v0000000000f311f0_0 .net *"_s337", 0 0, L_00000000026d70f0; 1 drivers +v0000000000f31b50_0 .net *"_s34", 0 0, L_0000000000e6a2e0; 1 drivers +v0000000000f31290_0 .net *"_s340", 0 0, L_00000000026d79b0; 1 drivers +v0000000000f329b0_0 .net *"_s343", 0 0, L_00000000026d7550; 1 drivers +v0000000000f31510_0 .net *"_s354", 0 0, L_00000000026d7da0; 1 drivers +v0000000000f32690_0 .net *"_s357", 0 0, L_00000000026d7d30; 1 drivers +v0000000000f32410_0 .net *"_s360", 0 0, L_00000000026d7b00; 1 drivers +v0000000000f316f0_0 .net *"_s37", 0 0, L_0000000000e6a4a0; 1 drivers +v0000000000f336d0_0 .net *"_s371", 0 0, L_00000000026d6f30; 1 drivers +v0000000000f31dd0_0 .net *"_s374", 0 0, L_00000000026d66e0; 1 drivers +v0000000000f315b0_0 .net *"_s377", 0 0, L_00000000026d7320; 1 drivers +v0000000000f31c90_0 .net *"_s388", 0 0, L_00000000026d67c0; 1 drivers +v0000000000f33630_0 .net *"_s391", 0 0, L_00000000026d68a0; 1 drivers +v0000000000f324b0_0 .net *"_s394", 0 0, L_00000000026d69f0; 1 drivers +v0000000000f31650_0 .net *"_s405", 0 0, L_00000000026d8270; 1 drivers +v0000000000f31f10_0 .net *"_s408", 0 0, L_00000000026d83c0; 1 drivers +v0000000000f32f50_0 .net *"_s411", 0 0, L_00000000026d8350; 1 drivers +v0000000000f33450_0 .net *"_s422", 0 0, L_00000000026dc3d0; 1 drivers +v0000000000f32ff0_0 .net *"_s425", 0 0, L_00000000026dc440; 1 drivers +v0000000000f31d30_0 .net *"_s428", 0 0, L_00000000026dc4b0; 1 drivers +v0000000000f334f0_0 .net *"_s439", 0 0, L_00000000026d8bd0; 1 drivers +v0000000000f31330_0 .net *"_s442", 0 0, L_00000000026d9030; 1 drivers +v0000000000f33770_0 .net *"_s445", 0 0, L_00000000026d90a0; 1 drivers +v0000000000f31e70_0 .net *"_s456", 0 0, L_00000000026d8af0; 1 drivers +v0000000000f33810_0 .net *"_s459", 0 0, L_00000000026d89a0; 1 drivers +v0000000000f33090_0 .net *"_s462", 0 0, L_00000000026d9730; 1 drivers +v0000000000f31fb0_0 .net *"_s473", 0 0, L_00000000026d9810; 1 drivers +v0000000000f32eb0_0 .net *"_s476", 0 0, L_00000000026d98f0; 1 drivers +v0000000000f318d0_0 .net *"_s479", 0 0, L_00000000026d9dc0; 1 drivers +v0000000000f32050_0 .net *"_s48", 0 0, L_0000000000f3c6c0; 1 drivers +v0000000000f32550_0 .net *"_s490", 0 0, L_00000000026dac30; 1 drivers +v0000000000f32730_0 .net *"_s493", 0 0, L_00000000026db8e0; 1 drivers +v0000000000f31790_0 .net *"_s496", 0 0, L_00000000026dbcd0; 1 drivers +v0000000000f320f0_0 .net *"_s507", 0 0, L_00000000026da8b0; 1 drivers +v0000000000f32190_0 .net *"_s51", 0 0, L_0000000000f3cc00; 1 drivers +v0000000000f327d0_0 .net *"_s510", 0 0, L_00000000026daf40; 1 drivers +v0000000000f32a50_0 .net *"_s513", 0 0, L_00000000026dab50; 1 drivers +v0000000000f32b90_0 .net *"_s524", 0 0, L_00000000026da990; 1 drivers +v0000000000f32c30_0 .net *"_s527", 0 0, L_00000000026db170; 1 drivers +v0000000000f32e10_0 .net *"_s531", 0 0, L_00000000026dad10; 1 drivers +v0000000000f34990_0 .net *"_s535", 0 0, L_00000000026db1e0; 1 drivers +v0000000000f35250_0 .net *"_s539", 0 0, L_00000000026dbfe0; 1 drivers +v0000000000f33a90_0 .net *"_s54", 0 0, L_0000000000f3cb90; 1 drivers +v0000000000f33ef0_0 .net *"_s543", 0 0, L_00000000026dc130; 1 drivers +v0000000000f34490_0 .net *"_s547", 0 0, L_00000000026dad80; 1 drivers +v0000000000f348f0_0 .net *"_s551", 0 0, L_00000000026da5a0; 1 drivers +v0000000000f33db0_0 .net *"_s555", 0 0, L_00000000026dadf0; 1 drivers +v0000000000f34710_0 .net *"_s559", 0 0, L_00000000026da610; 1 drivers +v0000000000f356b0_0 .net *"_s563", 0 0, L_00000000026daa00; 1 drivers +v0000000000f34e90_0 .net *"_s567", 0 0, L_00000000026db2c0; 1 drivers +v0000000000f345d0_0 .net *"_s571", 0 0, L_00000000026dae60; 1 drivers +v0000000000f35a70_0 .net *"_s575", 0 0, L_00000000026db870; 1 drivers +v0000000000f35b10_0 .net *"_s579", 0 0, L_00000000026daed0; 1 drivers +v0000000000f351b0_0 .net *"_s583", 0 0, L_00000000026db950; 1 drivers +v0000000000f35390_0 .net *"_s587", 0 0, L_00000000026db330; 1 drivers +v0000000000f33b30_0 .net *"_s591", 0 0, L_00000000026daa70; 1 drivers +v0000000000f34c10_0 .net *"_s595", 0 0, L_00000000026db3a0; 1 drivers +v0000000000f33c70_0 .net *"_s599", 0 0, L_00000000026db410; 1 drivers +v0000000000f35bb0_0 .net *"_s603", 0 0, L_00000000026db480; 1 drivers +v0000000000f360b0_0 .net *"_s607", 0 0, L_00000000026db560; 1 drivers +v0000000000f33bd0_0 .net *"_s611", 0 0, L_00000000026db5d0; 1 drivers +v0000000000f34a30_0 .net *"_s615", 0 0, L_00000000026db640; 1 drivers +v0000000000f35930_0 .net *"_s619", 0 0, L_00000000026db6b0; 1 drivers +v0000000000f34df0_0 .net *"_s623", 0 0, L_00000000026db720; 1 drivers +v0000000000f359d0_0 .net *"_s627", 0 0, L_00000000026db790; 1 drivers +v0000000000f35d90_0 .net *"_s631", 0 0, L_00000000026db800; 1 drivers +v0000000000f35c50_0 .net *"_s635", 0 0, L_00000000026db9c0; 1 drivers +v0000000000f34b70_0 .net *"_s639", 0 0, L_00000000026f7060; 1 drivers +v0000000000f34210_0 .net *"_s643", 0 0, L_00000000026f6ab0; 1 drivers +v0000000000f34ad0_0 .net *"_s648", 0 0, L_00000000026f70d0; 1 drivers +v0000000000f33d10_0 .net *"_s65", 0 0, L_0000000000f3cc70; 1 drivers +v0000000000f352f0_0 .net *"_s652", 0 0, L_000000000265cca0; 1 drivers +v0000000000f34670_0 .net *"_s654", 0 0, L_00000000026f7610; 1 drivers +v0000000000f35750_0 .net *"_s658", 0 0, L_000000000265cde0; 1 drivers +v0000000000f34f30_0 .net *"_s661", 0 0, L_000000000265d240; 1 drivers +v0000000000f34530_0 .net *"_s664", 0 0, L_000000000265b440; 1 drivers +v0000000000f35430_0 .net *"_s666", 0 0, L_000000000265d380; 1 drivers +v0000000000f34cb0_0 .net *"_s68", 0 0, L_0000000000f3b1c0; 1 drivers +v0000000000f33e50_0 .net *"_s684", 0 0, L_000000000265d420; 1 drivers +v0000000000f35ed0_0 .net *"_s687", 0 0, L_000000000265cc00; 1 drivers +v0000000000f34d50_0 .net *"_s689", 0 0, L_000000000265bc60; 1 drivers +v0000000000f35e30_0 .net *"_s692", 0 0, L_000000000265bd00; 1 drivers +v0000000000f35cf0_0 .net *"_s694", 0 0, L_000000000265c020; 1 drivers +v0000000000f339f0_0 .net *"_s696", 0 0, L_000000000265ca20; 1 drivers +v0000000000f35f70_0 .net *"_s699", 0 0, L_000000000265d4c0; 1 drivers +v0000000000f35610_0 .net *"_s701", 0 0, L_000000000265c480; 1 drivers +v0000000000f34fd0_0 .net *"_s703", 0 0, L_00000000026f8100; 1 drivers +v0000000000f35070_0 .net *"_s707", 0 0, L_000000000265be40; 1 drivers +v0000000000f36010_0 .net *"_s709", 0 0, L_000000000265bee0; 1 drivers +v0000000000f354d0_0 .net *"_s71", 0 0, L_0000000000f3b7e0; 1 drivers +v0000000000f33950_0 .net *"_s712", 0 0, L_000000000265c520; 1 drivers +v0000000000f340d0_0 .net *"_s715", 0 0, L_000000000265d560; 1 drivers +v0000000000f33f90_0 .net *"_s717", 0 0, L_000000000265cac0; 1 drivers +v0000000000f34170_0 .net *"_s720", 0 0, L_000000000265c0c0; 1 drivers +v0000000000f34030_0 .net *"_s723", 0 0, L_00000000026f6b20; 1 drivers +v0000000000f34350_0 .net *"_s82", 0 0, L_0000000000f3c9d0; 1 drivers +v0000000000f35110_0 .net *"_s85", 0 0, L_0000000000f3ca40; 1 drivers +v0000000000f342b0_0 .net *"_s88", 0 0, L_0000000000f3cab0; 1 drivers +v0000000000f343f0_0 .net *"_s99", 0 0, L_0000000000f3b9a0; 1 drivers +v0000000000f35570_0 .net "aLessB", 0 0, L_00000000026f6c70; 1 drivers +v0000000000f357f0_0 .net "cIn", 31 0, L_000000000265b620; 1 drivers +v0000000000f35890_0 .net "cOut", 31 0, L_000000000265d7e0; 1 drivers +v0000000000f347b0_0 .net "carry", 0 0, v0000000000e20d10_0; 1 drivers +v0000000000f34850_0 .net "carryout", 0 0, L_00000000026f7ca0; alias, 1 drivers +v000000000265ebe0_0 .net "command", 2 0, v000000000265fcc0_0; 1 drivers +v000000000265e000_0 .net "finalB", 31 0, L_000000000265c980; 1 drivers +v000000000265e820_0 .net "finalSlt", 0 0, L_00000000026f77d0; 1 drivers +v0000000002660080_0 .net "invert", 0 0, v0000000000e1f730_0; 1 drivers +v000000000265f900_0 .net "nCommand", 0 0, L_00000000026f7c30; 1 drivers +v000000000265edc0_0 .net "nSltFlag", 0 0, L_00000000026f7b50; 1 drivers +v000000000265e3c0_0 .net "operandA", 31 0, v000000000265de20_0; 1 drivers +v000000000265f9a0_0 .net "operandB", 31 0, v000000000265ec80_0; 1 drivers +v000000000265f7c0_0 .net "overflow", 0 0, L_00000000026f6c00; alias, 1 drivers +v000000000265d920_0 .net "partialOverflow", 0 0, L_00000000026f7ed0; 1 drivers +v000000000265f0e0_0 .net "partialResult", 31 0, L_000000000265bb20; 1 drivers +v000000000265ed20_0 .net "partialResult2", 0 0, L_00000000026f7f40; 1 drivers +v000000000265e0a0_0 .net "result", 31 0, L_000000000265cb60; alias, 1 drivers +v000000000265e140_0 .net "select", 1 0, v0000000000e21990_0; 1 drivers +v000000000265e280_0 .net "sltFlag", 0 0, L_00000000026f6b90; 1 drivers +v000000000265e780_0 .net "zero", 0 0, L_00000000026f6810; alias, 1 drivers +v000000000265eb40_0 .net "zeroFlags", 30 0, L_000000000265bda0; 1 drivers +v000000000265fea0_0 .net "zeroPartial", 0 0, L_00000000026f68f0; 1 drivers +L_000000000265e8c0 .part v000000000265ec80_0, 1, 1; +L_000000000265e6e0 .part L_000000000265d7e0, 0, 1; +L_000000000265e460 .part v000000000265de20_0, 1, 1; +L_000000000265f4a0 .part L_000000000265c980, 1, 1; +L_000000000265fc20 .part L_000000000265b620, 1, 1; +L_000000000265f2c0 .part v000000000265fcc0_0, 0, 1; +L_000000000265fd60 .part L_000000000265bb20, 1, 1; +L_000000000265ff40 .part v000000000265ec80_0, 2, 1; +L_000000000265e500 .part L_000000000265d7e0, 1, 1; +L_000000000265ef00 .part v000000000265de20_0, 2, 1; +L_000000000265e5a0 .part L_000000000265c980, 2, 1; +L_000000000265e640 .part L_000000000265b620, 2, 1; +L_000000000265fe00 .part v000000000265fcc0_0, 0, 1; +L_000000000265efa0 .part L_000000000265bb20, 2, 1; +L_000000000265db00 .part v000000000265ec80_0, 3, 1; +L_000000000265f180 .part L_000000000265d7e0, 2, 1; +L_000000000265f360 .part v000000000265de20_0, 3, 1; +L_000000000265eaa0 .part L_000000000265c980, 3, 1; +L_000000000265f5e0 .part L_000000000265b620, 3, 1; +L_000000000265dd80 .part v000000000265fcc0_0, 0, 1; +L_000000000265dec0 .part L_000000000265bb20, 3, 1; +L_000000000265f540 .part v000000000265ec80_0, 4, 1; +L_000000000265f860 .part L_000000000265d7e0, 3, 1; +L_0000000002661160 .part v000000000265de20_0, 4, 1; +L_0000000002660800 .part L_000000000265c980, 4, 1; +L_0000000002660440 .part L_000000000265b620, 4, 1; +L_0000000002662740 .part v000000000265fcc0_0, 0, 1; +L_0000000002662100 .part L_000000000265bb20, 4, 1; +L_0000000002660bc0 .part v000000000265ec80_0, 5, 1; +L_0000000002661ac0 .part L_000000000265d7e0, 4, 1; +L_0000000002660620 .part v000000000265de20_0, 5, 1; +L_0000000002662420 .part L_000000000265c980, 5, 1; +L_0000000002660ee0 .part L_000000000265b620, 5, 1; +L_0000000002661480 .part v000000000265fcc0_0, 0, 1; +L_0000000002660e40 .part L_000000000265bb20, 5, 1; +L_0000000002660260 .part v000000000265ec80_0, 6, 1; +L_00000000026604e0 .part L_000000000265d7e0, 5, 1; +L_0000000002661d40 .part v000000000265de20_0, 6, 1; +L_00000000026606c0 .part L_000000000265c980, 6, 1; +L_0000000002661e80 .part L_000000000265b620, 6, 1; +L_0000000002661660 .part v000000000265fcc0_0, 0, 1; +L_00000000026618e0 .part L_000000000265bb20, 6, 1; +L_0000000002661520 .part v000000000265ec80_0, 7, 1; +L_0000000002662880 .part L_000000000265d7e0, 6, 1; +L_00000000026615c0 .part v000000000265de20_0, 7, 1; +L_0000000002661200 .part L_000000000265c980, 7, 1; +L_00000000026608a0 .part L_000000000265b620, 7, 1; +L_00000000026612a0 .part v000000000265fcc0_0, 0, 1; +L_0000000002660f80 .part L_000000000265bb20, 7, 1; +L_0000000002660120 .part v000000000265ec80_0, 8, 1; +L_00000000026627e0 .part L_000000000265d7e0, 7, 1; +L_00000000026624c0 .part v000000000265de20_0, 8, 1; +L_0000000002662380 .part L_000000000265c980, 8, 1; +L_0000000002662060 .part L_000000000265b620, 8, 1; +L_0000000002661340 .part v000000000265fcc0_0, 0, 1; +L_0000000002660300 .part L_000000000265bb20, 8, 1; +L_0000000002661b60 .part v000000000265ec80_0, 9, 1; +L_0000000002661700 .part L_000000000265d7e0, 8, 1; +L_0000000002660940 .part v000000000265de20_0, 9, 1; +L_00000000026613e0 .part L_000000000265c980, 9, 1; +L_0000000002660b20 .part L_000000000265b620, 9, 1; +L_0000000002662560 .part v000000000265fcc0_0, 0, 1; +L_00000000026617a0 .part L_000000000265bb20, 9, 1; +L_0000000002661840 .part v000000000265ec80_0, 10, 1; +L_0000000002660da0 .part L_000000000265d7e0, 9, 1; +L_0000000002661020 .part v000000000265de20_0, 10, 1; +L_0000000002661de0 .part L_000000000265c980, 10, 1; +L_00000000026603a0 .part L_000000000265b620, 10, 1; +L_00000000026621a0 .part v000000000265fcc0_0, 0, 1; +L_0000000002660760 .part L_000000000265bb20, 10, 1; +L_00000000026610c0 .part v000000000265ec80_0, 11, 1; +L_0000000002662240 .part L_000000000265d7e0, 10, 1; +L_0000000002665080 .part v000000000265de20_0, 11, 1; +L_0000000002663780 .part L_000000000265c980, 11, 1; +L_00000000026640e0 .part L_000000000265b620, 11, 1; +L_0000000002663280 .part v000000000265fcc0_0, 0, 1; +L_0000000002663320 .part L_000000000265bb20, 11, 1; +L_0000000002664d60 .part v000000000265ec80_0, 12, 1; +L_0000000002664cc0 .part L_000000000265d7e0, 11, 1; +L_0000000002664ea0 .part v000000000265de20_0, 12, 1; +L_00000000026649a0 .part L_000000000265c980, 12, 1; +L_0000000002662e20 .part L_000000000265b620, 12, 1; +L_00000000026638c0 .part v000000000265fcc0_0, 0, 1; +L_00000000026629c0 .part L_000000000265bb20, 12, 1; +L_00000000026633c0 .part v000000000265ec80_0, 13, 1; +L_0000000002664040 .part L_000000000265d7e0, 12, 1; +L_00000000026636e0 .part v000000000265de20_0, 13, 1; +L_0000000002663c80 .part L_000000000265c980, 13, 1; +L_0000000002664b80 .part L_000000000265b620, 13, 1; +L_0000000002664680 .part v000000000265fcc0_0, 0, 1; +L_0000000002664a40 .part L_000000000265bb20, 13, 1; +L_00000000026647c0 .part v000000000265ec80_0, 14, 1; +L_0000000002663820 .part L_000000000265d7e0, 13, 1; +L_0000000002663b40 .part v000000000265de20_0, 14, 1; +L_0000000002664860 .part L_000000000265c980, 14, 1; +L_0000000002663be0 .part L_000000000265b620, 14, 1; +L_0000000002664720 .part v000000000265fcc0_0, 0, 1; +L_0000000002663000 .part L_000000000265bb20, 14, 1; +L_0000000002663d20 .part v000000000265ec80_0, 15, 1; +L_0000000002664900 .part L_000000000265d7e0, 14, 1; +L_0000000002663e60 .part v000000000265de20_0, 15, 1; +L_0000000002663f00 .part L_000000000265c980, 15, 1; +L_0000000002662ce0 .part L_000000000265b620, 15, 1; +L_0000000002662ba0 .part v000000000265fcc0_0, 0, 1; +L_0000000002664ae0 .part L_000000000265bb20, 15, 1; +L_0000000002663fa0 .part v000000000265ec80_0, 16, 1; +L_0000000002664c20 .part L_000000000265d7e0, 15, 1; +L_0000000002662920 .part v000000000265de20_0, 16, 1; +L_0000000002664fe0 .part L_000000000265c980, 16, 1; +L_0000000002664180 .part L_000000000265b620, 16, 1; +L_0000000002662a60 .part v000000000265fcc0_0, 0, 1; +L_0000000002662b00 .part L_000000000265bb20, 16, 1; +L_0000000002662f60 .part v000000000265ec80_0, 17, 1; +L_0000000002664400 .part L_000000000265d7e0, 16, 1; +L_0000000002663460 .part v000000000265de20_0, 17, 1; +L_0000000002663140 .part L_000000000265c980, 17, 1; +L_0000000002663500 .part L_000000000265b620, 17, 1; +L_00000000026635a0 .part v000000000265fcc0_0, 0, 1; +L_0000000002664540 .part L_000000000265bb20, 17, 1; +L_00000000026645e0 .part v000000000265ec80_0, 18, 1; +L_00000000026642c0 .part L_000000000265d7e0, 17, 1; +L_00000000026644a0 .part v000000000265de20_0, 18, 1; +L_0000000002666f20 .part L_000000000265c980, 18, 1; +L_0000000002665440 .part L_000000000265b620, 18, 1; +L_0000000002665260 .part v000000000265fcc0_0, 0, 1; +L_00000000026665c0 .part L_000000000265bb20, 18, 1; +L_0000000002665580 .part v000000000265ec80_0, 19, 1; +L_0000000002666160 .part L_000000000265d7e0, 18, 1; +L_00000000026668e0 .part v000000000265de20_0, 19, 1; +L_0000000002665a80 .part L_000000000265c980, 19, 1; +L_0000000002666e80 .part L_000000000265b620, 19, 1; +L_0000000002666520 .part v000000000265fcc0_0, 0, 1; +L_0000000002665f80 .part L_000000000265bb20, 19, 1; +L_0000000002665c60 .part v000000000265ec80_0, 20, 1; +L_0000000002666fc0 .part L_000000000265d7e0, 19, 1; +L_0000000002665d00 .part v000000000265de20_0, 20, 1; +L_00000000026662a0 .part L_000000000265c980, 20, 1; +L_0000000002665120 .part L_000000000265b620, 20, 1; +L_00000000026672e0 .part v000000000265fcc0_0, 0, 1; +L_00000000026651c0 .part L_000000000265bb20, 20, 1; +L_0000000002665b20 .part v000000000265ec80_0, 21, 1; +L_0000000002666840 .part L_000000000265d7e0, 20, 1; +L_0000000002665620 .part v000000000265de20_0, 21, 1; +L_0000000002667420 .part L_000000000265c980, 21, 1; +L_0000000002665ee0 .part L_000000000265b620, 21, 1; +L_0000000002666480 .part v000000000265fcc0_0, 0, 1; +L_0000000002665da0 .part L_000000000265bb20, 21, 1; +L_00000000026653a0 .part v000000000265ec80_0, 22, 1; +L_00000000026654e0 .part L_000000000265d7e0, 21, 1; +L_0000000002666d40 .part v000000000265de20_0, 22, 1; +L_0000000002665760 .part L_000000000265c980, 22, 1; +L_0000000002667060 .part L_000000000265b620, 22, 1; +L_0000000002666660 .part v000000000265fcc0_0, 0, 1; +L_0000000002667100 .part L_000000000265bb20, 22, 1; +L_0000000002667880 .part v000000000265ec80_0, 23, 1; +L_0000000002665800 .part L_000000000265d7e0, 22, 1; +L_0000000002666340 .part v000000000265de20_0, 23, 1; +L_0000000002666ac0 .part L_000000000265c980, 23, 1; +L_0000000002666700 .part L_000000000265b620, 23, 1; +L_0000000002665e40 .part v000000000265fcc0_0, 0, 1; +L_0000000002666b60 .part L_000000000265bb20, 23, 1; +L_00000000026660c0 .part v000000000265ec80_0, 24, 1; +L_00000000026667a0 .part L_000000000265d7e0, 23, 1; +L_00000000026663e0 .part v000000000265de20_0, 24, 1; +L_0000000002666ca0 .part L_000000000265c980, 24, 1; +L_0000000002666de0 .part L_000000000265b620, 24, 1; +L_00000000026671a0 .part v000000000265fcc0_0, 0, 1; +L_0000000002667380 .part L_000000000265bb20, 24, 1; +L_00000000026674c0 .part v000000000265ec80_0, 25, 1; +L_0000000002667600 .part L_000000000265d7e0, 24, 1; +L_0000000002667740 .part v000000000265de20_0, 25, 1; +L_00000000026677e0 .part L_000000000265c980, 25, 1; +L_0000000002668280 .part L_000000000265b620, 25, 1; +L_00000000026679c0 .part v000000000265fcc0_0, 0, 1; +L_0000000002667b00 .part L_000000000265bb20, 25, 1; +L_0000000002668320 .part v000000000265ec80_0, 26, 1; +L_00000000026683c0 .part L_000000000265d7e0, 25, 1; +L_0000000002668500 .part v000000000265de20_0, 26, 1; +L_0000000002668140 .part L_000000000265c980, 26, 1; +L_00000000026681e0 .part L_000000000265b620, 26, 1; +L_00000000026686e0 .part v000000000265fcc0_0, 0, 1; +L_0000000002667a60 .part L_000000000265bb20, 26, 1; +L_00000000026685a0 .part v000000000265ec80_0, 27, 1; +L_0000000002667ce0 .part L_000000000265d7e0, 26, 1; +L_0000000002668780 .part v000000000265de20_0, 27, 1; +L_0000000002667ec0 .part L_000000000265c980, 27, 1; +L_0000000002667c40 .part L_000000000265b620, 27, 1; +L_0000000002667d80 .part v000000000265fcc0_0, 0, 1; +L_0000000002667e20 .part L_000000000265bb20, 27, 1; +L_0000000002667f60 .part v000000000265ec80_0, 28, 1; +L_0000000002668000 .part L_000000000265d7e0, 27, 1; +L_000000000265ab80 .part v000000000265de20_0, 28, 1; +L_000000000265a400 .part L_000000000265c980, 28, 1; +L_000000000265a040 .part L_000000000265b620, 28, 1; +L_0000000002659780 .part v000000000265fcc0_0, 0, 1; +L_0000000002658920 .part L_000000000265bb20, 28, 1; +L_00000000026589c0 .part v000000000265ec80_0, 29, 1; +L_0000000002658b00 .part L_000000000265d7e0, 28, 1; +L_0000000002658ec0 .part v000000000265de20_0, 29, 1; +L_000000000265a7c0 .part L_000000000265c980, 29, 1; +L_00000000026593c0 .part L_000000000265b620, 29, 1; +L_0000000002659460 .part v000000000265fcc0_0, 0, 1; +L_0000000002658c40 .part L_000000000265bb20, 29, 1; +L_0000000002659500 .part v000000000265ec80_0, 30, 1; +L_0000000002658ba0 .part L_000000000265d7e0, 29, 1; +L_000000000265a540 .part v000000000265de20_0, 30, 1; +L_0000000002658ce0 .part L_000000000265c980, 30, 1; +L_0000000002659640 .part L_000000000265b620, 30, 1; +L_0000000002659f00 .part v000000000265fcc0_0, 0, 1; +L_000000000265a680 .part L_000000000265bb20, 30, 1; +L_0000000002658d80 .part v000000000265ec80_0, 31, 1; +L_0000000002658e20 .part L_000000000265d7e0, 30, 1; +L_0000000002658f60 .part v000000000265de20_0, 31, 1; +L_0000000002659aa0 .part L_000000000265c980, 31, 1; +L_00000000026596e0 .part L_000000000265b620, 31, 1; +L_000000000265aa40 .part v000000000265fcc0_0, 0, 1; +L_0000000002659a00 .part L_000000000265bb20, 31, 1; +L_000000000265ac20 .part L_000000000265cb60, 1, 1; +L_000000000265a360 .part L_000000000265bda0, 0, 1; +L_0000000002659e60 .part L_000000000265cb60, 2, 1; +L_0000000002659fa0 .part L_000000000265bda0, 1, 1; +L_0000000002659820 .part L_000000000265cb60, 3, 1; +L_00000000026598c0 .part L_000000000265bda0, 2, 1; +L_0000000002659b40 .part L_000000000265cb60, 4, 1; +L_0000000002659000 .part L_000000000265bda0, 3, 1; +L_00000000026591e0 .part L_000000000265cb60, 5, 1; +L_00000000026590a0 .part L_000000000265bda0, 4, 1; +L_0000000002659140 .part L_000000000265cb60, 6, 1; +L_000000000265a0e0 .part L_000000000265bda0, 5, 1; +L_0000000002659960 .part L_000000000265cb60, 7, 1; +L_000000000265a720 .part L_000000000265bda0, 6, 1; +L_0000000002659be0 .part L_000000000265cb60, 8, 1; +L_0000000002659c80 .part L_000000000265bda0, 7, 1; +L_0000000002659d20 .part L_000000000265cb60, 9, 1; +L_000000000265a900 .part L_000000000265bda0, 8, 1; +L_000000000265af40 .part L_000000000265cb60, 10, 1; +L_0000000002659dc0 .part L_000000000265bda0, 9, 1; +L_000000000265ad60 .part L_000000000265cb60, 11, 1; +L_000000000265a180 .part L_000000000265bda0, 10, 1; +L_000000000265a9a0 .part L_000000000265cb60, 12, 1; +L_000000000265aae0 .part L_000000000265bda0, 11, 1; +L_000000000265acc0 .part L_000000000265cb60, 13, 1; +L_000000000265a2c0 .part L_000000000265bda0, 12, 1; +L_000000000265a4a0 .part L_000000000265cb60, 14, 1; +L_000000000265ae00 .part L_000000000265bda0, 13, 1; +L_000000000265aea0 .part L_000000000265cb60, 15, 1; +L_000000000265afe0 .part L_000000000265bda0, 14, 1; +L_000000000265b080 .part L_000000000265cb60, 16, 1; +L_000000000265c660 .part L_000000000265bda0, 15, 1; +L_000000000265ba80 .part L_000000000265cb60, 17, 1; +L_000000000265d2e0 .part L_000000000265bda0, 16, 1; +L_000000000265b1c0 .part L_000000000265cb60, 18, 1; +L_000000000265c2a0 .part L_000000000265bda0, 17, 1; +L_000000000265c200 .part L_000000000265cb60, 19, 1; +L_000000000265c160 .part L_000000000265bda0, 18, 1; +L_000000000265d100 .part L_000000000265cb60, 20, 1; +L_000000000265c700 .part L_000000000265bda0, 19, 1; +L_000000000265c7a0 .part L_000000000265cb60, 21, 1; +L_000000000265c840 .part L_000000000265bda0, 20, 1; +L_000000000265b940 .part L_000000000265cb60, 22, 1; +L_000000000265bf80 .part L_000000000265bda0, 21, 1; +L_000000000265b300 .part L_000000000265cb60, 23, 1; +L_000000000265c340 .part L_000000000265bda0, 22, 1; +L_000000000265d060 .part L_000000000265cb60, 24, 1; +L_000000000265c3e0 .part L_000000000265bda0, 23, 1; +L_000000000265c5c0 .part L_000000000265cb60, 25, 1; +L_000000000265cd40 .part L_000000000265bda0, 24, 1; +L_000000000265b580 .part L_000000000265cb60, 26, 1; +L_000000000265c8e0 .part L_000000000265bda0, 25, 1; +L_000000000265b800 .part L_000000000265cb60, 27, 1; +L_000000000265b9e0 .part L_000000000265bda0, 26, 1; +L_000000000265b3a0 .part L_000000000265cb60, 28, 1; +L_000000000265b8a0 .part L_000000000265bda0, 27, 1; +L_000000000265d880 .part L_000000000265cb60, 29, 1; +L_000000000265b260 .part L_000000000265bda0, 28, 1; +L_000000000265d6a0 .part L_000000000265cb60, 30, 1; +L_000000000265b4e0 .part L_000000000265bda0, 29, 1; +LS_000000000265c980_0_0 .concat8 [ 1 1 1 1], L_00000000026f70d0, L_0000000000e6a900, L_0000000000e69cc0, L_0000000000e6a2e0; +LS_000000000265c980_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cc00, L_0000000000f3b1c0, L_0000000000f3ca40, L_0000000000f3ba10; +LS_000000000265c980_0_8 .concat8 [ 1 1 1 1], L_0000000000f3cf80, L_00000000026bcd20, L_00000000026bdce0, L_00000000026bd7a0; +LS_000000000265c980_0_12 .concat8 [ 1 1 1 1], L_00000000026bde30, L_00000000026c7d70, L_00000000026c8010, L_00000000026c80f0; +LS_000000000265c980_0_16 .concat8 [ 1 1 1 1], L_00000000026c7bb0, L_00000000026d5100, L_00000000026d51e0, L_00000000026d53a0; +LS_000000000265c980_0_20 .concat8 [ 1 1 1 1], L_00000000026d4bc0, L_00000000026d79b0, L_00000000026d7d30, L_00000000026d66e0; +LS_000000000265c980_0_24 .concat8 [ 1 1 1 1], L_00000000026d68a0, L_00000000026d83c0, L_00000000026dc440, L_00000000026d9030; +LS_000000000265c980_0_28 .concat8 [ 1 1 1 1], L_00000000026d89a0, L_00000000026d98f0, L_00000000026db8e0, L_00000000026daf40; +LS_000000000265c980_1_0 .concat8 [ 4 4 4 4], LS_000000000265c980_0_0, LS_000000000265c980_0_4, LS_000000000265c980_0_8, LS_000000000265c980_0_12; +LS_000000000265c980_1_4 .concat8 [ 4 4 4 4], LS_000000000265c980_0_16, LS_000000000265c980_0_20, LS_000000000265c980_0_24, LS_000000000265c980_0_28; +L_000000000265c980 .concat8 [ 16 16 0 0], LS_000000000265c980_1_0, LS_000000000265c980_1_4; +L_000000000265cca0 .part v000000000265ec80_0, 0, 1; +LS_000000000265b620_0_0 .concat8 [ 1 1 1 1], L_00000000026f7610, L_0000000000e6b1c0, L_0000000000e6acf0, L_0000000000e6a4a0; +LS_000000000265b620_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cb90, L_0000000000f3b7e0, L_0000000000f3cab0, L_0000000000f3ba80; +LS_000000000265b620_0_8 .concat8 [ 1 1 1 1], L_0000000000f3cff0, L_00000000026bccb0, L_00000000026bdd50, L_00000000026bce70; +LS_000000000265b620_0_12 .concat8 [ 1 1 1 1], L_00000000026bdf10, L_00000000026c7910, L_00000000026c6b10, L_00000000026c7c20; +LS_000000000265b620_0_16 .concat8 [ 1 1 1 1], L_00000000026c7c90, L_00000000026d5330, L_00000000026d5e90, L_00000000026d58e0; +LS_000000000265b620_0_20 .concat8 [ 1 1 1 1], L_00000000026d4d10, L_00000000026d7550, L_00000000026d7b00, L_00000000026d7320; +LS_000000000265b620_0_24 .concat8 [ 1 1 1 1], L_00000000026d69f0, L_00000000026d8350, L_00000000026dc4b0, L_00000000026d90a0; +LS_000000000265b620_0_28 .concat8 [ 1 1 1 1], L_00000000026d9730, L_00000000026d9dc0, L_00000000026dbcd0, L_00000000026dab50; +LS_000000000265b620_1_0 .concat8 [ 4 4 4 4], LS_000000000265b620_0_0, LS_000000000265b620_0_4, LS_000000000265b620_0_8, LS_000000000265b620_0_12; +LS_000000000265b620_1_4 .concat8 [ 4 4 4 4], LS_000000000265b620_0_16, LS_000000000265b620_0_20, LS_000000000265b620_0_24, LS_000000000265b620_0_28; +L_000000000265b620 .concat8 [ 16 16 0 0], LS_000000000265b620_1_0, LS_000000000265b620_1_4; +L_000000000265cde0 .part v000000000265fcc0_0, 0, 1; +L_000000000265d240 .part v000000000265fcc0_0, 2, 1; +L_000000000265b440 .part v000000000265fcc0_0, 0, 1; +L_000000000265d380 .part v000000000265fcc0_0, 1, 1; +LS_000000000265bb20_0_0 .concat8 [ 1 1 1 1], L_00000000026f78b0, L_0000000000e69c50, L_0000000000e6a190, L_0000000000f3b8c0; +LS_000000000265bb20_0_4 .concat8 [ 1 1 1 1], L_0000000000f3b700, L_0000000000f3c8f0, L_0000000000f3b460, L_0000000000f3cea0; +LS_000000000265bb20_0_8 .concat8 [ 1 1 1 1], L_00000000026be370, L_00000000026bc930, L_00000000026bd030, L_00000000026be0d0; +LS_000000000265bb20_0_12 .concat8 [ 1 1 1 1], L_00000000026c7fa0, L_00000000026c7ec0, L_00000000026c72f0, L_00000000026c7a60; +LS_000000000265bb20_0_16 .concat8 [ 1 1 1 1], L_00000000026d63d0, L_00000000026d56b0, L_00000000026d6130, L_00000000026d4a70; +LS_000000000265bb20_0_20 .concat8 [ 1 1 1 1], L_00000000026d7be0, L_00000000026d7cc0, L_00000000026d7fd0, L_00000000026d7f60; +LS_000000000265bb20_0_24 .concat8 [ 1 1 1 1], L_00000000026d8190, L_00000000026dc520, L_00000000026d96c0, L_00000000026da0d0; +LS_000000000265bb20_0_28 .concat8 [ 1 1 1 1], L_00000000026d8c40, L_00000000026dba30, L_00000000026dbd40, L_00000000026dbf70; +LS_000000000265bb20_1_0 .concat8 [ 4 4 4 4], LS_000000000265bb20_0_0, LS_000000000265bb20_0_4, LS_000000000265bb20_0_8, LS_000000000265bb20_0_12; +LS_000000000265bb20_1_4 .concat8 [ 4 4 4 4], LS_000000000265bb20_0_16, LS_000000000265bb20_0_20, LS_000000000265bb20_0_24, LS_000000000265bb20_0_28; +L_000000000265bb20 .concat8 [ 16 16 0 0], LS_000000000265bb20_1_0, LS_000000000265bb20_1_4; +LS_000000000265d7e0_0_0 .concat8 [ 1 1 1 1], L_00000000026f7a70, L_0000000000e6a200, L_0000000000e69e80, L_0000000000e6a580; +LS_000000000265d7e0_0_4 .concat8 [ 1 1 1 1], L_0000000000f3c730, L_0000000000f3b770, L_0000000000f3be70, L_0000000000f3bc40; +LS_000000000265d7e0_0_8 .concat8 [ 1 1 1 1], L_00000000026be5a0, L_00000000026bca80, L_00000000026bd260, L_00000000026bd650; +LS_000000000265d7e0_0_12 .concat8 [ 1 1 1 1], L_00000000026be450, L_00000000026c6fe0, L_00000000026c8080, L_00000000026c7f30; +LS_000000000265d7e0_0_16 .concat8 [ 1 1 1 1], L_00000000026c87f0, L_00000000026d61a0, L_00000000026d5720, L_00000000026d5b10; +LS_000000000265d7e0_0_20 .concat8 [ 1 1 1 1], L_00000000026d4f40, L_00000000026d6c20, L_00000000026d7240, L_00000000026d6830; +LS_000000000265d7e0_0_24 .concat8 [ 1 1 1 1], L_00000000026d84a0, L_00000000026dc670, L_00000000026d9c00, L_00000000026d9180; +LS_000000000265d7e0_0_28 .concat8 [ 1 1 1 1], L_00000000026d8a80, L_00000000026d9c70, L_00000000026dbbf0, L_00000000026db250; +LS_000000000265d7e0_1_0 .concat8 [ 4 4 4 4], LS_000000000265d7e0_0_0, LS_000000000265d7e0_0_4, LS_000000000265d7e0_0_8, LS_000000000265d7e0_0_12; +LS_000000000265d7e0_1_4 .concat8 [ 4 4 4 4], LS_000000000265d7e0_0_16, LS_000000000265d7e0_0_20, LS_000000000265d7e0_0_24, LS_000000000265d7e0_0_28; +L_000000000265d7e0 .concat8 [ 16 16 0 0], LS_000000000265d7e0_1_0, LS_000000000265d7e0_1_4; +L_000000000265d1a0 .part v000000000265de20_0, 0, 1; +L_000000000265b6c0 .part L_000000000265c980, 0, 1; +L_000000000265b760 .part L_000000000265b620, 0, 1; +L_000000000265bbc0 .part v000000000265fcc0_0, 0, 1; +L_000000000265d420 .part L_000000000265bb20, 0, 1; +L_000000000265cc00 .part L_000000000265d7e0, 30, 1; +L_000000000265bc60 .part L_000000000265d7e0, 31, 1; +L_000000000265bd00 .part L_000000000265d7e0, 31, 1; +L_000000000265c020 .part v0000000000e21990_0, 0, 1; +L_000000000265ca20 .part v0000000000e21990_0, 1, 1; +L_000000000265d4c0 .part v0000000000e21990_0, 0, 1; +L_000000000265c480 .part v0000000000e21990_0, 1, 1; +LS_000000000265bda0_0_0 .concat8 [ 1 1 1 1], L_00000000026f8100, L_00000000026db170, L_00000000026dad10, L_00000000026db1e0; +LS_000000000265bda0_0_4 .concat8 [ 1 1 1 1], L_00000000026dbfe0, L_00000000026dc130, L_00000000026dad80, L_00000000026da5a0; +LS_000000000265bda0_0_8 .concat8 [ 1 1 1 1], L_00000000026dadf0, L_00000000026da610, L_00000000026daa00, L_00000000026db2c0; +LS_000000000265bda0_0_12 .concat8 [ 1 1 1 1], L_00000000026dae60, L_00000000026db870, L_00000000026daed0, L_00000000026db950; +LS_000000000265bda0_0_16 .concat8 [ 1 1 1 1], L_00000000026db330, L_00000000026daa70, L_00000000026db3a0, L_00000000026db410; +LS_000000000265bda0_0_20 .concat8 [ 1 1 1 1], L_00000000026db480, L_00000000026db560, L_00000000026db5d0, L_00000000026db640; +LS_000000000265bda0_0_24 .concat8 [ 1 1 1 1], L_00000000026db6b0, L_00000000026db720, L_00000000026db790, L_00000000026db800; +LS_000000000265bda0_0_28 .concat8 [ 1 1 1 0], L_00000000026db9c0, L_00000000026f7060, L_00000000026f6ab0; +LS_000000000265bda0_1_0 .concat8 [ 4 4 4 4], LS_000000000265bda0_0_0, LS_000000000265bda0_0_4, LS_000000000265bda0_0_8, LS_000000000265bda0_0_12; +LS_000000000265bda0_1_4 .concat8 [ 4 4 4 3], LS_000000000265bda0_0_16, LS_000000000265bda0_0_20, LS_000000000265bda0_0_24, LS_000000000265bda0_0_28; +L_000000000265bda0 .concat8 [ 16 15 0 0], LS_000000000265bda0_1_0, LS_000000000265bda0_1_4; +L_000000000265be40 .part L_000000000265cb60, 0, 1; +L_000000000265bee0 .part L_000000000265cb60, 1, 1; +L_000000000265c520 .part L_000000000265bda0, 30, 1; +L_000000000265d560 .part v0000000000e21990_0, 0, 1; +L_000000000265cac0 .part v0000000000e21990_0, 1, 1; +L_000000000265c0c0 .part L_000000000265bb20, 31, 1; +LS_000000000265cb60_0_0 .concat8 [ 1 1 1 1], L_00000000026f6b20, L_0000000000e6b620, L_0000000000e6a270, L_0000000000f3c6c0; +LS_000000000265cb60_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cc70, L_0000000000f3c9d0, L_0000000000f3b9a0, L_0000000000f3cf10; +LS_000000000265cb60_0_8 .concat8 [ 1 1 1 1], L_00000000026bcc40, L_00000000026bcd90, L_00000000026bcb60, L_00000000026bddc0; +LS_000000000265cb60_0_12 .concat8 [ 1 1 1 1], L_00000000026c74b0, L_00000000026c6d40, L_00000000026c6f00, L_00000000026c7b40; +LS_000000000265cb60_0_16 .concat8 [ 1 1 1 1], L_00000000026d6050, L_00000000026d4ed0, L_00000000026d5a30, L_00000000026d4ae0; +LS_000000000265cb60_0_20 .concat8 [ 1 1 1 1], L_00000000026d70f0, L_00000000026d7da0, L_00000000026d6f30, L_00000000026d67c0; +LS_000000000265cb60_0_24 .concat8 [ 1 1 1 1], L_00000000026d8270, L_00000000026dc3d0, L_00000000026d8bd0, L_00000000026d8af0; +LS_000000000265cb60_0_28 .concat8 [ 1 1 1 1], L_00000000026d9810, L_00000000026dac30, L_00000000026da8b0, L_00000000026da990; +LS_000000000265cb60_1_0 .concat8 [ 4 4 4 4], LS_000000000265cb60_0_0, LS_000000000265cb60_0_4, LS_000000000265cb60_0_8, LS_000000000265cb60_0_12; +LS_000000000265cb60_1_4 .concat8 [ 4 4 4 4], LS_000000000265cb60_0_16, LS_000000000265cb60_0_20, LS_000000000265cb60_0_24, LS_000000000265cb60_0_28; +L_000000000265cb60 .concat8 [ 16 16 0 0], LS_000000000265cb60_1_0, LS_000000000265cb60_1_4; +S_0000000000c97290 .scope module, "_bit" "aluFullBit" 4 44, 5 6 0, S_0000000000c91660; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -635,19 +728,19 @@ S_000000000283eb70 .scope module, "_bit" "aluFullBit" 4 48, 5 6 0, S_00000000028 .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a089c0_0 .net "a", 0 0, L_0000000002b45a10; 1 drivers -v0000000002a08920_0 .net "b", 0 0, L_0000000002b45d30; 1 drivers -v0000000002a08a60_0 .net "carryAND", 0 0, L_0000000002b6c010; 1 drivers -v0000000002a08b00_0 .net "cin", 0 0, L_0000000002b48f30; 1 drivers -v0000000002a08420_0 .net "ctrl0", 0 0, L_0000000002b49610; 1 drivers -v0000000002a08600_0 .net "nab", 0 0, L_0000000002b6c320; 1 drivers -v0000000002a01120_0 .net "orNOR", 0 0, L_0000000002b6c780; 1 drivers -v0000000002a023e0_0 .net "res", 0 0, L_0000000002b6b8a0; 1 drivers -v0000000002a01a80_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a016c0_0 .net "sumXOR", 0 0, L_0000000002b6c710; 1 drivers -L_0000000002b45470 .part v0000000002a01440_0, 1, 1; -L_0000000002b45510 .part v0000000002a01440_0, 0, 1; -S_000000000283ecf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000283eb70; +v0000000000e1d4d0_0 .net "a", 0 0, L_000000000265d1a0; 1 drivers +v0000000000e1da70_0 .net "b", 0 0, L_000000000265b6c0; 1 drivers +v0000000000e1db10_0 .net "carryAND", 0 0, L_00000000026f7a70; 1 drivers +v0000000000e1dbb0_0 .net "cin", 0 0, L_000000000265b760; 1 drivers +v0000000000e210d0_0 .net "ctrl0", 0 0, L_000000000265bbc0; 1 drivers +v0000000000e20810_0 .net "nab", 0 0, L_00000000026f7840; 1 drivers +v0000000000e1f690_0 .net "orNOR", 0 0, L_00000000026f7760; 1 drivers +v0000000000e20270_0 .net "res", 0 0, L_00000000026f78b0; 1 drivers +v0000000000e208b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000e21670_0 .net "sumXOR", 0 0, L_00000000026f76f0; 1 drivers +L_000000000265b120 .part v0000000000e21990_0, 1, 1; +L_000000000265d740 .part v0000000000e21990_0, 0, 1; +S_0000000000c97410 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c97290; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -657,32 +750,32 @@ S_000000000283ecf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000283eb7 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b6c320/d .functor NAND 1, L_0000000002b45a10, L_0000000002b45d30, C4<1>, C4<1>; -L_0000000002b6c320 .delay 1 (20000,20000,20000) L_0000000002b6c320/d; -L_0000000002b6b130/d .functor NAND 1, L_0000000002b45d30, L_0000000002b48f30, C4<1>, C4<1>; -L_0000000002b6b130 .delay 1 (20000,20000,20000) L_0000000002b6b130/d; -L_0000000002b6ac60/d .functor NAND 1, L_0000000002b45a10, L_0000000002b48f30, C4<1>, C4<1>; -L_0000000002b6ac60 .delay 1 (20000,20000,20000) L_0000000002b6ac60/d; -L_0000000002b6c010/d .functor NAND 1, L_0000000002b6c320, L_0000000002b6ac60, L_0000000002b6b130, C4<1>; -L_0000000002b6c010 .delay 1 (30000,30000,30000) L_0000000002b6c010/d; -L_0000000002b6c710/d .functor XOR 1, L_0000000002b45a10, L_0000000002b45d30, L_0000000002b48f30, C4<0>; -L_0000000002b6c710 .delay 1 (30000,30000,30000) L_0000000002b6c710/d; -L_0000000002b6ba60/d .functor NOR 1, L_0000000002b45a10, L_0000000002b45d30, C4<0>, C4<0>; -L_0000000002b6ba60 .delay 1 (20000,20000,20000) L_0000000002b6ba60/d; -L_0000000002b6c780/d .functor XOR 1, L_0000000002b6ba60, L_0000000002b49610, C4<0>, C4<0>; -L_0000000002b6c780 .delay 1 (20000,20000,20000) L_0000000002b6c780/d; -v0000000002a05c20_0 .net "a", 0 0, L_0000000002b45a10; alias, 1 drivers -v0000000002a06a80_0 .net "anorb", 0 0, L_0000000002b6ba60; 1 drivers -v0000000002a082e0_0 .net "b", 0 0, L_0000000002b45d30; alias, 1 drivers -v0000000002a078e0_0 .net "carryAND", 0 0, L_0000000002b6c010; alias, 1 drivers -v0000000002a08380_0 .net "carryin", 0 0, L_0000000002b48f30; alias, 1 drivers -v0000000002a07de0_0 .net "i0", 0 0, L_0000000002b49610; alias, 1 drivers -v0000000002a075c0_0 .net "nab", 0 0, L_0000000002b6c320; alias, 1 drivers -v0000000002a064e0_0 .net "nac", 0 0, L_0000000002b6ac60; 1 drivers -v0000000002a06580_0 .net "nbc", 0 0, L_0000000002b6b130; 1 drivers -v0000000002a06b20_0 .net "orNOR", 0 0, L_0000000002b6c780; alias, 1 drivers -v0000000002a06620_0 .net "sumXOR", 0 0, L_0000000002b6c710; alias, 1 drivers -S_00000000028365f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000283eb70; +L_00000000026f7840/d .functor NAND 1, L_000000000265d1a0, L_000000000265b6c0, C4<1>, C4<1>; +L_00000000026f7840 .delay 1 (20000,20000,20000) L_00000000026f7840/d; +L_00000000026f7680/d .functor NAND 1, L_000000000265b6c0, L_000000000265b760, C4<1>, C4<1>; +L_00000000026f7680 .delay 1 (20000,20000,20000) L_00000000026f7680/d; +L_00000000026f6e30/d .functor NAND 1, L_000000000265d1a0, L_000000000265b760, C4<1>, C4<1>; +L_00000000026f6e30 .delay 1 (20000,20000,20000) L_00000000026f6e30/d; +L_00000000026f7a70/d .functor NAND 1, L_00000000026f7840, L_00000000026f6e30, L_00000000026f7680, C4<1>; +L_00000000026f7a70 .delay 1 (30000,30000,30000) L_00000000026f7a70/d; +L_00000000026f76f0/d .functor XOR 1, L_000000000265d1a0, L_000000000265b6c0, L_000000000265b760, C4<0>; +L_00000000026f76f0 .delay 1 (30000,30000,30000) L_00000000026f76f0/d; +L_00000000026f69d0/d .functor NOR 1, L_000000000265d1a0, L_000000000265b6c0, C4<0>, C4<0>; +L_00000000026f69d0 .delay 1 (20000,20000,20000) L_00000000026f69d0/d; +L_00000000026f7760/d .functor XOR 1, L_00000000026f69d0, L_000000000265bbc0, C4<0>, C4<0>; +L_00000000026f7760 .delay 1 (20000,20000,20000) L_00000000026f7760/d; +v0000000000e5b850_0 .net "a", 0 0, L_000000000265d1a0; alias, 1 drivers +v0000000000e547d0_0 .net "anorb", 0 0, L_00000000026f69d0; 1 drivers +v0000000000e56030_0 .net "b", 0 0, L_000000000265b6c0; alias, 1 drivers +v0000000000e553b0_0 .net "carryAND", 0 0, L_00000000026f7a70; alias, 1 drivers +v0000000000e54a50_0 .net "carryin", 0 0, L_000000000265b760; alias, 1 drivers +v0000000000e54b90_0 .net "i0", 0 0, L_000000000265bbc0; alias, 1 drivers +v0000000000e54cd0_0 .net "nab", 0 0, L_00000000026f7840; alias, 1 drivers +v0000000000e55f90_0 .net "nac", 0 0, L_00000000026f6e30; 1 drivers +v0000000000e54d70_0 .net "nbc", 0 0, L_00000000026f7680; 1 drivers +v0000000000e54190_0 .net "orNOR", 0 0, L_00000000026f7760; alias, 1 drivers +v0000000000e56170_0 .net "sumXOR", 0 0, L_00000000026f76f0; alias, 1 drivers +S_0000000000c8eb40 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c97290; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -691,54 +784,57 @@ S_00000000028365f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000028 .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b6bc90/d .functor NOT 1, L_0000000002b45510, C4<0>, C4<0>, C4<0>; -L_0000000002b6bc90 .delay 1 (10000,10000,10000) L_0000000002b6bc90/d; -L_0000000002b6bbb0/d .functor NOT 1, L_0000000002b45470, C4<0>, C4<0>, C4<0>; -L_0000000002b6bbb0 .delay 1 (10000,10000,10000) L_0000000002b6bbb0/d; -L_0000000002b6b3d0/d .functor NAND 1, L_0000000002b45470, L_0000000002b45510, L_0000000002b6c710, C4<1>; -L_0000000002b6b3d0 .delay 1 (30000,30000,30000) L_0000000002b6b3d0/d; -L_0000000002b6b980/d .functor NAND 1, L_0000000002b45470, L_0000000002b6bc90, L_0000000002b6c780, C4<1>; -L_0000000002b6b980 .delay 1 (30000,30000,30000) L_0000000002b6b980/d; -L_0000000002b6bd00/d .functor NAND 1, L_0000000002b6bbb0, L_0000000002b45510, L_0000000002b6c010, C4<1>; -L_0000000002b6bd00 .delay 1 (30000,30000,30000) L_0000000002b6bd00/d; -L_0000000002b6b4b0/d .functor NAND 1, L_0000000002b6bbb0, L_0000000002b6bc90, L_0000000002b6c320, C4<1>; -L_0000000002b6b4b0 .delay 1 (30000,30000,30000) L_0000000002b6b4b0/d; -L_0000000002b6b8a0/d .functor NAND 1, L_0000000002b6b3d0, L_0000000002b6b980, L_0000000002b6bd00, L_0000000002b6b4b0; -L_0000000002b6b8a0 .delay 1 (40000,40000,40000) L_0000000002b6b8a0/d; -v0000000002a06760_0 .net "a", 0 0, L_0000000002b6c710; alias, 1 drivers -v0000000002a07d40_0 .net "aout", 0 0, L_0000000002b6b3d0; 1 drivers -v0000000002a07e80_0 .net "b", 0 0, L_0000000002b6c780; alias, 1 drivers -v0000000002a06800_0 .net "bout", 0 0, L_0000000002b6b980; 1 drivers -v0000000002a06bc0_0 .net "c", 0 0, L_0000000002b6c010; alias, 1 drivers -v0000000002a06d00_0 .net "cout", 0 0, L_0000000002b6bd00; 1 drivers -v0000000002a06ee0_0 .net "d", 0 0, L_0000000002b6c320; alias, 1 drivers -v0000000002a08740_0 .net "dout", 0 0, L_0000000002b6b4b0; 1 drivers -v0000000002a087e0_0 .net "ns0", 0 0, L_0000000002b6bc90; 1 drivers -v0000000002a086a0_0 .net "ns1", 0 0, L_0000000002b6bbb0; 1 drivers -v0000000002a08560_0 .net "out", 0 0, L_0000000002b6b8a0; alias, 1 drivers -v0000000002a08880_0 .net "s0", 0 0, L_0000000002b45510; 1 drivers -v0000000002a084c0_0 .net "s1", 0 0, L_0000000002b45470; 1 drivers -S_0000000002836770 .scope module, "_lut" "ALULut" 4 39, 8 13 0, S_0000000002847440; +L_00000000026f6a40/d .functor NOT 1, L_000000000265d740, C4<0>, C4<0>, C4<0>; +L_00000000026f6a40 .delay 1 (10000,10000,10000) L_00000000026f6a40/d; +L_00000000026f7450/d .functor NOT 1, L_000000000265b120, C4<0>, C4<0>, C4<0>; +L_00000000026f7450 .delay 1 (10000,10000,10000) L_00000000026f7450/d; +L_00000000026f7290/d .functor NAND 1, L_000000000265b120, L_000000000265d740, L_00000000026f76f0, C4<1>; +L_00000000026f7290 .delay 1 (30000,30000,30000) L_00000000026f7290/d; +L_00000000026f7220/d .functor NAND 1, L_000000000265b120, L_00000000026f6a40, L_00000000026f7760, C4<1>; +L_00000000026f7220 .delay 1 (30000,30000,30000) L_00000000026f7220/d; +L_00000000026f6730/d .functor NAND 1, L_00000000026f7450, L_000000000265d740, L_00000000026f7a70, C4<1>; +L_00000000026f6730 .delay 1 (30000,30000,30000) L_00000000026f6730/d; +L_00000000026f7370/d .functor NAND 1, L_00000000026f7450, L_00000000026f6a40, L_00000000026f7840, C4<1>; +L_00000000026f7370 .delay 1 (30000,30000,30000) L_00000000026f7370/d; +L_00000000026f78b0/d .functor NAND 1, L_00000000026f7290, L_00000000026f7220, L_00000000026f6730, L_00000000026f7370; +L_00000000026f78b0 .delay 1 (40000,40000,40000) L_00000000026f78b0/d; +v0000000000e56490_0 .net "a", 0 0, L_00000000026f76f0; alias, 1 drivers +v0000000000e55810_0 .net "aout", 0 0, L_00000000026f7290; 1 drivers +v0000000000e55090_0 .net "b", 0 0, L_00000000026f7760; alias, 1 drivers +v0000000000e54370_0 .net "bout", 0 0, L_00000000026f7220; 1 drivers +v0000000000e551d0_0 .net "c", 0 0, L_00000000026f7a70; alias, 1 drivers +v0000000000e53d30_0 .net "cout", 0 0, L_00000000026f6730; 1 drivers +v0000000000e1d430_0 .net "d", 0 0, L_00000000026f7840; alias, 1 drivers +v0000000000e1e6f0_0 .net "dout", 0 0, L_00000000026f7370; 1 drivers +v0000000000e1edd0_0 .net "ns0", 0 0, L_00000000026f6a40; 1 drivers +v0000000000e1eb50_0 .net "ns1", 0 0, L_00000000026f7450; 1 drivers +v0000000000e1ec90_0 .net "out", 0 0, L_00000000026f78b0; alias, 1 drivers +v0000000000e1f370_0 .net "s0", 0 0, L_000000000265d740; 1 drivers +v0000000000e1f410_0 .net "s1", 0 0, L_000000000265b120; 1 drivers +S_0000000000c8ecc0 .scope module, "_lut" "ALULut" 4 35, 8 13 0, S_0000000000c91660; .timescale -9 -12; .port_info 0 /OUTPUT 2 "select" .port_info 1 /OUTPUT 1 "invert" .port_info 2 /OUTPUT 1 "carry" .port_info 3 /INPUT 3 "operation" -v0000000002a01300_0 .var "carry", 0 0; -v0000000002a02840_0 .var "invert", 0 0; -v0000000002a022a0_0 .net "operation", 2 0, v0000000002acb9a0_0; alias, 1 drivers -v0000000002a01440_0 .var "select", 1 0; -E_00000000029f63e0 .event edge, v0000000002a022a0_0; -S_00000000008ee880 .scope generate, "aluBits[1]" "aluBits[1]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f5ee0 .param/l "i" 0 4 52, +C4<01>; -L_0000000002a0cdb0/d .functor XOR 1, L_0000000002accda0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002a0cdb0 .delay 1 (50000,50000,50000) L_0000000002a0cdb0/d; -L_0000000002a0c410/d .functor AND 1, v0000000002a01300_0, L_0000000002acb7c0, C4<1>, C4<1>; -L_0000000002a0c410 .delay 1 (30000,30000,30000) L_0000000002a0c410/d; -v0000000002a03380_0 .net *"_s1", 0 0, L_0000000002accda0; 1 drivers -v0000000002a01d00_0 .net *"_s3", 0 0, L_0000000002acb7c0; 1 drivers -S_00000000008eea00 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008ee880; +v0000000000e20d10_0 .var "carry", 0 0; +v0000000000e1f730_0 .var "invert", 0 0; +v0000000000e1f910_0 .net "operation", 2 0, v000000000265fcc0_0; alias, 1 drivers +v0000000000e21990_0 .var "select", 1 0; +E_0000000000e35d80 .event edge, v0000000000e1f910_0; +S_0000000000c85ed0 .scope generate, "aluBits[1]" "aluBits[1]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e36400 .param/l "i" 0 4 49, +C4<01>; +L_0000000000e6a900/d .functor XOR 1, L_000000000265e8c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000e6a900 .delay 1 (20000,20000,20000) L_0000000000e6a900/d; +L_0000000000e6b1c0/d .functor AND 1, v0000000000e20d10_0, L_000000000265e6e0, C4<1>, C4<1>; +L_0000000000e6b1c0 .delay 1 (30000,30000,30000) L_0000000000e6b1c0/d; +L_0000000000e6b620/d .functor AND 1, L_000000000265fd60, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000e6b620 .delay 1 (30000,30000,30000) L_0000000000e6b620/d; +v0000000000e03330_0 .net *"_s1", 0 0, L_000000000265e8c0; 1 drivers +v0000000000e03470_0 .net *"_s3", 0 0, L_000000000265e6e0; 1 drivers +v0000000000e01b70_0 .net *"_s9", 0 0, L_000000000265fd60; 1 drivers +S_0000000000c86050 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c85ed0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -747,19 +843,19 @@ S_00000000008eea00 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008 .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a00e00_0 .net "a", 0 0, L_0000000002acbfe0; 1 drivers -v0000000002a027a0_0 .net "b", 0 0, L_0000000002acad20; 1 drivers -v0000000002a01c60_0 .net "carryAND", 0 0, L_0000000002a0c8e0; 1 drivers -v0000000002a01940_0 .net "cin", 0 0, L_0000000002acb860; 1 drivers -v0000000002a03100_0 .net "ctrl0", 0 0, L_0000000002acbf40; 1 drivers -v0000000002a02e80_0 .net "nab", 0 0, L_0000000002a0c480; 1 drivers -v0000000002a028e0_0 .net "orNOR", 0 0, L_0000000002a0cb80; 1 drivers -v0000000002a01580_0 .net "res", 0 0, L_0000000002ae0b00; 1 drivers -v0000000002a032e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a02a20_0 .net "sumXOR", 0 0, L_0000000002a0c950; 1 drivers -L_0000000002acbea0 .part v0000000002a01440_0, 1, 1; -L_0000000002acb400 .part v0000000002a01440_0, 0, 1; -S_0000000002848890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008eea00; +v0000000000deeaf0_0 .net "a", 0 0, L_000000000265e460; 1 drivers +v0000000000df0350_0 .net "b", 0 0, L_000000000265f4a0; 1 drivers +v0000000000df03f0_0 .net "carryAND", 0 0, L_0000000000e6a200; 1 drivers +v0000000000deeb90_0 .net "cin", 0 0, L_000000000265fc20; 1 drivers +v0000000000deecd0_0 .net "ctrl0", 0 0, L_000000000265f2c0; 1 drivers +v0000000000e03ab0_0 .net "nab", 0 0, L_0000000000e6b3f0; 1 drivers +v0000000000e03d30_0 .net "orNOR", 0 0, L_0000000000e6b540; 1 drivers +v0000000000e03e70_0 .net "res", 0 0, L_0000000000e69c50; 1 drivers +v0000000000e03f10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000e03290_0 .net "sumXOR", 0 0, L_0000000000e6b4d0; 1 drivers +L_000000000265d9c0 .part v0000000000e21990_0, 1, 1; +L_000000000265f040 .part v0000000000e21990_0, 0, 1; +S_000000000099e7f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c86050; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -769,32 +865,32 @@ S_0000000002848890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008eea0 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002a0c480/d .functor NAND 1, L_0000000002acbfe0, L_0000000002acad20, C4<1>, C4<1>; -L_0000000002a0c480 .delay 1 (20000,20000,20000) L_0000000002a0c480/d; -L_0000000002a0c6b0/d .functor NAND 1, L_0000000002acad20, L_0000000002acb860, C4<1>, C4<1>; -L_0000000002a0c6b0 .delay 1 (20000,20000,20000) L_0000000002a0c6b0/d; -L_0000000002a0c870/d .functor NAND 1, L_0000000002acbfe0, L_0000000002acb860, C4<1>, C4<1>; -L_0000000002a0c870 .delay 1 (20000,20000,20000) L_0000000002a0c870/d; -L_0000000002a0c8e0/d .functor NAND 1, L_0000000002a0c480, L_0000000002a0c870, L_0000000002a0c6b0, C4<1>; -L_0000000002a0c8e0 .delay 1 (30000,30000,30000) L_0000000002a0c8e0/d; -L_0000000002a0c950/d .functor XOR 1, L_0000000002acbfe0, L_0000000002acad20, L_0000000002acb860, C4<0>; -L_0000000002a0c950 .delay 1 (30000,30000,30000) L_0000000002a0c950/d; -L_0000000002a0cb10/d .functor NOR 1, L_0000000002acbfe0, L_0000000002acad20, C4<0>, C4<0>; -L_0000000002a0cb10 .delay 1 (20000,20000,20000) L_0000000002a0cb10/d; -L_0000000002a0cb80/d .functor XOR 1, L_0000000002a0cb10, L_0000000002acbf40, C4<0>, C4<0>; -L_0000000002a0cb80 .delay 1 (20000,20000,20000) L_0000000002a0cb80/d; -v0000000002a01080_0 .net "a", 0 0, L_0000000002acbfe0; alias, 1 drivers -v0000000002a03240_0 .net "anorb", 0 0, L_0000000002a0cb10; 1 drivers -v0000000002a02de0_0 .net "b", 0 0, L_0000000002acad20; alias, 1 drivers -v0000000002a011c0_0 .net "carryAND", 0 0, L_0000000002a0c8e0; alias, 1 drivers -v0000000002a02ca0_0 .net "carryin", 0 0, L_0000000002acb860; alias, 1 drivers -v0000000002a02980_0 .net "i0", 0 0, L_0000000002acbf40; alias, 1 drivers -v0000000002a01760_0 .net "nab", 0 0, L_0000000002a0c480; alias, 1 drivers -v0000000002a01800_0 .net "nac", 0 0, L_0000000002a0c870; 1 drivers -v0000000002a031a0_0 .net "nbc", 0 0, L_0000000002a0c6b0; 1 drivers -v0000000002a00cc0_0 .net "orNOR", 0 0, L_0000000002a0cb80; alias, 1 drivers -v0000000002a02340_0 .net "sumXOR", 0 0, L_0000000002a0c950; alias, 1 drivers -S_0000000002848a10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008eea00; +L_0000000000e6b3f0/d .functor NAND 1, L_000000000265e460, L_000000000265f4a0, C4<1>, C4<1>; +L_0000000000e6b3f0 .delay 1 (20000,20000,20000) L_0000000000e6b3f0/d; +L_0000000000e6a510/d .functor NAND 1, L_000000000265f4a0, L_000000000265fc20, C4<1>, C4<1>; +L_0000000000e6a510 .delay 1 (20000,20000,20000) L_0000000000e6a510/d; +L_0000000000e6b460/d .functor NAND 1, L_000000000265e460, L_000000000265fc20, C4<1>, C4<1>; +L_0000000000e6b460 .delay 1 (20000,20000,20000) L_0000000000e6b460/d; +L_0000000000e6a200/d .functor NAND 1, L_0000000000e6b3f0, L_0000000000e6b460, L_0000000000e6a510, C4<1>; +L_0000000000e6a200 .delay 1 (30000,30000,30000) L_0000000000e6a200/d; +L_0000000000e6b4d0/d .functor XOR 1, L_000000000265e460, L_000000000265f4a0, L_000000000265fc20, C4<0>; +L_0000000000e6b4d0 .delay 1 (30000,30000,30000) L_0000000000e6b4d0/d; +L_0000000000e6a350/d .functor NOR 1, L_000000000265e460, L_000000000265f4a0, C4<0>, C4<0>; +L_0000000000e6a350 .delay 1 (20000,20000,20000) L_0000000000e6a350/d; +L_0000000000e6b540/d .functor XOR 1, L_0000000000e6a350, L_000000000265f2c0, C4<0>, C4<0>; +L_0000000000e6b540 .delay 1 (20000,20000,20000) L_0000000000e6b540/d; +v0000000000e24230_0 .net "a", 0 0, L_000000000265e460; alias, 1 drivers +v0000000000e230b0_0 .net "anorb", 0 0, L_0000000000e6a350; 1 drivers +v0000000000e23b50_0 .net "b", 0 0, L_000000000265f4a0; alias, 1 drivers +v0000000000e235b0_0 .net "carryAND", 0 0, L_0000000000e6a200; alias, 1 drivers +v0000000000e23fb0_0 .net "carryin", 0 0, L_000000000265fc20; alias, 1 drivers +v0000000000e23470_0 .net "i0", 0 0, L_000000000265f2c0; alias, 1 drivers +v0000000000e23d30_0 .net "nab", 0 0, L_0000000000e6b3f0; alias, 1 drivers +v0000000000e23dd0_0 .net "nac", 0 0, L_0000000000e6b460; 1 drivers +v0000000000e24410_0 .net "nbc", 0 0, L_0000000000e6a510; 1 drivers +v0000000000e21fd0_0 .net "orNOR", 0 0, L_0000000000e6b540; alias, 1 drivers +v0000000000e24550_0 .net "sumXOR", 0 0, L_0000000000e6b4d0; alias, 1 drivers +S_000000000099e970 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c86050; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -803,43 +899,46 @@ S_0000000002848a10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008 .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002a0cbf0/d .functor NOT 1, L_0000000002acb400, C4<0>, C4<0>, C4<0>; -L_0000000002a0cbf0 .delay 1 (10000,10000,10000) L_0000000002a0cbf0/d; -L_0000000002a0cc60/d .functor NOT 1, L_0000000002acbea0, C4<0>, C4<0>, C4<0>; -L_0000000002a0cc60 .delay 1 (10000,10000,10000) L_0000000002a0cc60/d; -L_0000000002a0ccd0/d .functor NAND 1, L_0000000002acbea0, L_0000000002acb400, L_0000000002a0c950, C4<1>; -L_0000000002a0ccd0 .delay 1 (30000,30000,30000) L_0000000002a0ccd0/d; -L_0000000002ae0ef0/d .functor NAND 1, L_0000000002acbea0, L_0000000002a0cbf0, L_0000000002a0cb80, C4<1>; -L_0000000002ae0ef0 .delay 1 (30000,30000,30000) L_0000000002ae0ef0/d; -L_0000000002adfec0/d .functor NAND 1, L_0000000002a0cc60, L_0000000002acb400, L_0000000002a0c8e0, C4<1>; -L_0000000002adfec0 .delay 1 (30000,30000,30000) L_0000000002adfec0/d; -L_0000000002ae0cc0/d .functor NAND 1, L_0000000002a0cc60, L_0000000002a0cbf0, L_0000000002a0c480, C4<1>; -L_0000000002ae0cc0 .delay 1 (30000,30000,30000) L_0000000002ae0cc0/d; -L_0000000002ae0b00/d .functor NAND 1, L_0000000002a0ccd0, L_0000000002ae0ef0, L_0000000002adfec0, L_0000000002ae0cc0; -L_0000000002ae0b00 .delay 1 (40000,40000,40000) L_0000000002ae0b00/d; -v0000000002a02020_0 .net "a", 0 0, L_0000000002a0c950; alias, 1 drivers -v0000000002a02480_0 .net "aout", 0 0, L_0000000002a0ccd0; 1 drivers -v0000000002a00c20_0 .net "b", 0 0, L_0000000002a0cb80; alias, 1 drivers -v0000000002a00ea0_0 .net "bout", 0 0, L_0000000002ae0ef0; 1 drivers -v0000000002a02200_0 .net "c", 0 0, L_0000000002a0c8e0; alias, 1 drivers -v0000000002a01260_0 .net "cout", 0 0, L_0000000002adfec0; 1 drivers -v0000000002a02660_0 .net "d", 0 0, L_0000000002a0c480; alias, 1 drivers -v0000000002a018a0_0 .net "dout", 0 0, L_0000000002ae0cc0; 1 drivers -v0000000002a00d60_0 .net "ns0", 0 0, L_0000000002a0cbf0; 1 drivers -v0000000002a02520_0 .net "ns1", 0 0, L_0000000002a0cc60; 1 drivers -v0000000002a02f20_0 .net "out", 0 0, L_0000000002ae0b00; alias, 1 drivers -v0000000002a025c0_0 .net "s0", 0 0, L_0000000002acb400; 1 drivers -v0000000002a02700_0 .net "s1", 0 0, L_0000000002acbea0; 1 drivers -S_00000000008e6820 .scope generate, "aluBits[2]" "aluBits[2]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f66a0 .param/l "i" 0 4 52, +C4<010>; -L_0000000002adfa60/d .functor XOR 1, L_0000000002acaa00, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002adfa60 .delay 1 (50000,50000,50000) L_0000000002adfa60/d; -L_0000000002ae02b0/d .functor AND 1, v0000000002a01300_0, L_0000000002acc440, C4<1>, C4<1>; -L_0000000002ae02b0 .delay 1 (30000,30000,30000) L_0000000002ae02b0/d; -v00000000029cb0d0_0 .net *"_s1", 0 0, L_0000000002acaa00; 1 drivers -v00000000029cb3f0_0 .net *"_s3", 0 0, L_0000000002acc440; 1 drivers -S_00000000008e69a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008e6820; +L_0000000000e6ab30/d .functor NOT 1, L_000000000265f040, C4<0>, C4<0>, C4<0>; +L_0000000000e6ab30 .delay 1 (10000,10000,10000) L_0000000000e6ab30/d; +L_0000000000e69b70/d .functor NOT 1, L_000000000265d9c0, C4<0>, C4<0>, C4<0>; +L_0000000000e69b70 .delay 1 (10000,10000,10000) L_0000000000e69b70/d; +L_0000000000e69be0/d .functor NAND 1, L_000000000265d9c0, L_000000000265f040, L_0000000000e6b4d0, C4<1>; +L_0000000000e69be0 .delay 1 (30000,30000,30000) L_0000000000e69be0/d; +L_0000000000e6b5b0/d .functor NAND 1, L_000000000265d9c0, L_0000000000e6ab30, L_0000000000e6b540, C4<1>; +L_0000000000e6b5b0 .delay 1 (30000,30000,30000) L_0000000000e6b5b0/d; +L_0000000000e69e10/d .functor NAND 1, L_0000000000e69b70, L_000000000265f040, L_0000000000e6a200, C4<1>; +L_0000000000e69e10 .delay 1 (30000,30000,30000) L_0000000000e69e10/d; +L_0000000000e6ad60/d .functor NAND 1, L_0000000000e69b70, L_0000000000e6ab30, L_0000000000e6b3f0, C4<1>; +L_0000000000e6ad60 .delay 1 (30000,30000,30000) L_0000000000e6ad60/d; +L_0000000000e69c50/d .functor NAND 1, L_0000000000e69be0, L_0000000000e6b5b0, L_0000000000e69e10, L_0000000000e6ad60; +L_0000000000e69c50 .delay 1 (40000,40000,40000) L_0000000000e69c50/d; +v0000000000e245f0_0 .net "a", 0 0, L_0000000000e6b4d0; alias, 1 drivers +v0000000000df2650_0 .net "aout", 0 0, L_0000000000e69be0; 1 drivers +v0000000000df1b10_0 .net "b", 0 0, L_0000000000e6b540; alias, 1 drivers +v0000000000df1110_0 .net "bout", 0 0, L_0000000000e6b5b0; 1 drivers +v0000000000df1ed0_0 .net "c", 0 0, L_0000000000e6a200; alias, 1 drivers +v0000000000df1390_0 .net "cout", 0 0, L_0000000000e69e10; 1 drivers +v0000000000df1250_0 .net "d", 0 0, L_0000000000e6b3f0; alias, 1 drivers +v0000000000df16b0_0 .net "dout", 0 0, L_0000000000e6ad60; 1 drivers +v0000000000df07b0_0 .net "ns0", 0 0, L_0000000000e6ab30; 1 drivers +v0000000000dee7d0_0 .net "ns1", 0 0, L_0000000000e69b70; 1 drivers +v0000000000def310_0 .net "out", 0 0, L_0000000000e69c50; alias, 1 drivers +v0000000000def4f0_0 .net "s0", 0 0, L_000000000265f040; 1 drivers +v0000000000defd10_0 .net "s1", 0 0, L_000000000265d9c0; 1 drivers +S_0000000000c98860 .scope generate, "aluBits[2]" "aluBits[2]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e36700 .param/l "i" 0 4 49, +C4<010>; +L_0000000000e69cc0/d .functor XOR 1, L_000000000265ff40, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000e69cc0 .delay 1 (20000,20000,20000) L_0000000000e69cc0/d; +L_0000000000e6acf0/d .functor AND 1, v0000000000e20d10_0, L_000000000265e500, C4<1>, C4<1>; +L_0000000000e6acf0 .delay 1 (30000,30000,30000) L_0000000000e6acf0/d; +L_0000000000e6a270/d .functor AND 1, L_000000000265efa0, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000e6a270 .delay 1 (30000,30000,30000) L_0000000000e6a270/d; +v0000000000dd8b20_0 .net *"_s1", 0 0, L_000000000265ff40; 1 drivers +v0000000000dd9ca0_0 .net *"_s3", 0 0, L_000000000265e500; 1 drivers +v0000000000dda600_0 .net *"_s9", 0 0, L_000000000265efa0; 1 drivers +S_0000000000c989e0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c98860; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -848,19 +947,19 @@ S_00000000008e69a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_00000000008 .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v00000000029c9a50_0 .net "a", 0 0, L_0000000002accf80; 1 drivers -v00000000029c9410_0 .net "b", 0 0, L_0000000002acaf00; 1 drivers -v00000000029ca590_0 .net "carryAND", 0 0, L_0000000002adfad0; 1 drivers -v00000000029c9f50_0 .net "cin", 0 0, L_0000000002acb5e0; 1 drivers -v00000000029cac70_0 .net "ctrl0", 0 0, L_0000000002acc4e0; 1 drivers -v00000000029c94b0_0 .net "nab", 0 0, L_0000000002adfd70; 1 drivers -v00000000029c9b90_0 .net "orNOR", 0 0, L_0000000002adfbb0; 1 drivers -v00000000029cae50_0 .net "res", 0 0, L_0000000002ae1120; 1 drivers -v00000000029c8790_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v00000000029cbd50_0 .net "sumXOR", 0 0, L_0000000002ae0160; 1 drivers -L_0000000002acb540 .part v0000000002a01440_0, 1, 1; -L_0000000002acb900 .part v0000000002a01440_0, 0, 1; -S_0000000002a861e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008e69a0; +v0000000000e13320_0 .net "a", 0 0, L_000000000265ef00; 1 drivers +v0000000000dd77c0_0 .net "b", 0 0, L_000000000265e5a0; 1 drivers +v0000000000dd9200_0 .net "carryAND", 0 0, L_0000000000e69e80; 1 drivers +v0000000000dd97a0_0 .net "cin", 0 0, L_000000000265e640; 1 drivers +v0000000000dd7720_0 .net "ctrl0", 0 0, L_000000000265fe00; 1 drivers +v0000000000dd7d60_0 .net "nab", 0 0, L_0000000000e69fd0; 1 drivers +v0000000000dd7f40_0 .net "orNOR", 0 0, L_0000000000e6a820; 1 drivers +v0000000000dd7fe0_0 .net "res", 0 0, L_0000000000e6a190; 1 drivers +v0000000000dd8580_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000dd88a0_0 .net "sumXOR", 0 0, L_0000000000e6a7b0; 1 drivers +L_000000000265ea00 .part v0000000000e21990_0, 1, 1; +L_000000000265f680 .part v0000000000e21990_0, 0, 1; +S_0000000000e8f630 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c989e0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -870,32 +969,32 @@ S_0000000002a861e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_00000000008e69a .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002adfd70/d .functor NAND 1, L_0000000002accf80, L_0000000002acaf00, C4<1>, C4<1>; -L_0000000002adfd70 .delay 1 (20000,20000,20000) L_0000000002adfd70/d; -L_0000000002ae0860/d .functor NAND 1, L_0000000002acaf00, L_0000000002acb5e0, C4<1>, C4<1>; -L_0000000002ae0860 .delay 1 (20000,20000,20000) L_0000000002ae0860/d; -L_0000000002ae0e80/d .functor NAND 1, L_0000000002accf80, L_0000000002acb5e0, C4<1>, C4<1>; -L_0000000002ae0e80 .delay 1 (20000,20000,20000) L_0000000002ae0e80/d; -L_0000000002adfad0/d .functor NAND 1, L_0000000002adfd70, L_0000000002ae0e80, L_0000000002ae0860, C4<1>; -L_0000000002adfad0 .delay 1 (30000,30000,30000) L_0000000002adfad0/d; -L_0000000002ae0160/d .functor XOR 1, L_0000000002accf80, L_0000000002acaf00, L_0000000002acb5e0, C4<0>; -L_0000000002ae0160 .delay 1 (30000,30000,30000) L_0000000002ae0160/d; -L_0000000002adfb40/d .functor NOR 1, L_0000000002accf80, L_0000000002acaf00, C4<0>, C4<0>; -L_0000000002adfb40 .delay 1 (20000,20000,20000) L_0000000002adfb40/d; -L_0000000002adfbb0/d .functor XOR 1, L_0000000002adfb40, L_0000000002acc4e0, C4<0>, C4<0>; -L_0000000002adfbb0 .delay 1 (20000,20000,20000) L_0000000002adfbb0/d; -v0000000002a019e0_0 .net "a", 0 0, L_0000000002accf80; alias, 1 drivers -v0000000002a01620_0 .net "anorb", 0 0, L_0000000002adfb40; 1 drivers -v0000000002a020c0_0 .net "b", 0 0, L_0000000002acaf00; alias, 1 drivers -v0000000002a02ac0_0 .net "carryAND", 0 0, L_0000000002adfad0; alias, 1 drivers -v0000000002a02b60_0 .net "carryin", 0 0, L_0000000002acb5e0; alias, 1 drivers -v0000000002a01b20_0 .net "i0", 0 0, L_0000000002acc4e0; alias, 1 drivers -v0000000002a00f40_0 .net "nab", 0 0, L_0000000002adfd70; alias, 1 drivers -v0000000002a02c00_0 .net "nac", 0 0, L_0000000002ae0e80; 1 drivers -v0000000002a02160_0 .net "nbc", 0 0, L_0000000002ae0860; 1 drivers -v0000000002a01e40_0 .net "orNOR", 0 0, L_0000000002adfbb0; alias, 1 drivers -v0000000002a02d40_0 .net "sumXOR", 0 0, L_0000000002ae0160; alias, 1 drivers -S_0000000002a86360 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008e69a0; +L_0000000000e69fd0/d .functor NAND 1, L_000000000265ef00, L_000000000265e5a0, C4<1>, C4<1>; +L_0000000000e69fd0 .delay 1 (20000,20000,20000) L_0000000000e69fd0/d; +L_0000000000e69d30/d .functor NAND 1, L_000000000265e5a0, L_000000000265e640, C4<1>, C4<1>; +L_0000000000e69d30 .delay 1 (20000,20000,20000) L_0000000000e69d30/d; +L_0000000000e6a740/d .functor NAND 1, L_000000000265ef00, L_000000000265e640, C4<1>, C4<1>; +L_0000000000e6a740 .delay 1 (20000,20000,20000) L_0000000000e6a740/d; +L_0000000000e69e80/d .functor NAND 1, L_0000000000e69fd0, L_0000000000e6a740, L_0000000000e69d30, C4<1>; +L_0000000000e69e80 .delay 1 (30000,30000,30000) L_0000000000e69e80/d; +L_0000000000e6a7b0/d .functor XOR 1, L_000000000265ef00, L_000000000265e5a0, L_000000000265e640, C4<0>; +L_0000000000e6a7b0 .delay 1 (30000,30000,30000) L_0000000000e6a7b0/d; +L_0000000000e69ef0/d .functor NOR 1, L_000000000265ef00, L_000000000265e5a0, C4<0>, C4<0>; +L_0000000000e69ef0 .delay 1 (20000,20000,20000) L_0000000000e69ef0/d; +L_0000000000e6a820/d .functor XOR 1, L_0000000000e69ef0, L_000000000265fe00, C4<0>, C4<0>; +L_0000000000e6a820 .delay 1 (20000,20000,20000) L_0000000000e6a820/d; +v0000000000e02070_0 .net "a", 0 0, L_000000000265ef00; alias, 1 drivers +v0000000000e01670_0 .net "anorb", 0 0, L_0000000000e69ef0; 1 drivers +v0000000000e010d0_0 .net "b", 0 0, L_000000000265e5a0; alias, 1 drivers +v0000000000e02c50_0 .net "carryAND", 0 0, L_0000000000e69e80; alias, 1 drivers +v0000000000e01210_0 .net "carryin", 0 0, L_000000000265e640; alias, 1 drivers +v0000000000e01490_0 .net "i0", 0 0, L_000000000265fe00; alias, 1 drivers +v0000000000e01710_0 .net "nab", 0 0, L_0000000000e69fd0; alias, 1 drivers +v0000000000e017b0_0 .net "nac", 0 0, L_0000000000e6a740; 1 drivers +v0000000000e02110_0 .net "nbc", 0 0, L_0000000000e69d30; 1 drivers +v0000000000e103a0_0 .net "orNOR", 0 0, L_0000000000e6a820; alias, 1 drivers +v0000000000e10440_0 .net "sumXOR", 0 0, L_0000000000e6a7b0; alias, 1 drivers +S_0000000000e8e8b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c989e0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -904,43 +1003,46 @@ S_0000000002a86360 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_00000000008 .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae0630/d .functor NOT 1, L_0000000002acb900, C4<0>, C4<0>, C4<0>; -L_0000000002ae0630 .delay 1 (10000,10000,10000) L_0000000002ae0630/d; -L_0000000002ae0e10/d .functor NOT 1, L_0000000002acb540, C4<0>, C4<0>, C4<0>; -L_0000000002ae0e10 .delay 1 (10000,10000,10000) L_0000000002ae0e10/d; -L_0000000002ae0320/d .functor NAND 1, L_0000000002acb540, L_0000000002acb900, L_0000000002ae0160, C4<1>; -L_0000000002ae0320 .delay 1 (30000,30000,30000) L_0000000002ae0320/d; -L_0000000002ae1270/d .functor NAND 1, L_0000000002acb540, L_0000000002ae0630, L_0000000002adfbb0, C4<1>; -L_0000000002ae1270 .delay 1 (30000,30000,30000) L_0000000002ae1270/d; -L_0000000002adfc90/d .functor NAND 1, L_0000000002ae0e10, L_0000000002acb900, L_0000000002adfad0, C4<1>; -L_0000000002adfc90 .delay 1 (30000,30000,30000) L_0000000002adfc90/d; -L_0000000002adfc20/d .functor NAND 1, L_0000000002ae0e10, L_0000000002ae0630, L_0000000002adfd70, C4<1>; -L_0000000002adfc20 .delay 1 (30000,30000,30000) L_0000000002adfc20/d; -L_0000000002ae1120/d .functor NAND 1, L_0000000002ae0320, L_0000000002ae1270, L_0000000002adfc90, L_0000000002adfc20; -L_0000000002ae1120 .delay 1 (40000,40000,40000) L_0000000002ae1120/d; -v0000000002a00fe0_0 .net "a", 0 0, L_0000000002ae0160; alias, 1 drivers -v0000000002a02fc0_0 .net "aout", 0 0, L_0000000002ae0320; 1 drivers -v0000000002a03060_0 .net "b", 0 0, L_0000000002adfbb0; alias, 1 drivers -v0000000002a01da0_0 .net "bout", 0 0, L_0000000002ae1270; 1 drivers -v0000000002a013a0_0 .net "c", 0 0, L_0000000002adfad0; alias, 1 drivers -v0000000002a014e0_0 .net "cout", 0 0, L_0000000002adfc90; 1 drivers -v0000000002a01ee0_0 .net "d", 0 0, L_0000000002adfd70; alias, 1 drivers -v0000000002a01bc0_0 .net "dout", 0 0, L_0000000002adfc20; 1 drivers -v0000000002a01f80_0 .net "ns0", 0 0, L_0000000002ae0630; 1 drivers -v00000000029cff90_0 .net "ns1", 0 0, L_0000000002ae0e10; 1 drivers -v00000000029d02b0_0 .net "out", 0 0, L_0000000002ae1120; alias, 1 drivers -v00000000029d00d0_0 .net "s0", 0 0, L_0000000002acb900; 1 drivers -v00000000029ca310_0 .net "s1", 0 0, L_0000000002acb540; 1 drivers -S_0000000002a864e0 .scope generate, "aluBits[3]" "aluBits[3]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7de0 .param/l "i" 0 4 52, +C4<011>; -L_0000000002ae09b0/d .functor XOR 1, L_0000000002acc620, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae09b0 .delay 1 (50000,50000,50000) L_0000000002ae09b0/d; -L_0000000002adfe50/d .functor AND 1, v0000000002a01300_0, L_0000000002acc760, C4<1>, C4<1>; -L_0000000002adfe50 .delay 1 (30000,30000,30000) L_0000000002adfe50/d; -v0000000002973ac0_0 .net *"_s1", 0 0, L_0000000002acc620; 1 drivers -v0000000002974060_0 .net *"_s3", 0 0, L_0000000002acc760; 1 drivers -S_0000000002a86060 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a864e0; +L_0000000000e6aba0/d .functor NOT 1, L_000000000265f680, C4<0>, C4<0>, C4<0>; +L_0000000000e6aba0 .delay 1 (10000,10000,10000) L_0000000000e6aba0/d; +L_0000000000e69f60/d .functor NOT 1, L_000000000265ea00, C4<0>, C4<0>, C4<0>; +L_0000000000e69f60 .delay 1 (10000,10000,10000) L_0000000000e69f60/d; +L_0000000000e6a040/d .functor NAND 1, L_000000000265ea00, L_000000000265f680, L_0000000000e6a7b0, C4<1>; +L_0000000000e6a040 .delay 1 (30000,30000,30000) L_0000000000e6a040/d; +L_0000000000e6a970/d .functor NAND 1, L_000000000265ea00, L_0000000000e6aba0, L_0000000000e6a820, C4<1>; +L_0000000000e6a970 .delay 1 (30000,30000,30000) L_0000000000e6a970/d; +L_0000000000e6a120/d .functor NAND 1, L_0000000000e69f60, L_000000000265f680, L_0000000000e69e80, C4<1>; +L_0000000000e6a120 .delay 1 (30000,30000,30000) L_0000000000e6a120/d; +L_0000000000e6ac10/d .functor NAND 1, L_0000000000e69f60, L_0000000000e6aba0, L_0000000000e69fd0, C4<1>; +L_0000000000e6ac10 .delay 1 (30000,30000,30000) L_0000000000e6ac10/d; +L_0000000000e6a190/d .functor NAND 1, L_0000000000e6a040, L_0000000000e6a970, L_0000000000e6a120, L_0000000000e6ac10; +L_0000000000e6a190 .delay 1 (40000,40000,40000) L_0000000000e6a190/d; +v0000000000e10f80_0 .net "a", 0 0, L_0000000000e6a7b0; alias, 1 drivers +v0000000000e10620_0 .net "aout", 0 0, L_0000000000e6a040; 1 drivers +v0000000000e113e0_0 .net "b", 0 0, L_0000000000e6a820; alias, 1 drivers +v0000000000e11200_0 .net "bout", 0 0, L_0000000000e6a970; 1 drivers +v0000000000e10800_0 .net "c", 0 0, L_0000000000e69e80; alias, 1 drivers +v0000000000e11980_0 .net "cout", 0 0, L_0000000000e6a120; 1 drivers +v0000000000e11c00_0 .net "d", 0 0, L_0000000000e69fd0; alias, 1 drivers +v0000000000e112a0_0 .net "dout", 0 0, L_0000000000e6ac10; 1 drivers +v0000000000e126a0_0 .net "ns0", 0 0, L_0000000000e6aba0; 1 drivers +v0000000000e127e0_0 .net "ns1", 0 0, L_0000000000e69f60; 1 drivers +v0000000000e12880_0 .net "out", 0 0, L_0000000000e6a190; alias, 1 drivers +v0000000000e12240_0 .net "s0", 0 0, L_000000000265f680; 1 drivers +v0000000000e12e20_0 .net "s1", 0 0, L_000000000265ea00; 1 drivers +S_0000000000e8ebb0 .scope generate, "aluBits[3]" "aluBits[3]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e38d80 .param/l "i" 0 4 49, +C4<011>; +L_0000000000e6a2e0/d .functor XOR 1, L_000000000265db00, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000e6a2e0 .delay 1 (20000,20000,20000) L_0000000000e6a2e0/d; +L_0000000000e6a4a0/d .functor AND 1, v0000000000e20d10_0, L_000000000265f180, C4<1>, C4<1>; +L_0000000000e6a4a0 .delay 1 (30000,30000,30000) L_0000000000e6a4a0/d; +L_0000000000f3c6c0/d .functor AND 1, L_000000000265dec0, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000f3c6c0 .delay 1 (30000,30000,30000) L_0000000000f3c6c0/d; +v0000000000dad750_0 .net *"_s1", 0 0, L_000000000265db00; 1 drivers +v0000000000dac490_0 .net *"_s3", 0 0, L_000000000265f180; 1 drivers +v0000000000dad930_0 .net *"_s9", 0 0, L_000000000265dec0; 1 drivers +S_0000000000e8ed30 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e8ebb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -949,19 +1051,19 @@ S_0000000002a86060 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002973020_0 .net "a", 0 0, L_0000000002accbc0; 1 drivers -v00000000029723a0_0 .net "b", 0 0, L_0000000002acadc0; 1 drivers -v00000000029735c0_0 .net "carryAND", 0 0, L_0000000002ae0390; 1 drivers -v0000000002972620_0 .net "cin", 0 0, L_0000000002acc800; 1 drivers -v0000000002973980_0 .net "ctrl0", 0 0, L_0000000002acaaa0; 1 drivers -v0000000002972800_0 .net "nab", 0 0, L_0000000002ae1040; 1 drivers -v0000000002971720_0 .net "orNOR", 0 0, L_0000000002adfd00; 1 drivers -v0000000002972c60_0 .net "res", 0 0, L_0000000002ae0010; 1 drivers -v0000000002972d00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002975000_0 .net "sumXOR", 0 0, L_0000000002ae0a90; 1 drivers -L_0000000002acd020 .part v0000000002a01440_0, 1, 1; -L_0000000002acbd60 .part v0000000002a01440_0, 0, 1; -S_0000000002a86960 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a86060; +v0000000000db2b10_0 .net "a", 0 0, L_000000000265f360; 1 drivers +v0000000000db33d0_0 .net "b", 0 0, L_000000000265eaa0; 1 drivers +v0000000000db3b50_0 .net "carryAND", 0 0, L_0000000000e6a580; 1 drivers +v0000000000db35b0_0 .net "cin", 0 0, L_000000000265f5e0; 1 drivers +v0000000000db1fd0_0 .net "ctrl0", 0 0, L_000000000265dd80; 1 drivers +v0000000000db21b0_0 .net "nab", 0 0, L_0000000000e6aa50; 1 drivers +v0000000000db36f0_0 .net "orNOR", 0 0, L_0000000000e6b8c0; 1 drivers +v0000000000db24d0_0 .net "res", 0 0, L_0000000000f3b8c0; 1 drivers +v0000000000dad250_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000daca30_0 .net "sumXOR", 0 0, L_0000000000e6a5f0; 1 drivers +L_000000000265ffe0 .part v0000000000e21990_0, 1, 1; +L_000000000265dba0 .part v0000000000e21990_0, 0, 1; +S_0000000000e8ea30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8ed30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -971,32 +1073,32 @@ S_0000000002a86960 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a8606 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae1040/d .functor NAND 1, L_0000000002accbc0, L_0000000002acadc0, C4<1>, C4<1>; -L_0000000002ae1040 .delay 1 (20000,20000,20000) L_0000000002ae1040/d; -L_0000000002adf9f0/d .functor NAND 1, L_0000000002acadc0, L_0000000002acc800, C4<1>, C4<1>; -L_0000000002adf9f0 .delay 1 (20000,20000,20000) L_0000000002adf9f0/d; -L_0000000002adffa0/d .functor NAND 1, L_0000000002accbc0, L_0000000002acc800, C4<1>, C4<1>; -L_0000000002adffa0 .delay 1 (20000,20000,20000) L_0000000002adffa0/d; -L_0000000002ae0390/d .functor NAND 1, L_0000000002ae1040, L_0000000002adffa0, L_0000000002adf9f0, C4<1>; -L_0000000002ae0390 .delay 1 (30000,30000,30000) L_0000000002ae0390/d; -L_0000000002ae0a90/d .functor XOR 1, L_0000000002accbc0, L_0000000002acadc0, L_0000000002acc800, C4<0>; -L_0000000002ae0a90 .delay 1 (30000,30000,30000) L_0000000002ae0a90/d; -L_0000000002ae10b0/d .functor NOR 1, L_0000000002accbc0, L_0000000002acadc0, C4<0>, C4<0>; -L_0000000002ae10b0 .delay 1 (20000,20000,20000) L_0000000002ae10b0/d; -L_0000000002adfd00/d .functor XOR 1, L_0000000002ae10b0, L_0000000002acaaa0, C4<0>, C4<0>; -L_0000000002adfd00 .delay 1 (20000,20000,20000) L_0000000002adfd00/d; -v00000000029cc1b0_0 .net "a", 0 0, L_0000000002accbc0; alias, 1 drivers -v00000000029ccd90_0 .net "anorb", 0 0, L_0000000002ae10b0; 1 drivers -v00000000029cc430_0 .net "b", 0 0, L_0000000002acadc0; alias, 1 drivers -v00000000029cced0_0 .net "carryAND", 0 0, L_0000000002ae0390; alias, 1 drivers -v00000000029cc4d0_0 .net "carryin", 0 0, L_0000000002acc800; alias, 1 drivers -v00000000029cc750_0 .net "i0", 0 0, L_0000000002acaaa0; alias, 1 drivers -v00000000029cd0b0_0 .net "nab", 0 0, L_0000000002ae1040; alias, 1 drivers -v00000000029cf130_0 .net "nac", 0 0, L_0000000002adffa0; 1 drivers -v00000000029cf270_0 .net "nbc", 0 0, L_0000000002adf9f0; 1 drivers -v00000000029cd830_0 .net "orNOR", 0 0, L_0000000002adfd00; alias, 1 drivers -v00000000029cdb50_0 .net "sumXOR", 0 0, L_0000000002ae0a90; alias, 1 drivers -S_0000000002a86ae0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a86060; +L_0000000000e6aa50/d .functor NAND 1, L_000000000265f360, L_000000000265eaa0, C4<1>, C4<1>; +L_0000000000e6aa50 .delay 1 (20000,20000,20000) L_0000000000e6aa50/d; +L_0000000000e6a3c0/d .functor NAND 1, L_000000000265eaa0, L_000000000265f5e0, C4<1>, C4<1>; +L_0000000000e6a3c0 .delay 1 (20000,20000,20000) L_0000000000e6a3c0/d; +L_0000000000e6a430/d .functor NAND 1, L_000000000265f360, L_000000000265f5e0, C4<1>, C4<1>; +L_0000000000e6a430 .delay 1 (20000,20000,20000) L_0000000000e6a430/d; +L_0000000000e6a580/d .functor NAND 1, L_0000000000e6aa50, L_0000000000e6a430, L_0000000000e6a3c0, C4<1>; +L_0000000000e6a580 .delay 1 (30000,30000,30000) L_0000000000e6a580/d; +L_0000000000e6a5f0/d .functor XOR 1, L_000000000265f360, L_000000000265eaa0, L_000000000265f5e0, C4<0>; +L_0000000000e6a5f0 .delay 1 (30000,30000,30000) L_0000000000e6a5f0/d; +L_0000000000e6b9a0/d .functor NOR 1, L_000000000265f360, L_000000000265eaa0, C4<0>, C4<0>; +L_0000000000e6b9a0 .delay 1 (20000,20000,20000) L_0000000000e6b9a0/d; +L_0000000000e6b8c0/d .functor XOR 1, L_0000000000e6b9a0, L_000000000265dd80, C4<0>, C4<0>; +L_0000000000e6b8c0 .delay 1 (20000,20000,20000) L_0000000000e6b8c0/d; +v0000000000ddaa60_0 .net "a", 0 0, L_000000000265f360; alias, 1 drivers +v0000000000dda060_0 .net "anorb", 0 0, L_0000000000e6b9a0; 1 drivers +v0000000000ddace0_0 .net "b", 0 0, L_000000000265eaa0; alias, 1 drivers +v0000000000d995a0_0 .net "carryAND", 0 0, L_0000000000e6a580; alias, 1 drivers +v0000000000d99c80_0 .net "carryin", 0 0, L_000000000265f5e0; alias, 1 drivers +v0000000000d99a00_0 .net "i0", 0 0, L_000000000265dd80; alias, 1 drivers +v0000000000d98380_0 .net "nab", 0 0, L_0000000000e6aa50; alias, 1 drivers +v0000000000d99aa0_0 .net "nac", 0 0, L_0000000000e6a430; 1 drivers +v0000000000d98b00_0 .net "nbc", 0 0, L_0000000000e6a3c0; 1 drivers +v0000000000d99be0_0 .net "orNOR", 0 0, L_0000000000e6b8c0; alias, 1 drivers +v0000000000d98740_0 .net "sumXOR", 0 0, L_0000000000e6a5f0; alias, 1 drivers +S_0000000000e8eeb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e8ed30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1005,43 +1107,46 @@ S_0000000002a86ae0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae08d0/d .functor NOT 1, L_0000000002acbd60, C4<0>, C4<0>, C4<0>; -L_0000000002ae08d0 .delay 1 (10000,10000,10000) L_0000000002ae08d0/d; -L_0000000002adff30/d .functor NOT 1, L_0000000002acd020, C4<0>, C4<0>, C4<0>; -L_0000000002adff30 .delay 1 (10000,10000,10000) L_0000000002adff30/d; -L_0000000002ae13c0/d .functor NAND 1, L_0000000002acd020, L_0000000002acbd60, L_0000000002ae0a90, C4<1>; -L_0000000002ae13c0 .delay 1 (30000,30000,30000) L_0000000002ae13c0/d; -L_0000000002ae0400/d .functor NAND 1, L_0000000002acd020, L_0000000002ae08d0, L_0000000002adfd00, C4<1>; -L_0000000002ae0400 .delay 1 (30000,30000,30000) L_0000000002ae0400/d; -L_0000000002adfde0/d .functor NAND 1, L_0000000002adff30, L_0000000002acbd60, L_0000000002ae0390, C4<1>; -L_0000000002adfde0 .delay 1 (30000,30000,30000) L_0000000002adfde0/d; -L_0000000002ae0940/d .functor NAND 1, L_0000000002adff30, L_0000000002ae08d0, L_0000000002ae1040, C4<1>; -L_0000000002ae0940 .delay 1 (30000,30000,30000) L_0000000002ae0940/d; -L_0000000002ae0010/d .functor NAND 1, L_0000000002ae13c0, L_0000000002ae0400, L_0000000002adfde0, L_0000000002ae0940; -L_0000000002ae0010 .delay 1 (40000,40000,40000) L_0000000002ae0010/d; -v00000000029cf310_0 .net "a", 0 0, L_0000000002ae0a90; alias, 1 drivers -v00000000029cf4f0_0 .net "aout", 0 0, L_0000000002ae13c0; 1 drivers -v00000000029cecd0_0 .net "b", 0 0, L_0000000002adfd00; alias, 1 drivers -v00000000029cdd30_0 .net "bout", 0 0, L_0000000002ae0400; 1 drivers -v00000000029cfb30_0 .net "c", 0 0, L_0000000002ae0390; alias, 1 drivers -v00000000029ce7d0_0 .net "cout", 0 0, L_0000000002adfde0; 1 drivers -v00000000029cfc70_0 .net "d", 0 0, L_0000000002ae1040; alias, 1 drivers -v00000000029cd8d0_0 .net "dout", 0 0, L_0000000002ae0940; 1 drivers -v00000000029ce370_0 .net "ns0", 0 0, L_0000000002ae08d0; 1 drivers -v00000000029cdfb0_0 .net "ns1", 0 0, L_0000000002adff30; 1 drivers -v00000000029ce050_0 .net "out", 0 0, L_0000000002ae0010; alias, 1 drivers -v00000000029ce910_0 .net "s0", 0 0, L_0000000002acbd60; 1 drivers -v0000000002973480_0 .net "s1", 0 0, L_0000000002acd020; 1 drivers -S_0000000002a86de0 .scope generate, "aluBits[4]" "aluBits[4]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8420 .param/l "i" 0 4 52, +C4<0100>; -L_0000000002ae0f60/d .functor XOR 1, L_0000000002acce40, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae0f60 .delay 1 (50000,50000,50000) L_0000000002ae0f60/d; -L_0000000002ae0080/d .functor AND 1, v0000000002a01300_0, L_0000000002accee0, C4<1>, C4<1>; -L_0000000002ae0080 .delay 1 (30000,30000,30000) L_0000000002ae0080/d; -v000000000299e4b0_0 .net *"_s1", 0 0, L_0000000002acce40; 1 drivers -v000000000299f090_0 .net *"_s3", 0 0, L_0000000002accee0; 1 drivers -S_0000000002a86c60 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a86de0; +L_0000000000e6b930/d .functor NOT 1, L_000000000265dba0, C4<0>, C4<0>, C4<0>; +L_0000000000e6b930 .delay 1 (10000,10000,10000) L_0000000000e6b930/d; +L_0000000000e6b690/d .functor NOT 1, L_000000000265ffe0, C4<0>, C4<0>, C4<0>; +L_0000000000e6b690 .delay 1 (10000,10000,10000) L_0000000000e6b690/d; +L_0000000000e6b700/d .functor NAND 1, L_000000000265ffe0, L_000000000265dba0, L_0000000000e6a5f0, C4<1>; +L_0000000000e6b700 .delay 1 (30000,30000,30000) L_0000000000e6b700/d; +L_0000000000e6b7e0/d .functor NAND 1, L_000000000265ffe0, L_0000000000e6b930, L_0000000000e6b8c0, C4<1>; +L_0000000000e6b7e0 .delay 1 (30000,30000,30000) L_0000000000e6b7e0/d; +L_0000000000e6b770/d .functor NAND 1, L_0000000000e6b690, L_000000000265dba0, L_0000000000e6a580, C4<1>; +L_0000000000e6b770 .delay 1 (30000,30000,30000) L_0000000000e6b770/d; +L_0000000000e6b850/d .functor NAND 1, L_0000000000e6b690, L_0000000000e6b930, L_0000000000e6aa50, C4<1>; +L_0000000000e6b850 .delay 1 (30000,30000,30000) L_0000000000e6b850/d; +L_0000000000f3b8c0/d .functor NAND 1, L_0000000000e6b700, L_0000000000e6b7e0, L_0000000000e6b770, L_0000000000e6b850; +L_0000000000f3b8c0 .delay 1 (40000,40000,40000) L_0000000000f3b8c0/d; +v0000000000d98ce0_0 .net "a", 0 0, L_0000000000e6a5f0; alias, 1 drivers +v0000000000d98d80_0 .net "aout", 0 0, L_0000000000e6b700; 1 drivers +v0000000000dc1160_0 .net "b", 0 0, L_0000000000e6b8c0; alias, 1 drivers +v0000000000dc0620_0 .net "bout", 0 0, L_0000000000e6b7e0; 1 drivers +v0000000000dc12a0_0 .net "c", 0 0, L_0000000000e6a580; alias, 1 drivers +v0000000000dc1b60_0 .net "cout", 0 0, L_0000000000e6b770; 1 drivers +v0000000000dc0580_0 .net "d", 0 0, L_0000000000e6aa50; alias, 1 drivers +v0000000000dc0300_0 .net "dout", 0 0, L_0000000000e6b850; 1 drivers +v0000000000dc0800_0 .net "ns0", 0 0, L_0000000000e6b930; 1 drivers +v0000000000dc1340_0 .net "ns1", 0 0, L_0000000000e6b690; 1 drivers +v0000000000dc1980_0 .net "out", 0 0, L_0000000000f3b8c0; alias, 1 drivers +v0000000000dc0940_0 .net "s0", 0 0, L_000000000265dba0; 1 drivers +v0000000000db2f70_0 .net "s1", 0 0, L_000000000265ffe0; 1 drivers +S_0000000000e8f030 .scope generate, "aluBits[4]" "aluBits[4]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e38ec0 .param/l "i" 0 4 49, +C4<0100>; +L_0000000000f3cc00/d .functor XOR 1, L_000000000265f540, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000f3cc00 .delay 1 (20000,20000,20000) L_0000000000f3cc00/d; +L_0000000000f3cb90/d .functor AND 1, v0000000000e20d10_0, L_000000000265f860, C4<1>, C4<1>; +L_0000000000f3cb90 .delay 1 (30000,30000,30000) L_0000000000f3cb90/d; +L_0000000000f3cc70/d .functor AND 1, L_0000000002662100, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000f3cc70 .delay 1 (30000,30000,30000) L_0000000000f3cc70/d; +v0000000000ef7f50_0 .net *"_s1", 0 0, L_000000000265f540; 1 drivers +v0000000000ef68d0_0 .net *"_s3", 0 0, L_000000000265f860; 1 drivers +v0000000000ef63d0_0 .net *"_s9", 0 0, L_0000000002662100; 1 drivers +S_0000000000e8f1b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e8f030; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1050,19 +1155,19 @@ S_0000000002a86c60 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v00000000029c09f0_0 .net "a", 0 0, L_0000000002acd0c0; 1 drivers -v00000000029be010_0 .net "b", 0 0, L_0000000002aca960; 1 drivers -v00000000029bf690_0 .net "carryAND", 0 0, L_0000000002ae0a20; 1 drivers -v00000000029bfe10_0 .net "cin", 0 0, L_0000000002acc9e0; 1 drivers -v00000000029bdcf0_0 .net "ctrl0", 0 0, L_0000000002acca80; 1 drivers -v00000000029be470_0 .net "nab", 0 0, L_0000000002ae0fd0; 1 drivers -v00000000029bed30_0 .net "orNOR", 0 0, L_0000000002ae0470; 1 drivers -v00000000029bf0f0_0 .net "res", 0 0, L_0000000002adf980; 1 drivers -v00000000029bf190_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v000000000299e410_0 .net "sumXOR", 0 0, L_0000000002ae1350; 1 drivers -L_0000000002acc8a0 .part v0000000002a01440_0, 1, 1; -L_0000000002acbe00 .part v0000000002a01440_0, 0, 1; -S_0000000002a86660 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a86c60; +v0000000000ef7050_0 .net "a", 0 0, L_0000000002661160; 1 drivers +v0000000000ef7a50_0 .net "b", 0 0, L_0000000002660800; 1 drivers +v0000000000ef7af0_0 .net "carryAND", 0 0, L_0000000000f3c730; 1 drivers +v0000000000ef5f70_0 .net "cin", 0 0, L_0000000002660440; 1 drivers +v0000000000ef70f0_0 .net "ctrl0", 0 0, L_0000000002662740; 1 drivers +v0000000000ef7ff0_0 .net "nab", 0 0, L_0000000000f3c960; 1 drivers +v0000000000ef6150_0 .net "orNOR", 0 0, L_0000000000f3c810; 1 drivers +v0000000000ef60b0_0 .net "res", 0 0, L_0000000000f3b700; 1 drivers +v0000000000ef6790_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000ef79b0_0 .net "sumXOR", 0 0, L_0000000000f3b4d0; 1 drivers +L_000000000265df60 .part v0000000000e21990_0, 1, 1; +L_0000000002661c00 .part v0000000000e21990_0, 0, 1; +S_0000000000e8f330 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8f1b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1072,32 +1177,32 @@ S_0000000002a86660 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a86c6 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae0fd0/d .functor NAND 1, L_0000000002acd0c0, L_0000000002aca960, C4<1>, C4<1>; -L_0000000002ae0fd0 .delay 1 (20000,20000,20000) L_0000000002ae0fd0/d; -L_0000000002ae0be0/d .functor NAND 1, L_0000000002aca960, L_0000000002acc9e0, C4<1>, C4<1>; -L_0000000002ae0be0 .delay 1 (20000,20000,20000) L_0000000002ae0be0/d; -L_0000000002ae00f0/d .functor NAND 1, L_0000000002acd0c0, L_0000000002acc9e0, C4<1>, C4<1>; -L_0000000002ae00f0 .delay 1 (20000,20000,20000) L_0000000002ae00f0/d; -L_0000000002ae0a20/d .functor NAND 1, L_0000000002ae0fd0, L_0000000002ae00f0, L_0000000002ae0be0, C4<1>; -L_0000000002ae0a20 .delay 1 (30000,30000,30000) L_0000000002ae0a20/d; -L_0000000002ae1350/d .functor XOR 1, L_0000000002acd0c0, L_0000000002aca960, L_0000000002acc9e0, C4<0>; -L_0000000002ae1350 .delay 1 (30000,30000,30000) L_0000000002ae1350/d; -L_0000000002ae06a0/d .functor NOR 1, L_0000000002acd0c0, L_0000000002aca960, C4<0>, C4<0>; -L_0000000002ae06a0 .delay 1 (20000,20000,20000) L_0000000002ae06a0/d; -L_0000000002ae0470/d .functor XOR 1, L_0000000002ae06a0, L_0000000002acca80, C4<0>, C4<0>; -L_0000000002ae0470 .delay 1 (20000,20000,20000) L_0000000002ae0470/d; -v00000000029750a0_0 .net "a", 0 0, L_0000000002acd0c0; alias, 1 drivers -v0000000002974600_0 .net "anorb", 0 0, L_0000000002ae06a0; 1 drivers -v0000000002974ba0_0 .net "b", 0 0, L_0000000002aca960; alias, 1 drivers -v00000000029b1680_0 .net "carryAND", 0 0, L_0000000002ae0a20; alias, 1 drivers -v00000000029b1720_0 .net "carryin", 0 0, L_0000000002acc9e0; alias, 1 drivers -v00000000029b1ae0_0 .net "i0", 0 0, L_0000000002acca80; alias, 1 drivers -v00000000029b1d60_0 .net "nab", 0 0, L_0000000002ae0fd0; alias, 1 drivers -v00000000029b23a0_0 .net "nac", 0 0, L_0000000002ae00f0; 1 drivers -v00000000029b0dc0_0 .net "nbc", 0 0, L_0000000002ae0be0; 1 drivers -v00000000029b0640_0 .net "orNOR", 0 0, L_0000000002ae0470; alias, 1 drivers -v00000000029b0960_0 .net "sumXOR", 0 0, L_0000000002ae1350; alias, 1 drivers -S_0000000002a867e0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a86c60; +L_0000000000f3c960/d .functor NAND 1, L_0000000002661160, L_0000000002660800, C4<1>, C4<1>; +L_0000000000f3c960 .delay 1 (20000,20000,20000) L_0000000000f3c960/d; +L_0000000000f3cb20/d .functor NAND 1, L_0000000002660800, L_0000000002660440, C4<1>, C4<1>; +L_0000000000f3cb20 .delay 1 (20000,20000,20000) L_0000000000f3cb20/d; +L_0000000000f3c2d0/d .functor NAND 1, L_0000000002661160, L_0000000002660440, C4<1>, C4<1>; +L_0000000000f3c2d0 .delay 1 (20000,20000,20000) L_0000000000f3c2d0/d; +L_0000000000f3c730/d .functor NAND 1, L_0000000000f3c960, L_0000000000f3c2d0, L_0000000000f3cb20, C4<1>; +L_0000000000f3c730 .delay 1 (30000,30000,30000) L_0000000000f3c730/d; +L_0000000000f3b4d0/d .functor XOR 1, L_0000000002661160, L_0000000002660800, L_0000000002660440, C4<0>; +L_0000000000f3b4d0 .delay 1 (30000,30000,30000) L_0000000000f3b4d0/d; +L_0000000000f3b540/d .functor NOR 1, L_0000000002661160, L_0000000002660800, C4<0>, C4<0>; +L_0000000000f3b540 .delay 1 (20000,20000,20000) L_0000000000f3b540/d; +L_0000000000f3c810/d .functor XOR 1, L_0000000000f3b540, L_0000000002662740, C4<0>, C4<0>; +L_0000000000f3c810 .delay 1 (20000,20000,20000) L_0000000000f3c810/d; +v0000000000dadb10_0 .net "a", 0 0, L_0000000002661160; alias, 1 drivers +v0000000000dac530_0 .net "anorb", 0 0, L_0000000000f3b540; 1 drivers +v0000000000dacc10_0 .net "b", 0 0, L_0000000002660800; alias, 1 drivers +v0000000000da9190_0 .net "carryAND", 0 0, L_0000000000f3c730; alias, 1 drivers +v0000000000da8dd0_0 .net "carryin", 0 0, L_0000000002660440; alias, 1 drivers +v0000000000da8150_0 .net "i0", 0 0, L_0000000002662740; alias, 1 drivers +v0000000000da9af0_0 .net "nab", 0 0, L_0000000000f3c960; alias, 1 drivers +v0000000000da95f0_0 .net "nac", 0 0, L_0000000000f3c2d0; 1 drivers +v0000000000da9230_0 .net "nbc", 0 0, L_0000000000f3cb20; 1 drivers +v0000000000da86f0_0 .net "orNOR", 0 0, L_0000000000f3c810; alias, 1 drivers +v0000000000d929e0_0 .net "sumXOR", 0 0, L_0000000000f3b4d0; alias, 1 drivers +S_0000000000e8f4b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e8f1b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1106,43 +1211,46 @@ S_0000000002a867e0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae01d0/d .functor NOT 1, L_0000000002acbe00, C4<0>, C4<0>, C4<0>; -L_0000000002ae01d0 .delay 1 (10000,10000,10000) L_0000000002ae01d0/d; -L_0000000002ae0240/d .functor NOT 1, L_0000000002acc8a0, C4<0>, C4<0>, C4<0>; -L_0000000002ae0240 .delay 1 (10000,10000,10000) L_0000000002ae0240/d; -L_0000000002ae04e0/d .functor NAND 1, L_0000000002acc8a0, L_0000000002acbe00, L_0000000002ae1350, C4<1>; -L_0000000002ae04e0 .delay 1 (30000,30000,30000) L_0000000002ae04e0/d; -L_0000000002ae0b70/d .functor NAND 1, L_0000000002acc8a0, L_0000000002ae01d0, L_0000000002ae0470, C4<1>; -L_0000000002ae0b70 .delay 1 (30000,30000,30000) L_0000000002ae0b70/d; -L_0000000002ae1190/d .functor NAND 1, L_0000000002ae0240, L_0000000002acbe00, L_0000000002ae0a20, C4<1>; -L_0000000002ae1190 .delay 1 (30000,30000,30000) L_0000000002ae1190/d; -L_0000000002ae0c50/d .functor NAND 1, L_0000000002ae0240, L_0000000002ae01d0, L_0000000002ae0fd0, C4<1>; -L_0000000002ae0c50 .delay 1 (30000,30000,30000) L_0000000002ae0c50/d; -L_0000000002adf980/d .functor NAND 1, L_0000000002ae04e0, L_0000000002ae0b70, L_0000000002ae1190, L_0000000002ae0c50; -L_0000000002adf980 .delay 1 (40000,40000,40000) L_0000000002adf980/d; -v00000000029afe20_0 .net "a", 0 0, L_0000000002ae1350; alias, 1 drivers -v00000000029aeb60_0 .net "aout", 0 0, L_0000000002ae04e0; 1 drivers -v00000000029af380_0 .net "b", 0 0, L_0000000002ae0470; alias, 1 drivers -v00000000029aff60_0 .net "bout", 0 0, L_0000000002ae0b70; 1 drivers -v00000000029b0e60_0 .net "c", 0 0, L_0000000002ae0a20; alias, 1 drivers -v00000000029aeac0_0 .net "cout", 0 0, L_0000000002ae1190; 1 drivers -v00000000029afec0_0 .net "d", 0 0, L_0000000002ae0fd0; alias, 1 drivers -v00000000029aeca0_0 .net "dout", 0 0, L_0000000002ae0c50; 1 drivers -v00000000029c0d10_0 .net "ns0", 0 0, L_0000000002ae01d0; 1 drivers -v00000000029c15d0_0 .net "ns1", 0 0, L_0000000002ae0240; 1 drivers -v00000000029c03b0_0 .net "out", 0 0, L_0000000002adf980; alias, 1 drivers -v00000000029c04f0_0 .net "s0", 0 0, L_0000000002acbe00; 1 drivers -v00000000029c0810_0 .net "s1", 0 0, L_0000000002acc8a0; 1 drivers -S_0000000002a87f70 .scope generate, "aluBits[5]" "aluBits[5]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7d60 .param/l "i" 0 4 52, +C4<0101>; -L_0000000002ae0710/d .functor XOR 1, L_0000000002acabe0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae0710 .delay 1 (50000,50000,50000) L_0000000002ae0710/d; -L_0000000002ae0550/d .functor AND 1, v0000000002a01300_0, L_0000000002acae60, C4<1>, C4<1>; -L_0000000002ae0550 .delay 1 (30000,30000,30000) L_0000000002ae0550/d; -v0000000002945760_0 .net *"_s1", 0 0, L_0000000002acabe0; 1 drivers -v0000000002944ea0_0 .net *"_s3", 0 0, L_0000000002acae60; 1 drivers -S_0000000002a883f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87f70; +L_0000000000f3c7a0/d .functor NOT 1, L_0000000002661c00, C4<0>, C4<0>, C4<0>; +L_0000000000f3c7a0 .delay 1 (10000,10000,10000) L_0000000000f3c7a0/d; +L_0000000000f3b380/d .functor NOT 1, L_000000000265df60, C4<0>, C4<0>, C4<0>; +L_0000000000f3b380 .delay 1 (10000,10000,10000) L_0000000000f3b380/d; +L_0000000000f3b3f0/d .functor NAND 1, L_000000000265df60, L_0000000002661c00, L_0000000000f3b4d0, C4<1>; +L_0000000000f3b3f0 .delay 1 (30000,30000,30000) L_0000000000f3b3f0/d; +L_0000000000f3bfc0/d .functor NAND 1, L_000000000265df60, L_0000000000f3c7a0, L_0000000000f3c810, C4<1>; +L_0000000000f3bfc0 .delay 1 (30000,30000,30000) L_0000000000f3bfc0/d; +L_0000000000f3c880/d .functor NAND 1, L_0000000000f3b380, L_0000000002661c00, L_0000000000f3c730, C4<1>; +L_0000000000f3c880 .delay 1 (30000,30000,30000) L_0000000000f3c880/d; +L_0000000000f3bd20/d .functor NAND 1, L_0000000000f3b380, L_0000000000f3c7a0, L_0000000000f3c960, C4<1>; +L_0000000000f3bd20 .delay 1 (30000,30000,30000) L_0000000000f3bd20/d; +L_0000000000f3b700/d .functor NAND 1, L_0000000000f3b3f0, L_0000000000f3bfc0, L_0000000000f3c880, L_0000000000f3bd20; +L_0000000000f3b700 .delay 1 (40000,40000,40000) L_0000000000f3b700/d; +v0000000000d93200_0 .net "a", 0 0, L_0000000000f3b4d0; alias, 1 drivers +v0000000000d91cc0_0 .net "aout", 0 0, L_0000000000f3b3f0; 1 drivers +v0000000000d92ee0_0 .net "b", 0 0, L_0000000000f3c810; alias, 1 drivers +v0000000000d91fe0_0 .net "bout", 0 0, L_0000000000f3bfc0; 1 drivers +v0000000000d92a80_0 .net "c", 0 0, L_0000000000f3c730; alias, 1 drivers +v0000000000dbcb00_0 .net "cout", 0 0, L_0000000000f3c880; 1 drivers +v0000000000dbd5a0_0 .net "d", 0 0, L_0000000000f3c960; alias, 1 drivers +v0000000000dbda00_0 .net "dout", 0 0, L_0000000000f3bd20; 1 drivers +v0000000000dbddc0_0 .net "ns0", 0 0, L_0000000000f3c7a0; 1 drivers +v0000000000dbdfa0_0 .net "ns1", 0 0, L_0000000000f3b380; 1 drivers +v0000000000dbe0e0_0 .net "out", 0 0, L_0000000000f3b700; alias, 1 drivers +v0000000000ce42c0_0 .net "s0", 0 0, L_0000000002661c00; 1 drivers +v0000000000ef6830_0 .net "s1", 0 0, L_000000000265df60; 1 drivers +S_0000000000efbf90 .scope generate, "aluBits[5]" "aluBits[5]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e39400 .param/l "i" 0 4 49, +C4<0101>; +L_0000000000f3b1c0/d .functor XOR 1, L_0000000002660bc0, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000f3b1c0 .delay 1 (20000,20000,20000) L_0000000000f3b1c0/d; +L_0000000000f3b7e0/d .functor AND 1, v0000000000e20d10_0, L_0000000002661ac0, C4<1>, C4<1>; +L_0000000000f3b7e0 .delay 1 (30000,30000,30000) L_0000000000f3b7e0/d; +L_0000000000f3c9d0/d .functor AND 1, L_0000000002660e40, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000f3c9d0 .delay 1 (30000,30000,30000) L_0000000000f3c9d0/d; +v0000000000ef5cf0_0 .net *"_s1", 0 0, L_0000000002660bc0; 1 drivers +v0000000000ef6650_0 .net *"_s3", 0 0, L_0000000002661ac0; 1 drivers +v0000000000ef5d90_0 .net *"_s9", 0 0, L_0000000002660e40; 1 drivers +S_0000000000efc710 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efbf90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1151,19 +1259,19 @@ S_0000000002a883f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v000000000295b1e0_0 .net "a", 0 0, L_0000000002acafa0; 1 drivers -v000000000296cdc0_0 .net "b", 0 0, L_0000000002acb040; 1 drivers -v000000000296c960_0 .net "carryAND", 0 0, L_0000000002ae1510; 1 drivers -v000000000296c1e0_0 .net "cin", 0 0, L_0000000002acb0e0; 1 drivers -v000000000296d040_0 .net "ctrl0", 0 0, L_0000000002acb2c0; 1 drivers -v000000000296d220_0 .net "nab", 0 0, L_0000000002ae0d30; 1 drivers -v000000000296d680_0 .net "orNOR", 0 0, L_0000000002ae07f0; 1 drivers -v000000000296dfe0_0 .net "res", 0 0, L_0000000002ae1890; 1 drivers -v000000000296c5a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002944c20_0 .net "sumXOR", 0 0, L_0000000002ae12e0; 1 drivers -L_0000000002acac80 .part v0000000002a01440_0, 1, 1; -L_0000000002acb220 .part v0000000002a01440_0, 0, 1; -S_0000000002a88270 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a883f0; +v0000000000ef7870_0 .net "a", 0 0, L_0000000002660620; 1 drivers +v0000000000ef6d30_0 .net "b", 0 0, L_0000000002662420; 1 drivers +v0000000000ef5930_0 .net "carryAND", 0 0, L_0000000000f3b770; 1 drivers +v0000000000ef5bb0_0 .net "cin", 0 0, L_0000000002660ee0; 1 drivers +v0000000000ef6470_0 .net "ctrl0", 0 0, L_0000000002661480; 1 drivers +v0000000000ef5c50_0 .net "nab", 0 0, L_0000000000f3c180; 1 drivers +v0000000000ef7190_0 .net "orNOR", 0 0, L_0000000000f3cce0; 1 drivers +v0000000000ef65b0_0 .net "res", 0 0, L_0000000000f3c8f0; 1 drivers +v0000000000ef6010_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000ef6a10_0 .net "sumXOR", 0 0, L_0000000000f3bd90; 1 drivers +L_0000000002660a80 .part v0000000000e21990_0, 1, 1; +L_0000000002661980 .part v0000000000e21990_0, 0, 1; +S_0000000000efb810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efc710; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1173,32 +1281,32 @@ S_0000000002a88270 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a883f .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae0d30/d .functor NAND 1, L_0000000002acafa0, L_0000000002acb040, C4<1>, C4<1>; -L_0000000002ae0d30 .delay 1 (20000,20000,20000) L_0000000002ae0d30/d; -L_0000000002ae05c0/d .functor NAND 1, L_0000000002acb040, L_0000000002acb0e0, C4<1>, C4<1>; -L_0000000002ae05c0 .delay 1 (20000,20000,20000) L_0000000002ae05c0/d; -L_0000000002ae1200/d .functor NAND 1, L_0000000002acafa0, L_0000000002acb0e0, C4<1>, C4<1>; -L_0000000002ae1200 .delay 1 (20000,20000,20000) L_0000000002ae1200/d; -L_0000000002ae1510/d .functor NAND 1, L_0000000002ae0d30, L_0000000002ae1200, L_0000000002ae05c0, C4<1>; -L_0000000002ae1510 .delay 1 (30000,30000,30000) L_0000000002ae1510/d; -L_0000000002ae12e0/d .functor XOR 1, L_0000000002acafa0, L_0000000002acb040, L_0000000002acb0e0, C4<0>; -L_0000000002ae12e0 .delay 1 (30000,30000,30000) L_0000000002ae12e0/d; -L_0000000002ae0780/d .functor NOR 1, L_0000000002acafa0, L_0000000002acb040, C4<0>, C4<0>; -L_0000000002ae0780 .delay 1 (20000,20000,20000) L_0000000002ae0780/d; -L_0000000002ae07f0/d .functor XOR 1, L_0000000002ae0780, L_0000000002acb2c0, C4<0>, C4<0>; -L_0000000002ae07f0 .delay 1 (20000,20000,20000) L_0000000002ae07f0/d; -v000000000299e870_0 .net "a", 0 0, L_0000000002acafa0; alias, 1 drivers -v000000000299c890_0 .net "anorb", 0 0, L_0000000002ae0780; 1 drivers -v000000000299bc10_0 .net "b", 0 0, L_0000000002acb040; alias, 1 drivers -v000000000299b350_0 .net "carryAND", 0 0, L_0000000002ae1510; alias, 1 drivers -v000000000299c610_0 .net "carryin", 0 0, L_0000000002acb0e0; alias, 1 drivers -v000000000299cd90_0 .net "i0", 0 0, L_0000000002acb2c0; alias, 1 drivers -v000000000299b670_0 .net "nab", 0 0, L_0000000002ae0d30; alias, 1 drivers -v000000000299c070_0 .net "nac", 0 0, L_0000000002ae1200; 1 drivers -v000000000299cbb0_0 .net "nbc", 0 0, L_0000000002ae05c0; 1 drivers -v0000000002953240_0 .net "orNOR", 0 0, L_0000000002ae07f0; alias, 1 drivers -v0000000002952340_0 .net "sumXOR", 0 0, L_0000000002ae12e0; alias, 1 drivers -S_0000000002a877f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a883f0; +L_0000000000f3c180/d .functor NAND 1, L_0000000002660620, L_0000000002662420, C4<1>, C4<1>; +L_0000000000f3c180 .delay 1 (20000,20000,20000) L_0000000000f3c180/d; +L_0000000000f3b5b0/d .functor NAND 1, L_0000000002662420, L_0000000002660ee0, C4<1>, C4<1>; +L_0000000000f3b5b0 .delay 1 (20000,20000,20000) L_0000000000f3b5b0/d; +L_0000000000f3c500/d .functor NAND 1, L_0000000002660620, L_0000000002660ee0, C4<1>, C4<1>; +L_0000000000f3c500 .delay 1 (20000,20000,20000) L_0000000000f3c500/d; +L_0000000000f3b770/d .functor NAND 1, L_0000000000f3c180, L_0000000000f3c500, L_0000000000f3b5b0, C4<1>; +L_0000000000f3b770 .delay 1 (30000,30000,30000) L_0000000000f3b770/d; +L_0000000000f3bd90/d .functor XOR 1, L_0000000002660620, L_0000000002662420, L_0000000002660ee0, C4<0>; +L_0000000000f3bd90 .delay 1 (30000,30000,30000) L_0000000000f3bd90/d; +L_0000000000f3b690/d .functor NOR 1, L_0000000002660620, L_0000000002662420, C4<0>, C4<0>; +L_0000000000f3b690 .delay 1 (20000,20000,20000) L_0000000000f3b690/d; +L_0000000000f3cce0/d .functor XOR 1, L_0000000000f3b690, L_0000000002661480, C4<0>, C4<0>; +L_0000000000f3cce0 .delay 1 (20000,20000,20000) L_0000000000f3cce0/d; +v0000000000ef7690_0 .net "a", 0 0, L_0000000002660620; alias, 1 drivers +v0000000000ef7c30_0 .net "anorb", 0 0, L_0000000000f3b690; 1 drivers +v0000000000ef75f0_0 .net "b", 0 0, L_0000000002662420; alias, 1 drivers +v0000000000ef7d70_0 .net "carryAND", 0 0, L_0000000000f3b770; alias, 1 drivers +v0000000000ef6b50_0 .net "carryin", 0 0, L_0000000002660ee0; alias, 1 drivers +v0000000000ef6970_0 .net "i0", 0 0, L_0000000002661480; alias, 1 drivers +v0000000000ef7910_0 .net "nab", 0 0, L_0000000000f3c180; alias, 1 drivers +v0000000000ef7730_0 .net "nac", 0 0, L_0000000000f3c500; 1 drivers +v0000000000ef59d0_0 .net "nbc", 0 0, L_0000000000f3b5b0; 1 drivers +v0000000000ef5e30_0 .net "orNOR", 0 0, L_0000000000f3cce0; alias, 1 drivers +v0000000000ef6330_0 .net "sumXOR", 0 0, L_0000000000f3bd90; alias, 1 drivers +S_0000000000efb690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efc710; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1207,43 +1315,46 @@ S_0000000002a877f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae0da0/d .functor NOT 1, L_0000000002acb220, C4<0>, C4<0>, C4<0>; -L_0000000002ae0da0 .delay 1 (10000,10000,10000) L_0000000002ae0da0/d; -L_0000000002ae1430/d .functor NOT 1, L_0000000002acac80, C4<0>, C4<0>, C4<0>; -L_0000000002ae1430 .delay 1 (10000,10000,10000) L_0000000002ae1430/d; -L_0000000002ae14a0/d .functor NAND 1, L_0000000002acac80, L_0000000002acb220, L_0000000002ae12e0, C4<1>; -L_0000000002ae14a0 .delay 1 (30000,30000,30000) L_0000000002ae14a0/d; -L_0000000002ae1820/d .functor NAND 1, L_0000000002acac80, L_0000000002ae0da0, L_0000000002ae07f0, C4<1>; -L_0000000002ae1820 .delay 1 (30000,30000,30000) L_0000000002ae1820/d; -L_0000000002ae1580/d .functor NAND 1, L_0000000002ae1430, L_0000000002acb220, L_0000000002ae1510, C4<1>; -L_0000000002ae1580 .delay 1 (30000,30000,30000) L_0000000002ae1580/d; -L_0000000002ae1740/d .functor NAND 1, L_0000000002ae1430, L_0000000002ae0da0, L_0000000002ae0d30, C4<1>; -L_0000000002ae1740 .delay 1 (30000,30000,30000) L_0000000002ae1740/d; -L_0000000002ae1890/d .functor NAND 1, L_0000000002ae14a0, L_0000000002ae1820, L_0000000002ae1580, L_0000000002ae1740; -L_0000000002ae1890 .delay 1 (40000,40000,40000) L_0000000002ae1890/d; -v0000000002952f20_0 .net "a", 0 0, L_0000000002ae12e0; alias, 1 drivers -v00000000029520c0_0 .net "aout", 0 0, L_0000000002ae14a0; 1 drivers -v00000000029525c0_0 .net "b", 0 0, L_0000000002ae07f0; alias, 1 drivers -v0000000002952700_0 .net "bout", 0 0, L_0000000002ae1820; 1 drivers -v0000000002952de0_0 .net "c", 0 0, L_0000000002ae1510; alias, 1 drivers -v0000000002952fc0_0 .net "cout", 0 0, L_0000000002ae1580; 1 drivers -v000000000295a880_0 .net "d", 0 0, L_0000000002ae0d30; alias, 1 drivers -v000000000295a920_0 .net "dout", 0 0, L_0000000002ae1740; 1 drivers -v0000000002959d40_0 .net "ns0", 0 0, L_0000000002ae0da0; 1 drivers -v000000000295a380_0 .net "ns1", 0 0, L_0000000002ae1430; 1 drivers -v0000000002959de0_0 .net "out", 0 0, L_0000000002ae1890; alias, 1 drivers -v000000000295a4c0_0 .net "s0", 0 0, L_0000000002acb220; 1 drivers -v000000000295a9c0_0 .net "s1", 0 0, L_0000000002acac80; 1 drivers -S_0000000002a87af0 .scope generate, "aluBits[6]" "aluBits[6]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8320 .param/l "i" 0 4 52, +C4<0110>; -L_0000000002ae17b0/d .functor XOR 1, L_0000000002acb360, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae17b0 .delay 1 (50000,50000,50000) L_0000000002ae17b0/d; -L_0000000002ae1660/d .functor AND 1, v0000000002a01300_0, L_0000000002ace380, C4<1>, C4<1>; -L_0000000002ae1660 .delay 1 (30000,30000,30000) L_0000000002ae1660/d; -v0000000002a8b890_0 .net *"_s1", 0 0, L_0000000002acb360; 1 drivers -v0000000002a8d5f0_0 .net *"_s3", 0 0, L_0000000002ace380; 1 drivers -S_0000000002a87df0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87af0; +L_0000000000f3be00/d .functor NOT 1, L_0000000002661980, C4<0>, C4<0>, C4<0>; +L_0000000000f3be00 .delay 1 (10000,10000,10000) L_0000000000f3be00/d; +L_0000000000f3c1f0/d .functor NOT 1, L_0000000002660a80, C4<0>, C4<0>, C4<0>; +L_0000000000f3c1f0 .delay 1 (10000,10000,10000) L_0000000000f3c1f0/d; +L_0000000000f3b620/d .functor NAND 1, L_0000000002660a80, L_0000000002661980, L_0000000000f3bd90, C4<1>; +L_0000000000f3b620 .delay 1 (30000,30000,30000) L_0000000000f3b620/d; +L_0000000000f3b230/d .functor NAND 1, L_0000000002660a80, L_0000000000f3be00, L_0000000000f3cce0, C4<1>; +L_0000000000f3b230 .delay 1 (30000,30000,30000) L_0000000000f3b230/d; +L_0000000000f3c650/d .functor NAND 1, L_0000000000f3c1f0, L_0000000002661980, L_0000000000f3b770, C4<1>; +L_0000000000f3c650 .delay 1 (30000,30000,30000) L_0000000000f3c650/d; +L_0000000000f3b150/d .functor NAND 1, L_0000000000f3c1f0, L_0000000000f3be00, L_0000000000f3c180, C4<1>; +L_0000000000f3b150 .delay 1 (30000,30000,30000) L_0000000000f3b150/d; +L_0000000000f3c8f0/d .functor NAND 1, L_0000000000f3b620, L_0000000000f3b230, L_0000000000f3c650, L_0000000000f3b150; +L_0000000000f3c8f0 .delay 1 (40000,40000,40000) L_0000000000f3c8f0/d; +v0000000000ef6dd0_0 .net "a", 0 0, L_0000000000f3bd90; alias, 1 drivers +v0000000000ef6510_0 .net "aout", 0 0, L_0000000000f3b620; 1 drivers +v0000000000ef7b90_0 .net "b", 0 0, L_0000000000f3cce0; alias, 1 drivers +v0000000000ef7cd0_0 .net "bout", 0 0, L_0000000000f3b230; 1 drivers +v0000000000ef61f0_0 .net "c", 0 0, L_0000000000f3b770; alias, 1 drivers +v0000000000ef5a70_0 .net "cout", 0 0, L_0000000000f3c650; 1 drivers +v0000000000ef77d0_0 .net "d", 0 0, L_0000000000f3c180; alias, 1 drivers +v0000000000ef5b10_0 .net "dout", 0 0, L_0000000000f3b150; 1 drivers +v0000000000ef6f10_0 .net "ns0", 0 0, L_0000000000f3be00; 1 drivers +v0000000000ef7e10_0 .net "ns1", 0 0, L_0000000000f3c1f0; 1 drivers +v0000000000ef6290_0 .net "out", 0 0, L_0000000000f3c8f0; alias, 1 drivers +v0000000000ef5890_0 .net "s0", 0 0, L_0000000002661980; 1 drivers +v0000000000ef7eb0_0 .net "s1", 0 0, L_0000000002660a80; 1 drivers +S_0000000000efbc90 .scope generate, "aluBits[6]" "aluBits[6]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e39800 .param/l "i" 0 4 49, +C4<0110>; +L_0000000000f3ca40/d .functor XOR 1, L_0000000002660260, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000f3ca40 .delay 1 (20000,20000,20000) L_0000000000f3ca40/d; +L_0000000000f3cab0/d .functor AND 1, v0000000000e20d10_0, L_00000000026604e0, C4<1>, C4<1>; +L_0000000000f3cab0 .delay 1 (30000,30000,30000) L_0000000000f3cab0/d; +L_0000000000f3b9a0/d .functor AND 1, L_00000000026618e0, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000f3b9a0 .delay 1 (30000,30000,30000) L_0000000000f3b9a0/d; +v0000000000ef9e90_0 .net *"_s1", 0 0, L_0000000002660260; 1 drivers +v0000000000ef9f30_0 .net *"_s3", 0 0, L_00000000026604e0; 1 drivers +v0000000000ef9030_0 .net *"_s9", 0 0, L_00000000026618e0; 1 drivers +S_0000000000efb390 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efbc90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1252,19 +1363,19 @@ S_0000000002a87df0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a8bb10_0 .net "a", 0 0, L_0000000002ace740; 1 drivers -v0000000002a8c330_0 .net "b", 0 0, L_0000000002acf6e0; 1 drivers -v0000000002a8bcf0_0 .net "carryAND", 0 0, L_0000000002ae7140; 1 drivers -v0000000002a8dcd0_0 .net "cin", 0 0, L_0000000002acd2a0; 1 drivers -v0000000002a8bbb0_0 .net "ctrl0", 0 0, L_0000000002ace1a0; 1 drivers -v0000000002a8d190_0 .net "nab", 0 0, L_0000000002ae15f0; 1 drivers -v0000000002a8c830_0 .net "orNOR", 0 0, L_0000000002ae6ff0; 1 drivers -v0000000002a8df50_0 .net "res", 0 0, L_0000000002ae6880; 1 drivers -v0000000002a8da50_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a8bf70_0 .net "sumXOR", 0 0, L_0000000002ae6490; 1 drivers -L_0000000002acd520 .part v0000000002a01440_0, 1, 1; -L_0000000002acde80 .part v0000000002a01440_0, 0, 1; -S_0000000002a871f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a87df0; +v0000000000ef97b0_0 .net "a", 0 0, L_0000000002661d40; 1 drivers +v0000000000ef90d0_0 .net "b", 0 0, L_00000000026606c0; 1 drivers +v0000000000ef86d0_0 .net "carryAND", 0 0, L_0000000000f3be70; 1 drivers +v0000000000ef9530_0 .net "cin", 0 0, L_0000000002661e80; 1 drivers +v0000000000ef8090_0 .net "ctrl0", 0 0, L_0000000002661660; 1 drivers +v0000000000ef8270_0 .net "nab", 0 0, L_0000000000f3bbd0; 1 drivers +v0000000000ef83b0_0 .net "orNOR", 0 0, L_0000000000f3c3b0; 1 drivers +v0000000000ef8130_0 .net "res", 0 0, L_0000000000f3b460; 1 drivers +v0000000000ef9670_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000ef8450_0 .net "sumXOR", 0 0, L_0000000000f3bee0; 1 drivers +L_0000000002660580 .part v0000000000e21990_0, 1, 1; +L_00000000026609e0 .part v0000000000e21990_0, 0, 1; +S_0000000000efc890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb390; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1274,32 +1385,32 @@ S_0000000002a871f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a87df .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae15f0/d .functor NAND 1, L_0000000002ace740, L_0000000002acf6e0, C4<1>, C4<1>; -L_0000000002ae15f0 .delay 1 (20000,20000,20000) L_0000000002ae15f0/d; -L_0000000002ae16d0/d .functor NAND 1, L_0000000002acf6e0, L_0000000002acd2a0, C4<1>, C4<1>; -L_0000000002ae16d0 .delay 1 (20000,20000,20000) L_0000000002ae16d0/d; -L_0000000002ae7450/d .functor NAND 1, L_0000000002ace740, L_0000000002acd2a0, C4<1>, C4<1>; -L_0000000002ae7450 .delay 1 (20000,20000,20000) L_0000000002ae7450/d; -L_0000000002ae7140/d .functor NAND 1, L_0000000002ae15f0, L_0000000002ae7450, L_0000000002ae16d0, C4<1>; -L_0000000002ae7140 .delay 1 (30000,30000,30000) L_0000000002ae7140/d; -L_0000000002ae6490/d .functor XOR 1, L_0000000002ace740, L_0000000002acf6e0, L_0000000002acd2a0, C4<0>; -L_0000000002ae6490 .delay 1 (30000,30000,30000) L_0000000002ae6490/d; -L_0000000002ae5e00/d .functor NOR 1, L_0000000002ace740, L_0000000002acf6e0, C4<0>, C4<0>; -L_0000000002ae5e00 .delay 1 (20000,20000,20000) L_0000000002ae5e00/d; -L_0000000002ae6ff0/d .functor XOR 1, L_0000000002ae5e00, L_0000000002ace1a0, C4<0>, C4<0>; -L_0000000002ae6ff0 .delay 1 (20000,20000,20000) L_0000000002ae6ff0/d; -v0000000002943be0_0 .net "a", 0 0, L_0000000002ace740; alias, 1 drivers -v0000000002945080_0 .net "anorb", 0 0, L_0000000002ae5e00; 1 drivers -v00000000029453a0_0 .net "b", 0 0, L_0000000002acf6e0; alias, 1 drivers -v0000000002943d20_0 .net "carryAND", 0 0, L_0000000002ae7140; alias, 1 drivers -v000000000293e500_0 .net "carryin", 0 0, L_0000000002acd2a0; alias, 1 drivers -v000000000293e960_0 .net "i0", 0 0, L_0000000002ace1a0; alias, 1 drivers -v000000000293f680_0 .net "nab", 0 0, L_0000000002ae15f0; alias, 1 drivers -v000000000293ec80_0 .net "nac", 0 0, L_0000000002ae7450; 1 drivers -v000000000293dce0_0 .net "nbc", 0 0, L_0000000002ae16d0; 1 drivers -v000000000293d920_0 .net "orNOR", 0 0, L_0000000002ae6ff0; alias, 1 drivers -v00000000029600a0_0 .net "sumXOR", 0 0, L_0000000002ae6490; alias, 1 drivers -S_0000000002a88b70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a87df0; +L_0000000000f3bbd0/d .functor NAND 1, L_0000000002661d40, L_00000000026606c0, C4<1>, C4<1>; +L_0000000000f3bbd0 .delay 1 (20000,20000,20000) L_0000000000f3bbd0/d; +L_0000000000f3c260/d .functor NAND 1, L_00000000026606c0, L_0000000002661e80, C4<1>, C4<1>; +L_0000000000f3c260 .delay 1 (20000,20000,20000) L_0000000000f3c260/d; +L_0000000000f3c030/d .functor NAND 1, L_0000000002661d40, L_0000000002661e80, C4<1>, C4<1>; +L_0000000000f3c030 .delay 1 (20000,20000,20000) L_0000000000f3c030/d; +L_0000000000f3be70/d .functor NAND 1, L_0000000000f3bbd0, L_0000000000f3c030, L_0000000000f3c260, C4<1>; +L_0000000000f3be70 .delay 1 (30000,30000,30000) L_0000000000f3be70/d; +L_0000000000f3bee0/d .functor XOR 1, L_0000000002661d40, L_00000000026606c0, L_0000000002661e80, C4<0>; +L_0000000000f3bee0 .delay 1 (30000,30000,30000) L_0000000000f3bee0/d; +L_0000000000f3b850/d .functor NOR 1, L_0000000002661d40, L_00000000026606c0, C4<0>, C4<0>; +L_0000000000f3b850 .delay 1 (20000,20000,20000) L_0000000000f3b850/d; +L_0000000000f3c3b0/d .functor XOR 1, L_0000000000f3b850, L_0000000002661660, C4<0>, C4<0>; +L_0000000000f3c3b0 .delay 1 (20000,20000,20000) L_0000000000f3c3b0/d; +v0000000000ef6bf0_0 .net "a", 0 0, L_0000000002661d40; alias, 1 drivers +v0000000000ef6ab0_0 .net "anorb", 0 0, L_0000000000f3b850; 1 drivers +v0000000000ef6e70_0 .net "b", 0 0, L_00000000026606c0; alias, 1 drivers +v0000000000ef5ed0_0 .net "carryAND", 0 0, L_0000000000f3be70; alias, 1 drivers +v0000000000ef6c90_0 .net "carryin", 0 0, L_0000000002661e80; alias, 1 drivers +v0000000000ef7230_0 .net "i0", 0 0, L_0000000002661660; alias, 1 drivers +v0000000000ef72d0_0 .net "nab", 0 0, L_0000000000f3bbd0; alias, 1 drivers +v0000000000ef6fb0_0 .net "nac", 0 0, L_0000000000f3c030; 1 drivers +v0000000000ef7370_0 .net "nbc", 0 0, L_0000000000f3c260; 1 drivers +v0000000000ef7410_0 .net "orNOR", 0 0, L_0000000000f3c3b0; alias, 1 drivers +v0000000000ef66f0_0 .net "sumXOR", 0 0, L_0000000000f3bee0; alias, 1 drivers +S_0000000000efbe10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb390; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1308,43 +1419,46 @@ S_0000000002a88b70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae67a0/d .functor NOT 1, L_0000000002acde80, C4<0>, C4<0>, C4<0>; -L_0000000002ae67a0 .delay 1 (10000,10000,10000) L_0000000002ae67a0/d; -L_0000000002ae61f0/d .functor NOT 1, L_0000000002acd520, C4<0>, C4<0>, C4<0>; -L_0000000002ae61f0 .delay 1 (10000,10000,10000) L_0000000002ae61f0/d; -L_0000000002ae74c0/d .functor NAND 1, L_0000000002acd520, L_0000000002acde80, L_0000000002ae6490, C4<1>; -L_0000000002ae74c0 .delay 1 (30000,30000,30000) L_0000000002ae74c0/d; -L_0000000002ae5ee0/d .functor NAND 1, L_0000000002acd520, L_0000000002ae67a0, L_0000000002ae6ff0, C4<1>; -L_0000000002ae5ee0 .delay 1 (30000,30000,30000) L_0000000002ae5ee0/d; -L_0000000002ae6ce0/d .functor NAND 1, L_0000000002ae61f0, L_0000000002acde80, L_0000000002ae7140, C4<1>; -L_0000000002ae6ce0 .delay 1 (30000,30000,30000) L_0000000002ae6ce0/d; -L_0000000002ae5c40/d .functor NAND 1, L_0000000002ae61f0, L_0000000002ae67a0, L_0000000002ae15f0, C4<1>; -L_0000000002ae5c40 .delay 1 (30000,30000,30000) L_0000000002ae5c40/d; -L_0000000002ae6880/d .functor NAND 1, L_0000000002ae74c0, L_0000000002ae5ee0, L_0000000002ae6ce0, L_0000000002ae5c40; -L_0000000002ae6880 .delay 1 (40000,40000,40000) L_0000000002ae6880/d; -v0000000002960140_0 .net "a", 0 0, L_0000000002ae6490; alias, 1 drivers -v00000000029605a0_0 .net "aout", 0 0, L_0000000002ae74c0; 1 drivers -v0000000002960a00_0 .net "b", 0 0, L_0000000002ae6ff0; alias, 1 drivers -v0000000002960dc0_0 .net "bout", 0 0, L_0000000002ae5ee0; 1 drivers -v0000000002960e60_0 .net "c", 0 0, L_0000000002ae7140; alias, 1 drivers -v0000000002966f70_0 .net "cout", 0 0, L_0000000002ae6ce0; 1 drivers -v0000000002967ab0_0 .net "d", 0 0, L_0000000002ae15f0; alias, 1 drivers -v0000000002967c90_0 .net "dout", 0 0, L_0000000002ae5c40; 1 drivers -v00000000029661b0_0 .net "ns0", 0 0, L_0000000002ae67a0; 1 drivers -v0000000002966250_0 .net "ns1", 0 0, L_0000000002ae61f0; 1 drivers -v00000000029662f0_0 .net "out", 0 0, L_0000000002ae6880; alias, 1 drivers -v0000000002892550_0 .net "s0", 0 0, L_0000000002acde80; 1 drivers -v0000000002a8d9b0_0 .net "s1", 0 0, L_0000000002acd520; 1 drivers -S_0000000002a87370 .scope generate, "aluBits[7]" "aluBits[7]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8260 .param/l "i" 0 4 52, +C4<0111>; -L_0000000002ae7530/d .functor XOR 1, L_0000000002acf5a0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae7530 .delay 1 (50000,50000,50000) L_0000000002ae7530/d; -L_0000000002ae6730/d .functor AND 1, v0000000002a01300_0, L_0000000002aced80, C4<1>, C4<1>; -L_0000000002ae6730 .delay 1 (30000,30000,30000) L_0000000002ae6730/d; -v0000000002a8dd70_0 .net *"_s1", 0 0, L_0000000002acf5a0; 1 drivers -v0000000002a8d2d0_0 .net *"_s3", 0 0, L_0000000002aced80; 1 drivers -S_0000000002a88570 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87370; +L_0000000000f3c340/d .functor NOT 1, L_00000000026609e0, C4<0>, C4<0>, C4<0>; +L_0000000000f3c340 .delay 1 (10000,10000,10000) L_0000000000f3c340/d; +L_0000000000f3b2a0/d .functor NOT 1, L_0000000002660580, C4<0>, C4<0>, C4<0>; +L_0000000000f3b2a0 .delay 1 (10000,10000,10000) L_0000000000f3b2a0/d; +L_0000000000f3c420/d .functor NAND 1, L_0000000002660580, L_00000000026609e0, L_0000000000f3bee0, C4<1>; +L_0000000000f3c420 .delay 1 (30000,30000,30000) L_0000000000f3c420/d; +L_0000000000f3c570/d .functor NAND 1, L_0000000002660580, L_0000000000f3c340, L_0000000000f3c3b0, C4<1>; +L_0000000000f3c570 .delay 1 (30000,30000,30000) L_0000000000f3c570/d; +L_0000000000f3b310/d .functor NAND 1, L_0000000000f3b2a0, L_00000000026609e0, L_0000000000f3be70, C4<1>; +L_0000000000f3b310 .delay 1 (30000,30000,30000) L_0000000000f3b310/d; +L_0000000000f3b930/d .functor NAND 1, L_0000000000f3b2a0, L_0000000000f3c340, L_0000000000f3bbd0, C4<1>; +L_0000000000f3b930 .delay 1 (30000,30000,30000) L_0000000000f3b930/d; +L_0000000000f3b460/d .functor NAND 1, L_0000000000f3c420, L_0000000000f3c570, L_0000000000f3b310, L_0000000000f3b930; +L_0000000000f3b460 .delay 1 (40000,40000,40000) L_0000000000f3b460/d; +v0000000000ef74b0_0 .net "a", 0 0, L_0000000000f3bee0; alias, 1 drivers +v0000000000ef7550_0 .net "aout", 0 0, L_0000000000f3c420; 1 drivers +v0000000000ef9df0_0 .net "b", 0 0, L_0000000000f3c3b0; alias, 1 drivers +v0000000000ef9990_0 .net "bout", 0 0, L_0000000000f3c570; 1 drivers +v0000000000efa250_0 .net "c", 0 0, L_0000000000f3be70; alias, 1 drivers +v0000000000ef8770_0 .net "cout", 0 0, L_0000000000f3b310; 1 drivers +v0000000000ef9850_0 .net "d", 0 0, L_0000000000f3bbd0; alias, 1 drivers +v0000000000efa110_0 .net "dout", 0 0, L_0000000000f3b930; 1 drivers +v0000000000ef8630_0 .net "ns0", 0 0, L_0000000000f3c340; 1 drivers +v0000000000ef88b0_0 .net "ns1", 0 0, L_0000000000f3b2a0; 1 drivers +v0000000000ef8f90_0 .net "out", 0 0, L_0000000000f3b460; alias, 1 drivers +v0000000000efa7f0_0 .net "s0", 0 0, L_00000000026609e0; 1 drivers +v0000000000efa070_0 .net "s1", 0 0, L_0000000002660580; 1 drivers +S_0000000000efc110 .scope generate, "aluBits[7]" "aluBits[7]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e38fc0 .param/l "i" 0 4 49, +C4<0111>; +L_0000000000f3ba10/d .functor XOR 1, L_0000000002661520, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000f3ba10 .delay 1 (20000,20000,20000) L_0000000000f3ba10/d; +L_0000000000f3ba80/d .functor AND 1, v0000000000e20d10_0, L_0000000002662880, C4<1>, C4<1>; +L_0000000000f3ba80 .delay 1 (30000,30000,30000) L_0000000000f3ba80/d; +L_0000000000f3cf10/d .functor AND 1, L_0000000002660f80, L_00000000026f7b50, C4<1>, C4<1>; +L_0000000000f3cf10 .delay 1 (30000,30000,30000) L_0000000000f3cf10/d; +v0000000000efa750_0 .net *"_s1", 0 0, L_0000000002661520; 1 drivers +v0000000000ef89f0_0 .net *"_s3", 0 0, L_0000000002662880; 1 drivers +v0000000000ef8b30_0 .net *"_s9", 0 0, L_0000000002660f80; 1 drivers +S_0000000000efb510 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efc110; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1353,19 +1467,19 @@ S_0000000002a88570 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a8c650_0 .net "a", 0 0, L_0000000002acf780; 1 drivers -v0000000002a8be30_0 .net "b", 0 0, L_0000000002acdd40; 1 drivers -v0000000002a8cdd0_0 .net "carryAND", 0 0, L_0000000002ae68f0; 1 drivers -v0000000002a8cd30_0 .net "cin", 0 0, L_0000000002acf320; 1 drivers -v0000000002a8d4b0_0 .net "ctrl0", 0 0, L_0000000002ace240; 1 drivers -v0000000002a8c150_0 .net "nab", 0 0, L_0000000002ae73e0; 1 drivers -v0000000002a8c6f0_0 .net "orNOR", 0 0, L_0000000002ae59a0; 1 drivers -v0000000002a8c010_0 .net "res", 0 0, L_0000000002ae62d0; 1 drivers -v0000000002a8ca10_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a8ce70_0 .net "sumXOR", 0 0, L_0000000002ae6b20; 1 drivers -L_0000000002acf0a0 .part v0000000002a01440_0, 1, 1; -L_0000000002acd3e0 .part v0000000002a01440_0, 0, 1; -S_0000000002a88cf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a88570; +v0000000000efa570_0 .net "a", 0 0, L_00000000026615c0; 1 drivers +v0000000000ef9c10_0 .net "b", 0 0, L_0000000002661200; 1 drivers +v0000000000ef8c70_0 .net "carryAND", 0 0, L_0000000000f3bc40; 1 drivers +v0000000000ef9cb0_0 .net "cin", 0 0, L_00000000026608a0; 1 drivers +v0000000000ef8ef0_0 .net "ctrl0", 0 0, L_00000000026612a0; 1 drivers +v0000000000ef84f0_0 .net "nab", 0 0, L_0000000000f3c490; 1 drivers +v0000000000ef9d50_0 .net "orNOR", 0 0, L_0000000000f3bf50; 1 drivers +v0000000000efa610_0 .net "res", 0 0, L_0000000000f3cea0; 1 drivers +v0000000000ef8590_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000efa6b0_0 .net "sumXOR", 0 0, L_0000000000f3c5e0; 1 drivers +L_0000000002660c60 .part v0000000000e21990_0, 1, 1; +L_0000000002661fc0 .part v0000000000e21990_0, 0, 1; +S_0000000000efbb10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb510; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1375,32 +1489,32 @@ S_0000000002a88cf0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a8857 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae73e0/d .functor NAND 1, L_0000000002acf780, L_0000000002acdd40, C4<1>, C4<1>; -L_0000000002ae73e0 .delay 1 (20000,20000,20000) L_0000000002ae73e0/d; -L_0000000002ae6c00/d .functor NAND 1, L_0000000002acdd40, L_0000000002acf320, C4<1>, C4<1>; -L_0000000002ae6c00 .delay 1 (20000,20000,20000) L_0000000002ae6c00/d; -L_0000000002ae65e0/d .functor NAND 1, L_0000000002acf780, L_0000000002acf320, C4<1>, C4<1>; -L_0000000002ae65e0 .delay 1 (20000,20000,20000) L_0000000002ae65e0/d; -L_0000000002ae68f0/d .functor NAND 1, L_0000000002ae73e0, L_0000000002ae65e0, L_0000000002ae6c00, C4<1>; -L_0000000002ae68f0 .delay 1 (30000,30000,30000) L_0000000002ae68f0/d; -L_0000000002ae6b20/d .functor XOR 1, L_0000000002acf780, L_0000000002acdd40, L_0000000002acf320, C4<0>; -L_0000000002ae6b20 .delay 1 (30000,30000,30000) L_0000000002ae6b20/d; -L_0000000002ae5bd0/d .functor NOR 1, L_0000000002acf780, L_0000000002acdd40, C4<0>, C4<0>; -L_0000000002ae5bd0 .delay 1 (20000,20000,20000) L_0000000002ae5bd0/d; -L_0000000002ae59a0/d .functor XOR 1, L_0000000002ae5bd0, L_0000000002ace240, C4<0>, C4<0>; -L_0000000002ae59a0 .delay 1 (20000,20000,20000) L_0000000002ae59a0/d; -v0000000002a8bc50_0 .net "a", 0 0, L_0000000002acf780; alias, 1 drivers -v0000000002a8c510_0 .net "anorb", 0 0, L_0000000002ae5bd0; 1 drivers -v0000000002a8ba70_0 .net "b", 0 0, L_0000000002acdd40; alias, 1 drivers -v0000000002a8d0f0_0 .net "carryAND", 0 0, L_0000000002ae68f0; alias, 1 drivers -v0000000002a8c3d0_0 .net "carryin", 0 0, L_0000000002acf320; alias, 1 drivers -v0000000002a8cbf0_0 .net "i0", 0 0, L_0000000002ace240; alias, 1 drivers -v0000000002a8cab0_0 .net "nab", 0 0, L_0000000002ae73e0; alias, 1 drivers -v0000000002a8db90_0 .net "nac", 0 0, L_0000000002ae65e0; 1 drivers -v0000000002a8daf0_0 .net "nbc", 0 0, L_0000000002ae6c00; 1 drivers -v0000000002a8c5b0_0 .net "orNOR", 0 0, L_0000000002ae59a0; alias, 1 drivers -v0000000002a8cc90_0 .net "sumXOR", 0 0, L_0000000002ae6b20; alias, 1 drivers -S_0000000002a889f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a88570; +L_0000000000f3c490/d .functor NAND 1, L_00000000026615c0, L_0000000002661200, C4<1>, C4<1>; +L_0000000000f3c490 .delay 1 (20000,20000,20000) L_0000000000f3c490/d; +L_0000000000f3baf0/d .functor NAND 1, L_0000000002661200, L_00000000026608a0, C4<1>, C4<1>; +L_0000000000f3baf0 .delay 1 (20000,20000,20000) L_0000000000f3baf0/d; +L_0000000000f3bb60/d .functor NAND 1, L_00000000026615c0, L_00000000026608a0, C4<1>, C4<1>; +L_0000000000f3bb60 .delay 1 (20000,20000,20000) L_0000000000f3bb60/d; +L_0000000000f3bc40/d .functor NAND 1, L_0000000000f3c490, L_0000000000f3bb60, L_0000000000f3baf0, C4<1>; +L_0000000000f3bc40 .delay 1 (30000,30000,30000) L_0000000000f3bc40/d; +L_0000000000f3c5e0/d .functor XOR 1, L_00000000026615c0, L_0000000002661200, L_00000000026608a0, C4<0>; +L_0000000000f3c5e0 .delay 1 (30000,30000,30000) L_0000000000f3c5e0/d; +L_0000000000f3bcb0/d .functor NOR 1, L_00000000026615c0, L_0000000002661200, C4<0>, C4<0>; +L_0000000000f3bcb0 .delay 1 (20000,20000,20000) L_0000000000f3bcb0/d; +L_0000000000f3bf50/d .functor XOR 1, L_0000000000f3bcb0, L_00000000026612a0, C4<0>, C4<0>; +L_0000000000f3bf50 .delay 1 (20000,20000,20000) L_0000000000f3bf50/d; +v0000000000ef92b0_0 .net "a", 0 0, L_00000000026615c0; alias, 1 drivers +v0000000000ef8950_0 .net "anorb", 0 0, L_0000000000f3bcb0; 1 drivers +v0000000000efa4d0_0 .net "b", 0 0, L_0000000002661200; alias, 1 drivers +v0000000000ef8810_0 .net "carryAND", 0 0, L_0000000000f3bc40; alias, 1 drivers +v0000000000ef8d10_0 .net "carryin", 0 0, L_00000000026608a0; alias, 1 drivers +v0000000000efa1b0_0 .net "i0", 0 0, L_00000000026612a0; alias, 1 drivers +v0000000000efa2f0_0 .net "nab", 0 0, L_0000000000f3c490; alias, 1 drivers +v0000000000ef9170_0 .net "nac", 0 0, L_0000000000f3bb60; 1 drivers +v0000000000ef98f0_0 .net "nbc", 0 0, L_0000000000f3baf0; 1 drivers +v0000000000ef9ad0_0 .net "orNOR", 0 0, L_0000000000f3bf50; alias, 1 drivers +v0000000000ef9a30_0 .net "sumXOR", 0 0, L_0000000000f3c5e0; alias, 1 drivers +S_0000000000efc290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb510; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1409,43 +1523,46 @@ S_0000000002a889f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae6340/d .functor NOT 1, L_0000000002acd3e0, C4<0>, C4<0>, C4<0>; -L_0000000002ae6340 .delay 1 (10000,10000,10000) L_0000000002ae6340/d; -L_0000000002ae5a10/d .functor NOT 1, L_0000000002acf0a0, C4<0>, C4<0>, C4<0>; -L_0000000002ae5a10 .delay 1 (10000,10000,10000) L_0000000002ae5a10/d; -L_0000000002ae5a80/d .functor NAND 1, L_0000000002acf0a0, L_0000000002acd3e0, L_0000000002ae6b20, C4<1>; -L_0000000002ae5a80 .delay 1 (30000,30000,30000) L_0000000002ae5a80/d; -L_0000000002ae6960/d .functor NAND 1, L_0000000002acf0a0, L_0000000002ae6340, L_0000000002ae59a0, C4<1>; -L_0000000002ae6960 .delay 1 (30000,30000,30000) L_0000000002ae6960/d; -L_0000000002ae7370/d .functor NAND 1, L_0000000002ae5a10, L_0000000002acd3e0, L_0000000002ae68f0, C4<1>; -L_0000000002ae7370 .delay 1 (30000,30000,30000) L_0000000002ae7370/d; -L_0000000002ae6650/d .functor NAND 1, L_0000000002ae5a10, L_0000000002ae6340, L_0000000002ae73e0, C4<1>; -L_0000000002ae6650 .delay 1 (30000,30000,30000) L_0000000002ae6650/d; -L_0000000002ae62d0/d .functor NAND 1, L_0000000002ae5a80, L_0000000002ae6960, L_0000000002ae7370, L_0000000002ae6650; -L_0000000002ae62d0 .delay 1 (40000,40000,40000) L_0000000002ae62d0/d; -v0000000002a8dc30_0 .net "a", 0 0, L_0000000002ae6b20; alias, 1 drivers -v0000000002a8c8d0_0 .net "aout", 0 0, L_0000000002ae5a80; 1 drivers -v0000000002a8bd90_0 .net "b", 0 0, L_0000000002ae59a0; alias, 1 drivers -v0000000002a8cf10_0 .net "bout", 0 0, L_0000000002ae6960; 1 drivers -v0000000002a8c790_0 .net "c", 0 0, L_0000000002ae68f0; alias, 1 drivers -v0000000002a8d910_0 .net "cout", 0 0, L_0000000002ae7370; 1 drivers -v0000000002a8d230_0 .net "d", 0 0, L_0000000002ae73e0; alias, 1 drivers -v0000000002a8d410_0 .net "dout", 0 0, L_0000000002ae6650; 1 drivers -v0000000002a8bed0_0 .net "ns0", 0 0, L_0000000002ae6340; 1 drivers -v0000000002a8b930_0 .net "ns1", 0 0, L_0000000002ae5a10; 1 drivers -v0000000002a8c470_0 .net "out", 0 0, L_0000000002ae62d0; alias, 1 drivers -v0000000002a8b9d0_0 .net "s0", 0 0, L_0000000002acd3e0; 1 drivers -v0000000002a8cb50_0 .net "s1", 0 0, L_0000000002acf0a0; 1 drivers -S_0000000002a87970 .scope generate, "aluBits[8]" "aluBits[8]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f85a0 .param/l "i" 0 4 52, +C4<01000>; -L_0000000002ae69d0/d .functor XOR 1, L_0000000002acf1e0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae69d0 .delay 1 (50000,50000,50000) L_0000000002ae69d0/d; -L_0000000002ae6f80/d .functor AND 1, v0000000002a01300_0, L_0000000002acf3c0, C4<1>, C4<1>; -L_0000000002ae6f80 .delay 1 (30000,30000,30000) L_0000000002ae6f80/d; -v0000000002a8f530_0 .net *"_s1", 0 0, L_0000000002acf1e0; 1 drivers -v0000000002a8ec70_0 .net *"_s3", 0 0, L_0000000002acf3c0; 1 drivers -S_0000000002a886f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87970; +L_0000000000f3c0a0/d .functor NOT 1, L_0000000002661fc0, C4<0>, C4<0>, C4<0>; +L_0000000000f3c0a0 .delay 1 (10000,10000,10000) L_0000000000f3c0a0/d; +L_0000000000f3c110/d .functor NOT 1, L_0000000002660c60, C4<0>, C4<0>, C4<0>; +L_0000000000f3c110 .delay 1 (10000,10000,10000) L_0000000000f3c110/d; +L_0000000000f3ce30/d .functor NAND 1, L_0000000002660c60, L_0000000002661fc0, L_0000000000f3c5e0, C4<1>; +L_0000000000f3ce30 .delay 1 (30000,30000,30000) L_0000000000f3ce30/d; +L_0000000000f3cd50/d .functor NAND 1, L_0000000002660c60, L_0000000000f3c0a0, L_0000000000f3bf50, C4<1>; +L_0000000000f3cd50 .delay 1 (30000,30000,30000) L_0000000000f3cd50/d; +L_0000000000f3d060/d .functor NAND 1, L_0000000000f3c110, L_0000000002661fc0, L_0000000000f3bc40, C4<1>; +L_0000000000f3d060 .delay 1 (30000,30000,30000) L_0000000000f3d060/d; +L_0000000000f3cdc0/d .functor NAND 1, L_0000000000f3c110, L_0000000000f3c0a0, L_0000000000f3c490, C4<1>; +L_0000000000f3cdc0 .delay 1 (30000,30000,30000) L_0000000000f3cdc0/d; +L_0000000000f3cea0/d .functor NAND 1, L_0000000000f3ce30, L_0000000000f3cd50, L_0000000000f3d060, L_0000000000f3cdc0; +L_0000000000f3cea0 .delay 1 (40000,40000,40000) L_0000000000f3cea0/d; +v0000000000ef81d0_0 .net "a", 0 0, L_0000000000f3c5e0; alias, 1 drivers +v0000000000ef9210_0 .net "aout", 0 0, L_0000000000f3ce30; 1 drivers +v0000000000efa390_0 .net "b", 0 0, L_0000000000f3bf50; alias, 1 drivers +v0000000000ef95d0_0 .net "bout", 0 0, L_0000000000f3cd50; 1 drivers +v0000000000ef8a90_0 .net "c", 0 0, L_0000000000f3bc40; alias, 1 drivers +v0000000000ef8310_0 .net "cout", 0 0, L_0000000000f3d060; 1 drivers +v0000000000ef9350_0 .net "d", 0 0, L_0000000000f3c490; alias, 1 drivers +v0000000000efa430_0 .net "dout", 0 0, L_0000000000f3cdc0; 1 drivers +v0000000000ef9fd0_0 .net "ns0", 0 0, L_0000000000f3c0a0; 1 drivers +v0000000000ef93f0_0 .net "ns1", 0 0, L_0000000000f3c110; 1 drivers +v0000000000ef9490_0 .net "out", 0 0, L_0000000000f3cea0; alias, 1 drivers +v0000000000ef9710_0 .net "s0", 0 0, L_0000000002661fc0; 1 drivers +v0000000000ef9b70_0 .net "s1", 0 0, L_0000000002660c60; 1 drivers +S_0000000000efc410 .scope generate, "aluBits[8]" "aluBits[8]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e3a880 .param/l "i" 0 4 49, +C4<01000>; +L_0000000000f3cf80/d .functor XOR 1, L_0000000002660120, v0000000000e1f730_0, C4<0>, C4<0>; +L_0000000000f3cf80 .delay 1 (20000,20000,20000) L_0000000000f3cf80/d; +L_0000000000f3cff0/d .functor AND 1, v0000000000e20d10_0, L_00000000026627e0, C4<1>, C4<1>; +L_0000000000f3cff0 .delay 1 (30000,30000,30000) L_0000000000f3cff0/d; +L_00000000026bcc40/d .functor AND 1, L_0000000002660300, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026bcc40 .delay 1 (30000,30000,30000) L_00000000026bcc40/d; +v0000000000ef3c70_0 .net *"_s1", 0 0, L_0000000002660120; 1 drivers +v0000000000ef5430_0 .net *"_s3", 0 0, L_00000000026627e0; 1 drivers +v0000000000ef3630_0 .net *"_s9", 0 0, L_0000000002660300; 1 drivers +S_0000000000efb990 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efc410; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1454,19 +1571,19 @@ S_0000000002a886f0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a90610_0 .net "a", 0 0, L_0000000002acd340; 1 drivers -v0000000002a8f490_0 .net "b", 0 0, L_0000000002acf820; 1 drivers -v0000000002a8f8f0_0 .net "carryAND", 0 0, L_0000000002ae5fc0; 1 drivers -v0000000002a8e090_0 .net "cin", 0 0, L_0000000002ace6a0; 1 drivers -v0000000002a8e450_0 .net "ctrl0", 0 0, L_0000000002acdde0; 1 drivers -v0000000002a8f670_0 .net "nab", 0 0, L_0000000002ae71b0; 1 drivers -v0000000002a907f0_0 .net "orNOR", 0 0, L_0000000002ae70d0; 1 drivers -v0000000002a8ef90_0 .net "res", 0 0, L_0000000002ae6e30; 1 drivers -v0000000002a8e1d0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a8e270_0 .net "sumXOR", 0 0, L_0000000002ae5cb0; 1 drivers -L_0000000002acf640 .part v0000000002a01440_0, 1, 1; -L_0000000002acd660 .part v0000000002a01440_0, 0, 1; -S_0000000002a880f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a886f0; +v0000000000ef52f0_0 .net "a", 0 0, L_00000000026624c0; 1 drivers +v0000000000ef5390_0 .net "b", 0 0, L_0000000002662380; 1 drivers +v0000000000ef3590_0 .net "carryAND", 0 0, L_00000000026be5a0; 1 drivers +v0000000000ef3f90_0 .net "cin", 0 0, L_0000000002662060; 1 drivers +v0000000000ef45d0_0 .net "ctrl0", 0 0, L_0000000002661340; 1 drivers +v0000000000ef3b30_0 .net "nab", 0 0, L_00000000026be680; 1 drivers +v0000000000ef4990_0 .net "orNOR", 0 0, L_00000000026be840; 1 drivers +v0000000000ef3bd0_0 .net "res", 0 0, L_00000000026be370; 1 drivers +v0000000000ef4e90_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000ef4fd0_0 .net "sumXOR", 0 0, L_00000000026be760; 1 drivers +L_0000000002660d00 .part v0000000000e21990_0, 1, 1; +L_0000000002661f20 .part v0000000000e21990_0, 0, 1; +S_0000000000efc590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb990; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1476,32 +1593,32 @@ S_0000000002a880f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a886f .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae71b0/d .functor NAND 1, L_0000000002acd340, L_0000000002acf820, C4<1>, C4<1>; -L_0000000002ae71b0 .delay 1 (20000,20000,20000) L_0000000002ae71b0/d; -L_0000000002ae6500/d .functor NAND 1, L_0000000002acf820, L_0000000002ace6a0, C4<1>, C4<1>; -L_0000000002ae6500 .delay 1 (20000,20000,20000) L_0000000002ae6500/d; -L_0000000002ae5af0/d .functor NAND 1, L_0000000002acd340, L_0000000002ace6a0, C4<1>, C4<1>; -L_0000000002ae5af0 .delay 1 (20000,20000,20000) L_0000000002ae5af0/d; -L_0000000002ae5fc0/d .functor NAND 1, L_0000000002ae71b0, L_0000000002ae5af0, L_0000000002ae6500, C4<1>; -L_0000000002ae5fc0 .delay 1 (30000,30000,30000) L_0000000002ae5fc0/d; -L_0000000002ae5cb0/d .functor XOR 1, L_0000000002acd340, L_0000000002acf820, L_0000000002ace6a0, C4<0>; -L_0000000002ae5cb0 .delay 1 (30000,30000,30000) L_0000000002ae5cb0/d; -L_0000000002ae5b60/d .functor NOR 1, L_0000000002acd340, L_0000000002acf820, C4<0>, C4<0>; -L_0000000002ae5b60 .delay 1 (20000,20000,20000) L_0000000002ae5b60/d; -L_0000000002ae70d0/d .functor XOR 1, L_0000000002ae5b60, L_0000000002acdde0, C4<0>, C4<0>; -L_0000000002ae70d0 .delay 1 (20000,20000,20000) L_0000000002ae70d0/d; -v0000000002a8d370_0 .net "a", 0 0, L_0000000002acd340; alias, 1 drivers -v0000000002a8d050_0 .net "anorb", 0 0, L_0000000002ae5b60; 1 drivers -v0000000002a8cfb0_0 .net "b", 0 0, L_0000000002acf820; alias, 1 drivers -v0000000002a8c0b0_0 .net "carryAND", 0 0, L_0000000002ae5fc0; alias, 1 drivers -v0000000002a8c970_0 .net "carryin", 0 0, L_0000000002ace6a0; alias, 1 drivers -v0000000002a8d550_0 .net "i0", 0 0, L_0000000002acdde0; alias, 1 drivers -v0000000002a8d690_0 .net "nab", 0 0, L_0000000002ae71b0; alias, 1 drivers -v0000000002a8d730_0 .net "nac", 0 0, L_0000000002ae5af0; 1 drivers -v0000000002a8c1f0_0 .net "nbc", 0 0, L_0000000002ae6500; 1 drivers -v0000000002a8c290_0 .net "orNOR", 0 0, L_0000000002ae70d0; alias, 1 drivers -v0000000002a8d7d0_0 .net "sumXOR", 0 0, L_0000000002ae5cb0; alias, 1 drivers -S_0000000002a88870 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a886f0; +L_00000000026be680/d .functor NAND 1, L_00000000026624c0, L_0000000002662380, C4<1>, C4<1>; +L_00000000026be680 .delay 1 (20000,20000,20000) L_00000000026be680/d; +L_00000000026be530/d .functor NAND 1, L_0000000002662380, L_0000000002662060, C4<1>, C4<1>; +L_00000000026be530 .delay 1 (20000,20000,20000) L_00000000026be530/d; +L_00000000026be6f0/d .functor NAND 1, L_00000000026624c0, L_0000000002662060, C4<1>, C4<1>; +L_00000000026be6f0 .delay 1 (20000,20000,20000) L_00000000026be6f0/d; +L_00000000026be5a0/d .functor NAND 1, L_00000000026be680, L_00000000026be6f0, L_00000000026be530, C4<1>; +L_00000000026be5a0 .delay 1 (30000,30000,30000) L_00000000026be5a0/d; +L_00000000026be760/d .functor XOR 1, L_00000000026624c0, L_0000000002662380, L_0000000002662060, C4<0>; +L_00000000026be760 .delay 1 (30000,30000,30000) L_00000000026be760/d; +L_00000000026be7d0/d .functor NOR 1, L_00000000026624c0, L_0000000002662380, C4<0>, C4<0>; +L_00000000026be7d0 .delay 1 (20000,20000,20000) L_00000000026be7d0/d; +L_00000000026be840/d .functor XOR 1, L_00000000026be7d0, L_0000000002661340, C4<0>, C4<0>; +L_00000000026be840 .delay 1 (20000,20000,20000) L_00000000026be840/d; +v0000000000ef8bd0_0 .net "a", 0 0, L_00000000026624c0; alias, 1 drivers +v0000000000ef8db0_0 .net "anorb", 0 0, L_00000000026be7d0; 1 drivers +v0000000000ef8e50_0 .net "b", 0 0, L_0000000002662380; alias, 1 drivers +v0000000000efaed0_0 .net "carryAND", 0 0, L_00000000026be5a0; alias, 1 drivers +v0000000000efa9d0_0 .net "carryin", 0 0, L_0000000002662060; alias, 1 drivers +v0000000000efacf0_0 .net "i0", 0 0, L_0000000002661340; alias, 1 drivers +v0000000000efaf70_0 .net "nab", 0 0, L_00000000026be680; alias, 1 drivers +v0000000000efad90_0 .net "nac", 0 0, L_00000000026be6f0; 1 drivers +v0000000000efa890_0 .net "nbc", 0 0, L_00000000026be530; 1 drivers +v0000000000efaa70_0 .net "orNOR", 0 0, L_00000000026be840; alias, 1 drivers +v0000000000efae30_0 .net "sumXOR", 0 0, L_00000000026be760; alias, 1 drivers +S_0000000000efce90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb990; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1510,43 +1627,46 @@ S_0000000002a88870 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae6110/d .functor NOT 1, L_0000000002acd660, C4<0>, C4<0>, C4<0>; -L_0000000002ae6110 .delay 1 (10000,10000,10000) L_0000000002ae6110/d; -L_0000000002ae6dc0/d .functor NOT 1, L_0000000002acf640, C4<0>, C4<0>, C4<0>; -L_0000000002ae6dc0 .delay 1 (10000,10000,10000) L_0000000002ae6dc0/d; -L_0000000002ae5d20/d .functor NAND 1, L_0000000002acf640, L_0000000002acd660, L_0000000002ae5cb0, C4<1>; -L_0000000002ae5d20 .delay 1 (30000,30000,30000) L_0000000002ae5d20/d; -L_0000000002ae6c70/d .functor NAND 1, L_0000000002acf640, L_0000000002ae6110, L_0000000002ae70d0, C4<1>; -L_0000000002ae6c70 .delay 1 (30000,30000,30000) L_0000000002ae6c70/d; -L_0000000002ae63b0/d .functor NAND 1, L_0000000002ae6dc0, L_0000000002acd660, L_0000000002ae5fc0, C4<1>; -L_0000000002ae63b0 .delay 1 (30000,30000,30000) L_0000000002ae63b0/d; -L_0000000002ae6420/d .functor NAND 1, L_0000000002ae6dc0, L_0000000002ae6110, L_0000000002ae71b0, C4<1>; -L_0000000002ae6420 .delay 1 (30000,30000,30000) L_0000000002ae6420/d; -L_0000000002ae6e30/d .functor NAND 1, L_0000000002ae5d20, L_0000000002ae6c70, L_0000000002ae63b0, L_0000000002ae6420; -L_0000000002ae6e30 .delay 1 (40000,40000,40000) L_0000000002ae6e30/d; -v0000000002a8de10_0 .net "a", 0 0, L_0000000002ae5cb0; alias, 1 drivers -v0000000002a8d870_0 .net "aout", 0 0, L_0000000002ae5d20; 1 drivers -v0000000002a8deb0_0 .net "b", 0 0, L_0000000002ae70d0; alias, 1 drivers -v0000000002a8dff0_0 .net "bout", 0 0, L_0000000002ae6c70; 1 drivers -v0000000002a8e4f0_0 .net "c", 0 0, L_0000000002ae5fc0; alias, 1 drivers -v0000000002a8e8b0_0 .net "cout", 0 0, L_0000000002ae63b0; 1 drivers -v0000000002a8fdf0_0 .net "d", 0 0, L_0000000002ae71b0; alias, 1 drivers -v0000000002a8eb30_0 .net "dout", 0 0, L_0000000002ae6420; 1 drivers -v0000000002a8ebd0_0 .net "ns0", 0 0, L_0000000002ae6110; 1 drivers -v0000000002a8f990_0 .net "ns1", 0 0, L_0000000002ae6dc0; 1 drivers -v0000000002a8e130_0 .net "out", 0 0, L_0000000002ae6e30; alias, 1 drivers -v0000000002a8f850_0 .net "s0", 0 0, L_0000000002acd660; 1 drivers -v0000000002a8fa30_0 .net "s1", 0 0, L_0000000002acf640; 1 drivers -S_0000000002a87c70 .scope generate, "aluBits[9]" "aluBits[9]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f85e0 .param/l "i" 0 4 52, +C4<01001>; -L_0000000002ae5d90/d .functor XOR 1, L_0000000002acd200, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae5d90 .delay 1 (50000,50000,50000) L_0000000002ae5d90/d; -L_0000000002ae7060/d .functor AND 1, v0000000002a01300_0, L_0000000002acf460, C4<1>, C4<1>; -L_0000000002ae7060 .delay 1 (30000,30000,30000) L_0000000002ae7060/d; -v0000000002a8ff30_0 .net *"_s1", 0 0, L_0000000002acd200; 1 drivers -v0000000002a8ffd0_0 .net *"_s3", 0 0, L_0000000002acf460; 1 drivers -S_0000000002a87070 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87c70; +L_00000000026be610/d .functor NOT 1, L_0000000002661f20, C4<0>, C4<0>, C4<0>; +L_00000000026be610 .delay 1 (10000,10000,10000) L_00000000026be610/d; +L_00000000026bd0a0/d .functor NOT 1, L_0000000002660d00, C4<0>, C4<0>, C4<0>; +L_00000000026bd0a0 .delay 1 (10000,10000,10000) L_00000000026bd0a0/d; +L_00000000026bcf50/d .functor NAND 1, L_0000000002660d00, L_0000000002661f20, L_00000000026be760, C4<1>; +L_00000000026bcf50 .delay 1 (30000,30000,30000) L_00000000026bcf50/d; +L_00000000026bcbd0/d .functor NAND 1, L_0000000002660d00, L_00000000026be610, L_00000000026be840, C4<1>; +L_00000000026bcbd0 .delay 1 (30000,30000,30000) L_00000000026bcbd0/d; +L_00000000026bd3b0/d .functor NAND 1, L_00000000026bd0a0, L_0000000002661f20, L_00000000026be5a0, C4<1>; +L_00000000026bd3b0 .delay 1 (30000,30000,30000) L_00000000026bd3b0/d; +L_00000000026bc9a0/d .functor NAND 1, L_00000000026bd0a0, L_00000000026be610, L_00000000026be680, C4<1>; +L_00000000026bc9a0 .delay 1 (30000,30000,30000) L_00000000026bc9a0/d; +L_00000000026be370/d .functor NAND 1, L_00000000026bcf50, L_00000000026bcbd0, L_00000000026bd3b0, L_00000000026bc9a0; +L_00000000026be370 .delay 1 (40000,40000,40000) L_00000000026be370/d; +v0000000000efab10_0 .net "a", 0 0, L_00000000026be760; alias, 1 drivers +v0000000000efac50_0 .net "aout", 0 0, L_00000000026bcf50; 1 drivers +v0000000000efa930_0 .net "b", 0 0, L_00000000026be840; alias, 1 drivers +v0000000000efabb0_0 .net "bout", 0 0, L_00000000026bcbd0; 1 drivers +v0000000000ef54d0_0 .net "c", 0 0, L_00000000026be5a0; alias, 1 drivers +v0000000000ef4f30_0 .net "cout", 0 0, L_00000000026bd3b0; 1 drivers +v0000000000ef4530_0 .net "d", 0 0, L_00000000026be680; alias, 1 drivers +v0000000000ef3090_0 .net "dout", 0 0, L_00000000026bc9a0; 1 drivers +v0000000000ef43f0_0 .net "ns0", 0 0, L_00000000026be610; 1 drivers +v0000000000ef33b0_0 .net "ns1", 0 0, L_00000000026bd0a0; 1 drivers +v0000000000ef3130_0 .net "out", 0 0, L_00000000026be370; alias, 1 drivers +v0000000000ef4670_0 .net "s0", 0 0, L_0000000002661f20; 1 drivers +v0000000000ef31d0_0 .net "s1", 0 0, L_0000000002660d00; 1 drivers +S_0000000000efca10 .scope generate, "aluBits[9]" "aluBits[9]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e3aa80 .param/l "i" 0 4 49, +C4<01001>; +L_00000000026bcd20/d .functor XOR 1, L_0000000002661b60, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026bcd20 .delay 1 (20000,20000,20000) L_00000000026bcd20/d; +L_00000000026bccb0/d .functor AND 1, v0000000000e20d10_0, L_0000000002661700, C4<1>, C4<1>; +L_00000000026bccb0 .delay 1 (30000,30000,30000) L_00000000026bccb0/d; +L_00000000026bcd90/d .functor AND 1, L_00000000026617a0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026bcd90 .delay 1 (30000,30000,30000) L_00000000026bcd90/d; +v0000000000ef4a30_0 .net *"_s1", 0 0, L_0000000002661b60; 1 drivers +v0000000000ef42b0_0 .net *"_s3", 0 0, L_0000000002661700; 1 drivers +v0000000000ef4350_0 .net *"_s9", 0 0, L_00000000026617a0; 1 drivers +S_0000000000efcb90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efca10; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1555,19 +1675,19 @@ S_0000000002a87070 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a8f170_0 .net "a", 0 0, L_0000000002acf280; 1 drivers -v0000000002a8f5d0_0 .net "b", 0 0, L_0000000002acdfc0; 1 drivers -v0000000002a8e810_0 .net "carryAND", 0 0, L_0000000002ae6570; 1 drivers -v0000000002a8fb70_0 .net "cin", 0 0, L_0000000002acf500; 1 drivers -v0000000002a901b0_0 .net "ctrl0", 0 0, L_0000000002acda20; 1 drivers -v0000000002a8f7b0_0 .net "nab", 0 0, L_0000000002ae5e70; 1 drivers -v0000000002a8e950_0 .net "orNOR", 0 0, L_0000000002ae7220; 1 drivers -v0000000002a8e9f0_0 .net "res", 0 0, L_0000000002ae6030; 1 drivers -v0000000002a8f0d0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a8fe90_0 .net "sumXOR", 0 0, L_0000000002ae6ab0; 1 drivers -L_0000000002acdf20 .part v0000000002a01440_0, 1, 1; -L_0000000002ace7e0 .part v0000000002a01440_0, 0, 1; -S_0000000002a874f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a87070; +v0000000000ef3770_0 .net "a", 0 0, L_0000000002660940; 1 drivers +v0000000000ef3810_0 .net "b", 0 0, L_00000000026613e0; 1 drivers +v0000000000ef4ad0_0 .net "carryAND", 0 0, L_00000000026bca80; 1 drivers +v0000000000ef3950_0 .net "cin", 0 0, L_0000000002660b20; 1 drivers +v0000000000ef39f0_0 .net "ctrl0", 0 0, L_0000000002662560; 1 drivers +v0000000000ef3a90_0 .net "nab", 0 0, L_00000000026bd1f0; 1 drivers +v0000000000ef3db0_0 .net "orNOR", 0 0, L_00000000026bd110; 1 drivers +v0000000000ef3e50_0 .net "res", 0 0, L_00000000026bc930; 1 drivers +v0000000000ef4170_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000ef4210_0 .net "sumXOR", 0 0, L_00000000026bd9d0; 1 drivers +L_0000000002661ca0 .part v0000000000e21990_0, 1, 1; +L_00000000026622e0 .part v0000000000e21990_0, 0, 1; +S_0000000000efb090 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efcb90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1577,32 +1697,32 @@ S_0000000002a874f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a8707 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae5e70/d .functor NAND 1, L_0000000002acf280, L_0000000002acdfc0, C4<1>, C4<1>; -L_0000000002ae5e70 .delay 1 (20000,20000,20000) L_0000000002ae5e70/d; -L_0000000002ae66c0/d .functor NAND 1, L_0000000002acdfc0, L_0000000002acf500, C4<1>, C4<1>; -L_0000000002ae66c0 .delay 1 (20000,20000,20000) L_0000000002ae66c0/d; -L_0000000002ae6a40/d .functor NAND 1, L_0000000002acf280, L_0000000002acf500, C4<1>, C4<1>; -L_0000000002ae6a40 .delay 1 (20000,20000,20000) L_0000000002ae6a40/d; -L_0000000002ae6570/d .functor NAND 1, L_0000000002ae5e70, L_0000000002ae6a40, L_0000000002ae66c0, C4<1>; -L_0000000002ae6570 .delay 1 (30000,30000,30000) L_0000000002ae6570/d; -L_0000000002ae6ab0/d .functor XOR 1, L_0000000002acf280, L_0000000002acdfc0, L_0000000002acf500, C4<0>; -L_0000000002ae6ab0 .delay 1 (30000,30000,30000) L_0000000002ae6ab0/d; -L_0000000002ae6260/d .functor NOR 1, L_0000000002acf280, L_0000000002acdfc0, C4<0>, C4<0>; -L_0000000002ae6260 .delay 1 (20000,20000,20000) L_0000000002ae6260/d; -L_0000000002ae7220/d .functor XOR 1, L_0000000002ae6260, L_0000000002acda20, C4<0>, C4<0>; -L_0000000002ae7220 .delay 1 (20000,20000,20000) L_0000000002ae7220/d; -v0000000002a8edb0_0 .net "a", 0 0, L_0000000002acf280; alias, 1 drivers -v0000000002a90750_0 .net "anorb", 0 0, L_0000000002ae6260; 1 drivers -v0000000002a8e310_0 .net "b", 0 0, L_0000000002acdfc0; alias, 1 drivers -v0000000002a8ed10_0 .net "carryAND", 0 0, L_0000000002ae6570; alias, 1 drivers -v0000000002a8ee50_0 .net "carryin", 0 0, L_0000000002acf500; alias, 1 drivers -v0000000002a906b0_0 .net "i0", 0 0, L_0000000002acda20; alias, 1 drivers -v0000000002a8e630_0 .net "nab", 0 0, L_0000000002ae5e70; alias, 1 drivers -v0000000002a902f0_0 .net "nac", 0 0, L_0000000002ae6a40; 1 drivers -v0000000002a90250_0 .net "nbc", 0 0, L_0000000002ae66c0; 1 drivers -v0000000002a8fc10_0 .net "orNOR", 0 0, L_0000000002ae7220; alias, 1 drivers -v0000000002a8e3b0_0 .net "sumXOR", 0 0, L_0000000002ae6ab0; alias, 1 drivers -S_0000000002a88e70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a87070; +L_00000000026bd1f0/d .functor NAND 1, L_0000000002660940, L_00000000026613e0, C4<1>, C4<1>; +L_00000000026bd1f0 .delay 1 (20000,20000,20000) L_00000000026bd1f0/d; +L_00000000026bd500/d .functor NAND 1, L_00000000026613e0, L_0000000002660b20, C4<1>, C4<1>; +L_00000000026bd500 .delay 1 (20000,20000,20000) L_00000000026bd500/d; +L_00000000026bca10/d .functor NAND 1, L_0000000002660940, L_0000000002660b20, C4<1>, C4<1>; +L_00000000026bca10 .delay 1 (20000,20000,20000) L_00000000026bca10/d; +L_00000000026bca80/d .functor NAND 1, L_00000000026bd1f0, L_00000000026bca10, L_00000000026bd500, C4<1>; +L_00000000026bca80 .delay 1 (30000,30000,30000) L_00000000026bca80/d; +L_00000000026bd9d0/d .functor XOR 1, L_0000000002660940, L_00000000026613e0, L_0000000002660b20, C4<0>; +L_00000000026bd9d0 .delay 1 (30000,30000,30000) L_00000000026bd9d0/d; +L_00000000026bdf80/d .functor NOR 1, L_0000000002660940, L_00000000026613e0, C4<0>, C4<0>; +L_00000000026bdf80 .delay 1 (20000,20000,20000) L_00000000026bdf80/d; +L_00000000026bd110/d .functor XOR 1, L_00000000026bdf80, L_0000000002662560, C4<0>, C4<0>; +L_00000000026bd110 .delay 1 (20000,20000,20000) L_00000000026bd110/d; +v0000000000ef5250_0 .net "a", 0 0, L_0000000002660940; alias, 1 drivers +v0000000000ef3310_0 .net "anorb", 0 0, L_00000000026bdf80; 1 drivers +v0000000000ef5570_0 .net "b", 0 0, L_00000000026613e0; alias, 1 drivers +v0000000000ef3450_0 .net "carryAND", 0 0, L_00000000026bca80; alias, 1 drivers +v0000000000ef4850_0 .net "carryin", 0 0, L_0000000002660b20; alias, 1 drivers +v0000000000ef3ef0_0 .net "i0", 0 0, L_0000000002662560; alias, 1 drivers +v0000000000ef5070_0 .net "nab", 0 0, L_00000000026bd1f0; alias, 1 drivers +v0000000000ef4d50_0 .net "nac", 0 0, L_00000000026bca10; 1 drivers +v0000000000ef5110_0 .net "nbc", 0 0, L_00000000026bd500; 1 drivers +v0000000000ef4710_0 .net "orNOR", 0 0, L_00000000026bd110; alias, 1 drivers +v0000000000ef5610_0 .net "sumXOR", 0 0, L_00000000026bd9d0; alias, 1 drivers +S_0000000000efcd10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efcb90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1611,43 +1731,46 @@ S_0000000002a88e70 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae7290/d .functor NOT 1, L_0000000002ace7e0, C4<0>, C4<0>, C4<0>; -L_0000000002ae7290 .delay 1 (10000,10000,10000) L_0000000002ae7290/d; -L_0000000002ae6d50/d .functor NOT 1, L_0000000002acdf20, C4<0>, C4<0>, C4<0>; -L_0000000002ae6d50 .delay 1 (10000,10000,10000) L_0000000002ae6d50/d; -L_0000000002ae7300/d .functor NAND 1, L_0000000002acdf20, L_0000000002ace7e0, L_0000000002ae6ab0, C4<1>; -L_0000000002ae7300 .delay 1 (30000,30000,30000) L_0000000002ae7300/d; -L_0000000002ae6810/d .functor NAND 1, L_0000000002acdf20, L_0000000002ae7290, L_0000000002ae7220, C4<1>; -L_0000000002ae6810 .delay 1 (30000,30000,30000) L_0000000002ae6810/d; -L_0000000002ae5f50/d .functor NAND 1, L_0000000002ae6d50, L_0000000002ace7e0, L_0000000002ae6570, C4<1>; -L_0000000002ae5f50 .delay 1 (30000,30000,30000) L_0000000002ae5f50/d; -L_0000000002ae6b90/d .functor NAND 1, L_0000000002ae6d50, L_0000000002ae7290, L_0000000002ae5e70, C4<1>; -L_0000000002ae6b90 .delay 1 (30000,30000,30000) L_0000000002ae6b90/d; -L_0000000002ae6030/d .functor NAND 1, L_0000000002ae7300, L_0000000002ae6810, L_0000000002ae5f50, L_0000000002ae6b90; -L_0000000002ae6030 .delay 1 (40000,40000,40000) L_0000000002ae6030/d; -v0000000002a8e590_0 .net "a", 0 0, L_0000000002ae6ab0; alias, 1 drivers -v0000000002a8eef0_0 .net "aout", 0 0, L_0000000002ae7300; 1 drivers -v0000000002a8f2b0_0 .net "b", 0 0, L_0000000002ae7220; alias, 1 drivers -v0000000002a90110_0 .net "bout", 0 0, L_0000000002ae6810; 1 drivers -v0000000002a8f710_0 .net "c", 0 0, L_0000000002ae6570; alias, 1 drivers -v0000000002a8e6d0_0 .net "cout", 0 0, L_0000000002ae5f50; 1 drivers -v0000000002a8f030_0 .net "d", 0 0, L_0000000002ae5e70; alias, 1 drivers -v0000000002a8e770_0 .net "dout", 0 0, L_0000000002ae6b90; 1 drivers -v0000000002a8fad0_0 .net "ns0", 0 0, L_0000000002ae7290; 1 drivers -v0000000002a8f350_0 .net "ns1", 0 0, L_0000000002ae6d50; 1 drivers -v0000000002a8f3f0_0 .net "out", 0 0, L_0000000002ae6030; alias, 1 drivers -v0000000002a8fcb0_0 .net "s0", 0 0, L_0000000002ace7e0; 1 drivers -v0000000002a8fd50_0 .net "s1", 0 0, L_0000000002acdf20; 1 drivers -S_0000000002a87670 .scope generate, "aluBits[10]" "aluBits[10]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8a20 .param/l "i" 0 4 52, +C4<01010>; -L_0000000002ae60a0/d .functor XOR 1, L_0000000002acd5c0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae60a0 .delay 1 (50000,50000,50000) L_0000000002ae60a0/d; -L_0000000002ae6ea0/d .functor AND 1, v0000000002a01300_0, L_0000000002acf8c0, C4<1>, C4<1>; -L_0000000002ae6ea0 .delay 1 (30000,30000,30000) L_0000000002ae6ea0/d; -v0000000002a89810_0 .net *"_s1", 0 0, L_0000000002acd5c0; 1 drivers -v0000000002a8a710_0 .net *"_s3", 0 0, L_0000000002acf8c0; 1 drivers -S_0000000002a92a10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a87670; +L_00000000026bd570/d .functor NOT 1, L_00000000026622e0, C4<0>, C4<0>, C4<0>; +L_00000000026bd570 .delay 1 (10000,10000,10000) L_00000000026bd570/d; +L_00000000026bdab0/d .functor NOT 1, L_0000000002661ca0, C4<0>, C4<0>, C4<0>; +L_00000000026bdab0 .delay 1 (10000,10000,10000) L_00000000026bdab0/d; +L_00000000026bdb90/d .functor NAND 1, L_0000000002661ca0, L_00000000026622e0, L_00000000026bd9d0, C4<1>; +L_00000000026bdb90 .delay 1 (30000,30000,30000) L_00000000026bdb90/d; +L_00000000026bd490/d .functor NAND 1, L_0000000002661ca0, L_00000000026bd570, L_00000000026bd110, C4<1>; +L_00000000026bd490 .delay 1 (30000,30000,30000) L_00000000026bd490/d; +L_00000000026bd420/d .functor NAND 1, L_00000000026bdab0, L_00000000026622e0, L_00000000026bca80, C4<1>; +L_00000000026bd420 .delay 1 (30000,30000,30000) L_00000000026bd420/d; +L_00000000026bcaf0/d .functor NAND 1, L_00000000026bdab0, L_00000000026bd570, L_00000000026bd1f0, C4<1>; +L_00000000026bcaf0 .delay 1 (30000,30000,30000) L_00000000026bcaf0/d; +L_00000000026bc930/d .functor NAND 1, L_00000000026bdb90, L_00000000026bd490, L_00000000026bd420, L_00000000026bcaf0; +L_00000000026bc930 .delay 1 (40000,40000,40000) L_00000000026bc930/d; +v0000000000ef34f0_0 .net "a", 0 0, L_00000000026bd9d0; alias, 1 drivers +v0000000000ef38b0_0 .net "aout", 0 0, L_00000000026bdb90; 1 drivers +v0000000000ef57f0_0 .net "b", 0 0, L_00000000026bd110; alias, 1 drivers +v0000000000ef51b0_0 .net "bout", 0 0, L_00000000026bd490; 1 drivers +v0000000000ef47b0_0 .net "c", 0 0, L_00000000026bca80; alias, 1 drivers +v0000000000ef3d10_0 .net "cout", 0 0, L_00000000026bd420; 1 drivers +v0000000000ef56b0_0 .net "d", 0 0, L_00000000026bd1f0; alias, 1 drivers +v0000000000ef48f0_0 .net "dout", 0 0, L_00000000026bcaf0; 1 drivers +v0000000000ef40d0_0 .net "ns0", 0 0, L_00000000026bd570; 1 drivers +v0000000000ef36d0_0 .net "ns1", 0 0, L_00000000026bdab0; 1 drivers +v0000000000ef5750_0 .net "out", 0 0, L_00000000026bc930; alias, 1 drivers +v0000000000ef3270_0 .net "s0", 0 0, L_00000000026622e0; 1 drivers +v0000000000ef4030_0 .net "s1", 0 0, L_0000000002661ca0; 1 drivers +S_0000000000efb210 .scope generate, "aluBits[10]" "aluBits[10]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e3ac80 .param/l "i" 0 4 49, +C4<01010>; +L_00000000026bdce0/d .functor XOR 1, L_0000000002661840, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026bdce0 .delay 1 (20000,20000,20000) L_00000000026bdce0/d; +L_00000000026bdd50/d .functor AND 1, v0000000000e20d10_0, L_0000000002660da0, C4<1>, C4<1>; +L_00000000026bdd50 .delay 1 (30000,30000,30000) L_00000000026bdd50/d; +L_00000000026bcb60/d .functor AND 1, L_0000000002660760, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026bcb60 .delay 1 (30000,30000,30000) L_00000000026bcb60/d; +v0000000000f08790_0 .net *"_s1", 0 0, L_0000000002661840; 1 drivers +v0000000000f080b0_0 .net *"_s3", 0 0, L_0000000002660da0; 1 drivers +v0000000000f068f0_0 .net *"_s9", 0 0, L_0000000002660760; 1 drivers +S_0000000000f00730 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efb210; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1656,19 +1779,19 @@ S_0000000002a92a10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a893b0_0 .net "a", 0 0, L_0000000002acd480; 1 drivers -v0000000002a8ab70_0 .net "b", 0 0, L_0000000002acd700; 1 drivers -v0000000002a8a7b0_0 .net "carryAND", 0 0, L_0000000002ae75a0; 1 drivers -v0000000002a8a530_0 .net "cin", 0 0, L_0000000002ace060; 1 drivers -v0000000002a8aa30_0 .net "ctrl0", 0 0, L_0000000002aceba0; 1 drivers -v0000000002a8a8f0_0 .net "nab", 0 0, L_0000000002ae6180; 1 drivers -v0000000002a894f0_0 .net "orNOR", 0 0, L_0000000002ae7610; 1 drivers -v0000000002a89270_0 .net "res", 0 0, L_0000000002b405c0; 1 drivers -v0000000002a89770_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a89b30_0 .net "sumXOR", 0 0, L_0000000002ae78b0; 1 drivers -L_0000000002acd160 .part v0000000002a01440_0, 1, 1; -L_0000000002aceec0 .part v0000000002a01440_0, 0, 1; -S_0000000002a91510 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92a10; +v0000000000f06990_0 .net "a", 0 0, L_0000000002661020; 1 drivers +v0000000000f083d0_0 .net "b", 0 0, L_0000000002661de0; 1 drivers +v0000000000f07750_0 .net "carryAND", 0 0, L_00000000026bd260; 1 drivers +v0000000000f06d50_0 .net "cin", 0 0, L_00000000026603a0; 1 drivers +v0000000000f06df0_0 .net "ctrl0", 0 0, L_00000000026621a0; 1 drivers +v0000000000f081f0_0 .net "nab", 0 0, L_00000000026be220; 1 drivers +v0000000000f071b0_0 .net "orNOR", 0 0, L_00000000026be290; 1 drivers +v0000000000f07930_0 .net "res", 0 0, L_00000000026bd030; 1 drivers +v0000000000f06210_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f077f0_0 .net "sumXOR", 0 0, L_00000000026be4c0; 1 drivers +L_0000000002661a20 .part v0000000000e21990_0, 1, 1; +L_00000000026601c0 .part v0000000000e21990_0, 0, 1; +S_0000000000f008b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f00730; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1678,32 +1801,32 @@ S_0000000002a91510 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92a1 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002ae6180/d .functor NAND 1, L_0000000002acd480, L_0000000002acd700, C4<1>, C4<1>; -L_0000000002ae6180 .delay 1 (20000,20000,20000) L_0000000002ae6180/d; -L_0000000002ae6f10/d .functor NAND 1, L_0000000002acd700, L_0000000002ace060, C4<1>, C4<1>; -L_0000000002ae6f10 .delay 1 (20000,20000,20000) L_0000000002ae6f10/d; -L_0000000002ae7760/d .functor NAND 1, L_0000000002acd480, L_0000000002ace060, C4<1>, C4<1>; -L_0000000002ae7760 .delay 1 (20000,20000,20000) L_0000000002ae7760/d; -L_0000000002ae75a0/d .functor NAND 1, L_0000000002ae6180, L_0000000002ae7760, L_0000000002ae6f10, C4<1>; -L_0000000002ae75a0 .delay 1 (30000,30000,30000) L_0000000002ae75a0/d; -L_0000000002ae78b0/d .functor XOR 1, L_0000000002acd480, L_0000000002acd700, L_0000000002ace060, C4<0>; -L_0000000002ae78b0 .delay 1 (30000,30000,30000) L_0000000002ae78b0/d; -L_0000000002ae77d0/d .functor NOR 1, L_0000000002acd480, L_0000000002acd700, C4<0>, C4<0>; -L_0000000002ae77d0 .delay 1 (20000,20000,20000) L_0000000002ae77d0/d; -L_0000000002ae7610/d .functor XOR 1, L_0000000002ae77d0, L_0000000002aceba0, C4<0>, C4<0>; -L_0000000002ae7610 .delay 1 (20000,20000,20000) L_0000000002ae7610/d; -v0000000002a8ea90_0 .net "a", 0 0, L_0000000002acd480; alias, 1 drivers -v0000000002a8f210_0 .net "anorb", 0 0, L_0000000002ae77d0; 1 drivers -v0000000002a90070_0 .net "b", 0 0, L_0000000002acd700; alias, 1 drivers -v0000000002a90390_0 .net "carryAND", 0 0, L_0000000002ae75a0; alias, 1 drivers -v0000000002a90430_0 .net "carryin", 0 0, L_0000000002ace060; alias, 1 drivers -v0000000002a904d0_0 .net "i0", 0 0, L_0000000002aceba0; alias, 1 drivers -v0000000002a90570_0 .net "nab", 0 0, L_0000000002ae6180; alias, 1 drivers -v0000000002a90930_0 .net "nac", 0 0, L_0000000002ae7760; 1 drivers -v0000000002a90cf0_0 .net "nbc", 0 0, L_0000000002ae6f10; 1 drivers -v0000000002a90bb0_0 .net "orNOR", 0 0, L_0000000002ae7610; alias, 1 drivers -v0000000002a90b10_0 .net "sumXOR", 0 0, L_0000000002ae78b0; alias, 1 drivers -S_0000000002a92890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92a10; +L_00000000026be220/d .functor NAND 1, L_0000000002661020, L_0000000002661de0, C4<1>, C4<1>; +L_00000000026be220 .delay 1 (20000,20000,20000) L_00000000026be220/d; +L_00000000026bcee0/d .functor NAND 1, L_0000000002661de0, L_00000000026603a0, C4<1>, C4<1>; +L_00000000026bcee0 .delay 1 (20000,20000,20000) L_00000000026bcee0/d; +L_00000000026bce00/d .functor NAND 1, L_0000000002661020, L_00000000026603a0, C4<1>, C4<1>; +L_00000000026bce00 .delay 1 (20000,20000,20000) L_00000000026bce00/d; +L_00000000026bd260/d .functor NAND 1, L_00000000026be220, L_00000000026bce00, L_00000000026bcee0, C4<1>; +L_00000000026bd260 .delay 1 (30000,30000,30000) L_00000000026bd260/d; +L_00000000026be4c0/d .functor XOR 1, L_0000000002661020, L_0000000002661de0, L_00000000026603a0, C4<0>; +L_00000000026be4c0 .delay 1 (30000,30000,30000) L_00000000026be4c0/d; +L_00000000026be3e0/d .functor NOR 1, L_0000000002661020, L_0000000002661de0, C4<0>, C4<0>; +L_00000000026be3e0 .delay 1 (20000,20000,20000) L_00000000026be3e0/d; +L_00000000026be290/d .functor XOR 1, L_00000000026be3e0, L_00000000026621a0, C4<0>, C4<0>; +L_00000000026be290 .delay 1 (20000,20000,20000) L_00000000026be290/d; +v0000000000ef4490_0 .net "a", 0 0, L_0000000002661020; alias, 1 drivers +v0000000000ef4b70_0 .net "anorb", 0 0, L_00000000026be3e0; 1 drivers +v0000000000ef4c10_0 .net "b", 0 0, L_0000000002661de0; alias, 1 drivers +v0000000000ef4cb0_0 .net "carryAND", 0 0, L_00000000026bd260; alias, 1 drivers +v0000000000ef4df0_0 .net "carryin", 0 0, L_00000000026603a0; alias, 1 drivers +v0000000000f07f70_0 .net "i0", 0 0, L_00000000026621a0; alias, 1 drivers +v0000000000f08830_0 .net "nab", 0 0, L_00000000026be220; alias, 1 drivers +v0000000000f07890_0 .net "nac", 0 0, L_00000000026bce00; 1 drivers +v0000000000f06710_0 .net "nbc", 0 0, L_00000000026bcee0; 1 drivers +v0000000000f07570_0 .net "orNOR", 0 0, L_00000000026be290; alias, 1 drivers +v0000000000f060d0_0 .net "sumXOR", 0 0, L_00000000026be4c0; alias, 1 drivers +S_0000000000eff0b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f00730; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1712,43 +1835,46 @@ S_0000000002a92890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae7840/d .functor NOT 1, L_0000000002aceec0, C4<0>, C4<0>, C4<0>; -L_0000000002ae7840 .delay 1 (10000,10000,10000) L_0000000002ae7840/d; -L_0000000002ae7680/d .functor NOT 1, L_0000000002acd160, C4<0>, C4<0>, C4<0>; -L_0000000002ae7680 .delay 1 (10000,10000,10000) L_0000000002ae7680/d; -L_0000000002ae76f0/d .functor NAND 1, L_0000000002acd160, L_0000000002aceec0, L_0000000002ae78b0, C4<1>; -L_0000000002ae76f0 .delay 1 (30000,30000,30000) L_0000000002ae76f0/d; -L_0000000002b40630/d .functor NAND 1, L_0000000002acd160, L_0000000002ae7840, L_0000000002ae7610, C4<1>; -L_0000000002b40630 .delay 1 (30000,30000,30000) L_0000000002b40630/d; -L_0000000002b41b30/d .functor NAND 1, L_0000000002ae7680, L_0000000002aceec0, L_0000000002ae75a0, C4<1>; -L_0000000002b41b30 .delay 1 (30000,30000,30000) L_0000000002b41b30/d; -L_0000000002b410b0/d .functor NAND 1, L_0000000002ae7680, L_0000000002ae7840, L_0000000002ae6180, C4<1>; -L_0000000002b410b0 .delay 1 (30000,30000,30000) L_0000000002b410b0/d; -L_0000000002b405c0/d .functor NAND 1, L_0000000002ae76f0, L_0000000002b40630, L_0000000002b41b30, L_0000000002b410b0; -L_0000000002b405c0 .delay 1 (40000,40000,40000) L_0000000002b405c0/d; -v0000000002a90ed0_0 .net "a", 0 0, L_0000000002ae78b0; alias, 1 drivers -v0000000002a90d90_0 .net "aout", 0 0, L_0000000002ae76f0; 1 drivers -v0000000002a90f70_0 .net "b", 0 0, L_0000000002ae7610; alias, 1 drivers -v0000000002a90890_0 .net "bout", 0 0, L_0000000002b40630; 1 drivers -v0000000002a909d0_0 .net "c", 0 0, L_0000000002ae75a0; alias, 1 drivers -v0000000002a90c50_0 .net "cout", 0 0, L_0000000002b41b30; 1 drivers -v0000000002a90a70_0 .net "d", 0 0, L_0000000002ae6180; alias, 1 drivers -v0000000002a90e30_0 .net "dout", 0 0, L_0000000002b410b0; 1 drivers -v0000000002a89c70_0 .net "ns0", 0 0, L_0000000002ae7840; 1 drivers -v0000000002a8a5d0_0 .net "ns1", 0 0, L_0000000002ae7680; 1 drivers -v0000000002a8a490_0 .net "out", 0 0, L_0000000002b405c0; alias, 1 drivers -v0000000002a89310_0 .net "s0", 0 0, L_0000000002aceec0; 1 drivers -v0000000002a8a670_0 .net "s1", 0 0, L_0000000002acd160; 1 drivers -S_0000000002a91090 .scope generate, "aluBits[11]" "aluBits[11]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7fa0 .param/l "i" 0 4 52, +C4<01011>; -L_0000000002b40320/d .functor XOR 1, L_0000000002acd7a0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b40320 .delay 1 (50000,50000,50000) L_0000000002b40320/d; -L_0000000002b41120/d .functor AND 1, v0000000002a01300_0, L_0000000002ace9c0, C4<1>, C4<1>; -L_0000000002b41120 .delay 1 (30000,30000,30000) L_0000000002b41120/d; -v0000000002a8b610_0 .net *"_s1", 0 0, L_0000000002acd7a0; 1 drivers -v0000000002a89f90_0 .net *"_s3", 0 0, L_0000000002ace9c0; 1 drivers -S_0000000002a91210 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a91090; +L_00000000026be140/d .functor NOT 1, L_00000000026601c0, C4<0>, C4<0>, C4<0>; +L_00000000026be140 .delay 1 (10000,10000,10000) L_00000000026be140/d; +L_00000000026bdff0/d .functor NOT 1, L_0000000002661a20, C4<0>, C4<0>, C4<0>; +L_00000000026bdff0 .delay 1 (10000,10000,10000) L_00000000026bdff0/d; +L_00000000026bdb20/d .functor NAND 1, L_0000000002661a20, L_00000000026601c0, L_00000000026be4c0, C4<1>; +L_00000000026bdb20 .delay 1 (30000,30000,30000) L_00000000026bdb20/d; +L_00000000026bcfc0/d .functor NAND 1, L_0000000002661a20, L_00000000026be140, L_00000000026be290, C4<1>; +L_00000000026bcfc0 .delay 1 (30000,30000,30000) L_00000000026bcfc0/d; +L_00000000026bd6c0/d .functor NAND 1, L_00000000026bdff0, L_00000000026601c0, L_00000000026bd260, C4<1>; +L_00000000026bd6c0 .delay 1 (30000,30000,30000) L_00000000026bd6c0/d; +L_00000000026bd2d0/d .functor NAND 1, L_00000000026bdff0, L_00000000026be140, L_00000000026be220, C4<1>; +L_00000000026bd2d0 .delay 1 (30000,30000,30000) L_00000000026bd2d0/d; +L_00000000026bd030/d .functor NAND 1, L_00000000026bdb20, L_00000000026bcfc0, L_00000000026bd6c0, L_00000000026bd2d0; +L_00000000026bd030 .delay 1 (40000,40000,40000) L_00000000026bd030/d; +v0000000000f06f30_0 .net "a", 0 0, L_00000000026be4c0; alias, 1 drivers +v0000000000f07610_0 .net "aout", 0 0, L_00000000026bdb20; 1 drivers +v0000000000f07e30_0 .net "b", 0 0, L_00000000026be290; alias, 1 drivers +v0000000000f07ed0_0 .net "bout", 0 0, L_00000000026bcfc0; 1 drivers +v0000000000f065d0_0 .net "c", 0 0, L_00000000026bd260; alias, 1 drivers +v0000000000f06fd0_0 .net "cout", 0 0, L_00000000026bd6c0; 1 drivers +v0000000000f076b0_0 .net "d", 0 0, L_00000000026be220; alias, 1 drivers +v0000000000f07110_0 .net "dout", 0 0, L_00000000026bd2d0; 1 drivers +v0000000000f08150_0 .net "ns0", 0 0, L_00000000026be140; 1 drivers +v0000000000f06b70_0 .net "ns1", 0 0, L_00000000026bdff0; 1 drivers +v0000000000f08010_0 .net "out", 0 0, L_00000000026bd030; alias, 1 drivers +v0000000000f06670_0 .net "s0", 0 0, L_00000000026601c0; 1 drivers +v0000000000f06c10_0 .net "s1", 0 0, L_0000000002661a20; 1 drivers +S_0000000000f00a30 .scope generate, "aluBits[11]" "aluBits[11]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e39d00 .param/l "i" 0 4 49, +C4<01011>; +L_00000000026bd7a0/d .functor XOR 1, L_00000000026610c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026bd7a0 .delay 1 (20000,20000,20000) L_00000000026bd7a0/d; +L_00000000026bce70/d .functor AND 1, v0000000000e20d10_0, L_0000000002662240, C4<1>, C4<1>; +L_00000000026bce70 .delay 1 (30000,30000,30000) L_00000000026bce70/d; +L_00000000026bddc0/d .functor AND 1, L_0000000002663320, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026bddc0 .delay 1 (30000,30000,30000) L_00000000026bddc0/d; +v0000000000f08b50_0 .net *"_s1", 0 0, L_00000000026610c0; 1 drivers +v0000000000f08f10_0 .net *"_s3", 0 0, L_0000000002662240; 1 drivers +v0000000000f08ab0_0 .net *"_s9", 0 0, L_0000000002663320; 1 drivers +S_0000000000eff230 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f00a30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1757,19 +1883,19 @@ S_0000000002a91210 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a8b110_0 .net "a", 0 0, L_0000000002ace100; 1 drivers -v0000000002a8b2f0_0 .net "b", 0 0, L_0000000002ace880; 1 drivers -v0000000002a89130_0 .net "carryAND", 0 0, L_0000000002b41190; 1 drivers -v0000000002a8b390_0 .net "cin", 0 0, L_0000000002acee20; 1 drivers -v0000000002a89450_0 .net "ctrl0", 0 0, L_0000000002ace920; 1 drivers -v0000000002a89ef0_0 .net "nab", 0 0, L_0000000002b40400; 1 drivers -v0000000002a8b430_0 .net "orNOR", 0 0, L_0000000002b40be0; 1 drivers -v0000000002a8b4d0_0 .net "res", 0 0, L_0000000002b41430; 1 drivers -v0000000002a899f0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a8b570_0 .net "sumXOR", 0 0, L_0000000002b41ba0; 1 drivers -L_0000000002acdc00 .part v0000000002a01440_0, 1, 1; -L_0000000002acdca0 .part v0000000002a01440_0, 0, 1; -S_0000000002a91c90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a91210; +v0000000000f06350_0 .net "a", 0 0, L_0000000002665080; 1 drivers +v0000000000f074d0_0 .net "b", 0 0, L_0000000002663780; 1 drivers +v0000000000f07d90_0 .net "carryAND", 0 0, L_00000000026bd650; 1 drivers +v0000000000f086f0_0 .net "cin", 0 0, L_00000000026640e0; 1 drivers +v0000000000f06530_0 .net "ctrl0", 0 0, L_0000000002663280; 1 drivers +v0000000000f067b0_0 .net "nab", 0 0, L_00000000026bd5e0; 1 drivers +v0000000000f06850_0 .net "orNOR", 0 0, L_00000000026bd880; 1 drivers +v0000000000f06e90_0 .net "res", 0 0, L_00000000026be0d0; 1 drivers +v0000000000f08c90_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f08e70_0 .net "sumXOR", 0 0, L_00000000026bd730; 1 drivers +L_0000000002662600 .part v0000000000e21990_0, 1, 1; +L_00000000026626a0 .part v0000000000e21990_0, 0, 1; +S_0000000000eff6b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff230; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1779,32 +1905,32 @@ S_0000000002a91c90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a9121 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b40400/d .functor NAND 1, L_0000000002ace100, L_0000000002ace880, C4<1>, C4<1>; -L_0000000002b40400 .delay 1 (20000,20000,20000) L_0000000002b40400/d; -L_0000000002b40c50/d .functor NAND 1, L_0000000002ace880, L_0000000002acee20, C4<1>, C4<1>; -L_0000000002b40c50 .delay 1 (20000,20000,20000) L_0000000002b40c50/d; -L_0000000002b41890/d .functor NAND 1, L_0000000002ace100, L_0000000002acee20, C4<1>, C4<1>; -L_0000000002b41890 .delay 1 (20000,20000,20000) L_0000000002b41890/d; -L_0000000002b41190/d .functor NAND 1, L_0000000002b40400, L_0000000002b41890, L_0000000002b40c50, C4<1>; -L_0000000002b41190 .delay 1 (30000,30000,30000) L_0000000002b41190/d; -L_0000000002b41ba0/d .functor XOR 1, L_0000000002ace100, L_0000000002ace880, L_0000000002acee20, C4<0>; -L_0000000002b41ba0 .delay 1 (30000,30000,30000) L_0000000002b41ba0/d; -L_0000000002b40fd0/d .functor NOR 1, L_0000000002ace100, L_0000000002ace880, C4<0>, C4<0>; -L_0000000002b40fd0 .delay 1 (20000,20000,20000) L_0000000002b40fd0/d; -L_0000000002b40be0/d .functor XOR 1, L_0000000002b40fd0, L_0000000002ace920, C4<0>, C4<0>; -L_0000000002b40be0 .delay 1 (20000,20000,20000) L_0000000002b40be0/d; -v0000000002a89590_0 .net "a", 0 0, L_0000000002ace100; alias, 1 drivers -v0000000002a8a850_0 .net "anorb", 0 0, L_0000000002b40fd0; 1 drivers -v0000000002a8a990_0 .net "b", 0 0, L_0000000002ace880; alias, 1 drivers -v0000000002a8a2b0_0 .net "carryAND", 0 0, L_0000000002b41190; alias, 1 drivers -v0000000002a89db0_0 .net "carryin", 0 0, L_0000000002acee20; alias, 1 drivers -v0000000002a896d0_0 .net "i0", 0 0, L_0000000002ace920; alias, 1 drivers -v0000000002a8b250_0 .net "nab", 0 0, L_0000000002b40400; alias, 1 drivers -v0000000002a8aad0_0 .net "nac", 0 0, L_0000000002b41890; 1 drivers -v0000000002a89e50_0 .net "nbc", 0 0, L_0000000002b40c50; 1 drivers -v0000000002a8a350_0 .net "orNOR", 0 0, L_0000000002b40be0; alias, 1 drivers -v0000000002a8ac10_0 .net "sumXOR", 0 0, L_0000000002b41ba0; alias, 1 drivers -S_0000000002a91690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a91210; +L_00000000026bd5e0/d .functor NAND 1, L_0000000002665080, L_0000000002663780, C4<1>, C4<1>; +L_00000000026bd5e0 .delay 1 (20000,20000,20000) L_00000000026bd5e0/d; +L_00000000026bd180/d .functor NAND 1, L_0000000002663780, L_00000000026640e0, C4<1>, C4<1>; +L_00000000026bd180 .delay 1 (20000,20000,20000) L_00000000026bd180/d; +L_00000000026bd340/d .functor NAND 1, L_0000000002665080, L_00000000026640e0, C4<1>, C4<1>; +L_00000000026bd340 .delay 1 (20000,20000,20000) L_00000000026bd340/d; +L_00000000026bd650/d .functor NAND 1, L_00000000026bd5e0, L_00000000026bd340, L_00000000026bd180, C4<1>; +L_00000000026bd650 .delay 1 (30000,30000,30000) L_00000000026bd650/d; +L_00000000026bd730/d .functor XOR 1, L_0000000002665080, L_0000000002663780, L_00000000026640e0, C4<0>; +L_00000000026bd730 .delay 1 (30000,30000,30000) L_00000000026bd730/d; +L_00000000026bd810/d .functor NOR 1, L_0000000002665080, L_0000000002663780, C4<0>, C4<0>; +L_00000000026bd810 .delay 1 (20000,20000,20000) L_00000000026bd810/d; +L_00000000026bd880/d .functor XOR 1, L_00000000026bd810, L_0000000002663280, C4<0>, C4<0>; +L_00000000026bd880 .delay 1 (20000,20000,20000) L_00000000026bd880/d; +v0000000000f06a30_0 .net "a", 0 0, L_0000000002665080; alias, 1 drivers +v0000000000f07250_0 .net "anorb", 0 0, L_00000000026bd810; 1 drivers +v0000000000f063f0_0 .net "b", 0 0, L_0000000002663780; alias, 1 drivers +v0000000000f079d0_0 .net "carryAND", 0 0, L_00000000026bd650; alias, 1 drivers +v0000000000f06170_0 .net "carryin", 0 0, L_00000000026640e0; alias, 1 drivers +v0000000000f08470_0 .net "i0", 0 0, L_0000000002663280; alias, 1 drivers +v0000000000f08290_0 .net "nab", 0 0, L_00000000026bd5e0; alias, 1 drivers +v0000000000f07a70_0 .net "nac", 0 0, L_00000000026bd340; 1 drivers +v0000000000f06ad0_0 .net "nbc", 0 0, L_00000000026bd180; 1 drivers +v0000000000f08330_0 .net "orNOR", 0 0, L_00000000026bd880; alias, 1 drivers +v0000000000f07b10_0 .net "sumXOR", 0 0, L_00000000026bd730; alias, 1 drivers +S_0000000000efffb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000eff230; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1813,43 +1939,46 @@ S_0000000002a91690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b40390/d .functor NOT 1, L_0000000002acdca0, C4<0>, C4<0>, C4<0>; -L_0000000002b40390 .delay 1 (10000,10000,10000) L_0000000002b40390/d; -L_0000000002b41740/d .functor NOT 1, L_0000000002acdc00, C4<0>, C4<0>, C4<0>; -L_0000000002b41740 .delay 1 (10000,10000,10000) L_0000000002b41740/d; -L_0000000002b40ef0/d .functor NAND 1, L_0000000002acdc00, L_0000000002acdca0, L_0000000002b41ba0, C4<1>; -L_0000000002b40ef0 .delay 1 (30000,30000,30000) L_0000000002b40ef0/d; -L_0000000002b41820/d .functor NAND 1, L_0000000002acdc00, L_0000000002b40390, L_0000000002b40be0, C4<1>; -L_0000000002b41820 .delay 1 (30000,30000,30000) L_0000000002b41820/d; -L_0000000002b40a90/d .functor NAND 1, L_0000000002b41740, L_0000000002acdca0, L_0000000002b41190, C4<1>; -L_0000000002b40a90 .delay 1 (30000,30000,30000) L_0000000002b40a90/d; -L_0000000002b40d30/d .functor NAND 1, L_0000000002b41740, L_0000000002b40390, L_0000000002b40400, C4<1>; -L_0000000002b40d30 .delay 1 (30000,30000,30000) L_0000000002b40d30/d; -L_0000000002b41430/d .functor NAND 1, L_0000000002b40ef0, L_0000000002b41820, L_0000000002b40a90, L_0000000002b40d30; -L_0000000002b41430 .delay 1 (40000,40000,40000) L_0000000002b41430/d; -v0000000002a8afd0_0 .net "a", 0 0, L_0000000002b41ba0; alias, 1 drivers -v0000000002a8acb0_0 .net "aout", 0 0, L_0000000002b40ef0; 1 drivers -v0000000002a89950_0 .net "b", 0 0, L_0000000002b40be0; alias, 1 drivers -v0000000002a8a3f0_0 .net "bout", 0 0, L_0000000002b41820; 1 drivers -v0000000002a8b7f0_0 .net "c", 0 0, L_0000000002b41190; alias, 1 drivers -v0000000002a898b0_0 .net "cout", 0 0, L_0000000002b40a90; 1 drivers -v0000000002a8a210_0 .net "d", 0 0, L_0000000002b40400; alias, 1 drivers -v0000000002a8ad50_0 .net "dout", 0 0, L_0000000002b40d30; 1 drivers -v0000000002a8b1b0_0 .net "ns0", 0 0, L_0000000002b40390; 1 drivers -v0000000002a8adf0_0 .net "ns1", 0 0, L_0000000002b41740; 1 drivers -v0000000002a8ae90_0 .net "out", 0 0, L_0000000002b41430; alias, 1 drivers -v0000000002a8af30_0 .net "s0", 0 0, L_0000000002acdca0; 1 drivers -v0000000002a8b070_0 .net "s1", 0 0, L_0000000002acdc00; 1 drivers -S_0000000002a92110 .scope generate, "aluBits[12]" "aluBits[12]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8a60 .param/l "i" 0 4 52, +C4<01100>; -L_0000000002b40860/d .functor XOR 1, L_0000000002acea60, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b40860 .delay 1 (50000,50000,50000) L_0000000002b40860/d; -L_0000000002b41660/d .functor AND 1, v0000000002a01300_0, L_0000000002acd840, C4<1>, C4<1>; -L_0000000002b41660 .delay 1 (30000,30000,30000) L_0000000002b41660/d; -v0000000002a9c5c0_0 .net *"_s1", 0 0, L_0000000002acea60; 1 drivers -v0000000002a9d7e0_0 .net *"_s3", 0 0, L_0000000002acd840; 1 drivers -S_0000000002a92410 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92110; +L_00000000026bda40/d .functor NOT 1, L_00000000026626a0, C4<0>, C4<0>, C4<0>; +L_00000000026bda40 .delay 1 (10000,10000,10000) L_00000000026bda40/d; +L_00000000026bd8f0/d .functor NOT 1, L_0000000002662600, C4<0>, C4<0>, C4<0>; +L_00000000026bd8f0 .delay 1 (10000,10000,10000) L_00000000026bd8f0/d; +L_00000000026bdea0/d .functor NAND 1, L_0000000002662600, L_00000000026626a0, L_00000000026bd730, C4<1>; +L_00000000026bdea0 .delay 1 (30000,30000,30000) L_00000000026bdea0/d; +L_00000000026bd960/d .functor NAND 1, L_0000000002662600, L_00000000026bda40, L_00000000026bd880, C4<1>; +L_00000000026bd960 .delay 1 (30000,30000,30000) L_00000000026bd960/d; +L_00000000026bdc00/d .functor NAND 1, L_00000000026bd8f0, L_00000000026626a0, L_00000000026bd650, C4<1>; +L_00000000026bdc00 .delay 1 (30000,30000,30000) L_00000000026bdc00/d; +L_00000000026bdc70/d .functor NAND 1, L_00000000026bd8f0, L_00000000026bda40, L_00000000026bd5e0, C4<1>; +L_00000000026bdc70 .delay 1 (30000,30000,30000) L_00000000026bdc70/d; +L_00000000026be0d0/d .functor NAND 1, L_00000000026bdea0, L_00000000026bd960, L_00000000026bdc00, L_00000000026bdc70; +L_00000000026be0d0 .delay 1 (40000,40000,40000) L_00000000026be0d0/d; +v0000000000f07bb0_0 .net "a", 0 0, L_00000000026bd730; alias, 1 drivers +v0000000000f07390_0 .net "aout", 0 0, L_00000000026bdea0; 1 drivers +v0000000000f07430_0 .net "b", 0 0, L_00000000026bd880; alias, 1 drivers +v0000000000f07070_0 .net "bout", 0 0, L_00000000026bd960; 1 drivers +v0000000000f07c50_0 .net "c", 0 0, L_00000000026bd650; alias, 1 drivers +v0000000000f08650_0 .net "cout", 0 0, L_00000000026bdc00; 1 drivers +v0000000000f062b0_0 .net "d", 0 0, L_00000000026bd5e0; alias, 1 drivers +v0000000000f06cb0_0 .net "dout", 0 0, L_00000000026bdc70; 1 drivers +v0000000000f07cf0_0 .net "ns0", 0 0, L_00000000026bda40; 1 drivers +v0000000000f06490_0 .net "ns1", 0 0, L_00000000026bd8f0; 1 drivers +v0000000000f08510_0 .net "out", 0 0, L_00000000026be0d0; alias, 1 drivers +v0000000000f085b0_0 .net "s0", 0 0, L_00000000026626a0; 1 drivers +v0000000000f072f0_0 .net "s1", 0 0, L_0000000002662600; 1 drivers +S_0000000000eff530 .scope generate, "aluBits[12]" "aluBits[12]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e3a540 .param/l "i" 0 4 49, +C4<01100>; +L_00000000026bde30/d .functor XOR 1, L_0000000002664d60, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026bde30 .delay 1 (20000,20000,20000) L_00000000026bde30/d; +L_00000000026bdf10/d .functor AND 1, v0000000000e20d10_0, L_0000000002664cc0, C4<1>, C4<1>; +L_00000000026bdf10 .delay 1 (30000,30000,30000) L_00000000026bdf10/d; +L_00000000026c74b0/d .functor AND 1, L_00000000026629c0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026c74b0 .delay 1 (30000,30000,30000) L_00000000026c74b0/d; +v0000000000f01a30_0 .net *"_s1", 0 0, L_0000000002664d60; 1 drivers +v0000000000f02bb0_0 .net *"_s3", 0 0, L_0000000002664cc0; 1 drivers +v0000000000f03330_0 .net *"_s9", 0 0, L_00000000026629c0; 1 drivers +S_0000000000eff830 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000eff530; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1858,19 +1987,19 @@ S_0000000002a92410 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a9d420_0 .net "a", 0 0, L_0000000002acd980; 1 drivers -v0000000002a9e5a0_0 .net "b", 0 0, L_0000000002acdac0; 1 drivers -v0000000002a9e280_0 .net "carryAND", 0 0, L_0000000002b41510; 1 drivers -v0000000002a9cde0_0 .net "cin", 0 0, L_0000000002aceb00; 1 drivers -v0000000002a9d4c0_0 .net "ctrl0", 0 0, L_0000000002ace2e0; 1 drivers -v0000000002a9da60_0 .net "nab", 0 0, L_0000000002b419e0; 1 drivers -v0000000002a9dec0_0 .net "orNOR", 0 0, L_0000000002b416d0; 1 drivers -v0000000002a9cd40_0 .net "res", 0 0, L_0000000002b409b0; 1 drivers -v0000000002a9ce80_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a9e6e0_0 .net "sumXOR", 0 0, L_0000000002b40160; 1 drivers -L_0000000002ace4c0 .part v0000000002a01440_0, 1, 1; -L_0000000002acd8e0 .part v0000000002a01440_0, 0, 1; -S_0000000002a91810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92410; +v0000000000f02570_0 .net "a", 0 0, L_0000000002664ea0; 1 drivers +v0000000000f03830_0 .net "b", 0 0, L_00000000026649a0; 1 drivers +v0000000000f010d0_0 .net "carryAND", 0 0, L_00000000026be450; 1 drivers +v0000000000f012b0_0 .net "cin", 0 0, L_0000000002662e20; 1 drivers +v0000000000f018f0_0 .net "ctrl0", 0 0, L_00000000026638c0; 1 drivers +v0000000000f01170_0 .net "nab", 0 0, L_00000000026be060; 1 drivers +v0000000000f013f0_0 .net "orNOR", 0 0, L_00000000026c6a30; 1 drivers +v0000000000f02610_0 .net "res", 0 0, L_00000000026c7fa0; 1 drivers +v0000000000f01ad0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f01490_0 .net "sumXOR", 0 0, L_00000000026c6cd0; 1 drivers +L_0000000002663960 .part v0000000000e21990_0, 1, 1; +L_0000000002662c40 .part v0000000000e21990_0, 0, 1; +S_0000000000eff3b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff830; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1880,32 +2009,32 @@ S_0000000002a91810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a9241 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b419e0/d .functor NAND 1, L_0000000002acd980, L_0000000002acdac0, C4<1>, C4<1>; -L_0000000002b419e0 .delay 1 (20000,20000,20000) L_0000000002b419e0/d; -L_0000000002b40470/d .functor NAND 1, L_0000000002acdac0, L_0000000002aceb00, C4<1>, C4<1>; -L_0000000002b40470 .delay 1 (20000,20000,20000) L_0000000002b40470/d; -L_0000000002b40e10/d .functor NAND 1, L_0000000002acd980, L_0000000002aceb00, C4<1>, C4<1>; -L_0000000002b40e10 .delay 1 (20000,20000,20000) L_0000000002b40e10/d; -L_0000000002b41510/d .functor NAND 1, L_0000000002b419e0, L_0000000002b40e10, L_0000000002b40470, C4<1>; -L_0000000002b41510 .delay 1 (30000,30000,30000) L_0000000002b41510/d; -L_0000000002b40160/d .functor XOR 1, L_0000000002acd980, L_0000000002acdac0, L_0000000002aceb00, C4<0>; -L_0000000002b40160 .delay 1 (30000,30000,30000) L_0000000002b40160/d; -L_0000000002b40710/d .functor NOR 1, L_0000000002acd980, L_0000000002acdac0, C4<0>, C4<0>; -L_0000000002b40710 .delay 1 (20000,20000,20000) L_0000000002b40710/d; -L_0000000002b416d0/d .functor XOR 1, L_0000000002b40710, L_0000000002ace2e0, C4<0>, C4<0>; -L_0000000002b416d0 .delay 1 (20000,20000,20000) L_0000000002b416d0/d; -v0000000002a89a90_0 .net "a", 0 0, L_0000000002acd980; alias, 1 drivers -v0000000002a8b6b0_0 .net "anorb", 0 0, L_0000000002b40710; 1 drivers -v0000000002a8b750_0 .net "b", 0 0, L_0000000002acdac0; alias, 1 drivers -v0000000002a89090_0 .net "carryAND", 0 0, L_0000000002b41510; alias, 1 drivers -v0000000002a89bd0_0 .net "carryin", 0 0, L_0000000002aceb00; alias, 1 drivers -v0000000002a89630_0 .net "i0", 0 0, L_0000000002ace2e0; alias, 1 drivers -v0000000002a891d0_0 .net "nab", 0 0, L_0000000002b419e0; alias, 1 drivers -v0000000002a89d10_0 .net "nac", 0 0, L_0000000002b40e10; 1 drivers -v0000000002a8a030_0 .net "nbc", 0 0, L_0000000002b40470; 1 drivers -v0000000002a8a0d0_0 .net "orNOR", 0 0, L_0000000002b416d0; alias, 1 drivers -v0000000002a8a170_0 .net "sumXOR", 0 0, L_0000000002b40160; alias, 1 drivers -S_0000000002a92590 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92410; +L_00000000026be060/d .functor NAND 1, L_0000000002664ea0, L_00000000026649a0, C4<1>, C4<1>; +L_00000000026be060 .delay 1 (20000,20000,20000) L_00000000026be060/d; +L_00000000026be1b0/d .functor NAND 1, L_00000000026649a0, L_0000000002662e20, C4<1>, C4<1>; +L_00000000026be1b0 .delay 1 (20000,20000,20000) L_00000000026be1b0/d; +L_00000000026be300/d .functor NAND 1, L_0000000002664ea0, L_0000000002662e20, C4<1>, C4<1>; +L_00000000026be300 .delay 1 (20000,20000,20000) L_00000000026be300/d; +L_00000000026be450/d .functor NAND 1, L_00000000026be060, L_00000000026be300, L_00000000026be1b0, C4<1>; +L_00000000026be450 .delay 1 (30000,30000,30000) L_00000000026be450/d; +L_00000000026c6cd0/d .functor XOR 1, L_0000000002664ea0, L_00000000026649a0, L_0000000002662e20, C4<0>; +L_00000000026c6cd0 .delay 1 (30000,30000,30000) L_00000000026c6cd0/d; +L_00000000026c70c0/d .functor NOR 1, L_0000000002664ea0, L_00000000026649a0, C4<0>, C4<0>; +L_00000000026c70c0 .delay 1 (20000,20000,20000) L_00000000026c70c0/d; +L_00000000026c6a30/d .functor XOR 1, L_00000000026c70c0, L_00000000026638c0, C4<0>, C4<0>; +L_00000000026c6a30 .delay 1 (20000,20000,20000) L_00000000026c6a30/d; +v0000000000f088d0_0 .net "a", 0 0, L_0000000002664ea0; alias, 1 drivers +v0000000000f08dd0_0 .net "anorb", 0 0, L_00000000026c70c0; 1 drivers +v0000000000f08970_0 .net "b", 0 0, L_00000000026649a0; alias, 1 drivers +v0000000000f08fb0_0 .net "carryAND", 0 0, L_00000000026be450; alias, 1 drivers +v0000000000f08d30_0 .net "carryin", 0 0, L_0000000002662e20; alias, 1 drivers +v0000000000f08a10_0 .net "i0", 0 0, L_00000000026638c0; alias, 1 drivers +v0000000000f08bf0_0 .net "nab", 0 0, L_00000000026be060; alias, 1 drivers +v0000000000f03470_0 .net "nac", 0 0, L_00000000026be300; 1 drivers +v0000000000f02e30_0 .net "nbc", 0 0, L_00000000026be1b0; 1 drivers +v0000000000f03290_0 .net "orNOR", 0 0, L_00000000026c6a30; alias, 1 drivers +v0000000000f01fd0_0 .net "sumXOR", 0 0, L_00000000026c6cd0; alias, 1 drivers +S_0000000000eff9b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000eff830; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1914,43 +2043,46 @@ S_0000000002a92590 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b417b0/d .functor NOT 1, L_0000000002acd8e0, C4<0>, C4<0>, C4<0>; -L_0000000002b417b0 .delay 1 (10000,10000,10000) L_0000000002b417b0/d; -L_0000000002b41900/d .functor NOT 1, L_0000000002ace4c0, C4<0>, C4<0>, C4<0>; -L_0000000002b41900 .delay 1 (10000,10000,10000) L_0000000002b41900/d; -L_0000000002b415f0/d .functor NAND 1, L_0000000002ace4c0, L_0000000002acd8e0, L_0000000002b40160, C4<1>; -L_0000000002b415f0 .delay 1 (30000,30000,30000) L_0000000002b415f0/d; -L_0000000002b41040/d .functor NAND 1, L_0000000002ace4c0, L_0000000002b417b0, L_0000000002b416d0, C4<1>; -L_0000000002b41040 .delay 1 (30000,30000,30000) L_0000000002b41040/d; -L_0000000002b41580/d .functor NAND 1, L_0000000002b41900, L_0000000002acd8e0, L_0000000002b41510, C4<1>; -L_0000000002b41580 .delay 1 (30000,30000,30000) L_0000000002b41580/d; -L_0000000002b41200/d .functor NAND 1, L_0000000002b41900, L_0000000002b417b0, L_0000000002b419e0, C4<1>; -L_0000000002b41200 .delay 1 (30000,30000,30000) L_0000000002b41200/d; -L_0000000002b409b0/d .functor NAND 1, L_0000000002b415f0, L_0000000002b41040, L_0000000002b41580, L_0000000002b41200; -L_0000000002b409b0 .delay 1 (40000,40000,40000) L_0000000002b409b0/d; -v0000000002a9e3c0_0 .net "a", 0 0, L_0000000002b40160; alias, 1 drivers -v0000000002a9d6a0_0 .net "aout", 0 0, L_0000000002b415f0; 1 drivers -v0000000002a9c660_0 .net "b", 0 0, L_0000000002b416d0; alias, 1 drivers -v0000000002a9c160_0 .net "bout", 0 0, L_0000000002b41040; 1 drivers -v0000000002a9d060_0 .net "c", 0 0, L_0000000002b41510; alias, 1 drivers -v0000000002a9dba0_0 .net "cout", 0 0, L_0000000002b41580; 1 drivers -v0000000002a9dc40_0 .net "d", 0 0, L_0000000002b419e0; alias, 1 drivers -v0000000002a9e640_0 .net "dout", 0 0, L_0000000002b41200; 1 drivers -v0000000002a9e460_0 .net "ns0", 0 0, L_0000000002b417b0; 1 drivers -v0000000002a9d600_0 .net "ns1", 0 0, L_0000000002b41900; 1 drivers -v0000000002a9c340_0 .net "out", 0 0, L_0000000002b409b0; alias, 1 drivers -v0000000002a9d920_0 .net "s0", 0 0, L_0000000002acd8e0; 1 drivers -v0000000002a9c520_0 .net "s1", 0 0, L_0000000002ace4c0; 1 drivers -S_0000000002a92d10 .scope generate, "aluBits[13]" "aluBits[13]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8720 .param/l "i" 0 4 52, +C4<01101>; -L_0000000002b41c10/d .functor XOR 1, L_0000000002acf140, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b41c10 .delay 1 (50000,50000,50000) L_0000000002b41c10/d; -L_0000000002b41970/d .functor AND 1, v0000000002a01300_0, L_0000000002ace420, C4<1>, C4<1>; -L_0000000002b41970 .delay 1 (30000,30000,30000) L_0000000002b41970/d; -v0000000002a9e820_0 .net *"_s1", 0 0, L_0000000002acf140; 1 drivers -v0000000002a9cac0_0 .net *"_s3", 0 0, L_0000000002ace420; 1 drivers -S_0000000002a92e90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92d10; +L_00000000026c7830/d .functor NOT 1, L_0000000002662c40, C4<0>, C4<0>, C4<0>; +L_00000000026c7830 .delay 1 (10000,10000,10000) L_00000000026c7830/d; +L_00000000026c84e0/d .functor NOT 1, L_0000000002663960, C4<0>, C4<0>, C4<0>; +L_00000000026c84e0 .delay 1 (10000,10000,10000) L_00000000026c84e0/d; +L_00000000026c8470/d .functor NAND 1, L_0000000002663960, L_0000000002662c40, L_00000000026c6cd0, C4<1>; +L_00000000026c8470 .delay 1 (30000,30000,30000) L_00000000026c8470/d; +L_00000000026c82b0/d .functor NAND 1, L_0000000002663960, L_00000000026c7830, L_00000000026c6a30, C4<1>; +L_00000000026c82b0 .delay 1 (30000,30000,30000) L_00000000026c82b0/d; +L_00000000026c6f70/d .functor NAND 1, L_00000000026c84e0, L_0000000002662c40, L_00000000026be450, C4<1>; +L_00000000026c6f70 .delay 1 (30000,30000,30000) L_00000000026c6f70/d; +L_00000000026c7ad0/d .functor NAND 1, L_00000000026c84e0, L_00000000026c7830, L_00000000026be060, C4<1>; +L_00000000026c7ad0 .delay 1 (30000,30000,30000) L_00000000026c7ad0/d; +L_00000000026c7fa0/d .functor NAND 1, L_00000000026c8470, L_00000000026c82b0, L_00000000026c6f70, L_00000000026c7ad0; +L_00000000026c7fa0 .delay 1 (40000,40000,40000) L_00000000026c7fa0/d; +v0000000000f01c10_0 .net "a", 0 0, L_00000000026c6cd0; alias, 1 drivers +v0000000000f02070_0 .net "aout", 0 0, L_00000000026c8470; 1 drivers +v0000000000f01350_0 .net "b", 0 0, L_00000000026c6a30; alias, 1 drivers +v0000000000f01990_0 .net "bout", 0 0, L_00000000026c82b0; 1 drivers +v0000000000f03790_0 .net "c", 0 0, L_00000000026be450; alias, 1 drivers +v0000000000f024d0_0 .net "cout", 0 0, L_00000000026c6f70; 1 drivers +v0000000000f01670_0 .net "d", 0 0, L_00000000026be060; alias, 1 drivers +v0000000000f01d50_0 .net "dout", 0 0, L_00000000026c7ad0; 1 drivers +v0000000000f01df0_0 .net "ns0", 0 0, L_00000000026c7830; 1 drivers +v0000000000f03150_0 .net "ns1", 0 0, L_00000000026c84e0; 1 drivers +v0000000000f021b0_0 .net "out", 0 0, L_00000000026c7fa0; alias, 1 drivers +v0000000000f02930_0 .net "s0", 0 0, L_0000000002662c40; 1 drivers +v0000000000f01210_0 .net "s1", 0 0, L_0000000002663960; 1 drivers +S_0000000000f00430 .scope generate, "aluBits[13]" "aluBits[13]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000e3ae40 .param/l "i" 0 4 49, +C4<01101>; +L_00000000026c7d70/d .functor XOR 1, L_00000000026633c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026c7d70 .delay 1 (20000,20000,20000) L_00000000026c7d70/d; +L_00000000026c7910/d .functor AND 1, v0000000000e20d10_0, L_0000000002664040, C4<1>, C4<1>; +L_00000000026c7910 .delay 1 (30000,30000,30000) L_00000000026c7910/d; +L_00000000026c6d40/d .functor AND 1, L_0000000002664a40, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026c6d40 .delay 1 (30000,30000,30000) L_00000000026c6d40/d; +v0000000000f03bf0_0 .net *"_s1", 0 0, L_00000000026633c0; 1 drivers +v0000000000f04eb0_0 .net *"_s3", 0 0, L_0000000002664040; 1 drivers +v0000000000f05d10_0 .net *"_s9", 0 0, L_0000000002664a40; 1 drivers +S_0000000000f00130 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f00430; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1959,19 +2091,19 @@ S_0000000002a92e90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a9e500_0 .net "a", 0 0, L_0000000002ace600; 1 drivers -v0000000002a9e000_0 .net "b", 0 0, L_0000000002acece0; 1 drivers -v0000000002a9c8e0_0 .net "carryAND", 0 0, L_0000000002b401d0; 1 drivers -v0000000002a9e0a0_0 .net "cin", 0 0, L_0000000002acef60; 1 drivers -v0000000002a9c2a0_0 .net "ctrl0", 0 0, L_0000000002acdb60; 1 drivers -v0000000002a9d240_0 .net "nab", 0 0, L_0000000002b40cc0; 1 drivers -v0000000002a9c980_0 .net "orNOR", 0 0, L_0000000002b41c80; 1 drivers -v0000000002a9e320_0 .net "res", 0 0, L_0000000002b414a0; 1 drivers -v0000000002a9ca20_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a9e780_0 .net "sumXOR", 0 0, L_0000000002b40f60; 1 drivers -L_0000000002ace560 .part v0000000002a01440_0, 1, 1; -L_0000000002acec40 .part v0000000002a01440_0, 0, 1; -S_0000000002a91390 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92e90; +v0000000000f01f30_0 .net "a", 0 0, L_00000000026636e0; 1 drivers +v0000000000f029d0_0 .net "b", 0 0, L_0000000002663c80; 1 drivers +v0000000000f03510_0 .net "carryAND", 0 0, L_00000000026c6fe0; 1 drivers +v0000000000f02a70_0 .net "cin", 0 0, L_0000000002664b80; 1 drivers +v0000000000f02b10_0 .net "ctrl0", 0 0, L_0000000002664680; 1 drivers +v0000000000f02c50_0 .net "nab", 0 0, L_00000000026c78a0; 1 drivers +v0000000000f02cf0_0 .net "orNOR", 0 0, L_00000000026c76e0; 1 drivers +v0000000000f02d90_0 .net "res", 0 0, L_00000000026c7ec0; 1 drivers +v0000000000f02f70_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f03010_0 .net "sumXOR", 0 0, L_00000000026c7590; 1 drivers +L_0000000002663a00 .part v0000000000e21990_0, 1, 1; +L_0000000002662d80 .part v0000000000e21990_0, 0, 1; +S_0000000000f00d30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f00130; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1981,32 +2113,32 @@ S_0000000002a91390 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92e9 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b40cc0/d .functor NAND 1, L_0000000002ace600, L_0000000002acece0, C4<1>, C4<1>; -L_0000000002b40cc0 .delay 1 (20000,20000,20000) L_0000000002b40cc0/d; -L_0000000002b40da0/d .functor NAND 1, L_0000000002acece0, L_0000000002acef60, C4<1>, C4<1>; -L_0000000002b40da0 .delay 1 (20000,20000,20000) L_0000000002b40da0/d; -L_0000000002b404e0/d .functor NAND 1, L_0000000002ace600, L_0000000002acef60, C4<1>, C4<1>; -L_0000000002b404e0 .delay 1 (20000,20000,20000) L_0000000002b404e0/d; -L_0000000002b401d0/d .functor NAND 1, L_0000000002b40cc0, L_0000000002b404e0, L_0000000002b40da0, C4<1>; -L_0000000002b401d0 .delay 1 (30000,30000,30000) L_0000000002b401d0/d; -L_0000000002b40f60/d .functor XOR 1, L_0000000002ace600, L_0000000002acece0, L_0000000002acef60, C4<0>; -L_0000000002b40f60 .delay 1 (30000,30000,30000) L_0000000002b40f60/d; -L_0000000002b41a50/d .functor NOR 1, L_0000000002ace600, L_0000000002acece0, C4<0>, C4<0>; -L_0000000002b41a50 .delay 1 (20000,20000,20000) L_0000000002b41a50/d; -L_0000000002b41c80/d .functor XOR 1, L_0000000002b41a50, L_0000000002acdb60, C4<0>, C4<0>; -L_0000000002b41c80 .delay 1 (20000,20000,20000) L_0000000002b41c80/d; -v0000000002a9e140_0 .net "a", 0 0, L_0000000002ace600; alias, 1 drivers -v0000000002a9d560_0 .net "anorb", 0 0, L_0000000002b41a50; 1 drivers -v0000000002a9d740_0 .net "b", 0 0, L_0000000002acece0; alias, 1 drivers -v0000000002a9c3e0_0 .net "carryAND", 0 0, L_0000000002b401d0; alias, 1 drivers -v0000000002a9db00_0 .net "carryin", 0 0, L_0000000002acef60; alias, 1 drivers -v0000000002a9d2e0_0 .net "i0", 0 0, L_0000000002acdb60; alias, 1 drivers -v0000000002a9d380_0 .net "nab", 0 0, L_0000000002b40cc0; alias, 1 drivers -v0000000002a9dce0_0 .net "nac", 0 0, L_0000000002b404e0; 1 drivers -v0000000002a9dd80_0 .net "nbc", 0 0, L_0000000002b40da0; 1 drivers -v0000000002a9cf20_0 .net "orNOR", 0 0, L_0000000002b41c80; alias, 1 drivers -v0000000002a9c480_0 .net "sumXOR", 0 0, L_0000000002b40f60; alias, 1 drivers -S_0000000002a91b10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92e90; +L_00000000026c78a0/d .functor NAND 1, L_00000000026636e0, L_0000000002663c80, C4<1>, C4<1>; +L_00000000026c78a0 .delay 1 (20000,20000,20000) L_00000000026c78a0/d; +L_00000000026c6e90/d .functor NAND 1, L_0000000002663c80, L_0000000002664b80, C4<1>, C4<1>; +L_00000000026c6e90 .delay 1 (20000,20000,20000) L_00000000026c6e90/d; +L_00000000026c7d00/d .functor NAND 1, L_00000000026636e0, L_0000000002664b80, C4<1>, C4<1>; +L_00000000026c7d00 .delay 1 (20000,20000,20000) L_00000000026c7d00/d; +L_00000000026c6fe0/d .functor NAND 1, L_00000000026c78a0, L_00000000026c7d00, L_00000000026c6e90, C4<1>; +L_00000000026c6fe0 .delay 1 (30000,30000,30000) L_00000000026c6fe0/d; +L_00000000026c7590/d .functor XOR 1, L_00000000026636e0, L_0000000002663c80, L_0000000002664b80, C4<0>; +L_00000000026c7590 .delay 1 (30000,30000,30000) L_00000000026c7590/d; +L_00000000026c6e20/d .functor NOR 1, L_00000000026636e0, L_0000000002663c80, C4<0>, C4<0>; +L_00000000026c6e20 .delay 1 (20000,20000,20000) L_00000000026c6e20/d; +L_00000000026c76e0/d .functor XOR 1, L_00000000026c6e20, L_0000000002664680, C4<0>, C4<0>; +L_00000000026c76e0 .delay 1 (20000,20000,20000) L_00000000026c76e0/d; +v0000000000f01530_0 .net "a", 0 0, L_00000000026636e0; alias, 1 drivers +v0000000000f03650_0 .net "anorb", 0 0, L_00000000026c6e20; 1 drivers +v0000000000f02110_0 .net "b", 0 0, L_0000000002663c80; alias, 1 drivers +v0000000000f035b0_0 .net "carryAND", 0 0, L_00000000026c6fe0; alias, 1 drivers +v0000000000f027f0_0 .net "carryin", 0 0, L_0000000002664b80; alias, 1 drivers +v0000000000f02390_0 .net "i0", 0 0, L_0000000002664680; alias, 1 drivers +v0000000000f030b0_0 .net "nab", 0 0, L_00000000026c78a0; alias, 1 drivers +v0000000000f02250_0 .net "nac", 0 0, L_00000000026c7d00; 1 drivers +v0000000000f022f0_0 .net "nbc", 0 0, L_00000000026c6e90; 1 drivers +v0000000000f026b0_0 .net "orNOR", 0 0, L_00000000026c76e0; alias, 1 drivers +v0000000000f036f0_0 .net "sumXOR", 0 0, L_00000000026c7590; alias, 1 drivers +S_0000000000effb30 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f00130; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2015,43 +2147,46 @@ S_0000000002a91b10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b40e80/d .functor NOT 1, L_0000000002acec40, C4<0>, C4<0>, C4<0>; -L_0000000002b40e80 .delay 1 (10000,10000,10000) L_0000000002b40e80/d; -L_0000000002b41ac0/d .functor NOT 1, L_0000000002ace560, C4<0>, C4<0>, C4<0>; -L_0000000002b41ac0 .delay 1 (10000,10000,10000) L_0000000002b41ac0/d; -L_0000000002b41270/d .functor NAND 1, L_0000000002ace560, L_0000000002acec40, L_0000000002b40f60, C4<1>; -L_0000000002b41270 .delay 1 (30000,30000,30000) L_0000000002b41270/d; -L_0000000002b412e0/d .functor NAND 1, L_0000000002ace560, L_0000000002b40e80, L_0000000002b41c80, C4<1>; -L_0000000002b412e0 .delay 1 (30000,30000,30000) L_0000000002b412e0/d; -L_0000000002b41350/d .functor NAND 1, L_0000000002b41ac0, L_0000000002acec40, L_0000000002b401d0, C4<1>; -L_0000000002b41350 .delay 1 (30000,30000,30000) L_0000000002b41350/d; -L_0000000002b413c0/d .functor NAND 1, L_0000000002b41ac0, L_0000000002b40e80, L_0000000002b40cc0, C4<1>; -L_0000000002b413c0 .delay 1 (30000,30000,30000) L_0000000002b413c0/d; -L_0000000002b414a0/d .functor NAND 1, L_0000000002b41270, L_0000000002b412e0, L_0000000002b41350, L_0000000002b413c0; -L_0000000002b414a0 .delay 1 (40000,40000,40000) L_0000000002b414a0/d; -v0000000002a9e1e0_0 .net "a", 0 0, L_0000000002b40f60; alias, 1 drivers -v0000000002a9d880_0 .net "aout", 0 0, L_0000000002b41270; 1 drivers -v0000000002a9c700_0 .net "b", 0 0, L_0000000002b41c80; alias, 1 drivers -v0000000002a9d100_0 .net "bout", 0 0, L_0000000002b412e0; 1 drivers -v0000000002a9cb60_0 .net "c", 0 0, L_0000000002b401d0; alias, 1 drivers -v0000000002a9cfc0_0 .net "cout", 0 0, L_0000000002b41350; 1 drivers -v0000000002a9de20_0 .net "d", 0 0, L_0000000002b40cc0; alias, 1 drivers -v0000000002a9cc00_0 .net "dout", 0 0, L_0000000002b413c0; 1 drivers -v0000000002a9c7a0_0 .net "ns0", 0 0, L_0000000002b40e80; 1 drivers -v0000000002a9c840_0 .net "ns1", 0 0, L_0000000002b41ac0; 1 drivers -v0000000002a9df60_0 .net "out", 0 0, L_0000000002b414a0; alias, 1 drivers -v0000000002a9d1a0_0 .net "s0", 0 0, L_0000000002acec40; 1 drivers -v0000000002a9d9c0_0 .net "s1", 0 0, L_0000000002ace560; 1 drivers -S_0000000002a91990 .scope generate, "aluBits[14]" "aluBits[14]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7fe0 .param/l "i" 0 4 52, +C4<01110>; -L_0000000002b400f0/d .functor XOR 1, L_0000000002acf000, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b400f0 .delay 1 (50000,50000,50000) L_0000000002b400f0/d; -L_0000000002b40550/d .functor AND 1, v0000000002a01300_0, L_0000000002b504b0, C4<1>, C4<1>; -L_0000000002b40550 .delay 1 (30000,30000,30000) L_0000000002b40550/d; -v0000000002a972a0_0 .net *"_s1", 0 0, L_0000000002acf000; 1 drivers -v0000000002a986a0_0 .net *"_s3", 0 0, L_0000000002b504b0; 1 drivers -S_0000000002a92290 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a91990; +L_00000000026c6aa0/d .functor NOT 1, L_0000000002662d80, C4<0>, C4<0>, C4<0>; +L_00000000026c6aa0 .delay 1 (10000,10000,10000) L_00000000026c6aa0/d; +L_00000000026c7de0/d .functor NOT 1, L_0000000002663a00, C4<0>, C4<0>, C4<0>; +L_00000000026c7de0 .delay 1 (10000,10000,10000) L_00000000026c7de0/d; +L_00000000026c7050/d .functor NAND 1, L_0000000002663a00, L_0000000002662d80, L_00000000026c7590, C4<1>; +L_00000000026c7050 .delay 1 (30000,30000,30000) L_00000000026c7050/d; +L_00000000026c8320/d .functor NAND 1, L_0000000002663a00, L_00000000026c6aa0, L_00000000026c76e0, C4<1>; +L_00000000026c8320 .delay 1 (30000,30000,30000) L_00000000026c8320/d; +L_00000000026c7e50/d .functor NAND 1, L_00000000026c7de0, L_0000000002662d80, L_00000000026c6fe0, C4<1>; +L_00000000026c7e50 .delay 1 (30000,30000,30000) L_00000000026c7e50/d; +L_00000000026c6bf0/d .functor NAND 1, L_00000000026c7de0, L_00000000026c6aa0, L_00000000026c78a0, C4<1>; +L_00000000026c6bf0 .delay 1 (30000,30000,30000) L_00000000026c6bf0/d; +L_00000000026c7ec0/d .functor NAND 1, L_00000000026c7050, L_00000000026c8320, L_00000000026c7e50, L_00000000026c6bf0; +L_00000000026c7ec0 .delay 1 (40000,40000,40000) L_00000000026c7ec0/d; +v0000000000f01b70_0 .net "a", 0 0, L_00000000026c7590; alias, 1 drivers +v0000000000f01850_0 .net "aout", 0 0, L_00000000026c7050; 1 drivers +v0000000000f01e90_0 .net "b", 0 0, L_00000000026c76e0; alias, 1 drivers +v0000000000f015d0_0 .net "bout", 0 0, L_00000000026c8320; 1 drivers +v0000000000f031f0_0 .net "c", 0 0, L_00000000026c6fe0; alias, 1 drivers +v0000000000f01cb0_0 .net "cout", 0 0, L_00000000026c7e50; 1 drivers +v0000000000f01710_0 .net "d", 0 0, L_00000000026c78a0; alias, 1 drivers +v0000000000f02430_0 .net "dout", 0 0, L_00000000026c6bf0; 1 drivers +v0000000000f02750_0 .net "ns0", 0 0, L_00000000026c6aa0; 1 drivers +v0000000000f02ed0_0 .net "ns1", 0 0, L_00000000026c7de0; 1 drivers +v0000000000f033d0_0 .net "out", 0 0, L_00000000026c7ec0; alias, 1 drivers +v0000000000f017b0_0 .net "s0", 0 0, L_0000000002662d80; 1 drivers +v0000000000f02890_0 .net "s1", 0 0, L_0000000002663a00; 1 drivers +S_0000000000f005b0 .scope generate, "aluBits[14]" "aluBits[14]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de15c0 .param/l "i" 0 4 49, +C4<01110>; +L_00000000026c8010/d .functor XOR 1, L_00000000026647c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026c8010 .delay 1 (20000,20000,20000) L_00000000026c8010/d; +L_00000000026c6b10/d .functor AND 1, v0000000000e20d10_0, L_0000000002663820, C4<1>, C4<1>; +L_00000000026c6b10 .delay 1 (30000,30000,30000) L_00000000026c6b10/d; +L_00000000026c6f00/d .functor AND 1, L_0000000002663000, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026c6f00 .delay 1 (30000,30000,30000) L_00000000026c6f00/d; +v0000000000f03d30_0 .net *"_s1", 0 0, L_00000000026647c0; 1 drivers +v0000000000f059f0_0 .net *"_s3", 0 0, L_0000000002663820; 1 drivers +v0000000000f03dd0_0 .net *"_s9", 0 0, L_0000000002663000; 1 drivers +S_0000000000effcb0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f005b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2060,19 +2195,19 @@ S_0000000002a92290 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a98ce0_0 .net "a", 0 0, L_0000000002b4f8d0; 1 drivers -v0000000002a99320_0 .net "b", 0 0, L_0000000002b50af0; 1 drivers -v0000000002a98100_0 .net "carryAND", 0 0, L_0000000002b40780; 1 drivers -v0000000002a97520_0 .net "cin", 0 0, L_0000000002b51090; 1 drivers -v0000000002a99640_0 .net "ctrl0", 0 0, L_0000000002b50e10; 1 drivers -v0000000002a97fc0_0 .net "nab", 0 0, L_0000000002b40240; 1 drivers -v0000000002a996e0_0 .net "orNOR", 0 0, L_0000000002b40940; 1 drivers -v0000000002a982e0_0 .net "res", 0 0, L_0000000002b41eb0; 1 drivers -v0000000002a97de0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a97700_0 .net "sumXOR", 0 0, L_0000000002b407f0; 1 drivers -L_0000000002b4fb50 .part v0000000002a01440_0, 1, 1; -L_0000000002b50550 .part v0000000002a01440_0, 0, 1; -S_0000000002a91e10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92290; +v0000000000f04c30_0 .net "a", 0 0, L_0000000002663b40; 1 drivers +v0000000000f04230_0 .net "b", 0 0, L_0000000002664860; 1 drivers +v0000000000f049b0_0 .net "carryAND", 0 0, L_00000000026c8080; 1 drivers +v0000000000f03c90_0 .net "cin", 0 0, L_0000000002663be0; 1 drivers +v0000000000f05b30_0 .net "ctrl0", 0 0, L_0000000002664720; 1 drivers +v0000000000f038d0_0 .net "nab", 0 0, L_00000000026c73d0; 1 drivers +v0000000000f06030_0 .net "orNOR", 0 0, L_00000000026c71a0; 1 drivers +v0000000000f05950_0 .net "res", 0 0, L_00000000026c72f0; 1 drivers +v0000000000f053b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f044b0_0 .net "sumXOR", 0 0, L_00000000026c7600; 1 drivers +L_0000000002663aa0 .part v0000000000e21990_0, 1, 1; +L_0000000002662ec0 .part v0000000000e21990_0, 0, 1; +S_0000000000f00bb0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000effcb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2082,32 +2217,32 @@ S_0000000002a91e10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a9229 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b40240/d .functor NAND 1, L_0000000002b4f8d0, L_0000000002b50af0, C4<1>, C4<1>; -L_0000000002b40240 .delay 1 (20000,20000,20000) L_0000000002b40240/d; -L_0000000002b406a0/d .functor NAND 1, L_0000000002b50af0, L_0000000002b51090, C4<1>, C4<1>; -L_0000000002b406a0 .delay 1 (20000,20000,20000) L_0000000002b406a0/d; -L_0000000002b402b0/d .functor NAND 1, L_0000000002b4f8d0, L_0000000002b51090, C4<1>, C4<1>; -L_0000000002b402b0 .delay 1 (20000,20000,20000) L_0000000002b402b0/d; -L_0000000002b40780/d .functor NAND 1, L_0000000002b40240, L_0000000002b402b0, L_0000000002b406a0, C4<1>; -L_0000000002b40780 .delay 1 (30000,30000,30000) L_0000000002b40780/d; -L_0000000002b407f0/d .functor XOR 1, L_0000000002b4f8d0, L_0000000002b50af0, L_0000000002b51090, C4<0>; -L_0000000002b407f0 .delay 1 (30000,30000,30000) L_0000000002b407f0/d; -L_0000000002b408d0/d .functor NOR 1, L_0000000002b4f8d0, L_0000000002b50af0, C4<0>, C4<0>; -L_0000000002b408d0 .delay 1 (20000,20000,20000) L_0000000002b408d0/d; -L_0000000002b40940/d .functor XOR 1, L_0000000002b408d0, L_0000000002b50e10, C4<0>, C4<0>; -L_0000000002b40940 .delay 1 (20000,20000,20000) L_0000000002b40940/d; -v0000000002a9c0c0_0 .net "a", 0 0, L_0000000002b4f8d0; alias, 1 drivers -v0000000002a9cca0_0 .net "anorb", 0 0, L_0000000002b408d0; 1 drivers -v0000000002a9c200_0 .net "b", 0 0, L_0000000002b50af0; alias, 1 drivers -v0000000002a9ec80_0 .net "carryAND", 0 0, L_0000000002b40780; alias, 1 drivers -v0000000002a9ea00_0 .net "carryin", 0 0, L_0000000002b51090; alias, 1 drivers -v0000000002a9eb40_0 .net "i0", 0 0, L_0000000002b50e10; alias, 1 drivers -v0000000002a9ed20_0 .net "nab", 0 0, L_0000000002b40240; alias, 1 drivers -v0000000002a9e8c0_0 .net "nac", 0 0, L_0000000002b402b0; 1 drivers -v0000000002a9edc0_0 .net "nbc", 0 0, L_0000000002b406a0; 1 drivers -v0000000002a9eaa0_0 .net "orNOR", 0 0, L_0000000002b40940; alias, 1 drivers -v0000000002a9ee60_0 .net "sumXOR", 0 0, L_0000000002b407f0; alias, 1 drivers -S_0000000002a91f90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92290; +L_00000000026c73d0/d .functor NAND 1, L_0000000002663b40, L_0000000002664860, C4<1>, C4<1>; +L_00000000026c73d0 .delay 1 (20000,20000,20000) L_00000000026c73d0/d; +L_00000000026c7520/d .functor NAND 1, L_0000000002664860, L_0000000002663be0, C4<1>, C4<1>; +L_00000000026c7520 .delay 1 (20000,20000,20000) L_00000000026c7520/d; +L_00000000026c7130/d .functor NAND 1, L_0000000002663b40, L_0000000002663be0, C4<1>, C4<1>; +L_00000000026c7130 .delay 1 (20000,20000,20000) L_00000000026c7130/d; +L_00000000026c8080/d .functor NAND 1, L_00000000026c73d0, L_00000000026c7130, L_00000000026c7520, C4<1>; +L_00000000026c8080 .delay 1 (30000,30000,30000) L_00000000026c8080/d; +L_00000000026c7600/d .functor XOR 1, L_0000000002663b40, L_0000000002664860, L_0000000002663be0, C4<0>; +L_00000000026c7600 .delay 1 (30000,30000,30000) L_00000000026c7600/d; +L_00000000026c7280/d .functor NOR 1, L_0000000002663b40, L_0000000002664860, C4<0>, C4<0>; +L_00000000026c7280 .delay 1 (20000,20000,20000) L_00000000026c7280/d; +L_00000000026c71a0/d .functor XOR 1, L_00000000026c7280, L_0000000002664720, C4<0>, C4<0>; +L_00000000026c71a0 .delay 1 (20000,20000,20000) L_00000000026c71a0/d; +v0000000000f04370_0 .net "a", 0 0, L_0000000002663b40; alias, 1 drivers +v0000000000f056d0_0 .net "anorb", 0 0, L_00000000026c7280; 1 drivers +v0000000000f05770_0 .net "b", 0 0, L_0000000002664860; alias, 1 drivers +v0000000000f05810_0 .net "carryAND", 0 0, L_00000000026c8080; alias, 1 drivers +v0000000000f04410_0 .net "carryin", 0 0, L_0000000002663be0; alias, 1 drivers +v0000000000f04870_0 .net "i0", 0 0, L_0000000002664720; alias, 1 drivers +v0000000000f04910_0 .net "nab", 0 0, L_00000000026c73d0; alias, 1 drivers +v0000000000f04af0_0 .net "nac", 0 0, L_00000000026c7130; 1 drivers +v0000000000f04190_0 .net "nbc", 0 0, L_00000000026c7520; 1 drivers +v0000000000f05bd0_0 .net "orNOR", 0 0, L_00000000026c71a0; alias, 1 drivers +v0000000000f04cd0_0 .net "sumXOR", 0 0, L_00000000026c7600; alias, 1 drivers +S_0000000000f00eb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000effcb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2116,43 +2251,46 @@ S_0000000002a91f90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b40a20/d .functor NOT 1, L_0000000002b50550, C4<0>, C4<0>, C4<0>; -L_0000000002b40a20 .delay 1 (10000,10000,10000) L_0000000002b40a20/d; -L_0000000002b40b00/d .functor NOT 1, L_0000000002b4fb50, C4<0>, C4<0>, C4<0>; -L_0000000002b40b00 .delay 1 (10000,10000,10000) L_0000000002b40b00/d; -L_0000000002b40b70/d .functor NAND 1, L_0000000002b4fb50, L_0000000002b50550, L_0000000002b407f0, C4<1>; -L_0000000002b40b70 .delay 1 (30000,30000,30000) L_0000000002b40b70/d; -L_0000000002b41f90/d .functor NAND 1, L_0000000002b4fb50, L_0000000002b40a20, L_0000000002b40940, C4<1>; -L_0000000002b41f90 .delay 1 (30000,30000,30000) L_0000000002b41f90/d; -L_0000000002b41e40/d .functor NAND 1, L_0000000002b40b00, L_0000000002b50550, L_0000000002b40780, C4<1>; -L_0000000002b41e40 .delay 1 (30000,30000,30000) L_0000000002b41e40/d; -L_0000000002b41dd0/d .functor NAND 1, L_0000000002b40b00, L_0000000002b40a20, L_0000000002b40240, C4<1>; -L_0000000002b41dd0 .delay 1 (30000,30000,30000) L_0000000002b41dd0/d; -L_0000000002b41eb0/d .functor NAND 1, L_0000000002b40b70, L_0000000002b41f90, L_0000000002b41e40, L_0000000002b41dd0; -L_0000000002b41eb0 .delay 1 (40000,40000,40000) L_0000000002b41eb0/d; -v0000000002a9ef00_0 .net "a", 0 0, L_0000000002b407f0; alias, 1 drivers -v0000000002a9efa0_0 .net "aout", 0 0, L_0000000002b40b70; 1 drivers -v0000000002a9ebe0_0 .net "b", 0 0, L_0000000002b40940; alias, 1 drivers -v0000000002a9e960_0 .net "bout", 0 0, L_0000000002b41f90; 1 drivers -v0000000002a990a0_0 .net "c", 0 0, L_0000000002b40780; alias, 1 drivers -v0000000002a97200_0 .net "cout", 0 0, L_0000000002b41e40; 1 drivers -v0000000002a97b60_0 .net "d", 0 0, L_0000000002b40240; alias, 1 drivers -v0000000002a995a0_0 .net "dout", 0 0, L_0000000002b41dd0; 1 drivers -v0000000002a98740_0 .net "ns0", 0 0, L_0000000002b40a20; 1 drivers -v0000000002a987e0_0 .net "ns1", 0 0, L_0000000002b40b00; 1 drivers -v0000000002a97a20_0 .net "out", 0 0, L_0000000002b41eb0; alias, 1 drivers -v0000000002a99780_0 .net "s0", 0 0, L_0000000002b50550; 1 drivers -v0000000002a98a60_0 .net "s1", 0 0, L_0000000002b4fb50; 1 drivers -S_0000000002a92710 .scope generate, "aluBits[15]" "aluBits[15]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8760 .param/l "i" 0 4 52, +C4<01111>; -L_0000000002b42000/d .functor XOR 1, L_0000000002b4eed0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b42000 .delay 1 (50000,50000,50000) L_0000000002b42000/d; -L_0000000002b41cf0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ef70, C4<1>, C4<1>; -L_0000000002b41cf0 .delay 1 (30000,30000,30000) L_0000000002b41cf0/d; -v0000000002a97980_0 .net *"_s1", 0 0, L_0000000002b4eed0; 1 drivers -v0000000002a97ac0_0 .net *"_s3", 0 0, L_0000000002b4ef70; 1 drivers -S_0000000002a92b90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a92710; +L_00000000026c7670/d .functor NOT 1, L_0000000002662ec0, C4<0>, C4<0>, C4<0>; +L_00000000026c7670 .delay 1 (10000,10000,10000) L_00000000026c7670/d; +L_00000000026c7750/d .functor NOT 1, L_0000000002663aa0, C4<0>, C4<0>, C4<0>; +L_00000000026c7750 .delay 1 (10000,10000,10000) L_00000000026c7750/d; +L_00000000026c69c0/d .functor NAND 1, L_0000000002663aa0, L_0000000002662ec0, L_00000000026c7600, C4<1>; +L_00000000026c69c0 .delay 1 (30000,30000,30000) L_00000000026c69c0/d; +L_00000000026c7210/d .functor NAND 1, L_0000000002663aa0, L_00000000026c7670, L_00000000026c71a0, C4<1>; +L_00000000026c7210 .delay 1 (30000,30000,30000) L_00000000026c7210/d; +L_00000000026c6b80/d .functor NAND 1, L_00000000026c7750, L_0000000002662ec0, L_00000000026c8080, C4<1>; +L_00000000026c6b80 .delay 1 (30000,30000,30000) L_00000000026c6b80/d; +L_00000000026c6db0/d .functor NAND 1, L_00000000026c7750, L_00000000026c7670, L_00000000026c73d0, C4<1>; +L_00000000026c6db0 .delay 1 (30000,30000,30000) L_00000000026c6db0/d; +L_00000000026c72f0/d .functor NAND 1, L_00000000026c69c0, L_00000000026c7210, L_00000000026c6b80, L_00000000026c6db0; +L_00000000026c72f0 .delay 1 (40000,40000,40000) L_00000000026c72f0/d; +v0000000000f05310_0 .net "a", 0 0, L_00000000026c7600; alias, 1 drivers +v0000000000f03a10_0 .net "aout", 0 0, L_00000000026c69c0; 1 drivers +v0000000000f04b90_0 .net "b", 0 0, L_00000000026c71a0; alias, 1 drivers +v0000000000f04d70_0 .net "bout", 0 0, L_00000000026c7210; 1 drivers +v0000000000f03b50_0 .net "c", 0 0, L_00000000026c8080; alias, 1 drivers +v0000000000f05db0_0 .net "cout", 0 0, L_00000000026c6b80; 1 drivers +v0000000000f058b0_0 .net "d", 0 0, L_00000000026c73d0; alias, 1 drivers +v0000000000f03ab0_0 .net "dout", 0 0, L_00000000026c6db0; 1 drivers +v0000000000f040f0_0 .net "ns0", 0 0, L_00000000026c7670; 1 drivers +v0000000000f05f90_0 .net "ns1", 0 0, L_00000000026c7750; 1 drivers +v0000000000f03970_0 .net "out", 0 0, L_00000000026c72f0; alias, 1 drivers +v0000000000f04e10_0 .net "s0", 0 0, L_0000000002662ec0; 1 drivers +v0000000000f042d0_0 .net "s1", 0 0, L_0000000002663aa0; 1 drivers +S_0000000000effe30 .scope generate, "aluBits[15]" "aluBits[15]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de1140 .param/l "i" 0 4 49, +C4<01111>; +L_00000000026c80f0/d .functor XOR 1, L_0000000002663d20, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026c80f0 .delay 1 (20000,20000,20000) L_00000000026c80f0/d; +L_00000000026c7c20/d .functor AND 1, v0000000000e20d10_0, L_0000000002664900, C4<1>, C4<1>; +L_00000000026c7c20 .delay 1 (30000,30000,30000) L_00000000026c7c20/d; +L_00000000026c7b40/d .functor AND 1, L_0000000002664ae0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026c7b40 .delay 1 (30000,30000,30000) L_00000000026c7b40/d; +v0000000000f1ac50_0 .net *"_s1", 0 0, L_0000000002663d20; 1 drivers +v0000000000f1a4d0_0 .net *"_s3", 0 0, L_0000000002664900; 1 drivers +v0000000000f1a890_0 .net *"_s9", 0 0, L_0000000002664ae0; 1 drivers +S_0000000000f002b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000effe30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2161,19 +2299,19 @@ S_0000000002a92b90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a98ba0_0 .net "a", 0 0, L_0000000002b4eb10; 1 drivers -v0000000002a977a0_0 .net "b", 0 0, L_0000000002b50eb0; 1 drivers -v0000000002a993c0_0 .net "carryAND", 0 0, L_0000000002aea910; 1 drivers -v0000000002a98d80_0 .net "cin", 0 0, L_0000000002b50230; 1 drivers -v0000000002a98e20_0 .net "ctrl0", 0 0, L_0000000002b50190; 1 drivers -v0000000002a99460_0 .net "nab", 0 0, L_0000000002b41d60; 1 drivers -v0000000002a97840_0 .net "orNOR", 0 0, L_0000000002aeaf30; 1 drivers -v0000000002a99000_0 .net "res", 0 0, L_0000000002aea1a0; 1 drivers -v0000000002a978e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a99500_0 .net "sumXOR", 0 0, L_0000000002aeaa60; 1 drivers -L_0000000002b4ffb0 .part v0000000002a01440_0, 1, 1; -L_0000000002b4f010 .part v0000000002a01440_0, 0, 1; -S_0000000002aa22d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92b90; +v0000000000f1a750_0 .net "a", 0 0, L_0000000002663e60; 1 drivers +v0000000000f1aed0_0 .net "b", 0 0, L_0000000002663f00; 1 drivers +v0000000000f1ae30_0 .net "carryAND", 0 0, L_00000000026c7f30; 1 drivers +v0000000000f1a610_0 .net "cin", 0 0, L_0000000002662ce0; 1 drivers +v0000000000f1a6b0_0 .net "ctrl0", 0 0, L_0000000002662ba0; 1 drivers +v0000000000f1ad90_0 .net "nab", 0 0, L_00000000026c6950; 1 drivers +v0000000000f1af70_0 .net "orNOR", 0 0, L_00000000026c7440; 1 drivers +v0000000000f1abb0_0 .net "res", 0 0, L_00000000026c7a60; 1 drivers +v0000000000f1a2f0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f1a7f0_0 .net "sumXOR", 0 0, L_00000000026c8160; 1 drivers +L_0000000002663dc0 .part v0000000000e21990_0, 1, 1; +L_00000000026631e0 .part v0000000000e21990_0, 0, 1; +S_0000000000f09850 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f002b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2183,32 +2321,32 @@ S_0000000002aa22d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002a92b9 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b41d60/d .functor NAND 1, L_0000000002b4eb10, L_0000000002b50eb0, C4<1>, C4<1>; -L_0000000002b41d60 .delay 1 (20000,20000,20000) L_0000000002b41d60/d; -L_0000000002b41f20/d .functor NAND 1, L_0000000002b50eb0, L_0000000002b50230, C4<1>, C4<1>; -L_0000000002b41f20 .delay 1 (20000,20000,20000) L_0000000002b41f20/d; -L_0000000002aeb4e0/d .functor NAND 1, L_0000000002b4eb10, L_0000000002b50230, C4<1>, C4<1>; -L_0000000002aeb4e0 .delay 1 (20000,20000,20000) L_0000000002aeb4e0/d; -L_0000000002aea910/d .functor NAND 1, L_0000000002b41d60, L_0000000002aeb4e0, L_0000000002b41f20, C4<1>; -L_0000000002aea910 .delay 1 (30000,30000,30000) L_0000000002aea910/d; -L_0000000002aeaa60/d .functor XOR 1, L_0000000002b4eb10, L_0000000002b50eb0, L_0000000002b50230, C4<0>; -L_0000000002aeaa60 .delay 1 (30000,30000,30000) L_0000000002aeaa60/d; -L_0000000002aea980/d .functor NOR 1, L_0000000002b4eb10, L_0000000002b50eb0, C4<0>, C4<0>; -L_0000000002aea980 .delay 1 (20000,20000,20000) L_0000000002aea980/d; -L_0000000002aeaf30/d .functor XOR 1, L_0000000002aea980, L_0000000002b50190, C4<0>, C4<0>; -L_0000000002aeaf30 .delay 1 (20000,20000,20000) L_0000000002aeaf30/d; -v0000000002a98240_0 .net "a", 0 0, L_0000000002b4eb10; alias, 1 drivers -v0000000002a981a0_0 .net "anorb", 0 0, L_0000000002aea980; 1 drivers -v0000000002a98380_0 .net "b", 0 0, L_0000000002b50eb0; alias, 1 drivers -v0000000002a97340_0 .net "carryAND", 0 0, L_0000000002aea910; alias, 1 drivers -v0000000002a98c40_0 .net "carryin", 0 0, L_0000000002b50230; alias, 1 drivers -v0000000002a991e0_0 .net "i0", 0 0, L_0000000002b50190; alias, 1 drivers -v0000000002a98420_0 .net "nab", 0 0, L_0000000002b41d60; alias, 1 drivers -v0000000002a989c0_0 .net "nac", 0 0, L_0000000002aeb4e0; 1 drivers -v0000000002a97480_0 .net "nbc", 0 0, L_0000000002b41f20; 1 drivers -v0000000002a984c0_0 .net "orNOR", 0 0, L_0000000002aeaf30; alias, 1 drivers -v0000000002a97c00_0 .net "sumXOR", 0 0, L_0000000002aeaa60; alias, 1 drivers -S_0000000002aa2450 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a92b90; +L_00000000026c6950/d .functor NAND 1, L_0000000002663e60, L_0000000002663f00, C4<1>, C4<1>; +L_00000000026c6950 .delay 1 (20000,20000,20000) L_00000000026c6950/d; +L_00000000026c7360/d .functor NAND 1, L_0000000002663f00, L_0000000002662ce0, C4<1>, C4<1>; +L_00000000026c7360 .delay 1 (20000,20000,20000) L_00000000026c7360/d; +L_00000000026c8390/d .functor NAND 1, L_0000000002663e60, L_0000000002662ce0, C4<1>, C4<1>; +L_00000000026c8390 .delay 1 (20000,20000,20000) L_00000000026c8390/d; +L_00000000026c7f30/d .functor NAND 1, L_00000000026c6950, L_00000000026c8390, L_00000000026c7360, C4<1>; +L_00000000026c7f30 .delay 1 (30000,30000,30000) L_00000000026c7f30/d; +L_00000000026c8160/d .functor XOR 1, L_0000000002663e60, L_0000000002663f00, L_0000000002662ce0, C4<0>; +L_00000000026c8160 .delay 1 (30000,30000,30000) L_00000000026c8160/d; +L_00000000026c81d0/d .functor NOR 1, L_0000000002663e60, L_0000000002663f00, C4<0>, C4<0>; +L_00000000026c81d0 .delay 1 (20000,20000,20000) L_00000000026c81d0/d; +L_00000000026c7440/d .functor XOR 1, L_00000000026c81d0, L_0000000002662ba0, C4<0>, C4<0>; +L_00000000026c7440 .delay 1 (20000,20000,20000) L_00000000026c7440/d; +v0000000000f05270_0 .net "a", 0 0, L_0000000002663e60; alias, 1 drivers +v0000000000f05a90_0 .net "anorb", 0 0, L_00000000026c81d0; 1 drivers +v0000000000f04050_0 .net "b", 0 0, L_0000000002663f00; alias, 1 drivers +v0000000000f05e50_0 .net "carryAND", 0 0, L_00000000026c7f30; alias, 1 drivers +v0000000000f04550_0 .net "carryin", 0 0, L_0000000002662ce0; alias, 1 drivers +v0000000000f045f0_0 .net "i0", 0 0, L_0000000002662ba0; alias, 1 drivers +v0000000000f04f50_0 .net "nab", 0 0, L_00000000026c6950; alias, 1 drivers +v0000000000f05630_0 .net "nac", 0 0, L_00000000026c8390; 1 drivers +v0000000000f04a50_0 .net "nbc", 0 0, L_00000000026c7360; 1 drivers +v0000000000f04ff0_0 .net "orNOR", 0 0, L_00000000026c7440; alias, 1 drivers +v0000000000f05ef0_0 .net "sumXOR", 0 0, L_00000000026c8160; alias, 1 drivers +S_0000000000f0aa50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f002b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2217,43 +2355,46 @@ S_0000000002aa2450 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae9aa0/d .functor NOT 1, L_0000000002b4f010, C4<0>, C4<0>, C4<0>; -L_0000000002ae9aa0 .delay 1 (10000,10000,10000) L_0000000002ae9aa0/d; -L_0000000002aeb320/d .functor NOT 1, L_0000000002b4ffb0, C4<0>, C4<0>, C4<0>; -L_0000000002aeb320 .delay 1 (10000,10000,10000) L_0000000002aeb320/d; -L_0000000002aea2f0/d .functor NAND 1, L_0000000002b4ffb0, L_0000000002b4f010, L_0000000002aeaa60, C4<1>; -L_0000000002aea2f0 .delay 1 (30000,30000,30000) L_0000000002aea2f0/d; -L_0000000002aeae50/d .functor NAND 1, L_0000000002b4ffb0, L_0000000002ae9aa0, L_0000000002aeaf30, C4<1>; -L_0000000002aeae50 .delay 1 (30000,30000,30000) L_0000000002aeae50/d; -L_0000000002aeaec0/d .functor NAND 1, L_0000000002aeb320, L_0000000002b4f010, L_0000000002aea910, C4<1>; -L_0000000002aeaec0 .delay 1 (30000,30000,30000) L_0000000002aeaec0/d; -L_0000000002ae9b10/d .functor NAND 1, L_0000000002aeb320, L_0000000002ae9aa0, L_0000000002b41d60, C4<1>; -L_0000000002ae9b10 .delay 1 (30000,30000,30000) L_0000000002ae9b10/d; -L_0000000002aea1a0/d .functor NAND 1, L_0000000002aea2f0, L_0000000002aeae50, L_0000000002aeaec0, L_0000000002ae9b10; -L_0000000002aea1a0 .delay 1 (40000,40000,40000) L_0000000002aea1a0/d; -v0000000002a97e80_0 .net "a", 0 0, L_0000000002aeaa60; alias, 1 drivers -v0000000002a98880_0 .net "aout", 0 0, L_0000000002aea2f0; 1 drivers -v0000000002a98560_0 .net "b", 0 0, L_0000000002aeaf30; alias, 1 drivers -v0000000002a973e0_0 .net "bout", 0 0, L_0000000002aeae50; 1 drivers -v0000000002a98600_0 .net "c", 0 0, L_0000000002aea910; alias, 1 drivers -v0000000002a99140_0 .net "cout", 0 0, L_0000000002aeaec0; 1 drivers -v0000000002a97f20_0 .net "d", 0 0, L_0000000002b41d60; alias, 1 drivers -v0000000002a97660_0 .net "dout", 0 0, L_0000000002ae9b10; 1 drivers -v0000000002a98920_0 .net "ns0", 0 0, L_0000000002ae9aa0; 1 drivers -v0000000002a975c0_0 .net "ns1", 0 0, L_0000000002aeb320; 1 drivers -v0000000002a98060_0 .net "out", 0 0, L_0000000002aea1a0; alias, 1 drivers -v0000000002a99280_0 .net "s0", 0 0, L_0000000002b4f010; 1 drivers -v0000000002a98b00_0 .net "s1", 0 0, L_0000000002b4ffb0; 1 drivers -S_0000000002aa1fd0 .scope generate, "aluBits[16]" "aluBits[16]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7c60 .param/l "i" 0 4 52, +C4<010000>; -L_0000000002aea7c0/d .functor XOR 1, L_0000000002b507d0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002aea7c0 .delay 1 (50000,50000,50000) L_0000000002aea7c0/d; -L_0000000002aeafa0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4f6f0, C4<1>, C4<1>; -L_0000000002aeafa0 .delay 1 (30000,30000,30000) L_0000000002aeafa0/d; -v0000000002a99c80_0 .net *"_s1", 0 0, L_0000000002b507d0; 1 drivers -v0000000002a9a040_0 .net *"_s3", 0 0, L_0000000002b4f6f0; 1 drivers -S_0000000002aa2bd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1fd0; +L_00000000026c77c0/d .functor NOT 1, L_00000000026631e0, C4<0>, C4<0>, C4<0>; +L_00000000026c77c0 .delay 1 (10000,10000,10000) L_00000000026c77c0/d; +L_00000000026c8240/d .functor NOT 1, L_0000000002663dc0, C4<0>, C4<0>, C4<0>; +L_00000000026c8240 .delay 1 (10000,10000,10000) L_00000000026c8240/d; +L_00000000026c8400/d .functor NAND 1, L_0000000002663dc0, L_00000000026631e0, L_00000000026c8160, C4<1>; +L_00000000026c8400 .delay 1 (30000,30000,30000) L_00000000026c8400/d; +L_00000000026c6c60/d .functor NAND 1, L_0000000002663dc0, L_00000000026c77c0, L_00000000026c7440, C4<1>; +L_00000000026c6c60 .delay 1 (30000,30000,30000) L_00000000026c6c60/d; +L_00000000026c7980/d .functor NAND 1, L_00000000026c8240, L_00000000026631e0, L_00000000026c7f30, C4<1>; +L_00000000026c7980 .delay 1 (30000,30000,30000) L_00000000026c7980/d; +L_00000000026c79f0/d .functor NAND 1, L_00000000026c8240, L_00000000026c77c0, L_00000000026c6950, C4<1>; +L_00000000026c79f0 .delay 1 (30000,30000,30000) L_00000000026c79f0/d; +L_00000000026c7a60/d .functor NAND 1, L_00000000026c8400, L_00000000026c6c60, L_00000000026c7980, L_00000000026c79f0; +L_00000000026c7a60 .delay 1 (40000,40000,40000) L_00000000026c7a60/d; +v0000000000f05450_0 .net "a", 0 0, L_00000000026c8160; alias, 1 drivers +v0000000000f03e70_0 .net "aout", 0 0, L_00000000026c8400; 1 drivers +v0000000000f03fb0_0 .net "b", 0 0, L_00000000026c7440; alias, 1 drivers +v0000000000f047d0_0 .net "bout", 0 0, L_00000000026c6c60; 1 drivers +v0000000000f03f10_0 .net "c", 0 0, L_00000000026c7f30; alias, 1 drivers +v0000000000f04690_0 .net "cout", 0 0, L_00000000026c7980; 1 drivers +v0000000000f04730_0 .net "d", 0 0, L_00000000026c6950; alias, 1 drivers +v0000000000f05c70_0 .net "dout", 0 0, L_00000000026c79f0; 1 drivers +v0000000000f05090_0 .net "ns0", 0 0, L_00000000026c77c0; 1 drivers +v0000000000f05130_0 .net "ns1", 0 0, L_00000000026c8240; 1 drivers +v0000000000f051d0_0 .net "out", 0 0, L_00000000026c7a60; alias, 1 drivers +v0000000000f054f0_0 .net "s0", 0 0, L_00000000026631e0; 1 drivers +v0000000000f05590_0 .net "s1", 0 0, L_0000000002663dc0; 1 drivers +S_0000000000f09e50 .scope generate, "aluBits[16]" "aluBits[16]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de08c0 .param/l "i" 0 4 49, +C4<010000>; +L_00000000026c7bb0/d .functor XOR 1, L_0000000002663fa0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026c7bb0 .delay 1 (20000,20000,20000) L_00000000026c7bb0/d; +L_00000000026c7c90/d .functor AND 1, v0000000000e20d10_0, L_0000000002664c20, C4<1>, C4<1>; +L_00000000026c7c90 .delay 1 (30000,30000,30000) L_00000000026c7c90/d; +L_00000000026d6050/d .functor AND 1, L_0000000002662b00, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d6050 .delay 1 (30000,30000,30000) L_00000000026d6050/d; +v0000000000f0d2d0_0 .net *"_s1", 0 0, L_0000000002663fa0; 1 drivers +v0000000000f0c510_0 .net *"_s3", 0 0, L_0000000002664c20; 1 drivers +v0000000000f0b890_0 .net *"_s9", 0 0, L_0000000002662b00; 1 drivers +S_0000000000f0a150 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09e50; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2262,19 +2403,19 @@ S_0000000002aa2bd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a9b300_0 .net "a", 0 0, L_0000000002b50a50; 1 drivers -v0000000002a99960_0 .net "b", 0 0, L_0000000002b50730; 1 drivers -v0000000002a99a00_0 .net "carryAND", 0 0, L_0000000002aea130; 1 drivers -v0000000002a9a9a0_0 .net "cin", 0 0, L_0000000002b4ff10; 1 drivers -v0000000002a9acc0_0 .net "ctrl0", 0 0, L_0000000002b50f50; 1 drivers -v0000000002a9ad60_0 .net "nab", 0 0, L_0000000002aeb470; 1 drivers -v0000000002a9bc60_0 .net "orNOR", 0 0, L_0000000002aeb550; 1 drivers -v0000000002a99b40_0 .net "res", 0 0, L_0000000002aea6e0; 1 drivers -v0000000002a9bd00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a9b080_0 .net "sumXOR", 0 0, L_0000000002aeb160; 1 drivers -L_0000000002b4f0b0 .part v0000000002a01440_0, 1, 1; -L_0000000002b4ecf0 .part v0000000002a01440_0, 0, 1; -S_0000000002aa2ed0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2bd0; +v0000000000f0d690_0 .net "a", 0 0, L_0000000002662920; 1 drivers +v0000000000f0c0b0_0 .net "b", 0 0, L_0000000002664fe0; 1 drivers +v0000000000f0d5f0_0 .net "carryAND", 0 0, L_00000000026c87f0; 1 drivers +v0000000000f0d0f0_0 .net "cin", 0 0, L_0000000002664180; 1 drivers +v0000000000f0c3d0_0 .net "ctrl0", 0 0, L_0000000002662a60; 1 drivers +v0000000000f0c470_0 .net "nab", 0 0, L_00000000026c8710; 1 drivers +v0000000000f0d550_0 .net "orNOR", 0 0, L_00000000026c8550; 1 drivers +v0000000000f0b250_0 .net "res", 0 0, L_00000000026d63d0; 1 drivers +v0000000000f0b6b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f0c290_0 .net "sumXOR", 0 0, L_00000000026c8630; 1 drivers +L_0000000002664e00 .part v0000000000e21990_0, 1, 1; +L_0000000002664f40 .part v0000000000e21990_0, 0, 1; +S_0000000000f099d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a150; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2284,32 +2425,32 @@ S_0000000002aa2ed0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2bd .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002aeb470/d .functor NAND 1, L_0000000002b50a50, L_0000000002b50730, C4<1>, C4<1>; -L_0000000002aeb470 .delay 1 (20000,20000,20000) L_0000000002aeb470/d; -L_0000000002aeaad0/d .functor NAND 1, L_0000000002b50730, L_0000000002b4ff10, C4<1>, C4<1>; -L_0000000002aeaad0 .delay 1 (20000,20000,20000) L_0000000002aeaad0/d; -L_0000000002ae9cd0/d .functor NAND 1, L_0000000002b50a50, L_0000000002b4ff10, C4<1>, C4<1>; -L_0000000002ae9cd0 .delay 1 (20000,20000,20000) L_0000000002ae9cd0/d; -L_0000000002aea130/d .functor NAND 1, L_0000000002aeb470, L_0000000002ae9cd0, L_0000000002aeaad0, C4<1>; -L_0000000002aea130 .delay 1 (30000,30000,30000) L_0000000002aea130/d; -L_0000000002aeb160/d .functor XOR 1, L_0000000002b50a50, L_0000000002b50730, L_0000000002b4ff10, C4<0>; -L_0000000002aeb160 .delay 1 (30000,30000,30000) L_0000000002aeb160/d; -L_0000000002aea670/d .functor NOR 1, L_0000000002b50a50, L_0000000002b50730, C4<0>, C4<0>; -L_0000000002aea670 .delay 1 (20000,20000,20000) L_0000000002aea670/d; -L_0000000002aeb550/d .functor XOR 1, L_0000000002aea670, L_0000000002b50f50, C4<0>, C4<0>; -L_0000000002aeb550 .delay 1 (20000,20000,20000) L_0000000002aeb550/d; -v0000000002a97ca0_0 .net "a", 0 0, L_0000000002b50a50; alias, 1 drivers -v0000000002a97d40_0 .net "anorb", 0 0, L_0000000002aea670; 1 drivers -v0000000002a98ec0_0 .net "b", 0 0, L_0000000002b50730; alias, 1 drivers -v0000000002a98f60_0 .net "carryAND", 0 0, L_0000000002aea130; alias, 1 drivers -v0000000002a99820_0 .net "carryin", 0 0, L_0000000002b4ff10; alias, 1 drivers -v0000000002a970c0_0 .net "i0", 0 0, L_0000000002b50f50; alias, 1 drivers -v0000000002a97160_0 .net "nab", 0 0, L_0000000002aeb470; alias, 1 drivers -v0000000002a9a540_0 .net "nac", 0 0, L_0000000002ae9cd0; 1 drivers -v0000000002a9a5e0_0 .net "nbc", 0 0, L_0000000002aeaad0; 1 drivers -v0000000002a99fa0_0 .net "orNOR", 0 0, L_0000000002aeb550; alias, 1 drivers -v0000000002a9b8a0_0 .net "sumXOR", 0 0, L_0000000002aeb160; alias, 1 drivers -S_0000000002aa16d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa2bd0; +L_00000000026c8710/d .functor NAND 1, L_0000000002662920, L_0000000002664fe0, C4<1>, C4<1>; +L_00000000026c8710 .delay 1 (20000,20000,20000) L_00000000026c8710/d; +L_00000000026c8780/d .functor NAND 1, L_0000000002664fe0, L_0000000002664180, C4<1>, C4<1>; +L_00000000026c8780 .delay 1 (20000,20000,20000) L_00000000026c8780/d; +L_00000000026c85c0/d .functor NAND 1, L_0000000002662920, L_0000000002664180, C4<1>, C4<1>; +L_00000000026c85c0 .delay 1 (20000,20000,20000) L_00000000026c85c0/d; +L_00000000026c87f0/d .functor NAND 1, L_00000000026c8710, L_00000000026c85c0, L_00000000026c8780, C4<1>; +L_00000000026c87f0 .delay 1 (30000,30000,30000) L_00000000026c87f0/d; +L_00000000026c8630/d .functor XOR 1, L_0000000002662920, L_0000000002664fe0, L_0000000002664180, C4<0>; +L_00000000026c8630 .delay 1 (30000,30000,30000) L_00000000026c8630/d; +L_00000000026c86a0/d .functor NOR 1, L_0000000002662920, L_0000000002664fe0, C4<0>, C4<0>; +L_00000000026c86a0 .delay 1 (20000,20000,20000) L_00000000026c86a0/d; +L_00000000026c8550/d .functor XOR 1, L_00000000026c86a0, L_0000000002662a60, C4<0>, C4<0>; +L_00000000026c8550 .delay 1 (20000,20000,20000) L_00000000026c8550/d; +v0000000000f1a930_0 .net "a", 0 0, L_0000000002662920; alias, 1 drivers +v0000000000f1acf0_0 .net "anorb", 0 0, L_00000000026c86a0; 1 drivers +v0000000000f1a110_0 .net "b", 0 0, L_0000000002664fe0; alias, 1 drivers +v0000000000f1a570_0 .net "carryAND", 0 0, L_00000000026c87f0; alias, 1 drivers +v0000000000f1a9d0_0 .net "carryin", 0 0, L_0000000002664180; alias, 1 drivers +v0000000000f1aa70_0 .net "i0", 0 0, L_0000000002662a60; alias, 1 drivers +v0000000000f1a250_0 .net "nab", 0 0, L_00000000026c8710; alias, 1 drivers +v0000000000f1ab10_0 .net "nac", 0 0, L_00000000026c85c0; 1 drivers +v0000000000f1a1b0_0 .net "nbc", 0 0, L_00000000026c8780; 1 drivers +v0000000000f1a390_0 .net "orNOR", 0 0, L_00000000026c8550; alias, 1 drivers +v0000000000f1a430_0 .net "sumXOR", 0 0, L_00000000026c8630; alias, 1 drivers +S_0000000000f0a2d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0a150; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2318,43 +2459,46 @@ S_0000000002aa16d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002aea520/d .functor NOT 1, L_0000000002b4ecf0, C4<0>, C4<0>, C4<0>; -L_0000000002aea520 .delay 1 (10000,10000,10000) L_0000000002aea520/d; -L_0000000002aea4b0/d .functor NOT 1, L_0000000002b4f0b0, C4<0>, C4<0>, C4<0>; -L_0000000002aea4b0 .delay 1 (10000,10000,10000) L_0000000002aea4b0/d; -L_0000000002ae9bf0/d .functor NAND 1, L_0000000002b4f0b0, L_0000000002b4ecf0, L_0000000002aeb160, C4<1>; -L_0000000002ae9bf0 .delay 1 (30000,30000,30000) L_0000000002ae9bf0/d; -L_0000000002aeac20/d .functor NAND 1, L_0000000002b4f0b0, L_0000000002aea520, L_0000000002aeb550, C4<1>; -L_0000000002aeac20 .delay 1 (30000,30000,30000) L_0000000002aeac20/d; -L_0000000002aea830/d .functor NAND 1, L_0000000002aea4b0, L_0000000002b4ecf0, L_0000000002aea130, C4<1>; -L_0000000002aea830 .delay 1 (30000,30000,30000) L_0000000002aea830/d; -L_0000000002ae9db0/d .functor NAND 1, L_0000000002aea4b0, L_0000000002aea520, L_0000000002aeb470, C4<1>; -L_0000000002ae9db0 .delay 1 (30000,30000,30000) L_0000000002ae9db0/d; -L_0000000002aea6e0/d .functor NAND 1, L_0000000002ae9bf0, L_0000000002aeac20, L_0000000002aea830, L_0000000002ae9db0; -L_0000000002aea6e0 .delay 1 (40000,40000,40000) L_0000000002aea6e0/d; -v0000000002a9bf80_0 .net "a", 0 0, L_0000000002aeb160; alias, 1 drivers -v0000000002a998c0_0 .net "aout", 0 0, L_0000000002ae9bf0; 1 drivers -v0000000002a9a680_0 .net "b", 0 0, L_0000000002aeb550; alias, 1 drivers -v0000000002a9bda0_0 .net "bout", 0 0, L_0000000002aeac20; 1 drivers -v0000000002a9bee0_0 .net "c", 0 0, L_0000000002aea130; alias, 1 drivers -v0000000002a9c020_0 .net "cout", 0 0, L_0000000002aea830; 1 drivers -v0000000002a9a4a0_0 .net "d", 0 0, L_0000000002aeb470; alias, 1 drivers -v0000000002a9a900_0 .net "dout", 0 0, L_0000000002ae9db0; 1 drivers -v0000000002a9b440_0 .net "ns0", 0 0, L_0000000002aea520; 1 drivers -v0000000002a9be40_0 .net "ns1", 0 0, L_0000000002aea4b0; 1 drivers -v0000000002a9afe0_0 .net "out", 0 0, L_0000000002aea6e0; alias, 1 drivers -v0000000002a9b120_0 .net "s0", 0 0, L_0000000002b4ecf0; 1 drivers -v0000000002a9b940_0 .net "s1", 0 0, L_0000000002b4f0b0; 1 drivers -S_0000000002aa2150 .scope generate, "aluBits[17]" "aluBits[17]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8aa0 .param/l "i" 0 4 52, +C4<010001>; -L_0000000002ae9c60/d .functor XOR 1, L_0000000002b4f790, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002ae9c60 .delay 1 (50000,50000,50000) L_0000000002ae9c60/d; -L_0000000002aeade0/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ed90, C4<1>, C4<1>; -L_0000000002aeade0 .delay 1 (30000,30000,30000) L_0000000002aeade0/d; -v0000000002a9b800_0 .net *"_s1", 0 0, L_0000000002b4f790; 1 drivers -v0000000002ab2e80_0 .net *"_s3", 0 0, L_0000000002b4ed90; 1 drivers -S_0000000002aa2a50 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa2150; +L_00000000026c8860/d .functor NOT 1, L_0000000002664f40, C4<0>, C4<0>, C4<0>; +L_00000000026c8860 .delay 1 (10000,10000,10000) L_00000000026c8860/d; +L_00000000026d5e20/d .functor NOT 1, L_0000000002664e00, C4<0>, C4<0>, C4<0>; +L_00000000026d5e20 .delay 1 (10000,10000,10000) L_00000000026d5e20/d; +L_00000000026d5410/d .functor NAND 1, L_0000000002664e00, L_0000000002664f40, L_00000000026c8630, C4<1>; +L_00000000026d5410 .delay 1 (30000,30000,30000) L_00000000026d5410/d; +L_00000000026d5560/d .functor NAND 1, L_0000000002664e00, L_00000000026c8860, L_00000000026c8550, C4<1>; +L_00000000026d5560 .delay 1 (30000,30000,30000) L_00000000026d5560/d; +L_00000000026d5020/d .functor NAND 1, L_00000000026d5e20, L_0000000002664f40, L_00000000026c87f0, C4<1>; +L_00000000026d5020 .delay 1 (30000,30000,30000) L_00000000026d5020/d; +L_00000000026d5090/d .functor NAND 1, L_00000000026d5e20, L_00000000026c8860, L_00000000026c8710, C4<1>; +L_00000000026d5090 .delay 1 (30000,30000,30000) L_00000000026d5090/d; +L_00000000026d63d0/d .functor NAND 1, L_00000000026d5410, L_00000000026d5560, L_00000000026d5020, L_00000000026d5090; +L_00000000026d63d0 .delay 1 (40000,40000,40000) L_00000000026d63d0/d; +v0000000000f0d230_0 .net "a", 0 0, L_00000000026c8630; alias, 1 drivers +v0000000000f0c330_0 .net "aout", 0 0, L_00000000026d5410; 1 drivers +v0000000000f0b9d0_0 .net "b", 0 0, L_00000000026c8550; alias, 1 drivers +v0000000000f0c1f0_0 .net "bout", 0 0, L_00000000026d5560; 1 drivers +v0000000000f0c150_0 .net "c", 0 0, L_00000000026c87f0; alias, 1 drivers +v0000000000f0d370_0 .net "cout", 0 0, L_00000000026d5020; 1 drivers +v0000000000f0b930_0 .net "d", 0 0, L_00000000026c8710; alias, 1 drivers +v0000000000f0be30_0 .net "dout", 0 0, L_00000000026d5090; 1 drivers +v0000000000f0d410_0 .net "ns0", 0 0, L_00000000026c8860; 1 drivers +v0000000000f0cb50_0 .net "ns1", 0 0, L_00000000026d5e20; 1 drivers +v0000000000f0ba70_0 .net "out", 0 0, L_00000000026d63d0; alias, 1 drivers +v0000000000f0bcf0_0 .net "s0", 0 0, L_0000000002664f40; 1 drivers +v0000000000f0d4b0_0 .net "s1", 0 0, L_0000000002664e00; 1 drivers +S_0000000000f09fd0 .scope generate, "aluBits[17]" "aluBits[17]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de0900 .param/l "i" 0 4 49, +C4<010001>; +L_00000000026d5100/d .functor XOR 1, L_0000000002662f60, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d5100 .delay 1 (20000,20000,20000) L_00000000026d5100/d; +L_00000000026d5330/d .functor AND 1, v0000000000e20d10_0, L_0000000002664400, C4<1>, C4<1>; +L_00000000026d5330 .delay 1 (30000,30000,30000) L_00000000026d5330/d; +L_00000000026d4ed0/d .functor AND 1, L_0000000002664540, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d4ed0 .delay 1 (30000,30000,30000) L_00000000026d4ed0/d; +v0000000000f0ca10_0 .net *"_s1", 0 0, L_0000000002662f60; 1 drivers +v0000000000f0cc90_0 .net *"_s3", 0 0, L_0000000002664400; 1 drivers +v0000000000f0cd30_0 .net *"_s9", 0 0, L_0000000002664540; 1 drivers +S_0000000000f0a450 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09fd0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2363,19 +2507,19 @@ S_0000000002aa2a50 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002a9b260_0 .net "a", 0 0, L_0000000002b4f150; 1 drivers -v0000000002a9a400_0 .net "b", 0 0, L_0000000002b50370; 1 drivers -v0000000002a9a720_0 .net "carryAND", 0 0, L_0000000002ae9d40; 1 drivers -v0000000002a9a7c0_0 .net "cin", 0 0, L_0000000002b50910; 1 drivers -v0000000002a9a860_0 .net "ctrl0", 0 0, L_0000000002b4f3d0; 1 drivers -v0000000002a9aae0_0 .net "nab", 0 0, L_0000000002aeab40; 1 drivers -v0000000002a9ab80_0 .net "orNOR", 0 0, L_0000000002aea600; 1 drivers -v0000000002a9b4e0_0 .net "res", 0 0, L_0000000002ae9f70; 1 drivers -v0000000002a9ac20_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002a9b6c0_0 .net "sumXOR", 0 0, L_0000000002aeb010; 1 drivers -L_0000000002b502d0 .part v0000000002a01440_0, 1, 1; -L_0000000002b4f650 .part v0000000002a01440_0, 0, 1; -S_0000000002aa10d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2a50; +v0000000000f0cfb0_0 .net "a", 0 0, L_0000000002663460; 1 drivers +v0000000000f0d050_0 .net "b", 0 0, L_0000000002663140; 1 drivers +v0000000000f0d190_0 .net "carryAND", 0 0, L_00000000026d61a0; 1 drivers +v0000000000f0bb10_0 .net "cin", 0 0, L_0000000002663500; 1 drivers +v0000000000f0c8d0_0 .net "ctrl0", 0 0, L_00000000026635a0; 1 drivers +v0000000000f0b610_0 .net "nab", 0 0, L_00000000026d5170; 1 drivers +v0000000000f0bed0_0 .net "orNOR", 0 0, L_00000000026d4ca0; 1 drivers +v0000000000f0c010_0 .net "res", 0 0, L_00000000026d56b0; 1 drivers +v0000000000f0b750_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f0c970_0 .net "sumXOR", 0 0, L_00000000026d55d0; 1 drivers +L_0000000002664220 .part v0000000000e21990_0, 1, 1; +L_00000000026630a0 .part v0000000000e21990_0, 0, 1; +S_0000000000f0a5d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a450; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2385,32 +2529,32 @@ S_0000000002aa10d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa2a5 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002aeab40/d .functor NAND 1, L_0000000002b4f150, L_0000000002b50370, C4<1>, C4<1>; -L_0000000002aeab40 .delay 1 (20000,20000,20000) L_0000000002aeab40/d; -L_0000000002aeac90/d .functor NAND 1, L_0000000002b50370, L_0000000002b50910, C4<1>, C4<1>; -L_0000000002aeac90 .delay 1 (20000,20000,20000) L_0000000002aeac90/d; -L_0000000002aea9f0/d .functor NAND 1, L_0000000002b4f150, L_0000000002b50910, C4<1>, C4<1>; -L_0000000002aea9f0 .delay 1 (20000,20000,20000) L_0000000002aea9f0/d; -L_0000000002ae9d40/d .functor NAND 1, L_0000000002aeab40, L_0000000002aea9f0, L_0000000002aeac90, C4<1>; -L_0000000002ae9d40 .delay 1 (30000,30000,30000) L_0000000002ae9d40/d; -L_0000000002aeb010/d .functor XOR 1, L_0000000002b4f150, L_0000000002b50370, L_0000000002b50910, C4<0>; -L_0000000002aeb010 .delay 1 (30000,30000,30000) L_0000000002aeb010/d; -L_0000000002aeb1d0/d .functor NOR 1, L_0000000002b4f150, L_0000000002b50370, C4<0>, C4<0>; -L_0000000002aeb1d0 .delay 1 (20000,20000,20000) L_0000000002aeb1d0/d; -L_0000000002aea600/d .functor XOR 1, L_0000000002aeb1d0, L_0000000002b4f3d0, C4<0>, C4<0>; -L_0000000002aea600 .delay 1 (20000,20000,20000) L_0000000002aea600/d; -v0000000002a99dc0_0 .net "a", 0 0, L_0000000002b4f150; alias, 1 drivers -v0000000002a9ae00_0 .net "anorb", 0 0, L_0000000002aeb1d0; 1 drivers -v0000000002a9b580_0 .net "b", 0 0, L_0000000002b50370; alias, 1 drivers -v0000000002a99aa0_0 .net "carryAND", 0 0, L_0000000002ae9d40; alias, 1 drivers -v0000000002a9af40_0 .net "carryin", 0 0, L_0000000002b50910; alias, 1 drivers -v0000000002a99d20_0 .net "i0", 0 0, L_0000000002b4f3d0; alias, 1 drivers -v0000000002a9b1c0_0 .net "nab", 0 0, L_0000000002aeab40; alias, 1 drivers -v0000000002a9b760_0 .net "nac", 0 0, L_0000000002aea9f0; 1 drivers -v0000000002a9aea0_0 .net "nbc", 0 0, L_0000000002aeac90; 1 drivers -v0000000002a9aa40_0 .net "orNOR", 0 0, L_0000000002aea600; alias, 1 drivers -v0000000002a99be0_0 .net "sumXOR", 0 0, L_0000000002aeb010; alias, 1 drivers -S_0000000002aa1550 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa2a50; +L_00000000026d5170/d .functor NAND 1, L_0000000002663460, L_0000000002663140, C4<1>, C4<1>; +L_00000000026d5170 .delay 1 (20000,20000,20000) L_00000000026d5170/d; +L_00000000026d5aa0/d .functor NAND 1, L_0000000002663140, L_0000000002663500, C4<1>, C4<1>; +L_00000000026d5aa0 .delay 1 (20000,20000,20000) L_00000000026d5aa0/d; +L_00000000026d5950/d .functor NAND 1, L_0000000002663460, L_0000000002663500, C4<1>, C4<1>; +L_00000000026d5950 .delay 1 (20000,20000,20000) L_00000000026d5950/d; +L_00000000026d61a0/d .functor NAND 1, L_00000000026d5170, L_00000000026d5950, L_00000000026d5aa0, C4<1>; +L_00000000026d61a0 .delay 1 (30000,30000,30000) L_00000000026d61a0/d; +L_00000000026d55d0/d .functor XOR 1, L_0000000002663460, L_0000000002663140, L_0000000002663500, C4<0>; +L_00000000026d55d0 .delay 1 (30000,30000,30000) L_00000000026d55d0/d; +L_00000000026d5fe0/d .functor NOR 1, L_0000000002663460, L_0000000002663140, C4<0>, C4<0>; +L_00000000026d5fe0 .delay 1 (20000,20000,20000) L_00000000026d5fe0/d; +L_00000000026d4ca0/d .functor XOR 1, L_00000000026d5fe0, L_00000000026635a0, C4<0>, C4<0>; +L_00000000026d4ca0 .delay 1 (20000,20000,20000) L_00000000026d4ca0/d; +v0000000000f0d730_0 .net "a", 0 0, L_0000000002663460; alias, 1 drivers +v0000000000f0d7d0_0 .net "anorb", 0 0, L_00000000026d5fe0; 1 drivers +v0000000000f0cbf0_0 .net "b", 0 0, L_0000000002663140; alias, 1 drivers +v0000000000f0cab0_0 .net "carryAND", 0 0, L_00000000026d61a0; alias, 1 drivers +v0000000000f0b2f0_0 .net "carryin", 0 0, L_0000000002663500; alias, 1 drivers +v0000000000f0b7f0_0 .net "i0", 0 0, L_00000000026635a0; alias, 1 drivers +v0000000000f0d870_0 .net "nab", 0 0, L_00000000026d5170; alias, 1 drivers +v0000000000f0b110_0 .net "nac", 0 0, L_00000000026d5950; 1 drivers +v0000000000f0b1b0_0 .net "nbc", 0 0, L_00000000026d5aa0; 1 drivers +v0000000000f0c5b0_0 .net "orNOR", 0 0, L_00000000026d4ca0; alias, 1 drivers +v0000000000f0bbb0_0 .net "sumXOR", 0 0, L_00000000026d55d0; alias, 1 drivers +S_0000000000f096d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0a450; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2419,43 +2563,46 @@ S_0000000002aa1550 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002aeb0f0/d .functor NOT 1, L_0000000002b4f650, C4<0>, C4<0>, C4<0>; -L_0000000002aeb0f0 .delay 1 (10000,10000,10000) L_0000000002aeb0f0/d; -L_0000000002aeabb0/d .functor NOT 1, L_0000000002b502d0, C4<0>, C4<0>, C4<0>; -L_0000000002aeabb0 .delay 1 (10000,10000,10000) L_0000000002aeabb0/d; -L_0000000002aead00/d .functor NAND 1, L_0000000002b502d0, L_0000000002b4f650, L_0000000002aeb010, C4<1>; -L_0000000002aead00 .delay 1 (30000,30000,30000) L_0000000002aead00/d; -L_0000000002aead70/d .functor NAND 1, L_0000000002b502d0, L_0000000002aeb0f0, L_0000000002aea600, C4<1>; -L_0000000002aead70 .delay 1 (30000,30000,30000) L_0000000002aead70/d; -L_0000000002aeb080/d .functor NAND 1, L_0000000002aeabb0, L_0000000002b4f650, L_0000000002ae9d40, C4<1>; -L_0000000002aeb080 .delay 1 (30000,30000,30000) L_0000000002aeb080/d; -L_0000000002ae9e20/d .functor NAND 1, L_0000000002aeabb0, L_0000000002aeb0f0, L_0000000002aeab40, C4<1>; -L_0000000002ae9e20 .delay 1 (30000,30000,30000) L_0000000002ae9e20/d; -L_0000000002ae9f70/d .functor NAND 1, L_0000000002aead00, L_0000000002aead70, L_0000000002aeb080, L_0000000002ae9e20; -L_0000000002ae9f70 .delay 1 (40000,40000,40000) L_0000000002ae9f70/d; -v0000000002a9b3a0_0 .net "a", 0 0, L_0000000002aeb010; alias, 1 drivers -v0000000002a9ba80_0 .net "aout", 0 0, L_0000000002aead00; 1 drivers -v0000000002a9b9e0_0 .net "b", 0 0, L_0000000002aea600; alias, 1 drivers -v0000000002a9bbc0_0 .net "bout", 0 0, L_0000000002aead70; 1 drivers -v0000000002a9b620_0 .net "c", 0 0, L_0000000002ae9d40; alias, 1 drivers -v0000000002a99e60_0 .net "cout", 0 0, L_0000000002aeb080; 1 drivers -v0000000002a9bb20_0 .net "d", 0 0, L_0000000002aeab40; alias, 1 drivers -v0000000002a99f00_0 .net "dout", 0 0, L_0000000002ae9e20; 1 drivers -v0000000002a9a0e0_0 .net "ns0", 0 0, L_0000000002aeb0f0; 1 drivers -v0000000002a9a180_0 .net "ns1", 0 0, L_0000000002aeabb0; 1 drivers -v0000000002a9a220_0 .net "out", 0 0, L_0000000002ae9f70; alias, 1 drivers -v0000000002a9a2c0_0 .net "s0", 0 0, L_0000000002b4f650; 1 drivers -v0000000002a9a360_0 .net "s1", 0 0, L_0000000002b502d0; 1 drivers -S_0000000002aa1850 .scope generate, "aluBits[18]" "aluBits[18]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8ae0 .param/l "i" 0 4 52, +C4<010010>; -L_0000000002aea590/d .functor XOR 1, L_0000000002b50b90, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002aea590 .delay 1 (50000,50000,50000) L_0000000002aea590/d; -L_0000000002aeb240/d .functor AND 1, v0000000002a01300_0, L_0000000002b4ebb0, C4<1>, C4<1>; -L_0000000002aeb240 .delay 1 (30000,30000,30000) L_0000000002aeb240/d; -v0000000002ab1d00_0 .net *"_s1", 0 0, L_0000000002b50b90; 1 drivers -v0000000002ab28e0_0 .net *"_s3", 0 0, L_0000000002b4ebb0; 1 drivers -S_0000000002aa25d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1850; +L_00000000026d5640/d .functor NOT 1, L_00000000026630a0, C4<0>, C4<0>, C4<0>; +L_00000000026d5640 .delay 1 (10000,10000,10000) L_00000000026d5640/d; +L_00000000026d4990/d .functor NOT 1, L_0000000002664220, C4<0>, C4<0>, C4<0>; +L_00000000026d4990 .delay 1 (10000,10000,10000) L_00000000026d4990/d; +L_00000000026d4fb0/d .functor NAND 1, L_0000000002664220, L_00000000026630a0, L_00000000026d55d0, C4<1>; +L_00000000026d4fb0 .delay 1 (30000,30000,30000) L_00000000026d4fb0/d; +L_00000000026d5870/d .functor NAND 1, L_0000000002664220, L_00000000026d5640, L_00000000026d4ca0, C4<1>; +L_00000000026d5870 .delay 1 (30000,30000,30000) L_00000000026d5870/d; +L_00000000026d6210/d .functor NAND 1, L_00000000026d4990, L_00000000026630a0, L_00000000026d61a0, C4<1>; +L_00000000026d6210 .delay 1 (30000,30000,30000) L_00000000026d6210/d; +L_00000000026d5db0/d .functor NAND 1, L_00000000026d4990, L_00000000026d5640, L_00000000026d5170, C4<1>; +L_00000000026d5db0 .delay 1 (30000,30000,30000) L_00000000026d5db0/d; +L_00000000026d56b0/d .functor NAND 1, L_00000000026d4fb0, L_00000000026d5870, L_00000000026d6210, L_00000000026d5db0; +L_00000000026d56b0 .delay 1 (40000,40000,40000) L_00000000026d56b0/d; +v0000000000f0c650_0 .net "a", 0 0, L_00000000026d55d0; alias, 1 drivers +v0000000000f0c6f0_0 .net "aout", 0 0, L_00000000026d4fb0; 1 drivers +v0000000000f0bf70_0 .net "b", 0 0, L_00000000026d4ca0; alias, 1 drivers +v0000000000f0c790_0 .net "bout", 0 0, L_00000000026d5870; 1 drivers +v0000000000f0b390_0 .net "c", 0 0, L_00000000026d61a0; alias, 1 drivers +v0000000000f0b430_0 .net "cout", 0 0, L_00000000026d6210; 1 drivers +v0000000000f0bc50_0 .net "d", 0 0, L_00000000026d5170; alias, 1 drivers +v0000000000f0bd90_0 .net "dout", 0 0, L_00000000026d5db0; 1 drivers +v0000000000f0c830_0 .net "ns0", 0 0, L_00000000026d5640; 1 drivers +v0000000000f0ce70_0 .net "ns1", 0 0, L_00000000026d4990; 1 drivers +v0000000000f0b4d0_0 .net "out", 0 0, L_00000000026d56b0; alias, 1 drivers +v0000000000f0cf10_0 .net "s0", 0 0, L_00000000026630a0; 1 drivers +v0000000000f0b570_0 .net "s1", 0 0, L_0000000002664220; 1 drivers +S_0000000000f09cd0 .scope generate, "aluBits[18]" "aluBits[18]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de0c80 .param/l "i" 0 4 49, +C4<010010>; +L_00000000026d51e0/d .functor XOR 1, L_00000000026645e0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d51e0 .delay 1 (20000,20000,20000) L_00000000026d51e0/d; +L_00000000026d5e90/d .functor AND 1, v0000000000e20d10_0, L_00000000026642c0, C4<1>, C4<1>; +L_00000000026d5e90 .delay 1 (30000,30000,30000) L_00000000026d5e90/d; +L_00000000026d5a30/d .functor AND 1, L_00000000026665c0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d5a30 .delay 1 (30000,30000,30000) L_00000000026d5a30/d; +v0000000000f0fc10_0 .net *"_s1", 0 0, L_00000000026645e0; 1 drivers +v0000000000f0f030_0 .net *"_s3", 0 0, L_00000000026642c0; 1 drivers +v0000000000f0f490_0 .net *"_s9", 0 0, L_00000000026665c0; 1 drivers +S_0000000000f0aed0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09cd0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2464,19 +2611,19 @@ S_0000000002aa25d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab20c0_0 .net "a", 0 0, L_0000000002b50d70; 1 drivers -v0000000002ab2f20_0 .net "b", 0 0, L_0000000002b50050; 1 drivers -v0000000002ab2b60_0 .net "carryAND", 0 0, L_0000000002aeb400; 1 drivers -v0000000002ab14e0_0 .net "cin", 0 0, L_0000000002b4ee30; 1 drivers -v0000000002ab22a0_0 .net "ctrl0", 0 0, L_0000000002b4fc90; 1 drivers -v0000000002ab2200_0 .net "nab", 0 0, L_0000000002aeb2b0; 1 drivers -v0000000002ab2c00_0 .net "orNOR", 0 0, L_0000000002ae9e90; 1 drivers -v0000000002ab3740_0 .net "res", 0 0, L_0000000002aea360; 1 drivers -v0000000002ab13a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ab2d40_0 .net "sumXOR", 0 0, L_0000000002ae9a30; 1 drivers -L_0000000002b50cd0 .part v0000000002a01440_0, 1, 1; -L_0000000002b4f830 .part v0000000002a01440_0, 0, 1; -S_0000000002aa19d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa25d0; +v0000000000f0f2b0_0 .net "a", 0 0, L_00000000026644a0; 1 drivers +v0000000000f0fad0_0 .net "b", 0 0, L_0000000002666f20; 1 drivers +v0000000000f0e090_0 .net "carryAND", 0 0, L_00000000026d5720; 1 drivers +v0000000000f0fd50_0 .net "cin", 0 0, L_0000000002665440; 1 drivers +v0000000000f0e130_0 .net "ctrl0", 0 0, L_0000000002665260; 1 drivers +v0000000000f0e630_0 .net "nab", 0 0, L_00000000026d5f00; 1 drivers +v0000000000f0eef0_0 .net "orNOR", 0 0, L_00000000026d5250; 1 drivers +v0000000000f0f670_0 .net "res", 0 0, L_00000000026d6130; 1 drivers +v0000000000f0e8b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f0ef90_0 .net "sumXOR", 0 0, L_00000000026d4a00; 1 drivers +L_0000000002663640 .part v0000000000e21990_0, 1, 1; +L_0000000002664360 .part v0000000000e21990_0, 0, 1; +S_0000000000f09b50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0aed0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2486,32 +2633,32 @@ S_0000000002aa19d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa25d .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002aeb2b0/d .functor NAND 1, L_0000000002b50d70, L_0000000002b50050, C4<1>, C4<1>; -L_0000000002aeb2b0 .delay 1 (20000,20000,20000) L_0000000002aeb2b0/d; -L_0000000002ae99c0/d .functor NAND 1, L_0000000002b50050, L_0000000002b4ee30, C4<1>, C4<1>; -L_0000000002ae99c0 .delay 1 (20000,20000,20000) L_0000000002ae99c0/d; -L_0000000002aeb390/d .functor NAND 1, L_0000000002b50d70, L_0000000002b4ee30, C4<1>, C4<1>; -L_0000000002aeb390 .delay 1 (20000,20000,20000) L_0000000002aeb390/d; -L_0000000002aeb400/d .functor NAND 1, L_0000000002aeb2b0, L_0000000002aeb390, L_0000000002ae99c0, C4<1>; -L_0000000002aeb400 .delay 1 (30000,30000,30000) L_0000000002aeb400/d; -L_0000000002ae9a30/d .functor XOR 1, L_0000000002b50d70, L_0000000002b50050, L_0000000002b4ee30, C4<0>; -L_0000000002ae9a30 .delay 1 (30000,30000,30000) L_0000000002ae9a30/d; -L_0000000002ae9b80/d .functor NOR 1, L_0000000002b50d70, L_0000000002b50050, C4<0>, C4<0>; -L_0000000002ae9b80 .delay 1 (20000,20000,20000) L_0000000002ae9b80/d; -L_0000000002ae9e90/d .functor XOR 1, L_0000000002ae9b80, L_0000000002b4fc90, C4<0>, C4<0>; -L_0000000002ae9e90 .delay 1 (20000,20000,20000) L_0000000002ae9e90/d; -v0000000002ab2980_0 .net "a", 0 0, L_0000000002b50d70; alias, 1 drivers -v0000000002ab2160_0 .net "anorb", 0 0, L_0000000002ae9b80; 1 drivers -v0000000002ab1bc0_0 .net "b", 0 0, L_0000000002b50050; alias, 1 drivers -v0000000002ab3420_0 .net "carryAND", 0 0, L_0000000002aeb400; alias, 1 drivers -v0000000002ab32e0_0 .net "carryin", 0 0, L_0000000002b4ee30; alias, 1 drivers -v0000000002ab1e40_0 .net "i0", 0 0, L_0000000002b4fc90; alias, 1 drivers -v0000000002ab2520_0 .net "nab", 0 0, L_0000000002aeb2b0; alias, 1 drivers -v0000000002ab36a0_0 .net "nac", 0 0, L_0000000002aeb390; 1 drivers -v0000000002ab1120_0 .net "nbc", 0 0, L_0000000002ae99c0; 1 drivers -v0000000002ab1da0_0 .net "orNOR", 0 0, L_0000000002ae9e90; alias, 1 drivers -v0000000002ab1ee0_0 .net "sumXOR", 0 0, L_0000000002ae9a30; alias, 1 drivers -S_0000000002aa2750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa25d0; +L_00000000026d5f00/d .functor NAND 1, L_00000000026644a0, L_0000000002666f20, C4<1>, C4<1>; +L_00000000026d5f00 .delay 1 (20000,20000,20000) L_00000000026d5f00/d; +L_00000000026d5480/d .functor NAND 1, L_0000000002666f20, L_0000000002665440, C4<1>, C4<1>; +L_00000000026d5480 .delay 1 (20000,20000,20000) L_00000000026d5480/d; +L_00000000026d54f0/d .functor NAND 1, L_00000000026644a0, L_0000000002665440, C4<1>, C4<1>; +L_00000000026d54f0 .delay 1 (20000,20000,20000) L_00000000026d54f0/d; +L_00000000026d5720/d .functor NAND 1, L_00000000026d5f00, L_00000000026d54f0, L_00000000026d5480, C4<1>; +L_00000000026d5720 .delay 1 (30000,30000,30000) L_00000000026d5720/d; +L_00000000026d4a00/d .functor XOR 1, L_00000000026644a0, L_0000000002666f20, L_0000000002665440, C4<0>; +L_00000000026d4a00 .delay 1 (30000,30000,30000) L_00000000026d4a00/d; +L_00000000026d5790/d .functor NOR 1, L_00000000026644a0, L_0000000002666f20, C4<0>, C4<0>; +L_00000000026d5790 .delay 1 (20000,20000,20000) L_00000000026d5790/d; +L_00000000026d5250/d .functor XOR 1, L_00000000026d5790, L_0000000002665260, C4<0>, C4<0>; +L_00000000026d5250 .delay 1 (20000,20000,20000) L_00000000026d5250/d; +v0000000000f0cdd0_0 .net "a", 0 0, L_00000000026644a0; alias, 1 drivers +v0000000000f0f8f0_0 .net "anorb", 0 0, L_00000000026d5790; 1 drivers +v0000000000f0ee50_0 .net "b", 0 0, L_0000000002666f20; alias, 1 drivers +v0000000000f0ea90_0 .net "carryAND", 0 0, L_00000000026d5720; alias, 1 drivers +v0000000000f0fa30_0 .net "carryin", 0 0, L_0000000002665440; alias, 1 drivers +v0000000000f0eb30_0 .net "i0", 0 0, L_0000000002665260; alias, 1 drivers +v0000000000f0ec70_0 .net "nab", 0 0, L_00000000026d5f00; alias, 1 drivers +v0000000000f0e9f0_0 .net "nac", 0 0, L_00000000026d54f0; 1 drivers +v0000000000f0ebd0_0 .net "nbc", 0 0, L_00000000026d5480; 1 drivers +v0000000000f0dc30_0 .net "orNOR", 0 0, L_00000000026d5250; alias, 1 drivers +v0000000000f0fb70_0 .net "sumXOR", 0 0, L_00000000026d4a00; alias, 1 drivers +S_0000000000f0a750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0aed0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2520,43 +2667,46 @@ S_0000000002aa2750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002ae9f00/d .functor NOT 1, L_0000000002b4f830, C4<0>, C4<0>, C4<0>; -L_0000000002ae9f00 .delay 1 (10000,10000,10000) L_0000000002ae9f00/d; -L_0000000002aea3d0/d .functor NOT 1, L_0000000002b50cd0, C4<0>, C4<0>, C4<0>; -L_0000000002aea3d0 .delay 1 (10000,10000,10000) L_0000000002aea3d0/d; -L_0000000002ae9fe0/d .functor NAND 1, L_0000000002b50cd0, L_0000000002b4f830, L_0000000002ae9a30, C4<1>; -L_0000000002ae9fe0 .delay 1 (30000,30000,30000) L_0000000002ae9fe0/d; -L_0000000002aea050/d .functor NAND 1, L_0000000002b50cd0, L_0000000002ae9f00, L_0000000002ae9e90, C4<1>; -L_0000000002aea050 .delay 1 (30000,30000,30000) L_0000000002aea050/d; -L_0000000002aea750/d .functor NAND 1, L_0000000002aea3d0, L_0000000002b4f830, L_0000000002aeb400, C4<1>; -L_0000000002aea750 .delay 1 (30000,30000,30000) L_0000000002aea750/d; -L_0000000002aea440/d .functor NAND 1, L_0000000002aea3d0, L_0000000002ae9f00, L_0000000002aeb2b0, C4<1>; -L_0000000002aea440 .delay 1 (30000,30000,30000) L_0000000002aea440/d; -L_0000000002aea360/d .functor NAND 1, L_0000000002ae9fe0, L_0000000002aea050, L_0000000002aea750, L_0000000002aea440; -L_0000000002aea360 .delay 1 (40000,40000,40000) L_0000000002aea360/d; -v0000000002ab27a0_0 .net "a", 0 0, L_0000000002ae9a30; alias, 1 drivers -v0000000002ab2a20_0 .net "aout", 0 0, L_0000000002ae9fe0; 1 drivers -v0000000002ab2340_0 .net "b", 0 0, L_0000000002ae9e90; alias, 1 drivers -v0000000002ab2ca0_0 .net "bout", 0 0, L_0000000002aea050; 1 drivers -v0000000002ab1760_0 .net "c", 0 0, L_0000000002aeb400; alias, 1 drivers -v0000000002ab11c0_0 .net "cout", 0 0, L_0000000002aea750; 1 drivers -v0000000002ab1c60_0 .net "d", 0 0, L_0000000002aeb2b0; alias, 1 drivers -v0000000002ab1260_0 .net "dout", 0 0, L_0000000002aea440; 1 drivers -v0000000002ab23e0_0 .net "ns0", 0 0, L_0000000002ae9f00; 1 drivers -v0000000002ab31a0_0 .net "ns1", 0 0, L_0000000002aea3d0; 1 drivers -v0000000002ab2700_0 .net "out", 0 0, L_0000000002aea360; alias, 1 drivers -v0000000002ab2ac0_0 .net "s0", 0 0, L_0000000002b4f830; 1 drivers -v0000000002ab25c0_0 .net "s1", 0 0, L_0000000002b50cd0; 1 drivers -S_0000000002aa28d0 .scope generate, "aluBits[19]" "aluBits[19]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8020 .param/l "i" 0 4 52, +C4<010011>; -L_0000000002aea8a0/d .functor XOR 1, L_0000000002b50690, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002aea8a0 .delay 1 (50000,50000,50000) L_0000000002aea8a0/d; -L_0000000002aea0c0/d .functor AND 1, v0000000002a01300_0, L_0000000002b50410, C4<1>, C4<1>; -L_0000000002aea0c0 .delay 1 (30000,30000,30000) L_0000000002aea0c0/d; -v0000000002ab5e00_0 .net *"_s1", 0 0, L_0000000002b50690; 1 drivers -v0000000002ab43c0_0 .net *"_s3", 0 0, L_0000000002b50410; 1 drivers -S_0000000002aa13d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa28d0; +L_00000000026d6280/d .functor NOT 1, L_0000000002664360, C4<0>, C4<0>, C4<0>; +L_00000000026d6280 .delay 1 (10000,10000,10000) L_00000000026d6280/d; +L_00000000026d4c30/d .functor NOT 1, L_0000000002663640, C4<0>, C4<0>, C4<0>; +L_00000000026d4c30 .delay 1 (10000,10000,10000) L_00000000026d4c30/d; +L_00000000026d52c0/d .functor NAND 1, L_0000000002663640, L_0000000002664360, L_00000000026d4a00, C4<1>; +L_00000000026d52c0 .delay 1 (30000,30000,30000) L_00000000026d52c0/d; +L_00000000026d5800/d .functor NAND 1, L_0000000002663640, L_00000000026d6280, L_00000000026d5250, C4<1>; +L_00000000026d5800 .delay 1 (30000,30000,30000) L_00000000026d5800/d; +L_00000000026d5f70/d .functor NAND 1, L_00000000026d4c30, L_0000000002664360, L_00000000026d5720, C4<1>; +L_00000000026d5f70 .delay 1 (30000,30000,30000) L_00000000026d5f70/d; +L_00000000026d60c0/d .functor NAND 1, L_00000000026d4c30, L_00000000026d6280, L_00000000026d5f00, C4<1>; +L_00000000026d60c0 .delay 1 (30000,30000,30000) L_00000000026d60c0/d; +L_00000000026d6130/d .functor NAND 1, L_00000000026d52c0, L_00000000026d5800, L_00000000026d5f70, L_00000000026d60c0; +L_00000000026d6130 .delay 1 (40000,40000,40000) L_00000000026d6130/d; +v0000000000f0f3f0_0 .net "a", 0 0, L_00000000026d4a00; alias, 1 drivers +v0000000000f0e950_0 .net "aout", 0 0, L_00000000026d52c0; 1 drivers +v0000000000f0dcd0_0 .net "b", 0 0, L_00000000026d5250; alias, 1 drivers +v0000000000f0fe90_0 .net "bout", 0 0, L_00000000026d5800; 1 drivers +v0000000000f0de10_0 .net "c", 0 0, L_00000000026d5720; alias, 1 drivers +v0000000000f0dd70_0 .net "cout", 0 0, L_00000000026d5f70; 1 drivers +v0000000000f0f530_0 .net "d", 0 0, L_00000000026d5f00; alias, 1 drivers +v0000000000f0f990_0 .net "dout", 0 0, L_00000000026d60c0; 1 drivers +v0000000000f0ed10_0 .net "ns0", 0 0, L_00000000026d6280; 1 drivers +v0000000000f0edb0_0 .net "ns1", 0 0, L_00000000026d4c30; 1 drivers +v0000000000f0fcb0_0 .net "out", 0 0, L_00000000026d6130; alias, 1 drivers +v0000000000f0da50_0 .net "s0", 0 0, L_0000000002664360; 1 drivers +v0000000000f0deb0_0 .net "s1", 0 0, L_0000000002663640; 1 drivers +S_0000000000f090d0 .scope generate, "aluBits[19]" "aluBits[19]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de11c0 .param/l "i" 0 4 49, +C4<010011>; +L_00000000026d53a0/d .functor XOR 1, L_0000000002665580, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d53a0 .delay 1 (20000,20000,20000) L_00000000026d53a0/d; +L_00000000026d58e0/d .functor AND 1, v0000000000e20d10_0, L_0000000002666160, C4<1>, C4<1>; +L_00000000026d58e0 .delay 1 (30000,30000,30000) L_00000000026d58e0/d; +L_00000000026d4ae0/d .functor AND 1, L_0000000002665f80, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d4ae0 .delay 1 (30000,30000,30000) L_00000000026d4ae0/d; +v0000000000f101b0_0 .net *"_s1", 0 0, L_0000000002665580; 1 drivers +v0000000000f10b10_0 .net *"_s3", 0 0, L_0000000002666160; 1 drivers +v0000000000f12550_0 .net *"_s9", 0 0, L_0000000002665f80; 1 drivers +S_0000000000f0abd0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f090d0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2565,19 +2715,19 @@ S_0000000002aa13d0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab18a0_0 .net "a", 0 0, L_0000000002b4f970; 1 drivers -v0000000002ab19e0_0 .net "b", 0 0, L_0000000002b509b0; 1 drivers -v0000000002ab1a80_0 .net "carryAND", 0 0, L_0000000002aeb630; 1 drivers -v0000000002ab5360_0 .net "cin", 0 0, L_0000000002b500f0; 1 drivers -v0000000002ab46e0_0 .net "ctrl0", 0 0, L_0000000002b50870; 1 drivers -v0000000002ab5f40_0 .net "nab", 0 0, L_0000000002aea210; 1 drivers -v0000000002ab5c20_0 .net "orNOR", 0 0, L_0000000002aeb6a0; 1 drivers -v0000000002ab5cc0_0 .net "res", 0 0, L_0000000002b62980; 1 drivers -v0000000002ab5040_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ab4dc0_0 .net "sumXOR", 0 0, L_0000000002aeb7f0; 1 drivers -L_0000000002b505f0 .part v0000000002a01440_0, 1, 1; -L_0000000002b50ff0 .part v0000000002a01440_0, 0, 1; -S_0000000002aa2d50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa13d0; +v0000000000f0e6d0_0 .net "a", 0 0, L_00000000026668e0; 1 drivers +v0000000000f0dff0_0 .net "b", 0 0, L_0000000002665a80; 1 drivers +v0000000000f0e770_0 .net "carryAND", 0 0, L_00000000026d5b10; 1 drivers +v0000000000f0e810_0 .net "cin", 0 0, L_0000000002666e80; 1 drivers +v0000000000f10250_0 .net "ctrl0", 0 0, L_0000000002666520; 1 drivers +v0000000000f113d0_0 .net "nab", 0 0, L_00000000026d4b50; 1 drivers +v0000000000f122d0_0 .net "orNOR", 0 0, L_00000000026d5b80; 1 drivers +v0000000000f10a70_0 .net "res", 0 0, L_00000000026d4a70; 1 drivers +v0000000000f127d0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f12870_0 .net "sumXOR", 0 0, L_00000000026d59c0; 1 drivers +L_0000000002665300 .part v0000000000e21990_0, 1, 1; +L_0000000002666020 .part v0000000000e21990_0, 0, 1; +S_0000000000f0a8d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0abd0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2587,32 +2737,32 @@ S_0000000002aa2d50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa13d .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002aea210/d .functor NAND 1, L_0000000002b4f970, L_0000000002b509b0, C4<1>, C4<1>; -L_0000000002aea210 .delay 1 (20000,20000,20000) L_0000000002aea210/d; -L_0000000002aea280/d .functor NAND 1, L_0000000002b509b0, L_0000000002b500f0, C4<1>, C4<1>; -L_0000000002aea280 .delay 1 (20000,20000,20000) L_0000000002aea280/d; -L_0000000002aeb5c0/d .functor NAND 1, L_0000000002b4f970, L_0000000002b500f0, C4<1>, C4<1>; -L_0000000002aeb5c0 .delay 1 (20000,20000,20000) L_0000000002aeb5c0/d; -L_0000000002aeb630/d .functor NAND 1, L_0000000002aea210, L_0000000002aeb5c0, L_0000000002aea280, C4<1>; -L_0000000002aeb630 .delay 1 (30000,30000,30000) L_0000000002aeb630/d; -L_0000000002aeb7f0/d .functor XOR 1, L_0000000002b4f970, L_0000000002b509b0, L_0000000002b500f0, C4<0>; -L_0000000002aeb7f0 .delay 1 (30000,30000,30000) L_0000000002aeb7f0/d; -L_0000000002aeb860/d .functor NOR 1, L_0000000002b4f970, L_0000000002b509b0, C4<0>, C4<0>; -L_0000000002aeb860 .delay 1 (20000,20000,20000) L_0000000002aeb860/d; -L_0000000002aeb6a0/d .functor XOR 1, L_0000000002aeb860, L_0000000002b50870, C4<0>, C4<0>; -L_0000000002aeb6a0 .delay 1 (20000,20000,20000) L_0000000002aeb6a0/d; -v0000000002ab2de0_0 .net "a", 0 0, L_0000000002b4f970; alias, 1 drivers -v0000000002ab2660_0 .net "anorb", 0 0, L_0000000002aeb860; 1 drivers -v0000000002ab2840_0 .net "b", 0 0, L_0000000002b509b0; alias, 1 drivers -v0000000002ab2fc0_0 .net "carryAND", 0 0, L_0000000002aeb630; alias, 1 drivers -v0000000002ab2480_0 .net "carryin", 0 0, L_0000000002b500f0; alias, 1 drivers -v0000000002ab1300_0 .net "i0", 0 0, L_0000000002b50870; alias, 1 drivers -v0000000002ab1f80_0 .net "nab", 0 0, L_0000000002aea210; alias, 1 drivers -v0000000002ab3380_0 .net "nac", 0 0, L_0000000002aeb5c0; 1 drivers -v0000000002ab3060_0 .net "nbc", 0 0, L_0000000002aea280; 1 drivers -v0000000002ab1940_0 .net "orNOR", 0 0, L_0000000002aeb6a0; alias, 1 drivers -v0000000002ab37e0_0 .net "sumXOR", 0 0, L_0000000002aeb7f0; alias, 1 drivers -S_0000000002aa1250 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa13d0; +L_00000000026d4b50/d .functor NAND 1, L_00000000026668e0, L_0000000002665a80, C4<1>, C4<1>; +L_00000000026d4b50 .delay 1 (20000,20000,20000) L_00000000026d4b50/d; +L_00000000026d62f0/d .functor NAND 1, L_0000000002665a80, L_0000000002666e80, C4<1>, C4<1>; +L_00000000026d62f0 .delay 1 (20000,20000,20000) L_00000000026d62f0/d; +L_00000000026d6360/d .functor NAND 1, L_00000000026668e0, L_0000000002666e80, C4<1>, C4<1>; +L_00000000026d6360 .delay 1 (20000,20000,20000) L_00000000026d6360/d; +L_00000000026d5b10/d .functor NAND 1, L_00000000026d4b50, L_00000000026d6360, L_00000000026d62f0, C4<1>; +L_00000000026d5b10 .delay 1 (30000,30000,30000) L_00000000026d5b10/d; +L_00000000026d59c0/d .functor XOR 1, L_00000000026668e0, L_0000000002665a80, L_0000000002666e80, C4<0>; +L_00000000026d59c0 .delay 1 (30000,30000,30000) L_00000000026d59c0/d; +L_00000000026d6440/d .functor NOR 1, L_00000000026668e0, L_0000000002665a80, C4<0>, C4<0>; +L_00000000026d6440 .delay 1 (20000,20000,20000) L_00000000026d6440/d; +L_00000000026d5b80/d .functor XOR 1, L_00000000026d6440, L_0000000002666520, C4<0>, C4<0>; +L_00000000026d5b80 .delay 1 (20000,20000,20000) L_00000000026d5b80/d; +v0000000000f0e590_0 .net "a", 0 0, L_00000000026668e0; alias, 1 drivers +v0000000000f10070_0 .net "anorb", 0 0, L_00000000026d6440; 1 drivers +v0000000000f0e270_0 .net "b", 0 0, L_0000000002665a80; alias, 1 drivers +v0000000000f0f850_0 .net "carryAND", 0 0, L_00000000026d5b10; alias, 1 drivers +v0000000000f0f0d0_0 .net "carryin", 0 0, L_0000000002666e80; alias, 1 drivers +v0000000000f0f170_0 .net "i0", 0 0, L_0000000002666520; alias, 1 drivers +v0000000000f0f210_0 .net "nab", 0 0, L_00000000026d4b50; alias, 1 drivers +v0000000000f0ff30_0 .net "nac", 0 0, L_00000000026d6360; 1 drivers +v0000000000f0f350_0 .net "nbc", 0 0, L_00000000026d62f0; 1 drivers +v0000000000f0d9b0_0 .net "orNOR", 0 0, L_00000000026d5b80; alias, 1 drivers +v0000000000f0fdf0_0 .net "sumXOR", 0 0, L_00000000026d59c0; alias, 1 drivers +S_0000000000f0ad50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0abd0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2621,43 +2771,46 @@ S_0000000002aa1250 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002aeb8d0/d .functor NOT 1, L_0000000002b50ff0, C4<0>, C4<0>, C4<0>; -L_0000000002aeb8d0 .delay 1 (10000,10000,10000) L_0000000002aeb8d0/d; -L_0000000002aeb710/d .functor NOT 1, L_0000000002b505f0, C4<0>, C4<0>, C4<0>; -L_0000000002aeb710 .delay 1 (10000,10000,10000) L_0000000002aeb710/d; -L_0000000002aeb780/d .functor NAND 1, L_0000000002b505f0, L_0000000002b50ff0, L_0000000002aeb7f0, C4<1>; -L_0000000002aeb780 .delay 1 (30000,30000,30000) L_0000000002aeb780/d; -L_0000000002b62440/d .functor NAND 1, L_0000000002b505f0, L_0000000002aeb8d0, L_0000000002aeb6a0, C4<1>; -L_0000000002b62440 .delay 1 (30000,30000,30000) L_0000000002b62440/d; -L_0000000002b628a0/d .functor NAND 1, L_0000000002aeb710, L_0000000002b50ff0, L_0000000002aeb630, C4<1>; -L_0000000002b628a0 .delay 1 (30000,30000,30000) L_0000000002b628a0/d; -L_0000000002b62b40/d .functor NAND 1, L_0000000002aeb710, L_0000000002aeb8d0, L_0000000002aea210, C4<1>; -L_0000000002b62b40 .delay 1 (30000,30000,30000) L_0000000002b62b40/d; -L_0000000002b62980/d .functor NAND 1, L_0000000002aeb780, L_0000000002b62440, L_0000000002b628a0, L_0000000002b62b40; -L_0000000002b62980 .delay 1 (40000,40000,40000) L_0000000002b62980/d; -v0000000002ab3100_0 .net "a", 0 0, L_0000000002aeb7f0; alias, 1 drivers -v0000000002ab1b20_0 .net "aout", 0 0, L_0000000002aeb780; 1 drivers -v0000000002ab2020_0 .net "b", 0 0, L_0000000002aeb6a0; alias, 1 drivers -v0000000002ab3240_0 .net "bout", 0 0, L_0000000002b62440; 1 drivers -v0000000002ab1580_0 .net "c", 0 0, L_0000000002aeb630; alias, 1 drivers -v0000000002ab3560_0 .net "cout", 0 0, L_0000000002b628a0; 1 drivers -v0000000002ab1440_0 .net "d", 0 0, L_0000000002aea210; alias, 1 drivers -v0000000002ab3880_0 .net "dout", 0 0, L_0000000002b62b40; 1 drivers -v0000000002ab34c0_0 .net "ns0", 0 0, L_0000000002aeb8d0; 1 drivers -v0000000002ab3600_0 .net "ns1", 0 0, L_0000000002aeb710; 1 drivers -v0000000002ab1620_0 .net "out", 0 0, L_0000000002b62980; alias, 1 drivers -v0000000002ab16c0_0 .net "s0", 0 0, L_0000000002b50ff0; 1 drivers -v0000000002ab1800_0 .net "s1", 0 0, L_0000000002b505f0; 1 drivers -S_0000000002aa1b50 .scope generate, "aluBits[20]" "aluBits[20]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f7c20 .param/l "i" 0 4 52, +C4<010100>; -L_0000000002b627c0/d .functor XOR 1, L_0000000002b4e9d0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b627c0 .delay 1 (50000,50000,50000) L_0000000002b627c0/d; -L_0000000002b62910/d .functor AND 1, v0000000002a01300_0, L_0000000002b4fa10, C4<1>, C4<1>; -L_0000000002b62910 .delay 1 (30000,30000,30000) L_0000000002b62910/d; -v0000000002ab5680_0 .net *"_s1", 0 0, L_0000000002b4e9d0; 1 drivers -v0000000002ab3920_0 .net *"_s3", 0 0, L_0000000002b4fa10; 1 drivers -S_0000000002aa1cd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002aa1b50; +L_00000000026d5bf0/d .functor NOT 1, L_0000000002666020, C4<0>, C4<0>, C4<0>; +L_00000000026d5bf0 .delay 1 (10000,10000,10000) L_00000000026d5bf0/d; +L_00000000026d5c60/d .functor NOT 1, L_0000000002665300, C4<0>, C4<0>, C4<0>; +L_00000000026d5c60 .delay 1 (10000,10000,10000) L_00000000026d5c60/d; +L_00000000026d5cd0/d .functor NAND 1, L_0000000002665300, L_0000000002666020, L_00000000026d59c0, C4<1>; +L_00000000026d5cd0 .delay 1 (30000,30000,30000) L_00000000026d5cd0/d; +L_00000000026d5d40/d .functor NAND 1, L_0000000002665300, L_00000000026d5bf0, L_00000000026d5b80, C4<1>; +L_00000000026d5d40 .delay 1 (30000,30000,30000) L_00000000026d5d40/d; +L_00000000026d64b0/d .functor NAND 1, L_00000000026d5c60, L_0000000002666020, L_00000000026d5b10, C4<1>; +L_00000000026d64b0 .delay 1 (30000,30000,30000) L_00000000026d64b0/d; +L_00000000026d6520/d .functor NAND 1, L_00000000026d5c60, L_00000000026d5bf0, L_00000000026d4b50, C4<1>; +L_00000000026d6520 .delay 1 (30000,30000,30000) L_00000000026d6520/d; +L_00000000026d4a70/d .functor NAND 1, L_00000000026d5cd0, L_00000000026d5d40, L_00000000026d64b0, L_00000000026d6520; +L_00000000026d4a70 .delay 1 (40000,40000,40000) L_00000000026d4a70/d; +v0000000000f0ffd0_0 .net "a", 0 0, L_00000000026d59c0; alias, 1 drivers +v0000000000f0f5d0_0 .net "aout", 0 0, L_00000000026d5cd0; 1 drivers +v0000000000f0e3b0_0 .net "b", 0 0, L_00000000026d5b80; alias, 1 drivers +v0000000000f0f710_0 .net "bout", 0 0, L_00000000026d5d40; 1 drivers +v0000000000f0daf0_0 .net "c", 0 0, L_00000000026d5b10; alias, 1 drivers +v0000000000f0df50_0 .net "cout", 0 0, L_00000000026d64b0; 1 drivers +v0000000000f0e450_0 .net "d", 0 0, L_00000000026d4b50; alias, 1 drivers +v0000000000f0e310_0 .net "dout", 0 0, L_00000000026d6520; 1 drivers +v0000000000f0f7b0_0 .net "ns0", 0 0, L_00000000026d5bf0; 1 drivers +v0000000000f0db90_0 .net "ns1", 0 0, L_00000000026d5c60; 1 drivers +v0000000000f0e4f0_0 .net "out", 0 0, L_00000000026d4a70; alias, 1 drivers +v0000000000f0e1d0_0 .net "s0", 0 0, L_0000000002666020; 1 drivers +v0000000000f0d910_0 .net "s1", 0 0, L_0000000002665300; 1 drivers +S_0000000000f09550 .scope generate, "aluBits[20]" "aluBits[20]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de2080 .param/l "i" 0 4 49, +C4<010100>; +L_00000000026d4bc0/d .functor XOR 1, L_0000000002665c60, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d4bc0 .delay 1 (20000,20000,20000) L_00000000026d4bc0/d; +L_00000000026d4d10/d .functor AND 1, v0000000000e20d10_0, L_0000000002666fc0, C4<1>, C4<1>; +L_00000000026d4d10 .delay 1 (30000,30000,30000) L_00000000026d4d10/d; +L_00000000026d70f0/d .functor AND 1, L_00000000026651c0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d70f0 .delay 1 (30000,30000,30000) L_00000000026d70f0/d; +v0000000000f11470_0 .net *"_s1", 0 0, L_0000000002665c60; 1 drivers +v0000000000f10d90_0 .net *"_s3", 0 0, L_0000000002666fc0; 1 drivers +v0000000000f116f0_0 .net *"_s9", 0 0, L_00000000026651c0; 1 drivers +S_0000000000f09250 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09550; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2666,19 +2819,19 @@ S_0000000002aa1cd0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab57c0_0 .net "a", 0 0, L_0000000002b50c30; 1 drivers -v0000000002ab5400_0 .net "b", 0 0, L_0000000002b4f470; 1 drivers -v0000000002ab39c0_0 .net "carryAND", 0 0, L_0000000002b62520; 1 drivers -v0000000002ab3e20_0 .net "cin", 0 0, L_0000000002b4f1f0; 1 drivers -v0000000002ab54a0_0 .net "ctrl0", 0 0, L_0000000002b4e930; 1 drivers -v0000000002ab4fa0_0 .net "nab", 0 0, L_0000000002b62830; 1 drivers -v0000000002ab5540_0 .net "orNOR", 0 0, L_0000000002b62670; 1 drivers -v0000000002ab3a60_0 .net "res", 0 0, L_0000000002b5ef50; 1 drivers -v0000000002ab55e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ab3ce0_0 .net "sumXOR", 0 0, L_0000000002b626e0; 1 drivers -L_0000000002b4ec50 .part v0000000002a01440_0, 1, 1; -L_0000000002b4f290 .part v0000000002a01440_0, 0, 1; -S_0000000002aa1e50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa1cd0; +v0000000000f10390_0 .net "a", 0 0, L_0000000002665d00; 1 drivers +v0000000000f10570_0 .net "b", 0 0, L_00000000026662a0; 1 drivers +v0000000000f11f10_0 .net "carryAND", 0 0, L_00000000026d4f40; 1 drivers +v0000000000f11d30_0 .net "cin", 0 0, L_0000000002665120; 1 drivers +v0000000000f11fb0_0 .net "ctrl0", 0 0, L_00000000026672e0; 1 drivers +v0000000000f10bb0_0 .net "nab", 0 0, L_00000000026d4d80; 1 drivers +v0000000000f10cf0_0 .net "orNOR", 0 0, L_00000000026d6670; 1 drivers +v0000000000f11010_0 .net "res", 0 0, L_00000000026d7be0; 1 drivers +v0000000000f10610_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f106b0_0 .net "sumXOR", 0 0, L_00000000026d6910; 1 drivers +L_0000000002666200 .part v0000000000e21990_0, 1, 1; +L_0000000002666980 .part v0000000000e21990_0, 0, 1; +S_0000000000f093d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f09250; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2688,32 +2841,32 @@ S_0000000002aa1e50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002aa1cd .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b62830/d .functor NAND 1, L_0000000002b50c30, L_0000000002b4f470, C4<1>, C4<1>; -L_0000000002b62830 .delay 1 (20000,20000,20000) L_0000000002b62830/d; -L_0000000002b629f0/d .functor NAND 1, L_0000000002b4f470, L_0000000002b4f1f0, C4<1>, C4<1>; -L_0000000002b629f0 .delay 1 (20000,20000,20000) L_0000000002b629f0/d; -L_0000000002b624b0/d .functor NAND 1, L_0000000002b50c30, L_0000000002b4f1f0, C4<1>, C4<1>; -L_0000000002b624b0 .delay 1 (20000,20000,20000) L_0000000002b624b0/d; -L_0000000002b62520/d .functor NAND 1, L_0000000002b62830, L_0000000002b624b0, L_0000000002b629f0, C4<1>; -L_0000000002b62520 .delay 1 (30000,30000,30000) L_0000000002b62520/d; -L_0000000002b626e0/d .functor XOR 1, L_0000000002b50c30, L_0000000002b4f470, L_0000000002b4f1f0, C4<0>; -L_0000000002b626e0 .delay 1 (30000,30000,30000) L_0000000002b626e0/d; -L_0000000002b62a60/d .functor NOR 1, L_0000000002b50c30, L_0000000002b4f470, C4<0>, C4<0>; -L_0000000002b62a60 .delay 1 (20000,20000,20000) L_0000000002b62a60/d; -L_0000000002b62670/d .functor XOR 1, L_0000000002b62a60, L_0000000002b4e930, C4<0>, C4<0>; -L_0000000002b62670 .delay 1 (20000,20000,20000) L_0000000002b62670/d; -v0000000002ab41e0_0 .net "a", 0 0, L_0000000002b50c30; alias, 1 drivers -v0000000002ab5ae0_0 .net "anorb", 0 0, L_0000000002b62a60; 1 drivers -v0000000002ab3ec0_0 .net "b", 0 0, L_0000000002b4f470; alias, 1 drivers -v0000000002ab4500_0 .net "carryAND", 0 0, L_0000000002b62520; alias, 1 drivers -v0000000002ab4960_0 .net "carryin", 0 0, L_0000000002b4f1f0; alias, 1 drivers -v0000000002ab3d80_0 .net "i0", 0 0, L_0000000002b4e930; alias, 1 drivers -v0000000002ab5ea0_0 .net "nab", 0 0, L_0000000002b62830; alias, 1 drivers -v0000000002ab50e0_0 .net "nac", 0 0, L_0000000002b624b0; 1 drivers -v0000000002ab5180_0 .net "nbc", 0 0, L_0000000002b629f0; 1 drivers -v0000000002ab4b40_0 .net "orNOR", 0 0, L_0000000002b62670; alias, 1 drivers -v0000000002ab4640_0 .net "sumXOR", 0 0, L_0000000002b626e0; alias, 1 drivers -S_0000000002ac0010 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002aa1cd0; +L_00000000026d4d80/d .functor NAND 1, L_0000000002665d00, L_00000000026662a0, C4<1>, C4<1>; +L_00000000026d4d80 .delay 1 (20000,20000,20000) L_00000000026d4d80/d; +L_00000000026d4df0/d .functor NAND 1, L_00000000026662a0, L_0000000002665120, C4<1>, C4<1>; +L_00000000026d4df0 .delay 1 (20000,20000,20000) L_00000000026d4df0/d; +L_00000000026d4e60/d .functor NAND 1, L_0000000002665d00, L_0000000002665120, C4<1>, C4<1>; +L_00000000026d4e60 .delay 1 (20000,20000,20000) L_00000000026d4e60/d; +L_00000000026d4f40/d .functor NAND 1, L_00000000026d4d80, L_00000000026d4e60, L_00000000026d4df0, C4<1>; +L_00000000026d4f40 .delay 1 (30000,30000,30000) L_00000000026d4f40/d; +L_00000000026d6910/d .functor XOR 1, L_0000000002665d00, L_00000000026662a0, L_0000000002665120, C4<0>; +L_00000000026d6910 .delay 1 (30000,30000,30000) L_00000000026d6910/d; +L_00000000026d6d00/d .functor NOR 1, L_0000000002665d00, L_00000000026662a0, C4<0>, C4<0>; +L_00000000026d6d00 .delay 1 (20000,20000,20000) L_00000000026d6d00/d; +L_00000000026d6670/d .functor XOR 1, L_00000000026d6d00, L_00000000026672e0, C4<0>, C4<0>; +L_00000000026d6670 .delay 1 (20000,20000,20000) L_00000000026d6670/d; +v0000000000f10110_0 .net "a", 0 0, L_0000000002665d00; alias, 1 drivers +v0000000000f120f0_0 .net "anorb", 0 0, L_00000000026d6d00; 1 drivers +v0000000000f109d0_0 .net "b", 0 0, L_00000000026662a0; alias, 1 drivers +v0000000000f102f0_0 .net "carryAND", 0 0, L_00000000026d4f40; alias, 1 drivers +v0000000000f11bf0_0 .net "carryin", 0 0, L_0000000002665120; alias, 1 drivers +v0000000000f11150_0 .net "i0", 0 0, L_00000000026672e0; alias, 1 drivers +v0000000000f10430_0 .net "nab", 0 0, L_00000000026d4d80; alias, 1 drivers +v0000000000f11c90_0 .net "nac", 0 0, L_00000000026d4e60; 1 drivers +v0000000000f12690_0 .net "nbc", 0 0, L_00000000026d4df0; 1 drivers +v0000000000f110b0_0 .net "orNOR", 0 0, L_00000000026d6670; alias, 1 drivers +v0000000000f104d0_0 .net "sumXOR", 0 0, L_00000000026d6910; alias, 1 drivers +S_0000000000f1c770 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f09250; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2722,43 +2875,46 @@ S_0000000002ac0010 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b62750/d .functor NOT 1, L_0000000002b4f290, C4<0>, C4<0>, C4<0>; -L_0000000002b62750 .delay 1 (10000,10000,10000) L_0000000002b62750/d; -L_0000000002b62ad0/d .functor NOT 1, L_0000000002b4ec50, C4<0>, C4<0>, C4<0>; -L_0000000002b62ad0 .delay 1 (10000,10000,10000) L_0000000002b62ad0/d; -L_0000000002b62590/d .functor NAND 1, L_0000000002b4ec50, L_0000000002b4f290, L_0000000002b626e0, C4<1>; -L_0000000002b62590 .delay 1 (30000,30000,30000) L_0000000002b62590/d; -L_0000000002b62600/d .functor NAND 1, L_0000000002b4ec50, L_0000000002b62750, L_0000000002b62670, C4<1>; -L_0000000002b62600 .delay 1 (30000,30000,30000) L_0000000002b62600/d; -L_0000000002b5f570/d .functor NAND 1, L_0000000002b62ad0, L_0000000002b4f290, L_0000000002b62520, C4<1>; -L_0000000002b5f570 .delay 1 (30000,30000,30000) L_0000000002b5f570/d; -L_0000000002b600d0/d .functor NAND 1, L_0000000002b62ad0, L_0000000002b62750, L_0000000002b62830, C4<1>; -L_0000000002b600d0 .delay 1 (30000,30000,30000) L_0000000002b600d0/d; -L_0000000002b5ef50/d .functor NAND 1, L_0000000002b62590, L_0000000002b62600, L_0000000002b5f570, L_0000000002b600d0; -L_0000000002b5ef50 .delay 1 (40000,40000,40000) L_0000000002b5ef50/d; -v0000000002ab4d20_0 .net "a", 0 0, L_0000000002b626e0; alias, 1 drivers -v0000000002ab4e60_0 .net "aout", 0 0, L_0000000002b62590; 1 drivers -v0000000002ab3ba0_0 .net "b", 0 0, L_0000000002b62670; alias, 1 drivers -v0000000002ab5d60_0 .net "bout", 0 0, L_0000000002b62600; 1 drivers -v0000000002ab4be0_0 .net "c", 0 0, L_0000000002b62520; alias, 1 drivers -v0000000002ab4f00_0 .net "cout", 0 0, L_0000000002b5f570; 1 drivers -v0000000002ab5720_0 .net "d", 0 0, L_0000000002b62830; alias, 1 drivers -v0000000002ab5220_0 .net "dout", 0 0, L_0000000002b600d0; 1 drivers -v0000000002ab4780_0 .net "ns0", 0 0, L_0000000002b62750; 1 drivers -v0000000002ab6080_0 .net "ns1", 0 0, L_0000000002b62ad0; 1 drivers -v0000000002ab5fe0_0 .net "out", 0 0, L_0000000002b5ef50; alias, 1 drivers -v0000000002ab4a00_0 .net "s0", 0 0, L_0000000002b4f290; 1 drivers -v0000000002ab52c0_0 .net "s1", 0 0, L_0000000002b4ec50; 1 drivers -S_0000000002ac0190 .scope generate, "aluBits[21]" "aluBits[21]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f80e0 .param/l "i" 0 4 52, +C4<010101>; -L_0000000002b5fdc0/d .functor XOR 1, L_0000000002b4ea70, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b5fdc0 .delay 1 (50000,50000,50000) L_0000000002b5fdc0/d; -L_0000000002b5f960/d .functor AND 1, v0000000002a01300_0, L_0000000002b4f330, C4<1>, C4<1>; -L_0000000002b5f960 .delay 1 (30000,30000,30000) L_0000000002b5f960/d; -v0000000002ab6620_0 .net *"_s1", 0 0, L_0000000002b4ea70; 1 drivers -v0000000002ab6120_0 .net *"_s3", 0 0, L_0000000002b4f330; 1 drivers -S_0000000002abf110 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0190; +L_00000000026d7470/d .functor NOT 1, L_0000000002666980, C4<0>, C4<0>, C4<0>; +L_00000000026d7470 .delay 1 (10000,10000,10000) L_00000000026d7470/d; +L_00000000026d8120/d .functor NOT 1, L_0000000002666200, C4<0>, C4<0>, C4<0>; +L_00000000026d8120 .delay 1 (10000,10000,10000) L_00000000026d8120/d; +L_00000000026d80b0/d .functor NAND 1, L_0000000002666200, L_0000000002666980, L_00000000026d6910, C4<1>; +L_00000000026d80b0 .delay 1 (30000,30000,30000) L_00000000026d80b0/d; +L_00000000026d7ef0/d .functor NAND 1, L_0000000002666200, L_00000000026d7470, L_00000000026d6670, C4<1>; +L_00000000026d7ef0 .delay 1 (30000,30000,30000) L_00000000026d7ef0/d; +L_00000000026d6bb0/d .functor NAND 1, L_00000000026d8120, L_0000000002666980, L_00000000026d4f40, C4<1>; +L_00000000026d6bb0 .delay 1 (30000,30000,30000) L_00000000026d6bb0/d; +L_00000000026d7710/d .functor NAND 1, L_00000000026d8120, L_00000000026d7470, L_00000000026d4d80, C4<1>; +L_00000000026d7710 .delay 1 (30000,30000,30000) L_00000000026d7710/d; +L_00000000026d7be0/d .functor NAND 1, L_00000000026d80b0, L_00000000026d7ef0, L_00000000026d6bb0, L_00000000026d7710; +L_00000000026d7be0 .delay 1 (40000,40000,40000) L_00000000026d7be0/d; +v0000000000f111f0_0 .net "a", 0 0, L_00000000026d6910; alias, 1 drivers +v0000000000f10890_0 .net "aout", 0 0, L_00000000026d80b0; 1 drivers +v0000000000f12230_0 .net "b", 0 0, L_00000000026d6670; alias, 1 drivers +v0000000000f11830_0 .net "bout", 0 0, L_00000000026d7ef0; 1 drivers +v0000000000f11650_0 .net "c", 0 0, L_00000000026d4f40; alias, 1 drivers +v0000000000f11290_0 .net "cout", 0 0, L_00000000026d6bb0; 1 drivers +v0000000000f125f0_0 .net "d", 0 0, L_00000000026d4d80; alias, 1 drivers +v0000000000f10930_0 .net "dout", 0 0, L_00000000026d7710; 1 drivers +v0000000000f12730_0 .net "ns0", 0 0, L_00000000026d7470; 1 drivers +v0000000000f115b0_0 .net "ns1", 0 0, L_00000000026d8120; 1 drivers +v0000000000f11e70_0 .net "out", 0 0, L_00000000026d7be0; alias, 1 drivers +v0000000000f11330_0 .net "s0", 0 0, L_0000000002666980; 1 drivers +v0000000000f10c50_0 .net "s1", 0 0, L_0000000002666200; 1 drivers +S_0000000000f1bff0 .scope generate, "aluBits[21]" "aluBits[21]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de2740 .param/l "i" 0 4 49, +C4<010101>; +L_00000000026d79b0/d .functor XOR 1, L_0000000002665b20, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d79b0 .delay 1 (20000,20000,20000) L_00000000026d79b0/d; +L_00000000026d7550/d .functor AND 1, v0000000000e20d10_0, L_0000000002666840, C4<1>, C4<1>; +L_00000000026d7550 .delay 1 (30000,30000,30000) L_00000000026d7550/d; +L_00000000026d7da0/d .functor AND 1, L_0000000002665da0, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d7da0 .delay 1 (30000,30000,30000) L_00000000026d7da0/d; +v0000000000f14710_0 .net *"_s1", 0 0, L_0000000002665b20; 1 drivers +v0000000000f13310_0 .net *"_s3", 0 0, L_0000000002666840; 1 drivers +v0000000000f14b70_0 .net *"_s9", 0 0, L_0000000002665da0; 1 drivers +S_0000000000f1cd70 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bff0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2767,19 +2923,19 @@ S_0000000002abf110 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab6940_0 .net "a", 0 0, L_0000000002b4f5b0; 1 drivers -v0000000002ab6580_0 .net "b", 0 0, L_0000000002b4fab0; 1 drivers -v0000000002ab6260_0 .net "carryAND", 0 0, L_0000000002b5f1f0; 1 drivers -v0000000002ab6ee0_0 .net "cin", 0 0, L_0000000002b4fdd0; 1 drivers -v0000000002ab69e0_0 .net "ctrl0", 0 0, L_0000000002b4fbf0; 1 drivers -v0000000002ab6760_0 .net "nab", 0 0, L_0000000002b60140; 1 drivers -v0000000002ab6800_0 .net "orNOR", 0 0, L_0000000002b5f8f0; 1 drivers -v0000000002ab6a80_0 .net "res", 0 0, L_0000000002b5fb90; 1 drivers -v0000000002ab6e40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ab63a0_0 .net "sumXOR", 0 0, L_0000000002b5eee0; 1 drivers -L_0000000002b4fd30 .part v0000000002a01440_0, 1, 1; -L_0000000002b4f510 .part v0000000002a01440_0, 0, 1; -S_0000000002abf590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf110; +v0000000000f148f0_0 .net "a", 0 0, L_0000000002665620; 1 drivers +v0000000000f13db0_0 .net "b", 0 0, L_0000000002667420; 1 drivers +v0000000000f14990_0 .net "carryAND", 0 0, L_00000000026d6c20; 1 drivers +v0000000000f14df0_0 .net "cin", 0 0, L_0000000002665ee0; 1 drivers +v0000000000f13450_0 .net "ctrl0", 0 0, L_0000000002666480; 1 drivers +v0000000000f147b0_0 .net "nab", 0 0, L_00000000026d74e0; 1 drivers +v0000000000f14210_0 .net "orNOR", 0 0, L_00000000026d6590; 1 drivers +v0000000000f131d0_0 .net "res", 0 0, L_00000000026d7cc0; 1 drivers +v0000000000f12ff0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f13950_0 .net "sumXOR", 0 0, L_00000000026d71d0; 1 drivers +L_0000000002665bc0 .part v0000000000e21990_0, 1, 1; +L_0000000002666a20 .part v0000000000e21990_0, 0, 1; +S_0000000000f1b870 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cd70; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2789,32 +2945,32 @@ S_0000000002abf590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf11 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b60140/d .functor NAND 1, L_0000000002b4f5b0, L_0000000002b4fab0, C4<1>, C4<1>; -L_0000000002b60140 .delay 1 (20000,20000,20000) L_0000000002b60140/d; -L_0000000002b5ee00/d .functor NAND 1, L_0000000002b4fab0, L_0000000002b4fdd0, C4<1>, C4<1>; -L_0000000002b5ee00 .delay 1 (20000,20000,20000) L_0000000002b5ee00/d; -L_0000000002b5ee70/d .functor NAND 1, L_0000000002b4f5b0, L_0000000002b4fdd0, C4<1>, C4<1>; -L_0000000002b5ee70 .delay 1 (20000,20000,20000) L_0000000002b5ee70/d; -L_0000000002b5f1f0/d .functor NAND 1, L_0000000002b60140, L_0000000002b5ee70, L_0000000002b5ee00, C4<1>; -L_0000000002b5f1f0 .delay 1 (30000,30000,30000) L_0000000002b5f1f0/d; -L_0000000002b5eee0/d .functor XOR 1, L_0000000002b4f5b0, L_0000000002b4fab0, L_0000000002b4fdd0, C4<0>; -L_0000000002b5eee0 .delay 1 (30000,30000,30000) L_0000000002b5eee0/d; -L_0000000002b5ff80/d .functor NOR 1, L_0000000002b4f5b0, L_0000000002b4fab0, C4<0>, C4<0>; -L_0000000002b5ff80 .delay 1 (20000,20000,20000) L_0000000002b5ff80/d; -L_0000000002b5f8f0/d .functor XOR 1, L_0000000002b5ff80, L_0000000002b4fbf0, C4<0>, C4<0>; -L_0000000002b5f8f0 .delay 1 (20000,20000,20000) L_0000000002b5f8f0/d; -v0000000002ab3b00_0 .net "a", 0 0, L_0000000002b4f5b0; alias, 1 drivers -v0000000002ab5860_0 .net "anorb", 0 0, L_0000000002b5ff80; 1 drivers -v0000000002ab5900_0 .net "b", 0 0, L_0000000002b4fab0; alias, 1 drivers -v0000000002ab3f60_0 .net "carryAND", 0 0, L_0000000002b5f1f0; alias, 1 drivers -v0000000002ab3c40_0 .net "carryin", 0 0, L_0000000002b4fdd0; alias, 1 drivers -v0000000002ab4000_0 .net "i0", 0 0, L_0000000002b4fbf0; alias, 1 drivers -v0000000002ab4140_0 .net "nab", 0 0, L_0000000002b60140; alias, 1 drivers -v0000000002ab40a0_0 .net "nac", 0 0, L_0000000002b5ee70; 1 drivers -v0000000002ab4320_0 .net "nbc", 0 0, L_0000000002b5ee00; 1 drivers -v0000000002ab45a0_0 .net "orNOR", 0 0, L_0000000002b5f8f0; alias, 1 drivers -v0000000002ab5b80_0 .net "sumXOR", 0 0, L_0000000002b5eee0; alias, 1 drivers -S_0000000002abf410 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002abf110; +L_00000000026d74e0/d .functor NAND 1, L_0000000002665620, L_0000000002667420, C4<1>, C4<1>; +L_00000000026d74e0 .delay 1 (20000,20000,20000) L_00000000026d74e0/d; +L_00000000026d6ad0/d .functor NAND 1, L_0000000002667420, L_0000000002665ee0, C4<1>, C4<1>; +L_00000000026d6ad0 .delay 1 (20000,20000,20000) L_00000000026d6ad0/d; +L_00000000026d7940/d .functor NAND 1, L_0000000002665620, L_0000000002665ee0, C4<1>, C4<1>; +L_00000000026d7940 .delay 1 (20000,20000,20000) L_00000000026d7940/d; +L_00000000026d6c20/d .functor NAND 1, L_00000000026d74e0, L_00000000026d7940, L_00000000026d6ad0, C4<1>; +L_00000000026d6c20 .delay 1 (30000,30000,30000) L_00000000026d6c20/d; +L_00000000026d71d0/d .functor XOR 1, L_0000000002665620, L_0000000002667420, L_0000000002665ee0, C4<0>; +L_00000000026d71d0 .delay 1 (30000,30000,30000) L_00000000026d71d0/d; +L_00000000026d7390/d .functor NOR 1, L_0000000002665620, L_0000000002667420, C4<0>, C4<0>; +L_00000000026d7390 .delay 1 (20000,20000,20000) L_00000000026d7390/d; +L_00000000026d6590/d .functor XOR 1, L_00000000026d7390, L_0000000002666480, C4<0>, C4<0>; +L_00000000026d6590 .delay 1 (20000,20000,20000) L_00000000026d6590/d; +v0000000000f12050_0 .net "a", 0 0, L_0000000002665620; alias, 1 drivers +v0000000000f124b0_0 .net "anorb", 0 0, L_00000000026d7390; 1 drivers +v0000000000f12190_0 .net "b", 0 0, L_0000000002667420; alias, 1 drivers +v0000000000f10750_0 .net "carryAND", 0 0, L_00000000026d6c20; alias, 1 drivers +v0000000000f11510_0 .net "carryin", 0 0, L_0000000002665ee0; alias, 1 drivers +v0000000000f11790_0 .net "i0", 0 0, L_0000000002666480; alias, 1 drivers +v0000000000f12370_0 .net "nab", 0 0, L_00000000026d74e0; alias, 1 drivers +v0000000000f12410_0 .net "nac", 0 0, L_00000000026d7940; 1 drivers +v0000000000f107f0_0 .net "nbc", 0 0, L_00000000026d6ad0; 1 drivers +v0000000000f10e30_0 .net "orNOR", 0 0, L_00000000026d6590; alias, 1 drivers +v0000000000f10ed0_0 .net "sumXOR", 0 0, L_00000000026d71d0; alias, 1 drivers +S_0000000000f1b6f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1cd70; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2823,43 +2979,46 @@ S_0000000002abf410 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b606f0/d .functor NOT 1, L_0000000002b4f510, C4<0>, C4<0>, C4<0>; -L_0000000002b606f0 .delay 1 (10000,10000,10000) L_0000000002b606f0/d; -L_0000000002b60220/d .functor NOT 1, L_0000000002b4fd30, C4<0>, C4<0>, C4<0>; -L_0000000002b60220 .delay 1 (10000,10000,10000) L_0000000002b60220/d; -L_0000000002b60760/d .functor NAND 1, L_0000000002b4fd30, L_0000000002b4f510, L_0000000002b5eee0, C4<1>; -L_0000000002b60760 .delay 1 (30000,30000,30000) L_0000000002b60760/d; -L_0000000002b5f500/d .functor NAND 1, L_0000000002b4fd30, L_0000000002b606f0, L_0000000002b5f8f0, C4<1>; -L_0000000002b5f500 .delay 1 (30000,30000,30000) L_0000000002b5f500/d; -L_0000000002b5fff0/d .functor NAND 1, L_0000000002b60220, L_0000000002b4f510, L_0000000002b5f1f0, C4<1>; -L_0000000002b5fff0 .delay 1 (30000,30000,30000) L_0000000002b5fff0/d; -L_0000000002b605a0/d .functor NAND 1, L_0000000002b60220, L_0000000002b606f0, L_0000000002b60140, C4<1>; -L_0000000002b605a0 .delay 1 (30000,30000,30000) L_0000000002b605a0/d; -L_0000000002b5fb90/d .functor NAND 1, L_0000000002b60760, L_0000000002b5f500, L_0000000002b5fff0, L_0000000002b605a0; -L_0000000002b5fb90 .delay 1 (40000,40000,40000) L_0000000002b5fb90/d; -v0000000002ab4280_0 .net "a", 0 0, L_0000000002b5eee0; alias, 1 drivers -v0000000002ab4460_0 .net "aout", 0 0, L_0000000002b60760; 1 drivers -v0000000002ab59a0_0 .net "b", 0 0, L_0000000002b5f8f0; alias, 1 drivers -v0000000002ab4820_0 .net "bout", 0 0, L_0000000002b5f500; 1 drivers -v0000000002ab48c0_0 .net "c", 0 0, L_0000000002b5f1f0; alias, 1 drivers -v0000000002ab5a40_0 .net "cout", 0 0, L_0000000002b5fff0; 1 drivers -v0000000002ab4aa0_0 .net "d", 0 0, L_0000000002b60140; alias, 1 drivers -v0000000002ab4c80_0 .net "dout", 0 0, L_0000000002b605a0; 1 drivers -v0000000002ab68a0_0 .net "ns0", 0 0, L_0000000002b606f0; 1 drivers -v0000000002ab66c0_0 .net "ns1", 0 0, L_0000000002b60220; 1 drivers -v0000000002ab61c0_0 .net "out", 0 0, L_0000000002b5fb90; alias, 1 drivers -v0000000002ab6bc0_0 .net "s0", 0 0, L_0000000002b4f510; 1 drivers -v0000000002ab6f80_0 .net "s1", 0 0, L_0000000002b4fd30; 1 drivers -S_0000000002abf710 .scope generate, "aluBits[22]" "aluBits[22]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8220 .param/l "i" 0 4 52, +C4<010110>; -L_0000000002b5f650/d .functor XOR 1, L_0000000002b4fe70, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b5f650 .delay 1 (50000,50000,50000) L_0000000002b5f650/d; -L_0000000002b5f5e0/d .functor AND 1, v0000000002a01300_0, L_0000000002b51db0, C4<1>, C4<1>; -L_0000000002b5f5e0 .delay 1 (30000,30000,30000) L_0000000002b5f5e0/d; -v0000000002aa8340_0 .net *"_s1", 0 0, L_0000000002b4fe70; 1 drivers -v0000000002aa9420_0 .net *"_s3", 0 0, L_0000000002b51db0; 1 drivers -S_0000000002abf890 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002abf710; +L_00000000026d7160/d .functor NOT 1, L_0000000002666a20, C4<0>, C4<0>, C4<0>; +L_00000000026d7160 .delay 1 (10000,10000,10000) L_00000000026d7160/d; +L_00000000026d7630/d .functor NOT 1, L_0000000002665bc0, C4<0>, C4<0>, C4<0>; +L_00000000026d7630 .delay 1 (10000,10000,10000) L_00000000026d7630/d; +L_00000000026d6a60/d .functor NAND 1, L_0000000002665bc0, L_0000000002666a20, L_00000000026d71d0, C4<1>; +L_00000000026d6a60 .delay 1 (30000,30000,30000) L_00000000026d6a60/d; +L_00000000026d6600/d .functor NAND 1, L_0000000002665bc0, L_00000000026d7160, L_00000000026d6590, C4<1>; +L_00000000026d6600 .delay 1 (30000,30000,30000) L_00000000026d6600/d; +L_00000000026d7a90/d .functor NAND 1, L_00000000026d7630, L_0000000002666a20, L_00000000026d6c20, C4<1>; +L_00000000026d7a90 .delay 1 (30000,30000,30000) L_00000000026d7a90/d; +L_00000000026d7c50/d .functor NAND 1, L_00000000026d7630, L_00000000026d7160, L_00000000026d74e0, C4<1>; +L_00000000026d7c50 .delay 1 (30000,30000,30000) L_00000000026d7c50/d; +L_00000000026d7cc0/d .functor NAND 1, L_00000000026d6a60, L_00000000026d6600, L_00000000026d7a90, L_00000000026d7c50; +L_00000000026d7cc0 .delay 1 (40000,40000,40000) L_00000000026d7cc0/d; +v0000000000f118d0_0 .net "a", 0 0, L_00000000026d71d0; alias, 1 drivers +v0000000000f10f70_0 .net "aout", 0 0, L_00000000026d6a60; 1 drivers +v0000000000f11970_0 .net "b", 0 0, L_00000000026d6590; alias, 1 drivers +v0000000000f11a10_0 .net "bout", 0 0, L_00000000026d6600; 1 drivers +v0000000000f11ab0_0 .net "c", 0 0, L_00000000026d6c20; alias, 1 drivers +v0000000000f11b50_0 .net "cout", 0 0, L_00000000026d7a90; 1 drivers +v0000000000f11dd0_0 .net "d", 0 0, L_00000000026d74e0; alias, 1 drivers +v0000000000f12a50_0 .net "dout", 0 0, L_00000000026d7c50; 1 drivers +v0000000000f13f90_0 .net "ns0", 0 0, L_00000000026d7160; 1 drivers +v0000000000f14ad0_0 .net "ns1", 0 0, L_00000000026d7630; 1 drivers +v0000000000f13270_0 .net "out", 0 0, L_00000000026d7cc0; alias, 1 drivers +v0000000000f14fd0_0 .net "s0", 0 0, L_0000000002666a20; 1 drivers +v0000000000f14d50_0 .net "s1", 0 0, L_0000000002665bc0; 1 drivers +S_0000000000f1bcf0 .scope generate, "aluBits[22]" "aluBits[22]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de2300 .param/l "i" 0 4 49, +C4<010110>; +L_00000000026d7d30/d .functor XOR 1, L_00000000026653a0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d7d30 .delay 1 (20000,20000,20000) L_00000000026d7d30/d; +L_00000000026d7b00/d .functor AND 1, v0000000000e20d10_0, L_00000000026654e0, C4<1>, C4<1>; +L_00000000026d7b00 .delay 1 (30000,30000,30000) L_00000000026d7b00/d; +L_00000000026d6f30/d .functor AND 1, L_0000000002667100, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d6f30 .delay 1 (30000,30000,30000) L_00000000026d6f30/d; +v0000000000f12d70_0 .net *"_s1", 0 0, L_00000000026653a0; 1 drivers +v0000000000f12e10_0 .net *"_s3", 0 0, L_00000000026654e0; 1 drivers +v0000000000f13e50_0 .net *"_s9", 0 0, L_0000000002667100; 1 drivers +S_0000000000f1b3f0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bcf0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2868,19 +3027,19 @@ S_0000000002abf890 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002aa7800_0 .net "a", 0 0, L_0000000002b511d0; 1 drivers -v0000000002aa8980_0 .net "b", 0 0, L_0000000002b51630; 1 drivers -v0000000002aa88e0_0 .net "carryAND", 0 0, L_0000000002b5fea0; 1 drivers -v0000000002aa7260_0 .net "cin", 0 0, L_0000000002b51bd0; 1 drivers -v0000000002aa8c00_0 .net "ctrl0", 0 0, L_0000000002b51c70; 1 drivers -v0000000002aa8520_0 .net "nab", 0 0, L_0000000002b5f810; 1 drivers -v0000000002aa7d00_0 .net "orNOR", 0 0, L_0000000002b5ed90; 1 drivers -v0000000002aa7f80_0 .net "res", 0 0, L_0000000002b5fa40; 1 drivers -v0000000002aa7e40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aa7620_0 .net "sumXOR", 0 0, L_0000000002b5ff10; 1 drivers -L_0000000002b51950 .part v0000000002a01440_0, 1, 1; -L_0000000002b51310 .part v0000000002a01440_0, 0, 1; -S_0000000002abfd10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf890; +v0000000000f14f30_0 .net "a", 0 0, L_0000000002666d40; 1 drivers +v0000000000f13770_0 .net "b", 0 0, L_0000000002665760; 1 drivers +v0000000000f12f50_0 .net "carryAND", 0 0, L_00000000026d7240; 1 drivers +v0000000000f12af0_0 .net "cin", 0 0, L_0000000002667060; 1 drivers +v0000000000f12b90_0 .net "ctrl0", 0 0, L_0000000002666660; 1 drivers +v0000000000f138b0_0 .net "nab", 0 0, L_00000000026d7010; 1 drivers +v0000000000f13bd0_0 .net "orNOR", 0 0, L_00000000026d77f0; 1 drivers +v0000000000f12c30_0 .net "res", 0 0, L_00000000026d7fd0; 1 drivers +v0000000000f12cd0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f14530_0 .net "sumXOR", 0 0, L_00000000026d72b0; 1 drivers +L_00000000026656c0 .part v0000000000e21990_0, 1, 1; +L_00000000026659e0 .part v0000000000e21990_0, 0, 1; +S_0000000000f1c5f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b3f0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2890,32 +3049,32 @@ S_0000000002abfd10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002abf89 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b5f810/d .functor NAND 1, L_0000000002b511d0, L_0000000002b51630, C4<1>, C4<1>; -L_0000000002b5f810 .delay 1 (20000,20000,20000) L_0000000002b5f810/d; -L_0000000002b5efc0/d .functor NAND 1, L_0000000002b51630, L_0000000002b51bd0, C4<1>, C4<1>; -L_0000000002b5efc0 .delay 1 (20000,20000,20000) L_0000000002b5efc0/d; -L_0000000002b5f3b0/d .functor NAND 1, L_0000000002b511d0, L_0000000002b51bd0, C4<1>, C4<1>; -L_0000000002b5f3b0 .delay 1 (20000,20000,20000) L_0000000002b5f3b0/d; -L_0000000002b5fea0/d .functor NAND 1, L_0000000002b5f810, L_0000000002b5f3b0, L_0000000002b5efc0, C4<1>; -L_0000000002b5fea0 .delay 1 (30000,30000,30000) L_0000000002b5fea0/d; -L_0000000002b5ff10/d .functor XOR 1, L_0000000002b511d0, L_0000000002b51630, L_0000000002b51bd0, C4<0>; -L_0000000002b5ff10 .delay 1 (30000,30000,30000) L_0000000002b5ff10/d; -L_0000000002b5f6c0/d .functor NOR 1, L_0000000002b511d0, L_0000000002b51630, C4<0>, C4<0>; -L_0000000002b5f6c0 .delay 1 (20000,20000,20000) L_0000000002b5f6c0/d; -L_0000000002b5ed90/d .functor XOR 1, L_0000000002b5f6c0, L_0000000002b51c70, C4<0>, C4<0>; -L_0000000002b5ed90 .delay 1 (20000,20000,20000) L_0000000002b5ed90/d; -v0000000002ab6c60_0 .net "a", 0 0, L_0000000002b511d0; alias, 1 drivers -v0000000002ab6440_0 .net "anorb", 0 0, L_0000000002b5f6c0; 1 drivers -v0000000002ab6300_0 .net "b", 0 0, L_0000000002b51630; alias, 1 drivers -v0000000002ab64e0_0 .net "carryAND", 0 0, L_0000000002b5fea0; alias, 1 drivers -v0000000002ab6b20_0 .net "carryin", 0 0, L_0000000002b51bd0; alias, 1 drivers -v0000000002ab6d00_0 .net "i0", 0 0, L_0000000002b51c70; alias, 1 drivers -v0000000002ab6da0_0 .net "nab", 0 0, L_0000000002b5f810; alias, 1 drivers -v0000000002aa8700_0 .net "nac", 0 0, L_0000000002b5f3b0; 1 drivers -v0000000002aa8ac0_0 .net "nbc", 0 0, L_0000000002b5efc0; 1 drivers -v0000000002aa7ee0_0 .net "orNOR", 0 0, L_0000000002b5ed90; alias, 1 drivers -v0000000002aa73a0_0 .net "sumXOR", 0 0, L_0000000002b5ff10; alias, 1 drivers -S_0000000002ac0310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002abf890; +L_00000000026d7010/d .functor NAND 1, L_0000000002666d40, L_0000000002665760, C4<1>, C4<1>; +L_00000000026d7010 .delay 1 (20000,20000,20000) L_00000000026d7010/d; +L_00000000026d76a0/d .functor NAND 1, L_0000000002665760, L_0000000002667060, C4<1>, C4<1>; +L_00000000026d76a0 .delay 1 (20000,20000,20000) L_00000000026d76a0/d; +L_00000000026d7400/d .functor NAND 1, L_0000000002666d40, L_0000000002667060, C4<1>, C4<1>; +L_00000000026d7400 .delay 1 (20000,20000,20000) L_00000000026d7400/d; +L_00000000026d7240/d .functor NAND 1, L_00000000026d7010, L_00000000026d7400, L_00000000026d76a0, C4<1>; +L_00000000026d7240 .delay 1 (30000,30000,30000) L_00000000026d7240/d; +L_00000000026d72b0/d .functor XOR 1, L_0000000002666d40, L_0000000002665760, L_0000000002667060, C4<0>; +L_00000000026d72b0 .delay 1 (30000,30000,30000) L_00000000026d72b0/d; +L_00000000026d6b40/d .functor NOR 1, L_0000000002666d40, L_0000000002665760, C4<0>, C4<0>; +L_00000000026d6b40 .delay 1 (20000,20000,20000) L_00000000026d6b40/d; +L_00000000026d77f0/d .functor XOR 1, L_00000000026d6b40, L_0000000002666660, C4<0>, C4<0>; +L_00000000026d77f0 .delay 1 (20000,20000,20000) L_00000000026d77f0/d; +v0000000000f129b0_0 .net "a", 0 0, L_0000000002666d40; alias, 1 drivers +v0000000000f14a30_0 .net "anorb", 0 0, L_00000000026d6b40; 1 drivers +v0000000000f145d0_0 .net "b", 0 0, L_0000000002665760; alias, 1 drivers +v0000000000f14030_0 .net "carryAND", 0 0, L_00000000026d7240; alias, 1 drivers +v0000000000f139f0_0 .net "carryin", 0 0, L_0000000002667060; alias, 1 drivers +v0000000000f13090_0 .net "i0", 0 0, L_0000000002666660; alias, 1 drivers +v0000000000f140d0_0 .net "nab", 0 0, L_00000000026d7010; alias, 1 drivers +v0000000000f142b0_0 .net "nac", 0 0, L_00000000026d7400; 1 drivers +v0000000000f14170_0 .net "nbc", 0 0, L_00000000026d76a0; 1 drivers +v0000000000f14850_0 .net "orNOR", 0 0, L_00000000026d77f0; alias, 1 drivers +v0000000000f13a90_0 .net "sumXOR", 0 0, L_00000000026d72b0; alias, 1 drivers +S_0000000000f1c8f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b3f0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2924,43 +3083,46 @@ S_0000000002ac0310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b60290/d .functor NOT 1, L_0000000002b51310, C4<0>, C4<0>, C4<0>; -L_0000000002b60290 .delay 1 (10000,10000,10000) L_0000000002b60290/d; -L_0000000002b60060/d .functor NOT 1, L_0000000002b51950, C4<0>, C4<0>, C4<0>; -L_0000000002b60060 .delay 1 (10000,10000,10000) L_0000000002b60060/d; -L_0000000002b5f880/d .functor NAND 1, L_0000000002b51950, L_0000000002b51310, L_0000000002b5ff10, C4<1>; -L_0000000002b5f880 .delay 1 (30000,30000,30000) L_0000000002b5f880/d; -L_0000000002b5ecb0/d .functor NAND 1, L_0000000002b51950, L_0000000002b60290, L_0000000002b5ed90, C4<1>; -L_0000000002b5ecb0 .delay 1 (30000,30000,30000) L_0000000002b5ecb0/d; -L_0000000002b5f030/d .functor NAND 1, L_0000000002b60060, L_0000000002b51310, L_0000000002b5fea0, C4<1>; -L_0000000002b5f030 .delay 1 (30000,30000,30000) L_0000000002b5f030/d; -L_0000000002b603e0/d .functor NAND 1, L_0000000002b60060, L_0000000002b60290, L_0000000002b5f810, C4<1>; -L_0000000002b603e0 .delay 1 (30000,30000,30000) L_0000000002b603e0/d; -L_0000000002b5fa40/d .functor NAND 1, L_0000000002b5f880, L_0000000002b5ecb0, L_0000000002b5f030, L_0000000002b603e0; -L_0000000002b5fa40 .delay 1 (40000,40000,40000) L_0000000002b5fa40/d; -v0000000002aa74e0_0 .net "a", 0 0, L_0000000002b5ff10; alias, 1 drivers -v0000000002aa97e0_0 .net "aout", 0 0, L_0000000002b5f880; 1 drivers -v0000000002aa82a0_0 .net "b", 0 0, L_0000000002b5ed90; alias, 1 drivers -v0000000002aa96a0_0 .net "bout", 0 0, L_0000000002b5ecb0; 1 drivers -v0000000002aa7440_0 .net "c", 0 0, L_0000000002b5fea0; alias, 1 drivers -v0000000002aa8b60_0 .net "cout", 0 0, L_0000000002b5f030; 1 drivers -v0000000002aa9240_0 .net "d", 0 0, L_0000000002b5f810; alias, 1 drivers -v0000000002aa8200_0 .net "dout", 0 0, L_0000000002b603e0; 1 drivers -v0000000002aa8a20_0 .net "ns0", 0 0, L_0000000002b60290; 1 drivers -v0000000002aa71c0_0 .net "ns1", 0 0, L_0000000002b60060; 1 drivers -v0000000002aa8160_0 .net "out", 0 0, L_0000000002b5fa40; alias, 1 drivers -v0000000002aa7bc0_0 .net "s0", 0 0, L_0000000002b51310; 1 drivers -v0000000002aa8660_0 .net "s1", 0 0, L_0000000002b51950; 1 drivers -S_0000000002ac0490 .scope generate, "aluBits[23]" "aluBits[23]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8e20 .param/l "i" 0 4 52, +C4<010111>; -L_0000000002b607d0/d .functor XOR 1, L_0000000002b519f0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b607d0 .delay 1 (50000,50000,50000) L_0000000002b607d0/d; -L_0000000002b5fb20/d .functor AND 1, v0000000002a01300_0, L_0000000002b51270, C4<1>, C4<1>; -L_0000000002b5fb20 .delay 1 (30000,30000,30000) L_0000000002b5fb20/d; -v0000000002aa91a0_0 .net *"_s1", 0 0, L_0000000002b519f0; 1 drivers -v0000000002aab220_0 .net *"_s3", 0 0, L_0000000002b51270; 1 drivers -S_0000000002ac0c10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0490; +L_00000000026d75c0/d .functor NOT 1, L_00000000026659e0, C4<0>, C4<0>, C4<0>; +L_00000000026d75c0 .delay 1 (10000,10000,10000) L_00000000026d75c0/d; +L_00000000026d6de0/d .functor NOT 1, L_00000000026656c0, C4<0>, C4<0>, C4<0>; +L_00000000026d6de0 .delay 1 (10000,10000,10000) L_00000000026d6de0/d; +L_00000000026d6c90/d .functor NAND 1, L_00000000026656c0, L_00000000026659e0, L_00000000026d72b0, C4<1>; +L_00000000026d6c90 .delay 1 (30000,30000,30000) L_00000000026d6c90/d; +L_00000000026d7a20/d .functor NAND 1, L_00000000026656c0, L_00000000026d75c0, L_00000000026d77f0, C4<1>; +L_00000000026d7a20 .delay 1 (30000,30000,30000) L_00000000026d7a20/d; +L_00000000026d8040/d .functor NAND 1, L_00000000026d6de0, L_00000000026659e0, L_00000000026d7240, C4<1>; +L_00000000026d8040 .delay 1 (30000,30000,30000) L_00000000026d8040/d; +L_00000000026d6d70/d .functor NAND 1, L_00000000026d6de0, L_00000000026d75c0, L_00000000026d7010, C4<1>; +L_00000000026d6d70 .delay 1 (30000,30000,30000) L_00000000026d6d70/d; +L_00000000026d7fd0/d .functor NAND 1, L_00000000026d6c90, L_00000000026d7a20, L_00000000026d8040, L_00000000026d6d70; +L_00000000026d7fd0 .delay 1 (40000,40000,40000) L_00000000026d7fd0/d; +v0000000000f13ef0_0 .net "a", 0 0, L_00000000026d72b0; alias, 1 drivers +v0000000000f13b30_0 .net "aout", 0 0, L_00000000026d6c90; 1 drivers +v0000000000f13c70_0 .net "b", 0 0, L_00000000026d77f0; alias, 1 drivers +v0000000000f14e90_0 .net "bout", 0 0, L_00000000026d7a20; 1 drivers +v0000000000f14350_0 .net "c", 0 0, L_00000000026d7240; alias, 1 drivers +v0000000000f143f0_0 .net "cout", 0 0, L_00000000026d8040; 1 drivers +v0000000000f14490_0 .net "d", 0 0, L_00000000026d7010; alias, 1 drivers +v0000000000f14c10_0 .net "dout", 0 0, L_00000000026d6d70; 1 drivers +v0000000000f12910_0 .net "ns0", 0 0, L_00000000026d75c0; 1 drivers +v0000000000f13130_0 .net "ns1", 0 0, L_00000000026d6de0; 1 drivers +v0000000000f13810_0 .net "out", 0 0, L_00000000026d7fd0; alias, 1 drivers +v0000000000f15070_0 .net "s0", 0 0, L_00000000026659e0; 1 drivers +v0000000000f14cb0_0 .net "s1", 0 0, L_00000000026656c0; 1 drivers +S_0000000000f1ca70 .scope generate, "aluBits[23]" "aluBits[23]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de1a00 .param/l "i" 0 4 49, +C4<010111>; +L_00000000026d66e0/d .functor XOR 1, L_0000000002667880, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d66e0 .delay 1 (20000,20000,20000) L_00000000026d66e0/d; +L_00000000026d7320/d .functor AND 1, v0000000000e20d10_0, L_0000000002665800, C4<1>, C4<1>; +L_00000000026d7320 .delay 1 (30000,30000,30000) L_00000000026d7320/d; +L_00000000026d67c0/d .functor AND 1, L_0000000002666b60, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d67c0 .delay 1 (30000,30000,30000) L_00000000026d67c0/d; +v0000000000f16970_0 .net *"_s1", 0 0, L_0000000002667880; 1 drivers +v0000000000f156b0_0 .net *"_s3", 0 0, L_0000000002665800; 1 drivers +v0000000000f161f0_0 .net *"_s9", 0 0, L_0000000002666b60; 1 drivers +S_0000000000f1cbf0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1ca70; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2969,19 +3131,19 @@ S_0000000002ac0c10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002aa9880_0 .net "a", 0 0, L_0000000002b514f0; 1 drivers -v0000000002aa8020_0 .net "b", 0 0, L_0000000002b516d0; 1 drivers -v0000000002aa7120_0 .net "carryAND", 0 0, L_0000000002b5f420; 1 drivers -v0000000002aa7c60_0 .net "cin", 0 0, L_0000000002b51770; 1 drivers -v0000000002aa80c0_0 .net "ctrl0", 0 0, L_0000000002b51810; 1 drivers -v0000000002aa8840_0 .net "nab", 0 0, L_0000000002b5f260; 1 drivers -v0000000002aa8de0_0 .net "orNOR", 0 0, L_0000000002b5fab0; 1 drivers -v0000000002aa8f20_0 .net "res", 0 0, L_0000000002b601b0; 1 drivers -v0000000002aa8fc0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aa9060_0 .net "sumXOR", 0 0, L_0000000002b5ed20; 1 drivers -L_0000000002b51a90 .part v0000000002a01440_0, 1, 1; -L_0000000002b51d10 .part v0000000002a01440_0, 0, 1; -S_0000000002ac0790 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0c10; +v0000000000f172d0_0 .net "a", 0 0, L_0000000002666340; 1 drivers +v0000000000f15a70_0 .net "b", 0 0, L_0000000002666ac0; 1 drivers +v0000000000f15110_0 .net "carryAND", 0 0, L_00000000026d6830; 1 drivers +v0000000000f16830_0 .net "cin", 0 0, L_0000000002666700; 1 drivers +v0000000000f16150_0 .net "ctrl0", 0 0, L_0000000002665e40; 1 drivers +v0000000000f154d0_0 .net "nab", 0 0, L_00000000026d7b70; 1 drivers +v0000000000f17730_0 .net "orNOR", 0 0, L_00000000026d7860; 1 drivers +v0000000000f17370_0 .net "res", 0 0, L_00000000026d7f60; 1 drivers +v0000000000f160b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f175f0_0 .net "sumXOR", 0 0, L_00000000026d7e10; 1 drivers +L_0000000002667560 .part v0000000000e21990_0, 1, 1; +L_0000000002667240 .part v0000000000e21990_0, 0, 1; +S_0000000000f1be70 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cbf0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2991,32 +3153,32 @@ S_0000000002ac0790 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0c1 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b5f260/d .functor NAND 1, L_0000000002b514f0, L_0000000002b516d0, C4<1>, C4<1>; -L_0000000002b5f260 .delay 1 (20000,20000,20000) L_0000000002b5f260/d; -L_0000000002b5f9d0/d .functor NAND 1, L_0000000002b516d0, L_0000000002b51770, C4<1>, C4<1>; -L_0000000002b5f9d0 .delay 1 (20000,20000,20000) L_0000000002b5f9d0/d; -L_0000000002b5ec40/d .functor NAND 1, L_0000000002b514f0, L_0000000002b51770, C4<1>, C4<1>; -L_0000000002b5ec40 .delay 1 (20000,20000,20000) L_0000000002b5ec40/d; -L_0000000002b5f420/d .functor NAND 1, L_0000000002b5f260, L_0000000002b5ec40, L_0000000002b5f9d0, C4<1>; -L_0000000002b5f420 .delay 1 (30000,30000,30000) L_0000000002b5f420/d; -L_0000000002b5ed20/d .functor XOR 1, L_0000000002b514f0, L_0000000002b516d0, L_0000000002b51770, C4<0>; -L_0000000002b5ed20 .delay 1 (30000,30000,30000) L_0000000002b5ed20/d; -L_0000000002b60610/d .functor NOR 1, L_0000000002b514f0, L_0000000002b516d0, C4<0>, C4<0>; -L_0000000002b60610 .delay 1 (20000,20000,20000) L_0000000002b60610/d; -L_0000000002b5fab0/d .functor XOR 1, L_0000000002b60610, L_0000000002b51810, C4<0>, C4<0>; -L_0000000002b5fab0 .delay 1 (20000,20000,20000) L_0000000002b5fab0/d; -v0000000002aa8480_0 .net "a", 0 0, L_0000000002b514f0; alias, 1 drivers -v0000000002aa9380_0 .net "anorb", 0 0, L_0000000002b60610; 1 drivers -v0000000002aa7580_0 .net "b", 0 0, L_0000000002b516d0; alias, 1 drivers -v0000000002aa9560_0 .net "carryAND", 0 0, L_0000000002b5f420; alias, 1 drivers -v0000000002aa76c0_0 .net "carryin", 0 0, L_0000000002b51770; alias, 1 drivers -v0000000002aa7760_0 .net "i0", 0 0, L_0000000002b51810; alias, 1 drivers -v0000000002aa87a0_0 .net "nab", 0 0, L_0000000002b5f260; alias, 1 drivers -v0000000002aa83e0_0 .net "nac", 0 0, L_0000000002b5ec40; 1 drivers -v0000000002aa92e0_0 .net "nbc", 0 0, L_0000000002b5f9d0; 1 drivers -v0000000002aa78a0_0 .net "orNOR", 0 0, L_0000000002b5fab0; alias, 1 drivers -v0000000002aa8ca0_0 .net "sumXOR", 0 0, L_0000000002b5ed20; alias, 1 drivers -S_0000000002abfa10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0c10; +L_00000000026d7b70/d .functor NAND 1, L_0000000002666340, L_0000000002666ac0, C4<1>, C4<1>; +L_00000000026d7b70 .delay 1 (20000,20000,20000) L_00000000026d7b70/d; +L_00000000026d6750/d .functor NAND 1, L_0000000002666ac0, L_0000000002666700, C4<1>, C4<1>; +L_00000000026d6750 .delay 1 (20000,20000,20000) L_00000000026d6750/d; +L_00000000026d6fa0/d .functor NAND 1, L_0000000002666340, L_0000000002666700, C4<1>, C4<1>; +L_00000000026d6fa0 .delay 1 (20000,20000,20000) L_00000000026d6fa0/d; +L_00000000026d6830/d .functor NAND 1, L_00000000026d7b70, L_00000000026d6fa0, L_00000000026d6750, C4<1>; +L_00000000026d6830 .delay 1 (30000,30000,30000) L_00000000026d6830/d; +L_00000000026d7e10/d .functor XOR 1, L_0000000002666340, L_0000000002666ac0, L_0000000002666700, C4<0>; +L_00000000026d7e10 .delay 1 (30000,30000,30000) L_00000000026d7e10/d; +L_00000000026d7780/d .functor NOR 1, L_0000000002666340, L_0000000002666ac0, C4<0>, C4<0>; +L_00000000026d7780 .delay 1 (20000,20000,20000) L_00000000026d7780/d; +L_00000000026d7860/d .functor XOR 1, L_00000000026d7780, L_0000000002665e40, C4<0>, C4<0>; +L_00000000026d7860 .delay 1 (20000,20000,20000) L_00000000026d7860/d; +v0000000000f134f0_0 .net "a", 0 0, L_0000000002666340; alias, 1 drivers +v0000000000f12eb0_0 .net "anorb", 0 0, L_00000000026d7780; 1 drivers +v0000000000f13d10_0 .net "b", 0 0, L_0000000002666ac0; alias, 1 drivers +v0000000000f13590_0 .net "carryAND", 0 0, L_00000000026d6830; alias, 1 drivers +v0000000000f13630_0 .net "carryin", 0 0, L_0000000002666700; alias, 1 drivers +v0000000000f133b0_0 .net "i0", 0 0, L_0000000002665e40; alias, 1 drivers +v0000000000f136d0_0 .net "nab", 0 0, L_00000000026d7b70; alias, 1 drivers +v0000000000f14670_0 .net "nac", 0 0, L_00000000026d6fa0; 1 drivers +v0000000000f17190_0 .net "nbc", 0 0, L_00000000026d6750; 1 drivers +v0000000000f16fb0_0 .net "orNOR", 0 0, L_00000000026d7860; alias, 1 drivers +v0000000000f15250_0 .net "sumXOR", 0 0, L_00000000026d7e10; alias, 1 drivers +S_0000000000f1cef0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1cbf0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3025,43 +3187,46 @@ S_0000000002abfa10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b5f0a0/d .functor NOT 1, L_0000000002b51d10, C4<0>, C4<0>, C4<0>; -L_0000000002b5f0a0 .delay 1 (10000,10000,10000) L_0000000002b5f0a0/d; -L_0000000002b5fc00/d .functor NOT 1, L_0000000002b51a90, C4<0>, C4<0>, C4<0>; -L_0000000002b5fc00 .delay 1 (10000,10000,10000) L_0000000002b5fc00/d; -L_0000000002b60680/d .functor NAND 1, L_0000000002b51a90, L_0000000002b51d10, L_0000000002b5ed20, C4<1>; -L_0000000002b60680 .delay 1 (30000,30000,30000) L_0000000002b60680/d; -L_0000000002b5f110/d .functor NAND 1, L_0000000002b51a90, L_0000000002b5f0a0, L_0000000002b5fab0, C4<1>; -L_0000000002b5f110 .delay 1 (30000,30000,30000) L_0000000002b5f110/d; -L_0000000002b5f180/d .functor NAND 1, L_0000000002b5fc00, L_0000000002b51d10, L_0000000002b5f420, C4<1>; -L_0000000002b5f180 .delay 1 (30000,30000,30000) L_0000000002b5f180/d; -L_0000000002b5f2d0/d .functor NAND 1, L_0000000002b5fc00, L_0000000002b5f0a0, L_0000000002b5f260, C4<1>; -L_0000000002b5f2d0 .delay 1 (30000,30000,30000) L_0000000002b5f2d0/d; -L_0000000002b601b0/d .functor NAND 1, L_0000000002b60680, L_0000000002b5f110, L_0000000002b5f180, L_0000000002b5f2d0; -L_0000000002b601b0 .delay 1 (40000,40000,40000) L_0000000002b601b0/d; -v0000000002aa7940_0 .net "a", 0 0, L_0000000002b5ed20; alias, 1 drivers -v0000000002aa79e0_0 .net "aout", 0 0, L_0000000002b60680; 1 drivers -v0000000002aa8e80_0 .net "b", 0 0, L_0000000002b5fab0; alias, 1 drivers -v0000000002aa94c0_0 .net "bout", 0 0, L_0000000002b5f110; 1 drivers -v0000000002aa9600_0 .net "c", 0 0, L_0000000002b5f420; alias, 1 drivers -v0000000002aa9740_0 .net "cout", 0 0, L_0000000002b5f180; 1 drivers -v0000000002aa85c0_0 .net "d", 0 0, L_0000000002b5f260; alias, 1 drivers -v0000000002aa8d40_0 .net "dout", 0 0, L_0000000002b5f2d0; 1 drivers -v0000000002aa7da0_0 .net "ns0", 0 0, L_0000000002b5f0a0; 1 drivers -v0000000002aa7a80_0 .net "ns1", 0 0, L_0000000002b5fc00; 1 drivers -v0000000002aa7300_0 .net "out", 0 0, L_0000000002b601b0; alias, 1 drivers -v0000000002aa7b20_0 .net "s0", 0 0, L_0000000002b51d10; 1 drivers -v0000000002aa9100_0 .net "s1", 0 0, L_0000000002b51a90; 1 drivers -S_0000000002abfb90 .scope generate, "aluBits[24]" "aluBits[24]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9ae0 .param/l "i" 0 4 52, +C4<011000>; -L_0000000002b5fe30/d .functor XOR 1, L_0000000002b51b30, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b5fe30 .delay 1 (50000,50000,50000) L_0000000002b5fe30/d; -L_0000000002b5f340/d .functor AND 1, v0000000002a01300_0, L_0000000002b51130, C4<1>, C4<1>; -L_0000000002b5f340 .delay 1 (30000,30000,30000) L_0000000002b5f340/d; -v0000000002aa9b00_0 .net *"_s1", 0 0, L_0000000002b51b30; 1 drivers -v0000000002aab680_0 .net *"_s3", 0 0, L_0000000002b51130; 1 drivers -S_0000000002ac0a90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002abfb90; +L_00000000026d6e50/d .functor NOT 1, L_0000000002667240, C4<0>, C4<0>, C4<0>; +L_00000000026d6e50 .delay 1 (10000,10000,10000) L_00000000026d6e50/d; +L_00000000026d6980/d .functor NOT 1, L_0000000002667560, C4<0>, C4<0>, C4<0>; +L_00000000026d6980 .delay 1 (10000,10000,10000) L_00000000026d6980/d; +L_00000000026d7e80/d .functor NAND 1, L_0000000002667560, L_0000000002667240, L_00000000026d7e10, C4<1>; +L_00000000026d7e80 .delay 1 (30000,30000,30000) L_00000000026d7e80/d; +L_00000000026d78d0/d .functor NAND 1, L_0000000002667560, L_00000000026d6e50, L_00000000026d7860, C4<1>; +L_00000000026d78d0 .delay 1 (30000,30000,30000) L_00000000026d78d0/d; +L_00000000026d6ec0/d .functor NAND 1, L_00000000026d6980, L_0000000002667240, L_00000000026d6830, C4<1>; +L_00000000026d6ec0 .delay 1 (30000,30000,30000) L_00000000026d6ec0/d; +L_00000000026d7080/d .functor NAND 1, L_00000000026d6980, L_00000000026d6e50, L_00000000026d7b70, C4<1>; +L_00000000026d7080 .delay 1 (30000,30000,30000) L_00000000026d7080/d; +L_00000000026d7f60/d .functor NAND 1, L_00000000026d7e80, L_00000000026d78d0, L_00000000026d6ec0, L_00000000026d7080; +L_00000000026d7f60 .delay 1 (40000,40000,40000) L_00000000026d7f60/d; +v0000000000f168d0_0 .net "a", 0 0, L_00000000026d7e10; alias, 1 drivers +v0000000000f15f70_0 .net "aout", 0 0, L_00000000026d7e80; 1 drivers +v0000000000f151b0_0 .net "b", 0 0, L_00000000026d7860; alias, 1 drivers +v0000000000f170f0_0 .net "bout", 0 0, L_00000000026d78d0; 1 drivers +v0000000000f17230_0 .net "c", 0 0, L_00000000026d6830; alias, 1 drivers +v0000000000f17550_0 .net "cout", 0 0, L_00000000026d6ec0; 1 drivers +v0000000000f15c50_0 .net "d", 0 0, L_00000000026d7b70; alias, 1 drivers +v0000000000f15570_0 .net "dout", 0 0, L_00000000026d7080; 1 drivers +v0000000000f16330_0 .net "ns0", 0 0, L_00000000026d6e50; 1 drivers +v0000000000f159d0_0 .net "ns1", 0 0, L_00000000026d6980; 1 drivers +v0000000000f157f0_0 .net "out", 0 0, L_00000000026d7f60; alias, 1 drivers +v0000000000f16bf0_0 .net "s0", 0 0, L_0000000002667240; 1 drivers +v0000000000f15430_0 .net "s1", 0 0, L_0000000002667560; 1 drivers +S_0000000000f1c2f0 .scope generate, "aluBits[24]" "aluBits[24]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de1d00 .param/l "i" 0 4 49, +C4<011000>; +L_00000000026d68a0/d .functor XOR 1, L_00000000026660c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d68a0 .delay 1 (20000,20000,20000) L_00000000026d68a0/d; +L_00000000026d69f0/d .functor AND 1, v0000000000e20d10_0, L_00000000026667a0, C4<1>, C4<1>; +L_00000000026d69f0 .delay 1 (30000,30000,30000) L_00000000026d69f0/d; +L_00000000026d8270/d .functor AND 1, L_0000000002667380, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d8270 .delay 1 (30000,30000,30000) L_00000000026d8270/d; +v0000000000f16e70_0 .net *"_s1", 0 0, L_00000000026660c0; 1 drivers +v0000000000f19c10_0 .net *"_s3", 0 0, L_00000000026667a0; 1 drivers +v0000000000f17eb0_0 .net *"_s9", 0 0, L_0000000002667380; 1 drivers +S_0000000000f1b570 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1c2f0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3070,19 +3235,19 @@ S_0000000002ac0a90 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002aaafa0_0 .net "a", 0 0, L_0000000002b51450; 1 drivers -v0000000002aaadc0_0 .net "b", 0 0, L_0000000002b51f90; 1 drivers -v0000000002aab540_0 .net "carryAND", 0 0, L_0000000002b60530; 1 drivers -v0000000002aabae0_0 .net "cin", 0 0, L_0000000002b51590; 1 drivers -v0000000002aaac80_0 .net "ctrl0", 0 0, L_0000000002b51e50; 1 drivers -v0000000002aaa140_0 .net "nab", 0 0, L_0000000002b5fc70; 1 drivers -v0000000002aabf40_0 .net "orNOR", 0 0, L_0000000002b60370; 1 drivers -v0000000002aab5e0_0 .net "res", 0 0, L_0000000002b62210; 1 drivers -v0000000002aabcc0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aa9ec0_0 .net "sumXOR", 0 0, L_0000000002b5fce0; 1 drivers -L_0000000002b513b0 .part v0000000002a01440_0, 1, 1; -L_0000000002b518b0 .part v0000000002a01440_0, 0, 1; -S_0000000002abfe90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0a90; +v0000000000f15ed0_0 .net "a", 0 0, L_00000000026663e0; 1 drivers +v0000000000f16290_0 .net "b", 0 0, L_0000000002666ca0; 1 drivers +v0000000000f16650_0 .net "carryAND", 0 0, L_00000000026d84a0; 1 drivers +v0000000000f166f0_0 .net "cin", 0 0, L_0000000002666de0; 1 drivers +v0000000000f16790_0 .net "ctrl0", 0 0, L_00000000026671a0; 1 drivers +v0000000000f16ab0_0 .net "nab", 0 0, L_00000000026d8580; 1 drivers +v0000000000f16b50_0 .net "orNOR", 0 0, L_00000000026d8740; 1 drivers +v0000000000f16dd0_0 .net "res", 0 0, L_00000000026d8190; 1 drivers +v0000000000f16f10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f17050_0 .net "sumXOR", 0 0, L_00000000026d8660; 1 drivers +L_00000000026658a0 .part v0000000000e21990_0, 1, 1; +L_0000000002666c00 .part v0000000000e21990_0, 0, 1; +S_0000000000f1b9f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b570; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3092,32 +3257,32 @@ S_0000000002abfe90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0a9 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b5fc70/d .functor NAND 1, L_0000000002b51450, L_0000000002b51f90, C4<1>, C4<1>; -L_0000000002b5fc70 .delay 1 (20000,20000,20000) L_0000000002b5fc70/d; -L_0000000002b5f490/d .functor NAND 1, L_0000000002b51f90, L_0000000002b51590, C4<1>, C4<1>; -L_0000000002b5f490 .delay 1 (20000,20000,20000) L_0000000002b5f490/d; -L_0000000002b60300/d .functor NAND 1, L_0000000002b51450, L_0000000002b51590, C4<1>, C4<1>; -L_0000000002b60300 .delay 1 (20000,20000,20000) L_0000000002b60300/d; -L_0000000002b60530/d .functor NAND 1, L_0000000002b5fc70, L_0000000002b60300, L_0000000002b5f490, C4<1>; -L_0000000002b60530 .delay 1 (30000,30000,30000) L_0000000002b60530/d; -L_0000000002b5fce0/d .functor XOR 1, L_0000000002b51450, L_0000000002b51f90, L_0000000002b51590, C4<0>; -L_0000000002b5fce0 .delay 1 (30000,30000,30000) L_0000000002b5fce0/d; -L_0000000002b5f730/d .functor NOR 1, L_0000000002b51450, L_0000000002b51f90, C4<0>, C4<0>; -L_0000000002b5f730 .delay 1 (20000,20000,20000) L_0000000002b5f730/d; -L_0000000002b60370/d .functor XOR 1, L_0000000002b5f730, L_0000000002b51e50, C4<0>, C4<0>; -L_0000000002b60370 .delay 1 (20000,20000,20000) L_0000000002b60370/d; -v0000000002aaad20_0 .net "a", 0 0, L_0000000002b51450; alias, 1 drivers -v0000000002aa9ba0_0 .net "anorb", 0 0, L_0000000002b5f730; 1 drivers -v0000000002aabd60_0 .net "b", 0 0, L_0000000002b51f90; alias, 1 drivers -v0000000002aab040_0 .net "carryAND", 0 0, L_0000000002b60530; alias, 1 drivers -v0000000002aaaf00_0 .net "carryin", 0 0, L_0000000002b51590; alias, 1 drivers -v0000000002aaab40_0 .net "i0", 0 0, L_0000000002b51e50; alias, 1 drivers -v0000000002aab180_0 .net "nab", 0 0, L_0000000002b5fc70; alias, 1 drivers -v0000000002aaabe0_0 .net "nac", 0 0, L_0000000002b60300; 1 drivers -v0000000002aac080_0 .net "nbc", 0 0, L_0000000002b5f490; 1 drivers -v0000000002aaa0a0_0 .net "orNOR", 0 0, L_0000000002b60370; alias, 1 drivers -v0000000002aaaaa0_0 .net "sumXOR", 0 0, L_0000000002b5fce0; alias, 1 drivers -S_0000000002ac0910 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0a90; +L_00000000026d8580/d .functor NAND 1, L_00000000026663e0, L_0000000002666ca0, C4<1>, C4<1>; +L_00000000026d8580 .delay 1 (20000,20000,20000) L_00000000026d8580/d; +L_00000000026d85f0/d .functor NAND 1, L_0000000002666ca0, L_0000000002666de0, C4<1>, C4<1>; +L_00000000026d85f0 .delay 1 (20000,20000,20000) L_00000000026d85f0/d; +L_00000000026d86d0/d .functor NAND 1, L_00000000026663e0, L_0000000002666de0, C4<1>, C4<1>; +L_00000000026d86d0 .delay 1 (20000,20000,20000) L_00000000026d86d0/d; +L_00000000026d84a0/d .functor NAND 1, L_00000000026d8580, L_00000000026d86d0, L_00000000026d85f0, C4<1>; +L_00000000026d84a0 .delay 1 (30000,30000,30000) L_00000000026d84a0/d; +L_00000000026d8660/d .functor XOR 1, L_00000000026663e0, L_0000000002666ca0, L_0000000002666de0, C4<0>; +L_00000000026d8660 .delay 1 (30000,30000,30000) L_00000000026d8660/d; +L_00000000026d8820/d .functor NOR 1, L_00000000026663e0, L_0000000002666ca0, C4<0>, C4<0>; +L_00000000026d8820 .delay 1 (20000,20000,20000) L_00000000026d8820/d; +L_00000000026d8740/d .functor XOR 1, L_00000000026d8820, L_00000000026671a0, C4<0>, C4<0>; +L_00000000026d8740 .delay 1 (20000,20000,20000) L_00000000026d8740/d; +v0000000000f165b0_0 .net "a", 0 0, L_00000000026663e0; alias, 1 drivers +v0000000000f17410_0 .net "anorb", 0 0, L_00000000026d8820; 1 drivers +v0000000000f15cf0_0 .net "b", 0 0, L_0000000002666ca0; alias, 1 drivers +v0000000000f17690_0 .net "carryAND", 0 0, L_00000000026d84a0; alias, 1 drivers +v0000000000f15b10_0 .net "carryin", 0 0, L_0000000002666de0; alias, 1 drivers +v0000000000f15750_0 .net "i0", 0 0, L_00000000026671a0; alias, 1 drivers +v0000000000f152f0_0 .net "nab", 0 0, L_00000000026d8580; alias, 1 drivers +v0000000000f177d0_0 .net "nac", 0 0, L_00000000026d86d0; 1 drivers +v0000000000f174b0_0 .net "nbc", 0 0, L_00000000026d85f0; 1 drivers +v0000000000f16c90_0 .net "orNOR", 0 0, L_00000000026d8740; alias, 1 drivers +v0000000000f16d30_0 .net "sumXOR", 0 0, L_00000000026d8660; alias, 1 drivers +S_0000000000f1b0f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b570; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3126,43 +3291,46 @@ S_0000000002ac0910 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b5fd50/d .functor NOT 1, L_0000000002b518b0, C4<0>, C4<0>, C4<0>; -L_0000000002b5fd50 .delay 1 (10000,10000,10000) L_0000000002b5fd50/d; -L_0000000002b5f7a0/d .functor NOT 1, L_0000000002b513b0, C4<0>, C4<0>, C4<0>; -L_0000000002b5f7a0 .delay 1 (10000,10000,10000) L_0000000002b5f7a0/d; -L_0000000002b60450/d .functor NAND 1, L_0000000002b513b0, L_0000000002b518b0, L_0000000002b5fce0, C4<1>; -L_0000000002b60450 .delay 1 (30000,30000,30000) L_0000000002b60450/d; -L_0000000002b604c0/d .functor NAND 1, L_0000000002b513b0, L_0000000002b5fd50, L_0000000002b60370, C4<1>; -L_0000000002b604c0 .delay 1 (30000,30000,30000) L_0000000002b604c0/d; -L_0000000002b60df0/d .functor NAND 1, L_0000000002b5f7a0, L_0000000002b518b0, L_0000000002b60530, C4<1>; -L_0000000002b60df0 .delay 1 (30000,30000,30000) L_0000000002b60df0/d; -L_0000000002b60a00/d .functor NAND 1, L_0000000002b5f7a0, L_0000000002b5fd50, L_0000000002b5fc70, C4<1>; -L_0000000002b60a00 .delay 1 (30000,30000,30000) L_0000000002b60a00/d; -L_0000000002b62210/d .functor NAND 1, L_0000000002b60450, L_0000000002b604c0, L_0000000002b60df0, L_0000000002b60a00; -L_0000000002b62210 .delay 1 (40000,40000,40000) L_0000000002b62210/d; -v0000000002aa9ce0_0 .net "a", 0 0, L_0000000002b5fce0; alias, 1 drivers -v0000000002aaa960_0 .net "aout", 0 0, L_0000000002b60450; 1 drivers -v0000000002aab0e0_0 .net "b", 0 0, L_0000000002b60370; alias, 1 drivers -v0000000002aaae60_0 .net "bout", 0 0, L_0000000002b604c0; 1 drivers -v0000000002aab2c0_0 .net "c", 0 0, L_0000000002b60530; alias, 1 drivers -v0000000002aa9d80_0 .net "cout", 0 0, L_0000000002b60df0; 1 drivers -v0000000002aab360_0 .net "d", 0 0, L_0000000002b5fc70; alias, 1 drivers -v0000000002aa9e20_0 .net "dout", 0 0, L_0000000002b60a00; 1 drivers -v0000000002aab400_0 .net "ns0", 0 0, L_0000000002b5fd50; 1 drivers -v0000000002aab4a0_0 .net "ns1", 0 0, L_0000000002b5f7a0; 1 drivers -v0000000002aabea0_0 .net "out", 0 0, L_0000000002b62210; alias, 1 drivers -v0000000002aaaa00_0 .net "s0", 0 0, L_0000000002b518b0; 1 drivers -v0000000002aa9920_0 .net "s1", 0 0, L_0000000002b513b0; 1 drivers -S_0000000002ac0d90 .scope generate, "aluBits[25]" "aluBits[25]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9620 .param/l "i" 0 4 52, +C4<011001>; -L_0000000002b62130/d .functor XOR 1, L_0000000002b51ef0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b62130 .delay 1 (50000,50000,50000) L_0000000002b62130/d; -L_0000000002b60f40/d .functor AND 1, v0000000002a01300_0, L_0000000002b43cb0, C4<1>, C4<1>; -L_0000000002b60f40 .delay 1 (30000,30000,30000) L_0000000002b60f40/d; -v0000000002aad700_0 .net *"_s1", 0 0, L_0000000002b51ef0; 1 drivers -v0000000002aad520_0 .net *"_s3", 0 0, L_0000000002b43cb0; 1 drivers -S_0000000002ac0f10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac0d90; +L_00000000026d8200/d .functor NOT 1, L_0000000002666c00, C4<0>, C4<0>, C4<0>; +L_00000000026d8200 .delay 1 (10000,10000,10000) L_00000000026d8200/d; +L_00000000026d87b0/d .functor NOT 1, L_00000000026658a0, C4<0>, C4<0>, C4<0>; +L_00000000026d87b0 .delay 1 (10000,10000,10000) L_00000000026d87b0/d; +L_00000000026d8510/d .functor NAND 1, L_00000000026658a0, L_0000000002666c00, L_00000000026d8660, C4<1>; +L_00000000026d8510 .delay 1 (30000,30000,30000) L_00000000026d8510/d; +L_00000000026d8430/d .functor NAND 1, L_00000000026658a0, L_00000000026d8200, L_00000000026d8740, C4<1>; +L_00000000026d8430 .delay 1 (30000,30000,30000) L_00000000026d8430/d; +L_00000000026d82e0/d .functor NAND 1, L_00000000026d87b0, L_0000000002666c00, L_00000000026d84a0, C4<1>; +L_00000000026d82e0 .delay 1 (30000,30000,30000) L_00000000026d82e0/d; +L_00000000026d8890/d .functor NAND 1, L_00000000026d87b0, L_00000000026d8200, L_00000000026d8580, C4<1>; +L_00000000026d8890 .delay 1 (30000,30000,30000) L_00000000026d8890/d; +L_00000000026d8190/d .functor NAND 1, L_00000000026d8510, L_00000000026d8430, L_00000000026d82e0, L_00000000026d8890; +L_00000000026d8190 .delay 1 (40000,40000,40000) L_00000000026d8190/d; +v0000000000f15d90_0 .net "a", 0 0, L_00000000026d8660; alias, 1 drivers +v0000000000f163d0_0 .net "aout", 0 0, L_00000000026d8510; 1 drivers +v0000000000f15e30_0 .net "b", 0 0, L_00000000026d8740; alias, 1 drivers +v0000000000f17870_0 .net "bout", 0 0, L_00000000026d8430; 1 drivers +v0000000000f16a10_0 .net "c", 0 0, L_00000000026d84a0; alias, 1 drivers +v0000000000f16510_0 .net "cout", 0 0, L_00000000026d82e0; 1 drivers +v0000000000f15890_0 .net "d", 0 0, L_00000000026d8580; alias, 1 drivers +v0000000000f15390_0 .net "dout", 0 0, L_00000000026d8890; 1 drivers +v0000000000f15610_0 .net "ns0", 0 0, L_00000000026d8200; 1 drivers +v0000000000f16010_0 .net "ns1", 0 0, L_00000000026d87b0; 1 drivers +v0000000000f16470_0 .net "out", 0 0, L_00000000026d8190; alias, 1 drivers +v0000000000f15930_0 .net "s0", 0 0, L_0000000002666c00; 1 drivers +v0000000000f15bb0_0 .net "s1", 0 0, L_00000000026658a0; 1 drivers +S_0000000000f1bb70 .scope generate, "aluBits[25]" "aluBits[25]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3580 .param/l "i" 0 4 49, +C4<011001>; +L_00000000026d83c0/d .functor XOR 1, L_00000000026674c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d83c0 .delay 1 (20000,20000,20000) L_00000000026d83c0/d; +L_00000000026d8350/d .functor AND 1, v0000000000e20d10_0, L_0000000002667600, C4<1>, C4<1>; +L_00000000026d8350 .delay 1 (30000,30000,30000) L_00000000026d8350/d; +L_00000000026dc3d0/d .functor AND 1, L_0000000002667b00, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026dc3d0 .delay 1 (30000,30000,30000) L_00000000026dc3d0/d; +v0000000000f18db0_0 .net *"_s1", 0 0, L_00000000026674c0; 1 drivers +v0000000000f18a90_0 .net *"_s3", 0 0, L_0000000002667600; 1 drivers +v0000000000f17f50_0 .net *"_s9", 0 0, L_0000000002667b00; 1 drivers +S_0000000000f1b270 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bb70; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3171,19 +3339,19 @@ S_0000000002ac0f10 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002aaa500_0 .net "a", 0 0, L_0000000002b44110; 1 drivers -v0000000002aaa460_0 .net "b", 0 0, L_0000000002b42130; 1 drivers -v0000000002aaa8c0_0 .net "carryAND", 0 0, L_0000000002b615d0; 1 drivers -v0000000002aad840_0 .net "cin", 0 0, L_0000000002b43710; 1 drivers -v0000000002aad980_0 .net "ctrl0", 0 0, L_0000000002b43df0; 1 drivers -v0000000002aad340_0 .net "nab", 0 0, L_0000000002b61870; 1 drivers -v0000000002aace40_0 .net "orNOR", 0 0, L_0000000002b61250; 1 drivers -v0000000002aac440_0 .net "res", 0 0, L_0000000002b611e0; 1 drivers -v0000000002aad0c0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aae600_0 .net "sumXOR", 0 0, L_0000000002b621a0; 1 drivers -L_0000000002b42e50 .part v0000000002a01440_0, 1, 1; -L_0000000002b43350 .part v0000000002a01440_0, 0, 1; -S_0000000002ac0610 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0f10; +v0000000000f183b0_0 .net "a", 0 0, L_0000000002667740; 1 drivers +v0000000000f19170_0 .net "b", 0 0, L_00000000026677e0; 1 drivers +v0000000000f17910_0 .net "carryAND", 0 0, L_00000000026dc670; 1 drivers +v0000000000f179b0_0 .net "cin", 0 0, L_0000000002668280; 1 drivers +v0000000000f189f0_0 .net "ctrl0", 0 0, L_00000000026679c0; 1 drivers +v0000000000f18270_0 .net "nab", 0 0, L_00000000026dc750; 1 drivers +v0000000000f19e90_0 .net "orNOR", 0 0, L_00000000026dc1a0; 1 drivers +v0000000000f17e10_0 .net "res", 0 0, L_00000000026dc520; 1 drivers +v0000000000f19fd0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f18090_0 .net "sumXOR", 0 0, L_00000000026dc210; 1 drivers +L_00000000026676a0 .part v0000000000e21990_0, 1, 1; +L_0000000002665940 .part v0000000000e21990_0, 0, 1; +S_0000000000f1c170 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b270; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3193,32 +3361,32 @@ S_0000000002ac0610 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac0f1 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b61870/d .functor NAND 1, L_0000000002b44110, L_0000000002b42130, C4<1>, C4<1>; -L_0000000002b61870 .delay 1 (20000,20000,20000) L_0000000002b61870/d; -L_0000000002b614f0/d .functor NAND 1, L_0000000002b42130, L_0000000002b43710, C4<1>, C4<1>; -L_0000000002b614f0 .delay 1 (20000,20000,20000) L_0000000002b614f0/d; -L_0000000002b608b0/d .functor NAND 1, L_0000000002b44110, L_0000000002b43710, C4<1>, C4<1>; -L_0000000002b608b0 .delay 1 (20000,20000,20000) L_0000000002b608b0/d; -L_0000000002b615d0/d .functor NAND 1, L_0000000002b61870, L_0000000002b608b0, L_0000000002b614f0, C4<1>; -L_0000000002b615d0 .delay 1 (30000,30000,30000) L_0000000002b615d0/d; -L_0000000002b621a0/d .functor XOR 1, L_0000000002b44110, L_0000000002b42130, L_0000000002b43710, C4<0>; -L_0000000002b621a0 .delay 1 (30000,30000,30000) L_0000000002b621a0/d; -L_0000000002b60e60/d .functor NOR 1, L_0000000002b44110, L_0000000002b42130, C4<0>, C4<0>; -L_0000000002b60e60 .delay 1 (20000,20000,20000) L_0000000002b60e60/d; -L_0000000002b61250/d .functor XOR 1, L_0000000002b60e60, L_0000000002b43df0, C4<0>, C4<0>; -L_0000000002b61250 .delay 1 (20000,20000,20000) L_0000000002b61250/d; -v0000000002aa9c40_0 .net "a", 0 0, L_0000000002b44110; alias, 1 drivers -v0000000002aab720_0 .net "anorb", 0 0, L_0000000002b60e60; 1 drivers -v0000000002aaa280_0 .net "b", 0 0, L_0000000002b42130; alias, 1 drivers -v0000000002aa99c0_0 .net "carryAND", 0 0, L_0000000002b615d0; alias, 1 drivers -v0000000002aab7c0_0 .net "carryin", 0 0, L_0000000002b43710; alias, 1 drivers -v0000000002aa9f60_0 .net "i0", 0 0, L_0000000002b43df0; alias, 1 drivers -v0000000002aab860_0 .net "nab", 0 0, L_0000000002b61870; alias, 1 drivers -v0000000002aa9a60_0 .net "nac", 0 0, L_0000000002b608b0; 1 drivers -v0000000002aaa820_0 .net "nbc", 0 0, L_0000000002b614f0; 1 drivers -v0000000002aaa6e0_0 .net "orNOR", 0 0, L_0000000002b61250; alias, 1 drivers -v0000000002aab900_0 .net "sumXOR", 0 0, L_0000000002b621a0; alias, 1 drivers -S_0000000002abf290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac0f10; +L_00000000026dc750/d .functor NAND 1, L_0000000002667740, L_00000000026677e0, C4<1>, C4<1>; +L_00000000026dc750 .delay 1 (20000,20000,20000) L_00000000026dc750/d; +L_00000000026dc7c0/d .functor NAND 1, L_00000000026677e0, L_0000000002668280, C4<1>, C4<1>; +L_00000000026dc7c0 .delay 1 (20000,20000,20000) L_00000000026dc7c0/d; +L_00000000026dc830/d .functor NAND 1, L_0000000002667740, L_0000000002668280, C4<1>, C4<1>; +L_00000000026dc830 .delay 1 (20000,20000,20000) L_00000000026dc830/d; +L_00000000026dc670/d .functor NAND 1, L_00000000026dc750, L_00000000026dc830, L_00000000026dc7c0, C4<1>; +L_00000000026dc670 .delay 1 (30000,30000,30000) L_00000000026dc670/d; +L_00000000026dc210/d .functor XOR 1, L_0000000002667740, L_00000000026677e0, L_0000000002668280, C4<0>; +L_00000000026dc210 .delay 1 (30000,30000,30000) L_00000000026dc210/d; +L_00000000026dc590/d .functor NOR 1, L_0000000002667740, L_00000000026677e0, C4<0>, C4<0>; +L_00000000026dc590 .delay 1 (20000,20000,20000) L_00000000026dc590/d; +L_00000000026dc1a0/d .functor XOR 1, L_00000000026dc590, L_00000000026679c0, C4<0>, C4<0>; +L_00000000026dc1a0 .delay 1 (20000,20000,20000) L_00000000026dc1a0/d; +v0000000000f19ad0_0 .net "a", 0 0, L_0000000002667740; alias, 1 drivers +v0000000000f17b90_0 .net "anorb", 0 0, L_00000000026dc590; 1 drivers +v0000000000f19d50_0 .net "b", 0 0, L_00000000026677e0; alias, 1 drivers +v0000000000f17c30_0 .net "carryAND", 0 0, L_00000000026dc670; alias, 1 drivers +v0000000000f190d0_0 .net "carryin", 0 0, L_0000000002668280; alias, 1 drivers +v0000000000f18770_0 .net "i0", 0 0, L_00000000026679c0; alias, 1 drivers +v0000000000f198f0_0 .net "nab", 0 0, L_00000000026dc750; alias, 1 drivers +v0000000000f195d0_0 .net "nac", 0 0, L_00000000026dc830; 1 drivers +v0000000000f19990_0 .net "nbc", 0 0, L_00000000026dc7c0; 1 drivers +v0000000000f18e50_0 .net "orNOR", 0 0, L_00000000026dc1a0; alias, 1 drivers +v0000000000f19df0_0 .net "sumXOR", 0 0, L_00000000026dc210; alias, 1 drivers +S_0000000000f1c470 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b270; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3227,43 +3395,46 @@ S_0000000002abf290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b620c0/d .functor NOT 1, L_0000000002b43350, C4<0>, C4<0>, C4<0>; -L_0000000002b620c0 .delay 1 (10000,10000,10000) L_0000000002b620c0/d; -L_0000000002b61aa0/d .functor NOT 1, L_0000000002b42e50, C4<0>, C4<0>, C4<0>; -L_0000000002b61aa0 .delay 1 (10000,10000,10000) L_0000000002b61aa0/d; -L_0000000002b60ae0/d .functor NAND 1, L_0000000002b42e50, L_0000000002b43350, L_0000000002b621a0, C4<1>; -L_0000000002b60ae0 .delay 1 (30000,30000,30000) L_0000000002b60ae0/d; -L_0000000002b60840/d .functor NAND 1, L_0000000002b42e50, L_0000000002b620c0, L_0000000002b61250, C4<1>; -L_0000000002b60840 .delay 1 (30000,30000,30000) L_0000000002b60840/d; -L_0000000002b61b10/d .functor NAND 1, L_0000000002b61aa0, L_0000000002b43350, L_0000000002b615d0, C4<1>; -L_0000000002b61b10 .delay 1 (30000,30000,30000) L_0000000002b61b10/d; -L_0000000002b61b80/d .functor NAND 1, L_0000000002b61aa0, L_0000000002b620c0, L_0000000002b61870, C4<1>; -L_0000000002b61b80 .delay 1 (30000,30000,30000) L_0000000002b61b80/d; -L_0000000002b611e0/d .functor NAND 1, L_0000000002b60ae0, L_0000000002b60840, L_0000000002b61b10, L_0000000002b61b80; -L_0000000002b611e0 .delay 1 (40000,40000,40000) L_0000000002b611e0/d; -v0000000002aaa5a0_0 .net "a", 0 0, L_0000000002b621a0; alias, 1 drivers -v0000000002aaa000_0 .net "aout", 0 0, L_0000000002b60ae0; 1 drivers -v0000000002aab9a0_0 .net "b", 0 0, L_0000000002b61250; alias, 1 drivers -v0000000002aaba40_0 .net "bout", 0 0, L_0000000002b60840; 1 drivers -v0000000002aabb80_0 .net "c", 0 0, L_0000000002b615d0; alias, 1 drivers -v0000000002aabe00_0 .net "cout", 0 0, L_0000000002b61b10; 1 drivers -v0000000002aabc20_0 .net "d", 0 0, L_0000000002b61870; alias, 1 drivers -v0000000002aaa1e0_0 .net "dout", 0 0, L_0000000002b61b80; 1 drivers -v0000000002aaa640_0 .net "ns0", 0 0, L_0000000002b620c0; 1 drivers -v0000000002aabfe0_0 .net "ns1", 0 0, L_0000000002b61aa0; 1 drivers -v0000000002aaa320_0 .net "out", 0 0, L_0000000002b611e0; alias, 1 drivers -v0000000002aaa3c0_0 .net "s0", 0 0, L_0000000002b43350; 1 drivers -v0000000002aaa780_0 .net "s1", 0 0, L_0000000002b42e50; 1 drivers -S_0000000002ac2aa0 .scope generate, "aluBits[26]" "aluBits[26]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9260 .param/l "i" 0 4 52, +C4<011010>; -L_0000000002b61cd0/d .functor XOR 1, L_0000000002b421d0, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b61cd0 .delay 1 (50000,50000,50000) L_0000000002b61cd0/d; -L_0000000002b62280/d .functor AND 1, v0000000002a01300_0, L_0000000002b42310, C4<1>, C4<1>; -L_0000000002b62280 .delay 1 (30000,30000,30000) L_0000000002b62280/d; -v0000000002aae420_0 .net *"_s1", 0 0, L_0000000002b421d0; 1 drivers -v0000000002aae7e0_0 .net *"_s3", 0 0, L_0000000002b42310; 1 drivers -S_0000000002ac1ea0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2aa0; +L_00000000026dc280/d .functor NOT 1, L_0000000002665940, C4<0>, C4<0>, C4<0>; +L_00000000026dc280 .delay 1 (10000,10000,10000) L_00000000026dc280/d; +L_00000000026dc6e0/d .functor NOT 1, L_00000000026676a0, C4<0>, C4<0>, C4<0>; +L_00000000026dc6e0 .delay 1 (10000,10000,10000) L_00000000026dc6e0/d; +L_00000000026dc360/d .functor NAND 1, L_00000000026676a0, L_0000000002665940, L_00000000026dc210, C4<1>; +L_00000000026dc360 .delay 1 (30000,30000,30000) L_00000000026dc360/d; +L_00000000026dc8a0/d .functor NAND 1, L_00000000026676a0, L_00000000026dc280, L_00000000026dc1a0, C4<1>; +L_00000000026dc8a0 .delay 1 (30000,30000,30000) L_00000000026dc8a0/d; +L_00000000026dc600/d .functor NAND 1, L_00000000026dc6e0, L_0000000002665940, L_00000000026dc670, C4<1>; +L_00000000026dc600 .delay 1 (30000,30000,30000) L_00000000026dc600/d; +L_00000000026dc2f0/d .functor NAND 1, L_00000000026dc6e0, L_00000000026dc280, L_00000000026dc750, C4<1>; +L_00000000026dc2f0 .delay 1 (30000,30000,30000) L_00000000026dc2f0/d; +L_00000000026dc520/d .functor NAND 1, L_00000000026dc360, L_00000000026dc8a0, L_00000000026dc600, L_00000000026dc2f0; +L_00000000026dc520 .delay 1 (40000,40000,40000) L_00000000026dc520/d; +v0000000000f17cd0_0 .net "a", 0 0, L_00000000026dc210; alias, 1 drivers +v0000000000f18130_0 .net "aout", 0 0, L_00000000026dc360; 1 drivers +v0000000000f1a070_0 .net "b", 0 0, L_00000000026dc1a0; alias, 1 drivers +v0000000000f19a30_0 .net "bout", 0 0, L_00000000026dc8a0; 1 drivers +v0000000000f18ef0_0 .net "c", 0 0, L_00000000026dc670; alias, 1 drivers +v0000000000f184f0_0 .net "cout", 0 0, L_00000000026dc600; 1 drivers +v0000000000f19b70_0 .net "d", 0 0, L_00000000026dc750; alias, 1 drivers +v0000000000f19030_0 .net "dout", 0 0, L_00000000026dc2f0; 1 drivers +v0000000000f18950_0 .net "ns0", 0 0, L_00000000026dc280; 1 drivers +v0000000000f17d70_0 .net "ns1", 0 0, L_00000000026dc6e0; 1 drivers +v0000000000f19f30_0 .net "out", 0 0, L_00000000026dc520; alias, 1 drivers +v0000000000f19cb0_0 .net "s0", 0 0, L_0000000002665940; 1 drivers +v0000000000f188b0_0 .net "s1", 0 0, L_00000000026676a0; 1 drivers +S_0000000000f26610 .scope generate, "aluBits[26]" "aluBits[26]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de2e00 .param/l "i" 0 4 49, +C4<011010>; +L_00000000026dc440/d .functor XOR 1, L_0000000002668320, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026dc440 .delay 1 (20000,20000,20000) L_00000000026dc440/d; +L_00000000026dc4b0/d .functor AND 1, v0000000000e20d10_0, L_00000000026683c0, C4<1>, C4<1>; +L_00000000026dc4b0 .delay 1 (30000,30000,30000) L_00000000026dc4b0/d; +L_00000000026d8bd0/d .functor AND 1, L_0000000002667a60, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d8bd0 .delay 1 (30000,30000,30000) L_00000000026d8bd0/d; +v0000000000f361f0_0 .net *"_s1", 0 0, L_0000000002668320; 1 drivers +v0000000000f36dd0_0 .net *"_s3", 0 0, L_00000000026683c0; 1 drivers +v0000000000f366f0_0 .net *"_s9", 0 0, L_0000000002667a60; 1 drivers +S_0000000000f26790 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26610; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3272,19 +3443,19 @@ S_0000000002ac1ea0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002aac580_0 .net "a", 0 0, L_0000000002b42270; 1 drivers -v0000000002aae2e0_0 .net "b", 0 0, L_0000000002b43d50; 1 drivers -v0000000002aad480_0 .net "carryAND", 0 0, L_0000000002b60d10; 1 drivers -v0000000002aac4e0_0 .net "cin", 0 0, L_0000000002b437b0; 1 drivers -v0000000002aacb20_0 .net "ctrl0", 0 0, L_0000000002b42f90; 1 drivers -v0000000002aacda0_0 .net "nab", 0 0, L_0000000002b61f70; 1 drivers -v0000000002aae380_0 .net "orNOR", 0 0, L_0000000002b622f0; 1 drivers -v0000000002aacc60_0 .net "res", 0 0, L_0000000002b60bc0; 1 drivers -v0000000002aae6a0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aac760_0 .net "sumXOR", 0 0, L_0000000002b612c0; 1 drivers -L_0000000002b42ef0 .part v0000000002a01440_0, 1, 1; -L_0000000002b42770 .part v0000000002a01440_0, 0, 1; -S_0000000002ac1420 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac1ea0; +v0000000000f19530_0 .net "a", 0 0, L_0000000002668500; 1 drivers +v0000000000f36bf0_0 .net "b", 0 0, L_0000000002668140; 1 drivers +v0000000000f368d0_0 .net "carryAND", 0 0, L_00000000026d9c00; 1 drivers +v0000000000f365b0_0 .net "cin", 0 0, L_00000000026681e0; 1 drivers +v0000000000f36650_0 .net "ctrl0", 0 0, L_00000000026686e0; 1 drivers +v0000000000f36d30_0 .net "nab", 0 0, L_00000000026d9e30; 1 drivers +v0000000000f36790_0 .net "orNOR", 0 0, L_00000000026d93b0; 1 drivers +v0000000000f36a10_0 .net "res", 0 0, L_00000000026d96c0; 1 drivers +v0000000000f36150_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f36ab0_0 .net "sumXOR", 0 0, L_00000000026d9f10; 1 drivers +L_0000000002667920 .part v0000000000e21990_0, 1, 1; +L_0000000002668460 .part v0000000000e21990_0, 0, 1; +S_0000000000f26910 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26790; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3294,32 +3465,32 @@ S_0000000002ac1420 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac1ea .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b61f70/d .functor NAND 1, L_0000000002b42270, L_0000000002b43d50, C4<1>, C4<1>; -L_0000000002b61f70 .delay 1 (20000,20000,20000) L_0000000002b61f70/d; -L_0000000002b619c0/d .functor NAND 1, L_0000000002b43d50, L_0000000002b437b0, C4<1>, C4<1>; -L_0000000002b619c0 .delay 1 (20000,20000,20000) L_0000000002b619c0/d; -L_0000000002b61800/d .functor NAND 1, L_0000000002b42270, L_0000000002b437b0, C4<1>, C4<1>; -L_0000000002b61800 .delay 1 (20000,20000,20000) L_0000000002b61800/d; -L_0000000002b60d10/d .functor NAND 1, L_0000000002b61f70, L_0000000002b61800, L_0000000002b619c0, C4<1>; -L_0000000002b60d10 .delay 1 (30000,30000,30000) L_0000000002b60d10/d; -L_0000000002b612c0/d .functor XOR 1, L_0000000002b42270, L_0000000002b43d50, L_0000000002b437b0, C4<0>; -L_0000000002b612c0 .delay 1 (30000,30000,30000) L_0000000002b612c0/d; -L_0000000002b60c30/d .functor NOR 1, L_0000000002b42270, L_0000000002b43d50, C4<0>, C4<0>; -L_0000000002b60c30 .delay 1 (20000,20000,20000) L_0000000002b60c30/d; -L_0000000002b622f0/d .functor XOR 1, L_0000000002b60c30, L_0000000002b42f90, C4<0>, C4<0>; -L_0000000002b622f0 .delay 1 (20000,20000,20000) L_0000000002b622f0/d; -v0000000002aad200_0 .net "a", 0 0, L_0000000002b42270; alias, 1 drivers -v0000000002aad3e0_0 .net "anorb", 0 0, L_0000000002b60c30; 1 drivers -v0000000002aac3a0_0 .net "b", 0 0, L_0000000002b43d50; alias, 1 drivers -v0000000002aadb60_0 .net "carryAND", 0 0, L_0000000002b60d10; alias, 1 drivers -v0000000002aae240_0 .net "carryin", 0 0, L_0000000002b437b0; alias, 1 drivers -v0000000002aad7a0_0 .net "i0", 0 0, L_0000000002b42f90; alias, 1 drivers -v0000000002aae740_0 .net "nab", 0 0, L_0000000002b61f70; alias, 1 drivers -v0000000002aac1c0_0 .net "nac", 0 0, L_0000000002b61800; 1 drivers -v0000000002aad160_0 .net "nbc", 0 0, L_0000000002b619c0; 1 drivers -v0000000002aad8e0_0 .net "orNOR", 0 0, L_0000000002b622f0; alias, 1 drivers -v0000000002aacf80_0 .net "sumXOR", 0 0, L_0000000002b612c0; alias, 1 drivers -S_0000000002ac24a0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac1ea0; +L_00000000026d9e30/d .functor NAND 1, L_0000000002668500, L_0000000002668140, C4<1>, C4<1>; +L_00000000026d9e30 .delay 1 (20000,20000,20000) L_00000000026d9e30/d; +L_00000000026d9570/d .functor NAND 1, L_0000000002668140, L_00000000026681e0, C4<1>, C4<1>; +L_00000000026d9570 .delay 1 (20000,20000,20000) L_00000000026d9570/d; +L_00000000026d8f50/d .functor NAND 1, L_0000000002668500, L_00000000026681e0, C4<1>, C4<1>; +L_00000000026d8f50 .delay 1 (20000,20000,20000) L_00000000026d8f50/d; +L_00000000026d9c00/d .functor NAND 1, L_00000000026d9e30, L_00000000026d8f50, L_00000000026d9570, C4<1>; +L_00000000026d9c00 .delay 1 (30000,30000,30000) L_00000000026d9c00/d; +L_00000000026d9f10/d .functor XOR 1, L_0000000002668500, L_0000000002668140, L_00000000026681e0, C4<0>; +L_00000000026d9f10 .delay 1 (30000,30000,30000) L_00000000026d9f10/d; +L_00000000026d8e70/d .functor NOR 1, L_0000000002668500, L_0000000002668140, C4<0>, C4<0>; +L_00000000026d8e70 .delay 1 (20000,20000,20000) L_00000000026d8e70/d; +L_00000000026d93b0/d .functor XOR 1, L_00000000026d8e70, L_00000000026686e0, C4<0>, C4<0>; +L_00000000026d93b0 .delay 1 (20000,20000,20000) L_00000000026d93b0/d; +v0000000000f181d0_0 .net "a", 0 0, L_0000000002668500; alias, 1 drivers +v0000000000f17a50_0 .net "anorb", 0 0, L_00000000026d8e70; 1 drivers +v0000000000f17af0_0 .net "b", 0 0, L_0000000002668140; alias, 1 drivers +v0000000000f18450_0 .net "carryAND", 0 0, L_00000000026d9c00; alias, 1 drivers +v0000000000f17ff0_0 .net "carryin", 0 0, L_00000000026681e0; alias, 1 drivers +v0000000000f18310_0 .net "i0", 0 0, L_00000000026686e0; alias, 1 drivers +v0000000000f197b0_0 .net "nab", 0 0, L_00000000026d9e30; alias, 1 drivers +v0000000000f18590_0 .net "nac", 0 0, L_00000000026d8f50; 1 drivers +v0000000000f19210_0 .net "nbc", 0 0, L_00000000026d9570; 1 drivers +v0000000000f18d10_0 .net "orNOR", 0 0, L_00000000026d93b0; alias, 1 drivers +v0000000000f18630_0 .net "sumXOR", 0 0, L_00000000026d9f10; alias, 1 drivers +S_0000000000f26a90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26790; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3328,43 +3499,46 @@ S_0000000002ac24a0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b61950/d .functor NOT 1, L_0000000002b42770, C4<0>, C4<0>, C4<0>; -L_0000000002b61950 .delay 1 (10000,10000,10000) L_0000000002b61950/d; -L_0000000002b60b50/d .functor NOT 1, L_0000000002b42ef0, C4<0>, C4<0>, C4<0>; -L_0000000002b60b50 .delay 1 (10000,10000,10000) L_0000000002b60b50/d; -L_0000000002b61a30/d .functor NAND 1, L_0000000002b42ef0, L_0000000002b42770, L_0000000002b612c0, C4<1>; -L_0000000002b61a30 .delay 1 (30000,30000,30000) L_0000000002b61a30/d; -L_0000000002b618e0/d .functor NAND 1, L_0000000002b42ef0, L_0000000002b61950, L_0000000002b622f0, C4<1>; -L_0000000002b618e0 .delay 1 (30000,30000,30000) L_0000000002b618e0/d; -L_0000000002b60fb0/d .functor NAND 1, L_0000000002b60b50, L_0000000002b42770, L_0000000002b60d10, C4<1>; -L_0000000002b60fb0 .delay 1 (30000,30000,30000) L_0000000002b60fb0/d; -L_0000000002b62360/d .functor NAND 1, L_0000000002b60b50, L_0000000002b61950, L_0000000002b61f70, C4<1>; -L_0000000002b62360 .delay 1 (30000,30000,30000) L_0000000002b62360/d; -L_0000000002b60bc0/d .functor NAND 1, L_0000000002b61a30, L_0000000002b618e0, L_0000000002b60fb0, L_0000000002b62360; -L_0000000002b60bc0 .delay 1 (40000,40000,40000) L_0000000002b60bc0/d; -v0000000002aada20_0 .net "a", 0 0, L_0000000002b612c0; alias, 1 drivers -v0000000002aad2a0_0 .net "aout", 0 0, L_0000000002b61a30; 1 drivers -v0000000002aac260_0 .net "b", 0 0, L_0000000002b622f0; alias, 1 drivers -v0000000002aacd00_0 .net "bout", 0 0, L_0000000002b618e0; 1 drivers -v0000000002aacee0_0 .net "c", 0 0, L_0000000002b60d10; alias, 1 drivers -v0000000002aae560_0 .net "cout", 0 0, L_0000000002b60fb0; 1 drivers -v0000000002aac620_0 .net "d", 0 0, L_0000000002b61f70; alias, 1 drivers -v0000000002aad5c0_0 .net "dout", 0 0, L_0000000002b62360; 1 drivers -v0000000002aac300_0 .net "ns0", 0 0, L_0000000002b61950; 1 drivers -v0000000002aad020_0 .net "ns1", 0 0, L_0000000002b60b50; 1 drivers -v0000000002aac6c0_0 .net "out", 0 0, L_0000000002b60bc0; alias, 1 drivers -v0000000002aae100_0 .net "s0", 0 0, L_0000000002b42770; 1 drivers -v0000000002aac940_0 .net "s1", 0 0, L_0000000002b42ef0; 1 drivers -S_0000000002ac18a0 .scope generate, "aluBits[27]" "aluBits[27]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f91e0 .param/l "i" 0 4 52, +C4<011011>; -L_0000000002b623d0/d .functor XOR 1, L_0000000002b43530, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b623d0 .delay 1 (50000,50000,50000) L_0000000002b623d0/d; -L_0000000002b60ca0/d .functor AND 1, v0000000002a01300_0, L_0000000002b43490, C4<1>, C4<1>; -L_0000000002b60ca0 .delay 1 (30000,30000,30000) L_0000000002b60ca0/d; -v0000000002ab1080_0 .net *"_s1", 0 0, L_0000000002b43530; 1 drivers -v0000000002aafa00_0 .net *"_s3", 0 0, L_0000000002b43490; 1 drivers -S_0000000002ac12a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac18a0; +L_00000000026da300/d .functor NOT 1, L_0000000002668460, C4<0>, C4<0>, C4<0>; +L_00000000026da300 .delay 1 (10000,10000,10000) L_00000000026da300/d; +L_00000000026da1b0/d .functor NOT 1, L_0000000002667920, C4<0>, C4<0>, C4<0>; +L_00000000026da1b0 .delay 1 (10000,10000,10000) L_00000000026da1b0/d; +L_00000000026d9ff0/d .functor NAND 1, L_0000000002667920, L_0000000002668460, L_00000000026d9f10, C4<1>; +L_00000000026d9ff0 .delay 1 (30000,30000,30000) L_00000000026d9ff0/d; +L_00000000026d9110/d .functor NAND 1, L_0000000002667920, L_00000000026da300, L_00000000026d93b0, C4<1>; +L_00000000026d9110 .delay 1 (30000,30000,30000) L_00000000026d9110/d; +L_00000000026d9420/d .functor NAND 1, L_00000000026da1b0, L_0000000002668460, L_00000000026d9c00, C4<1>; +L_00000000026d9420 .delay 1 (30000,30000,30000) L_00000000026d9420/d; +L_00000000026d9ce0/d .functor NAND 1, L_00000000026da1b0, L_00000000026da300, L_00000000026d9e30, C4<1>; +L_00000000026d9ce0 .delay 1 (30000,30000,30000) L_00000000026d9ce0/d; +L_00000000026d96c0/d .functor NAND 1, L_00000000026d9ff0, L_00000000026d9110, L_00000000026d9420, L_00000000026d9ce0; +L_00000000026d96c0 .delay 1 (40000,40000,40000) L_00000000026d96c0/d; +v0000000000f18f90_0 .net "a", 0 0, L_00000000026d9f10; alias, 1 drivers +v0000000000f186d0_0 .net "aout", 0 0, L_00000000026d9ff0; 1 drivers +v0000000000f19710_0 .net "b", 0 0, L_00000000026d93b0; alias, 1 drivers +v0000000000f18810_0 .net "bout", 0 0, L_00000000026d9110; 1 drivers +v0000000000f19670_0 .net "c", 0 0, L_00000000026d9c00; alias, 1 drivers +v0000000000f18b30_0 .net "cout", 0 0, L_00000000026d9420; 1 drivers +v0000000000f18bd0_0 .net "d", 0 0, L_00000000026d9e30; alias, 1 drivers +v0000000000f192b0_0 .net "dout", 0 0, L_00000000026d9ce0; 1 drivers +v0000000000f18c70_0 .net "ns0", 0 0, L_00000000026da300; 1 drivers +v0000000000f19350_0 .net "ns1", 0 0, L_00000000026da1b0; 1 drivers +v0000000000f193f0_0 .net "out", 0 0, L_00000000026d96c0; alias, 1 drivers +v0000000000f19850_0 .net "s0", 0 0, L_0000000002668460; 1 drivers +v0000000000f19490_0 .net "s1", 0 0, L_0000000002667920; 1 drivers +S_0000000000f26c10 .scope generate, "aluBits[27]" "aluBits[27]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3640 .param/l "i" 0 4 49, +C4<011011>; +L_00000000026d9030/d .functor XOR 1, L_00000000026685a0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d9030 .delay 1 (20000,20000,20000) L_00000000026d9030/d; +L_00000000026d90a0/d .functor AND 1, v0000000000e20d10_0, L_0000000002667ce0, C4<1>, C4<1>; +L_00000000026d90a0 .delay 1 (30000,30000,30000) L_00000000026d90a0/d; +L_00000000026d8af0/d .functor AND 1, L_0000000002667e20, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d8af0 .delay 1 (30000,30000,30000) L_00000000026d8af0/d; +v0000000000f28050_0 .net *"_s1", 0 0, L_00000000026685a0; 1 drivers +v0000000000f29270_0 .net *"_s3", 0 0, L_0000000002667ce0; 1 drivers +v0000000000f27bf0_0 .net *"_s9", 0 0, L_0000000002667e20; 1 drivers +S_0000000000f25110 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26c10; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3373,19 +3547,19 @@ S_0000000002ac12a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab0b80_0 .net "a", 0 0, L_0000000002b43850; 1 drivers -v0000000002ab0900_0 .net "b", 0 0, L_0000000002b42450; 1 drivers -v0000000002aafd20_0 .net "carryAND", 0 0, L_0000000002b60d80; 1 drivers -v0000000002aaff00_0 .net "cin", 0 0, L_0000000002b433f0; 1 drivers -v0000000002ab02c0_0 .net "ctrl0", 0 0, L_0000000002b42810; 1 drivers -v0000000002aaf640_0 .net "nab", 0 0, L_0000000002b61fe0; 1 drivers -v0000000002aae920_0 .net "orNOR", 0 0, L_0000000002b61bf0; 1 drivers -v0000000002aaf500_0 .net "res", 0 0, L_0000000002b61560; 1 drivers -v0000000002ab0a40_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aaf8c0_0 .net "sumXOR", 0 0, L_0000000002b60ed0; 1 drivers -L_0000000002b423b0 .part v0000000002a01440_0, 1, 1; -L_0000000002b44750 .part v0000000002a01440_0, 0, 1; -S_0000000002ac15a0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac12a0; +v0000000000f27290_0 .net "a", 0 0, L_0000000002668780; 1 drivers +v0000000000f282d0_0 .net "b", 0 0, L_0000000002667ec0; 1 drivers +v0000000000f28730_0 .net "carryAND", 0 0, L_00000000026d9180; 1 drivers +v0000000000f28370_0 .net "cin", 0 0, L_0000000002667c40; 1 drivers +v0000000000f284b0_0 .net "ctrl0", 0 0, L_0000000002667d80; 1 drivers +v0000000000f275b0_0 .net "nab", 0 0, L_00000000026d9650; 1 drivers +v0000000000f28690_0 .net "orNOR", 0 0, L_00000000026d8a10; 1 drivers +v0000000000f29130_0 .net "res", 0 0, L_00000000026da0d0; 1 drivers +v0000000000f28f50_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f291d0_0 .net "sumXOR", 0 0, L_00000000026d9880; 1 drivers +L_0000000002667ba0 .part v0000000000e21990_0, 1, 1; +L_0000000002668640 .part v0000000000e21990_0, 0, 1; +S_0000000000f25590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f25110; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3395,32 +3569,32 @@ S_0000000002ac15a0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac12a .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b61fe0/d .functor NAND 1, L_0000000002b43850, L_0000000002b42450, C4<1>, C4<1>; -L_0000000002b61fe0 .delay 1 (20000,20000,20000) L_0000000002b61fe0/d; -L_0000000002b61330/d .functor NAND 1, L_0000000002b42450, L_0000000002b433f0, C4<1>, C4<1>; -L_0000000002b61330 .delay 1 (20000,20000,20000) L_0000000002b61330/d; -L_0000000002b60920/d .functor NAND 1, L_0000000002b43850, L_0000000002b433f0, C4<1>, C4<1>; -L_0000000002b60920 .delay 1 (20000,20000,20000) L_0000000002b60920/d; -L_0000000002b60d80/d .functor NAND 1, L_0000000002b61fe0, L_0000000002b60920, L_0000000002b61330, C4<1>; -L_0000000002b60d80 .delay 1 (30000,30000,30000) L_0000000002b60d80/d; -L_0000000002b60ed0/d .functor XOR 1, L_0000000002b43850, L_0000000002b42450, L_0000000002b433f0, C4<0>; -L_0000000002b60ed0 .delay 1 (30000,30000,30000) L_0000000002b60ed0/d; -L_0000000002b61db0/d .functor NOR 1, L_0000000002b43850, L_0000000002b42450, C4<0>, C4<0>; -L_0000000002b61db0 .delay 1 (20000,20000,20000) L_0000000002b61db0/d; -L_0000000002b61bf0/d .functor XOR 1, L_0000000002b61db0, L_0000000002b42810, C4<0>, C4<0>; -L_0000000002b61bf0 .delay 1 (20000,20000,20000) L_0000000002b61bf0/d; -v0000000002aadd40_0 .net "a", 0 0, L_0000000002b43850; alias, 1 drivers -v0000000002aad660_0 .net "anorb", 0 0, L_0000000002b61db0; 1 drivers -v0000000002aae4c0_0 .net "b", 0 0, L_0000000002b42450; alias, 1 drivers -v0000000002aae880_0 .net "carryAND", 0 0, L_0000000002b60d80; alias, 1 drivers -v0000000002aadac0_0 .net "carryin", 0 0, L_0000000002b433f0; alias, 1 drivers -v0000000002aac800_0 .net "i0", 0 0, L_0000000002b42810; alias, 1 drivers -v0000000002aadc00_0 .net "nab", 0 0, L_0000000002b61fe0; alias, 1 drivers -v0000000002aac8a0_0 .net "nac", 0 0, L_0000000002b60920; 1 drivers -v0000000002aac9e0_0 .net "nbc", 0 0, L_0000000002b61330; 1 drivers -v0000000002aadca0_0 .net "orNOR", 0 0, L_0000000002b61bf0; alias, 1 drivers -v0000000002aacbc0_0 .net "sumXOR", 0 0, L_0000000002b60ed0; alias, 1 drivers -S_0000000002ac2320 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac12a0; +L_00000000026d9650/d .functor NAND 1, L_0000000002668780, L_0000000002667ec0, C4<1>, C4<1>; +L_00000000026d9650 .delay 1 (20000,20000,20000) L_00000000026d9650/d; +L_00000000026d9500/d .functor NAND 1, L_0000000002667ec0, L_0000000002667c40, C4<1>, C4<1>; +L_00000000026d9500 .delay 1 (20000,20000,20000) L_00000000026d9500/d; +L_00000000026da530/d .functor NAND 1, L_0000000002668780, L_0000000002667c40, C4<1>, C4<1>; +L_00000000026da530 .delay 1 (20000,20000,20000) L_00000000026da530/d; +L_00000000026d9180/d .functor NAND 1, L_00000000026d9650, L_00000000026da530, L_00000000026d9500, C4<1>; +L_00000000026d9180 .delay 1 (30000,30000,30000) L_00000000026d9180/d; +L_00000000026d9880/d .functor XOR 1, L_0000000002668780, L_0000000002667ec0, L_0000000002667c40, C4<0>; +L_00000000026d9880 .delay 1 (30000,30000,30000) L_00000000026d9880/d; +L_00000000026da220/d .functor NOR 1, L_0000000002668780, L_0000000002667ec0, C4<0>, C4<0>; +L_00000000026da220 .delay 1 (20000,20000,20000) L_00000000026da220/d; +L_00000000026d8a10/d .functor XOR 1, L_00000000026da220, L_0000000002667d80, C4<0>, C4<0>; +L_00000000026d8a10 .delay 1 (20000,20000,20000) L_00000000026d8a10/d; +v0000000000f36830_0 .net "a", 0 0, L_0000000002668780; alias, 1 drivers +v0000000000f36970_0 .net "anorb", 0 0, L_00000000026da220; 1 drivers +v0000000000f363d0_0 .net "b", 0 0, L_0000000002667ec0; alias, 1 drivers +v0000000000f36c90_0 .net "carryAND", 0 0, L_00000000026d9180; alias, 1 drivers +v0000000000f36290_0 .net "carryin", 0 0, L_0000000002667c40; alias, 1 drivers +v0000000000f36330_0 .net "i0", 0 0, L_0000000002667d80; alias, 1 drivers +v0000000000f36470_0 .net "nab", 0 0, L_00000000026d9650; alias, 1 drivers +v0000000000f36e70_0 .net "nac", 0 0, L_00000000026da530; 1 drivers +v0000000000f36b50_0 .net "nbc", 0 0, L_00000000026d9500; 1 drivers +v0000000000f36510_0 .net "orNOR", 0 0, L_00000000026d8a10; alias, 1 drivers +v0000000000f36f10_0 .net "sumXOR", 0 0, L_00000000026d9880; alias, 1 drivers +S_0000000000f25e90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f25110; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3429,43 +3603,46 @@ S_0000000002ac2320 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b61790/d .functor NOT 1, L_0000000002b44750, C4<0>, C4<0>, C4<0>; -L_0000000002b61790 .delay 1 (10000,10000,10000) L_0000000002b61790/d; -L_0000000002b60990/d .functor NOT 1, L_0000000002b423b0, C4<0>, C4<0>, C4<0>; -L_0000000002b60990 .delay 1 (10000,10000,10000) L_0000000002b60990/d; -L_0000000002b61020/d .functor NAND 1, L_0000000002b423b0, L_0000000002b44750, L_0000000002b60ed0, C4<1>; -L_0000000002b61020 .delay 1 (30000,30000,30000) L_0000000002b61020/d; -L_0000000002b61d40/d .functor NAND 1, L_0000000002b423b0, L_0000000002b61790, L_0000000002b61bf0, C4<1>; -L_0000000002b61d40 .delay 1 (30000,30000,30000) L_0000000002b61d40/d; -L_0000000002b60a70/d .functor NAND 1, L_0000000002b60990, L_0000000002b44750, L_0000000002b60d80, C4<1>; -L_0000000002b60a70 .delay 1 (30000,30000,30000) L_0000000002b60a70/d; -L_0000000002b61480/d .functor NAND 1, L_0000000002b60990, L_0000000002b61790, L_0000000002b61fe0, C4<1>; -L_0000000002b61480 .delay 1 (30000,30000,30000) L_0000000002b61480/d; -L_0000000002b61560/d .functor NAND 1, L_0000000002b61020, L_0000000002b61d40, L_0000000002b60a70, L_0000000002b61480; -L_0000000002b61560 .delay 1 (40000,40000,40000) L_0000000002b61560/d; -v0000000002aac120_0 .net "a", 0 0, L_0000000002b60ed0; alias, 1 drivers -v0000000002aadf20_0 .net "aout", 0 0, L_0000000002b61020; 1 drivers -v0000000002aaca80_0 .net "b", 0 0, L_0000000002b61bf0; alias, 1 drivers -v0000000002aadde0_0 .net "bout", 0 0, L_0000000002b61d40; 1 drivers -v0000000002aade80_0 .net "c", 0 0, L_0000000002b60d80; alias, 1 drivers -v0000000002aadfc0_0 .net "cout", 0 0, L_0000000002b60a70; 1 drivers -v0000000002aae060_0 .net "d", 0 0, L_0000000002b61fe0; alias, 1 drivers -v0000000002aae1a0_0 .net "dout", 0 0, L_0000000002b61480; 1 drivers -v0000000002aaed80_0 .net "ns0", 0 0, L_0000000002b61790; 1 drivers -v0000000002aaffa0_0 .net "ns1", 0 0, L_0000000002b60990; 1 drivers -v0000000002aaf820_0 .net "out", 0 0, L_0000000002b61560; alias, 1 drivers -v0000000002ab0e00_0 .net "s0", 0 0, L_0000000002b44750; 1 drivers -v0000000002aafb40_0 .net "s1", 0 0, L_0000000002b423b0; 1 drivers -S_0000000002ac2da0 .scope generate, "aluBits[28]" "aluBits[28]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9720 .param/l "i" 0 4 52, +C4<011100>; -L_0000000002b62050/d .functor XOR 1, L_0000000002b43f30, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b62050 .delay 1 (50000,50000,50000) L_0000000002b62050/d; -L_0000000002b61640/d .functor AND 1, v0000000002a01300_0, L_0000000002b438f0, C4<1>, C4<1>; -L_0000000002b61640 .delay 1 (30000,30000,30000) L_0000000002b61640/d; -v0000000002ab07c0_0 .net *"_s1", 0 0, L_0000000002b43f30; 1 drivers -v0000000002ab09a0_0 .net *"_s3", 0 0, L_0000000002b438f0; 1 drivers -S_0000000002ac27a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2da0; +L_00000000026d9260/d .functor NOT 1, L_0000000002668640, C4<0>, C4<0>, C4<0>; +L_00000000026d9260 .delay 1 (10000,10000,10000) L_00000000026d9260/d; +L_00000000026d9a40/d .functor NOT 1, L_0000000002667ba0, C4<0>, C4<0>, C4<0>; +L_00000000026d9a40 .delay 1 (10000,10000,10000) L_00000000026d9a40/d; +L_00000000026d8ee0/d .functor NAND 1, L_0000000002667ba0, L_0000000002668640, L_00000000026d9880, C4<1>; +L_00000000026d8ee0 .delay 1 (30000,30000,30000) L_00000000026d8ee0/d; +L_00000000026d99d0/d .functor NAND 1, L_0000000002667ba0, L_00000000026d9260, L_00000000026d8a10, C4<1>; +L_00000000026d99d0 .delay 1 (30000,30000,30000) L_00000000026d99d0/d; +L_00000000026da370/d .functor NAND 1, L_00000000026d9a40, L_0000000002668640, L_00000000026d9180, C4<1>; +L_00000000026da370 .delay 1 (30000,30000,30000) L_00000000026da370/d; +L_00000000026d9340/d .functor NAND 1, L_00000000026d9a40, L_00000000026d9260, L_00000000026d9650, C4<1>; +L_00000000026d9340 .delay 1 (30000,30000,30000) L_00000000026d9340/d; +L_00000000026da0d0/d .functor NAND 1, L_00000000026d8ee0, L_00000000026d99d0, L_00000000026da370, L_00000000026d9340; +L_00000000026da0d0 .delay 1 (40000,40000,40000) L_00000000026da0d0/d; +v0000000000f36fb0_0 .net "a", 0 0, L_00000000026d9880; alias, 1 drivers +v0000000000f28410_0 .net "aout", 0 0, L_00000000026d8ee0; 1 drivers +v0000000000f28e10_0 .net "b", 0 0, L_00000000026d8a10; alias, 1 drivers +v0000000000f280f0_0 .net "bout", 0 0, L_00000000026d99d0; 1 drivers +v0000000000f27150_0 .net "c", 0 0, L_00000000026d9180; alias, 1 drivers +v0000000000f271f0_0 .net "cout", 0 0, L_00000000026da370; 1 drivers +v0000000000f28190_0 .net "d", 0 0, L_00000000026d9650; alias, 1 drivers +v0000000000f278d0_0 .net "dout", 0 0, L_00000000026d9340; 1 drivers +v0000000000f28910_0 .net "ns0", 0 0, L_00000000026d9260; 1 drivers +v0000000000f27470_0 .net "ns1", 0 0, L_00000000026d9a40; 1 drivers +v0000000000f29310_0 .net "out", 0 0, L_00000000026da0d0; alias, 1 drivers +v0000000000f29090_0 .net "s0", 0 0, L_0000000002668640; 1 drivers +v0000000000f28230_0 .net "s1", 0 0, L_0000000002667ba0; 1 drivers +S_0000000000f25410 .scope generate, "aluBits[28]" "aluBits[28]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de27c0 .param/l "i" 0 4 49, +C4<011100>; +L_00000000026d89a0/d .functor XOR 1, L_0000000002667f60, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d89a0 .delay 1 (20000,20000,20000) L_00000000026d89a0/d; +L_00000000026d9730/d .functor AND 1, v0000000000e20d10_0, L_0000000002668000, C4<1>, C4<1>; +L_00000000026d9730 .delay 1 (30000,30000,30000) L_00000000026d9730/d; +L_00000000026d9810/d .functor AND 1, L_0000000002658920, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026d9810 .delay 1 (30000,30000,30000) L_00000000026d9810/d; +v0000000000f27ab0_0 .net *"_s1", 0 0, L_0000000002667f60; 1 drivers +v0000000000f28ff0_0 .net *"_s3", 0 0, L_0000000002668000; 1 drivers +v0000000000f296d0_0 .net *"_s9", 0 0, L_0000000002658920; 1 drivers +S_0000000000f26d90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f25410; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3474,19 +3651,19 @@ S_0000000002ac27a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ab0d60_0 .net "a", 0 0, L_0000000002b42950; 1 drivers -v0000000002ab0860_0 .net "b", 0 0, L_0000000002b43990; 1 drivers -v0000000002ab0720_0 .net "carryAND", 0 0, L_0000000002b61170; 1 drivers -v0000000002aafbe0_0 .net "cin", 0 0, L_0000000002b447f0; 1 drivers -v0000000002ab0c20_0 .net "ctrl0", 0 0, L_0000000002b424f0; 1 drivers -v0000000002aaf000_0 .net "nab", 0 0, L_0000000002b61090; 1 drivers -v0000000002ab0220_0 .net "orNOR", 0 0, L_0000000002b61410; 1 drivers -v0000000002aafdc0_0 .net "res", 0 0, L_0000000002b6ce10; 1 drivers -v0000000002aaf280_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002aafc80_0 .net "sumXOR", 0 0, L_0000000002b61e20; 1 drivers -L_0000000002b428b0 .part v0000000002a01440_0, 1, 1; -L_0000000002b42bd0 .part v0000000002a01440_0, 0, 1; -S_0000000002ac1720 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac27a0; +v0000000000f28cd0_0 .net "a", 0 0, L_000000000265ab80; 1 drivers +v0000000000f29810_0 .net "b", 0 0, L_000000000265a400; 1 drivers +v0000000000f298b0_0 .net "carryAND", 0 0, L_00000000026d8a80; 1 drivers +v0000000000f27510_0 .net "cin", 0 0, L_000000000265a040; 1 drivers +v0000000000f27970_0 .net "ctrl0", 0 0, L_0000000002659780; 1 drivers +v0000000000f27790_0 .net "nab", 0 0, L_00000000026d91f0; 1 drivers +v0000000000f27830_0 .net "orNOR", 0 0, L_00000000026d92d0; 1 drivers +v0000000000f28d70_0 .net "res", 0 0, L_00000000026d8c40; 1 drivers +v0000000000f27b50_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f27a10_0 .net "sumXOR", 0 0, L_00000000026d9490; 1 drivers +L_00000000026680a0 .part v0000000000e21990_0, 1, 1; +L_0000000002659280 .part v0000000000e21990_0, 0, 1; +S_0000000000f25290 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26d90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3496,32 +3673,32 @@ S_0000000002ac1720 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac27a .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b61090/d .functor NAND 1, L_0000000002b42950, L_0000000002b43990, C4<1>, C4<1>; -L_0000000002b61090 .delay 1 (20000,20000,20000) L_0000000002b61090/d; -L_0000000002b61100/d .functor NAND 1, L_0000000002b43990, L_0000000002b447f0, C4<1>, C4<1>; -L_0000000002b61100 .delay 1 (20000,20000,20000) L_0000000002b61100/d; -L_0000000002b61c60/d .functor NAND 1, L_0000000002b42950, L_0000000002b447f0, C4<1>, C4<1>; -L_0000000002b61c60 .delay 1 (20000,20000,20000) L_0000000002b61c60/d; -L_0000000002b61170/d .functor NAND 1, L_0000000002b61090, L_0000000002b61c60, L_0000000002b61100, C4<1>; -L_0000000002b61170 .delay 1 (30000,30000,30000) L_0000000002b61170/d; -L_0000000002b61e20/d .functor XOR 1, L_0000000002b42950, L_0000000002b43990, L_0000000002b447f0, C4<0>; -L_0000000002b61e20 .delay 1 (30000,30000,30000) L_0000000002b61e20/d; -L_0000000002b613a0/d .functor NOR 1, L_0000000002b42950, L_0000000002b43990, C4<0>, C4<0>; -L_0000000002b613a0 .delay 1 (20000,20000,20000) L_0000000002b613a0/d; -L_0000000002b61410/d .functor XOR 1, L_0000000002b613a0, L_0000000002b424f0, C4<0>, C4<0>; -L_0000000002b61410 .delay 1 (20000,20000,20000) L_0000000002b61410/d; -v0000000002aaf3c0_0 .net "a", 0 0, L_0000000002b42950; alias, 1 drivers -v0000000002aaf780_0 .net "anorb", 0 0, L_0000000002b613a0; 1 drivers -v0000000002ab0040_0 .net "b", 0 0, L_0000000002b43990; alias, 1 drivers -v0000000002aaee20_0 .net "carryAND", 0 0, L_0000000002b61170; alias, 1 drivers -v0000000002ab0360_0 .net "carryin", 0 0, L_0000000002b447f0; alias, 1 drivers -v0000000002ab0400_0 .net "i0", 0 0, L_0000000002b424f0; alias, 1 drivers -v0000000002aaf6e0_0 .net "nab", 0 0, L_0000000002b61090; alias, 1 drivers -v0000000002ab00e0_0 .net "nac", 0 0, L_0000000002b61c60; 1 drivers -v0000000002ab0ea0_0 .net "nbc", 0 0, L_0000000002b61100; 1 drivers -v0000000002ab04a0_0 .net "orNOR", 0 0, L_0000000002b61410; alias, 1 drivers -v0000000002aaea60_0 .net "sumXOR", 0 0, L_0000000002b61e20; alias, 1 drivers -S_0000000002ac2020 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac27a0; +L_00000000026d91f0/d .functor NAND 1, L_000000000265ab80, L_000000000265a400, C4<1>, C4<1>; +L_00000000026d91f0 .delay 1 (20000,20000,20000) L_00000000026d91f0/d; +L_00000000026d9ea0/d .functor NAND 1, L_000000000265a400, L_000000000265a040, C4<1>, C4<1>; +L_00000000026d9ea0 .delay 1 (20000,20000,20000) L_00000000026d9ea0/d; +L_00000000026da060/d .functor NAND 1, L_000000000265ab80, L_000000000265a040, C4<1>, C4<1>; +L_00000000026da060 .delay 1 (20000,20000,20000) L_00000000026da060/d; +L_00000000026d8a80/d .functor NAND 1, L_00000000026d91f0, L_00000000026da060, L_00000000026d9ea0, C4<1>; +L_00000000026d8a80 .delay 1 (30000,30000,30000) L_00000000026d8a80/d; +L_00000000026d9490/d .functor XOR 1, L_000000000265ab80, L_000000000265a400, L_000000000265a040, C4<0>; +L_00000000026d9490 .delay 1 (30000,30000,30000) L_00000000026d9490/d; +L_00000000026d9d50/d .functor NOR 1, L_000000000265ab80, L_000000000265a400, C4<0>, C4<0>; +L_00000000026d9d50 .delay 1 (20000,20000,20000) L_00000000026d9d50/d; +L_00000000026d92d0/d .functor XOR 1, L_00000000026d9d50, L_0000000002659780, C4<0>, C4<0>; +L_00000000026d92d0 .delay 1 (20000,20000,20000) L_00000000026d92d0/d; +v0000000000f27fb0_0 .net "a", 0 0, L_000000000265ab80; alias, 1 drivers +v0000000000f28550_0 .net "anorb", 0 0, L_00000000026d9d50; 1 drivers +v0000000000f27330_0 .net "b", 0 0, L_000000000265a400; alias, 1 drivers +v0000000000f287d0_0 .net "carryAND", 0 0, L_00000000026d8a80; alias, 1 drivers +v0000000000f285f0_0 .net "carryin", 0 0, L_000000000265a040; alias, 1 drivers +v0000000000f28870_0 .net "i0", 0 0, L_0000000002659780; alias, 1 drivers +v0000000000f293b0_0 .net "nab", 0 0, L_00000000026d91f0; alias, 1 drivers +v0000000000f294f0_0 .net "nac", 0 0, L_00000000026da060; 1 drivers +v0000000000f28eb0_0 .net "nbc", 0 0, L_00000000026d9ea0; 1 drivers +v0000000000f29450_0 .net "orNOR", 0 0, L_00000000026d92d0; alias, 1 drivers +v0000000000f289b0_0 .net "sumXOR", 0 0, L_00000000026d9490; alias, 1 drivers +S_0000000000f26f10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26d90; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3530,43 +3707,46 @@ S_0000000002ac2020 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b616b0/d .functor NOT 1, L_0000000002b42bd0, C4<0>, C4<0>, C4<0>; -L_0000000002b616b0 .delay 1 (10000,10000,10000) L_0000000002b616b0/d; -L_0000000002b61720/d .functor NOT 1, L_0000000002b428b0, C4<0>, C4<0>, C4<0>; -L_0000000002b61720 .delay 1 (10000,10000,10000) L_0000000002b61720/d; -L_0000000002b61e90/d .functor NAND 1, L_0000000002b428b0, L_0000000002b42bd0, L_0000000002b61e20, C4<1>; -L_0000000002b61e90 .delay 1 (30000,30000,30000) L_0000000002b61e90/d; -L_0000000002b61f00/d .functor NAND 1, L_0000000002b428b0, L_0000000002b616b0, L_0000000002b61410, C4<1>; -L_0000000002b61f00 .delay 1 (30000,30000,30000) L_0000000002b61f00/d; -L_0000000002b6d120/d .functor NAND 1, L_0000000002b61720, L_0000000002b42bd0, L_0000000002b61170, C4<1>; -L_0000000002b6d120 .delay 1 (30000,30000,30000) L_0000000002b6d120/d; -L_0000000002b6d580/d .functor NAND 1, L_0000000002b61720, L_0000000002b616b0, L_0000000002b61090, C4<1>; -L_0000000002b6d580 .delay 1 (30000,30000,30000) L_0000000002b6d580/d; -L_0000000002b6ce10/d .functor NAND 1, L_0000000002b61e90, L_0000000002b61f00, L_0000000002b6d120, L_0000000002b6d580; -L_0000000002b6ce10 .delay 1 (40000,40000,40000) L_0000000002b6ce10/d; -v0000000002aaf960_0 .net "a", 0 0, L_0000000002b61e20; alias, 1 drivers -v0000000002aaeec0_0 .net "aout", 0 0, L_0000000002b61e90; 1 drivers -v0000000002aaec40_0 .net "b", 0 0, L_0000000002b61410; alias, 1 drivers -v0000000002ab0f40_0 .net "bout", 0 0, L_0000000002b61f00; 1 drivers -v0000000002aafe60_0 .net "c", 0 0, L_0000000002b61170; alias, 1 drivers -v0000000002ab0540_0 .net "cout", 0 0, L_0000000002b6d120; 1 drivers -v0000000002aaef60_0 .net "d", 0 0, L_0000000002b61090; alias, 1 drivers -v0000000002ab05e0_0 .net "dout", 0 0, L_0000000002b6d580; 1 drivers -v0000000002ab0ae0_0 .net "ns0", 0 0, L_0000000002b616b0; 1 drivers -v0000000002aafaa0_0 .net "ns1", 0 0, L_0000000002b61720; 1 drivers -v0000000002ab0680_0 .net "out", 0 0, L_0000000002b6ce10; alias, 1 drivers -v0000000002ab0fe0_0 .net "s0", 0 0, L_0000000002b42bd0; 1 drivers -v0000000002ab0180_0 .net "s1", 0 0, L_0000000002b428b0; 1 drivers -S_0000000002ac2c20 .scope generate, "aluBits[29]" "aluBits[29]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9560 .param/l "i" 0 4 52, +C4<011101>; -L_0000000002b6dac0/d .functor XOR 1, L_0000000002b42590, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b6dac0 .delay 1 (50000,50000,50000) L_0000000002b6dac0/d; -L_0000000002b6cfd0/d .functor AND 1, v0000000002a01300_0, L_0000000002b42630, C4<1>, C4<1>; -L_0000000002b6cfd0 .delay 1 (30000,30000,30000) L_0000000002b6cfd0/d; -v0000000002ad0a40_0 .net *"_s1", 0 0, L_0000000002b42590; 1 drivers -v0000000002ad0ea0_0 .net *"_s3", 0 0, L_0000000002b42630; 1 drivers -S_0000000002ac21a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2c20; +L_00000000026d9ab0/d .functor NOT 1, L_0000000002659280, C4<0>, C4<0>, C4<0>; +L_00000000026d9ab0 .delay 1 (10000,10000,10000) L_00000000026d9ab0/d; +L_00000000026d9960/d .functor NOT 1, L_00000000026680a0, C4<0>, C4<0>, C4<0>; +L_00000000026d9960 .delay 1 (10000,10000,10000) L_00000000026d9960/d; +L_00000000026d95e0/d .functor NAND 1, L_00000000026680a0, L_0000000002659280, L_00000000026d9490, C4<1>; +L_00000000026d95e0 .delay 1 (30000,30000,30000) L_00000000026d95e0/d; +L_00000000026da4c0/d .functor NAND 1, L_00000000026680a0, L_00000000026d9ab0, L_00000000026d92d0, C4<1>; +L_00000000026da4c0 .delay 1 (30000,30000,30000) L_00000000026da4c0/d; +L_00000000026d8b60/d .functor NAND 1, L_00000000026d9960, L_0000000002659280, L_00000000026d8a80, C4<1>; +L_00000000026d8b60 .delay 1 (30000,30000,30000) L_00000000026d8b60/d; +L_00000000026d97a0/d .functor NAND 1, L_00000000026d9960, L_00000000026d9ab0, L_00000000026d91f0, C4<1>; +L_00000000026d97a0 .delay 1 (30000,30000,30000) L_00000000026d97a0/d; +L_00000000026d8c40/d .functor NAND 1, L_00000000026d95e0, L_00000000026da4c0, L_00000000026d8b60, L_00000000026d97a0; +L_00000000026d8c40 .delay 1 (40000,40000,40000) L_00000000026d8c40/d; +v0000000000f27c90_0 .net "a", 0 0, L_00000000026d9490; alias, 1 drivers +v0000000000f28a50_0 .net "aout", 0 0, L_00000000026d95e0; 1 drivers +v0000000000f27650_0 .net "b", 0 0, L_00000000026d92d0; alias, 1 drivers +v0000000000f27f10_0 .net "bout", 0 0, L_00000000026da4c0; 1 drivers +v0000000000f29590_0 .net "c", 0 0, L_00000000026d8a80; alias, 1 drivers +v0000000000f28af0_0 .net "cout", 0 0, L_00000000026d8b60; 1 drivers +v0000000000f276f0_0 .net "d", 0 0, L_00000000026d91f0; alias, 1 drivers +v0000000000f27dd0_0 .net "dout", 0 0, L_00000000026d97a0; 1 drivers +v0000000000f27e70_0 .net "ns0", 0 0, L_00000000026d9ab0; 1 drivers +v0000000000f29630_0 .net "ns1", 0 0, L_00000000026d9960; 1 drivers +v0000000000f28b90_0 .net "out", 0 0, L_00000000026d8c40; alias, 1 drivers +v0000000000f28c30_0 .net "s0", 0 0, L_0000000002659280; 1 drivers +v0000000000f273d0_0 .net "s1", 0 0, L_00000000026680a0; 1 drivers +S_0000000000f26010 .scope generate, "aluBits[29]" "aluBits[29]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3000 .param/l "i" 0 4 49, +C4<011101>; +L_00000000026d98f0/d .functor XOR 1, L_00000000026589c0, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026d98f0 .delay 1 (20000,20000,20000) L_00000000026d98f0/d; +L_00000000026d9dc0/d .functor AND 1, v0000000000e20d10_0, L_0000000002658b00, C4<1>, C4<1>; +L_00000000026d9dc0 .delay 1 (30000,30000,30000) L_00000000026d9dc0/d; +L_00000000026dac30/d .functor AND 1, L_0000000002658c40, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026dac30 .delay 1 (30000,30000,30000) L_00000000026dac30/d; +v0000000000f2adf0_0 .net *"_s1", 0 0, L_00000000026589c0; 1 drivers +v0000000000f29a90_0 .net *"_s3", 0 0, L_0000000002658b00; 1 drivers +v0000000000f2a530_0 .net *"_s9", 0 0, L_0000000002658c40; 1 drivers +S_0000000000f25d10 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26010; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3575,19 +3755,19 @@ S_0000000002ac21a0 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ad0f40_0 .net "a", 0 0, L_0000000002b43b70; 1 drivers -v0000000002ad0b80_0 .net "b", 0 0, L_0000000002b42db0; 1 drivers -v0000000002ad07c0_0 .net "carryAND", 0 0, L_0000000002b6d200; 1 drivers -v0000000002ad20c0_0 .net "cin", 0 0, L_0000000002b426d0; 1 drivers -v0000000002ad2020_0 .net "ctrl0", 0 0, L_0000000002b43030; 1 drivers -v0000000002ad0ae0_0 .net "nab", 0 0, L_0000000002b6d040; 1 drivers -v0000000002ad0c20_0 .net "orNOR", 0 0, L_0000000002b6cbe0; 1 drivers -v0000000002ad1a80_0 .net "res", 0 0, L_0000000002b6d270; 1 drivers -v0000000002ad1d00_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ad14e0_0 .net "sumXOR", 0 0, L_0000000002b6c9b0; 1 drivers -L_0000000002b43a30 .part v0000000002a01440_0, 1, 1; -L_0000000002b43ad0 .part v0000000002a01440_0, 0, 1; -S_0000000002ac1a20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac21a0; +v0000000000f2bb10_0 .net "a", 0 0, L_0000000002658ec0; 1 drivers +v0000000000f2c0b0_0 .net "b", 0 0, L_000000000265a7c0; 1 drivers +v0000000000f2bed0_0 .net "carryAND", 0 0, L_00000000026d9c70; 1 drivers +v0000000000f2a490_0 .net "cin", 0 0, L_00000000026593c0; 1 drivers +v0000000000f2ad50_0 .net "ctrl0", 0 0, L_0000000002659460; 1 drivers +v0000000000f2a670_0 .net "nab", 0 0, L_00000000026d9b20; 1 drivers +v0000000000f2a850_0 .net "orNOR", 0 0, L_00000000026da290; 1 drivers +v0000000000f2a7b0_0 .net "res", 0 0, L_00000000026dba30; 1 drivers +v0000000000f2a2b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f29950_0 .net "sumXOR", 0 0, L_00000000026d9f80; 1 drivers +L_000000000265a220 .part v0000000000e21990_0, 1, 1; +L_0000000002658a60 .part v0000000000e21990_0, 0, 1; +S_0000000000f25710 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f25d10; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3597,32 +3777,32 @@ S_0000000002ac1a20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac21a .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b6d040/d .functor NAND 1, L_0000000002b43b70, L_0000000002b42db0, C4<1>, C4<1>; -L_0000000002b6d040 .delay 1 (20000,20000,20000) L_0000000002b6d040/d; -L_0000000002b6d970/d .functor NAND 1, L_0000000002b42db0, L_0000000002b426d0, C4<1>, C4<1>; -L_0000000002b6d970 .delay 1 (20000,20000,20000) L_0000000002b6d970/d; -L_0000000002b6db30/d .functor NAND 1, L_0000000002b43b70, L_0000000002b426d0, C4<1>, C4<1>; -L_0000000002b6db30 .delay 1 (20000,20000,20000) L_0000000002b6db30/d; -L_0000000002b6d200/d .functor NAND 1, L_0000000002b6d040, L_0000000002b6db30, L_0000000002b6d970, C4<1>; -L_0000000002b6d200 .delay 1 (30000,30000,30000) L_0000000002b6d200/d; -L_0000000002b6c9b0/d .functor XOR 1, L_0000000002b43b70, L_0000000002b42db0, L_0000000002b426d0, C4<0>; -L_0000000002b6c9b0 .delay 1 (30000,30000,30000) L_0000000002b6c9b0/d; -L_0000000002b6ccc0/d .functor NOR 1, L_0000000002b43b70, L_0000000002b42db0, C4<0>, C4<0>; -L_0000000002b6ccc0 .delay 1 (20000,20000,20000) L_0000000002b6ccc0/d; -L_0000000002b6cbe0/d .functor XOR 1, L_0000000002b6ccc0, L_0000000002b43030, C4<0>, C4<0>; -L_0000000002b6cbe0 .delay 1 (20000,20000,20000) L_0000000002b6cbe0/d; -v0000000002aaf0a0_0 .net "a", 0 0, L_0000000002b43b70; alias, 1 drivers -v0000000002aaf460_0 .net "anorb", 0 0, L_0000000002b6ccc0; 1 drivers -v0000000002ab0cc0_0 .net "b", 0 0, L_0000000002b42db0; alias, 1 drivers -v0000000002aae9c0_0 .net "carryAND", 0 0, L_0000000002b6d200; alias, 1 drivers -v0000000002aaeb00_0 .net "carryin", 0 0, L_0000000002b426d0; alias, 1 drivers -v0000000002aaeba0_0 .net "i0", 0 0, L_0000000002b43030; alias, 1 drivers -v0000000002aaf320_0 .net "nab", 0 0, L_0000000002b6d040; alias, 1 drivers -v0000000002aaece0_0 .net "nac", 0 0, L_0000000002b6db30; 1 drivers -v0000000002aaf140_0 .net "nbc", 0 0, L_0000000002b6d970; 1 drivers -v0000000002aaf1e0_0 .net "orNOR", 0 0, L_0000000002b6cbe0; alias, 1 drivers -v0000000002aaf5a0_0 .net "sumXOR", 0 0, L_0000000002b6c9b0; alias, 1 drivers -S_0000000002ac1d20 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac21a0; +L_00000000026d9b20/d .functor NAND 1, L_0000000002658ec0, L_000000000265a7c0, C4<1>, C4<1>; +L_00000000026d9b20 .delay 1 (20000,20000,20000) L_00000000026d9b20/d; +L_00000000026d9b90/d .functor NAND 1, L_000000000265a7c0, L_00000000026593c0, C4<1>, C4<1>; +L_00000000026d9b90 .delay 1 (20000,20000,20000) L_00000000026d9b90/d; +L_00000000026d8d90/d .functor NAND 1, L_0000000002658ec0, L_00000000026593c0, C4<1>, C4<1>; +L_00000000026d8d90 .delay 1 (20000,20000,20000) L_00000000026d8d90/d; +L_00000000026d9c70/d .functor NAND 1, L_00000000026d9b20, L_00000000026d8d90, L_00000000026d9b90, C4<1>; +L_00000000026d9c70 .delay 1 (30000,30000,30000) L_00000000026d9c70/d; +L_00000000026d9f80/d .functor XOR 1, L_0000000002658ec0, L_000000000265a7c0, L_00000000026593c0, C4<0>; +L_00000000026d9f80 .delay 1 (30000,30000,30000) L_00000000026d9f80/d; +L_00000000026da140/d .functor NOR 1, L_0000000002658ec0, L_000000000265a7c0, C4<0>, C4<0>; +L_00000000026da140 .delay 1 (20000,20000,20000) L_00000000026da140/d; +L_00000000026da290/d .functor XOR 1, L_00000000026da140, L_0000000002659460, C4<0>, C4<0>; +L_00000000026da290 .delay 1 (20000,20000,20000) L_00000000026da290/d; +v0000000000f27d30_0 .net "a", 0 0, L_0000000002658ec0; alias, 1 drivers +v0000000000f29770_0 .net "anorb", 0 0, L_00000000026da140; 1 drivers +v0000000000f2a8f0_0 .net "b", 0 0, L_000000000265a7c0; alias, 1 drivers +v0000000000f2be30_0 .net "carryAND", 0 0, L_00000000026d9c70; alias, 1 drivers +v0000000000f2b070_0 .net "carryin", 0 0, L_00000000026593c0; alias, 1 drivers +v0000000000f2ac10_0 .net "i0", 0 0, L_0000000002659460; alias, 1 drivers +v0000000000f2a3f0_0 .net "nab", 0 0, L_00000000026d9b20; alias, 1 drivers +v0000000000f2b9d0_0 .net "nac", 0 0, L_00000000026d8d90; 1 drivers +v0000000000f2a990_0 .net "nbc", 0 0, L_00000000026d9b90; 1 drivers +v0000000000f29ef0_0 .net "orNOR", 0 0, L_00000000026da290; alias, 1 drivers +v0000000000f299f0_0 .net "sumXOR", 0 0, L_00000000026d9f80; alias, 1 drivers +S_0000000000f25890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f25d10; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3631,43 +3811,46 @@ S_0000000002ac1d20 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b6dcf0/d .functor NOT 1, L_0000000002b43ad0, C4<0>, C4<0>, C4<0>; -L_0000000002b6dcf0 .delay 1 (10000,10000,10000) L_0000000002b6dcf0/d; -L_0000000002b6c8d0/d .functor NOT 1, L_0000000002b43a30, C4<0>, C4<0>, C4<0>; -L_0000000002b6c8d0 .delay 1 (10000,10000,10000) L_0000000002b6c8d0/d; -L_0000000002b6c940/d .functor NAND 1, L_0000000002b43a30, L_0000000002b43ad0, L_0000000002b6c9b0, C4<1>; -L_0000000002b6c940 .delay 1 (30000,30000,30000) L_0000000002b6c940/d; -L_0000000002b6e000/d .functor NAND 1, L_0000000002b43a30, L_0000000002b6dcf0, L_0000000002b6cbe0, C4<1>; -L_0000000002b6e000 .delay 1 (30000,30000,30000) L_0000000002b6e000/d; -L_0000000002b6d510/d .functor NAND 1, L_0000000002b6c8d0, L_0000000002b43ad0, L_0000000002b6d200, C4<1>; -L_0000000002b6d510 .delay 1 (30000,30000,30000) L_0000000002b6d510/d; -L_0000000002b6d7b0/d .functor NAND 1, L_0000000002b6c8d0, L_0000000002b6dcf0, L_0000000002b6d040, C4<1>; -L_0000000002b6d7b0 .delay 1 (30000,30000,30000) L_0000000002b6d7b0/d; -L_0000000002b6d270/d .functor NAND 1, L_0000000002b6c940, L_0000000002b6e000, L_0000000002b6d510, L_0000000002b6d7b0; -L_0000000002b6d270 .delay 1 (40000,40000,40000) L_0000000002b6d270/d; -v0000000002ad1bc0_0 .net "a", 0 0, L_0000000002b6c9b0; alias, 1 drivers -v0000000002ad0fe0_0 .net "aout", 0 0, L_0000000002b6c940; 1 drivers -v0000000002ad1260_0 .net "b", 0 0, L_0000000002b6cbe0; alias, 1 drivers -v0000000002acfc80_0 .net "bout", 0 0, L_0000000002b6e000; 1 drivers -v0000000002ad0900_0 .net "c", 0 0, L_0000000002b6d200; alias, 1 drivers -v0000000002ad1e40_0 .net "cout", 0 0, L_0000000002b6d510; 1 drivers -v0000000002acfaa0_0 .net "d", 0 0, L_0000000002b6d040; alias, 1 drivers -v0000000002ad1940_0 .net "dout", 0 0, L_0000000002b6d7b0; 1 drivers -v0000000002ad0e00_0 .net "ns0", 0 0, L_0000000002b6dcf0; 1 drivers -v0000000002ad09a0_0 .net "ns1", 0 0, L_0000000002b6c8d0; 1 drivers -v0000000002ad0d60_0 .net "out", 0 0, L_0000000002b6d270; alias, 1 drivers -v0000000002acff00_0 .net "s0", 0 0, L_0000000002b43ad0; 1 drivers -v0000000002ad0680_0 .net "s1", 0 0, L_0000000002b43a30; 1 drivers -S_0000000002ac2620 .scope generate, "aluBits[30]" "aluBits[30]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9660 .param/l "i" 0 4 52, +C4<011110>; -L_0000000002b6ca20/d .functor XOR 1, L_0000000002b44430, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b6ca20 .delay 1 (50000,50000,50000) L_0000000002b6ca20/d; -L_0000000002b6d5f0/d .functor AND 1, v0000000002a01300_0, L_0000000002b44890, C4<1>, C4<1>; -L_0000000002b6d5f0 .delay 1 (30000,30000,30000) L_0000000002b6d5f0/d; -v0000000002ad1620_0 .net *"_s1", 0 0, L_0000000002b44430; 1 drivers -v0000000002ad19e0_0 .net *"_s3", 0 0, L_0000000002b44890; 1 drivers -S_0000000002ac2920 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac2620; +L_00000000026da3e0/d .functor NOT 1, L_0000000002658a60, C4<0>, C4<0>, C4<0>; +L_00000000026da3e0 .delay 1 (10000,10000,10000) L_00000000026da3e0/d; +L_00000000026da450/d .functor NOT 1, L_000000000265a220, C4<0>, C4<0>, C4<0>; +L_00000000026da450 .delay 1 (10000,10000,10000) L_00000000026da450/d; +L_00000000026d8cb0/d .functor NAND 1, L_000000000265a220, L_0000000002658a60, L_00000000026d9f80, C4<1>; +L_00000000026d8cb0 .delay 1 (30000,30000,30000) L_00000000026d8cb0/d; +L_00000000026d8fc0/d .functor NAND 1, L_000000000265a220, L_00000000026da3e0, L_00000000026da290, C4<1>; +L_00000000026d8fc0 .delay 1 (30000,30000,30000) L_00000000026d8fc0/d; +L_00000000026d8d20/d .functor NAND 1, L_00000000026da450, L_0000000002658a60, L_00000000026d9c70, C4<1>; +L_00000000026d8d20 .delay 1 (30000,30000,30000) L_00000000026d8d20/d; +L_00000000026d8e00/d .functor NAND 1, L_00000000026da450, L_00000000026da3e0, L_00000000026d9b20, C4<1>; +L_00000000026d8e00 .delay 1 (30000,30000,30000) L_00000000026d8e00/d; +L_00000000026dba30/d .functor NAND 1, L_00000000026d8cb0, L_00000000026d8fc0, L_00000000026d8d20, L_00000000026d8e00; +L_00000000026dba30 .delay 1 (40000,40000,40000) L_00000000026dba30/d; +v0000000000f2a210_0 .net "a", 0 0, L_00000000026d9f80; alias, 1 drivers +v0000000000f2a0d0_0 .net "aout", 0 0, L_00000000026d8cb0; 1 drivers +v0000000000f2a5d0_0 .net "b", 0 0, L_00000000026da290; alias, 1 drivers +v0000000000f29bd0_0 .net "bout", 0 0, L_00000000026d8fc0; 1 drivers +v0000000000f2acb0_0 .net "c", 0 0, L_00000000026d9c70; alias, 1 drivers +v0000000000f29d10_0 .net "cout", 0 0, L_00000000026d8d20; 1 drivers +v0000000000f2ab70_0 .net "d", 0 0, L_00000000026d9b20; alias, 1 drivers +v0000000000f2aa30_0 .net "dout", 0 0, L_00000000026d8e00; 1 drivers +v0000000000f2b570_0 .net "ns0", 0 0, L_00000000026da3e0; 1 drivers +v0000000000f2ae90_0 .net "ns1", 0 0, L_00000000026da450; 1 drivers +v0000000000f2b890_0 .net "out", 0 0, L_00000000026dba30; alias, 1 drivers +v0000000000f2b750_0 .net "s0", 0 0, L_0000000002658a60; 1 drivers +v0000000000f2b430_0 .net "s1", 0 0, L_000000000265a220; 1 drivers +S_0000000000f25a10 .scope generate, "aluBits[30]" "aluBits[30]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3840 .param/l "i" 0 4 49, +C4<011110>; +L_00000000026db8e0/d .functor XOR 1, L_0000000002659500, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026db8e0 .delay 1 (20000,20000,20000) L_00000000026db8e0/d; +L_00000000026dbcd0/d .functor AND 1, v0000000000e20d10_0, L_0000000002658ba0, C4<1>, C4<1>; +L_00000000026dbcd0 .delay 1 (30000,30000,30000) L_00000000026dbcd0/d; +L_00000000026da8b0/d .functor AND 1, L_000000000265a680, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026da8b0 .delay 1 (30000,30000,30000) L_00000000026da8b0/d; +v0000000000f2e770_0 .net *"_s1", 0 0, L_0000000002659500; 1 drivers +v0000000000f2d0f0_0 .net *"_s3", 0 0, L_0000000002658ba0; 1 drivers +v0000000000f2dd70_0 .net *"_s9", 0 0, L_000000000265a680; 1 drivers +S_0000000000f26190 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f25a10; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3676,19 +3859,19 @@ S_0000000002ac2920 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ad1080_0 .net "a", 0 0, L_0000000002b429f0; 1 drivers -v0000000002ad0360_0 .net "b", 0 0, L_0000000002b435d0; 1 drivers -v0000000002ad1120_0 .net "carryAND", 0 0, L_0000000002b6e1c0; 1 drivers -v0000000002ad11c0_0 .net "cin", 0 0, L_0000000002b444d0; 1 drivers -v0000000002ad0400_0 .net "ctrl0", 0 0, L_0000000002b42d10; 1 drivers -v0000000002ad16c0_0 .net "nab", 0 0, L_0000000002b6dc10; 1 drivers -v0000000002ad13a0_0 .net "orNOR", 0 0, L_0000000002b6d2e0; 1 drivers -v0000000002ad0540_0 .net "res", 0 0, L_0000000002b6d350; 1 drivers -v0000000002ad05e0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ad1580_0 .net "sumXOR", 0 0, L_0000000002b6d190; 1 drivers -L_0000000002b43c10 .part v0000000002a01440_0, 1, 1; -L_0000000002b43170 .part v0000000002a01440_0, 0, 1; -S_0000000002ac2f20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac2920; +v0000000000f2ba70_0 .net "a", 0 0, L_000000000265a540; 1 drivers +v0000000000f2bcf0_0 .net "b", 0 0, L_0000000002658ce0; 1 drivers +v0000000000f2bd90_0 .net "carryAND", 0 0, L_00000000026dbbf0; 1 drivers +v0000000000f2bf70_0 .net "cin", 0 0, L_0000000002659640; 1 drivers +v0000000000f2c010_0 .net "ctrl0", 0 0, L_0000000002659f00; 1 drivers +v0000000000f2e8b0_0 .net "nab", 0 0, L_00000000026dbb10; 1 drivers +v0000000000f2df50_0 .net "orNOR", 0 0, L_00000000026da760; 1 drivers +v0000000000f2db90_0 .net "res", 0 0, L_00000000026dbd40; 1 drivers +v0000000000f2ca10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f2c150_0 .net "sumXOR", 0 0, L_00000000026dbf00; 1 drivers +L_000000000265a860 .part v0000000000e21990_0, 1, 1; +L_00000000026595a0 .part v0000000000e21990_0, 0, 1; +S_0000000000f25b90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26190; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3698,32 +3881,32 @@ S_0000000002ac2f20 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ac292 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b6dc10/d .functor NAND 1, L_0000000002b429f0, L_0000000002b435d0, C4<1>, C4<1>; -L_0000000002b6dc10 .delay 1 (20000,20000,20000) L_0000000002b6dc10/d; -L_0000000002b6de40/d .functor NAND 1, L_0000000002b435d0, L_0000000002b444d0, C4<1>, C4<1>; -L_0000000002b6de40 .delay 1 (20000,20000,20000) L_0000000002b6de40/d; -L_0000000002b6d0b0/d .functor NAND 1, L_0000000002b429f0, L_0000000002b444d0, C4<1>, C4<1>; -L_0000000002b6d0b0 .delay 1 (20000,20000,20000) L_0000000002b6d0b0/d; -L_0000000002b6e1c0/d .functor NAND 1, L_0000000002b6dc10, L_0000000002b6d0b0, L_0000000002b6de40, C4<1>; -L_0000000002b6e1c0 .delay 1 (30000,30000,30000) L_0000000002b6e1c0/d; -L_0000000002b6d190/d .functor XOR 1, L_0000000002b429f0, L_0000000002b435d0, L_0000000002b444d0, C4<0>; -L_0000000002b6d190 .delay 1 (30000,30000,30000) L_0000000002b6d190/d; -L_0000000002b6d430/d .functor NOR 1, L_0000000002b429f0, L_0000000002b435d0, C4<0>, C4<0>; -L_0000000002b6d430 .delay 1 (20000,20000,20000) L_0000000002b6d430/d; -L_0000000002b6d2e0/d .functor XOR 1, L_0000000002b6d430, L_0000000002b42d10, C4<0>, C4<0>; -L_0000000002b6d2e0 .delay 1 (20000,20000,20000) L_0000000002b6d2e0/d; -v0000000002acf960_0 .net "a", 0 0, L_0000000002b429f0; alias, 1 drivers -v0000000002ad1da0_0 .net "anorb", 0 0, L_0000000002b6d430; 1 drivers -v0000000002ad0720_0 .net "b", 0 0, L_0000000002b435d0; alias, 1 drivers -v0000000002ad1ee0_0 .net "carryAND", 0 0, L_0000000002b6e1c0; alias, 1 drivers -v0000000002acfe60_0 .net "carryin", 0 0, L_0000000002b444d0; alias, 1 drivers -v0000000002acfd20_0 .net "i0", 0 0, L_0000000002b42d10; alias, 1 drivers -v0000000002ad1440_0 .net "nab", 0 0, L_0000000002b6dc10; alias, 1 drivers -v0000000002ad0860_0 .net "nac", 0 0, L_0000000002b6d0b0; 1 drivers -v0000000002acffa0_0 .net "nbc", 0 0, L_0000000002b6de40; 1 drivers -v0000000002acfdc0_0 .net "orNOR", 0 0, L_0000000002b6d2e0; alias, 1 drivers -v0000000002ad1c60_0 .net "sumXOR", 0 0, L_0000000002b6d190; alias, 1 drivers -S_0000000002ac1ba0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ac2920; +L_00000000026dbb10/d .functor NAND 1, L_000000000265a540, L_0000000002658ce0, C4<1>, C4<1>; +L_00000000026dbb10 .delay 1 (20000,20000,20000) L_00000000026dbb10/d; +L_00000000026da7d0/d .functor NAND 1, L_0000000002658ce0, L_0000000002659640, C4<1>, C4<1>; +L_00000000026da7d0 .delay 1 (20000,20000,20000) L_00000000026da7d0/d; +L_00000000026dc050/d .functor NAND 1, L_000000000265a540, L_0000000002659640, C4<1>, C4<1>; +L_00000000026dc050 .delay 1 (20000,20000,20000) L_00000000026dc050/d; +L_00000000026dbbf0/d .functor NAND 1, L_00000000026dbb10, L_00000000026dc050, L_00000000026da7d0, C4<1>; +L_00000000026dbbf0 .delay 1 (30000,30000,30000) L_00000000026dbbf0/d; +L_00000000026dbf00/d .functor XOR 1, L_000000000265a540, L_0000000002658ce0, L_0000000002659640, C4<0>; +L_00000000026dbf00 .delay 1 (30000,30000,30000) L_00000000026dbf00/d; +L_00000000026dabc0/d .functor NOR 1, L_000000000265a540, L_0000000002658ce0, C4<0>, C4<0>; +L_00000000026dabc0 .delay 1 (20000,20000,20000) L_00000000026dabc0/d; +L_00000000026da760/d .functor XOR 1, L_00000000026dabc0, L_0000000002659f00, C4<0>, C4<0>; +L_00000000026da760 .delay 1 (20000,20000,20000) L_00000000026da760/d; +v0000000000f2b250_0 .net "a", 0 0, L_000000000265a540; alias, 1 drivers +v0000000000f29b30_0 .net "anorb", 0 0, L_00000000026dabc0; 1 drivers +v0000000000f29f90_0 .net "b", 0 0, L_0000000002658ce0; alias, 1 drivers +v0000000000f2a710_0 .net "carryAND", 0 0, L_00000000026dbbf0; alias, 1 drivers +v0000000000f2aad0_0 .net "carryin", 0 0, L_0000000002659640; alias, 1 drivers +v0000000000f2b2f0_0 .net "i0", 0 0, L_0000000002659f00; alias, 1 drivers +v0000000000f29e50_0 .net "nab", 0 0, L_00000000026dbb10; alias, 1 drivers +v0000000000f2af30_0 .net "nac", 0 0, L_00000000026dc050; 1 drivers +v0000000000f2bbb0_0 .net "nbc", 0 0, L_00000000026da7d0; 1 drivers +v0000000000f2afd0_0 .net "orNOR", 0 0, L_00000000026da760; alias, 1 drivers +v0000000000f2a030_0 .net "sumXOR", 0 0, L_00000000026dbf00; alias, 1 drivers +S_0000000000f26310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26190; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3732,43 +3915,46 @@ S_0000000002ac1ba0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b6ce80/d .functor NOT 1, L_0000000002b43170, C4<0>, C4<0>, C4<0>; -L_0000000002b6ce80 .delay 1 (10000,10000,10000) L_0000000002b6ce80/d; -L_0000000002b6cc50/d .functor NOT 1, L_0000000002b43c10, C4<0>, C4<0>, C4<0>; -L_0000000002b6cc50 .delay 1 (10000,10000,10000) L_0000000002b6cc50/d; -L_0000000002b6cb00/d .functor NAND 1, L_0000000002b43c10, L_0000000002b43170, L_0000000002b6d190, C4<1>; -L_0000000002b6cb00 .delay 1 (30000,30000,30000) L_0000000002b6cb00/d; -L_0000000002b6cda0/d .functor NAND 1, L_0000000002b43c10, L_0000000002b6ce80, L_0000000002b6d2e0, C4<1>; -L_0000000002b6cda0 .delay 1 (30000,30000,30000) L_0000000002b6cda0/d; -L_0000000002b6d820/d .functor NAND 1, L_0000000002b6cc50, L_0000000002b43170, L_0000000002b6e1c0, C4<1>; -L_0000000002b6d820 .delay 1 (30000,30000,30000) L_0000000002b6d820/d; -L_0000000002b6d900/d .functor NAND 1, L_0000000002b6cc50, L_0000000002b6ce80, L_0000000002b6dc10, C4<1>; -L_0000000002b6d900 .delay 1 (30000,30000,30000) L_0000000002b6d900/d; -L_0000000002b6d350/d .functor NAND 1, L_0000000002b6cb00, L_0000000002b6cda0, L_0000000002b6d820, L_0000000002b6d900; -L_0000000002b6d350 .delay 1 (40000,40000,40000) L_0000000002b6d350/d; -v0000000002ad0180_0 .net "a", 0 0, L_0000000002b6d190; alias, 1 drivers -v0000000002acfa00_0 .net "aout", 0 0, L_0000000002b6cb00; 1 drivers -v0000000002ad0cc0_0 .net "b", 0 0, L_0000000002b6d2e0; alias, 1 drivers -v0000000002ad1f80_0 .net "bout", 0 0, L_0000000002b6cda0; 1 drivers -v0000000002ad04a0_0 .net "c", 0 0, L_0000000002b6e1c0; alias, 1 drivers -v0000000002ad1300_0 .net "cout", 0 0, L_0000000002b6d820; 1 drivers -v0000000002acfb40_0 .net "d", 0 0, L_0000000002b6dc10; alias, 1 drivers -v0000000002ad18a0_0 .net "dout", 0 0, L_0000000002b6d900; 1 drivers -v0000000002acfbe0_0 .net "ns0", 0 0, L_0000000002b6ce80; 1 drivers -v0000000002ad0040_0 .net "ns1", 0 0, L_0000000002b6cc50; 1 drivers -v0000000002ad00e0_0 .net "out", 0 0, L_0000000002b6d350; alias, 1 drivers -v0000000002ad0220_0 .net "s0", 0 0, L_0000000002b43170; 1 drivers -v0000000002ad02c0_0 .net "s1", 0 0, L_0000000002b43c10; 1 drivers -S_0000000002ac1120 .scope generate, "aluBits[31]" "aluBits[31]" 4 52, 4 52 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9a20 .param/l "i" 0 4 52, +C4<011111>; -L_0000000002b6ca90/d .functor XOR 1, L_0000000002b43e90, v0000000002a02840_0, C4<0>, C4<0>; -L_0000000002b6ca90 .delay 1 (50000,50000,50000) L_0000000002b6ca90/d; -L_0000000002b6dd60/d .functor AND 1, v0000000002a01300_0, L_0000000002b43670, C4<1>, C4<1>; -L_0000000002b6dd60 .delay 1 (30000,30000,30000) L_0000000002b6dd60/d; -v0000000002ac4240_0 .net *"_s1", 0 0, L_0000000002b43e90; 1 drivers -v0000000002ac4560_0 .net *"_s3", 0 0, L_0000000002b43670; 1 drivers -S_0000000002ad4f40 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002ac1120; +L_00000000026dbaa0/d .functor NOT 1, L_00000000026595a0, C4<0>, C4<0>, C4<0>; +L_00000000026dbaa0 .delay 1 (10000,10000,10000) L_00000000026dbaa0/d; +L_00000000026dbdb0/d .functor NOT 1, L_000000000265a860, C4<0>, C4<0>, C4<0>; +L_00000000026dbdb0 .delay 1 (10000,10000,10000) L_00000000026dbdb0/d; +L_00000000026db4f0/d .functor NAND 1, L_000000000265a860, L_00000000026595a0, L_00000000026dbf00, C4<1>; +L_00000000026db4f0 .delay 1 (30000,30000,30000) L_00000000026db4f0/d; +L_00000000026daca0/d .functor NAND 1, L_000000000265a860, L_00000000026dbaa0, L_00000000026da760, C4<1>; +L_00000000026daca0 .delay 1 (30000,30000,30000) L_00000000026daca0/d; +L_00000000026db090/d .functor NAND 1, L_00000000026dbdb0, L_00000000026595a0, L_00000000026dbbf0, C4<1>; +L_00000000026db090 .delay 1 (30000,30000,30000) L_00000000026db090/d; +L_00000000026da840/d .functor NAND 1, L_00000000026dbdb0, L_00000000026dbaa0, L_00000000026dbb10, C4<1>; +L_00000000026da840 .delay 1 (30000,30000,30000) L_00000000026da840/d; +L_00000000026dbd40/d .functor NAND 1, L_00000000026db4f0, L_00000000026daca0, L_00000000026db090, L_00000000026da840; +L_00000000026dbd40 .delay 1 (40000,40000,40000) L_00000000026dbd40/d; +v0000000000f2b110_0 .net "a", 0 0, L_00000000026dbf00; alias, 1 drivers +v0000000000f2b1b0_0 .net "aout", 0 0, L_00000000026db4f0; 1 drivers +v0000000000f2bc50_0 .net "b", 0 0, L_00000000026da760; alias, 1 drivers +v0000000000f29c70_0 .net "bout", 0 0, L_00000000026daca0; 1 drivers +v0000000000f2b390_0 .net "c", 0 0, L_00000000026dbbf0; alias, 1 drivers +v0000000000f2b7f0_0 .net "cout", 0 0, L_00000000026db090; 1 drivers +v0000000000f29db0_0 .net "d", 0 0, L_00000000026dbb10; alias, 1 drivers +v0000000000f2a170_0 .net "dout", 0 0, L_00000000026da840; 1 drivers +v0000000000f2b4d0_0 .net "ns0", 0 0, L_00000000026dbaa0; 1 drivers +v0000000000f2a350_0 .net "ns1", 0 0, L_00000000026dbdb0; 1 drivers +v0000000000f2b610_0 .net "out", 0 0, L_00000000026dbd40; alias, 1 drivers +v0000000000f2b6b0_0 .net "s0", 0 0, L_00000000026595a0; 1 drivers +v0000000000f2b930_0 .net "s1", 0 0, L_000000000265a860; 1 drivers +S_0000000000f26490 .scope generate, "aluBits[31]" "aluBits[31]" 4 49, 4 49 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4200 .param/l "i" 0 4 49, +C4<011111>; +L_00000000026daf40/d .functor XOR 1, L_0000000002658d80, v0000000000e1f730_0, C4<0>, C4<0>; +L_00000000026daf40 .delay 1 (20000,20000,20000) L_00000000026daf40/d; +L_00000000026dab50/d .functor AND 1, v0000000000e20d10_0, L_0000000002658e20, C4<1>, C4<1>; +L_00000000026dab50 .delay 1 (30000,30000,30000) L_00000000026dab50/d; +L_00000000026da990/d .functor AND 1, L_0000000002659a00, L_00000000026f7b50, C4<1>, C4<1>; +L_00000000026da990 .delay 1 (30000,30000,30000) L_00000000026da990/d; +v0000000000f2c3d0_0 .net *"_s1", 0 0, L_0000000002658d80; 1 drivers +v0000000000f2c470_0 .net *"_s3", 0 0, L_0000000002658e20; 1 drivers +v0000000000f2d230_0 .net *"_s9", 0 0, L_0000000002659a00; 1 drivers +S_0000000000f378b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26490; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3777,19 +3963,19 @@ S_0000000002ad4f40 .scope module, "_bit" "aluFullBit" 4 56, 5 6 0, S_0000000002a .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000002ad2200_0 .net "a", 0 0, L_0000000002b42a90; 1 drivers -v0000000002ad22a0_0 .net "b", 0 0, L_0000000002b44570; 1 drivers -v0000000002ad2520_0 .net "carryAND", 0 0, L_0000000002b6cb70; 1 drivers -v0000000002ac41a0_0 .net "cin", 0 0, L_0000000002b430d0; 1 drivers -v0000000002ac3c00_0 .net "ctrl0", 0 0, L_0000000002b44610; 1 drivers -v0000000002ac3fc0_0 .net "nab", 0 0, L_0000000002b6e150; 1 drivers -v0000000002ac4e20_0 .net "orNOR", 0 0, L_0000000002b6e230; 1 drivers -v0000000002ac3ca0_0 .net "res", 0 0, L_0000000002b6cd30; 1 drivers -v0000000002ac35c0_0 .net "sel", 1 0, v0000000002a01440_0; alias, 1 drivers -v0000000002ac3840_0 .net "sumXOR", 0 0, L_0000000002b6dc80; 1 drivers -L_0000000002b44390 .part v0000000002a01440_0, 1, 1; -L_0000000002b43210 .part v0000000002a01440_0, 0, 1; -S_0000000002ad3ec0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ad4f40; +v0000000000f2d370_0 .net "a", 0 0, L_0000000002658f60; 1 drivers +v0000000000f2e810_0 .net "b", 0 0, L_0000000002659aa0; 1 drivers +v0000000000f2e630_0 .net "carryAND", 0 0, L_00000000026db250; 1 drivers +v0000000000f2cfb0_0 .net "cin", 0 0, L_00000000026596e0; 1 drivers +v0000000000f2d050_0 .net "ctrl0", 0 0, L_000000000265aa40; 1 drivers +v0000000000f2e450_0 .net "nab", 0 0, L_00000000026daae0; 1 drivers +v0000000000f2e4f0_0 .net "orNOR", 0 0, L_00000000026dbc60; 1 drivers +v0000000000f2e270_0 .net "res", 0 0, L_00000000026dbf70; 1 drivers +v0000000000f2c650_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers +v0000000000f2d190_0 .net "sumXOR", 0 0, L_00000000026db020; 1 drivers +L_000000000265a5e0 .part v0000000000e21990_0, 1, 1; +L_0000000002659320 .part v0000000000e21990_0, 0, 1; +S_0000000000f38f30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f378b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3799,32 +3985,32 @@ S_0000000002ad3ec0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002ad4f4 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000002b6e150/d .functor NAND 1, L_0000000002b42a90, L_0000000002b44570, C4<1>, C4<1>; -L_0000000002b6e150 .delay 1 (20000,20000,20000) L_0000000002b6e150/d; -L_0000000002b6ddd0/d .functor NAND 1, L_0000000002b44570, L_0000000002b430d0, C4<1>, C4<1>; -L_0000000002b6ddd0 .delay 1 (20000,20000,20000) L_0000000002b6ddd0/d; -L_0000000002b6d660/d .functor NAND 1, L_0000000002b42a90, L_0000000002b430d0, C4<1>, C4<1>; -L_0000000002b6d660 .delay 1 (20000,20000,20000) L_0000000002b6d660/d; -L_0000000002b6cb70/d .functor NAND 1, L_0000000002b6e150, L_0000000002b6d660, L_0000000002b6ddd0, C4<1>; -L_0000000002b6cb70 .delay 1 (30000,30000,30000) L_0000000002b6cb70/d; -L_0000000002b6dc80/d .functor XOR 1, L_0000000002b42a90, L_0000000002b44570, L_0000000002b430d0, C4<0>; -L_0000000002b6dc80 .delay 1 (30000,30000,30000) L_0000000002b6dc80/d; -L_0000000002b6e2a0/d .functor NOR 1, L_0000000002b42a90, L_0000000002b44570, C4<0>, C4<0>; -L_0000000002b6e2a0 .delay 1 (20000,20000,20000) L_0000000002b6e2a0/d; -L_0000000002b6e230/d .functor XOR 1, L_0000000002b6e2a0, L_0000000002b44610, C4<0>, C4<0>; -L_0000000002b6e230 .delay 1 (20000,20000,20000) L_0000000002b6e230/d; -v0000000002ad1760_0 .net "a", 0 0, L_0000000002b42a90; alias, 1 drivers -v0000000002ad1800_0 .net "anorb", 0 0, L_0000000002b6e2a0; 1 drivers -v0000000002ad1b20_0 .net "b", 0 0, L_0000000002b44570; alias, 1 drivers -v0000000002ad2de0_0 .net "carryAND", 0 0, L_0000000002b6cb70; alias, 1 drivers -v0000000002ad28e0_0 .net "carryin", 0 0, L_0000000002b430d0; alias, 1 drivers -v0000000002ad2980_0 .net "i0", 0 0, L_0000000002b44610; alias, 1 drivers -v0000000002ad25c0_0 .net "nab", 0 0, L_0000000002b6e150; alias, 1 drivers -v0000000002ad2700_0 .net "nac", 0 0, L_0000000002b6d660; 1 drivers -v0000000002ad2a20_0 .net "nbc", 0 0, L_0000000002b6ddd0; 1 drivers -v0000000002ad2ac0_0 .net "orNOR", 0 0, L_0000000002b6e230; alias, 1 drivers -v0000000002ad2b60_0 .net "sumXOR", 0 0, L_0000000002b6dc80; alias, 1 drivers -S_0000000002ad47c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002ad4f40; +L_00000000026daae0/d .functor NAND 1, L_0000000002658f60, L_0000000002659aa0, C4<1>, C4<1>; +L_00000000026daae0 .delay 1 (20000,20000,20000) L_00000000026daae0/d; +L_00000000026dafb0/d .functor NAND 1, L_0000000002659aa0, L_00000000026596e0, C4<1>, C4<1>; +L_00000000026dafb0 .delay 1 (20000,20000,20000) L_00000000026dafb0/d; +L_00000000026dc0c0/d .functor NAND 1, L_0000000002658f60, L_00000000026596e0, C4<1>, C4<1>; +L_00000000026dc0c0 .delay 1 (20000,20000,20000) L_00000000026dc0c0/d; +L_00000000026db250/d .functor NAND 1, L_00000000026daae0, L_00000000026dc0c0, L_00000000026dafb0, C4<1>; +L_00000000026db250 .delay 1 (30000,30000,30000) L_00000000026db250/d; +L_00000000026db020/d .functor XOR 1, L_0000000002658f60, L_0000000002659aa0, L_00000000026596e0, C4<0>; +L_00000000026db020 .delay 1 (30000,30000,30000) L_00000000026db020/d; +L_00000000026dbb80/d .functor NOR 1, L_0000000002658f60, L_0000000002659aa0, C4<0>, C4<0>; +L_00000000026dbb80 .delay 1 (20000,20000,20000) L_00000000026dbb80/d; +L_00000000026dbc60/d .functor XOR 1, L_00000000026dbb80, L_000000000265aa40, C4<0>, C4<0>; +L_00000000026dbc60 .delay 1 (20000,20000,20000) L_00000000026dbc60/d; +v0000000000f2d870_0 .net "a", 0 0, L_0000000002658f60; alias, 1 drivers +v0000000000f2e090_0 .net "anorb", 0 0, L_00000000026dbb80; 1 drivers +v0000000000f2cab0_0 .net "b", 0 0, L_0000000002659aa0; alias, 1 drivers +v0000000000f2c8d0_0 .net "carryAND", 0 0, L_00000000026db250; alias, 1 drivers +v0000000000f2e6d0_0 .net "carryin", 0 0, L_00000000026596e0; alias, 1 drivers +v0000000000f2cdd0_0 .net "i0", 0 0, L_000000000265aa40; alias, 1 drivers +v0000000000f2cb50_0 .net "nab", 0 0, L_00000000026daae0; alias, 1 drivers +v0000000000f2c510_0 .net "nac", 0 0, L_00000000026dc0c0; 1 drivers +v0000000000f2cc90_0 .net "nbc", 0 0, L_00000000026dafb0; 1 drivers +v0000000000f2e590_0 .net "orNOR", 0 0, L_00000000026dbc60; alias, 1 drivers +v0000000000f2cbf0_0 .net "sumXOR", 0 0, L_00000000026db020; alias, 1 drivers +S_0000000000f387b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f378b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3833,247 +4019,247 @@ S_0000000002ad47c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002a .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000002b6d6d0/d .functor NOT 1, L_0000000002b43210, C4<0>, C4<0>, C4<0>; -L_0000000002b6d6d0 .delay 1 (10000,10000,10000) L_0000000002b6d6d0/d; -L_0000000002b6deb0/d .functor NOT 1, L_0000000002b44390, C4<0>, C4<0>, C4<0>; -L_0000000002b6deb0 .delay 1 (10000,10000,10000) L_0000000002b6deb0/d; -L_0000000002b6e310/d .functor NAND 1, L_0000000002b44390, L_0000000002b43210, L_0000000002b6dc80, C4<1>; -L_0000000002b6e310 .delay 1 (30000,30000,30000) L_0000000002b6e310/d; -L_0000000002b6d3c0/d .functor NAND 1, L_0000000002b44390, L_0000000002b6d6d0, L_0000000002b6e230, C4<1>; -L_0000000002b6d3c0 .delay 1 (30000,30000,30000) L_0000000002b6d3c0/d; -L_0000000002b6e0e0/d .functor NAND 1, L_0000000002b6deb0, L_0000000002b43210, L_0000000002b6cb70, C4<1>; -L_0000000002b6e0e0 .delay 1 (30000,30000,30000) L_0000000002b6e0e0/d; -L_0000000002b6d4a0/d .functor NAND 1, L_0000000002b6deb0, L_0000000002b6d6d0, L_0000000002b6e150, C4<1>; -L_0000000002b6d4a0 .delay 1 (30000,30000,30000) L_0000000002b6d4a0/d; -L_0000000002b6cd30/d .functor NAND 1, L_0000000002b6e310, L_0000000002b6d3c0, L_0000000002b6e0e0, L_0000000002b6d4a0; -L_0000000002b6cd30 .delay 1 (40000,40000,40000) L_0000000002b6cd30/d; -v0000000002ad2c00_0 .net "a", 0 0, L_0000000002b6dc80; alias, 1 drivers -v0000000002ad2340_0 .net "aout", 0 0, L_0000000002b6e310; 1 drivers -v0000000002ad2160_0 .net "b", 0 0, L_0000000002b6e230; alias, 1 drivers -v0000000002ad2660_0 .net "bout", 0 0, L_0000000002b6d3c0; 1 drivers -v0000000002ad2e80_0 .net "c", 0 0, L_0000000002b6cb70; alias, 1 drivers -v0000000002ad27a0_0 .net "cout", 0 0, L_0000000002b6e0e0; 1 drivers -v0000000002ad2ca0_0 .net "d", 0 0, L_0000000002b6e150; alias, 1 drivers -v0000000002ad2840_0 .net "dout", 0 0, L_0000000002b6d4a0; 1 drivers -v0000000002ad2d40_0 .net "ns0", 0 0, L_0000000002b6d6d0; 1 drivers -v0000000002ad23e0_0 .net "ns1", 0 0, L_0000000002b6deb0; 1 drivers -v0000000002ad2f20_0 .net "out", 0 0, L_0000000002b6cd30; alias, 1 drivers -v0000000002ad2480_0 .net "s0", 0 0, L_0000000002b43210; 1 drivers -v0000000002ad2fc0_0 .net "s1", 0 0, L_0000000002b44390; 1 drivers -S_0000000002ad3bc0 .scope generate, "zeroFlag[0]" "zeroFlag[0]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f97a0 .param/l "i" 0 4 73, +C4<00>; -L_0000000002b6d740/d .functor OR 1, L_0000000002b42b30, L_0000000002b43fd0, C4<0>, C4<0>; -L_0000000002b6d740 .delay 1 (30000,30000,30000) L_0000000002b6d740/d; -v0000000002ac3480_0 .net *"_s1", 0 0, L_0000000002b42b30; 1 drivers -v0000000002ac3e80_0 .net *"_s2", 0 0, L_0000000002b43fd0; 1 drivers -S_0000000002ad4940 .scope generate, "zeroFlag[1]" "zeroFlag[1]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9b60 .param/l "i" 0 4 73, +C4<01>; -L_0000000002b6d890/d .functor OR 1, L_0000000002b44070, L_0000000002b42c70, C4<0>, C4<0>; -L_0000000002b6d890 .delay 1 (30000,30000,30000) L_0000000002b6d890/d; -v0000000002ac5500_0 .net *"_s1", 0 0, L_0000000002b44070; 1 drivers -v0000000002ac3660_0 .net *"_s2", 0 0, L_0000000002b42c70; 1 drivers -S_0000000002ad4040 .scope generate, "zeroFlag[2]" "zeroFlag[2]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9860 .param/l "i" 0 4 73, +C4<010>; -L_0000000002b6cef0/d .functor OR 1, L_0000000002b432b0, L_0000000002b441b0, C4<0>, C4<0>; -L_0000000002b6cef0 .delay 1 (30000,30000,30000) L_0000000002b6cef0/d; -v0000000002ac46a0_0 .net *"_s1", 0 0, L_0000000002b432b0; 1 drivers -v0000000002ac53c0_0 .net *"_s2", 0 0, L_0000000002b441b0; 1 drivers -S_0000000002ad4dc0 .scope generate, "zeroFlag[3]" "zeroFlag[3]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8d60 .param/l "i" 0 4 73, +C4<011>; -L_0000000002b6d9e0/d .functor OR 1, L_0000000002b446b0, L_0000000002b44250, C4<0>, C4<0>; -L_0000000002b6d9e0 .delay 1 (30000,30000,30000) L_0000000002b6d9e0/d; -v0000000002ac4d80_0 .net *"_s1", 0 0, L_0000000002b446b0; 1 drivers -v0000000002ac38e0_0 .net *"_s2", 0 0, L_0000000002b44250; 1 drivers -S_0000000002ad35c0 .scope generate, "zeroFlag[4]" "zeroFlag[4]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9460 .param/l "i" 0 4 73, +C4<0100>; -L_0000000002b6da50/d .functor OR 1, L_0000000002b442f0, L_0000000002b44cf0, C4<0>, C4<0>; -L_0000000002b6da50 .delay 1 (30000,30000,30000) L_0000000002b6da50/d; -v0000000002ac3200_0 .net *"_s1", 0 0, L_0000000002b442f0; 1 drivers -v0000000002ac5460_0 .net *"_s2", 0 0, L_0000000002b44cf0; 1 drivers -S_0000000002ad41c0 .scope generate, "zeroFlag[5]" "zeroFlag[5]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f98e0 .param/l "i" 0 4 73, +C4<0101>; -L_0000000002b6dba0/d .functor OR 1, L_0000000002b46730, L_0000000002b45830, C4<0>, C4<0>; -L_0000000002b6dba0 .delay 1 (30000,30000,30000) L_0000000002b6dba0/d; -v0000000002ac4ec0_0 .net *"_s1", 0 0, L_0000000002b46730; 1 drivers -v0000000002ac3980_0 .net *"_s2", 0 0, L_0000000002b45830; 1 drivers -S_0000000002ad4c40 .scope generate, "zeroFlag[6]" "zeroFlag[6]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9920 .param/l "i" 0 4 73, +C4<0110>; -L_0000000002b6df20/d .functor OR 1, L_0000000002b45f10, L_0000000002b45970, C4<0>, C4<0>; -L_0000000002b6df20 .delay 1 (30000,30000,30000) L_0000000002b6df20/d; -v0000000002ac3de0_0 .net *"_s1", 0 0, L_0000000002b45f10; 1 drivers -v0000000002ac5640_0 .net *"_s2", 0 0, L_0000000002b45970; 1 drivers -S_0000000002ad38c0 .scope generate, "zeroFlag[7]" "zeroFlag[7]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9060 .param/l "i" 0 4 73, +C4<0111>; -L_0000000002b6e3f0/d .functor OR 1, L_0000000002b46d70, L_0000000002b45ab0, C4<0>, C4<0>; -L_0000000002b6e3f0 .delay 1 (30000,30000,30000) L_0000000002b6e3f0/d; -v0000000002ac47e0_0 .net *"_s1", 0 0, L_0000000002b46d70; 1 drivers -v0000000002ac3f20_0 .net *"_s2", 0 0, L_0000000002b45ab0; 1 drivers -S_0000000002ad4340 .scope generate, "zeroFlag[8]" "zeroFlag[8]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f90a0 .param/l "i" 0 4 73, +C4<01000>; -L_0000000002b6df90/d .functor OR 1, L_0000000002b46eb0, L_0000000002b46e10, C4<0>, C4<0>; -L_0000000002b6df90 .delay 1 (30000,30000,30000) L_0000000002b6df90/d; -v0000000002ac56e0_0 .net *"_s1", 0 0, L_0000000002b46eb0; 1 drivers -v0000000002ac3700_0 .net *"_s2", 0 0, L_0000000002b46e10; 1 drivers -S_0000000002ad4ac0 .scope generate, "zeroFlag[9]" "zeroFlag[9]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8de0 .param/l "i" 0 4 73, +C4<01001>; -L_0000000002b6e070/d .functor OR 1, L_0000000002b467d0, L_0000000002b46870, C4<0>, C4<0>; -L_0000000002b6e070 .delay 1 (30000,30000,30000) L_0000000002b6e070/d; -v0000000002ac55a0_0 .net *"_s1", 0 0, L_0000000002b467d0; 1 drivers -v0000000002ac50a0_0 .net *"_s2", 0 0, L_0000000002b46870; 1 drivers -S_0000000002ad4640 .scope generate, "zeroFlag[10]" "zeroFlag[10]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f99a0 .param/l "i" 0 4 73, +C4<01010>; -L_0000000002b6cf60/d .functor OR 1, L_0000000002b449d0, L_0000000002b46910, C4<0>, C4<0>; -L_0000000002b6cf60 .delay 1 (30000,30000,30000) L_0000000002b6cf60/d; -v0000000002ac32a0_0 .net *"_s1", 0 0, L_0000000002b449d0; 1 drivers -v0000000002ac4600_0 .net *"_s2", 0 0, L_0000000002b46910; 1 drivers -S_0000000002ad44c0 .scope generate, "zeroFlag[11]" "zeroFlag[11]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9960 .param/l "i" 0 4 73, +C4<01011>; -L_0000000002b6e380/d .functor OR 1, L_0000000002b46550, L_0000000002b44c50, C4<0>, C4<0>; -L_0000000002b6e380 .delay 1 (30000,30000,30000) L_0000000002b6e380/d; -v0000000002ac4880_0 .net *"_s1", 0 0, L_0000000002b46550; 1 drivers -v0000000002ac4060_0 .net *"_s2", 0 0, L_0000000002b44c50; 1 drivers -S_0000000002ad3140 .scope generate, "zeroFlag[12]" "zeroFlag[12]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f99e0 .param/l "i" 0 4 73, +C4<01100>; -L_0000000002b6c860/d .functor OR 1, L_0000000002b469b0, L_0000000002b45b50, C4<0>, C4<0>; -L_0000000002b6c860 .delay 1 (30000,30000,30000) L_0000000002b6c860/d; -v0000000002ac58c0_0 .net *"_s1", 0 0, L_0000000002b469b0; 1 drivers -v0000000002ac42e0_0 .net *"_s2", 0 0, L_0000000002b45b50; 1 drivers -S_0000000002ad32c0 .scope generate, "zeroFlag[13]" "zeroFlag[13]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9a60 .param/l "i" 0 4 73, +C4<01101>; -L_0000000002b6e690/d .functor OR 1, L_0000000002b44ed0, L_0000000002b46370, C4<0>, C4<0>; -L_0000000002b6e690 .delay 1 (30000,30000,30000) L_0000000002b6e690/d; -v0000000002ac4f60_0 .net *"_s1", 0 0, L_0000000002b44ed0; 1 drivers -v0000000002ac5780_0 .net *"_s2", 0 0, L_0000000002b46370; 1 drivers -S_0000000002ad3440 .scope generate, "zeroFlag[14]" "zeroFlag[14]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9aa0 .param/l "i" 0 4 73, +C4<01110>; -L_0000000002b6e700/d .functor OR 1, L_0000000002b46050, L_0000000002b45dd0, C4<0>, C4<0>; -L_0000000002b6e700 .delay 1 (30000,30000,30000) L_0000000002b6e700/d; -v0000000002ac4920_0 .net *"_s1", 0 0, L_0000000002b46050; 1 drivers -v0000000002ac49c0_0 .net *"_s2", 0 0, L_0000000002b45dd0; 1 drivers -S_0000000002ad3740 .scope generate, "zeroFlag[15]" "zeroFlag[15]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9ba0 .param/l "i" 0 4 73, +C4<01111>; -L_0000000002b6e930/d .functor OR 1, L_0000000002b451f0, L_0000000002b44b10, C4<0>, C4<0>; -L_0000000002b6e930 .delay 1 (30000,30000,30000) L_0000000002b6e930/d; -v0000000002ac4380_0 .net *"_s1", 0 0, L_0000000002b451f0; 1 drivers -v0000000002ac4740_0 .net *"_s2", 0 0, L_0000000002b44b10; 1 drivers -S_0000000002ad3a40 .scope generate, "zeroFlag[16]" "zeroFlag[16]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9be0 .param/l "i" 0 4 73, +C4<010000>; -L_0000000002b6e620/d .functor OR 1, L_0000000002b460f0, L_0000000002b46f50, C4<0>, C4<0>; -L_0000000002b6e620 .delay 1 (30000,30000,30000) L_0000000002b6e620/d; -v0000000002ac3520_0 .net *"_s1", 0 0, L_0000000002b460f0; 1 drivers -v0000000002ac4100_0 .net *"_s2", 0 0, L_0000000002b46f50; 1 drivers -S_0000000002ad3d40 .scope generate, "zeroFlag[17]" "zeroFlag[17]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8c60 .param/l "i" 0 4 73, +C4<010001>; -L_0000000002b6e9a0/d .functor OR 1, L_0000000002b464b0, L_0000000002b44bb0, C4<0>, C4<0>; -L_0000000002b6e9a0 .delay 1 (30000,30000,30000) L_0000000002b6e9a0/d; -v0000000002ac5820_0 .net *"_s1", 0 0, L_0000000002b464b0; 1 drivers -v0000000002ac37a0_0 .net *"_s2", 0 0, L_0000000002b44bb0; 1 drivers -S_0000000002ad5450 .scope generate, "zeroFlag[18]" "zeroFlag[18]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8ce0 .param/l "i" 0 4 73, +C4<010010>; -L_0000000002b6e540/d .functor OR 1, L_0000000002b46190, L_0000000002b455b0, C4<0>, C4<0>; -L_0000000002b6e540 .delay 1 (30000,30000,30000) L_0000000002b6e540/d; -v0000000002ac4420_0 .net *"_s1", 0 0, L_0000000002b46190; 1 drivers -v0000000002ac3d40_0 .net *"_s2", 0 0, L_0000000002b455b0; 1 drivers -S_0000000002ad6dd0 .scope generate, "zeroFlag[19]" "zeroFlag[19]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8d20 .param/l "i" 0 4 73, +C4<010011>; -L_0000000002b6e770/d .functor OR 1, L_0000000002b44f70, L_0000000002b46af0, C4<0>, C4<0>; -L_0000000002b6e770 .delay 1 (30000,30000,30000) L_0000000002b6e770/d; -v0000000002ac3a20_0 .net *"_s1", 0 0, L_0000000002b44f70; 1 drivers -v0000000002ac3ac0_0 .net *"_s2", 0 0, L_0000000002b46af0; 1 drivers -S_0000000002ad64d0 .scope generate, "zeroFlag[20]" "zeroFlag[20]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8fe0 .param/l "i" 0 4 73, +C4<010100>; -L_0000000002b6ea10/d .functor OR 1, L_0000000002b45650, L_0000000002b465f0, C4<0>, C4<0>; -L_0000000002b6ea10 .delay 1 (30000,30000,30000) L_0000000002b6ea10/d; -v0000000002ac5000_0 .net *"_s1", 0 0, L_0000000002b45650; 1 drivers -v0000000002ac4a60_0 .net *"_s2", 0 0, L_0000000002b465f0; 1 drivers -S_0000000002ad6650 .scope generate, "zeroFlag[21]" "zeroFlag[21]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8da0 .param/l "i" 0 4 73, +C4<010101>; -L_0000000002b6e4d0/d .functor OR 1, L_0000000002b453d0, L_0000000002b45290, C4<0>, C4<0>; -L_0000000002b6e4d0 .delay 1 (30000,30000,30000) L_0000000002b6e4d0/d; -v0000000002ac3b60_0 .net *"_s1", 0 0, L_0000000002b453d0; 1 drivers -v0000000002ac3160_0 .net *"_s2", 0 0, L_0000000002b45290; 1 drivers -S_0000000002ad67d0 .scope generate, "zeroFlag[22]" "zeroFlag[22]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9220 .param/l "i" 0 4 73, +C4<010110>; -L_0000000002b6e5b0/d .functor OR 1, L_0000000002b45bf0, L_0000000002b450b0, C4<0>, C4<0>; -L_0000000002b6e5b0 .delay 1 (30000,30000,30000) L_0000000002b6e5b0/d; -v0000000002ac3340_0 .net *"_s1", 0 0, L_0000000002b45bf0; 1 drivers -v0000000002ac44c0_0 .net *"_s2", 0 0, L_0000000002b450b0; 1 drivers -S_0000000002ad58d0 .scope generate, "zeroFlag[23]" "zeroFlag[23]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8e60 .param/l "i" 0 4 73, +C4<010111>; -L_0000000002b6ea80/d .functor OR 1, L_0000000002b46690, L_0000000002b46a50, C4<0>, C4<0>; -L_0000000002b6ea80 .delay 1 (30000,30000,30000) L_0000000002b6ea80/d; -v0000000002ac4b00_0 .net *"_s1", 0 0, L_0000000002b46690; 1 drivers -v0000000002ac4ba0_0 .net *"_s2", 0 0, L_0000000002b46a50; 1 drivers -S_0000000002ad5a50 .scope generate, "zeroFlag[24]" "zeroFlag[24]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8ea0 .param/l "i" 0 4 73, +C4<011000>; -L_0000000002b6e850/d .functor OR 1, L_0000000002b46230, L_0000000002b45330, C4<0>, C4<0>; -L_0000000002b6e850 .delay 1 (30000,30000,30000) L_0000000002b6e850/d; -v0000000002ac4c40_0 .net *"_s1", 0 0, L_0000000002b46230; 1 drivers -v0000000002ac5320_0 .net *"_s2", 0 0, L_0000000002b45330; 1 drivers -S_0000000002ad55d0 .scope generate, "zeroFlag[25]" "zeroFlag[25]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8ee0 .param/l "i" 0 4 73, +C4<011001>; -L_0000000002b6e460/d .functor OR 1, L_0000000002b46410, L_0000000002b456f0, C4<0>, C4<0>; -L_0000000002b6e460 .delay 1 (30000,30000,30000) L_0000000002b6e460/d; -v0000000002ac5140_0 .net *"_s1", 0 0, L_0000000002b46410; 1 drivers -v0000000002ac4ce0_0 .net *"_s2", 0 0, L_0000000002b456f0; 1 drivers -S_0000000002ad6ad0 .scope generate, "zeroFlag[26]" "zeroFlag[26]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8f20 .param/l "i" 0 4 73, +C4<011010>; -L_0000000002b6e7e0/d .functor OR 1, L_0000000002b46cd0, L_0000000002b44a70, C4<0>, C4<0>; -L_0000000002b6e7e0 .delay 1 (30000,30000,30000) L_0000000002b6e7e0/d; -v0000000002ac51e0_0 .net *"_s1", 0 0, L_0000000002b46cd0; 1 drivers -v0000000002ac5280_0 .net *"_s2", 0 0, L_0000000002b44a70; 1 drivers -S_0000000002ad6050 .scope generate, "zeroFlag[27]" "zeroFlag[27]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8f60 .param/l "i" 0 4 73, +C4<011011>; -L_0000000002b6e8c0/d .functor OR 1, L_0000000002b45fb0, L_0000000002b46b90, C4<0>, C4<0>; -L_0000000002b6e8c0 .delay 1 (30000,30000,30000) L_0000000002b6e8c0/d; -v0000000002ac33e0_0 .net *"_s1", 0 0, L_0000000002b45fb0; 1 drivers -v0000000002ac7120_0 .net *"_s2", 0 0, L_0000000002b46b90; 1 drivers -S_0000000002ad6350 .scope generate, "zeroFlag[28]" "zeroFlag[28]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f8fa0 .param/l "i" 0 4 73, +C4<011100>; -L_0000000002b6eaf0/d .functor OR 1, L_0000000002b462d0, L_0000000002b46c30, C4<0>, C4<0>; -L_0000000002b6eaf0 .delay 1 (30000,30000,30000) L_0000000002b6eaf0/d; -v0000000002ac6a40_0 .net *"_s1", 0 0, L_0000000002b462d0; 1 drivers -v0000000002ac7ee0_0 .net *"_s2", 0 0, L_0000000002b46c30; 1 drivers -S_0000000002ad6950 .scope generate, "zeroFlag[29]" "zeroFlag[29]" 4 73, 4 73 0, S_0000000002847440; - .timescale -9 -12; -P_00000000029f9020 .param/l "i" 0 4 73, +C4<011101>; -L_0000000002b6eb60/d .functor OR 1, L_0000000002b44d90, L_0000000002b46ff0, C4<0>, C4<0>; -L_0000000002b6eb60 .delay 1 (30000,30000,30000) L_0000000002b6eb60/d; -v0000000002ac7f80_0 .net *"_s1", 0 0, L_0000000002b44d90; 1 drivers -v0000000002ac69a0_0 .net *"_s2", 0 0, L_0000000002b46ff0; 1 drivers - .scope S_0000000002836770; +L_00000000026da680/d .functor NOT 1, L_0000000002659320, C4<0>, C4<0>, C4<0>; +L_00000000026da680 .delay 1 (10000,10000,10000) L_00000000026da680/d; +L_00000000026db100/d .functor NOT 1, L_000000000265a5e0, C4<0>, C4<0>, C4<0>; +L_00000000026db100 .delay 1 (10000,10000,10000) L_00000000026db100/d; +L_00000000026dbe20/d .functor NAND 1, L_000000000265a5e0, L_0000000002659320, L_00000000026db020, C4<1>; +L_00000000026dbe20 .delay 1 (30000,30000,30000) L_00000000026dbe20/d; +L_00000000026da6f0/d .functor NAND 1, L_000000000265a5e0, L_00000000026da680, L_00000000026dbc60, C4<1>; +L_00000000026da6f0 .delay 1 (30000,30000,30000) L_00000000026da6f0/d; +L_00000000026da920/d .functor NAND 1, L_00000000026db100, L_0000000002659320, L_00000000026db250, C4<1>; +L_00000000026da920 .delay 1 (30000,30000,30000) L_00000000026da920/d; +L_00000000026dbe90/d .functor NAND 1, L_00000000026db100, L_00000000026da680, L_00000000026daae0, C4<1>; +L_00000000026dbe90 .delay 1 (30000,30000,30000) L_00000000026dbe90/d; +L_00000000026dbf70/d .functor NAND 1, L_00000000026dbe20, L_00000000026da6f0, L_00000000026da920, L_00000000026dbe90; +L_00000000026dbf70 .delay 1 (40000,40000,40000) L_00000000026dbf70/d; +v0000000000f2dff0_0 .net "a", 0 0, L_00000000026db020; alias, 1 drivers +v0000000000f2cd30_0 .net "aout", 0 0, L_00000000026dbe20; 1 drivers +v0000000000f2e310_0 .net "b", 0 0, L_00000000026dbc60; alias, 1 drivers +v0000000000f2c1f0_0 .net "bout", 0 0, L_00000000026da6f0; 1 drivers +v0000000000f2e130_0 .net "c", 0 0, L_00000000026db250; alias, 1 drivers +v0000000000f2ce70_0 .net "cout", 0 0, L_00000000026da920; 1 drivers +v0000000000f2e3b0_0 .net "d", 0 0, L_00000000026daae0; alias, 1 drivers +v0000000000f2e1d0_0 .net "dout", 0 0, L_00000000026dbe90; 1 drivers +v0000000000f2cf10_0 .net "ns0", 0 0, L_00000000026da680; 1 drivers +v0000000000f2c290_0 .net "ns1", 0 0, L_00000000026db100; 1 drivers +v0000000000f2d910_0 .net "out", 0 0, L_00000000026dbf70; alias, 1 drivers +v0000000000f2deb0_0 .net "s0", 0 0, L_0000000002659320; 1 drivers +v0000000000f2c330_0 .net "s1", 0 0, L_000000000265a5e0; 1 drivers +S_0000000000f37430 .scope generate, "zeroFlag[0]" "zeroFlag[0]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de45c0 .param/l "i" 0 4 70, +C4<00>; +L_00000000026db170/d .functor OR 1, L_000000000265ac20, L_000000000265a360, C4<0>, C4<0>; +L_00000000026db170 .delay 1 (30000,30000,30000) L_00000000026db170/d; +v0000000000f2d550_0 .net *"_s1", 0 0, L_000000000265ac20; 1 drivers +v0000000000f2d2d0_0 .net *"_s2", 0 0, L_000000000265a360; 1 drivers +S_0000000000f37a30 .scope generate, "zeroFlag[1]" "zeroFlag[1]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3b40 .param/l "i" 0 4 70, +C4<01>; +L_00000000026dad10/d .functor OR 1, L_0000000002659e60, L_0000000002659fa0, C4<0>, C4<0>; +L_00000000026dad10 .delay 1 (30000,30000,30000) L_00000000026dad10/d; +v0000000000f2dc30_0 .net *"_s1", 0 0, L_0000000002659e60; 1 drivers +v0000000000f2d410_0 .net *"_s2", 0 0, L_0000000002659fa0; 1 drivers +S_0000000000f38ab0 .scope generate, "zeroFlag[2]" "zeroFlag[2]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3a40 .param/l "i" 0 4 70, +C4<010>; +L_00000000026db1e0/d .functor OR 1, L_0000000002659820, L_00000000026598c0, C4<0>, C4<0>; +L_00000000026db1e0 .delay 1 (30000,30000,30000) L_00000000026db1e0/d; +v0000000000f2c5b0_0 .net *"_s1", 0 0, L_0000000002659820; 1 drivers +v0000000000f2c970_0 .net *"_s2", 0 0, L_00000000026598c0; 1 drivers +S_0000000000f38930 .scope generate, "zeroFlag[3]" "zeroFlag[3]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3c00 .param/l "i" 0 4 70, +C4<011>; +L_00000000026dbfe0/d .functor OR 1, L_0000000002659b40, L_0000000002659000, C4<0>, C4<0>; +L_00000000026dbfe0 .delay 1 (30000,30000,30000) L_00000000026dbfe0/d; +v0000000000f2c6f0_0 .net *"_s1", 0 0, L_0000000002659b40; 1 drivers +v0000000000f2c790_0 .net *"_s2", 0 0, L_0000000002659000; 1 drivers +S_0000000000f384b0 .scope generate, "zeroFlag[4]" "zeroFlag[4]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3c80 .param/l "i" 0 4 70, +C4<0100>; +L_00000000026dc130/d .functor OR 1, L_00000000026591e0, L_00000000026590a0, C4<0>, C4<0>; +L_00000000026dc130 .delay 1 (30000,30000,30000) L_00000000026dc130/d; +v0000000000f2c830_0 .net *"_s1", 0 0, L_00000000026591e0; 1 drivers +v0000000000f2d4b0_0 .net *"_s2", 0 0, L_00000000026590a0; 1 drivers +S_0000000000f38c30 .scope generate, "zeroFlag[5]" "zeroFlag[5]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3dc0 .param/l "i" 0 4 70, +C4<0101>; +L_00000000026dad80/d .functor OR 1, L_0000000002659140, L_000000000265a0e0, C4<0>, C4<0>; +L_00000000026dad80 .delay 1 (30000,30000,30000) L_00000000026dad80/d; +v0000000000f2d5f0_0 .net *"_s1", 0 0, L_0000000002659140; 1 drivers +v0000000000f2d690_0 .net *"_s2", 0 0, L_000000000265a0e0; 1 drivers +S_0000000000f372b0 .scope generate, "zeroFlag[6]" "zeroFlag[6]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3e00 .param/l "i" 0 4 70, +C4<0110>; +L_00000000026da5a0/d .functor OR 1, L_0000000002659960, L_000000000265a720, C4<0>, C4<0>; +L_00000000026da5a0 .delay 1 (30000,30000,30000) L_00000000026da5a0/d; +v0000000000f2d730_0 .net *"_s1", 0 0, L_0000000002659960; 1 drivers +v0000000000f2d7d0_0 .net *"_s2", 0 0, L_000000000265a720; 1 drivers +S_0000000000f37bb0 .scope generate, "zeroFlag[7]" "zeroFlag[7]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de3e40 .param/l "i" 0 4 70, +C4<0111>; +L_00000000026dadf0/d .functor OR 1, L_0000000002659be0, L_0000000002659c80, C4<0>, C4<0>; +L_00000000026dadf0 .delay 1 (30000,30000,30000) L_00000000026dadf0/d; +v0000000000f2d9b0_0 .net *"_s1", 0 0, L_0000000002659be0; 1 drivers +v0000000000f2da50_0 .net *"_s2", 0 0, L_0000000002659c80; 1 drivers +S_0000000000f38db0 .scope generate, "zeroFlag[8]" "zeroFlag[8]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5540 .param/l "i" 0 4 70, +C4<01000>; +L_00000000026da610/d .functor OR 1, L_0000000002659d20, L_000000000265a900, C4<0>, C4<0>; +L_00000000026da610 .delay 1 (30000,30000,30000) L_00000000026da610/d; +v0000000000f2daf0_0 .net *"_s1", 0 0, L_0000000002659d20; 1 drivers +v0000000000f2dcd0_0 .net *"_s2", 0 0, L_000000000265a900; 1 drivers +S_0000000000f375b0 .scope generate, "zeroFlag[9]" "zeroFlag[9]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de48c0 .param/l "i" 0 4 70, +C4<01001>; +L_00000000026daa00/d .functor OR 1, L_000000000265af40, L_0000000002659dc0, C4<0>, C4<0>; +L_00000000026daa00 .delay 1 (30000,30000,30000) L_00000000026daa00/d; +v0000000000f2de10_0 .net *"_s1", 0 0, L_000000000265af40; 1 drivers +v0000000000f2f210_0 .net *"_s2", 0 0, L_0000000002659dc0; 1 drivers +S_0000000000f37730 .scope generate, "zeroFlag[10]" "zeroFlag[10]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5580 .param/l "i" 0 4 70, +C4<01010>; +L_00000000026db2c0/d .functor OR 1, L_000000000265ad60, L_000000000265a180, C4<0>, C4<0>; +L_00000000026db2c0 .delay 1 (30000,30000,30000) L_00000000026db2c0/d; +v0000000000f30430_0 .net *"_s1", 0 0, L_000000000265ad60; 1 drivers +v0000000000f30750_0 .net *"_s2", 0 0, L_000000000265a180; 1 drivers +S_0000000000f37d30 .scope generate, "zeroFlag[11]" "zeroFlag[11]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4840 .param/l "i" 0 4 70, +C4<01011>; +L_00000000026dae60/d .functor OR 1, L_000000000265a9a0, L_000000000265aae0, C4<0>, C4<0>; +L_00000000026dae60 .delay 1 (30000,30000,30000) L_00000000026dae60/d; +v0000000000f30ed0_0 .net *"_s1", 0 0, L_000000000265a9a0; 1 drivers +v0000000000f30bb0_0 .net *"_s2", 0 0, L_000000000265aae0; 1 drivers +S_0000000000f37eb0 .scope generate, "zeroFlag[12]" "zeroFlag[12]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4d80 .param/l "i" 0 4 70, +C4<01100>; +L_00000000026db870/d .functor OR 1, L_000000000265acc0, L_000000000265a2c0, C4<0>, C4<0>; +L_00000000026db870 .delay 1 (30000,30000,30000) L_00000000026db870/d; +v0000000000f2fd50_0 .net *"_s1", 0 0, L_000000000265acc0; 1 drivers +v0000000000f30f70_0 .net *"_s2", 0 0, L_000000000265a2c0; 1 drivers +S_0000000000f38030 .scope generate, "zeroFlag[13]" "zeroFlag[13]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5300 .param/l "i" 0 4 70, +C4<01101>; +L_00000000026daed0/d .functor OR 1, L_000000000265a4a0, L_000000000265ae00, C4<0>, C4<0>; +L_00000000026daed0 .delay 1 (30000,30000,30000) L_00000000026daed0/d; +v0000000000f2ed10_0 .net *"_s1", 0 0, L_000000000265a4a0; 1 drivers +v0000000000f2f7b0_0 .net *"_s2", 0 0, L_000000000265ae00; 1 drivers +S_0000000000f37130 .scope generate, "zeroFlag[14]" "zeroFlag[14]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5600 .param/l "i" 0 4 70, +C4<01110>; +L_00000000026db950/d .functor OR 1, L_000000000265aea0, L_000000000265afe0, C4<0>, C4<0>; +L_00000000026db950 .delay 1 (30000,30000,30000) L_00000000026db950/d; +v0000000000f30b10_0 .net *"_s1", 0 0, L_000000000265aea0; 1 drivers +v0000000000f2fdf0_0 .net *"_s2", 0 0, L_000000000265afe0; 1 drivers +S_0000000000f381b0 .scope generate, "zeroFlag[15]" "zeroFlag[15]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4940 .param/l "i" 0 4 70, +C4<01111>; +L_00000000026db330/d .functor OR 1, L_000000000265b080, L_000000000265c660, C4<0>, C4<0>; +L_00000000026db330 .delay 1 (30000,30000,30000) L_00000000026db330/d; +v0000000000f307f0_0 .net *"_s1", 0 0, L_000000000265b080; 1 drivers +v0000000000f2f850_0 .net *"_s2", 0 0, L_000000000265c660; 1 drivers +S_0000000000f38630 .scope generate, "zeroFlag[16]" "zeroFlag[16]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4a80 .param/l "i" 0 4 70, +C4<010000>; +L_00000000026daa70/d .functor OR 1, L_000000000265ba80, L_000000000265d2e0, C4<0>, C4<0>; +L_00000000026daa70 .delay 1 (30000,30000,30000) L_00000000026daa70/d; +v0000000000f2fe90_0 .net *"_s1", 0 0, L_000000000265ba80; 1 drivers +v0000000000f2f5d0_0 .net *"_s2", 0 0, L_000000000265d2e0; 1 drivers +S_0000000000f38330 .scope generate, "zeroFlag[17]" "zeroFlag[17]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4bc0 .param/l "i" 0 4 70, +C4<010001>; +L_00000000026db3a0/d .functor OR 1, L_000000000265b1c0, L_000000000265c2a0, C4<0>, C4<0>; +L_00000000026db3a0 .delay 1 (30000,30000,30000) L_00000000026db3a0/d; +v0000000000f30390_0 .net *"_s1", 0 0, L_000000000265b1c0; 1 drivers +v0000000000f2f2b0_0 .net *"_s2", 0 0, L_000000000265c2a0; 1 drivers +S_0000000000f39140 .scope generate, "zeroFlag[18]" "zeroFlag[18]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4ac0 .param/l "i" 0 4 70, +C4<010010>; +L_00000000026db410/d .functor OR 1, L_000000000265c200, L_000000000265c160, C4<0>, C4<0>; +L_00000000026db410 .delay 1 (30000,30000,30000) L_00000000026db410/d; +v0000000000f2ea90_0 .net *"_s1", 0 0, L_000000000265c200; 1 drivers +v0000000000f2f8f0_0 .net *"_s2", 0 0, L_000000000265c160; 1 drivers +S_0000000000f3a940 .scope generate, "zeroFlag[19]" "zeroFlag[19]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4c00 .param/l "i" 0 4 70, +C4<010011>; +L_00000000026db480/d .functor OR 1, L_000000000265d100, L_000000000265c700, C4<0>, C4<0>; +L_00000000026db480 .delay 1 (30000,30000,30000) L_00000000026db480/d; +v0000000000f2fad0_0 .net *"_s1", 0 0, L_000000000265d100; 1 drivers +v0000000000f30890_0 .net *"_s2", 0 0, L_000000000265c700; 1 drivers +S_0000000000f398c0 .scope generate, "zeroFlag[20]" "zeroFlag[20]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4c40 .param/l "i" 0 4 70, +C4<010100>; +L_00000000026db560/d .functor OR 1, L_000000000265c7a0, L_000000000265c840, C4<0>, C4<0>; +L_00000000026db560 .delay 1 (30000,30000,30000) L_00000000026db560/d; +v0000000000f30c50_0 .net *"_s1", 0 0, L_000000000265c7a0; 1 drivers +v0000000000f2f670_0 .net *"_s2", 0 0, L_000000000265c840; 1 drivers +S_0000000000f3aac0 .scope generate, "zeroFlag[21]" "zeroFlag[21]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4d00 .param/l "i" 0 4 70, +C4<010101>; +L_00000000026db5d0/d .functor OR 1, L_000000000265b940, L_000000000265bf80, C4<0>, C4<0>; +L_00000000026db5d0 .delay 1 (30000,30000,30000) L_00000000026db5d0/d; +v0000000000f304d0_0 .net *"_s1", 0 0, L_000000000265b940; 1 drivers +v0000000000f2f030_0 .net *"_s2", 0 0, L_000000000265bf80; 1 drivers +S_0000000000f392c0 .scope generate, "zeroFlag[22]" "zeroFlag[22]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4d40 .param/l "i" 0 4 70, +C4<010110>; +L_00000000026db640/d .functor OR 1, L_000000000265b300, L_000000000265c340, C4<0>, C4<0>; +L_00000000026db640 .delay 1 (30000,30000,30000) L_00000000026db640/d; +v0000000000f30570_0 .net *"_s1", 0 0, L_000000000265b300; 1 drivers +v0000000000f2fc10_0 .net *"_s2", 0 0, L_000000000265c340; 1 drivers +S_0000000000f3adc0 .scope generate, "zeroFlag[23]" "zeroFlag[23]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de4dc0 .param/l "i" 0 4 70, +C4<010111>; +L_00000000026db6b0/d .functor OR 1, L_000000000265d060, L_000000000265c3e0, C4<0>, C4<0>; +L_00000000026db6b0 .delay 1 (30000,30000,30000) L_00000000026db6b0/d; +v0000000000f2edb0_0 .net *"_s1", 0 0, L_000000000265d060; 1 drivers +v0000000000f31010_0 .net *"_s2", 0 0, L_000000000265c3e0; 1 drivers +S_0000000000f395c0 .scope generate, "zeroFlag[24]" "zeroFlag[24]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de6440 .param/l "i" 0 4 70, +C4<011000>; +L_00000000026db720/d .functor OR 1, L_000000000265c5c0, L_000000000265cd40, C4<0>, C4<0>; +L_00000000026db720 .delay 1 (30000,30000,30000) L_00000000026db720/d; +v0000000000f30d90_0 .net *"_s1", 0 0, L_000000000265c5c0; 1 drivers +v0000000000f310b0_0 .net *"_s2", 0 0, L_000000000265cd40; 1 drivers +S_0000000000f39440 .scope generate, "zeroFlag[25]" "zeroFlag[25]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5fc0 .param/l "i" 0 4 70, +C4<011001>; +L_00000000026db790/d .functor OR 1, L_000000000265b580, L_000000000265c8e0, C4<0>, C4<0>; +L_00000000026db790 .delay 1 (30000,30000,30000) L_00000000026db790/d; +v0000000000f30e30_0 .net *"_s1", 0 0, L_000000000265b580; 1 drivers +v0000000000f2fcb0_0 .net *"_s2", 0 0, L_000000000265c8e0; 1 drivers +S_0000000000f3a7c0 .scope generate, "zeroFlag[26]" "zeroFlag[26]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de6480 .param/l "i" 0 4 70, +C4<011010>; +L_00000000026db800/d .functor OR 1, L_000000000265b800, L_000000000265b9e0, C4<0>, C4<0>; +L_00000000026db800 .delay 1 (30000,30000,30000) L_00000000026db800/d; +v0000000000f30610_0 .net *"_s1", 0 0, L_000000000265b800; 1 drivers +v0000000000f2e950_0 .net *"_s2", 0 0, L_000000000265b9e0; 1 drivers +S_0000000000f39740 .scope generate, "zeroFlag[27]" "zeroFlag[27]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de6780 .param/l "i" 0 4 70, +C4<011011>; +L_00000000026db9c0/d .functor OR 1, L_000000000265b3a0, L_000000000265b8a0, C4<0>, C4<0>; +L_00000000026db9c0 .delay 1 (30000,30000,30000) L_00000000026db9c0/d; +v0000000000f2f490_0 .net *"_s1", 0 0, L_000000000265b3a0; 1 drivers +v0000000000f309d0_0 .net *"_s2", 0 0, L_000000000265b8a0; 1 drivers +S_0000000000f3a640 .scope generate, "zeroFlag[28]" "zeroFlag[28]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5b80 .param/l "i" 0 4 70, +C4<011100>; +L_00000000026f7060/d .functor OR 1, L_000000000265d880, L_000000000265b260, C4<0>, C4<0>; +L_00000000026f7060 .delay 1 (30000,30000,30000) L_00000000026f7060/d; +v0000000000f2f0d0_0 .net *"_s1", 0 0, L_000000000265d880; 1 drivers +v0000000000f2e9f0_0 .net *"_s2", 0 0, L_000000000265b260; 1 drivers +S_0000000000f39a40 .scope generate, "zeroFlag[29]" "zeroFlag[29]" 4 70, 4 70 0, S_0000000000c91660; + .timescale -9 -12; +P_0000000000de5880 .param/l "i" 0 4 70, +C4<011101>; +L_00000000026f6ab0/d .functor OR 1, L_000000000265d6a0, L_000000000265b4e0, C4<0>, C4<0>; +L_00000000026f6ab0 .delay 1 (30000,30000,30000) L_00000000026f6ab0/d; +v0000000000f2ff30_0 .net *"_s1", 0 0, L_000000000265d6a0; 1 drivers +v0000000000f2f710_0 .net *"_s2", 0 0, L_000000000265b4e0; 1 drivers + .scope S_0000000000c8ecc0; T_0 ; - %wait E_00000000029f63e0; - %load/vec4 v0000000002a022a0_0; + %wait E_0000000000e35d80; + %load/vec4 v0000000000e1f910_0; %dup/vec4; %pushi/vec4 0, 0, 3; %cmp/u; @@ -4109,202 +4295,244 @@ T_0 ; %jmp T_0.8; T_0.0 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.1 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.2 ; %pushi/vec4 1, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.3 ; %pushi/vec4 0, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.4 ; %pushi/vec4 2, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.5 ; %pushi/vec4 2, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.6 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.7 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000002a01440_0, 0, 2; + %store/vec4 v0000000000e21990_0, 0, 2; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000002a02840_0, 0, 1; + %store/vec4 v0000000000e1f730_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000002a01300_0, 0, 1; + %store/vec4 v0000000000e20d10_0, 0, 1; %jmp T_0.8; T_0.8 ; %pop/vec4 1; %jmp T_0; .thread T_0, $push; - .scope S_0000000002a1c9d0; + .scope S_0000000000e74ac0; T_1 ; %vpi_call 3 28 "$display", " operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero" {0 0 0}; %vpi_call 3 29 "$display" {0 0 0}; %vpi_call 3 30 "$display", "ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 3, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 34 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 34 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 4294967266, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 50, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 38 "$display", "| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 38 "$display", "| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 4294967291, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 42 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 42 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 200, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 46 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 46 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 4294967294, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 4294967294, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 50 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 50 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %vpi_call 3 51 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %vpi_call 3 53 "$display", "SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %pushi/vec4 5, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 3, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 57 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 57 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 4294967266, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 50, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 61 "$display", "| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 61 "$display", "| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 4294967291, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 65 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 65 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 69 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 69 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 5, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 73 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 73 "$display", "| %b | %b | SUB | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %vpi_call 3 74 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %vpi_call 3 76 "$display", "XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %pushi/vec4 773499565, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 2860817687, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 80 "$display", "| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 80 "$display", "| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 84 "$display", "| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 84 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 88 "$display", "| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 88 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 92 "$display", "| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 92 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002acbb80_0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v0000000002accb20_0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v0000000002acb9a0_0, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 96 "$display", "| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", v0000000002acbb80_0, v0000000002accb20_0, v0000000002acc580_0, v0000000002acc260_0, v0000000002acb680_0, v0000000002acbc20_0 {0 0 0}; + %vpi_call 3 96 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; %vpi_call 3 97 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 99 "$display", "SLT COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 773499565, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; + %pushi/vec4 2860817687, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 103 "$display", "| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; + %pushi/vec4 1, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 3, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 107 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %pushi/vec4 3, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; + %pushi/vec4 5, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 3, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 111 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 115 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v000000000265de20_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 2, 0, 3; + %store/vec4 v000000000265fcc0_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 119 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 120 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %end; .thread T_1; # The file index is used to find the file name in the following table. diff --git a/alu.t.v b/alu.t.v index 3691286..a9c9143 100644 --- a/alu.t.v +++ b/alu.t.v @@ -54,46 +54,69 @@ module testALU(); // 5 - 3 = 2 operandA=32'd5;operandB=32'd3;command=`SUB; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // -30 - 50 = -80 operandA=-32'd30;operandB=32'd50;command=`SUB; #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // 2 - (-5) = 7 operandA=32'd2;operandB=-32'd5;command=`SUB; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // -200 - (-200) = 0 operandA=-32'd200;operandB=-32'd200;command=`SUB; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); // 2 - 5 = -3 operandA=32'd2;operandB=32'd5;command=`SUB; #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); $display("XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); // operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; #10000; - $display("| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd0;operandB=32'd0;command=`XOR; + #10000; + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); // operandA=32'd0;operandB=32'd0;command=`XOR; #10000; - $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); // operandA=32'd0;operandB=32'd0;command=`XOR; #10000; - $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("SLT COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + // + operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; + #10000; + $display("| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd2;operandB=32'd1;command=`SLT; + #10000; + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + // + operandA=32'd3;operandB=32'd5;command=`SLT; + #10000; + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); // operandA=32'd0;operandB=32'd0;command=`XOR; #10000; - $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); // operandA=32'd0;operandB=32'd0;command=`XOR; #10000; - $display("| %b | %b | AND | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); // $dumpflush; diff --git a/alu.v b/alu.v index 2eac6ed..cc6c9a7 100644 --- a/alu.v +++ b/alu.v @@ -5,7 +5,7 @@ `define AND and #30 `define OR or #30 `define NOT not #10 -`define XOR xor #50 +`define XOR xor #20 `define NAND nand #20 `define NOR nor #20 @@ -23,37 +23,34 @@ input[2:0] command wire [31:0] operandA, operandB, finalB, partialResult, result, cIn, cOut; wire [2:0] command; - -//wire[1:0] tmp_s; wire [1:0] select; -/*`OR(tmp_s[0], command[0], command[1]); -`NOT(tmp_s[1], command[1]); -`NAND(select[0], command[2], tmp_s[0]); -`NAND(select[1], command[2], tmp_s[1]); -*/ +wire nSltFlag; wire sltFlag; -//wire aLessB; +wire aLessB; wire nCommand; +wire partialResult2; +wire finalSlt; ALULut _lut(select[1:0], invert, carry, command[2:0]); `XOR (finalB[0], operandB[0], invert); `AND (cIn[0], carry, command[0]); -`NOT (nCommand, command[0]); -`NOR (sltFlag, command[2], command[1], nCommand); -//`AND (result[0], partialResult[0], sltFlag, aLessB); +`NOT (nCommand, command[2]); +nand #30 (nSltFlag, command[0], command[1], nCommand); +`NOT (sltFlag, nSltFlag); -aluFullBit _bit(result[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); +aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); +`AND (partialResult2, partialResult[0], nSltFlag); genvar i; generate for (i=1; i < 32; i=i+1) begin : aluBits `XOR (finalB[i], operandB[i], invert); `AND (cIn[i], carry, cOut[i-1]); - //`AND (result[i], partialResult[i], sltFlag); - aluFullBit _bit(result[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); + aluFullBit _bit(partialResult[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); + `AND (result[i], partialResult[i], nSltFlag); end endgenerate @@ -79,7 +76,8 @@ wire zeroPartial; `NOT (zeroPartial, zeroFlags[30]); and #40 (zero, zeroPartial, select[0], select[1]); -//`XOR (aLessB, partialOverflow, result[31]); - +`XOR (aLessB, partialOverflow, partialResult[31]); +`AND (finalSlt, aLessB, sltFlag); +`OR (result[0], partialResult2, finalSlt); endmodule From c774f6273702e8ad3a3f61a332fa755869a65452 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 20:58:38 -0400 Subject: [PATCH 15/20] final alu.t.v and tests --- alu | 7245 +++++++++++++++++++++++++++-------------------------- alu.t.v | 174 +- alu.v | 16 +- tests.png | Bin 0 -> 70434 bytes 4 files changed, 3851 insertions(+), 3584 deletions(-) create mode 100644 tests.png diff --git a/alu b/alu index 6508e2e..dbc0bca 100644 --- a/alu +++ b/alu @@ -6,7 +6,7 @@ :vpi_module "vhdl_sys"; :vpi_module "v2005_math"; :vpi_module "va_math"; -S_0000000000d8ff20 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; +S_0000000000dcf580 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; .timescale -9 -12; .port_info 0 /OUTPUT 4 "sum" .port_info 1 /OUTPUT 1 "carryout" @@ -14,138 +14,138 @@ S_0000000000d8ff20 .scope module, "FullAdder4bit" "FullAdder4bit" 2 26; .port_info 3 /OUTPUT 1 "overflow" .port_info 4 /INPUT 4 "a" .port_info 5 /INPUT 4 "b" -L_0000000000e6b0e0/d .functor XOR 1, L_0000000000e69da0, L_0000000000e6aeb0, C4<0>, C4<0>; -L_0000000000e6b0e0 .delay 1 (50000,50000,50000) L_0000000000e6b0e0/d; -o0000000000e922f8 .functor BUFZ 4, C4; HiZ drive -v0000000000e5bad0_0 .net "a", 3 0, o0000000000e922f8; 0 drivers -o0000000000e92328 .functor BUFZ 4, C4; HiZ drive -v0000000000e5b990_0 .net "b", 3 0, o0000000000e92328; 0 drivers -v0000000000e5b7b0_0 .net "carryout", 0 0, L_0000000000e6aeb0; 1 drivers -v0000000000e5bb70_0 .net "carryout0", 0 0, L_0000000000e6b000; 1 drivers -v0000000000e5bc10_0 .net "carryout1", 0 0, L_0000000000e6b380; 1 drivers -v0000000000e5ba30_0 .net "carryout2", 0 0, L_0000000000e69da0; 1 drivers -v0000000000e5b530_0 .net "overflow", 0 0, L_0000000000e6b0e0; 1 drivers -v0000000000e5b710_0 .net "sum", 3 0, L_000000000265e320; 1 drivers -L_000000000265dc40 .part o0000000000e922f8, 0, 1; -L_000000000265fb80 .part o0000000000e92328, 0, 1; -L_000000000265fa40 .part o0000000000e922f8, 1, 1; -L_000000000265e1e0 .part o0000000000e92328, 1, 1; -L_000000000265dce0 .part o0000000000e922f8, 2, 1; -L_000000000265fae0 .part o0000000000e92328, 2, 1; -L_000000000265e320 .concat8 [ 1 1 1 1], L_0000000000e6ac80, L_0000000000e69b00, L_0000000000e6add0, L_0000000000e6a0b0; -L_000000000265ee60 .part o0000000000e922f8, 3, 1; -L_000000000265f400 .part o0000000000e92328, 3, 1; -S_0000000000e74c40 .scope module, "a0" "structFullAdder" 2 38, 2 7 0, S_0000000000d8ff20; +L_0000000000eb2f50/d .functor XOR 1, L_0000000000eb3b20, L_0000000000eb2af0, C4<0>, C4<0>; +L_0000000000eb2f50 .delay 1 (50000,50000,50000) L_0000000000eb2f50/d; +o0000000000f25898 .functor BUFZ 4, C4; HiZ drive +v0000000000e9af40_0 .net "a", 3 0, o0000000000f25898; 0 drivers +o0000000000f258c8 .functor BUFZ 4, C4; HiZ drive +v0000000000e9b800_0 .net "b", 3 0, o0000000000f258c8; 0 drivers +v0000000000e9b8a0_0 .net "carryout", 0 0, L_0000000000eb2af0; 1 drivers +v0000000000e9b940_0 .net "carryout0", 0 0, L_0000000000eb3030; 1 drivers +v0000000000e9bc60_0 .net "carryout1", 0 0, L_0000000000eb2930; 1 drivers +v0000000000e9c0c0_0 .net "carryout2", 0 0, L_0000000000eb3b20; 1 drivers +v0000000000e9f0e0_0 .net "overflow", 0 0, L_0000000000eb2f50; 1 drivers +v0000000000e9d4c0_0 .net "sum", 3 0, L_00000000027919c0; 1 drivers +L_00000000027908e0 .part o0000000000f25898, 0, 1; +L_00000000027923c0 .part o0000000000f258c8, 0, 1; +L_0000000002792000 .part o0000000000f25898, 1, 1; +L_0000000002790c00 .part o0000000000f258c8, 1, 1; +L_0000000002792140 .part o0000000000f25898, 2, 1; +L_00000000027928c0 .part o0000000000f258c8, 2, 1; +L_00000000027919c0 .concat8 [ 1 1 1 1], L_0000000000eb32d0, L_0000000000eb2a80, L_0000000000eb3960, L_0000000000eb3c70; +L_0000000002791f60 .part o0000000000f25898, 3, 1; +L_0000000002790e80 .part o0000000000f258c8, 3, 1; +S_0000000000cd8870 .scope module, "a0" "structFullAdder" 2 38, 2 7 0, S_0000000000dcf580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000000e6b310/d .functor XOR 1, L_000000000265dc40, L_000000000265fb80, C4<0>, C4<0>; -L_0000000000e6b310 .delay 1 (50000,50000,50000) L_0000000000e6b310/d; -L_00000000026688b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0000000000e6ac80/d .functor XOR 1, L_0000000000e6b310, L_00000000026688b8, C4<0>, C4<0>; -L_0000000000e6ac80 .delay 1 (50000,50000,50000) L_0000000000e6ac80/d; -L_0000000000e6a890/d .functor AND 1, L_000000000265dc40, L_000000000265fb80, C4<1>, C4<1>; -L_0000000000e6a890 .delay 1 (30000,30000,30000) L_0000000000e6a890/d; -L_0000000000e6b150/d .functor AND 1, L_0000000000e6b310, L_00000000026688b8, C4<1>, C4<1>; -L_0000000000e6b150 .delay 1 (30000,30000,30000) L_0000000000e6b150/d; -L_0000000000e6b000/d .functor OR 1, L_0000000000e6b150, L_0000000000e6a890, C4<0>, C4<0>; -L_0000000000e6b000 .delay 1 (30000,30000,30000) L_0000000000e6b000/d; -v0000000000e57cf0_0 .net "AandB", 0 0, L_0000000000e6a890; 1 drivers -v0000000000e57d90_0 .net "AxorB", 0 0, L_0000000000e6b310; 1 drivers -v0000000000e5a9f0_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6b150; 1 drivers -v0000000000e58fb0_0 .net "a", 0 0, L_000000000265dc40; 1 drivers -v0000000000e5a8b0_0 .net "b", 0 0, L_000000000265fb80; 1 drivers -v0000000000e5a090_0 .net "carryin", 0 0, L_00000000026688b8; 1 drivers -v0000000000e5b0d0_0 .net "carryout", 0 0, L_0000000000e6b000; alias, 1 drivers -v0000000000e59050_0 .net "sum", 0 0, L_0000000000e6ac80; 1 drivers -S_0000000000ca6660 .scope module, "a1" "structFullAdder" 2 39, 2 7 0, S_0000000000d8ff20; +L_0000000000eb2ee0/d .functor XOR 1, L_00000000027908e0, L_00000000027923c0, C4<0>, C4<0>; +L_0000000000eb2ee0 .delay 1 (50000,50000,50000) L_0000000000eb2ee0/d; +L_00000000027a00f8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0000000000eb32d0/d .functor XOR 1, L_0000000000eb2ee0, L_00000000027a00f8, C4<0>, C4<0>; +L_0000000000eb32d0 .delay 1 (50000,50000,50000) L_0000000000eb32d0/d; +L_0000000000eb3340/d .functor AND 1, L_00000000027908e0, L_00000000027923c0, C4<1>, C4<1>; +L_0000000000eb3340 .delay 1 (30000,30000,30000) L_0000000000eb3340/d; +L_0000000000eb2bd0/d .functor AND 1, L_0000000000eb2ee0, L_00000000027a00f8, C4<1>, C4<1>; +L_0000000000eb2bd0 .delay 1 (30000,30000,30000) L_0000000000eb2bd0/d; +L_0000000000eb3030/d .functor OR 1, L_0000000000eb2bd0, L_0000000000eb3340, C4<0>, C4<0>; +L_0000000000eb3030 .delay 1 (30000,30000,30000) L_0000000000eb3030/d; +v0000000000e9b300_0 .net "AandB", 0 0, L_0000000000eb3340; 1 drivers +v0000000000e9b1c0_0 .net "AxorB", 0 0, L_0000000000eb2ee0; 1 drivers +v0000000000e9a540_0 .net "AxorBandCarryIn", 0 0, L_0000000000eb2bd0; 1 drivers +v0000000000e9c520_0 .net "a", 0 0, L_00000000027908e0; 1 drivers +v0000000000e9b4e0_0 .net "b", 0 0, L_00000000027923c0; 1 drivers +v0000000000e9ad60_0 .net "carryin", 0 0, L_00000000027a00f8; 1 drivers +v0000000000e9c340_0 .net "carryout", 0 0, L_0000000000eb3030; alias, 1 drivers +v0000000000e9c980_0 .net "sum", 0 0, L_0000000000eb32d0; 1 drivers +S_0000000000cc1560 .scope module, "a1" "structFullAdder" 2 39, 2 7 0, S_0000000000dcf580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000000e6af90/d .functor XOR 1, L_000000000265fa40, L_000000000265e1e0, C4<0>, C4<0>; -L_0000000000e6af90 .delay 1 (50000,50000,50000) L_0000000000e6af90/d; -L_0000000000e69b00/d .functor XOR 1, L_0000000000e6af90, L_0000000000e6b000, C4<0>, C4<0>; -L_0000000000e69b00 .delay 1 (50000,50000,50000) L_0000000000e69b00/d; -L_0000000000e6a6d0/d .functor AND 1, L_000000000265fa40, L_000000000265e1e0, C4<1>, C4<1>; -L_0000000000e6a6d0 .delay 1 (30000,30000,30000) L_0000000000e6a6d0/d; -L_0000000000e6a660/d .functor AND 1, L_0000000000e6af90, L_0000000000e6b000, C4<1>, C4<1>; -L_0000000000e6a660 .delay 1 (30000,30000,30000) L_0000000000e6a660/d; -L_0000000000e6b380/d .functor OR 1, L_0000000000e6a660, L_0000000000e6a6d0, C4<0>, C4<0>; -L_0000000000e6b380 .delay 1 (30000,30000,30000) L_0000000000e6b380/d; -v0000000000e5a6d0_0 .net "AandB", 0 0, L_0000000000e6a6d0; 1 drivers -v0000000000e5b170_0 .net "AxorB", 0 0, L_0000000000e6af90; 1 drivers -v0000000000e59f50_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6a660; 1 drivers -v0000000000e5b210_0 .net "a", 0 0, L_000000000265fa40; 1 drivers -v0000000000e5a130_0 .net "b", 0 0, L_000000000265e1e0; 1 drivers -v0000000000e5a1d0_0 .net "carryin", 0 0, L_0000000000e6b000; alias, 1 drivers -v0000000000e5b350_0 .net "carryout", 0 0, L_0000000000e6b380; alias, 1 drivers -v0000000000e5b2b0_0 .net "sum", 0 0, L_0000000000e69b00; 1 drivers -S_0000000000ca67e0 .scope module, "a2" "structFullAdder" 2 40, 2 7 0, S_0000000000d8ff20; +L_0000000000eb4140/d .functor XOR 1, L_0000000002792000, L_0000000002790c00, C4<0>, C4<0>; +L_0000000000eb4140 .delay 1 (50000,50000,50000) L_0000000000eb4140/d; +L_0000000000eb2a80/d .functor XOR 1, L_0000000000eb4140, L_0000000000eb3030, C4<0>, C4<0>; +L_0000000000eb2a80 .delay 1 (50000,50000,50000) L_0000000000eb2a80/d; +L_0000000000eb3650/d .functor AND 1, L_0000000002792000, L_0000000002790c00, C4<1>, C4<1>; +L_0000000000eb3650 .delay 1 (30000,30000,30000) L_0000000000eb3650/d; +L_0000000000eb3c00/d .functor AND 1, L_0000000000eb4140, L_0000000000eb3030, C4<1>, C4<1>; +L_0000000000eb3c00 .delay 1 (30000,30000,30000) L_0000000000eb3c00/d; +L_0000000000eb2930/d .functor OR 1, L_0000000000eb3c00, L_0000000000eb3650, C4<0>, C4<0>; +L_0000000000eb2930 .delay 1 (30000,30000,30000) L_0000000000eb2930/d; +v0000000000e9bee0_0 .net "AandB", 0 0, L_0000000000eb3650; 1 drivers +v0000000000e9c160_0 .net "AxorB", 0 0, L_0000000000eb4140; 1 drivers +v0000000000e9bf80_0 .net "AxorBandCarryIn", 0 0, L_0000000000eb3c00; 1 drivers +v0000000000e9c700_0 .net "a", 0 0, L_0000000002792000; 1 drivers +v0000000000e9b080_0 .net "b", 0 0, L_0000000002790c00; 1 drivers +v0000000000e9a860_0 .net "carryin", 0 0, L_0000000000eb3030; alias, 1 drivers +v0000000000e9c020_0 .net "carryout", 0 0, L_0000000000eb2930; alias, 1 drivers +v0000000000e9c7a0_0 .net "sum", 0 0, L_0000000000eb2a80; 1 drivers +S_0000000000cc16e0 .scope module, "a2" "structFullAdder" 2 40, 2 7 0, S_0000000000dcf580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000000e69a90/d .functor XOR 1, L_000000000265dce0, L_000000000265fae0, C4<0>, C4<0>; -L_0000000000e69a90 .delay 1 (50000,50000,50000) L_0000000000e69a90/d; -L_0000000000e6add0/d .functor XOR 1, L_0000000000e69a90, L_0000000000e6b380, C4<0>, C4<0>; -L_0000000000e6add0 .delay 1 (50000,50000,50000) L_0000000000e6add0/d; -L_0000000000e6ae40/d .functor AND 1, L_000000000265dce0, L_000000000265fae0, C4<1>, C4<1>; -L_0000000000e6ae40 .delay 1 (30000,30000,30000) L_0000000000e6ae40/d; -L_0000000000e6aac0/d .functor AND 1, L_0000000000e69a90, L_0000000000e6b380, C4<1>, C4<1>; -L_0000000000e6aac0 .delay 1 (30000,30000,30000) L_0000000000e6aac0/d; -L_0000000000e69da0/d .functor OR 1, L_0000000000e6aac0, L_0000000000e6ae40, C4<0>, C4<0>; -L_0000000000e69da0 .delay 1 (30000,30000,30000) L_0000000000e69da0/d; -v0000000000e5a4f0_0 .net "AandB", 0 0, L_0000000000e6ae40; 1 drivers -v0000000000e590f0_0 .net "AxorB", 0 0, L_0000000000e69a90; 1 drivers -v0000000000e59190_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6aac0; 1 drivers -v0000000000e5a270_0 .net "a", 0 0, L_000000000265dce0; 1 drivers -v0000000000e5a590_0 .net "b", 0 0, L_000000000265fae0; 1 drivers -v0000000000e59230_0 .net "carryin", 0 0, L_0000000000e6b380; alias, 1 drivers -v0000000000e5a450_0 .net "carryout", 0 0, L_0000000000e69da0; alias, 1 drivers -v0000000000e5a770_0 .net "sum", 0 0, L_0000000000e6add0; 1 drivers -S_0000000000c914e0 .scope module, "a3" "structFullAdder" 2 41, 2 7 0, S_0000000000d8ff20; +L_0000000000eb29a0/d .functor XOR 1, L_0000000002792140, L_00000000027928c0, C4<0>, C4<0>; +L_0000000000eb29a0 .delay 1 (50000,50000,50000) L_0000000000eb29a0/d; +L_0000000000eb3960/d .functor XOR 1, L_0000000000eb29a0, L_0000000000eb2930, C4<0>, C4<0>; +L_0000000000eb3960 .delay 1 (50000,50000,50000) L_0000000000eb3960/d; +L_0000000000eb2e70/d .functor AND 1, L_0000000002792140, L_00000000027928c0, C4<1>, C4<1>; +L_0000000000eb2e70 .delay 1 (30000,30000,30000) L_0000000000eb2e70/d; +L_0000000000eb33b0/d .functor AND 1, L_0000000000eb29a0, L_0000000000eb2930, C4<1>, C4<1>; +L_0000000000eb33b0 .delay 1 (30000,30000,30000) L_0000000000eb33b0/d; +L_0000000000eb3b20/d .functor OR 1, L_0000000000eb33b0, L_0000000000eb2e70, C4<0>, C4<0>; +L_0000000000eb3b20 .delay 1 (30000,30000,30000) L_0000000000eb3b20/d; +v0000000000e9c840_0 .net "AandB", 0 0, L_0000000000eb2e70; 1 drivers +v0000000000e9b9e0_0 .net "AxorB", 0 0, L_0000000000eb29a0; 1 drivers +v0000000000e9c3e0_0 .net "AxorBandCarryIn", 0 0, L_0000000000eb33b0; 1 drivers +v0000000000e9aea0_0 .net "a", 0 0, L_0000000002792140; 1 drivers +v0000000000e9bbc0_0 .net "b", 0 0, L_00000000027928c0; 1 drivers +v0000000000e9ca20_0 .net "carryin", 0 0, L_0000000000eb2930; alias, 1 drivers +v0000000000e9b3a0_0 .net "carryout", 0 0, L_0000000000eb3b20; alias, 1 drivers +v0000000000e9a900_0 .net "sum", 0 0, L_0000000000eb3960; 1 drivers +S_0000000000cc7310 .scope module, "a3" "structFullAdder" 2 41, 2 7 0, S_0000000000dcf580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sum" .port_info 1 /OUTPUT 1 "carryout" .port_info 2 /INPUT 1 "a" .port_info 3 /INPUT 1 "b" .port_info 4 /INPUT 1 "carryin" -L_0000000000e6b070/d .functor XOR 1, L_000000000265ee60, L_000000000265f400, C4<0>, C4<0>; -L_0000000000e6b070 .delay 1 (50000,50000,50000) L_0000000000e6b070/d; -L_0000000000e6a0b0/d .functor XOR 1, L_0000000000e6b070, L_0000000000e69da0, C4<0>, C4<0>; -L_0000000000e6a0b0 .delay 1 (50000,50000,50000) L_0000000000e6a0b0/d; -L_0000000000e6a9e0/d .functor AND 1, L_000000000265ee60, L_000000000265f400, C4<1>, C4<1>; -L_0000000000e6a9e0 .delay 1 (30000,30000,30000) L_0000000000e6a9e0/d; -L_0000000000e6af20/d .functor AND 1, L_0000000000e6b070, L_0000000000e69da0, C4<1>, C4<1>; -L_0000000000e6af20 .delay 1 (30000,30000,30000) L_0000000000e6af20/d; -L_0000000000e6aeb0/d .functor OR 1, L_0000000000e6af20, L_0000000000e6a9e0, C4<0>, C4<0>; -L_0000000000e6aeb0 .delay 1 (30000,30000,30000) L_0000000000e6aeb0/d; -v0000000000e5a810_0 .net "AandB", 0 0, L_0000000000e6a9e0; 1 drivers -v0000000000e5a950_0 .net "AxorB", 0 0, L_0000000000e6b070; 1 drivers -v0000000000e5ab30_0 .net "AxorBandCarryIn", 0 0, L_0000000000e6af20; 1 drivers -v0000000000e5abd0_0 .net "a", 0 0, L_000000000265ee60; 1 drivers -v0000000000e5ac70_0 .net "b", 0 0, L_000000000265f400; 1 drivers -v0000000000e5b8f0_0 .net "carryin", 0 0, L_0000000000e69da0; alias, 1 drivers -v0000000000e5b5d0_0 .net "carryout", 0 0, L_0000000000e6aeb0; alias, 1 drivers -v0000000000e5b670_0 .net "sum", 0 0, L_0000000000e6a0b0; 1 drivers -S_0000000000e74ac0 .scope module, "testALU" "testALU" 3 15; - .timescale -9 -12; -v000000000265f720_0 .net "carryout", 0 0, L_00000000026f7ca0; 1 drivers -v000000000265fcc0_0 .var "command", 2 0; -v000000000265de20_0 .var/s "operandA", 31 0; -v000000000265ec80_0 .var/s "operandB", 31 0; -v000000000265e960_0 .net "overflow", 0 0, L_00000000026f6c00; 1 drivers -v000000000265f220_0 .net/s "result", 31 0, L_000000000265cb60; 1 drivers -v000000000265da60_0 .net "zero", 0 0, L_00000000026f6810; 1 drivers -S_0000000000c91660 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000000e74ac0; +L_0000000000eb44c0/d .functor XOR 1, L_0000000002791f60, L_0000000002790e80, C4<0>, C4<0>; +L_0000000000eb44c0 .delay 1 (50000,50000,50000) L_0000000000eb44c0/d; +L_0000000000eb3c70/d .functor XOR 1, L_0000000000eb44c0, L_0000000000eb3b20, C4<0>, C4<0>; +L_0000000000eb3c70 .delay 1 (50000,50000,50000) L_0000000000eb3c70/d; +L_0000000000eb30a0/d .functor AND 1, L_0000000002791f60, L_0000000002790e80, C4<1>, C4<1>; +L_0000000000eb30a0 .delay 1 (30000,30000,30000) L_0000000000eb30a0/d; +L_0000000000eb3110/d .functor AND 1, L_0000000000eb44c0, L_0000000000eb3b20, C4<1>, C4<1>; +L_0000000000eb3110 .delay 1 (30000,30000,30000) L_0000000000eb3110/d; +L_0000000000eb2af0/d .functor OR 1, L_0000000000eb3110, L_0000000000eb30a0, C4<0>, C4<0>; +L_0000000000eb2af0 .delay 1 (30000,30000,30000) L_0000000000eb2af0/d; +v0000000000e9b760_0 .net "AandB", 0 0, L_0000000000eb30a0; 1 drivers +v0000000000e9aae0_0 .net "AxorB", 0 0, L_0000000000eb44c0; 1 drivers +v0000000000e9a4a0_0 .net "AxorBandCarryIn", 0 0, L_0000000000eb3110; 1 drivers +v0000000000e9ba80_0 .net "a", 0 0, L_0000000002791f60; 1 drivers +v0000000000e9b580_0 .net "b", 0 0, L_0000000002790e80; 1 drivers +v0000000000e9acc0_0 .net "carryin", 0 0, L_0000000000eb3b20; alias, 1 drivers +v0000000000e9ae00_0 .net "carryout", 0 0, L_0000000000eb2af0; alias, 1 drivers +v0000000000e9c480_0 .net "sum", 0 0, L_0000000000eb3c70; 1 drivers +S_0000000000cd86f0 .scope module, "testALU" "testALU" 3 15; + .timescale -9 -12; +v0000000002791920_0 .net "carryout", 0 0, L_000000000282d390; 1 drivers +v0000000002790a20_0 .var "command", 2 0; +v0000000002790660_0 .var/s "operandA", 31 0; +v0000000002790b60_0 .var/s "operandB", 31 0; +v0000000002792320_0 .net "overflow", 0 0, L_000000000282c130; 1 drivers +v0000000002791ec0_0 .net/s "result", 31 0, L_000000000282fb30; 1 drivers +v0000000002790700_0 .net "zero", 0 0, L_000000000282c440; 1 drivers +S_0000000000cc7490 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000000cd86f0; .timescale -9 -12; .port_info 0 /OUTPUT 32 "result" .port_info 1 /OUTPUT 1 "carryout" @@ -154,572 +154,581 @@ S_0000000000c91660 .scope module, "alu" "ALU" 3 24, 4 13 0, S_0000000000e74ac0; .port_info 4 /INPUT 32 "operandA" .port_info 5 /INPUT 32 "operandB" .port_info 6 /INPUT 3 "command" -L_00000000026f70d0/d .functor XOR 1, L_000000000265cca0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026f70d0 .delay 1 (20000,20000,20000) L_00000000026f70d0/d; -L_00000000026f7610/d .functor AND 1, v0000000000e20d10_0, L_000000000265cde0, C4<1>, C4<1>; -L_00000000026f7610 .delay 1 (30000,30000,30000) L_00000000026f7610/d; -L_00000000026f7c30/d .functor NOT 1, L_000000000265d240, C4<0>, C4<0>, C4<0>; -L_00000000026f7c30 .delay 1 (10000,10000,10000) L_00000000026f7c30/d; -L_00000000026f7b50/d .functor NAND 1, L_000000000265b440, L_000000000265d380, L_00000000026f7c30, C4<1>; -L_00000000026f7b50 .delay 1 (30000,30000,30000) L_00000000026f7b50/d; -L_00000000026f6b90/d .functor NOT 1, L_00000000026f7b50, C4<0>, C4<0>, C4<0>; -L_00000000026f6b90 .delay 1 (10000,10000,10000) L_00000000026f6b90/d; -L_00000000026f7f40/d .functor AND 1, L_000000000265d420, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026f7f40 .delay 1 (30000,30000,30000) L_00000000026f7f40/d; -L_00000000026f7ed0/d .functor XOR 1, L_000000000265cc00, L_000000000265bc60, C4<0>, C4<0>; -L_00000000026f7ed0 .delay 1 (20000,20000,20000) L_00000000026f7ed0/d; -L_00000000026f7ca0/d .functor AND 1, L_000000000265bd00, L_000000000265c020, L_000000000265ca20, C4<1>; -L_00000000026f7ca0 .delay 1 (40000,40000,40000) L_00000000026f7ca0/d; -L_00000000026f6c00/d .functor AND 1, L_00000000026f7ed0, L_000000000265d4c0, L_000000000265c480, C4<1>; -L_00000000026f6c00 .delay 1 (40000,40000,40000) L_00000000026f6c00/d; -L_00000000026f8100/d .functor OR 1, L_000000000265be40, L_000000000265bee0, C4<0>, C4<0>; -L_00000000026f8100 .delay 1 (30000,30000,30000) L_00000000026f8100/d; -L_00000000026f68f0/d .functor NOT 1, L_000000000265c520, C4<0>, C4<0>, C4<0>; -L_00000000026f68f0 .delay 1 (10000,10000,10000) L_00000000026f68f0/d; -L_00000000026f6810/d .functor AND 1, L_00000000026f68f0, L_000000000265d560, L_000000000265cac0, C4<1>; -L_00000000026f6810 .delay 1 (40000,40000,40000) L_00000000026f6810/d; -L_00000000026f6c70/d .functor XOR 1, L_00000000026f7ed0, L_000000000265c0c0, C4<0>, C4<0>; -L_00000000026f6c70 .delay 1 (20000,20000,20000) L_00000000026f6c70/d; -L_00000000026f77d0/d .functor AND 1, L_00000000026f6c70, L_00000000026f6b90, C4<1>, C4<1>; -L_00000000026f77d0 .delay 1 (30000,30000,30000) L_00000000026f77d0/d; -L_00000000026f6b20/d .functor OR 1, L_00000000026f7f40, L_00000000026f77d0, C4<0>, C4<0>; -L_00000000026f6b20 .delay 1 (30000,30000,30000) L_00000000026f6b20/d; -v0000000000f306b0_0 .net *"_s0", 0 0, L_0000000000e6a900; 1 drivers -v0000000000f30930_0 .net *"_s102", 0 0, L_0000000000f3ba10; 1 drivers -v0000000000f2f990_0 .net *"_s105", 0 0, L_0000000000f3ba80; 1 drivers -v0000000000f2fa30_0 .net *"_s116", 0 0, L_0000000000f3cf10; 1 drivers -v0000000000f30250_0 .net *"_s119", 0 0, L_0000000000f3cf80; 1 drivers -v0000000000f30a70_0 .net *"_s122", 0 0, L_0000000000f3cff0; 1 drivers -v0000000000f30cf0_0 .net *"_s133", 0 0, L_00000000026bcc40; 1 drivers -v0000000000f2f350_0 .net *"_s136", 0 0, L_00000000026bcd20; 1 drivers -v0000000000f301b0_0 .net *"_s139", 0 0, L_00000000026bccb0; 1 drivers -v0000000000f2eb30_0 .net *"_s14", 0 0, L_0000000000e6b620; 1 drivers -v0000000000f2ebd0_0 .net *"_s150", 0 0, L_00000000026bcd90; 1 drivers -v0000000000f2ffd0_0 .net *"_s153", 0 0, L_00000000026bdce0; 1 drivers -v0000000000f2fb70_0 .net *"_s156", 0 0, L_00000000026bdd50; 1 drivers -v0000000000f30070_0 .net *"_s167", 0 0, L_00000000026bcb60; 1 drivers -v0000000000f2ec70_0 .net *"_s17", 0 0, L_0000000000e69cc0; 1 drivers -v0000000000f2f170_0 .net *"_s170", 0 0, L_00000000026bd7a0; 1 drivers -v0000000000f2ee50_0 .net *"_s173", 0 0, L_00000000026bce70; 1 drivers -v0000000000f2eef0_0 .net *"_s184", 0 0, L_00000000026bddc0; 1 drivers -v0000000000f30110_0 .net *"_s187", 0 0, L_00000000026bde30; 1 drivers -v0000000000f2ef90_0 .net *"_s190", 0 0, L_00000000026bdf10; 1 drivers -v0000000000f2f3f0_0 .net *"_s20", 0 0, L_0000000000e6acf0; 1 drivers -v0000000000f2f530_0 .net *"_s201", 0 0, L_00000000026c74b0; 1 drivers -v0000000000f302f0_0 .net *"_s204", 0 0, L_00000000026c7d70; 1 drivers -v0000000000f32230_0 .net *"_s207", 0 0, L_00000000026c7910; 1 drivers -v0000000000f33130_0 .net *"_s218", 0 0, L_00000000026c6d40; 1 drivers -v0000000000f325f0_0 .net *"_s221", 0 0, L_00000000026c8010; 1 drivers -v0000000000f331d0_0 .net *"_s224", 0 0, L_00000000026c6b10; 1 drivers -v0000000000f33590_0 .net *"_s235", 0 0, L_00000000026c6f00; 1 drivers -v0000000000f33270_0 .net *"_s238", 0 0, L_00000000026c80f0; 1 drivers -v0000000000f32370_0 .net *"_s241", 0 0, L_00000000026c7c20; 1 drivers -v0000000000f31a10_0 .net *"_s252", 0 0, L_00000000026c7b40; 1 drivers -v0000000000f322d0_0 .net *"_s255", 0 0, L_00000000026c7bb0; 1 drivers -v0000000000f31470_0 .net *"_s258", 0 0, L_00000000026c7c90; 1 drivers -v0000000000f31970_0 .net *"_s269", 0 0, L_00000000026d6050; 1 drivers -v0000000000f338b0_0 .net *"_s272", 0 0, L_00000000026d5100; 1 drivers -v0000000000f33310_0 .net *"_s275", 0 0, L_00000000026d5330; 1 drivers -v0000000000f31ab0_0 .net *"_s286", 0 0, L_00000000026d4ed0; 1 drivers -v0000000000f31150_0 .net *"_s289", 0 0, L_00000000026d51e0; 1 drivers -v0000000000f32870_0 .net *"_s292", 0 0, L_00000000026d5e90; 1 drivers -v0000000000f31830_0 .net *"_s3", 0 0, L_0000000000e6b1c0; 1 drivers -v0000000000f32cd0_0 .net *"_s303", 0 0, L_00000000026d5a30; 1 drivers -v0000000000f333b0_0 .net *"_s306", 0 0, L_00000000026d53a0; 1 drivers -v0000000000f32af0_0 .net *"_s309", 0 0, L_00000000026d58e0; 1 drivers -v0000000000f32d70_0 .net *"_s31", 0 0, L_0000000000e6a270; 1 drivers -v0000000000f313d0_0 .net *"_s320", 0 0, L_00000000026d4ae0; 1 drivers -v0000000000f31bf0_0 .net *"_s323", 0 0, L_00000000026d4bc0; 1 drivers -v0000000000f32910_0 .net *"_s326", 0 0, L_00000000026d4d10; 1 drivers -v0000000000f311f0_0 .net *"_s337", 0 0, L_00000000026d70f0; 1 drivers -v0000000000f31b50_0 .net *"_s34", 0 0, L_0000000000e6a2e0; 1 drivers -v0000000000f31290_0 .net *"_s340", 0 0, L_00000000026d79b0; 1 drivers -v0000000000f329b0_0 .net *"_s343", 0 0, L_00000000026d7550; 1 drivers -v0000000000f31510_0 .net *"_s354", 0 0, L_00000000026d7da0; 1 drivers -v0000000000f32690_0 .net *"_s357", 0 0, L_00000000026d7d30; 1 drivers -v0000000000f32410_0 .net *"_s360", 0 0, L_00000000026d7b00; 1 drivers -v0000000000f316f0_0 .net *"_s37", 0 0, L_0000000000e6a4a0; 1 drivers -v0000000000f336d0_0 .net *"_s371", 0 0, L_00000000026d6f30; 1 drivers -v0000000000f31dd0_0 .net *"_s374", 0 0, L_00000000026d66e0; 1 drivers -v0000000000f315b0_0 .net *"_s377", 0 0, L_00000000026d7320; 1 drivers -v0000000000f31c90_0 .net *"_s388", 0 0, L_00000000026d67c0; 1 drivers -v0000000000f33630_0 .net *"_s391", 0 0, L_00000000026d68a0; 1 drivers -v0000000000f324b0_0 .net *"_s394", 0 0, L_00000000026d69f0; 1 drivers -v0000000000f31650_0 .net *"_s405", 0 0, L_00000000026d8270; 1 drivers -v0000000000f31f10_0 .net *"_s408", 0 0, L_00000000026d83c0; 1 drivers -v0000000000f32f50_0 .net *"_s411", 0 0, L_00000000026d8350; 1 drivers -v0000000000f33450_0 .net *"_s422", 0 0, L_00000000026dc3d0; 1 drivers -v0000000000f32ff0_0 .net *"_s425", 0 0, L_00000000026dc440; 1 drivers -v0000000000f31d30_0 .net *"_s428", 0 0, L_00000000026dc4b0; 1 drivers -v0000000000f334f0_0 .net *"_s439", 0 0, L_00000000026d8bd0; 1 drivers -v0000000000f31330_0 .net *"_s442", 0 0, L_00000000026d9030; 1 drivers -v0000000000f33770_0 .net *"_s445", 0 0, L_00000000026d90a0; 1 drivers -v0000000000f31e70_0 .net *"_s456", 0 0, L_00000000026d8af0; 1 drivers -v0000000000f33810_0 .net *"_s459", 0 0, L_00000000026d89a0; 1 drivers -v0000000000f33090_0 .net *"_s462", 0 0, L_00000000026d9730; 1 drivers -v0000000000f31fb0_0 .net *"_s473", 0 0, L_00000000026d9810; 1 drivers -v0000000000f32eb0_0 .net *"_s476", 0 0, L_00000000026d98f0; 1 drivers -v0000000000f318d0_0 .net *"_s479", 0 0, L_00000000026d9dc0; 1 drivers -v0000000000f32050_0 .net *"_s48", 0 0, L_0000000000f3c6c0; 1 drivers -v0000000000f32550_0 .net *"_s490", 0 0, L_00000000026dac30; 1 drivers -v0000000000f32730_0 .net *"_s493", 0 0, L_00000000026db8e0; 1 drivers -v0000000000f31790_0 .net *"_s496", 0 0, L_00000000026dbcd0; 1 drivers -v0000000000f320f0_0 .net *"_s507", 0 0, L_00000000026da8b0; 1 drivers -v0000000000f32190_0 .net *"_s51", 0 0, L_0000000000f3cc00; 1 drivers -v0000000000f327d0_0 .net *"_s510", 0 0, L_00000000026daf40; 1 drivers -v0000000000f32a50_0 .net *"_s513", 0 0, L_00000000026dab50; 1 drivers -v0000000000f32b90_0 .net *"_s524", 0 0, L_00000000026da990; 1 drivers -v0000000000f32c30_0 .net *"_s527", 0 0, L_00000000026db170; 1 drivers -v0000000000f32e10_0 .net *"_s531", 0 0, L_00000000026dad10; 1 drivers -v0000000000f34990_0 .net *"_s535", 0 0, L_00000000026db1e0; 1 drivers -v0000000000f35250_0 .net *"_s539", 0 0, L_00000000026dbfe0; 1 drivers -v0000000000f33a90_0 .net *"_s54", 0 0, L_0000000000f3cb90; 1 drivers -v0000000000f33ef0_0 .net *"_s543", 0 0, L_00000000026dc130; 1 drivers -v0000000000f34490_0 .net *"_s547", 0 0, L_00000000026dad80; 1 drivers -v0000000000f348f0_0 .net *"_s551", 0 0, L_00000000026da5a0; 1 drivers -v0000000000f33db0_0 .net *"_s555", 0 0, L_00000000026dadf0; 1 drivers -v0000000000f34710_0 .net *"_s559", 0 0, L_00000000026da610; 1 drivers -v0000000000f356b0_0 .net *"_s563", 0 0, L_00000000026daa00; 1 drivers -v0000000000f34e90_0 .net *"_s567", 0 0, L_00000000026db2c0; 1 drivers -v0000000000f345d0_0 .net *"_s571", 0 0, L_00000000026dae60; 1 drivers -v0000000000f35a70_0 .net *"_s575", 0 0, L_00000000026db870; 1 drivers -v0000000000f35b10_0 .net *"_s579", 0 0, L_00000000026daed0; 1 drivers -v0000000000f351b0_0 .net *"_s583", 0 0, L_00000000026db950; 1 drivers -v0000000000f35390_0 .net *"_s587", 0 0, L_00000000026db330; 1 drivers -v0000000000f33b30_0 .net *"_s591", 0 0, L_00000000026daa70; 1 drivers -v0000000000f34c10_0 .net *"_s595", 0 0, L_00000000026db3a0; 1 drivers -v0000000000f33c70_0 .net *"_s599", 0 0, L_00000000026db410; 1 drivers -v0000000000f35bb0_0 .net *"_s603", 0 0, L_00000000026db480; 1 drivers -v0000000000f360b0_0 .net *"_s607", 0 0, L_00000000026db560; 1 drivers -v0000000000f33bd0_0 .net *"_s611", 0 0, L_00000000026db5d0; 1 drivers -v0000000000f34a30_0 .net *"_s615", 0 0, L_00000000026db640; 1 drivers -v0000000000f35930_0 .net *"_s619", 0 0, L_00000000026db6b0; 1 drivers -v0000000000f34df0_0 .net *"_s623", 0 0, L_00000000026db720; 1 drivers -v0000000000f359d0_0 .net *"_s627", 0 0, L_00000000026db790; 1 drivers -v0000000000f35d90_0 .net *"_s631", 0 0, L_00000000026db800; 1 drivers -v0000000000f35c50_0 .net *"_s635", 0 0, L_00000000026db9c0; 1 drivers -v0000000000f34b70_0 .net *"_s639", 0 0, L_00000000026f7060; 1 drivers -v0000000000f34210_0 .net *"_s643", 0 0, L_00000000026f6ab0; 1 drivers -v0000000000f34ad0_0 .net *"_s648", 0 0, L_00000000026f70d0; 1 drivers -v0000000000f33d10_0 .net *"_s65", 0 0, L_0000000000f3cc70; 1 drivers -v0000000000f352f0_0 .net *"_s652", 0 0, L_000000000265cca0; 1 drivers -v0000000000f34670_0 .net *"_s654", 0 0, L_00000000026f7610; 1 drivers -v0000000000f35750_0 .net *"_s658", 0 0, L_000000000265cde0; 1 drivers -v0000000000f34f30_0 .net *"_s661", 0 0, L_000000000265d240; 1 drivers -v0000000000f34530_0 .net *"_s664", 0 0, L_000000000265b440; 1 drivers -v0000000000f35430_0 .net *"_s666", 0 0, L_000000000265d380; 1 drivers -v0000000000f34cb0_0 .net *"_s68", 0 0, L_0000000000f3b1c0; 1 drivers -v0000000000f33e50_0 .net *"_s684", 0 0, L_000000000265d420; 1 drivers -v0000000000f35ed0_0 .net *"_s687", 0 0, L_000000000265cc00; 1 drivers -v0000000000f34d50_0 .net *"_s689", 0 0, L_000000000265bc60; 1 drivers -v0000000000f35e30_0 .net *"_s692", 0 0, L_000000000265bd00; 1 drivers -v0000000000f35cf0_0 .net *"_s694", 0 0, L_000000000265c020; 1 drivers -v0000000000f339f0_0 .net *"_s696", 0 0, L_000000000265ca20; 1 drivers -v0000000000f35f70_0 .net *"_s699", 0 0, L_000000000265d4c0; 1 drivers -v0000000000f35610_0 .net *"_s701", 0 0, L_000000000265c480; 1 drivers -v0000000000f34fd0_0 .net *"_s703", 0 0, L_00000000026f8100; 1 drivers -v0000000000f35070_0 .net *"_s707", 0 0, L_000000000265be40; 1 drivers -v0000000000f36010_0 .net *"_s709", 0 0, L_000000000265bee0; 1 drivers -v0000000000f354d0_0 .net *"_s71", 0 0, L_0000000000f3b7e0; 1 drivers -v0000000000f33950_0 .net *"_s712", 0 0, L_000000000265c520; 1 drivers -v0000000000f340d0_0 .net *"_s715", 0 0, L_000000000265d560; 1 drivers -v0000000000f33f90_0 .net *"_s717", 0 0, L_000000000265cac0; 1 drivers -v0000000000f34170_0 .net *"_s720", 0 0, L_000000000265c0c0; 1 drivers -v0000000000f34030_0 .net *"_s723", 0 0, L_00000000026f6b20; 1 drivers -v0000000000f34350_0 .net *"_s82", 0 0, L_0000000000f3c9d0; 1 drivers -v0000000000f35110_0 .net *"_s85", 0 0, L_0000000000f3ca40; 1 drivers -v0000000000f342b0_0 .net *"_s88", 0 0, L_0000000000f3cab0; 1 drivers -v0000000000f343f0_0 .net *"_s99", 0 0, L_0000000000f3b9a0; 1 drivers -v0000000000f35570_0 .net "aLessB", 0 0, L_00000000026f6c70; 1 drivers -v0000000000f357f0_0 .net "cIn", 31 0, L_000000000265b620; 1 drivers -v0000000000f35890_0 .net "cOut", 31 0, L_000000000265d7e0; 1 drivers -v0000000000f347b0_0 .net "carry", 0 0, v0000000000e20d10_0; 1 drivers -v0000000000f34850_0 .net "carryout", 0 0, L_00000000026f7ca0; alias, 1 drivers -v000000000265ebe0_0 .net "command", 2 0, v000000000265fcc0_0; 1 drivers -v000000000265e000_0 .net "finalB", 31 0, L_000000000265c980; 1 drivers -v000000000265e820_0 .net "finalSlt", 0 0, L_00000000026f77d0; 1 drivers -v0000000002660080_0 .net "invert", 0 0, v0000000000e1f730_0; 1 drivers -v000000000265f900_0 .net "nCommand", 0 0, L_00000000026f7c30; 1 drivers -v000000000265edc0_0 .net "nSltFlag", 0 0, L_00000000026f7b50; 1 drivers -v000000000265e3c0_0 .net "operandA", 31 0, v000000000265de20_0; 1 drivers -v000000000265f9a0_0 .net "operandB", 31 0, v000000000265ec80_0; 1 drivers -v000000000265f7c0_0 .net "overflow", 0 0, L_00000000026f6c00; alias, 1 drivers -v000000000265d920_0 .net "partialOverflow", 0 0, L_00000000026f7ed0; 1 drivers -v000000000265f0e0_0 .net "partialResult", 31 0, L_000000000265bb20; 1 drivers -v000000000265ed20_0 .net "partialResult2", 0 0, L_00000000026f7f40; 1 drivers -v000000000265e0a0_0 .net "result", 31 0, L_000000000265cb60; alias, 1 drivers -v000000000265e140_0 .net "select", 1 0, v0000000000e21990_0; 1 drivers -v000000000265e280_0 .net "sltFlag", 0 0, L_00000000026f6b90; 1 drivers -v000000000265e780_0 .net "zero", 0 0, L_00000000026f6810; alias, 1 drivers -v000000000265eb40_0 .net "zeroFlags", 30 0, L_000000000265bda0; 1 drivers -v000000000265fea0_0 .net "zeroPartial", 0 0, L_00000000026f68f0; 1 drivers -L_000000000265e8c0 .part v000000000265ec80_0, 1, 1; -L_000000000265e6e0 .part L_000000000265d7e0, 0, 1; -L_000000000265e460 .part v000000000265de20_0, 1, 1; -L_000000000265f4a0 .part L_000000000265c980, 1, 1; -L_000000000265fc20 .part L_000000000265b620, 1, 1; -L_000000000265f2c0 .part v000000000265fcc0_0, 0, 1; -L_000000000265fd60 .part L_000000000265bb20, 1, 1; -L_000000000265ff40 .part v000000000265ec80_0, 2, 1; -L_000000000265e500 .part L_000000000265d7e0, 1, 1; -L_000000000265ef00 .part v000000000265de20_0, 2, 1; -L_000000000265e5a0 .part L_000000000265c980, 2, 1; -L_000000000265e640 .part L_000000000265b620, 2, 1; -L_000000000265fe00 .part v000000000265fcc0_0, 0, 1; -L_000000000265efa0 .part L_000000000265bb20, 2, 1; -L_000000000265db00 .part v000000000265ec80_0, 3, 1; -L_000000000265f180 .part L_000000000265d7e0, 2, 1; -L_000000000265f360 .part v000000000265de20_0, 3, 1; -L_000000000265eaa0 .part L_000000000265c980, 3, 1; -L_000000000265f5e0 .part L_000000000265b620, 3, 1; -L_000000000265dd80 .part v000000000265fcc0_0, 0, 1; -L_000000000265dec0 .part L_000000000265bb20, 3, 1; -L_000000000265f540 .part v000000000265ec80_0, 4, 1; -L_000000000265f860 .part L_000000000265d7e0, 3, 1; -L_0000000002661160 .part v000000000265de20_0, 4, 1; -L_0000000002660800 .part L_000000000265c980, 4, 1; -L_0000000002660440 .part L_000000000265b620, 4, 1; -L_0000000002662740 .part v000000000265fcc0_0, 0, 1; -L_0000000002662100 .part L_000000000265bb20, 4, 1; -L_0000000002660bc0 .part v000000000265ec80_0, 5, 1; -L_0000000002661ac0 .part L_000000000265d7e0, 4, 1; -L_0000000002660620 .part v000000000265de20_0, 5, 1; -L_0000000002662420 .part L_000000000265c980, 5, 1; -L_0000000002660ee0 .part L_000000000265b620, 5, 1; -L_0000000002661480 .part v000000000265fcc0_0, 0, 1; -L_0000000002660e40 .part L_000000000265bb20, 5, 1; -L_0000000002660260 .part v000000000265ec80_0, 6, 1; -L_00000000026604e0 .part L_000000000265d7e0, 5, 1; -L_0000000002661d40 .part v000000000265de20_0, 6, 1; -L_00000000026606c0 .part L_000000000265c980, 6, 1; -L_0000000002661e80 .part L_000000000265b620, 6, 1; -L_0000000002661660 .part v000000000265fcc0_0, 0, 1; -L_00000000026618e0 .part L_000000000265bb20, 6, 1; -L_0000000002661520 .part v000000000265ec80_0, 7, 1; -L_0000000002662880 .part L_000000000265d7e0, 6, 1; -L_00000000026615c0 .part v000000000265de20_0, 7, 1; -L_0000000002661200 .part L_000000000265c980, 7, 1; -L_00000000026608a0 .part L_000000000265b620, 7, 1; -L_00000000026612a0 .part v000000000265fcc0_0, 0, 1; -L_0000000002660f80 .part L_000000000265bb20, 7, 1; -L_0000000002660120 .part v000000000265ec80_0, 8, 1; -L_00000000026627e0 .part L_000000000265d7e0, 7, 1; -L_00000000026624c0 .part v000000000265de20_0, 8, 1; -L_0000000002662380 .part L_000000000265c980, 8, 1; -L_0000000002662060 .part L_000000000265b620, 8, 1; -L_0000000002661340 .part v000000000265fcc0_0, 0, 1; -L_0000000002660300 .part L_000000000265bb20, 8, 1; -L_0000000002661b60 .part v000000000265ec80_0, 9, 1; -L_0000000002661700 .part L_000000000265d7e0, 8, 1; -L_0000000002660940 .part v000000000265de20_0, 9, 1; -L_00000000026613e0 .part L_000000000265c980, 9, 1; -L_0000000002660b20 .part L_000000000265b620, 9, 1; -L_0000000002662560 .part v000000000265fcc0_0, 0, 1; -L_00000000026617a0 .part L_000000000265bb20, 9, 1; -L_0000000002661840 .part v000000000265ec80_0, 10, 1; -L_0000000002660da0 .part L_000000000265d7e0, 9, 1; -L_0000000002661020 .part v000000000265de20_0, 10, 1; -L_0000000002661de0 .part L_000000000265c980, 10, 1; -L_00000000026603a0 .part L_000000000265b620, 10, 1; -L_00000000026621a0 .part v000000000265fcc0_0, 0, 1; -L_0000000002660760 .part L_000000000265bb20, 10, 1; -L_00000000026610c0 .part v000000000265ec80_0, 11, 1; -L_0000000002662240 .part L_000000000265d7e0, 10, 1; -L_0000000002665080 .part v000000000265de20_0, 11, 1; -L_0000000002663780 .part L_000000000265c980, 11, 1; -L_00000000026640e0 .part L_000000000265b620, 11, 1; -L_0000000002663280 .part v000000000265fcc0_0, 0, 1; -L_0000000002663320 .part L_000000000265bb20, 11, 1; -L_0000000002664d60 .part v000000000265ec80_0, 12, 1; -L_0000000002664cc0 .part L_000000000265d7e0, 11, 1; -L_0000000002664ea0 .part v000000000265de20_0, 12, 1; -L_00000000026649a0 .part L_000000000265c980, 12, 1; -L_0000000002662e20 .part L_000000000265b620, 12, 1; -L_00000000026638c0 .part v000000000265fcc0_0, 0, 1; -L_00000000026629c0 .part L_000000000265bb20, 12, 1; -L_00000000026633c0 .part v000000000265ec80_0, 13, 1; -L_0000000002664040 .part L_000000000265d7e0, 12, 1; -L_00000000026636e0 .part v000000000265de20_0, 13, 1; -L_0000000002663c80 .part L_000000000265c980, 13, 1; -L_0000000002664b80 .part L_000000000265b620, 13, 1; -L_0000000002664680 .part v000000000265fcc0_0, 0, 1; -L_0000000002664a40 .part L_000000000265bb20, 13, 1; -L_00000000026647c0 .part v000000000265ec80_0, 14, 1; -L_0000000002663820 .part L_000000000265d7e0, 13, 1; -L_0000000002663b40 .part v000000000265de20_0, 14, 1; -L_0000000002664860 .part L_000000000265c980, 14, 1; -L_0000000002663be0 .part L_000000000265b620, 14, 1; -L_0000000002664720 .part v000000000265fcc0_0, 0, 1; -L_0000000002663000 .part L_000000000265bb20, 14, 1; -L_0000000002663d20 .part v000000000265ec80_0, 15, 1; -L_0000000002664900 .part L_000000000265d7e0, 14, 1; -L_0000000002663e60 .part v000000000265de20_0, 15, 1; -L_0000000002663f00 .part L_000000000265c980, 15, 1; -L_0000000002662ce0 .part L_000000000265b620, 15, 1; -L_0000000002662ba0 .part v000000000265fcc0_0, 0, 1; -L_0000000002664ae0 .part L_000000000265bb20, 15, 1; -L_0000000002663fa0 .part v000000000265ec80_0, 16, 1; -L_0000000002664c20 .part L_000000000265d7e0, 15, 1; -L_0000000002662920 .part v000000000265de20_0, 16, 1; -L_0000000002664fe0 .part L_000000000265c980, 16, 1; -L_0000000002664180 .part L_000000000265b620, 16, 1; -L_0000000002662a60 .part v000000000265fcc0_0, 0, 1; -L_0000000002662b00 .part L_000000000265bb20, 16, 1; -L_0000000002662f60 .part v000000000265ec80_0, 17, 1; -L_0000000002664400 .part L_000000000265d7e0, 16, 1; -L_0000000002663460 .part v000000000265de20_0, 17, 1; -L_0000000002663140 .part L_000000000265c980, 17, 1; -L_0000000002663500 .part L_000000000265b620, 17, 1; -L_00000000026635a0 .part v000000000265fcc0_0, 0, 1; -L_0000000002664540 .part L_000000000265bb20, 17, 1; -L_00000000026645e0 .part v000000000265ec80_0, 18, 1; -L_00000000026642c0 .part L_000000000265d7e0, 17, 1; -L_00000000026644a0 .part v000000000265de20_0, 18, 1; -L_0000000002666f20 .part L_000000000265c980, 18, 1; -L_0000000002665440 .part L_000000000265b620, 18, 1; -L_0000000002665260 .part v000000000265fcc0_0, 0, 1; -L_00000000026665c0 .part L_000000000265bb20, 18, 1; -L_0000000002665580 .part v000000000265ec80_0, 19, 1; -L_0000000002666160 .part L_000000000265d7e0, 18, 1; -L_00000000026668e0 .part v000000000265de20_0, 19, 1; -L_0000000002665a80 .part L_000000000265c980, 19, 1; -L_0000000002666e80 .part L_000000000265b620, 19, 1; -L_0000000002666520 .part v000000000265fcc0_0, 0, 1; -L_0000000002665f80 .part L_000000000265bb20, 19, 1; -L_0000000002665c60 .part v000000000265ec80_0, 20, 1; -L_0000000002666fc0 .part L_000000000265d7e0, 19, 1; -L_0000000002665d00 .part v000000000265de20_0, 20, 1; -L_00000000026662a0 .part L_000000000265c980, 20, 1; -L_0000000002665120 .part L_000000000265b620, 20, 1; -L_00000000026672e0 .part v000000000265fcc0_0, 0, 1; -L_00000000026651c0 .part L_000000000265bb20, 20, 1; -L_0000000002665b20 .part v000000000265ec80_0, 21, 1; -L_0000000002666840 .part L_000000000265d7e0, 20, 1; -L_0000000002665620 .part v000000000265de20_0, 21, 1; -L_0000000002667420 .part L_000000000265c980, 21, 1; -L_0000000002665ee0 .part L_000000000265b620, 21, 1; -L_0000000002666480 .part v000000000265fcc0_0, 0, 1; -L_0000000002665da0 .part L_000000000265bb20, 21, 1; -L_00000000026653a0 .part v000000000265ec80_0, 22, 1; -L_00000000026654e0 .part L_000000000265d7e0, 21, 1; -L_0000000002666d40 .part v000000000265de20_0, 22, 1; -L_0000000002665760 .part L_000000000265c980, 22, 1; -L_0000000002667060 .part L_000000000265b620, 22, 1; -L_0000000002666660 .part v000000000265fcc0_0, 0, 1; -L_0000000002667100 .part L_000000000265bb20, 22, 1; -L_0000000002667880 .part v000000000265ec80_0, 23, 1; -L_0000000002665800 .part L_000000000265d7e0, 22, 1; -L_0000000002666340 .part v000000000265de20_0, 23, 1; -L_0000000002666ac0 .part L_000000000265c980, 23, 1; -L_0000000002666700 .part L_000000000265b620, 23, 1; -L_0000000002665e40 .part v000000000265fcc0_0, 0, 1; -L_0000000002666b60 .part L_000000000265bb20, 23, 1; -L_00000000026660c0 .part v000000000265ec80_0, 24, 1; -L_00000000026667a0 .part L_000000000265d7e0, 23, 1; -L_00000000026663e0 .part v000000000265de20_0, 24, 1; -L_0000000002666ca0 .part L_000000000265c980, 24, 1; -L_0000000002666de0 .part L_000000000265b620, 24, 1; -L_00000000026671a0 .part v000000000265fcc0_0, 0, 1; -L_0000000002667380 .part L_000000000265bb20, 24, 1; -L_00000000026674c0 .part v000000000265ec80_0, 25, 1; -L_0000000002667600 .part L_000000000265d7e0, 24, 1; -L_0000000002667740 .part v000000000265de20_0, 25, 1; -L_00000000026677e0 .part L_000000000265c980, 25, 1; -L_0000000002668280 .part L_000000000265b620, 25, 1; -L_00000000026679c0 .part v000000000265fcc0_0, 0, 1; -L_0000000002667b00 .part L_000000000265bb20, 25, 1; -L_0000000002668320 .part v000000000265ec80_0, 26, 1; -L_00000000026683c0 .part L_000000000265d7e0, 25, 1; -L_0000000002668500 .part v000000000265de20_0, 26, 1; -L_0000000002668140 .part L_000000000265c980, 26, 1; -L_00000000026681e0 .part L_000000000265b620, 26, 1; -L_00000000026686e0 .part v000000000265fcc0_0, 0, 1; -L_0000000002667a60 .part L_000000000265bb20, 26, 1; -L_00000000026685a0 .part v000000000265ec80_0, 27, 1; -L_0000000002667ce0 .part L_000000000265d7e0, 26, 1; -L_0000000002668780 .part v000000000265de20_0, 27, 1; -L_0000000002667ec0 .part L_000000000265c980, 27, 1; -L_0000000002667c40 .part L_000000000265b620, 27, 1; -L_0000000002667d80 .part v000000000265fcc0_0, 0, 1; -L_0000000002667e20 .part L_000000000265bb20, 27, 1; -L_0000000002667f60 .part v000000000265ec80_0, 28, 1; -L_0000000002668000 .part L_000000000265d7e0, 27, 1; -L_000000000265ab80 .part v000000000265de20_0, 28, 1; -L_000000000265a400 .part L_000000000265c980, 28, 1; -L_000000000265a040 .part L_000000000265b620, 28, 1; -L_0000000002659780 .part v000000000265fcc0_0, 0, 1; -L_0000000002658920 .part L_000000000265bb20, 28, 1; -L_00000000026589c0 .part v000000000265ec80_0, 29, 1; -L_0000000002658b00 .part L_000000000265d7e0, 28, 1; -L_0000000002658ec0 .part v000000000265de20_0, 29, 1; -L_000000000265a7c0 .part L_000000000265c980, 29, 1; -L_00000000026593c0 .part L_000000000265b620, 29, 1; -L_0000000002659460 .part v000000000265fcc0_0, 0, 1; -L_0000000002658c40 .part L_000000000265bb20, 29, 1; -L_0000000002659500 .part v000000000265ec80_0, 30, 1; -L_0000000002658ba0 .part L_000000000265d7e0, 29, 1; -L_000000000265a540 .part v000000000265de20_0, 30, 1; -L_0000000002658ce0 .part L_000000000265c980, 30, 1; -L_0000000002659640 .part L_000000000265b620, 30, 1; -L_0000000002659f00 .part v000000000265fcc0_0, 0, 1; -L_000000000265a680 .part L_000000000265bb20, 30, 1; -L_0000000002658d80 .part v000000000265ec80_0, 31, 1; -L_0000000002658e20 .part L_000000000265d7e0, 30, 1; -L_0000000002658f60 .part v000000000265de20_0, 31, 1; -L_0000000002659aa0 .part L_000000000265c980, 31, 1; -L_00000000026596e0 .part L_000000000265b620, 31, 1; -L_000000000265aa40 .part v000000000265fcc0_0, 0, 1; -L_0000000002659a00 .part L_000000000265bb20, 31, 1; -L_000000000265ac20 .part L_000000000265cb60, 1, 1; -L_000000000265a360 .part L_000000000265bda0, 0, 1; -L_0000000002659e60 .part L_000000000265cb60, 2, 1; -L_0000000002659fa0 .part L_000000000265bda0, 1, 1; -L_0000000002659820 .part L_000000000265cb60, 3, 1; -L_00000000026598c0 .part L_000000000265bda0, 2, 1; -L_0000000002659b40 .part L_000000000265cb60, 4, 1; -L_0000000002659000 .part L_000000000265bda0, 3, 1; -L_00000000026591e0 .part L_000000000265cb60, 5, 1; -L_00000000026590a0 .part L_000000000265bda0, 4, 1; -L_0000000002659140 .part L_000000000265cb60, 6, 1; -L_000000000265a0e0 .part L_000000000265bda0, 5, 1; -L_0000000002659960 .part L_000000000265cb60, 7, 1; -L_000000000265a720 .part L_000000000265bda0, 6, 1; -L_0000000002659be0 .part L_000000000265cb60, 8, 1; -L_0000000002659c80 .part L_000000000265bda0, 7, 1; -L_0000000002659d20 .part L_000000000265cb60, 9, 1; -L_000000000265a900 .part L_000000000265bda0, 8, 1; -L_000000000265af40 .part L_000000000265cb60, 10, 1; -L_0000000002659dc0 .part L_000000000265bda0, 9, 1; -L_000000000265ad60 .part L_000000000265cb60, 11, 1; -L_000000000265a180 .part L_000000000265bda0, 10, 1; -L_000000000265a9a0 .part L_000000000265cb60, 12, 1; -L_000000000265aae0 .part L_000000000265bda0, 11, 1; -L_000000000265acc0 .part L_000000000265cb60, 13, 1; -L_000000000265a2c0 .part L_000000000265bda0, 12, 1; -L_000000000265a4a0 .part L_000000000265cb60, 14, 1; -L_000000000265ae00 .part L_000000000265bda0, 13, 1; -L_000000000265aea0 .part L_000000000265cb60, 15, 1; -L_000000000265afe0 .part L_000000000265bda0, 14, 1; -L_000000000265b080 .part L_000000000265cb60, 16, 1; -L_000000000265c660 .part L_000000000265bda0, 15, 1; -L_000000000265ba80 .part L_000000000265cb60, 17, 1; -L_000000000265d2e0 .part L_000000000265bda0, 16, 1; -L_000000000265b1c0 .part L_000000000265cb60, 18, 1; -L_000000000265c2a0 .part L_000000000265bda0, 17, 1; -L_000000000265c200 .part L_000000000265cb60, 19, 1; -L_000000000265c160 .part L_000000000265bda0, 18, 1; -L_000000000265d100 .part L_000000000265cb60, 20, 1; -L_000000000265c700 .part L_000000000265bda0, 19, 1; -L_000000000265c7a0 .part L_000000000265cb60, 21, 1; -L_000000000265c840 .part L_000000000265bda0, 20, 1; -L_000000000265b940 .part L_000000000265cb60, 22, 1; -L_000000000265bf80 .part L_000000000265bda0, 21, 1; -L_000000000265b300 .part L_000000000265cb60, 23, 1; -L_000000000265c340 .part L_000000000265bda0, 22, 1; -L_000000000265d060 .part L_000000000265cb60, 24, 1; -L_000000000265c3e0 .part L_000000000265bda0, 23, 1; -L_000000000265c5c0 .part L_000000000265cb60, 25, 1; -L_000000000265cd40 .part L_000000000265bda0, 24, 1; -L_000000000265b580 .part L_000000000265cb60, 26, 1; -L_000000000265c8e0 .part L_000000000265bda0, 25, 1; -L_000000000265b800 .part L_000000000265cb60, 27, 1; -L_000000000265b9e0 .part L_000000000265bda0, 26, 1; -L_000000000265b3a0 .part L_000000000265cb60, 28, 1; -L_000000000265b8a0 .part L_000000000265bda0, 27, 1; -L_000000000265d880 .part L_000000000265cb60, 29, 1; -L_000000000265b260 .part L_000000000265bda0, 28, 1; -L_000000000265d6a0 .part L_000000000265cb60, 30, 1; -L_000000000265b4e0 .part L_000000000265bda0, 29, 1; -LS_000000000265c980_0_0 .concat8 [ 1 1 1 1], L_00000000026f70d0, L_0000000000e6a900, L_0000000000e69cc0, L_0000000000e6a2e0; -LS_000000000265c980_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cc00, L_0000000000f3b1c0, L_0000000000f3ca40, L_0000000000f3ba10; -LS_000000000265c980_0_8 .concat8 [ 1 1 1 1], L_0000000000f3cf80, L_00000000026bcd20, L_00000000026bdce0, L_00000000026bd7a0; -LS_000000000265c980_0_12 .concat8 [ 1 1 1 1], L_00000000026bde30, L_00000000026c7d70, L_00000000026c8010, L_00000000026c80f0; -LS_000000000265c980_0_16 .concat8 [ 1 1 1 1], L_00000000026c7bb0, L_00000000026d5100, L_00000000026d51e0, L_00000000026d53a0; -LS_000000000265c980_0_20 .concat8 [ 1 1 1 1], L_00000000026d4bc0, L_00000000026d79b0, L_00000000026d7d30, L_00000000026d66e0; -LS_000000000265c980_0_24 .concat8 [ 1 1 1 1], L_00000000026d68a0, L_00000000026d83c0, L_00000000026dc440, L_00000000026d9030; -LS_000000000265c980_0_28 .concat8 [ 1 1 1 1], L_00000000026d89a0, L_00000000026d98f0, L_00000000026db8e0, L_00000000026daf40; -LS_000000000265c980_1_0 .concat8 [ 4 4 4 4], LS_000000000265c980_0_0, LS_000000000265c980_0_4, LS_000000000265c980_0_8, LS_000000000265c980_0_12; -LS_000000000265c980_1_4 .concat8 [ 4 4 4 4], LS_000000000265c980_0_16, LS_000000000265c980_0_20, LS_000000000265c980_0_24, LS_000000000265c980_0_28; -L_000000000265c980 .concat8 [ 16 16 0 0], LS_000000000265c980_1_0, LS_000000000265c980_1_4; -L_000000000265cca0 .part v000000000265ec80_0, 0, 1; -LS_000000000265b620_0_0 .concat8 [ 1 1 1 1], L_00000000026f7610, L_0000000000e6b1c0, L_0000000000e6acf0, L_0000000000e6a4a0; -LS_000000000265b620_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cb90, L_0000000000f3b7e0, L_0000000000f3cab0, L_0000000000f3ba80; -LS_000000000265b620_0_8 .concat8 [ 1 1 1 1], L_0000000000f3cff0, L_00000000026bccb0, L_00000000026bdd50, L_00000000026bce70; -LS_000000000265b620_0_12 .concat8 [ 1 1 1 1], L_00000000026bdf10, L_00000000026c7910, L_00000000026c6b10, L_00000000026c7c20; -LS_000000000265b620_0_16 .concat8 [ 1 1 1 1], L_00000000026c7c90, L_00000000026d5330, L_00000000026d5e90, L_00000000026d58e0; -LS_000000000265b620_0_20 .concat8 [ 1 1 1 1], L_00000000026d4d10, L_00000000026d7550, L_00000000026d7b00, L_00000000026d7320; -LS_000000000265b620_0_24 .concat8 [ 1 1 1 1], L_00000000026d69f0, L_00000000026d8350, L_00000000026dc4b0, L_00000000026d90a0; -LS_000000000265b620_0_28 .concat8 [ 1 1 1 1], L_00000000026d9730, L_00000000026d9dc0, L_00000000026dbcd0, L_00000000026dab50; -LS_000000000265b620_1_0 .concat8 [ 4 4 4 4], LS_000000000265b620_0_0, LS_000000000265b620_0_4, LS_000000000265b620_0_8, LS_000000000265b620_0_12; -LS_000000000265b620_1_4 .concat8 [ 4 4 4 4], LS_000000000265b620_0_16, LS_000000000265b620_0_20, LS_000000000265b620_0_24, LS_000000000265b620_0_28; -L_000000000265b620 .concat8 [ 16 16 0 0], LS_000000000265b620_1_0, LS_000000000265b620_1_4; -L_000000000265cde0 .part v000000000265fcc0_0, 0, 1; -L_000000000265d240 .part v000000000265fcc0_0, 2, 1; -L_000000000265b440 .part v000000000265fcc0_0, 0, 1; -L_000000000265d380 .part v000000000265fcc0_0, 1, 1; -LS_000000000265bb20_0_0 .concat8 [ 1 1 1 1], L_00000000026f78b0, L_0000000000e69c50, L_0000000000e6a190, L_0000000000f3b8c0; -LS_000000000265bb20_0_4 .concat8 [ 1 1 1 1], L_0000000000f3b700, L_0000000000f3c8f0, L_0000000000f3b460, L_0000000000f3cea0; -LS_000000000265bb20_0_8 .concat8 [ 1 1 1 1], L_00000000026be370, L_00000000026bc930, L_00000000026bd030, L_00000000026be0d0; -LS_000000000265bb20_0_12 .concat8 [ 1 1 1 1], L_00000000026c7fa0, L_00000000026c7ec0, L_00000000026c72f0, L_00000000026c7a60; -LS_000000000265bb20_0_16 .concat8 [ 1 1 1 1], L_00000000026d63d0, L_00000000026d56b0, L_00000000026d6130, L_00000000026d4a70; -LS_000000000265bb20_0_20 .concat8 [ 1 1 1 1], L_00000000026d7be0, L_00000000026d7cc0, L_00000000026d7fd0, L_00000000026d7f60; -LS_000000000265bb20_0_24 .concat8 [ 1 1 1 1], L_00000000026d8190, L_00000000026dc520, L_00000000026d96c0, L_00000000026da0d0; -LS_000000000265bb20_0_28 .concat8 [ 1 1 1 1], L_00000000026d8c40, L_00000000026dba30, L_00000000026dbd40, L_00000000026dbf70; -LS_000000000265bb20_1_0 .concat8 [ 4 4 4 4], LS_000000000265bb20_0_0, LS_000000000265bb20_0_4, LS_000000000265bb20_0_8, LS_000000000265bb20_0_12; -LS_000000000265bb20_1_4 .concat8 [ 4 4 4 4], LS_000000000265bb20_0_16, LS_000000000265bb20_0_20, LS_000000000265bb20_0_24, LS_000000000265bb20_0_28; -L_000000000265bb20 .concat8 [ 16 16 0 0], LS_000000000265bb20_1_0, LS_000000000265bb20_1_4; -LS_000000000265d7e0_0_0 .concat8 [ 1 1 1 1], L_00000000026f7a70, L_0000000000e6a200, L_0000000000e69e80, L_0000000000e6a580; -LS_000000000265d7e0_0_4 .concat8 [ 1 1 1 1], L_0000000000f3c730, L_0000000000f3b770, L_0000000000f3be70, L_0000000000f3bc40; -LS_000000000265d7e0_0_8 .concat8 [ 1 1 1 1], L_00000000026be5a0, L_00000000026bca80, L_00000000026bd260, L_00000000026bd650; -LS_000000000265d7e0_0_12 .concat8 [ 1 1 1 1], L_00000000026be450, L_00000000026c6fe0, L_00000000026c8080, L_00000000026c7f30; -LS_000000000265d7e0_0_16 .concat8 [ 1 1 1 1], L_00000000026c87f0, L_00000000026d61a0, L_00000000026d5720, L_00000000026d5b10; -LS_000000000265d7e0_0_20 .concat8 [ 1 1 1 1], L_00000000026d4f40, L_00000000026d6c20, L_00000000026d7240, L_00000000026d6830; -LS_000000000265d7e0_0_24 .concat8 [ 1 1 1 1], L_00000000026d84a0, L_00000000026dc670, L_00000000026d9c00, L_00000000026d9180; -LS_000000000265d7e0_0_28 .concat8 [ 1 1 1 1], L_00000000026d8a80, L_00000000026d9c70, L_00000000026dbbf0, L_00000000026db250; -LS_000000000265d7e0_1_0 .concat8 [ 4 4 4 4], LS_000000000265d7e0_0_0, LS_000000000265d7e0_0_4, LS_000000000265d7e0_0_8, LS_000000000265d7e0_0_12; -LS_000000000265d7e0_1_4 .concat8 [ 4 4 4 4], LS_000000000265d7e0_0_16, LS_000000000265d7e0_0_20, LS_000000000265d7e0_0_24, LS_000000000265d7e0_0_28; -L_000000000265d7e0 .concat8 [ 16 16 0 0], LS_000000000265d7e0_1_0, LS_000000000265d7e0_1_4; -L_000000000265d1a0 .part v000000000265de20_0, 0, 1; -L_000000000265b6c0 .part L_000000000265c980, 0, 1; -L_000000000265b760 .part L_000000000265b620, 0, 1; -L_000000000265bbc0 .part v000000000265fcc0_0, 0, 1; -L_000000000265d420 .part L_000000000265bb20, 0, 1; -L_000000000265cc00 .part L_000000000265d7e0, 30, 1; -L_000000000265bc60 .part L_000000000265d7e0, 31, 1; -L_000000000265bd00 .part L_000000000265d7e0, 31, 1; -L_000000000265c020 .part v0000000000e21990_0, 0, 1; -L_000000000265ca20 .part v0000000000e21990_0, 1, 1; -L_000000000265d4c0 .part v0000000000e21990_0, 0, 1; -L_000000000265c480 .part v0000000000e21990_0, 1, 1; -LS_000000000265bda0_0_0 .concat8 [ 1 1 1 1], L_00000000026f8100, L_00000000026db170, L_00000000026dad10, L_00000000026db1e0; -LS_000000000265bda0_0_4 .concat8 [ 1 1 1 1], L_00000000026dbfe0, L_00000000026dc130, L_00000000026dad80, L_00000000026da5a0; -LS_000000000265bda0_0_8 .concat8 [ 1 1 1 1], L_00000000026dadf0, L_00000000026da610, L_00000000026daa00, L_00000000026db2c0; -LS_000000000265bda0_0_12 .concat8 [ 1 1 1 1], L_00000000026dae60, L_00000000026db870, L_00000000026daed0, L_00000000026db950; -LS_000000000265bda0_0_16 .concat8 [ 1 1 1 1], L_00000000026db330, L_00000000026daa70, L_00000000026db3a0, L_00000000026db410; -LS_000000000265bda0_0_20 .concat8 [ 1 1 1 1], L_00000000026db480, L_00000000026db560, L_00000000026db5d0, L_00000000026db640; -LS_000000000265bda0_0_24 .concat8 [ 1 1 1 1], L_00000000026db6b0, L_00000000026db720, L_00000000026db790, L_00000000026db800; -LS_000000000265bda0_0_28 .concat8 [ 1 1 1 0], L_00000000026db9c0, L_00000000026f7060, L_00000000026f6ab0; -LS_000000000265bda0_1_0 .concat8 [ 4 4 4 4], LS_000000000265bda0_0_0, LS_000000000265bda0_0_4, LS_000000000265bda0_0_8, LS_000000000265bda0_0_12; -LS_000000000265bda0_1_4 .concat8 [ 4 4 4 3], LS_000000000265bda0_0_16, LS_000000000265bda0_0_20, LS_000000000265bda0_0_24, LS_000000000265bda0_0_28; -L_000000000265bda0 .concat8 [ 16 15 0 0], LS_000000000265bda0_1_0, LS_000000000265bda0_1_4; -L_000000000265be40 .part L_000000000265cb60, 0, 1; -L_000000000265bee0 .part L_000000000265cb60, 1, 1; -L_000000000265c520 .part L_000000000265bda0, 30, 1; -L_000000000265d560 .part v0000000000e21990_0, 0, 1; -L_000000000265cac0 .part v0000000000e21990_0, 1, 1; -L_000000000265c0c0 .part L_000000000265bb20, 31, 1; -LS_000000000265cb60_0_0 .concat8 [ 1 1 1 1], L_00000000026f6b20, L_0000000000e6b620, L_0000000000e6a270, L_0000000000f3c6c0; -LS_000000000265cb60_0_4 .concat8 [ 1 1 1 1], L_0000000000f3cc70, L_0000000000f3c9d0, L_0000000000f3b9a0, L_0000000000f3cf10; -LS_000000000265cb60_0_8 .concat8 [ 1 1 1 1], L_00000000026bcc40, L_00000000026bcd90, L_00000000026bcb60, L_00000000026bddc0; -LS_000000000265cb60_0_12 .concat8 [ 1 1 1 1], L_00000000026c74b0, L_00000000026c6d40, L_00000000026c6f00, L_00000000026c7b40; -LS_000000000265cb60_0_16 .concat8 [ 1 1 1 1], L_00000000026d6050, L_00000000026d4ed0, L_00000000026d5a30, L_00000000026d4ae0; -LS_000000000265cb60_0_20 .concat8 [ 1 1 1 1], L_00000000026d70f0, L_00000000026d7da0, L_00000000026d6f30, L_00000000026d67c0; -LS_000000000265cb60_0_24 .concat8 [ 1 1 1 1], L_00000000026d8270, L_00000000026dc3d0, L_00000000026d8bd0, L_00000000026d8af0; -LS_000000000265cb60_0_28 .concat8 [ 1 1 1 1], L_00000000026d9810, L_00000000026dac30, L_00000000026da8b0, L_00000000026da990; -LS_000000000265cb60_1_0 .concat8 [ 4 4 4 4], LS_000000000265cb60_0_0, LS_000000000265cb60_0_4, LS_000000000265cb60_0_8, LS_000000000265cb60_0_12; -LS_000000000265cb60_1_4 .concat8 [ 4 4 4 4], LS_000000000265cb60_0_16, LS_000000000265cb60_0_20, LS_000000000265cb60_0_24, LS_000000000265cb60_0_28; -L_000000000265cb60 .concat8 [ 16 16 0 0], LS_000000000265cb60_1_0, LS_000000000265cb60_1_4; -S_0000000000c97290 .scope module, "_bit" "aluFullBit" 4 44, 5 6 0, S_0000000000c91660; +L_000000000282bf70/d .functor XOR 1, L_000000000279d9a0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000282bf70 .delay 1 (20000,20000,20000) L_000000000282bf70/d; +L_000000000282c830/d .functor AND 1, v0000000000e67370_0, L_000000000279de00, C4<1>, C4<1>; +L_000000000282c830 .delay 1 (30000,30000,30000) L_000000000282c830/d; +L_000000000282c750/d .functor NOT 1, L_000000000279ffc0, C4<0>, C4<0>, C4<0>; +L_000000000282c750 .delay 1 (10000,10000,10000) L_000000000282c750/d; +L_000000000282c280/d .functor NAND 1, L_000000000279fb60, L_000000000279f5c0, L_000000000279f700, C4<1>; +L_000000000282c280 .delay 1 (30000,30000,30000) L_000000000282c280/d; +L_000000000282d0f0/d .functor NOT 1, L_000000000282c280, C4<0>, C4<0>, C4<0>; +L_000000000282d0f0 .delay 1 (10000,10000,10000) L_000000000282d0f0/d; +L_000000000282bf00/d .functor AND 1, L_000000000279f7a0, L_000000000282c280, C4<1>, C4<1>; +L_000000000282bf00 .delay 1 (30000,30000,30000) L_000000000282bf00/d; +L_000000000282d940/d .functor XOR 1, L_000000000279f520, L_000000000279fde0, C4<0>, C4<0>; +L_000000000282d940 .delay 1 (20000,20000,20000) L_000000000282d940/d; +L_000000000282cec0/d .functor OR 1, L_000000000279fac0, L_000000000279f8e0, C4<0>, C4<0>; +L_000000000282cec0 .delay 1 (30000,30000,30000) L_000000000282cec0/d; +L_000000000282d630/d .functor NOT 1, L_000000000279f980, C4<0>, C4<0>, C4<0>; +L_000000000282d630 .delay 1 (10000,10000,10000) L_000000000282d630/d; +L_000000000282cb40/d .functor NOT 1, L_000000000279f160, C4<0>, C4<0>, C4<0>; +L_000000000282cb40 .delay 1 (10000,10000,10000) L_000000000282cb40/d; +L_000000000282c440/d .functor AND 1, L_000000000282d630, L_000000000279fa20, L_000000000279fd40, C4<1>; +L_000000000282c440 .delay 1 (40000,40000,40000) L_000000000282c440/d; +L_000000000282d390/d .functor AND 1, L_000000000279f2a0, L_000000000282fbd0, L_000000000282e5f0, C4<1>; +L_000000000282d390 .delay 1 (40000,40000,40000) L_000000000282d390/d; +L_000000000282c130/d .functor AND 1, L_000000000282d940, L_000000000282e730, L_000000000282f450, C4<1>; +L_000000000282c130 .delay 1 (40000,40000,40000) L_000000000282c130/d; +L_000000000282d400/d .functor XOR 1, L_000000000282d940, L_0000000002830990, C4<0>, C4<0>; +L_000000000282d400 .delay 1 (20000,20000,20000) L_000000000282d400/d; +L_000000000282c210/d .functor AND 1, L_000000000282d400, L_000000000282d0f0, C4<1>, C4<1>; +L_000000000282c210 .delay 1 (30000,30000,30000) L_000000000282c210/d; +L_000000000282d8d0/d .functor OR 1, L_000000000282bf00, L_000000000282c210, C4<0>, C4<0>; +L_000000000282d8d0 .delay 1 (30000,30000,30000) L_000000000282d8d0/d; +v0000000002783440_0 .net *"_s0", 0 0, L_0000000000eb3490; 1 drivers +v0000000002783580_0 .net *"_s102", 0 0, L_00000000027ed660; 1 drivers +v0000000002783ee0_0 .net *"_s105", 0 0, L_00000000027ed6d0; 1 drivers +v0000000002783da0_0 .net *"_s116", 0 0, L_00000000027ede40; 1 drivers +v00000000027838a0_0 .net *"_s119", 0 0, L_00000000027edf20; 1 drivers +v0000000002783620_0 .net *"_s122", 0 0, L_00000000027f6f10; 1 drivers +v00000000027836c0_0 .net *"_s133", 0 0, L_00000000027f7610; 1 drivers +v0000000002783f80_0 .net *"_s136", 0 0, L_00000000027f6960; 1 drivers +v0000000002783bc0_0 .net *"_s139", 0 0, L_00000000027f6d50; 1 drivers +v0000000002783a80_0 .net *"_s14", 0 0, L_0000000000eb2c40; 1 drivers +v0000000002783760_0 .net *"_s150", 0 0, L_00000000027f7300; 1 drivers +v0000000002783800_0 .net *"_s153", 0 0, L_00000000027f7840; 1 drivers +v0000000002783940_0 .net *"_s156", 0 0, L_00000000027f6dc0; 1 drivers +v00000000027839e0_0 .net *"_s167", 0 0, L_00000000027f7290; 1 drivers +v0000000002783d00_0 .net *"_s17", 0 0, L_0000000000eb3dc0; 1 drivers +v0000000002783b20_0 .net *"_s170", 0 0, L_00000000027f7450; 1 drivers +v0000000002783c60_0 .net *"_s173", 0 0, L_00000000027f76f0; 1 drivers +v0000000002783120_0 .net *"_s184", 0 0, L_00000000027f8090; 1 drivers +v0000000002783300_0 .net *"_s187", 0 0, L_00000000027f7df0; 1 drivers +v00000000027831c0_0 .net *"_s190", 0 0, L_00000000027f8020; 1 drivers +v0000000002774800_0 .net *"_s20", 0 0, L_0000000000eb2cb0; 1 drivers +v0000000002775f20_0 .net *"_s201", 0 0, L_0000000002800670; 1 drivers +v0000000002775520_0 .net *"_s204", 0 0, L_0000000002800520; 1 drivers +v0000000002774300_0 .net *"_s207", 0 0, L_0000000002800590; 1 drivers +v0000000002774580_0 .net *"_s218", 0 0, L_0000000002800440; 1 drivers +v00000000027748a0_0 .net *"_s221", 0 0, L_00000000028006e0; 1 drivers +v00000000027752a0_0 .net *"_s224", 0 0, L_0000000002800360; 1 drivers +v0000000002775c00_0 .net *"_s235", 0 0, L_0000000002800830; 1 drivers +v00000000027755c0_0 .net *"_s238", 0 0, L_0000000002801160; 1 drivers +v0000000002775980_0 .net *"_s241", 0 0, L_00000000028008a0; 1 drivers +v0000000002775fc0_0 .net *"_s252", 0 0, L_0000000002801550; 1 drivers +v0000000002774e40_0 .net *"_s255", 0 0, L_00000000028015c0; 1 drivers +v00000000027744e0_0 .net *"_s258", 0 0, L_0000000002801e80; 1 drivers +v0000000002776880_0 .net *"_s269", 0 0, L_0000000002809260; 1 drivers +v0000000002774760_0 .net *"_s272", 0 0, L_00000000028084d0; 1 drivers +v0000000002775200_0 .net *"_s275", 0 0, L_00000000028089a0; 1 drivers +v00000000027741c0_0 .net *"_s286", 0 0, L_0000000002808ee0; 1 drivers +v0000000002775700_0 .net *"_s289", 0 0, L_00000000028093b0; 1 drivers +v0000000002774ee0_0 .net *"_s292", 0 0, L_0000000002808fc0; 1 drivers +v0000000002775ac0_0 .net *"_s3", 0 0, L_0000000000eb4290; 1 drivers +v0000000002775b60_0 .net *"_s303", 0 0, L_00000000028083f0; 1 drivers +v0000000002774260_0 .net *"_s306", 0 0, L_0000000002808460; 1 drivers +v0000000002774440_0 .net *"_s309", 0 0, L_0000000002808a10; 1 drivers +v0000000002776420_0 .net *"_s31", 0 0, L_0000000000eb3ab0; 1 drivers +v00000000027757a0_0 .net *"_s320", 0 0, L_0000000002808000; 1 drivers +v0000000002775340_0 .net *"_s323", 0 0, L_00000000028085b0; 1 drivers +v00000000027743a0_0 .net *"_s326", 0 0, L_0000000002808620; 1 drivers +v0000000002774620_0 .net *"_s337", 0 0, L_0000000002809ce0; 1 drivers +v0000000002775660_0 .net *"_s34", 0 0, L_0000000000eb2d90; 1 drivers +v0000000002775a20_0 .net *"_s340", 0 0, L_0000000002809dc0; 1 drivers +v0000000002776060_0 .net *"_s343", 0 0, L_0000000002809ea0; 1 drivers +v0000000002774940_0 .net *"_s354", 0 0, L_0000000002807510; 1 drivers +v0000000002775ca0_0 .net *"_s357", 0 0, L_0000000002807ba0; 1 drivers +v00000000027753e0_0 .net *"_s360", 0 0, L_0000000002806ef0; 1 drivers +v0000000002776100_0 .net *"_s37", 0 0, L_0000000000eb3b90; 1 drivers +v00000000027746c0_0 .net *"_s371", 0 0, L_0000000002807900; 1 drivers +v0000000002774120_0 .net *"_s374", 0 0, L_0000000002806f60; 1 drivers +v00000000027762e0_0 .net *"_s377", 0 0, L_00000000028069b0; 1 drivers +v0000000002775d40_0 .net *"_s388", 0 0, L_0000000002806fd0; 1 drivers +v00000000027749e0_0 .net *"_s391", 0 0, L_00000000028073c0; 1 drivers +v00000000027758e0_0 .net *"_s394", 0 0, L_0000000002807cf0; 1 drivers +v0000000002775de0_0 .net *"_s405", 0 0, L_00000000028076d0; 1 drivers +v0000000002776740_0 .net *"_s408", 0 0, L_0000000002807350; 1 drivers +v0000000002775840_0 .net *"_s411", 0 0, L_000000000280a8e0; 1 drivers +v00000000027761a0_0 .net *"_s422", 0 0, L_000000000280bd00; 1 drivers +v0000000002776380_0 .net *"_s425", 0 0, L_000000000280b520; 1 drivers +v0000000002775480_0 .net *"_s428", 0 0, L_000000000280a2c0; 1 drivers +v0000000002774a80_0 .net *"_s439", 0 0, L_000000000280bd70; 1 drivers +v0000000002774b20_0 .net *"_s442", 0 0, L_000000000280a720; 1 drivers +v0000000002776240_0 .net *"_s445", 0 0, L_000000000280a480; 1 drivers +v0000000002774bc0_0 .net *"_s456", 0 0, L_000000000280b210; 1 drivers +v0000000002775e80_0 .net *"_s459", 0 0, L_000000000280a4f0; 1 drivers +v0000000002774c60_0 .net *"_s462", 0 0, L_000000000280aa30; 1 drivers +v00000000027764c0_0 .net *"_s473", 0 0, L_000000000280cb00; 1 drivers +v00000000027750c0_0 .net *"_s476", 0 0, L_000000000280c2b0; 1 drivers +v0000000002774d00_0 .net *"_s479", 0 0, L_000000000280c160; 1 drivers +v0000000002774da0_0 .net *"_s48", 0 0, L_00000000027ec400; 1 drivers +v0000000002774f80_0 .net *"_s490", 0 0, L_000000000280bf30; 1 drivers +v0000000002775160_0 .net *"_s493", 0 0, L_000000000280d120; 1 drivers +v0000000002775020_0 .net *"_s496", 0 0, L_000000000280d7b0; 1 drivers +v0000000002776560_0 .net *"_s507", 0 0, L_000000000280d510; 1 drivers +v0000000002776600_0 .net *"_s51", 0 0, L_00000000027ec710; 1 drivers +v00000000027766a0_0 .net *"_s510", 0 0, L_000000000280d430; 1 drivers +v00000000027767e0_0 .net *"_s513", 0 0, L_000000000280cd30; 1 drivers +v0000000002777640_0 .net *"_s524", 0 0, L_000000000280d3c0; 1 drivers +v00000000027769c0_0 .net *"_s527", 0 0, L_000000000280d660; 1 drivers +v0000000002778540_0 .net *"_s531", 0 0, L_000000000280d4a0; 1 drivers +v0000000002778ae0_0 .net *"_s535", 0 0, L_000000000280d6d0; 1 drivers +v0000000002777dc0_0 .net *"_s539", 0 0, L_000000000280d820; 1 drivers +v0000000002776ce0_0 .net *"_s54", 0 0, L_00000000027ed4a0; 1 drivers +v0000000002778fe0_0 .net *"_s543", 0 0, L_000000000280bde0; 1 drivers +v0000000002777780_0 .net *"_s547", 0 0, L_000000000280d890; 1 drivers +v0000000002778860_0 .net *"_s551", 0 0, L_000000000280be50; 1 drivers +v0000000002777be0_0 .net *"_s555", 0 0, L_000000000280bfa0; 1 drivers +v0000000002777140_0 .net *"_s559", 0 0, L_000000000280c010; 1 drivers +v00000000027778c0_0 .net *"_s563", 0 0, L_000000000280c0f0; 1 drivers +v00000000027776e0_0 .net *"_s567", 0 0, L_000000000280deb0; 1 drivers +v0000000002777820_0 .net *"_s571", 0 0, L_000000000280df90; 1 drivers +v0000000002778d60_0 .net *"_s575", 0 0, L_000000000280d9e0; 1 drivers +v0000000002776e20_0 .net *"_s579", 0 0, L_000000000280e0e0; 1 drivers +v0000000002777960_0 .net *"_s583", 0 0, L_000000000280dcf0; 1 drivers +v00000000027784a0_0 .net *"_s587", 0 0, L_000000000280df20; 1 drivers +v0000000002776a60_0 .net *"_s591", 0 0, L_000000000280db30; 1 drivers +v0000000002776d80_0 .net *"_s595", 0 0, L_000000000280dba0; 1 drivers +v00000000027773c0_0 .net *"_s599", 0 0, L_000000000280da50; 1 drivers +v0000000002777000_0 .net *"_s603", 0 0, L_000000000280dac0; 1 drivers +v0000000002778720_0 .net *"_s607", 0 0, L_000000000280e000; 1 drivers +v0000000002777d20_0 .net *"_s611", 0 0, L_000000000280dc10; 1 drivers +v0000000002776b00_0 .net *"_s615", 0 0, L_000000000280dc80; 1 drivers +v0000000002776ec0_0 .net *"_s619", 0 0, L_000000000280de40; 1 drivers +v00000000027770a0_0 .net *"_s623", 0 0, L_000000000280dd60; 1 drivers +v0000000002777aa0_0 .net *"_s627", 0 0, L_000000000280ddd0; 1 drivers +v0000000002778400_0 .net *"_s631", 0 0, L_000000000280e070; 1 drivers +v0000000002777e60_0 .net *"_s635", 0 0, L_000000000282c8a0; 1 drivers +v0000000002778180_0 .net *"_s639", 0 0, L_000000000282cad0; 1 drivers +v00000000027787c0_0 .net *"_s643", 0 0, L_000000000282c2f0; 1 drivers +v0000000002777a00_0 .net *"_s648", 0 0, L_000000000282bf70; 1 drivers +v0000000002776f60_0 .net *"_s65", 0 0, L_00000000027ece10; 1 drivers +v0000000002778cc0_0 .net *"_s652", 0 0, L_000000000279d9a0; 1 drivers +v00000000027771e0_0 .net *"_s654", 0 0, L_000000000282c830; 1 drivers +v0000000002779080_0 .net *"_s658", 0 0, L_000000000279de00; 1 drivers +v0000000002778900_0 .net *"_s660", 0 0, L_000000000282c750; 1 drivers +v0000000002776920_0 .net *"_s663", 0 0, L_000000000279ffc0; 1 drivers +v0000000002777f00_0 .net *"_s666", 0 0, L_000000000279fb60; 1 drivers +v0000000002777b40_0 .net *"_s668", 0 0, L_000000000279f5c0; 1 drivers +v00000000027785e0_0 .net *"_s670", 0 0, L_000000000279f700; 1 drivers +v0000000002777280_0 .net *"_s68", 0 0, L_00000000027ed270; 1 drivers +v00000000027780e0_0 .net *"_s688", 0 0, L_000000000279f7a0; 1 drivers +v0000000002777c80_0 .net *"_s691", 0 0, L_000000000279f520; 1 drivers +v0000000002777fa0_0 .net *"_s693", 0 0, L_000000000279fde0; 1 drivers +v0000000002776ba0_0 .net *"_s695", 0 0, L_000000000282cec0; 1 drivers +v0000000002778a40_0 .net *"_s699", 0 0, L_000000000279fac0; 1 drivers +v0000000002777320_0 .net *"_s701", 0 0, L_000000000279f8e0; 1 drivers +v0000000002778b80_0 .net *"_s704", 0 0, L_000000000279f980; 1 drivers +v0000000002777460_0 .net *"_s706", 0 0, L_000000000282cb40; 1 drivers +v0000000002778040_0 .net *"_s71", 0 0, L_00000000027ece80; 1 drivers +v0000000002776c40_0 .net *"_s710", 0 0, L_000000000279f160; 1 drivers +v0000000002777500_0 .net *"_s713", 0 0, L_000000000279fa20; 1 drivers +v00000000027782c0_0 .net *"_s715", 0 0, L_000000000279fd40; 1 drivers +v0000000002778680_0 .net *"_s718", 0 0, L_000000000279f2a0; 1 drivers +v00000000027789a0_0 .net *"_s720", 0 0, L_000000000282fbd0; 1 drivers +v0000000002778220_0 .net *"_s722", 0 0, L_000000000282e5f0; 1 drivers +v00000000027775a0_0 .net *"_s725", 0 0, L_000000000282e730; 1 drivers +v0000000002778360_0 .net *"_s727", 0 0, L_000000000282f450; 1 drivers +v0000000002778c20_0 .net *"_s730", 0 0, L_0000000002830990; 1 drivers +v0000000002778e00_0 .net *"_s733", 0 0, L_000000000282d8d0; 1 drivers +v0000000002778ea0_0 .net *"_s82", 0 0, L_00000000027eccc0; 1 drivers +v0000000002778f40_0 .net *"_s85", 0 0, L_00000000027ec390; 1 drivers +v0000000002791c40_0 .net *"_s88", 0 0, L_00000000027ec630; 1 drivers +v00000000027920a0_0 .net *"_s99", 0 0, L_00000000027eda50; 1 drivers +v0000000002792640_0 .net "aLessB", 0 0, L_000000000282d400; 1 drivers +v00000000027914c0_0 .net "cIn", 31 0, L_000000000279dae0; 1 drivers +v0000000002790ac0_0 .net "cOut", 31 0, L_000000000279f3e0; 1 drivers +v00000000027903e0_0 .net "carry", 0 0, v0000000000e67370_0; 1 drivers +v00000000027925a0_0 .net "carryout", 0 0, L_000000000282d390; alias, 1 drivers +v00000000027905c0_0 .net "command", 2 0, v0000000002790a20_0; 1 drivers +v00000000027907a0_0 .net "finalB", 31 0, L_000000000279d540; 1 drivers +v0000000002790480_0 .net "finalSlt", 0 0, L_000000000282c210; 1 drivers +v0000000002790160_0 .net "invert", 0 0, v0000000000e68bd0_0; 1 drivers +v00000000027917e0_0 .net "nCommand", 1 0, L_000000000279f200; 1 drivers +v0000000002791e20_0 .net "nSltFlag", 0 0, L_000000000282c280; 1 drivers +v00000000027921e0_0 .net "operandA", 31 0, v0000000002790660_0; 1 drivers +v0000000002791880_0 .net "operandB", 31 0, v0000000002790b60_0; 1 drivers +v0000000002790520_0 .net "overflow", 0 0, L_000000000282c130; alias, 1 drivers +v0000000002790980_0 .net "partialOverflow", 0 0, L_000000000282d940; 1 drivers +v00000000027916a0_0 .net "partialResult", 31 0, L_000000000279fca0; 1 drivers +v0000000002791b00_0 .net "partialResult2", 0 0, L_000000000282bf00; 1 drivers +v00000000027911a0_0 .net "result", 31 0, L_000000000282fb30; alias, 1 drivers +v00000000027926e0_0 .net "select", 1 0, v0000000000e625f0_0; 1 drivers +v0000000002792780_0 .net "sltFlag", 0 0, L_000000000282d0f0; 1 drivers +v0000000002790840_0 .net "zero", 0 0, L_000000000282c440; alias, 1 drivers +v0000000002792820_0 .net "zeroFlags", 30 0, L_000000000279f840; 1 drivers +v0000000002791ce0_0 .net "zeroPartial", 0 0, L_000000000282d630; 1 drivers +L_0000000002790200 .part v0000000002790b60_0, 1, 1; +L_0000000002790ca0 .part L_000000000279f3e0, 0, 1; +L_0000000002790de0 .part v0000000002790660_0, 1, 1; +L_0000000002791a60 .part L_000000000279d540, 1, 1; +L_0000000002790d40 .part L_000000000279dae0, 1, 1; +L_0000000002791ba0 .part v0000000002790a20_0, 0, 1; +L_0000000002792280 .part L_000000000279fca0, 1, 1; +L_0000000002792460 .part v0000000002790b60_0, 2, 1; +L_0000000002790f20 .part L_000000000279f3e0, 1, 1; +L_0000000002791060 .part v0000000002790660_0, 2, 1; +L_0000000002791d80 .part L_000000000279d540, 2, 1; +L_0000000002791100 .part L_000000000279dae0, 2, 1; +L_00000000027912e0 .part v0000000002790a20_0, 0, 1; +L_0000000002791560 .part L_000000000279fca0, 2, 1; +L_0000000002791380 .part v0000000002790b60_0, 3, 1; +L_0000000002792500 .part L_000000000279f3e0, 2, 1; +L_0000000002791740 .part v0000000002790660_0, 3, 1; +L_00000000027949e0 .part L_000000000279d540, 3, 1; +L_00000000027934a0 .part L_000000000279dae0, 3, 1; +L_00000000027930e0 .part v0000000002790a20_0, 0, 1; +L_0000000002793180 .part L_000000000279fca0, 3, 1; +L_00000000027944e0 .part v0000000002790b60_0, 4, 1; +L_0000000002794580 .part L_000000000279f3e0, 3, 1; +L_0000000002794c60 .part v0000000002790660_0, 4, 1; +L_00000000027935e0 .part L_000000000279d540, 4, 1; +L_0000000002793fe0 .part L_000000000279dae0, 4, 1; +L_0000000002792b40 .part v0000000002790a20_0, 0, 1; +L_0000000002793ea0 .part L_000000000279fca0, 4, 1; +L_0000000002792e60 .part v0000000002790b60_0, 5, 1; +L_0000000002792f00 .part L_000000000279f3e0, 4, 1; +L_0000000002793720 .part v0000000002790660_0, 5, 1; +L_00000000027941c0 .part L_000000000279d540, 5, 1; +L_0000000002793540 .part L_000000000279dae0, 5, 1; +L_0000000002794da0 .part v0000000002790a20_0, 0, 1; +L_0000000002794620 .part L_000000000279fca0, 5, 1; +L_0000000002794e40 .part v0000000002790b60_0, 6, 1; +L_0000000002793c20 .part L_000000000279f3e0, 5, 1; +L_00000000027948a0 .part v0000000002790660_0, 6, 1; +L_0000000002793220 .part L_000000000279d540, 6, 1; +L_0000000002794a80 .part L_000000000279dae0, 6, 1; +L_0000000002792fa0 .part v0000000002790a20_0, 0, 1; +L_0000000002794ee0 .part L_000000000279fca0, 6, 1; +L_0000000002793040 .part v0000000002790b60_0, 7, 1; +L_0000000002792dc0 .part L_000000000279f3e0, 6, 1; +L_00000000027950c0 .part v0000000002790660_0, 7, 1; +L_00000000027932c0 .part L_000000000279d540, 7, 1; +L_0000000002793360 .part L_000000000279dae0, 7, 1; +L_0000000002794760 .part v0000000002790a20_0, 0, 1; +L_0000000002793680 .part L_000000000279fca0, 7, 1; +L_0000000002793cc0 .part v0000000002790b60_0, 8, 1; +L_00000000027937c0 .part L_000000000279f3e0, 7, 1; +L_00000000027939a0 .part v0000000002790660_0, 8, 1; +L_0000000002794260 .part L_000000000279d540, 8, 1; +L_0000000002794b20 .part L_000000000279dae0, 8, 1; +L_0000000002794940 .part v0000000002790a20_0, 0, 1; +L_0000000002793a40 .part L_000000000279fca0, 8, 1; +L_0000000002793ae0 .part v0000000002790b60_0, 9, 1; +L_0000000002792be0 .part L_000000000279f3e0, 8, 1; +L_0000000002792960 .part v0000000002790660_0, 9, 1; +L_0000000002794d00 .part L_000000000279d540, 9, 1; +L_0000000002794800 .part L_000000000279dae0, 9, 1; +L_0000000002794120 .part v0000000002790a20_0, 0, 1; +L_0000000002793b80 .part L_000000000279fca0, 9, 1; +L_0000000002793d60 .part v0000000002790b60_0, 10, 1; +L_0000000002794f80 .part L_000000000279f3e0, 9, 1; +L_0000000002793e00 .part v0000000002790660_0, 10, 1; +L_0000000002793f40 .part L_000000000279d540, 10, 1; +L_0000000002797280 .part L_000000000279dae0, 10, 1; +L_00000000027969c0 .part v0000000002790a20_0, 0, 1; +L_0000000002797140 .part L_000000000279fca0, 10, 1; +L_0000000002795840 .part v0000000002790b60_0, 11, 1; +L_0000000002796880 .part L_000000000279f3e0, 10, 1; +L_00000000027958e0 .part v0000000002790660_0, 11, 1; +L_0000000002796240 .part L_000000000279d540, 11, 1; +L_0000000002795a20 .part L_000000000279dae0, 11, 1; +L_0000000002795ac0 .part v0000000002790a20_0, 0, 1; +L_0000000002795b60 .part L_000000000279fca0, 11, 1; +L_0000000002796100 .part v0000000002790b60_0, 12, 1; +L_0000000002796560 .part L_000000000279f3e0, 11, 1; +L_00000000027962e0 .part v0000000002790660_0, 12, 1; +L_0000000002795de0 .part L_000000000279d540, 12, 1; +L_0000000002795200 .part L_000000000279dae0, 12, 1; +L_0000000002796d80 .part v0000000002790a20_0, 0, 1; +L_0000000002795c00 .part L_000000000279fca0, 12, 1; +L_0000000002795f20 .part v0000000002790b60_0, 13, 1; +L_0000000002795660 .part L_000000000279f3e0, 12, 1; +L_0000000002795480 .part v0000000002790660_0, 13, 1; +L_0000000002796380 .part L_000000000279d540, 13, 1; +L_0000000002796e20 .part L_000000000279dae0, 13, 1; +L_0000000002797460 .part v0000000002790a20_0, 0, 1; +L_0000000002797640 .part L_000000000279fca0, 13, 1; +L_00000000027964c0 .part v0000000002790b60_0, 14, 1; +L_0000000002796600 .part L_000000000279f3e0, 13, 1; +L_0000000002795d40 .part v0000000002790660_0, 14, 1; +L_00000000027953e0 .part L_000000000279d540, 14, 1; +L_00000000027978c0 .part L_000000000279dae0, 14, 1; +L_00000000027976e0 .part v0000000002790a20_0, 0, 1; +L_0000000002795160 .part L_000000000279fca0, 14, 1; +L_0000000002795e80 .part v0000000002790b60_0, 15, 1; +L_0000000002795fc0 .part L_000000000279f3e0, 14, 1; +L_00000000027973c0 .part v0000000002790660_0, 15, 1; +L_0000000002796920 .part L_000000000279d540, 15, 1; +L_0000000002796ec0 .part L_000000000279dae0, 15, 1; +L_0000000002797320 .part v0000000002790a20_0, 0, 1; +L_0000000002797780 .part L_000000000279fca0, 15, 1; +L_00000000027952a0 .part v0000000002790b60_0, 16, 1; +L_0000000002796740 .part L_000000000279f3e0, 15, 1; +L_0000000002796b00 .part v0000000002790660_0, 16, 1; +L_0000000002796f60 .part L_000000000279d540, 16, 1; +L_0000000002797500 .part L_000000000279dae0, 16, 1; +L_00000000027970a0 .part v0000000002790a20_0, 0, 1; +L_0000000002795700 .part L_000000000279fca0, 16, 1; +L_0000000002797000 .part v0000000002790b60_0, 17, 1; +L_0000000002795340 .part L_000000000279f3e0, 16, 1; +L_00000000027971e0 .part v0000000002790660_0, 17, 1; +L_0000000002795520 .part L_000000000279d540, 17, 1; +L_00000000027955c0 .part L_000000000279dae0, 17, 1; +L_0000000002797d20 .part v0000000002790a20_0, 0, 1; +L_00000000027999e0 .part L_000000000279fca0, 17, 1; +L_00000000027989a0 .part v0000000002790b60_0, 18, 1; +L_0000000002797fa0 .part L_000000000279f3e0, 17, 1; +L_0000000002799a80 .part v0000000002790660_0, 18, 1; +L_00000000027987c0 .part L_000000000279d540, 18, 1; +L_0000000002798680 .part L_000000000279dae0, 18, 1; +L_0000000002798040 .part v0000000002790a20_0, 0, 1; +L_0000000002799440 .part L_000000000279fca0, 18, 1; +L_00000000027994e0 .part v0000000002790b60_0, 19, 1; +L_0000000002799b20 .part L_000000000279f3e0, 18, 1; +L_0000000002798220 .part v0000000002790660_0, 19, 1; +L_0000000002798180 .part L_000000000279d540, 19, 1; +L_0000000002799da0 .part L_000000000279dae0, 19, 1; +L_0000000002798c20 .part v0000000002790a20_0, 0, 1; +L_0000000002797f00 .part L_000000000279fca0, 19, 1; +L_0000000002799c60 .part v0000000002790b60_0, 20, 1; +L_00000000027982c0 .part L_000000000279f3e0, 19, 1; +L_0000000002798900 .part v0000000002790660_0, 20, 1; +L_0000000002798720 .part L_000000000279d540, 20, 1; +L_0000000002797a00 .part L_000000000279dae0, 20, 1; +L_0000000002799620 .part v0000000002790a20_0, 0, 1; +L_0000000002798360 .part L_000000000279fca0, 20, 1; +L_0000000002798860 .part v0000000002790b60_0, 21, 1; +L_0000000002797e60 .part L_000000000279f3e0, 20, 1; +L_0000000002798a40 .part v0000000002790660_0, 21, 1; +L_0000000002798ae0 .part L_000000000279d540, 21, 1; +L_0000000002797c80 .part L_000000000279dae0, 21, 1; +L_0000000002798b80 .part v0000000002790a20_0, 0, 1; +L_0000000002799080 .part L_000000000279fca0, 21, 1; +L_0000000002798d60 .part v0000000002790b60_0, 22, 1; +L_000000000279a0c0 .part L_000000000279f3e0, 21, 1; +L_0000000002798e00 .part v0000000002790660_0, 22, 1; +L_0000000002798f40 .part L_000000000279d540, 22, 1; +L_0000000002799260 .part L_000000000279dae0, 22, 1; +L_0000000002798400 .part v0000000002790a20_0, 0, 1; +L_00000000027991c0 .part L_000000000279fca0, 22, 1; +L_0000000002799ee0 .part v0000000002790b60_0, 23, 1; +L_0000000002798fe0 .part L_000000000279f3e0, 22, 1; +L_00000000027996c0 .part v0000000002790660_0, 23, 1; +L_0000000002797aa0 .part L_000000000279d540, 23, 1; +L_00000000027993a0 .part L_000000000279dae0, 23, 1; +L_00000000027984a0 .part v0000000002790a20_0, 0, 1; +L_0000000002799760 .part L_000000000279fca0, 23, 1; +L_0000000002799800 .part v0000000002790b60_0, 24, 1; +L_00000000027998a0 .part L_000000000279f3e0, 23, 1; +L_0000000002799f80 .part v0000000002790660_0, 24, 1; +L_0000000002799940 .part L_000000000279d540, 24, 1; +L_0000000002797dc0 .part L_000000000279dae0, 24, 1; +L_00000000027980e0 .part v0000000002790a20_0, 0, 1; +L_000000000279afc0 .part L_000000000279fca0, 24, 1; +L_000000000279ab60 .part v0000000002790b60_0, 25, 1; +L_000000000279ba60 .part L_000000000279f3e0, 24, 1; +L_000000000279a660 .part v0000000002790660_0, 25, 1; +L_000000000279c140 .part L_000000000279d540, 25, 1; +L_000000000279b240 .part L_000000000279dae0, 25, 1; +L_000000000279c8c0 .part v0000000002790a20_0, 0, 1; +L_000000000279a160 .part L_000000000279fca0, 25, 1; +L_000000000279bb00 .part v0000000002790b60_0, 26, 1; +L_000000000279b060 .part L_000000000279f3e0, 25, 1; +L_000000000279a5c0 .part v0000000002790660_0, 26, 1; +L_000000000279c320 .part L_000000000279d540, 26, 1; +L_000000000279c780 .part L_000000000279dae0, 26, 1; +L_000000000279a840 .part v0000000002790a20_0, 0, 1; +L_000000000279ade0 .part L_000000000279fca0, 26, 1; +L_000000000279bc40 .part v0000000002790b60_0, 27, 1; +L_000000000279bce0 .part L_000000000279f3e0, 26, 1; +L_000000000279a520 .part v0000000002790660_0, 27, 1; +L_000000000279a8e0 .part L_000000000279d540, 27, 1; +L_000000000279a980 .part L_000000000279dae0, 27, 1; +L_000000000279b420 .part v0000000002790a20_0, 0, 1; +L_000000000279c280 .part L_000000000279fca0, 27, 1; +L_000000000279a700 .part v0000000002790b60_0, 28, 1; +L_000000000279c460 .part L_000000000279f3e0, 27, 1; +L_000000000279b4c0 .part v0000000002790660_0, 28, 1; +L_000000000279a200 .part L_000000000279d540, 28, 1; +L_000000000279b6a0 .part L_000000000279dae0, 28, 1; +L_000000000279b1a0 .part v0000000002790a20_0, 0, 1; +L_000000000279a2a0 .part L_000000000279fca0, 28, 1; +L_000000000279ac00 .part v0000000002790b60_0, 29, 1; +L_000000000279af20 .part L_000000000279f3e0, 28, 1; +L_000000000279c500 .part v0000000002790660_0, 29, 1; +L_000000000279b2e0 .part L_000000000279d540, 29, 1; +L_000000000279b600 .part L_000000000279dae0, 29, 1; +L_000000000279c0a0 .part v0000000002790a20_0, 0, 1; +L_000000000279a480 .part L_000000000279fca0, 29, 1; +L_000000000279b920 .part v0000000002790b60_0, 30, 1; +L_000000000279b740 .part L_000000000279f3e0, 29, 1; +L_000000000279c3c0 .part v0000000002790660_0, 30, 1; +L_000000000279bd80 .part L_000000000279d540, 30, 1; +L_000000000279c5a0 .part L_000000000279dae0, 30, 1; +L_000000000279aac0 .part v0000000002790a20_0, 0, 1; +L_000000000279bba0 .part L_000000000279fca0, 30, 1; +L_000000000279b9c0 .part v0000000002790b60_0, 31, 1; +L_000000000279be20 .part L_000000000279f3e0, 30, 1; +L_000000000279c640 .part v0000000002790660_0, 31, 1; +L_000000000279a3e0 .part L_000000000279d540, 31, 1; +L_000000000279bf60 .part L_000000000279dae0, 31, 1; +L_000000000279c6e0 .part v0000000002790a20_0, 0, 1; +L_000000000279c820 .part L_000000000279fca0, 31, 1; +L_000000000279ee40 .part L_000000000282fb30, 1, 1; +L_000000000279d680 .part L_000000000279f840, 0, 1; +L_000000000279db80 .part L_000000000282fb30, 2, 1; +L_000000000279e620 .part L_000000000279f840, 1, 1; +L_000000000279e440 .part L_000000000282fb30, 3, 1; +L_000000000279e580 .part L_000000000279f840, 2, 1; +L_000000000279ce60 .part L_000000000282fb30, 4, 1; +L_000000000279da40 .part L_000000000279f840, 3, 1; +L_000000000279e4e0 .part L_000000000282fb30, 5, 1; +L_000000000279e260 .part L_000000000279f840, 4, 1; +L_000000000279e6c0 .part L_000000000282fb30, 6, 1; +L_000000000279d180 .part L_000000000279f840, 5, 1; +L_000000000279e940 .part L_000000000282fb30, 7, 1; +L_000000000279eda0 .part L_000000000279f840, 6, 1; +L_000000000279df40 .part L_000000000282fb30, 8, 1; +L_000000000279e760 .part L_000000000279f840, 7, 1; +L_000000000279dea0 .part L_000000000282fb30, 9, 1; +L_000000000279e080 .part L_000000000279f840, 8, 1; +L_000000000279d040 .part L_000000000282fb30, 10, 1; +L_000000000279e800 .part L_000000000279f840, 9, 1; +L_000000000279d5e0 .part L_000000000282fb30, 11, 1; +L_000000000279ec60 .part L_000000000279f840, 10, 1; +L_000000000279e1c0 .part L_000000000282fb30, 12, 1; +L_000000000279cd20 .part L_000000000279f840, 11, 1; +L_000000000279e8a0 .part L_000000000282fb30, 13, 1; +L_000000000279d360 .part L_000000000279f840, 12, 1; +L_000000000279eee0 .part L_000000000282fb30, 14, 1; +L_000000000279d7c0 .part L_000000000279f840, 13, 1; +L_000000000279e120 .part L_000000000282fb30, 15, 1; +L_000000000279d720 .part L_000000000279f840, 14, 1; +L_000000000279cbe0 .part L_000000000282fb30, 16, 1; +L_000000000279cdc0 .part L_000000000279f840, 15, 1; +L_000000000279d860 .part L_000000000282fb30, 17, 1; +L_000000000279d0e0 .part L_000000000279f840, 16, 1; +L_000000000279dfe0 .part L_000000000282fb30, 18, 1; +L_000000000279ed00 .part L_000000000279f840, 17, 1; +L_000000000279ebc0 .part L_000000000282fb30, 19, 1; +L_000000000279e300 .part L_000000000279f840, 18, 1; +L_000000000279ef80 .part L_000000000282fb30, 20, 1; +L_000000000279f020 .part L_000000000279f840, 19, 1; +L_000000000279dc20 .part L_000000000282fb30, 21, 1; +L_000000000279dcc0 .part L_000000000279f840, 20, 1; +L_000000000279cf00 .part L_000000000282fb30, 22, 1; +L_000000000279cc80 .part L_000000000279f840, 21, 1; +L_000000000279e9e0 .part L_000000000282fb30, 23, 1; +L_000000000279d900 .part L_000000000279f840, 22, 1; +L_000000000279e3a0 .part L_000000000282fb30, 24, 1; +L_000000000279f0c0 .part L_000000000279f840, 23, 1; +L_000000000279ea80 .part L_000000000282fb30, 25, 1; +L_000000000279caa0 .part L_000000000279f840, 24, 1; +L_000000000279d4a0 .part L_000000000282fb30, 26, 1; +L_000000000279dd60 .part L_000000000279f840, 25, 1; +L_000000000279eb20 .part L_000000000282fb30, 27, 1; +L_000000000279c960 .part L_000000000279f840, 26, 1; +L_000000000279d400 .part L_000000000282fb30, 28, 1; +L_000000000279d220 .part L_000000000279f840, 27, 1; +L_000000000279ca00 .part L_000000000282fb30, 29, 1; +L_000000000279cb40 .part L_000000000279f840, 28, 1; +L_000000000279cfa0 .part L_000000000282fb30, 30, 1; +L_000000000279d2c0 .part L_000000000279f840, 29, 1; +LS_000000000279d540_0_0 .concat8 [ 1 1 1 1], L_000000000282bf70, L_0000000000eb3490, L_0000000000eb3dc0, L_0000000000eb2d90; +LS_000000000279d540_0_4 .concat8 [ 1 1 1 1], L_00000000027ec710, L_00000000027ed270, L_00000000027ec390, L_00000000027ed660; +LS_000000000279d540_0_8 .concat8 [ 1 1 1 1], L_00000000027edf20, L_00000000027f6960, L_00000000027f7840, L_00000000027f7450; +LS_000000000279d540_0_12 .concat8 [ 1 1 1 1], L_00000000027f7df0, L_0000000002800520, L_00000000028006e0, L_0000000002801160; +LS_000000000279d540_0_16 .concat8 [ 1 1 1 1], L_00000000028015c0, L_00000000028084d0, L_00000000028093b0, L_0000000002808460; +LS_000000000279d540_0_20 .concat8 [ 1 1 1 1], L_00000000028085b0, L_0000000002809dc0, L_0000000002807ba0, L_0000000002806f60; +LS_000000000279d540_0_24 .concat8 [ 1 1 1 1], L_00000000028073c0, L_0000000002807350, L_000000000280b520, L_000000000280a720; +LS_000000000279d540_0_28 .concat8 [ 1 1 1 1], L_000000000280a4f0, L_000000000280c2b0, L_000000000280d120, L_000000000280d430; +LS_000000000279d540_1_0 .concat8 [ 4 4 4 4], LS_000000000279d540_0_0, LS_000000000279d540_0_4, LS_000000000279d540_0_8, LS_000000000279d540_0_12; +LS_000000000279d540_1_4 .concat8 [ 4 4 4 4], LS_000000000279d540_0_16, LS_000000000279d540_0_20, LS_000000000279d540_0_24, LS_000000000279d540_0_28; +L_000000000279d540 .concat8 [ 16 16 0 0], LS_000000000279d540_1_0, LS_000000000279d540_1_4; +L_000000000279d9a0 .part v0000000002790b60_0, 0, 1; +LS_000000000279dae0_0_0 .concat8 [ 1 1 1 1], L_000000000282c830, L_0000000000eb4290, L_0000000000eb2cb0, L_0000000000eb3b90; +LS_000000000279dae0_0_4 .concat8 [ 1 1 1 1], L_00000000027ed4a0, L_00000000027ece80, L_00000000027ec630, L_00000000027ed6d0; +LS_000000000279dae0_0_8 .concat8 [ 1 1 1 1], L_00000000027f6f10, L_00000000027f6d50, L_00000000027f6dc0, L_00000000027f76f0; +LS_000000000279dae0_0_12 .concat8 [ 1 1 1 1], L_00000000027f8020, L_0000000002800590, L_0000000002800360, L_00000000028008a0; +LS_000000000279dae0_0_16 .concat8 [ 1 1 1 1], L_0000000002801e80, L_00000000028089a0, L_0000000002808fc0, L_0000000002808a10; +LS_000000000279dae0_0_20 .concat8 [ 1 1 1 1], L_0000000002808620, L_0000000002809ea0, L_0000000002806ef0, L_00000000028069b0; +LS_000000000279dae0_0_24 .concat8 [ 1 1 1 1], L_0000000002807cf0, L_000000000280a8e0, L_000000000280a2c0, L_000000000280a480; +LS_000000000279dae0_0_28 .concat8 [ 1 1 1 1], L_000000000280aa30, L_000000000280c160, L_000000000280d7b0, L_000000000280cd30; +LS_000000000279dae0_1_0 .concat8 [ 4 4 4 4], LS_000000000279dae0_0_0, LS_000000000279dae0_0_4, LS_000000000279dae0_0_8, LS_000000000279dae0_0_12; +LS_000000000279dae0_1_4 .concat8 [ 4 4 4 4], LS_000000000279dae0_0_16, LS_000000000279dae0_0_20, LS_000000000279dae0_0_24, LS_000000000279dae0_0_28; +L_000000000279dae0 .concat8 [ 16 16 0 0], LS_000000000279dae0_1_0, LS_000000000279dae0_1_4; +L_000000000279de00 .part v0000000002790a20_0, 0, 1; +L_000000000279ffc0 .part v0000000002790a20_0, 2, 1; +L_000000000279fb60 .part v0000000002790a20_0, 0, 1; +L_000000000279f5c0 .part v0000000002790a20_0, 1, 1; +L_000000000279f700 .part L_000000000279f200, 1, 1; +LS_000000000279fca0_0_0 .concat8 [ 1 1 1 1], L_000000000282cd00, L_0000000000eb3730, L_0000000000eb3a40, L_00000000027ec860; +LS_000000000279fca0_0_4 .concat8 [ 1 1 1 1], L_00000000027ec240, L_00000000027ed970, L_00000000027ed9e0, L_00000000027eddd0; +LS_000000000279fca0_0_8 .concat8 [ 1 1 1 1], L_00000000027f6180, L_00000000027f73e0, L_00000000027f7680, L_00000000027f7fb0; +LS_000000000279fca0_0_12 .concat8 [ 1 1 1 1], L_0000000002801be0, L_00000000028010f0, L_0000000002801b70, L_0000000002801320; +LS_000000000279fca0_0_16 .concat8 [ 1 1 1 1], L_0000000002807dd0, L_0000000002809340, L_0000000002809490, L_0000000002808c40; +LS_000000000279fca0_0_20 .concat8 [ 1 1 1 1], L_0000000002809ab0, L_00000000028077b0, L_0000000002807820, L_0000000002806b70; +LS_000000000279fca0_0_24 .concat8 [ 1 1 1 1], L_0000000002807580, L_000000000280a5d0, L_000000000280b670, L_000000000280b7c0; +LS_000000000279fca0_0_28 .concat8 [ 1 1 1 1], L_000000000280c710, L_000000000280cfd0, L_000000000280d190, L_000000000280d580; +LS_000000000279fca0_1_0 .concat8 [ 4 4 4 4], LS_000000000279fca0_0_0, LS_000000000279fca0_0_4, LS_000000000279fca0_0_8, LS_000000000279fca0_0_12; +LS_000000000279fca0_1_4 .concat8 [ 4 4 4 4], LS_000000000279fca0_0_16, LS_000000000279fca0_0_20, LS_000000000279fca0_0_24, LS_000000000279fca0_0_28; +L_000000000279fca0 .concat8 [ 16 16 0 0], LS_000000000279fca0_1_0, LS_000000000279fca0_1_4; +LS_000000000279f3e0_0_0 .concat8 [ 1 1 1 1], L_000000000282d240, L_0000000000eb2a10, L_0000000000eb43e0, L_0000000000eb41b0; +LS_000000000279f3e0_0_4 .concat8 [ 1 1 1 1], L_00000000027ed0b0, L_00000000027ed430, L_00000000027eca90, L_00000000027ec1d0; +LS_000000000279f3e0_0_8 .concat8 [ 1 1 1 1], L_00000000027f7d10, L_00000000027f7990, L_00000000027f6f80, L_00000000027f77d0; +LS_000000000279f3e0_0_12 .concat8 [ 1 1 1 1], L_00000000028018d0, L_0000000002801a90, L_0000000002800600, L_0000000002800bb0; +LS_000000000279f3e0_0_16 .concat8 [ 1 1 1 1], L_00000000028020b0, L_00000000028095e0, L_0000000002809180, L_0000000002809810; +LS_000000000279f3e0_0_20 .concat8 [ 1 1 1 1], L_0000000002809ff0, L_0000000002807ac0, L_0000000002807d60, L_00000000028068d0; +LS_000000000279f3e0_0_24 .concat8 [ 1 1 1 1], L_0000000002807430, L_000000000280b8a0, L_000000000280ae90, L_000000000280b050; +LS_000000000279f3e0_0_28 .concat8 [ 1 1 1 1], L_000000000280ab80, L_000000000280c550, L_000000000280c390, L_000000000280c5c0; +LS_000000000279f3e0_1_0 .concat8 [ 4 4 4 4], LS_000000000279f3e0_0_0, LS_000000000279f3e0_0_4, LS_000000000279f3e0_0_8, LS_000000000279f3e0_0_12; +LS_000000000279f3e0_1_4 .concat8 [ 4 4 4 4], LS_000000000279f3e0_0_16, LS_000000000279f3e0_0_20, LS_000000000279f3e0_0_24, LS_000000000279f3e0_0_28; +L_000000000279f3e0 .concat8 [ 16 16 0 0], LS_000000000279f3e0_1_0, LS_000000000279f3e0_1_4; +L_000000000279f340 .part v0000000002790660_0, 0, 1; +L_000000000279ff20 .part L_000000000279d540, 0, 1; +L_000000000279f480 .part L_000000000279dae0, 0, 1; +L_000000000279f660 .part v0000000002790a20_0, 0, 1; +L_000000000279f7a0 .part L_000000000279fca0, 0, 1; +L_000000000279f520 .part L_000000000279f3e0, 30, 1; +L_000000000279fde0 .part L_000000000279f3e0, 31, 1; +LS_000000000279f840_0_0 .concat8 [ 1 1 1 1], L_000000000282cec0, L_000000000280d660, L_000000000280d4a0, L_000000000280d6d0; +LS_000000000279f840_0_4 .concat8 [ 1 1 1 1], L_000000000280d820, L_000000000280bde0, L_000000000280d890, L_000000000280be50; +LS_000000000279f840_0_8 .concat8 [ 1 1 1 1], L_000000000280bfa0, L_000000000280c010, L_000000000280c0f0, L_000000000280deb0; +LS_000000000279f840_0_12 .concat8 [ 1 1 1 1], L_000000000280df90, L_000000000280d9e0, L_000000000280e0e0, L_000000000280dcf0; +LS_000000000279f840_0_16 .concat8 [ 1 1 1 1], L_000000000280df20, L_000000000280db30, L_000000000280dba0, L_000000000280da50; +LS_000000000279f840_0_20 .concat8 [ 1 1 1 1], L_000000000280dac0, L_000000000280e000, L_000000000280dc10, L_000000000280dc80; +LS_000000000279f840_0_24 .concat8 [ 1 1 1 1], L_000000000280de40, L_000000000280dd60, L_000000000280ddd0, L_000000000280e070; +LS_000000000279f840_0_28 .concat8 [ 1 1 1 0], L_000000000282c8a0, L_000000000282cad0, L_000000000282c2f0; +LS_000000000279f840_1_0 .concat8 [ 4 4 4 4], LS_000000000279f840_0_0, LS_000000000279f840_0_4, LS_000000000279f840_0_8, LS_000000000279f840_0_12; +LS_000000000279f840_1_4 .concat8 [ 4 4 4 3], LS_000000000279f840_0_16, LS_000000000279f840_0_20, LS_000000000279f840_0_24, LS_000000000279f840_0_28; +L_000000000279f840 .concat8 [ 16 15 0 0], LS_000000000279f840_1_0, LS_000000000279f840_1_4; +L_000000000279fac0 .part L_000000000282fb30, 0, 1; +L_000000000279f8e0 .part L_000000000282fb30, 1, 1; +L_000000000279f980 .part L_000000000279f840, 30, 1; +L_000000000279f200 .concat8 [ 1 1 0 0], L_000000000282cb40, L_000000000282c750; +L_000000000279f160 .part v0000000002790a20_0, 1, 1; +L_000000000279fa20 .part L_000000000279f200, 0, 1; +L_000000000279fd40 .part L_000000000279f200, 1, 1; +L_000000000279f2a0 .part L_000000000279f3e0, 31, 1; +L_000000000282fbd0 .part L_000000000279f200, 0, 1; +L_000000000282e5f0 .part L_000000000279f200, 1, 1; +L_000000000282e730 .part L_000000000279f200, 0, 1; +L_000000000282f450 .part L_000000000279f200, 1, 1; +L_0000000002830990 .part L_000000000279fca0, 31, 1; +LS_000000000282fb30_0_0 .concat8 [ 1 1 1 1], L_000000000282d8d0, L_0000000000eb2c40, L_0000000000eb3ab0, L_00000000027ec400; +LS_000000000282fb30_0_4 .concat8 [ 1 1 1 1], L_00000000027ece10, L_00000000027eccc0, L_00000000027eda50, L_00000000027ede40; +LS_000000000282fb30_0_8 .concat8 [ 1 1 1 1], L_00000000027f7610, L_00000000027f7300, L_00000000027f7290, L_00000000027f8090; +LS_000000000282fb30_0_12 .concat8 [ 1 1 1 1], L_0000000002800670, L_0000000002800440, L_0000000002800830, L_0000000002801550; +LS_000000000282fb30_0_16 .concat8 [ 1 1 1 1], L_0000000002809260, L_0000000002808ee0, L_00000000028083f0, L_0000000002808000; +LS_000000000282fb30_0_20 .concat8 [ 1 1 1 1], L_0000000002809ce0, L_0000000002807510, L_0000000002807900, L_0000000002806fd0; +LS_000000000282fb30_0_24 .concat8 [ 1 1 1 1], L_00000000028076d0, L_000000000280bd00, L_000000000280bd70, L_000000000280b210; +LS_000000000282fb30_0_28 .concat8 [ 1 1 1 1], L_000000000280cb00, L_000000000280bf30, L_000000000280d510, L_000000000280d3c0; +LS_000000000282fb30_1_0 .concat8 [ 4 4 4 4], LS_000000000282fb30_0_0, LS_000000000282fb30_0_4, LS_000000000282fb30_0_8, LS_000000000282fb30_0_12; +LS_000000000282fb30_1_4 .concat8 [ 4 4 4 4], LS_000000000282fb30_0_16, LS_000000000282fb30_0_20, LS_000000000282fb30_0_24, LS_000000000282fb30_0_28; +L_000000000282fb30 .concat8 [ 16 16 0 0], LS_000000000282fb30_1_0, LS_000000000282fb30_1_4; +S_0000000000cbebc0 .scope module, "_bit" "aluFullBit" 4 44, 5 6 0, S_0000000000cc7490; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -728,19 +737,19 @@ S_0000000000c97290 .scope module, "_bit" "aluFullBit" 4 44, 5 6 0, S_0000000000c .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000e1d4d0_0 .net "a", 0 0, L_000000000265d1a0; 1 drivers -v0000000000e1da70_0 .net "b", 0 0, L_000000000265b6c0; 1 drivers -v0000000000e1db10_0 .net "carryAND", 0 0, L_00000000026f7a70; 1 drivers -v0000000000e1dbb0_0 .net "cin", 0 0, L_000000000265b760; 1 drivers -v0000000000e210d0_0 .net "ctrl0", 0 0, L_000000000265bbc0; 1 drivers -v0000000000e20810_0 .net "nab", 0 0, L_00000000026f7840; 1 drivers -v0000000000e1f690_0 .net "orNOR", 0 0, L_00000000026f7760; 1 drivers -v0000000000e20270_0 .net "res", 0 0, L_00000000026f78b0; 1 drivers -v0000000000e208b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000e21670_0 .net "sumXOR", 0 0, L_00000000026f76f0; 1 drivers -L_000000000265b120 .part v0000000000e21990_0, 1, 1; -L_000000000265d740 .part v0000000000e21990_0, 0, 1; -S_0000000000c97410 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c97290; +v0000000000e65e30_0 .net "a", 0 0, L_000000000279f340; 1 drivers +v0000000000e675f0_0 .net "b", 0 0, L_000000000279ff20; 1 drivers +v0000000000e66e70_0 .net "carryAND", 0 0, L_000000000282d240; 1 drivers +v0000000000e67ff0_0 .net "cin", 0 0, L_000000000279f480; 1 drivers +v0000000000e663d0_0 .net "ctrl0", 0 0, L_000000000279f660; 1 drivers +v0000000000e66b50_0 .net "nab", 0 0, L_000000000282cbb0; 1 drivers +v0000000000e66bf0_0 .net "orNOR", 0 0, L_000000000282d080; 1 drivers +v0000000000e66fb0_0 .net "res", 0 0, L_000000000282cd00; 1 drivers +v0000000000e67190_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000000e67730_0 .net "sumXOR", 0 0, L_000000000282d010; 1 drivers +L_000000000279fc00 .part v0000000000e625f0_0, 1, 1; +L_000000000279fe80 .part v0000000000e625f0_0, 0, 1; +S_0000000000cbed40 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000cbebc0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -750,32 +759,32 @@ S_0000000000c97410 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c9729 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026f7840/d .functor NAND 1, L_000000000265d1a0, L_000000000265b6c0, C4<1>, C4<1>; -L_00000000026f7840 .delay 1 (20000,20000,20000) L_00000000026f7840/d; -L_00000000026f7680/d .functor NAND 1, L_000000000265b6c0, L_000000000265b760, C4<1>, C4<1>; -L_00000000026f7680 .delay 1 (20000,20000,20000) L_00000000026f7680/d; -L_00000000026f6e30/d .functor NAND 1, L_000000000265d1a0, L_000000000265b760, C4<1>, C4<1>; -L_00000000026f6e30 .delay 1 (20000,20000,20000) L_00000000026f6e30/d; -L_00000000026f7a70/d .functor NAND 1, L_00000000026f7840, L_00000000026f6e30, L_00000000026f7680, C4<1>; -L_00000000026f7a70 .delay 1 (30000,30000,30000) L_00000000026f7a70/d; -L_00000000026f76f0/d .functor XOR 1, L_000000000265d1a0, L_000000000265b6c0, L_000000000265b760, C4<0>; -L_00000000026f76f0 .delay 1 (30000,30000,30000) L_00000000026f76f0/d; -L_00000000026f69d0/d .functor NOR 1, L_000000000265d1a0, L_000000000265b6c0, C4<0>, C4<0>; -L_00000000026f69d0 .delay 1 (20000,20000,20000) L_00000000026f69d0/d; -L_00000000026f7760/d .functor XOR 1, L_00000000026f69d0, L_000000000265bbc0, C4<0>, C4<0>; -L_00000000026f7760 .delay 1 (20000,20000,20000) L_00000000026f7760/d; -v0000000000e5b850_0 .net "a", 0 0, L_000000000265d1a0; alias, 1 drivers -v0000000000e547d0_0 .net "anorb", 0 0, L_00000000026f69d0; 1 drivers -v0000000000e56030_0 .net "b", 0 0, L_000000000265b6c0; alias, 1 drivers -v0000000000e553b0_0 .net "carryAND", 0 0, L_00000000026f7a70; alias, 1 drivers -v0000000000e54a50_0 .net "carryin", 0 0, L_000000000265b760; alias, 1 drivers -v0000000000e54b90_0 .net "i0", 0 0, L_000000000265bbc0; alias, 1 drivers -v0000000000e54cd0_0 .net "nab", 0 0, L_00000000026f7840; alias, 1 drivers -v0000000000e55f90_0 .net "nac", 0 0, L_00000000026f6e30; 1 drivers -v0000000000e54d70_0 .net "nbc", 0 0, L_00000000026f7680; 1 drivers -v0000000000e54190_0 .net "orNOR", 0 0, L_00000000026f7760; alias, 1 drivers -v0000000000e56170_0 .net "sumXOR", 0 0, L_00000000026f76f0; alias, 1 drivers -S_0000000000c8eb40 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c97290; +L_000000000282cbb0/d .functor NAND 1, L_000000000279f340, L_000000000279ff20, C4<1>, C4<1>; +L_000000000282cbb0 .delay 1 (20000,20000,20000) L_000000000282cbb0/d; +L_000000000282c910/d .functor NAND 1, L_000000000279ff20, L_000000000279f480, C4<1>, C4<1>; +L_000000000282c910 .delay 1 (20000,20000,20000) L_000000000282c910/d; +L_000000000282c6e0/d .functor NAND 1, L_000000000279f340, L_000000000279f480, C4<1>, C4<1>; +L_000000000282c6e0 .delay 1 (20000,20000,20000) L_000000000282c6e0/d; +L_000000000282d240/d .functor NAND 1, L_000000000282cbb0, L_000000000282c6e0, L_000000000282c910, C4<1>; +L_000000000282d240 .delay 1 (30000,30000,30000) L_000000000282d240/d; +L_000000000282d010/d .functor XOR 1, L_000000000279f340, L_000000000279ff20, L_000000000279f480, C4<0>; +L_000000000282d010 .delay 1 (30000,30000,30000) L_000000000282d010/d; +L_000000000282c9f0/d .functor NOR 1, L_000000000279f340, L_000000000279ff20, C4<0>, C4<0>; +L_000000000282c9f0 .delay 1 (20000,20000,20000) L_000000000282c9f0/d; +L_000000000282d080/d .functor XOR 1, L_000000000282c9f0, L_000000000279f660, C4<0>, C4<0>; +L_000000000282d080 .delay 1 (20000,20000,20000) L_000000000282d080/d; +v0000000000e9e0a0_0 .net "a", 0 0, L_000000000279f340; alias, 1 drivers +v0000000000e9e1e0_0 .net "anorb", 0 0, L_000000000282c9f0; 1 drivers +v0000000000e9e3c0_0 .net "b", 0 0, L_000000000279ff20; alias, 1 drivers +v0000000000e9f180_0 .net "carryAND", 0 0, L_000000000282d240; alias, 1 drivers +v0000000000e9cc00_0 .net "carryin", 0 0, L_000000000279f480; alias, 1 drivers +v0000000000e9eaa0_0 .net "i0", 0 0, L_000000000279f660; alias, 1 drivers +v0000000000e9e640_0 .net "nab", 0 0, L_000000000282cbb0; alias, 1 drivers +v0000000000e9d6a0_0 .net "nac", 0 0, L_000000000282c6e0; 1 drivers +v0000000000e9eb40_0 .net "nbc", 0 0, L_000000000282c910; 1 drivers +v0000000000e9e780_0 .net "orNOR", 0 0, L_000000000282d080; alias, 1 drivers +v0000000000e9e960_0 .net "sumXOR", 0 0, L_000000000282d010; alias, 1 drivers +S_0000000000cb5eb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000cbebc0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -784,57 +793,57 @@ S_0000000000c8eb40 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026f6a40/d .functor NOT 1, L_000000000265d740, C4<0>, C4<0>, C4<0>; -L_00000000026f6a40 .delay 1 (10000,10000,10000) L_00000000026f6a40/d; -L_00000000026f7450/d .functor NOT 1, L_000000000265b120, C4<0>, C4<0>, C4<0>; -L_00000000026f7450 .delay 1 (10000,10000,10000) L_00000000026f7450/d; -L_00000000026f7290/d .functor NAND 1, L_000000000265b120, L_000000000265d740, L_00000000026f76f0, C4<1>; -L_00000000026f7290 .delay 1 (30000,30000,30000) L_00000000026f7290/d; -L_00000000026f7220/d .functor NAND 1, L_000000000265b120, L_00000000026f6a40, L_00000000026f7760, C4<1>; -L_00000000026f7220 .delay 1 (30000,30000,30000) L_00000000026f7220/d; -L_00000000026f6730/d .functor NAND 1, L_00000000026f7450, L_000000000265d740, L_00000000026f7a70, C4<1>; -L_00000000026f6730 .delay 1 (30000,30000,30000) L_00000000026f6730/d; -L_00000000026f7370/d .functor NAND 1, L_00000000026f7450, L_00000000026f6a40, L_00000000026f7840, C4<1>; -L_00000000026f7370 .delay 1 (30000,30000,30000) L_00000000026f7370/d; -L_00000000026f78b0/d .functor NAND 1, L_00000000026f7290, L_00000000026f7220, L_00000000026f6730, L_00000000026f7370; -L_00000000026f78b0 .delay 1 (40000,40000,40000) L_00000000026f78b0/d; -v0000000000e56490_0 .net "a", 0 0, L_00000000026f76f0; alias, 1 drivers -v0000000000e55810_0 .net "aout", 0 0, L_00000000026f7290; 1 drivers -v0000000000e55090_0 .net "b", 0 0, L_00000000026f7760; alias, 1 drivers -v0000000000e54370_0 .net "bout", 0 0, L_00000000026f7220; 1 drivers -v0000000000e551d0_0 .net "c", 0 0, L_00000000026f7a70; alias, 1 drivers -v0000000000e53d30_0 .net "cout", 0 0, L_00000000026f6730; 1 drivers -v0000000000e1d430_0 .net "d", 0 0, L_00000000026f7840; alias, 1 drivers -v0000000000e1e6f0_0 .net "dout", 0 0, L_00000000026f7370; 1 drivers -v0000000000e1edd0_0 .net "ns0", 0 0, L_00000000026f6a40; 1 drivers -v0000000000e1eb50_0 .net "ns1", 0 0, L_00000000026f7450; 1 drivers -v0000000000e1ec90_0 .net "out", 0 0, L_00000000026f78b0; alias, 1 drivers -v0000000000e1f370_0 .net "s0", 0 0, L_000000000265d740; 1 drivers -v0000000000e1f410_0 .net "s1", 0 0, L_000000000265b120; 1 drivers -S_0000000000c8ecc0 .scope module, "_lut" "ALULut" 4 35, 8 13 0, S_0000000000c91660; +L_000000000282c0c0/d .functor NOT 1, L_000000000279fe80, C4<0>, C4<0>, C4<0>; +L_000000000282c0c0 .delay 1 (10000,10000,10000) L_000000000282c0c0/d; +L_000000000282d160/d .functor NOT 1, L_000000000279fc00, C4<0>, C4<0>, C4<0>; +L_000000000282d160 .delay 1 (10000,10000,10000) L_000000000282d160/d; +L_000000000282d710/d .functor NAND 1, L_000000000279fc00, L_000000000279fe80, L_000000000282d010, C4<1>; +L_000000000282d710 .delay 1 (30000,30000,30000) L_000000000282d710/d; +L_000000000282d780/d .functor NAND 1, L_000000000279fc00, L_000000000282c0c0, L_000000000282d080, C4<1>; +L_000000000282d780 .delay 1 (30000,30000,30000) L_000000000282d780/d; +L_000000000282c1a0/d .functor NAND 1, L_000000000282d160, L_000000000279fe80, L_000000000282d240, C4<1>; +L_000000000282c1a0 .delay 1 (30000,30000,30000) L_000000000282c1a0/d; +L_000000000282ce50/d .functor NAND 1, L_000000000282d160, L_000000000282c0c0, L_000000000282cbb0, C4<1>; +L_000000000282ce50 .delay 1 (30000,30000,30000) L_000000000282ce50/d; +L_000000000282cd00/d .functor NAND 1, L_000000000282d710, L_000000000282d780, L_000000000282c1a0, L_000000000282ce50; +L_000000000282cd00 .delay 1 (40000,40000,40000) L_000000000282cd00/d; +v0000000000e9d100_0 .net "a", 0 0, L_000000000282d010; alias, 1 drivers +v0000000000e9cca0_0 .net "aout", 0 0, L_000000000282d710; 1 drivers +v0000000000e9d1a0_0 .net "b", 0 0, L_000000000282d080; alias, 1 drivers +v0000000000e643f0_0 .net "bout", 0 0, L_000000000282d780; 1 drivers +v0000000000e65570_0 .net "c", 0 0, L_000000000282d240; alias, 1 drivers +v0000000000e656b0_0 .net "cout", 0 0, L_000000000282c1a0; 1 drivers +v0000000000e63c70_0 .net "d", 0 0, L_000000000282cbb0; alias, 1 drivers +v0000000000e65d90_0 .net "dout", 0 0, L_000000000282ce50; 1 drivers +v0000000000e657f0_0 .net "ns0", 0 0, L_000000000282c0c0; 1 drivers +v0000000000e64850_0 .net "ns1", 0 0, L_000000000282d160; 1 drivers +v0000000000e65930_0 .net "out", 0 0, L_000000000282cd00; alias, 1 drivers +v0000000000e65a70_0 .net "s0", 0 0, L_000000000279fe80; 1 drivers +v0000000000e65b10_0 .net "s1", 0 0, L_000000000279fc00; 1 drivers +S_0000000000cb6030 .scope module, "_lut" "ALULut" 4 35, 8 13 0, S_0000000000cc7490; .timescale -9 -12; .port_info 0 /OUTPUT 2 "select" .port_info 1 /OUTPUT 1 "invert" .port_info 2 /OUTPUT 1 "carry" .port_info 3 /INPUT 3 "operation" -v0000000000e20d10_0 .var "carry", 0 0; -v0000000000e1f730_0 .var "invert", 0 0; -v0000000000e1f910_0 .net "operation", 2 0, v000000000265fcc0_0; alias, 1 drivers -v0000000000e21990_0 .var "select", 1 0; -E_0000000000e35d80 .event edge, v0000000000e1f910_0; -S_0000000000c85ed0 .scope generate, "aluBits[1]" "aluBits[1]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e36400 .param/l "i" 0 4 49, +C4<01>; -L_0000000000e6a900/d .functor XOR 1, L_000000000265e8c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000e6a900 .delay 1 (20000,20000,20000) L_0000000000e6a900/d; -L_0000000000e6b1c0/d .functor AND 1, v0000000000e20d10_0, L_000000000265e6e0, C4<1>, C4<1>; -L_0000000000e6b1c0 .delay 1 (30000,30000,30000) L_0000000000e6b1c0/d; -L_0000000000e6b620/d .functor AND 1, L_000000000265fd60, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000e6b620 .delay 1 (30000,30000,30000) L_0000000000e6b620/d; -v0000000000e03330_0 .net *"_s1", 0 0, L_000000000265e8c0; 1 drivers -v0000000000e03470_0 .net *"_s3", 0 0, L_000000000265e6e0; 1 drivers -v0000000000e01b70_0 .net *"_s9", 0 0, L_000000000265fd60; 1 drivers -S_0000000000c86050 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c85ed0; +v0000000000e67370_0 .var "carry", 0 0; +v0000000000e68bd0_0 .var "invert", 0 0; +v0000000000e68db0_0 .net "operation", 2 0, v0000000002790a20_0; alias, 1 drivers +v0000000000e625f0_0 .var "select", 1 0; +E_0000000000f05e20 .event edge, v0000000000e68db0_0; +S_000000000119e8a0 .scope generate, "aluBits[1]" "aluBits[1]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f04ee0 .param/l "i" 0 4 49, +C4<01>; +L_0000000000eb3490/d .functor XOR 1, L_0000000002790200, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000000eb3490 .delay 1 (20000,20000,20000) L_0000000000eb3490/d; +L_0000000000eb4290/d .functor AND 1, v0000000000e67370_0, L_0000000002790ca0, C4<1>, C4<1>; +L_0000000000eb4290 .delay 1 (30000,30000,30000) L_0000000000eb4290/d; +L_0000000000eb2c40/d .functor AND 1, L_0000000002792280, L_000000000282c280, C4<1>, C4<1>; +L_0000000000eb2c40 .delay 1 (30000,30000,30000) L_0000000000eb2c40/d; +v0000000000e48230_0 .net *"_s1", 0 0, L_0000000002790200; 1 drivers +v0000000000e45e90_0 .net *"_s3", 0 0, L_0000000002790ca0; 1 drivers +v0000000000e49450_0 .net *"_s9", 0 0, L_0000000002792280; 1 drivers +S_000000000119ea20 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000119e8a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -843,19 +852,19 @@ S_0000000000c86050 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000deeaf0_0 .net "a", 0 0, L_000000000265e460; 1 drivers -v0000000000df0350_0 .net "b", 0 0, L_000000000265f4a0; 1 drivers -v0000000000df03f0_0 .net "carryAND", 0 0, L_0000000000e6a200; 1 drivers -v0000000000deeb90_0 .net "cin", 0 0, L_000000000265fc20; 1 drivers -v0000000000deecd0_0 .net "ctrl0", 0 0, L_000000000265f2c0; 1 drivers -v0000000000e03ab0_0 .net "nab", 0 0, L_0000000000e6b3f0; 1 drivers -v0000000000e03d30_0 .net "orNOR", 0 0, L_0000000000e6b540; 1 drivers -v0000000000e03e70_0 .net "res", 0 0, L_0000000000e69c50; 1 drivers -v0000000000e03f10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000e03290_0 .net "sumXOR", 0 0, L_0000000000e6b4d0; 1 drivers -L_000000000265d9c0 .part v0000000000e21990_0, 1, 1; -L_000000000265f040 .part v0000000000e21990_0, 0, 1; -S_000000000099e7f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c86050; +v0000000000e2c950_0 .net "a", 0 0, L_0000000002790de0; 1 drivers +v0000000000e2cf90_0 .net "b", 0 0, L_0000000002791a60; 1 drivers +v0000000000e46390_0 .net "carryAND", 0 0, L_0000000000eb2a10; 1 drivers +v0000000000e46d90_0 .net "cin", 0 0, L_0000000002790d40; 1 drivers +v0000000000e464d0_0 .net "ctrl0", 0 0, L_0000000002791ba0; 1 drivers +v0000000000e47e70_0 .net "nab", 0 0, L_0000000000eb39d0; 1 drivers +v0000000000e470b0_0 .net "orNOR", 0 0, L_0000000000eb3d50; 1 drivers +v0000000000e47150_0 .net "res", 0 0, L_0000000000eb3730; 1 drivers +v0000000000e473d0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000000e48050_0 .net "sumXOR", 0 0, L_0000000000eb4300; 1 drivers +L_00000000027902a0 .part v0000000000e625f0_0, 1, 1; +L_0000000002790340 .part v0000000000e625f0_0, 0, 1; +S_0000000000cc88e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000119ea20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -865,32 +874,32 @@ S_000000000099e7f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c8605 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000e6b3f0/d .functor NAND 1, L_000000000265e460, L_000000000265f4a0, C4<1>, C4<1>; -L_0000000000e6b3f0 .delay 1 (20000,20000,20000) L_0000000000e6b3f0/d; -L_0000000000e6a510/d .functor NAND 1, L_000000000265f4a0, L_000000000265fc20, C4<1>, C4<1>; -L_0000000000e6a510 .delay 1 (20000,20000,20000) L_0000000000e6a510/d; -L_0000000000e6b460/d .functor NAND 1, L_000000000265e460, L_000000000265fc20, C4<1>, C4<1>; -L_0000000000e6b460 .delay 1 (20000,20000,20000) L_0000000000e6b460/d; -L_0000000000e6a200/d .functor NAND 1, L_0000000000e6b3f0, L_0000000000e6b460, L_0000000000e6a510, C4<1>; -L_0000000000e6a200 .delay 1 (30000,30000,30000) L_0000000000e6a200/d; -L_0000000000e6b4d0/d .functor XOR 1, L_000000000265e460, L_000000000265f4a0, L_000000000265fc20, C4<0>; -L_0000000000e6b4d0 .delay 1 (30000,30000,30000) L_0000000000e6b4d0/d; -L_0000000000e6a350/d .functor NOR 1, L_000000000265e460, L_000000000265f4a0, C4<0>, C4<0>; -L_0000000000e6a350 .delay 1 (20000,20000,20000) L_0000000000e6a350/d; -L_0000000000e6b540/d .functor XOR 1, L_0000000000e6a350, L_000000000265f2c0, C4<0>, C4<0>; -L_0000000000e6b540 .delay 1 (20000,20000,20000) L_0000000000e6b540/d; -v0000000000e24230_0 .net "a", 0 0, L_000000000265e460; alias, 1 drivers -v0000000000e230b0_0 .net "anorb", 0 0, L_0000000000e6a350; 1 drivers -v0000000000e23b50_0 .net "b", 0 0, L_000000000265f4a0; alias, 1 drivers -v0000000000e235b0_0 .net "carryAND", 0 0, L_0000000000e6a200; alias, 1 drivers -v0000000000e23fb0_0 .net "carryin", 0 0, L_000000000265fc20; alias, 1 drivers -v0000000000e23470_0 .net "i0", 0 0, L_000000000265f2c0; alias, 1 drivers -v0000000000e23d30_0 .net "nab", 0 0, L_0000000000e6b3f0; alias, 1 drivers -v0000000000e23dd0_0 .net "nac", 0 0, L_0000000000e6b460; 1 drivers -v0000000000e24410_0 .net "nbc", 0 0, L_0000000000e6a510; 1 drivers -v0000000000e21fd0_0 .net "orNOR", 0 0, L_0000000000e6b540; alias, 1 drivers -v0000000000e24550_0 .net "sumXOR", 0 0, L_0000000000e6b4d0; alias, 1 drivers -S_000000000099e970 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c86050; +L_0000000000eb39d0/d .functor NAND 1, L_0000000002790de0, L_0000000002791a60, C4<1>, C4<1>; +L_0000000000eb39d0 .delay 1 (20000,20000,20000) L_0000000000eb39d0/d; +L_0000000000eb31f0/d .functor NAND 1, L_0000000002791a60, L_0000000002790d40, C4<1>, C4<1>; +L_0000000000eb31f0 .delay 1 (20000,20000,20000) L_0000000000eb31f0/d; +L_0000000000eb3f10/d .functor NAND 1, L_0000000002790de0, L_0000000002790d40, C4<1>, C4<1>; +L_0000000000eb3f10 .delay 1 (20000,20000,20000) L_0000000000eb3f10/d; +L_0000000000eb2a10/d .functor NAND 1, L_0000000000eb39d0, L_0000000000eb3f10, L_0000000000eb31f0, C4<1>; +L_0000000000eb2a10 .delay 1 (30000,30000,30000) L_0000000000eb2a10/d; +L_0000000000eb4300/d .functor XOR 1, L_0000000002790de0, L_0000000002791a60, L_0000000002790d40, C4<0>; +L_0000000000eb4300 .delay 1 (30000,30000,30000) L_0000000000eb4300/d; +L_0000000000eb3ce0/d .functor NOR 1, L_0000000002790de0, L_0000000002791a60, C4<0>, C4<0>; +L_0000000000eb3ce0 .delay 1 (20000,20000,20000) L_0000000000eb3ce0/d; +L_0000000000eb3d50/d .functor XOR 1, L_0000000000eb3ce0, L_0000000002791ba0, C4<0>, C4<0>; +L_0000000000eb3d50 .delay 1 (20000,20000,20000) L_0000000000eb3d50/d; +v0000000000e611f0_0 .net "a", 0 0, L_0000000002790de0; alias, 1 drivers +v0000000000e627d0_0 .net "anorb", 0 0, L_0000000000eb3ce0; 1 drivers +v0000000000e61e70_0 .net "b", 0 0, L_0000000002791a60; alias, 1 drivers +v0000000000e629b0_0 .net "carryAND", 0 0, L_0000000000eb2a10; alias, 1 drivers +v0000000000e63270_0 .net "carryin", 0 0, L_0000000002790d40; alias, 1 drivers +v0000000000e62a50_0 .net "i0", 0 0, L_0000000002791ba0; alias, 1 drivers +v0000000000e62b90_0 .net "nab", 0 0, L_0000000000eb39d0; alias, 1 drivers +v0000000000e62cd0_0 .net "nac", 0 0, L_0000000000eb3f10; 1 drivers +v0000000000e63310_0 .net "nbc", 0 0, L_0000000000eb31f0; 1 drivers +v0000000000e29f70_0 .net "orNOR", 0 0, L_0000000000eb3d50; alias, 1 drivers +v0000000000e2c130_0 .net "sumXOR", 0 0, L_0000000000eb4300; alias, 1 drivers +S_0000000000cc8a60 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000119ea20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -899,46 +908,46 @@ S_000000000099e970 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000e6ab30/d .functor NOT 1, L_000000000265f040, C4<0>, C4<0>, C4<0>; -L_0000000000e6ab30 .delay 1 (10000,10000,10000) L_0000000000e6ab30/d; -L_0000000000e69b70/d .functor NOT 1, L_000000000265d9c0, C4<0>, C4<0>, C4<0>; -L_0000000000e69b70 .delay 1 (10000,10000,10000) L_0000000000e69b70/d; -L_0000000000e69be0/d .functor NAND 1, L_000000000265d9c0, L_000000000265f040, L_0000000000e6b4d0, C4<1>; -L_0000000000e69be0 .delay 1 (30000,30000,30000) L_0000000000e69be0/d; -L_0000000000e6b5b0/d .functor NAND 1, L_000000000265d9c0, L_0000000000e6ab30, L_0000000000e6b540, C4<1>; -L_0000000000e6b5b0 .delay 1 (30000,30000,30000) L_0000000000e6b5b0/d; -L_0000000000e69e10/d .functor NAND 1, L_0000000000e69b70, L_000000000265f040, L_0000000000e6a200, C4<1>; -L_0000000000e69e10 .delay 1 (30000,30000,30000) L_0000000000e69e10/d; -L_0000000000e6ad60/d .functor NAND 1, L_0000000000e69b70, L_0000000000e6ab30, L_0000000000e6b3f0, C4<1>; -L_0000000000e6ad60 .delay 1 (30000,30000,30000) L_0000000000e6ad60/d; -L_0000000000e69c50/d .functor NAND 1, L_0000000000e69be0, L_0000000000e6b5b0, L_0000000000e69e10, L_0000000000e6ad60; -L_0000000000e69c50 .delay 1 (40000,40000,40000) L_0000000000e69c50/d; -v0000000000e245f0_0 .net "a", 0 0, L_0000000000e6b4d0; alias, 1 drivers -v0000000000df2650_0 .net "aout", 0 0, L_0000000000e69be0; 1 drivers -v0000000000df1b10_0 .net "b", 0 0, L_0000000000e6b540; alias, 1 drivers -v0000000000df1110_0 .net "bout", 0 0, L_0000000000e6b5b0; 1 drivers -v0000000000df1ed0_0 .net "c", 0 0, L_0000000000e6a200; alias, 1 drivers -v0000000000df1390_0 .net "cout", 0 0, L_0000000000e69e10; 1 drivers -v0000000000df1250_0 .net "d", 0 0, L_0000000000e6b3f0; alias, 1 drivers -v0000000000df16b0_0 .net "dout", 0 0, L_0000000000e6ad60; 1 drivers -v0000000000df07b0_0 .net "ns0", 0 0, L_0000000000e6ab30; 1 drivers -v0000000000dee7d0_0 .net "ns1", 0 0, L_0000000000e69b70; 1 drivers -v0000000000def310_0 .net "out", 0 0, L_0000000000e69c50; alias, 1 drivers -v0000000000def4f0_0 .net "s0", 0 0, L_000000000265f040; 1 drivers -v0000000000defd10_0 .net "s1", 0 0, L_000000000265d9c0; 1 drivers -S_0000000000c98860 .scope generate, "aluBits[2]" "aluBits[2]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e36700 .param/l "i" 0 4 49, +C4<010>; -L_0000000000e69cc0/d .functor XOR 1, L_000000000265ff40, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000e69cc0 .delay 1 (20000,20000,20000) L_0000000000e69cc0/d; -L_0000000000e6acf0/d .functor AND 1, v0000000000e20d10_0, L_000000000265e500, C4<1>, C4<1>; -L_0000000000e6acf0 .delay 1 (30000,30000,30000) L_0000000000e6acf0/d; -L_0000000000e6a270/d .functor AND 1, L_000000000265efa0, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000e6a270 .delay 1 (30000,30000,30000) L_0000000000e6a270/d; -v0000000000dd8b20_0 .net *"_s1", 0 0, L_000000000265ff40; 1 drivers -v0000000000dd9ca0_0 .net *"_s3", 0 0, L_000000000265e500; 1 drivers -v0000000000dda600_0 .net *"_s9", 0 0, L_000000000265efa0; 1 drivers -S_0000000000c989e0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c98860; +L_0000000000eb3e30/d .functor NOT 1, L_0000000002790340, C4<0>, C4<0>, C4<0>; +L_0000000000eb3e30 .delay 1 (10000,10000,10000) L_0000000000eb3e30/d; +L_0000000000eb2b60/d .functor NOT 1, L_00000000027902a0, C4<0>, C4<0>, C4<0>; +L_0000000000eb2b60 .delay 1 (10000,10000,10000) L_0000000000eb2b60/d; +L_0000000000eb3260/d .functor NAND 1, L_00000000027902a0, L_0000000002790340, L_0000000000eb4300, C4<1>; +L_0000000000eb3260 .delay 1 (30000,30000,30000) L_0000000000eb3260/d; +L_0000000000eb2fc0/d .functor NAND 1, L_00000000027902a0, L_0000000000eb3e30, L_0000000000eb3d50, C4<1>; +L_0000000000eb2fc0 .delay 1 (30000,30000,30000) L_0000000000eb2fc0/d; +L_0000000000eb3880/d .functor NAND 1, L_0000000000eb2b60, L_0000000002790340, L_0000000000eb2a10, C4<1>; +L_0000000000eb3880 .delay 1 (30000,30000,30000) L_0000000000eb3880/d; +L_0000000000eb3ff0/d .functor NAND 1, L_0000000000eb2b60, L_0000000000eb3e30, L_0000000000eb39d0, C4<1>; +L_0000000000eb3ff0 .delay 1 (30000,30000,30000) L_0000000000eb3ff0/d; +L_0000000000eb3730/d .functor NAND 1, L_0000000000eb3260, L_0000000000eb2fc0, L_0000000000eb3880, L_0000000000eb3ff0; +L_0000000000eb3730 .delay 1 (40000,40000,40000) L_0000000000eb3730/d; +v0000000000e2bf50_0 .net "a", 0 0, L_0000000000eb4300; alias, 1 drivers +v0000000000e2b370_0 .net "aout", 0 0, L_0000000000eb3260; 1 drivers +v0000000000e2a010_0 .net "b", 0 0, L_0000000000eb3d50; alias, 1 drivers +v0000000000e2a790_0 .net "bout", 0 0, L_0000000000eb2fc0; 1 drivers +v0000000000e2ab50_0 .net "c", 0 0, L_0000000000eb2a10; alias, 1 drivers +v0000000000e2a510_0 .net "cout", 0 0, L_0000000000eb3880; 1 drivers +v0000000000e2b550_0 .net "d", 0 0, L_0000000000eb39d0; alias, 1 drivers +v0000000000e2c090_0 .net "dout", 0 0, L_0000000000eb3ff0; 1 drivers +v0000000000e2c450_0 .net "ns0", 0 0, L_0000000000eb3e30; 1 drivers +v0000000000e2ca90_0 .net "ns1", 0 0, L_0000000000eb2b60; 1 drivers +v0000000000e2c630_0 .net "out", 0 0, L_0000000000eb3730; alias, 1 drivers +v0000000000e2c810_0 .net "s0", 0 0, L_0000000002790340; 1 drivers +v0000000000e2c8b0_0 .net "s1", 0 0, L_00000000027902a0; 1 drivers +S_0000000001196790 .scope generate, "aluBits[2]" "aluBits[2]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05b20 .param/l "i" 0 4 49, +C4<010>; +L_0000000000eb3dc0/d .functor XOR 1, L_0000000002792460, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000000eb3dc0 .delay 1 (20000,20000,20000) L_0000000000eb3dc0/d; +L_0000000000eb2cb0/d .functor AND 1, v0000000000e67370_0, L_0000000002790f20, C4<1>, C4<1>; +L_0000000000eb2cb0 .delay 1 (30000,30000,30000) L_0000000000eb2cb0/d; +L_0000000000eb3ab0/d .functor AND 1, L_0000000002791560, L_000000000282c280, C4<1>, C4<1>; +L_0000000000eb3ab0 .delay 1 (30000,30000,30000) L_0000000000eb3ab0/d; +v0000000000e1fea0_0 .net *"_s1", 0 0, L_0000000002792460; 1 drivers +v0000000000e1ff40_0 .net *"_s3", 0 0, L_0000000002790f20; 1 drivers +v0000000000e20080_0 .net *"_s9", 0 0, L_0000000002791560; 1 drivers +S_0000000001196910 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000001196790; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -947,19 +956,19 @@ S_0000000000c989e0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000c .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000e13320_0 .net "a", 0 0, L_000000000265ef00; 1 drivers -v0000000000dd77c0_0 .net "b", 0 0, L_000000000265e5a0; 1 drivers -v0000000000dd9200_0 .net "carryAND", 0 0, L_0000000000e69e80; 1 drivers -v0000000000dd97a0_0 .net "cin", 0 0, L_000000000265e640; 1 drivers -v0000000000dd7720_0 .net "ctrl0", 0 0, L_000000000265fe00; 1 drivers -v0000000000dd7d60_0 .net "nab", 0 0, L_0000000000e69fd0; 1 drivers -v0000000000dd7f40_0 .net "orNOR", 0 0, L_0000000000e6a820; 1 drivers -v0000000000dd7fe0_0 .net "res", 0 0, L_0000000000e6a190; 1 drivers -v0000000000dd8580_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000dd88a0_0 .net "sumXOR", 0 0, L_0000000000e6a7b0; 1 drivers -L_000000000265ea00 .part v0000000000e21990_0, 1, 1; -L_000000000265f680 .part v0000000000e21990_0, 0, 1; -S_0000000000e8f630 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c989e0; +v0000000000e1e780_0 .net "a", 0 0, L_0000000002791060; 1 drivers +v0000000000e1e3c0_0 .net "b", 0 0, L_0000000002791d80; 1 drivers +v0000000000e1ef00_0 .net "carryAND", 0 0, L_0000000000eb43e0; 1 drivers +v0000000000e1dc40_0 .net "cin", 0 0, L_0000000002791100; 1 drivers +v0000000000e1d060_0 .net "ctrl0", 0 0, L_00000000027912e0; 1 drivers +v0000000000e1d380_0 .net "nab", 0 0, L_0000000000eb2d20; 1 drivers +v0000000000e1d420_0 .net "orNOR", 0 0, L_0000000000eb3420; 1 drivers +v0000000000e1d600_0 .net "res", 0 0, L_0000000000eb3a40; 1 drivers +v0000000000e1f680_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000000e203a0_0 .net "sumXOR", 0 0, L_0000000000eb4220; 1 drivers +L_0000000002791240 .part v0000000000e625f0_0, 1, 1; +L_0000000002790fc0 .part v0000000000e625f0_0, 0, 1; +S_0000000000ed0740 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000001196910; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -969,32 +978,32 @@ S_0000000000e8f630 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000c989e .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000e69fd0/d .functor NAND 1, L_000000000265ef00, L_000000000265e5a0, C4<1>, C4<1>; -L_0000000000e69fd0 .delay 1 (20000,20000,20000) L_0000000000e69fd0/d; -L_0000000000e69d30/d .functor NAND 1, L_000000000265e5a0, L_000000000265e640, C4<1>, C4<1>; -L_0000000000e69d30 .delay 1 (20000,20000,20000) L_0000000000e69d30/d; -L_0000000000e6a740/d .functor NAND 1, L_000000000265ef00, L_000000000265e640, C4<1>, C4<1>; -L_0000000000e6a740 .delay 1 (20000,20000,20000) L_0000000000e6a740/d; -L_0000000000e69e80/d .functor NAND 1, L_0000000000e69fd0, L_0000000000e6a740, L_0000000000e69d30, C4<1>; -L_0000000000e69e80 .delay 1 (30000,30000,30000) L_0000000000e69e80/d; -L_0000000000e6a7b0/d .functor XOR 1, L_000000000265ef00, L_000000000265e5a0, L_000000000265e640, C4<0>; -L_0000000000e6a7b0 .delay 1 (30000,30000,30000) L_0000000000e6a7b0/d; -L_0000000000e69ef0/d .functor NOR 1, L_000000000265ef00, L_000000000265e5a0, C4<0>, C4<0>; -L_0000000000e69ef0 .delay 1 (20000,20000,20000) L_0000000000e69ef0/d; -L_0000000000e6a820/d .functor XOR 1, L_0000000000e69ef0, L_000000000265fe00, C4<0>, C4<0>; -L_0000000000e6a820 .delay 1 (20000,20000,20000) L_0000000000e6a820/d; -v0000000000e02070_0 .net "a", 0 0, L_000000000265ef00; alias, 1 drivers -v0000000000e01670_0 .net "anorb", 0 0, L_0000000000e69ef0; 1 drivers -v0000000000e010d0_0 .net "b", 0 0, L_000000000265e5a0; alias, 1 drivers -v0000000000e02c50_0 .net "carryAND", 0 0, L_0000000000e69e80; alias, 1 drivers -v0000000000e01210_0 .net "carryin", 0 0, L_000000000265e640; alias, 1 drivers -v0000000000e01490_0 .net "i0", 0 0, L_000000000265fe00; alias, 1 drivers -v0000000000e01710_0 .net "nab", 0 0, L_0000000000e69fd0; alias, 1 drivers -v0000000000e017b0_0 .net "nac", 0 0, L_0000000000e6a740; 1 drivers -v0000000000e02110_0 .net "nbc", 0 0, L_0000000000e69d30; 1 drivers -v0000000000e103a0_0 .net "orNOR", 0 0, L_0000000000e6a820; alias, 1 drivers -v0000000000e10440_0 .net "sumXOR", 0 0, L_0000000000e6a7b0; alias, 1 drivers -S_0000000000e8e8b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c989e0; +L_0000000000eb2d20/d .functor NAND 1, L_0000000002791060, L_0000000002791d80, C4<1>, C4<1>; +L_0000000000eb2d20 .delay 1 (20000,20000,20000) L_0000000000eb2d20/d; +L_0000000000eb3180/d .functor NAND 1, L_0000000002791d80, L_0000000002791100, C4<1>, C4<1>; +L_0000000000eb3180 .delay 1 (20000,20000,20000) L_0000000000eb3180/d; +L_0000000000eb3f80/d .functor NAND 1, L_0000000002791060, L_0000000002791100, C4<1>, C4<1>; +L_0000000000eb3f80 .delay 1 (20000,20000,20000) L_0000000000eb3f80/d; +L_0000000000eb43e0/d .functor NAND 1, L_0000000000eb2d20, L_0000000000eb3f80, L_0000000000eb3180, C4<1>; +L_0000000000eb43e0 .delay 1 (30000,30000,30000) L_0000000000eb43e0/d; +L_0000000000eb4220/d .functor XOR 1, L_0000000002791060, L_0000000002791d80, L_0000000002791100, C4<0>; +L_0000000000eb4220 .delay 1 (30000,30000,30000) L_0000000000eb4220/d; +L_0000000000eb38f0/d .functor NOR 1, L_0000000002791060, L_0000000002791d80, C4<0>, C4<0>; +L_0000000000eb38f0 .delay 1 (20000,20000,20000) L_0000000000eb38f0/d; +L_0000000000eb3420/d .functor XOR 1, L_0000000000eb38f0, L_00000000027912e0, C4<0>, C4<0>; +L_0000000000eb3420 .delay 1 (20000,20000,20000) L_0000000000eb3420/d; +v0000000000e48550_0 .net "a", 0 0, L_0000000002791060; alias, 1 drivers +v0000000000e48af0_0 .net "anorb", 0 0, L_0000000000eb38f0; 1 drivers +v0000000000e48cd0_0 .net "b", 0 0, L_0000000002791d80; alias, 1 drivers +v0000000000e49590_0 .net "carryAND", 0 0, L_0000000000eb43e0; alias, 1 drivers +v0000000000e48eb0_0 .net "carryin", 0 0, L_0000000002791100; alias, 1 drivers +v0000000000e496d0_0 .net "i0", 0 0, L_00000000027912e0; alias, 1 drivers +v0000000000e56a40_0 .net "nab", 0 0, L_0000000000eb2d20; alias, 1 drivers +v0000000000e571c0_0 .net "nac", 0 0, L_0000000000eb3f80; 1 drivers +v0000000000e57580_0 .net "nbc", 0 0, L_0000000000eb3180; 1 drivers +v0000000000e56ae0_0 .net "orNOR", 0 0, L_0000000000eb3420; alias, 1 drivers +v0000000000e56d60_0 .net "sumXOR", 0 0, L_0000000000eb4220; alias, 1 drivers +S_0000000000ed0bc0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000001196910; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1003,46 +1012,46 @@ S_0000000000e8e8b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000c .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000e6aba0/d .functor NOT 1, L_000000000265f680, C4<0>, C4<0>, C4<0>; -L_0000000000e6aba0 .delay 1 (10000,10000,10000) L_0000000000e6aba0/d; -L_0000000000e69f60/d .functor NOT 1, L_000000000265ea00, C4<0>, C4<0>, C4<0>; -L_0000000000e69f60 .delay 1 (10000,10000,10000) L_0000000000e69f60/d; -L_0000000000e6a040/d .functor NAND 1, L_000000000265ea00, L_000000000265f680, L_0000000000e6a7b0, C4<1>; -L_0000000000e6a040 .delay 1 (30000,30000,30000) L_0000000000e6a040/d; -L_0000000000e6a970/d .functor NAND 1, L_000000000265ea00, L_0000000000e6aba0, L_0000000000e6a820, C4<1>; -L_0000000000e6a970 .delay 1 (30000,30000,30000) L_0000000000e6a970/d; -L_0000000000e6a120/d .functor NAND 1, L_0000000000e69f60, L_000000000265f680, L_0000000000e69e80, C4<1>; -L_0000000000e6a120 .delay 1 (30000,30000,30000) L_0000000000e6a120/d; -L_0000000000e6ac10/d .functor NAND 1, L_0000000000e69f60, L_0000000000e6aba0, L_0000000000e69fd0, C4<1>; -L_0000000000e6ac10 .delay 1 (30000,30000,30000) L_0000000000e6ac10/d; -L_0000000000e6a190/d .functor NAND 1, L_0000000000e6a040, L_0000000000e6a970, L_0000000000e6a120, L_0000000000e6ac10; -L_0000000000e6a190 .delay 1 (40000,40000,40000) L_0000000000e6a190/d; -v0000000000e10f80_0 .net "a", 0 0, L_0000000000e6a7b0; alias, 1 drivers -v0000000000e10620_0 .net "aout", 0 0, L_0000000000e6a040; 1 drivers -v0000000000e113e0_0 .net "b", 0 0, L_0000000000e6a820; alias, 1 drivers -v0000000000e11200_0 .net "bout", 0 0, L_0000000000e6a970; 1 drivers -v0000000000e10800_0 .net "c", 0 0, L_0000000000e69e80; alias, 1 drivers -v0000000000e11980_0 .net "cout", 0 0, L_0000000000e6a120; 1 drivers -v0000000000e11c00_0 .net "d", 0 0, L_0000000000e69fd0; alias, 1 drivers -v0000000000e112a0_0 .net "dout", 0 0, L_0000000000e6ac10; 1 drivers -v0000000000e126a0_0 .net "ns0", 0 0, L_0000000000e6aba0; 1 drivers -v0000000000e127e0_0 .net "ns1", 0 0, L_0000000000e69f60; 1 drivers -v0000000000e12880_0 .net "out", 0 0, L_0000000000e6a190; alias, 1 drivers -v0000000000e12240_0 .net "s0", 0 0, L_000000000265f680; 1 drivers -v0000000000e12e20_0 .net "s1", 0 0, L_000000000265ea00; 1 drivers -S_0000000000e8ebb0 .scope generate, "aluBits[3]" "aluBits[3]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e38d80 .param/l "i" 0 4 49, +C4<011>; -L_0000000000e6a2e0/d .functor XOR 1, L_000000000265db00, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000e6a2e0 .delay 1 (20000,20000,20000) L_0000000000e6a2e0/d; -L_0000000000e6a4a0/d .functor AND 1, v0000000000e20d10_0, L_000000000265f180, C4<1>, C4<1>; -L_0000000000e6a4a0 .delay 1 (30000,30000,30000) L_0000000000e6a4a0/d; -L_0000000000f3c6c0/d .functor AND 1, L_000000000265dec0, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000f3c6c0 .delay 1 (30000,30000,30000) L_0000000000f3c6c0/d; -v0000000000dad750_0 .net *"_s1", 0 0, L_000000000265db00; 1 drivers -v0000000000dac490_0 .net *"_s3", 0 0, L_000000000265f180; 1 drivers -v0000000000dad930_0 .net *"_s9", 0 0, L_000000000265dec0; 1 drivers -S_0000000000e8ed30 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e8ebb0; +L_0000000000eb3810/d .functor NOT 1, L_0000000002790fc0, C4<0>, C4<0>, C4<0>; +L_0000000000eb3810 .delay 1 (10000,10000,10000) L_0000000000eb3810/d; +L_0000000000eb4450/d .functor NOT 1, L_0000000002791240, C4<0>, C4<0>, C4<0>; +L_0000000000eb4450 .delay 1 (10000,10000,10000) L_0000000000eb4450/d; +L_0000000000eb3500/d .functor NAND 1, L_0000000002791240, L_0000000002790fc0, L_0000000000eb4220, C4<1>; +L_0000000000eb3500 .delay 1 (30000,30000,30000) L_0000000000eb3500/d; +L_0000000000eb3570/d .functor NAND 1, L_0000000002791240, L_0000000000eb3810, L_0000000000eb3420, C4<1>; +L_0000000000eb3570 .delay 1 (30000,30000,30000) L_0000000000eb3570/d; +L_0000000000eb37a0/d .functor NAND 1, L_0000000000eb4450, L_0000000002790fc0, L_0000000000eb43e0, C4<1>; +L_0000000000eb37a0 .delay 1 (30000,30000,30000) L_0000000000eb37a0/d; +L_0000000000eb3ea0/d .functor NAND 1, L_0000000000eb4450, L_0000000000eb3810, L_0000000000eb2d20, C4<1>; +L_0000000000eb3ea0 .delay 1 (30000,30000,30000) L_0000000000eb3ea0/d; +L_0000000000eb3a40/d .functor NAND 1, L_0000000000eb3500, L_0000000000eb3570, L_0000000000eb37a0, L_0000000000eb3ea0; +L_0000000000eb3a40 .delay 1 (40000,40000,40000) L_0000000000eb3a40/d; +v0000000000e555a0_0 .net "a", 0 0, L_0000000000eb4220; alias, 1 drivers +v0000000000e551e0_0 .net "aout", 0 0, L_0000000000eb3500; 1 drivers +v0000000000e55aa0_0 .net "b", 0 0, L_0000000000eb3420; alias, 1 drivers +v0000000000e55be0_0 .net "bout", 0 0, L_0000000000eb3570; 1 drivers +v0000000000e549c0_0 .net "c", 0 0, L_0000000000eb43e0; alias, 1 drivers +v0000000000e56220_0 .net "cout", 0 0, L_0000000000eb37a0; 1 drivers +v0000000000e564a0_0 .net "d", 0 0, L_0000000000eb2d20; alias, 1 drivers +v0000000000e562c0_0 .net "dout", 0 0, L_0000000000eb3ea0; 1 drivers +v0000000000e553c0_0 .net "ns0", 0 0, L_0000000000eb3810; 1 drivers +v0000000000e54060_0 .net "ns1", 0 0, L_0000000000eb4450; 1 drivers +v0000000000e54100_0 .net "out", 0 0, L_0000000000eb3a40; alias, 1 drivers +v0000000000e1db00_0 .net "s0", 0 0, L_0000000002790fc0; 1 drivers +v0000000000e1e820_0 .net "s1", 0 0, L_0000000002791240; 1 drivers +S_0000000000ed0d40 .scope generate, "aluBits[3]" "aluBits[3]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f04f60 .param/l "i" 0 4 49, +C4<011>; +L_0000000000eb2d90/d .functor XOR 1, L_0000000002791380, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000000eb2d90 .delay 1 (20000,20000,20000) L_0000000000eb2d90/d; +L_0000000000eb3b90/d .functor AND 1, v0000000000e67370_0, L_0000000002792500, C4<1>, C4<1>; +L_0000000000eb3b90 .delay 1 (30000,30000,30000) L_0000000000eb3b90/d; +L_00000000027ec400/d .functor AND 1, L_0000000002793180, L_000000000282c280, C4<1>, C4<1>; +L_00000000027ec400 .delay 1 (30000,30000,30000) L_00000000027ec400/d; +v0000000000df1f10_0 .net *"_s1", 0 0, L_0000000002791380; 1 drivers +v0000000000df25f0_0 .net *"_s3", 0 0, L_0000000002792500; 1 drivers +v0000000000df2690_0 .net *"_s9", 0 0, L_0000000002793180; 1 drivers +S_0000000000ed0440 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000ed0d40; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1051,19 +1060,19 @@ S_0000000000e8ed30 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000db2b10_0 .net "a", 0 0, L_000000000265f360; 1 drivers -v0000000000db33d0_0 .net "b", 0 0, L_000000000265eaa0; 1 drivers -v0000000000db3b50_0 .net "carryAND", 0 0, L_0000000000e6a580; 1 drivers -v0000000000db35b0_0 .net "cin", 0 0, L_000000000265f5e0; 1 drivers -v0000000000db1fd0_0 .net "ctrl0", 0 0, L_000000000265dd80; 1 drivers -v0000000000db21b0_0 .net "nab", 0 0, L_0000000000e6aa50; 1 drivers -v0000000000db36f0_0 .net "orNOR", 0 0, L_0000000000e6b8c0; 1 drivers -v0000000000db24d0_0 .net "res", 0 0, L_0000000000f3b8c0; 1 drivers -v0000000000dad250_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000daca30_0 .net "sumXOR", 0 0, L_0000000000e6a5f0; 1 drivers -L_000000000265ffe0 .part v0000000000e21990_0, 1, 1; -L_000000000265dba0 .part v0000000000e21990_0, 0, 1; -S_0000000000e8ea30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8ed30; +v0000000000df9560_0 .net "a", 0 0, L_0000000002791740; 1 drivers +v0000000000dfa3c0_0 .net "b", 0 0, L_00000000027949e0; 1 drivers +v0000000000df97e0_0 .net "carryAND", 0 0, L_0000000000eb41b0; 1 drivers +v0000000000dfa500_0 .net "cin", 0 0, L_00000000027934a0; 1 drivers +v0000000000df9a60_0 .net "ctrl0", 0 0, L_00000000027930e0; 1 drivers +v0000000000df3270_0 .net "nab", 0 0, L_0000000000eb4060; 1 drivers +v0000000000df33b0_0 .net "orNOR", 0 0, L_0000000000eb4610; 1 drivers +v0000000000df1b50_0 .net "res", 0 0, L_00000000027ec860; 1 drivers +v0000000000df2550_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000000df1830_0 .net "sumXOR", 0 0, L_0000000000eb4530; 1 drivers +L_0000000002791420 .part v0000000000e625f0_0, 1, 1; +L_0000000002791600 .part v0000000000e625f0_0, 0, 1; +S_0000000000ed0140 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000ed0440; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1073,32 +1082,32 @@ S_0000000000e8ea30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8ed3 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000e6aa50/d .functor NAND 1, L_000000000265f360, L_000000000265eaa0, C4<1>, C4<1>; -L_0000000000e6aa50 .delay 1 (20000,20000,20000) L_0000000000e6aa50/d; -L_0000000000e6a3c0/d .functor NAND 1, L_000000000265eaa0, L_000000000265f5e0, C4<1>, C4<1>; -L_0000000000e6a3c0 .delay 1 (20000,20000,20000) L_0000000000e6a3c0/d; -L_0000000000e6a430/d .functor NAND 1, L_000000000265f360, L_000000000265f5e0, C4<1>, C4<1>; -L_0000000000e6a430 .delay 1 (20000,20000,20000) L_0000000000e6a430/d; -L_0000000000e6a580/d .functor NAND 1, L_0000000000e6aa50, L_0000000000e6a430, L_0000000000e6a3c0, C4<1>; -L_0000000000e6a580 .delay 1 (30000,30000,30000) L_0000000000e6a580/d; -L_0000000000e6a5f0/d .functor XOR 1, L_000000000265f360, L_000000000265eaa0, L_000000000265f5e0, C4<0>; -L_0000000000e6a5f0 .delay 1 (30000,30000,30000) L_0000000000e6a5f0/d; -L_0000000000e6b9a0/d .functor NOR 1, L_000000000265f360, L_000000000265eaa0, C4<0>, C4<0>; -L_0000000000e6b9a0 .delay 1 (20000,20000,20000) L_0000000000e6b9a0/d; -L_0000000000e6b8c0/d .functor XOR 1, L_0000000000e6b9a0, L_000000000265dd80, C4<0>, C4<0>; -L_0000000000e6b8c0 .delay 1 (20000,20000,20000) L_0000000000e6b8c0/d; -v0000000000ddaa60_0 .net "a", 0 0, L_000000000265f360; alias, 1 drivers -v0000000000dda060_0 .net "anorb", 0 0, L_0000000000e6b9a0; 1 drivers -v0000000000ddace0_0 .net "b", 0 0, L_000000000265eaa0; alias, 1 drivers -v0000000000d995a0_0 .net "carryAND", 0 0, L_0000000000e6a580; alias, 1 drivers -v0000000000d99c80_0 .net "carryin", 0 0, L_000000000265f5e0; alias, 1 drivers -v0000000000d99a00_0 .net "i0", 0 0, L_000000000265dd80; alias, 1 drivers -v0000000000d98380_0 .net "nab", 0 0, L_0000000000e6aa50; alias, 1 drivers -v0000000000d99aa0_0 .net "nac", 0 0, L_0000000000e6a430; 1 drivers -v0000000000d98b00_0 .net "nbc", 0 0, L_0000000000e6a3c0; 1 drivers -v0000000000d99be0_0 .net "orNOR", 0 0, L_0000000000e6b8c0; alias, 1 drivers -v0000000000d98740_0 .net "sumXOR", 0 0, L_0000000000e6a5f0; alias, 1 drivers -S_0000000000e8eeb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e8ed30; +L_0000000000eb4060/d .functor NAND 1, L_0000000002791740, L_00000000027949e0, C4<1>, C4<1>; +L_0000000000eb4060 .delay 1 (20000,20000,20000) L_0000000000eb4060/d; +L_0000000000eb2e00/d .functor NAND 1, L_00000000027949e0, L_00000000027934a0, C4<1>, C4<1>; +L_0000000000eb2e00 .delay 1 (20000,20000,20000) L_0000000000eb2e00/d; +L_0000000000eb40d0/d .functor NAND 1, L_0000000002791740, L_00000000027934a0, C4<1>, C4<1>; +L_0000000000eb40d0 .delay 1 (20000,20000,20000) L_0000000000eb40d0/d; +L_0000000000eb41b0/d .functor NAND 1, L_0000000000eb4060, L_0000000000eb40d0, L_0000000000eb2e00, C4<1>; +L_0000000000eb41b0 .delay 1 (30000,30000,30000) L_0000000000eb41b0/d; +L_0000000000eb4530/d .functor XOR 1, L_0000000002791740, L_00000000027949e0, L_00000000027934a0, C4<0>; +L_0000000000eb4530 .delay 1 (30000,30000,30000) L_0000000000eb4530/d; +L_0000000000eb46f0/d .functor NOR 1, L_0000000002791740, L_00000000027949e0, C4<0>, C4<0>; +L_0000000000eb46f0 .delay 1 (20000,20000,20000) L_0000000000eb46f0/d; +L_0000000000eb4610/d .functor XOR 1, L_0000000000eb46f0, L_00000000027930e0, C4<0>, C4<0>; +L_0000000000eb4610 .delay 1 (20000,20000,20000) L_0000000000eb4610/d; +v0000000000ddf790_0 .net "a", 0 0, L_0000000002791740; alias, 1 drivers +v0000000000de09b0_0 .net "anorb", 0 0, L_0000000000eb46f0; 1 drivers +v0000000000ddfdd0_0 .net "b", 0 0, L_00000000027949e0; alias, 1 drivers +v0000000000ddf8d0_0 .net "carryAND", 0 0, L_0000000000eb41b0; alias, 1 drivers +v0000000000de0370_0 .net "carryin", 0 0, L_00000000027934a0; alias, 1 drivers +v0000000000de0cd0_0 .net "i0", 0 0, L_00000000027930e0; alias, 1 drivers +v0000000000ddfe70_0 .net "nab", 0 0, L_0000000000eb4060; alias, 1 drivers +v0000000000de0f50_0 .net "nac", 0 0, L_0000000000eb40d0; 1 drivers +v0000000000ddffb0_0 .net "nbc", 0 0, L_0000000000eb2e00; 1 drivers +v0000000000de0050_0 .net "orNOR", 0 0, L_0000000000eb4610; alias, 1 drivers +v0000000000e042d0_0 .net "sumXOR", 0 0, L_0000000000eb4530; alias, 1 drivers +S_0000000000ed08c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000ed0440; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1107,46 +1116,46 @@ S_0000000000e8eeb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000e6b930/d .functor NOT 1, L_000000000265dba0, C4<0>, C4<0>, C4<0>; -L_0000000000e6b930 .delay 1 (10000,10000,10000) L_0000000000e6b930/d; -L_0000000000e6b690/d .functor NOT 1, L_000000000265ffe0, C4<0>, C4<0>, C4<0>; -L_0000000000e6b690 .delay 1 (10000,10000,10000) L_0000000000e6b690/d; -L_0000000000e6b700/d .functor NAND 1, L_000000000265ffe0, L_000000000265dba0, L_0000000000e6a5f0, C4<1>; -L_0000000000e6b700 .delay 1 (30000,30000,30000) L_0000000000e6b700/d; -L_0000000000e6b7e0/d .functor NAND 1, L_000000000265ffe0, L_0000000000e6b930, L_0000000000e6b8c0, C4<1>; -L_0000000000e6b7e0 .delay 1 (30000,30000,30000) L_0000000000e6b7e0/d; -L_0000000000e6b770/d .functor NAND 1, L_0000000000e6b690, L_000000000265dba0, L_0000000000e6a580, C4<1>; -L_0000000000e6b770 .delay 1 (30000,30000,30000) L_0000000000e6b770/d; -L_0000000000e6b850/d .functor NAND 1, L_0000000000e6b690, L_0000000000e6b930, L_0000000000e6aa50, C4<1>; -L_0000000000e6b850 .delay 1 (30000,30000,30000) L_0000000000e6b850/d; -L_0000000000f3b8c0/d .functor NAND 1, L_0000000000e6b700, L_0000000000e6b7e0, L_0000000000e6b770, L_0000000000e6b850; -L_0000000000f3b8c0 .delay 1 (40000,40000,40000) L_0000000000f3b8c0/d; -v0000000000d98ce0_0 .net "a", 0 0, L_0000000000e6a5f0; alias, 1 drivers -v0000000000d98d80_0 .net "aout", 0 0, L_0000000000e6b700; 1 drivers -v0000000000dc1160_0 .net "b", 0 0, L_0000000000e6b8c0; alias, 1 drivers -v0000000000dc0620_0 .net "bout", 0 0, L_0000000000e6b7e0; 1 drivers -v0000000000dc12a0_0 .net "c", 0 0, L_0000000000e6a580; alias, 1 drivers -v0000000000dc1b60_0 .net "cout", 0 0, L_0000000000e6b770; 1 drivers -v0000000000dc0580_0 .net "d", 0 0, L_0000000000e6aa50; alias, 1 drivers -v0000000000dc0300_0 .net "dout", 0 0, L_0000000000e6b850; 1 drivers -v0000000000dc0800_0 .net "ns0", 0 0, L_0000000000e6b930; 1 drivers -v0000000000dc1340_0 .net "ns1", 0 0, L_0000000000e6b690; 1 drivers -v0000000000dc1980_0 .net "out", 0 0, L_0000000000f3b8c0; alias, 1 drivers -v0000000000dc0940_0 .net "s0", 0 0, L_000000000265dba0; 1 drivers -v0000000000db2f70_0 .net "s1", 0 0, L_000000000265ffe0; 1 drivers -S_0000000000e8f030 .scope generate, "aluBits[4]" "aluBits[4]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e38ec0 .param/l "i" 0 4 49, +C4<0100>; -L_0000000000f3cc00/d .functor XOR 1, L_000000000265f540, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000f3cc00 .delay 1 (20000,20000,20000) L_0000000000f3cc00/d; -L_0000000000f3cb90/d .functor AND 1, v0000000000e20d10_0, L_000000000265f860, C4<1>, C4<1>; -L_0000000000f3cb90 .delay 1 (30000,30000,30000) L_0000000000f3cb90/d; -L_0000000000f3cc70/d .functor AND 1, L_0000000002662100, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000f3cc70 .delay 1 (30000,30000,30000) L_0000000000f3cc70/d; -v0000000000ef7f50_0 .net *"_s1", 0 0, L_000000000265f540; 1 drivers -v0000000000ef68d0_0 .net *"_s3", 0 0, L_000000000265f860; 1 drivers -v0000000000ef63d0_0 .net *"_s9", 0 0, L_0000000002662100; 1 drivers -S_0000000000e8f1b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e8f030; +L_0000000000eb4840/d .functor NOT 1, L_0000000002791600, C4<0>, C4<0>, C4<0>; +L_0000000000eb4840 .delay 1 (10000,10000,10000) L_0000000000eb4840/d; +L_0000000000eb47d0/d .functor NOT 1, L_0000000002791420, C4<0>, C4<0>, C4<0>; +L_0000000000eb47d0 .delay 1 (10000,10000,10000) L_0000000000eb47d0/d; +L_0000000000eb45a0/d .functor NAND 1, L_0000000002791420, L_0000000002791600, L_0000000000eb4530, C4<1>; +L_0000000000eb45a0 .delay 1 (30000,30000,30000) L_0000000000eb45a0/d; +L_0000000000eb4680/d .functor NAND 1, L_0000000002791420, L_0000000000eb4840, L_0000000000eb4610, C4<1>; +L_0000000000eb4680 .delay 1 (30000,30000,30000) L_0000000000eb4680/d; +L_0000000000eb4760/d .functor NAND 1, L_0000000000eb47d0, L_0000000002791600, L_0000000000eb41b0, C4<1>; +L_0000000000eb4760 .delay 1 (30000,30000,30000) L_0000000000eb4760/d; +L_00000000027ec5c0/d .functor NAND 1, L_0000000000eb47d0, L_0000000000eb4840, L_0000000000eb4060, C4<1>; +L_00000000027ec5c0 .delay 1 (30000,30000,30000) L_00000000027ec5c0/d; +L_00000000027ec860/d .functor NAND 1, L_0000000000eb45a0, L_0000000000eb4680, L_0000000000eb4760, L_00000000027ec5c0; +L_00000000027ec860 .delay 1 (40000,40000,40000) L_00000000027ec860/d; +v0000000000e03a10_0 .net "a", 0 0, L_0000000000eb4530; alias, 1 drivers +v0000000000e05450_0 .net "aout", 0 0, L_0000000000eb45a0; 1 drivers +v0000000000e03dd0_0 .net "b", 0 0, L_0000000000eb4610; alias, 1 drivers +v0000000000e04410_0 .net "bout", 0 0, L_0000000000eb4680; 1 drivers +v0000000000e04eb0_0 .net "c", 0 0, L_0000000000eb41b0; alias, 1 drivers +v0000000000e04730_0 .net "cout", 0 0, L_0000000000eb4760; 1 drivers +v0000000000e04910_0 .net "d", 0 0, L_0000000000eb4060; alias, 1 drivers +v0000000000e04af0_0 .net "dout", 0 0, L_00000000027ec5c0; 1 drivers +v0000000000e04b90_0 .net "ns0", 0 0, L_0000000000eb4840; 1 drivers +v0000000000df9ec0_0 .net "ns1", 0 0, L_0000000000eb47d0; 1 drivers +v0000000000df99c0_0 .net "out", 0 0, L_00000000027ec860; alias, 1 drivers +v0000000000dfa0a0_0 .net "s0", 0 0, L_0000000002791600; 1 drivers +v0000000000dfa1e0_0 .net "s1", 0 0, L_0000000002791420; 1 drivers +S_0000000000ed05c0 .scope generate, "aluBits[4]" "aluBits[4]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f052e0 .param/l "i" 0 4 49, +C4<0100>; +L_00000000027ec710/d .functor XOR 1, L_00000000027944e0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027ec710 .delay 1 (20000,20000,20000) L_00000000027ec710/d; +L_00000000027ed4a0/d .functor AND 1, v0000000000e67370_0, L_0000000002794580, C4<1>, C4<1>; +L_00000000027ed4a0 .delay 1 (30000,30000,30000) L_00000000027ed4a0/d; +L_00000000027ece10/d .functor AND 1, L_0000000002793ea0, L_000000000282c280, C4<1>, C4<1>; +L_00000000027ece10 .delay 1 (30000,30000,30000) L_00000000027ece10/d; +v000000000274b7f0_0 .net *"_s1", 0 0, L_00000000027944e0; 1 drivers +v000000000274d370_0 .net *"_s3", 0 0, L_0000000002794580; 1 drivers +v000000000274b1b0_0 .net *"_s9", 0 0, L_0000000002793ea0; 1 drivers +S_0000000000ed0a40 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000ed05c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1155,19 +1164,19 @@ S_0000000000e8f1b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000ef7050_0 .net "a", 0 0, L_0000000002661160; 1 drivers -v0000000000ef7a50_0 .net "b", 0 0, L_0000000002660800; 1 drivers -v0000000000ef7af0_0 .net "carryAND", 0 0, L_0000000000f3c730; 1 drivers -v0000000000ef5f70_0 .net "cin", 0 0, L_0000000002660440; 1 drivers -v0000000000ef70f0_0 .net "ctrl0", 0 0, L_0000000002662740; 1 drivers -v0000000000ef7ff0_0 .net "nab", 0 0, L_0000000000f3c960; 1 drivers -v0000000000ef6150_0 .net "orNOR", 0 0, L_0000000000f3c810; 1 drivers -v0000000000ef60b0_0 .net "res", 0 0, L_0000000000f3b700; 1 drivers -v0000000000ef6790_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000ef79b0_0 .net "sumXOR", 0 0, L_0000000000f3b4d0; 1 drivers -L_000000000265df60 .part v0000000000e21990_0, 1, 1; -L_0000000002661c00 .part v0000000000e21990_0, 0, 1; -S_0000000000e8f330 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8f1b0; +v000000000274bcf0_0 .net "a", 0 0, L_0000000002794c60; 1 drivers +v000000000274c470_0 .net "b", 0 0, L_00000000027935e0; 1 drivers +v000000000274b2f0_0 .net "carryAND", 0 0, L_00000000027ed0b0; 1 drivers +v000000000274d190_0 .net "cin", 0 0, L_0000000002793fe0; 1 drivers +v000000000274d2d0_0 .net "ctrl0", 0 0, L_0000000002792b40; 1 drivers +v000000000274c3d0_0 .net "nab", 0 0, L_00000000027ed900; 1 drivers +v000000000274d690_0 .net "orNOR", 0 0, L_00000000027ec470; 1 drivers +v000000000274d230_0 .net "res", 0 0, L_00000000027ec240; 1 drivers +v000000000274bf70_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000274d410_0 .net "sumXOR", 0 0, L_00000000027ec940; 1 drivers +L_0000000002792aa0 .part v0000000000e625f0_0, 1, 1; +L_0000000002792c80 .part v0000000000e625f0_0, 0, 1; +S_0000000000ecffc0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000ed0a40; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1177,32 +1186,32 @@ S_0000000000e8f330 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000e8f1b .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000f3c960/d .functor NAND 1, L_0000000002661160, L_0000000002660800, C4<1>, C4<1>; -L_0000000000f3c960 .delay 1 (20000,20000,20000) L_0000000000f3c960/d; -L_0000000000f3cb20/d .functor NAND 1, L_0000000002660800, L_0000000002660440, C4<1>, C4<1>; -L_0000000000f3cb20 .delay 1 (20000,20000,20000) L_0000000000f3cb20/d; -L_0000000000f3c2d0/d .functor NAND 1, L_0000000002661160, L_0000000002660440, C4<1>, C4<1>; -L_0000000000f3c2d0 .delay 1 (20000,20000,20000) L_0000000000f3c2d0/d; -L_0000000000f3c730/d .functor NAND 1, L_0000000000f3c960, L_0000000000f3c2d0, L_0000000000f3cb20, C4<1>; -L_0000000000f3c730 .delay 1 (30000,30000,30000) L_0000000000f3c730/d; -L_0000000000f3b4d0/d .functor XOR 1, L_0000000002661160, L_0000000002660800, L_0000000002660440, C4<0>; -L_0000000000f3b4d0 .delay 1 (30000,30000,30000) L_0000000000f3b4d0/d; -L_0000000000f3b540/d .functor NOR 1, L_0000000002661160, L_0000000002660800, C4<0>, C4<0>; -L_0000000000f3b540 .delay 1 (20000,20000,20000) L_0000000000f3b540/d; -L_0000000000f3c810/d .functor XOR 1, L_0000000000f3b540, L_0000000002662740, C4<0>, C4<0>; -L_0000000000f3c810 .delay 1 (20000,20000,20000) L_0000000000f3c810/d; -v0000000000dadb10_0 .net "a", 0 0, L_0000000002661160; alias, 1 drivers -v0000000000dac530_0 .net "anorb", 0 0, L_0000000000f3b540; 1 drivers -v0000000000dacc10_0 .net "b", 0 0, L_0000000002660800; alias, 1 drivers -v0000000000da9190_0 .net "carryAND", 0 0, L_0000000000f3c730; alias, 1 drivers -v0000000000da8dd0_0 .net "carryin", 0 0, L_0000000002660440; alias, 1 drivers -v0000000000da8150_0 .net "i0", 0 0, L_0000000002662740; alias, 1 drivers -v0000000000da9af0_0 .net "nab", 0 0, L_0000000000f3c960; alias, 1 drivers -v0000000000da95f0_0 .net "nac", 0 0, L_0000000000f3c2d0; 1 drivers -v0000000000da9230_0 .net "nbc", 0 0, L_0000000000f3cb20; 1 drivers -v0000000000da86f0_0 .net "orNOR", 0 0, L_0000000000f3c810; alias, 1 drivers -v0000000000d929e0_0 .net "sumXOR", 0 0, L_0000000000f3b4d0; alias, 1 drivers -S_0000000000e8f4b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e8f1b0; +L_00000000027ed900/d .functor NAND 1, L_0000000002794c60, L_00000000027935e0, C4<1>, C4<1>; +L_00000000027ed900 .delay 1 (20000,20000,20000) L_00000000027ed900/d; +L_00000000027ecf60/d .functor NAND 1, L_00000000027935e0, L_0000000002793fe0, C4<1>, C4<1>; +L_00000000027ecf60 .delay 1 (20000,20000,20000) L_00000000027ecf60/d; +L_00000000027ed5f0/d .functor NAND 1, L_0000000002794c60, L_0000000002793fe0, C4<1>, C4<1>; +L_00000000027ed5f0 .delay 1 (20000,20000,20000) L_00000000027ed5f0/d; +L_00000000027ed0b0/d .functor NAND 1, L_00000000027ed900, L_00000000027ed5f0, L_00000000027ecf60, C4<1>; +L_00000000027ed0b0 .delay 1 (30000,30000,30000) L_00000000027ed0b0/d; +L_00000000027ec940/d .functor XOR 1, L_0000000002794c60, L_00000000027935e0, L_0000000002793fe0, C4<0>; +L_00000000027ec940 .delay 1 (30000,30000,30000) L_00000000027ec940/d; +L_00000000027ecc50/d .functor NOR 1, L_0000000002794c60, L_00000000027935e0, C4<0>, C4<0>; +L_00000000027ecc50 .delay 1 (20000,20000,20000) L_00000000027ecc50/d; +L_00000000027ec470/d .functor XOR 1, L_00000000027ecc50, L_0000000002792b40, C4<0>, C4<0>; +L_00000000027ec470 .delay 1 (20000,20000,20000) L_00000000027ec470/d; +v0000000000dedf50_0 .net "a", 0 0, L_0000000002794c60; alias, 1 drivers +v0000000000dee4f0_0 .net "anorb", 0 0, L_00000000027ecc50; 1 drivers +v0000000000ded550_0 .net "b", 0 0, L_00000000027935e0; alias, 1 drivers +v0000000000dee770_0 .net "carryAND", 0 0, L_00000000027ed0b0; alias, 1 drivers +v0000000000dee8b0_0 .net "carryin", 0 0, L_0000000002793fe0; alias, 1 drivers +v0000000000deeb30_0 .net "i0", 0 0, L_0000000002792b40; alias, 1 drivers +v0000000000ded5f0_0 .net "nab", 0 0, L_00000000027ed900; alias, 1 drivers +v0000000000dd1dc0_0 .net "nac", 0 0, L_00000000027ed5f0; 1 drivers +v0000000000dd0ec0_0 .net "nbc", 0 0, L_00000000027ecf60; 1 drivers +v0000000000dd0420_0 .net "orNOR", 0 0, L_00000000027ec470; alias, 1 drivers +v0000000000dd0740_0 .net "sumXOR", 0 0, L_00000000027ec940; alias, 1 drivers +S_0000000000ed02c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000ed0a40; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1211,46 +1220,46 @@ S_0000000000e8f4b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000f3c7a0/d .functor NOT 1, L_0000000002661c00, C4<0>, C4<0>, C4<0>; -L_0000000000f3c7a0 .delay 1 (10000,10000,10000) L_0000000000f3c7a0/d; -L_0000000000f3b380/d .functor NOT 1, L_000000000265df60, C4<0>, C4<0>, C4<0>; -L_0000000000f3b380 .delay 1 (10000,10000,10000) L_0000000000f3b380/d; -L_0000000000f3b3f0/d .functor NAND 1, L_000000000265df60, L_0000000002661c00, L_0000000000f3b4d0, C4<1>; -L_0000000000f3b3f0 .delay 1 (30000,30000,30000) L_0000000000f3b3f0/d; -L_0000000000f3bfc0/d .functor NAND 1, L_000000000265df60, L_0000000000f3c7a0, L_0000000000f3c810, C4<1>; -L_0000000000f3bfc0 .delay 1 (30000,30000,30000) L_0000000000f3bfc0/d; -L_0000000000f3c880/d .functor NAND 1, L_0000000000f3b380, L_0000000002661c00, L_0000000000f3c730, C4<1>; -L_0000000000f3c880 .delay 1 (30000,30000,30000) L_0000000000f3c880/d; -L_0000000000f3bd20/d .functor NAND 1, L_0000000000f3b380, L_0000000000f3c7a0, L_0000000000f3c960, C4<1>; -L_0000000000f3bd20 .delay 1 (30000,30000,30000) L_0000000000f3bd20/d; -L_0000000000f3b700/d .functor NAND 1, L_0000000000f3b3f0, L_0000000000f3bfc0, L_0000000000f3c880, L_0000000000f3bd20; -L_0000000000f3b700 .delay 1 (40000,40000,40000) L_0000000000f3b700/d; -v0000000000d93200_0 .net "a", 0 0, L_0000000000f3b4d0; alias, 1 drivers -v0000000000d91cc0_0 .net "aout", 0 0, L_0000000000f3b3f0; 1 drivers -v0000000000d92ee0_0 .net "b", 0 0, L_0000000000f3c810; alias, 1 drivers -v0000000000d91fe0_0 .net "bout", 0 0, L_0000000000f3bfc0; 1 drivers -v0000000000d92a80_0 .net "c", 0 0, L_0000000000f3c730; alias, 1 drivers -v0000000000dbcb00_0 .net "cout", 0 0, L_0000000000f3c880; 1 drivers -v0000000000dbd5a0_0 .net "d", 0 0, L_0000000000f3c960; alias, 1 drivers -v0000000000dbda00_0 .net "dout", 0 0, L_0000000000f3bd20; 1 drivers -v0000000000dbddc0_0 .net "ns0", 0 0, L_0000000000f3c7a0; 1 drivers -v0000000000dbdfa0_0 .net "ns1", 0 0, L_0000000000f3b380; 1 drivers -v0000000000dbe0e0_0 .net "out", 0 0, L_0000000000f3b700; alias, 1 drivers -v0000000000ce42c0_0 .net "s0", 0 0, L_0000000002661c00; 1 drivers -v0000000000ef6830_0 .net "s1", 0 0, L_000000000265df60; 1 drivers -S_0000000000efbf90 .scope generate, "aluBits[5]" "aluBits[5]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e39400 .param/l "i" 0 4 49, +C4<0101>; -L_0000000000f3b1c0/d .functor XOR 1, L_0000000002660bc0, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000f3b1c0 .delay 1 (20000,20000,20000) L_0000000000f3b1c0/d; -L_0000000000f3b7e0/d .functor AND 1, v0000000000e20d10_0, L_0000000002661ac0, C4<1>, C4<1>; -L_0000000000f3b7e0 .delay 1 (30000,30000,30000) L_0000000000f3b7e0/d; -L_0000000000f3c9d0/d .functor AND 1, L_0000000002660e40, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000f3c9d0 .delay 1 (30000,30000,30000) L_0000000000f3c9d0/d; -v0000000000ef5cf0_0 .net *"_s1", 0 0, L_0000000002660bc0; 1 drivers -v0000000000ef6650_0 .net *"_s3", 0 0, L_0000000002661ac0; 1 drivers -v0000000000ef5d90_0 .net *"_s9", 0 0, L_0000000002660e40; 1 drivers -S_0000000000efc710 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efbf90; +L_00000000027ec8d0/d .functor NOT 1, L_0000000002792c80, C4<0>, C4<0>, C4<0>; +L_00000000027ec8d0 .delay 1 (10000,10000,10000) L_00000000027ec8d0/d; +L_00000000027ec320/d .functor NOT 1, L_0000000002792aa0, C4<0>, C4<0>, C4<0>; +L_00000000027ec320 .delay 1 (10000,10000,10000) L_00000000027ec320/d; +L_00000000027ecda0/d .functor NAND 1, L_0000000002792aa0, L_0000000002792c80, L_00000000027ec940, C4<1>; +L_00000000027ecda0 .delay 1 (30000,30000,30000) L_00000000027ecda0/d; +L_00000000027edc10/d .functor NAND 1, L_0000000002792aa0, L_00000000027ec8d0, L_00000000027ec470, C4<1>; +L_00000000027edc10 .delay 1 (30000,30000,30000) L_00000000027edc10/d; +L_00000000027ed120/d .functor NAND 1, L_00000000027ec320, L_0000000002792c80, L_00000000027ed0b0, C4<1>; +L_00000000027ed120 .delay 1 (30000,30000,30000) L_00000000027ed120/d; +L_00000000027ecd30/d .functor NAND 1, L_00000000027ec320, L_00000000027ec8d0, L_00000000027ed900, C4<1>; +L_00000000027ecd30 .delay 1 (30000,30000,30000) L_00000000027ecd30/d; +L_00000000027ec240/d .functor NAND 1, L_00000000027ecda0, L_00000000027edc10, L_00000000027ed120, L_00000000027ecd30; +L_00000000027ec240 .delay 1 (40000,40000,40000) L_00000000027ec240/d; +v0000000000dd0f60_0 .net "a", 0 0, L_00000000027ec940; alias, 1 drivers +v0000000000dd1140_0 .net "aout", 0 0, L_00000000027ecda0; 1 drivers +v0000000000e00ad0_0 .net "b", 0 0, L_00000000027ec470; alias, 1 drivers +v0000000000dff590_0 .net "bout", 0 0, L_00000000027edc10; 1 drivers +v0000000000e01430_0 .net "c", 0 0, L_00000000027ed0b0; alias, 1 drivers +v0000000000e00cb0_0 .net "cout", 0 0, L_00000000027ed120; 1 drivers +v0000000000dffbd0_0 .net "d", 0 0, L_00000000027ed900; alias, 1 drivers +v0000000000e00210_0 .net "dout", 0 0, L_00000000027ecd30; 1 drivers +v0000000000d29cd0_0 .net "ns0", 0 0, L_00000000027ec8d0; 1 drivers +v000000000274d7d0_0 .net "ns1", 0 0, L_00000000027ec320; 1 drivers +v000000000274b070_0 .net "out", 0 0, L_00000000027ec240; alias, 1 drivers +v000000000274b4d0_0 .net "s0", 0 0, L_0000000002792c80; 1 drivers +v000000000274cab0_0 .net "s1", 0 0, L_0000000002792aa0; 1 drivers +S_0000000000f6c0b0 .scope generate, "aluBits[5]" "aluBits[5]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f051a0 .param/l "i" 0 4 49, +C4<0101>; +L_00000000027ed270/d .functor XOR 1, L_0000000002792e60, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027ed270 .delay 1 (20000,20000,20000) L_00000000027ed270/d; +L_00000000027ece80/d .functor AND 1, v0000000000e67370_0, L_0000000002792f00, C4<1>, C4<1>; +L_00000000027ece80 .delay 1 (30000,30000,30000) L_00000000027ece80/d; +L_00000000027eccc0/d .functor AND 1, L_0000000002794620, L_000000000282c280, C4<1>, C4<1>; +L_00000000027eccc0 .delay 1 (30000,30000,30000) L_00000000027eccc0/d; +v000000000274b9d0_0 .net *"_s1", 0 0, L_0000000002792e60; 1 drivers +v000000000274bc50_0 .net *"_s3", 0 0, L_0000000002792f00; 1 drivers +v000000000274c150_0 .net *"_s9", 0 0, L_0000000002794620; 1 drivers +S_0000000000f6ccb0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6c0b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1259,19 +1268,19 @@ S_0000000000efc710 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000ef7870_0 .net "a", 0 0, L_0000000002660620; 1 drivers -v0000000000ef6d30_0 .net "b", 0 0, L_0000000002662420; 1 drivers -v0000000000ef5930_0 .net "carryAND", 0 0, L_0000000000f3b770; 1 drivers -v0000000000ef5bb0_0 .net "cin", 0 0, L_0000000002660ee0; 1 drivers -v0000000000ef6470_0 .net "ctrl0", 0 0, L_0000000002661480; 1 drivers -v0000000000ef5c50_0 .net "nab", 0 0, L_0000000000f3c180; 1 drivers -v0000000000ef7190_0 .net "orNOR", 0 0, L_0000000000f3cce0; 1 drivers -v0000000000ef65b0_0 .net "res", 0 0, L_0000000000f3c8f0; 1 drivers -v0000000000ef6010_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000ef6a10_0 .net "sumXOR", 0 0, L_0000000000f3bd90; 1 drivers -L_0000000002660a80 .part v0000000000e21990_0, 1, 1; -L_0000000002661980 .part v0000000000e21990_0, 0, 1; -S_0000000000efb810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efc710; +v000000000274b250_0 .net "a", 0 0, L_0000000002793720; 1 drivers +v000000000274bed0_0 .net "b", 0 0, L_00000000027941c0; 1 drivers +v000000000274d550_0 .net "carryAND", 0 0, L_00000000027ed430; 1 drivers +v000000000274c010_0 .net "cin", 0 0, L_0000000002793540; 1 drivers +v000000000274ca10_0 .net "ctrl0", 0 0, L_0000000002794da0; 1 drivers +v000000000274cf10_0 .net "nab", 0 0, L_00000000027ecef0; 1 drivers +v000000000274b430_0 .net "orNOR", 0 0, L_00000000027ecfd0; 1 drivers +v000000000274cbf0_0 .net "res", 0 0, L_00000000027ed970; 1 drivers +v000000000274b6b0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000274b930_0 .net "sumXOR", 0 0, L_00000000027ec2b0; 1 drivers +L_0000000002792d20 .part v0000000000e625f0_0, 1, 1; +L_0000000002793860 .part v0000000000e625f0_0, 0, 1; +S_0000000000f6b4b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f6ccb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1281,32 +1290,32 @@ S_0000000000efb810 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efc71 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000f3c180/d .functor NAND 1, L_0000000002660620, L_0000000002662420, C4<1>, C4<1>; -L_0000000000f3c180 .delay 1 (20000,20000,20000) L_0000000000f3c180/d; -L_0000000000f3b5b0/d .functor NAND 1, L_0000000002662420, L_0000000002660ee0, C4<1>, C4<1>; -L_0000000000f3b5b0 .delay 1 (20000,20000,20000) L_0000000000f3b5b0/d; -L_0000000000f3c500/d .functor NAND 1, L_0000000002660620, L_0000000002660ee0, C4<1>, C4<1>; -L_0000000000f3c500 .delay 1 (20000,20000,20000) L_0000000000f3c500/d; -L_0000000000f3b770/d .functor NAND 1, L_0000000000f3c180, L_0000000000f3c500, L_0000000000f3b5b0, C4<1>; -L_0000000000f3b770 .delay 1 (30000,30000,30000) L_0000000000f3b770/d; -L_0000000000f3bd90/d .functor XOR 1, L_0000000002660620, L_0000000002662420, L_0000000002660ee0, C4<0>; -L_0000000000f3bd90 .delay 1 (30000,30000,30000) L_0000000000f3bd90/d; -L_0000000000f3b690/d .functor NOR 1, L_0000000002660620, L_0000000002662420, C4<0>, C4<0>; -L_0000000000f3b690 .delay 1 (20000,20000,20000) L_0000000000f3b690/d; -L_0000000000f3cce0/d .functor XOR 1, L_0000000000f3b690, L_0000000002661480, C4<0>, C4<0>; -L_0000000000f3cce0 .delay 1 (20000,20000,20000) L_0000000000f3cce0/d; -v0000000000ef7690_0 .net "a", 0 0, L_0000000002660620; alias, 1 drivers -v0000000000ef7c30_0 .net "anorb", 0 0, L_0000000000f3b690; 1 drivers -v0000000000ef75f0_0 .net "b", 0 0, L_0000000002662420; alias, 1 drivers -v0000000000ef7d70_0 .net "carryAND", 0 0, L_0000000000f3b770; alias, 1 drivers -v0000000000ef6b50_0 .net "carryin", 0 0, L_0000000002660ee0; alias, 1 drivers -v0000000000ef6970_0 .net "i0", 0 0, L_0000000002661480; alias, 1 drivers -v0000000000ef7910_0 .net "nab", 0 0, L_0000000000f3c180; alias, 1 drivers -v0000000000ef7730_0 .net "nac", 0 0, L_0000000000f3c500; 1 drivers -v0000000000ef59d0_0 .net "nbc", 0 0, L_0000000000f3b5b0; 1 drivers -v0000000000ef5e30_0 .net "orNOR", 0 0, L_0000000000f3cce0; alias, 1 drivers -v0000000000ef6330_0 .net "sumXOR", 0 0, L_0000000000f3bd90; alias, 1 drivers -S_0000000000efb690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efc710; +L_00000000027ecef0/d .functor NAND 1, L_0000000002793720, L_00000000027941c0, C4<1>, C4<1>; +L_00000000027ecef0 .delay 1 (20000,20000,20000) L_00000000027ecef0/d; +L_00000000027ecbe0/d .functor NAND 1, L_00000000027941c0, L_0000000002793540, C4<1>, C4<1>; +L_00000000027ecbe0 .delay 1 (20000,20000,20000) L_00000000027ecbe0/d; +L_00000000027ed2e0/d .functor NAND 1, L_0000000002793720, L_0000000002793540, C4<1>, C4<1>; +L_00000000027ed2e0 .delay 1 (20000,20000,20000) L_00000000027ed2e0/d; +L_00000000027ed430/d .functor NAND 1, L_00000000027ecef0, L_00000000027ed2e0, L_00000000027ecbe0, C4<1>; +L_00000000027ed430 .delay 1 (30000,30000,30000) L_00000000027ed430/d; +L_00000000027ec2b0/d .functor XOR 1, L_0000000002793720, L_00000000027941c0, L_0000000002793540, C4<0>; +L_00000000027ec2b0 .delay 1 (30000,30000,30000) L_00000000027ec2b0/d; +L_00000000027ec4e0/d .functor NOR 1, L_0000000002793720, L_00000000027941c0, C4<0>, C4<0>; +L_00000000027ec4e0 .delay 1 (20000,20000,20000) L_00000000027ec4e0/d; +L_00000000027ecfd0/d .functor XOR 1, L_00000000027ec4e0, L_0000000002794da0, C4<0>, C4<0>; +L_00000000027ecfd0 .delay 1 (20000,20000,20000) L_00000000027ecfd0/d; +v000000000274b610_0 .net "a", 0 0, L_0000000002793720; alias, 1 drivers +v000000000274b110_0 .net "anorb", 0 0, L_00000000027ec4e0; 1 drivers +v000000000274d5f0_0 .net "b", 0 0, L_00000000027941c0; alias, 1 drivers +v000000000274c830_0 .net "carryAND", 0 0, L_00000000027ed430; alias, 1 drivers +v000000000274cfb0_0 .net "carryin", 0 0, L_0000000002793540; alias, 1 drivers +v000000000274bb10_0 .net "i0", 0 0, L_0000000002794da0; alias, 1 drivers +v000000000274ba70_0 .net "nab", 0 0, L_00000000027ecef0; alias, 1 drivers +v000000000274cb50_0 .net "nac", 0 0, L_00000000027ed2e0; 1 drivers +v000000000274bd90_0 .net "nbc", 0 0, L_00000000027ecbe0; 1 drivers +v000000000274d4b0_0 .net "orNOR", 0 0, L_00000000027ecfd0; alias, 1 drivers +v000000000274d050_0 .net "sumXOR", 0 0, L_00000000027ec2b0; alias, 1 drivers +S_0000000000f6b7b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f6ccb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1315,46 +1324,46 @@ S_0000000000efb690 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000f3be00/d .functor NOT 1, L_0000000002661980, C4<0>, C4<0>, C4<0>; -L_0000000000f3be00 .delay 1 (10000,10000,10000) L_0000000000f3be00/d; -L_0000000000f3c1f0/d .functor NOT 1, L_0000000002660a80, C4<0>, C4<0>, C4<0>; -L_0000000000f3c1f0 .delay 1 (10000,10000,10000) L_0000000000f3c1f0/d; -L_0000000000f3b620/d .functor NAND 1, L_0000000002660a80, L_0000000002661980, L_0000000000f3bd90, C4<1>; -L_0000000000f3b620 .delay 1 (30000,30000,30000) L_0000000000f3b620/d; -L_0000000000f3b230/d .functor NAND 1, L_0000000002660a80, L_0000000000f3be00, L_0000000000f3cce0, C4<1>; -L_0000000000f3b230 .delay 1 (30000,30000,30000) L_0000000000f3b230/d; -L_0000000000f3c650/d .functor NAND 1, L_0000000000f3c1f0, L_0000000002661980, L_0000000000f3b770, C4<1>; -L_0000000000f3c650 .delay 1 (30000,30000,30000) L_0000000000f3c650/d; -L_0000000000f3b150/d .functor NAND 1, L_0000000000f3c1f0, L_0000000000f3be00, L_0000000000f3c180, C4<1>; -L_0000000000f3b150 .delay 1 (30000,30000,30000) L_0000000000f3b150/d; -L_0000000000f3c8f0/d .functor NAND 1, L_0000000000f3b620, L_0000000000f3b230, L_0000000000f3c650, L_0000000000f3b150; -L_0000000000f3c8f0 .delay 1 (40000,40000,40000) L_0000000000f3c8f0/d; -v0000000000ef6dd0_0 .net "a", 0 0, L_0000000000f3bd90; alias, 1 drivers -v0000000000ef6510_0 .net "aout", 0 0, L_0000000000f3b620; 1 drivers -v0000000000ef7b90_0 .net "b", 0 0, L_0000000000f3cce0; alias, 1 drivers -v0000000000ef7cd0_0 .net "bout", 0 0, L_0000000000f3b230; 1 drivers -v0000000000ef61f0_0 .net "c", 0 0, L_0000000000f3b770; alias, 1 drivers -v0000000000ef5a70_0 .net "cout", 0 0, L_0000000000f3c650; 1 drivers -v0000000000ef77d0_0 .net "d", 0 0, L_0000000000f3c180; alias, 1 drivers -v0000000000ef5b10_0 .net "dout", 0 0, L_0000000000f3b150; 1 drivers -v0000000000ef6f10_0 .net "ns0", 0 0, L_0000000000f3be00; 1 drivers -v0000000000ef7e10_0 .net "ns1", 0 0, L_0000000000f3c1f0; 1 drivers -v0000000000ef6290_0 .net "out", 0 0, L_0000000000f3c8f0; alias, 1 drivers -v0000000000ef5890_0 .net "s0", 0 0, L_0000000002661980; 1 drivers -v0000000000ef7eb0_0 .net "s1", 0 0, L_0000000002660a80; 1 drivers -S_0000000000efbc90 .scope generate, "aluBits[6]" "aluBits[6]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e39800 .param/l "i" 0 4 49, +C4<0110>; -L_0000000000f3ca40/d .functor XOR 1, L_0000000002660260, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000f3ca40 .delay 1 (20000,20000,20000) L_0000000000f3ca40/d; -L_0000000000f3cab0/d .functor AND 1, v0000000000e20d10_0, L_00000000026604e0, C4<1>, C4<1>; -L_0000000000f3cab0 .delay 1 (30000,30000,30000) L_0000000000f3cab0/d; -L_0000000000f3b9a0/d .functor AND 1, L_00000000026618e0, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000f3b9a0 .delay 1 (30000,30000,30000) L_0000000000f3b9a0/d; -v0000000000ef9e90_0 .net *"_s1", 0 0, L_0000000002660260; 1 drivers -v0000000000ef9f30_0 .net *"_s3", 0 0, L_00000000026604e0; 1 drivers -v0000000000ef9030_0 .net *"_s9", 0 0, L_00000000026618e0; 1 drivers -S_0000000000efb390 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efbc90; +L_00000000027ed820/d .functor NOT 1, L_0000000002793860, C4<0>, C4<0>, C4<0>; +L_00000000027ed820 .delay 1 (10000,10000,10000) L_00000000027ed820/d; +L_00000000027ec780/d .functor NOT 1, L_0000000002792d20, C4<0>, C4<0>, C4<0>; +L_00000000027ec780 .delay 1 (10000,10000,10000) L_00000000027ec780/d; +L_00000000027ec7f0/d .functor NAND 1, L_0000000002792d20, L_0000000002793860, L_00000000027ec2b0, C4<1>; +L_00000000027ec7f0 .delay 1 (30000,30000,30000) L_00000000027ec7f0/d; +L_00000000027ec9b0/d .functor NAND 1, L_0000000002792d20, L_00000000027ed820, L_00000000027ecfd0, C4<1>; +L_00000000027ec9b0 .delay 1 (30000,30000,30000) L_00000000027ec9b0/d; +L_00000000027ed510/d .functor NAND 1, L_00000000027ec780, L_0000000002793860, L_00000000027ed430, C4<1>; +L_00000000027ed510 .delay 1 (30000,30000,30000) L_00000000027ed510/d; +L_00000000027ec550/d .functor NAND 1, L_00000000027ec780, L_00000000027ed820, L_00000000027ecef0, C4<1>; +L_00000000027ec550 .delay 1 (30000,30000,30000) L_00000000027ec550/d; +L_00000000027ed970/d .functor NAND 1, L_00000000027ec7f0, L_00000000027ec9b0, L_00000000027ed510, L_00000000027ec550; +L_00000000027ed970 .delay 1 (40000,40000,40000) L_00000000027ed970/d; +v000000000274c8d0_0 .net "a", 0 0, L_00000000027ec2b0; alias, 1 drivers +v000000000274c0b0_0 .net "aout", 0 0, L_00000000027ec7f0; 1 drivers +v000000000274d0f0_0 .net "b", 0 0, L_00000000027ecfd0; alias, 1 drivers +v000000000274be30_0 .net "bout", 0 0, L_00000000027ec9b0; 1 drivers +v000000000274b890_0 .net "c", 0 0, L_00000000027ed430; alias, 1 drivers +v000000000274b570_0 .net "cout", 0 0, L_00000000027ed510; 1 drivers +v000000000274c970_0 .net "d", 0 0, L_00000000027ecef0; alias, 1 drivers +v000000000274cdd0_0 .net "dout", 0 0, L_00000000027ec550; 1 drivers +v000000000274b390_0 .net "ns0", 0 0, L_00000000027ed820; 1 drivers +v000000000274b750_0 .net "ns1", 0 0, L_00000000027ec780; 1 drivers +v000000000274bbb0_0 .net "out", 0 0, L_00000000027ed970; alias, 1 drivers +v000000000274d730_0 .net "s0", 0 0, L_0000000002793860; 1 drivers +v000000000274c510_0 .net "s1", 0 0, L_0000000002792d20; 1 drivers +S_0000000000f6c3b0 .scope generate, "aluBits[6]" "aluBits[6]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05320 .param/l "i" 0 4 49, +C4<0110>; +L_00000000027ec390/d .functor XOR 1, L_0000000002794e40, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027ec390 .delay 1 (20000,20000,20000) L_00000000027ec390/d; +L_00000000027ec630/d .functor AND 1, v0000000000e67370_0, L_0000000002793c20, C4<1>, C4<1>; +L_00000000027ec630 .delay 1 (30000,30000,30000) L_00000000027ec630/d; +L_00000000027eda50/d .functor AND 1, L_0000000002794ee0, L_000000000282c280, C4<1>, C4<1>; +L_00000000027eda50 .delay 1 (30000,30000,30000) L_00000000027eda50/d; +v0000000002746610_0 .net *"_s1", 0 0, L_0000000002794e40; 1 drivers +v0000000002746110_0 .net *"_s3", 0 0, L_0000000002793c20; 1 drivers +v0000000002747010_0 .net *"_s9", 0 0, L_0000000002794ee0; 1 drivers +S_0000000000f6bdb0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6c3b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1363,19 +1372,19 @@ S_0000000000efb390 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000ef97b0_0 .net "a", 0 0, L_0000000002661d40; 1 drivers -v0000000000ef90d0_0 .net "b", 0 0, L_00000000026606c0; 1 drivers -v0000000000ef86d0_0 .net "carryAND", 0 0, L_0000000000f3be70; 1 drivers -v0000000000ef9530_0 .net "cin", 0 0, L_0000000002661e80; 1 drivers -v0000000000ef8090_0 .net "ctrl0", 0 0, L_0000000002661660; 1 drivers -v0000000000ef8270_0 .net "nab", 0 0, L_0000000000f3bbd0; 1 drivers -v0000000000ef83b0_0 .net "orNOR", 0 0, L_0000000000f3c3b0; 1 drivers -v0000000000ef8130_0 .net "res", 0 0, L_0000000000f3b460; 1 drivers -v0000000000ef9670_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000ef8450_0 .net "sumXOR", 0 0, L_0000000000f3bee0; 1 drivers -L_0000000002660580 .part v0000000000e21990_0, 1, 1; -L_00000000026609e0 .part v0000000000e21990_0, 0, 1; -S_0000000000efc890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb390; +v0000000002746930_0 .net "a", 0 0, L_00000000027948a0; 1 drivers +v0000000002748730_0 .net "b", 0 0, L_0000000002793220; 1 drivers +v0000000002748190_0 .net "carryAND", 0 0, L_00000000027eca90; 1 drivers +v00000000027467f0_0 .net "cin", 0 0, L_0000000002794a80; 1 drivers +v0000000002746430_0 .net "ctrl0", 0 0, L_0000000002792fa0; 1 drivers +v0000000002747290_0 .net "nab", 0 0, L_00000000027ec6a0; 1 drivers +v00000000027470b0_0 .net "orNOR", 0 0, L_00000000027ed350; 1 drivers +v0000000002747a10_0 .net "res", 0 0, L_00000000027ed9e0; 1 drivers +v0000000002747150_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002748550_0 .net "sumXOR", 0 0, L_00000000027ecb00; 1 drivers +L_0000000002794300 .part v0000000000e625f0_0, 1, 1; +L_0000000002794440 .part v0000000000e625f0_0, 0, 1; +S_0000000000f6c230 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f6bdb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1385,32 +1394,32 @@ S_0000000000efc890 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb39 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000f3bbd0/d .functor NAND 1, L_0000000002661d40, L_00000000026606c0, C4<1>, C4<1>; -L_0000000000f3bbd0 .delay 1 (20000,20000,20000) L_0000000000f3bbd0/d; -L_0000000000f3c260/d .functor NAND 1, L_00000000026606c0, L_0000000002661e80, C4<1>, C4<1>; -L_0000000000f3c260 .delay 1 (20000,20000,20000) L_0000000000f3c260/d; -L_0000000000f3c030/d .functor NAND 1, L_0000000002661d40, L_0000000002661e80, C4<1>, C4<1>; -L_0000000000f3c030 .delay 1 (20000,20000,20000) L_0000000000f3c030/d; -L_0000000000f3be70/d .functor NAND 1, L_0000000000f3bbd0, L_0000000000f3c030, L_0000000000f3c260, C4<1>; -L_0000000000f3be70 .delay 1 (30000,30000,30000) L_0000000000f3be70/d; -L_0000000000f3bee0/d .functor XOR 1, L_0000000002661d40, L_00000000026606c0, L_0000000002661e80, C4<0>; -L_0000000000f3bee0 .delay 1 (30000,30000,30000) L_0000000000f3bee0/d; -L_0000000000f3b850/d .functor NOR 1, L_0000000002661d40, L_00000000026606c0, C4<0>, C4<0>; -L_0000000000f3b850 .delay 1 (20000,20000,20000) L_0000000000f3b850/d; -L_0000000000f3c3b0/d .functor XOR 1, L_0000000000f3b850, L_0000000002661660, C4<0>, C4<0>; -L_0000000000f3c3b0 .delay 1 (20000,20000,20000) L_0000000000f3c3b0/d; -v0000000000ef6bf0_0 .net "a", 0 0, L_0000000002661d40; alias, 1 drivers -v0000000000ef6ab0_0 .net "anorb", 0 0, L_0000000000f3b850; 1 drivers -v0000000000ef6e70_0 .net "b", 0 0, L_00000000026606c0; alias, 1 drivers -v0000000000ef5ed0_0 .net "carryAND", 0 0, L_0000000000f3be70; alias, 1 drivers -v0000000000ef6c90_0 .net "carryin", 0 0, L_0000000002661e80; alias, 1 drivers -v0000000000ef7230_0 .net "i0", 0 0, L_0000000002661660; alias, 1 drivers -v0000000000ef72d0_0 .net "nab", 0 0, L_0000000000f3bbd0; alias, 1 drivers -v0000000000ef6fb0_0 .net "nac", 0 0, L_0000000000f3c030; 1 drivers -v0000000000ef7370_0 .net "nbc", 0 0, L_0000000000f3c260; 1 drivers -v0000000000ef7410_0 .net "orNOR", 0 0, L_0000000000f3c3b0; alias, 1 drivers -v0000000000ef66f0_0 .net "sumXOR", 0 0, L_0000000000f3bee0; alias, 1 drivers -S_0000000000efbe10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb390; +L_00000000027ec6a0/d .functor NAND 1, L_00000000027948a0, L_0000000002793220, C4<1>, C4<1>; +L_00000000027ec6a0 .delay 1 (20000,20000,20000) L_00000000027ec6a0/d; +L_00000000027ed040/d .functor NAND 1, L_0000000002793220, L_0000000002794a80, C4<1>, C4<1>; +L_00000000027ed040 .delay 1 (20000,20000,20000) L_00000000027ed040/d; +L_00000000027eca20/d .functor NAND 1, L_00000000027948a0, L_0000000002794a80, C4<1>, C4<1>; +L_00000000027eca20 .delay 1 (20000,20000,20000) L_00000000027eca20/d; +L_00000000027eca90/d .functor NAND 1, L_00000000027ec6a0, L_00000000027eca20, L_00000000027ed040, C4<1>; +L_00000000027eca90 .delay 1 (30000,30000,30000) L_00000000027eca90/d; +L_00000000027ecb00/d .functor XOR 1, L_00000000027948a0, L_0000000002793220, L_0000000002794a80, C4<0>; +L_00000000027ecb00 .delay 1 (30000,30000,30000) L_00000000027ecb00/d; +L_00000000027ec160/d .functor NOR 1, L_00000000027948a0, L_0000000002793220, C4<0>, C4<0>; +L_00000000027ec160 .delay 1 (20000,20000,20000) L_00000000027ec160/d; +L_00000000027ed350/d .functor XOR 1, L_00000000027ec160, L_0000000002792fa0, C4<0>, C4<0>; +L_00000000027ed350 .delay 1 (20000,20000,20000) L_00000000027ed350/d; +v000000000274c1f0_0 .net "a", 0 0, L_00000000027948a0; alias, 1 drivers +v000000000274c290_0 .net "anorb", 0 0, L_00000000027ec160; 1 drivers +v000000000274c330_0 .net "b", 0 0, L_0000000002793220; alias, 1 drivers +v000000000274c5b0_0 .net "carryAND", 0 0, L_00000000027eca90; alias, 1 drivers +v000000000274c650_0 .net "carryin", 0 0, L_0000000002794a80; alias, 1 drivers +v000000000274c6f0_0 .net "i0", 0 0, L_0000000002792fa0; alias, 1 drivers +v000000000274cc90_0 .net "nab", 0 0, L_00000000027ec6a0; alias, 1 drivers +v000000000274cd30_0 .net "nac", 0 0, L_00000000027eca20; 1 drivers +v000000000274ce70_0 .net "nbc", 0 0, L_00000000027ed040; 1 drivers +v000000000274c790_0 .net "orNOR", 0 0, L_00000000027ed350; alias, 1 drivers +v000000000274daf0_0 .net "sumXOR", 0 0, L_00000000027ecb00; alias, 1 drivers +S_0000000000f6c530 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f6bdb0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1419,46 +1428,46 @@ S_0000000000efbe10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000f3c340/d .functor NOT 1, L_00000000026609e0, C4<0>, C4<0>, C4<0>; -L_0000000000f3c340 .delay 1 (10000,10000,10000) L_0000000000f3c340/d; -L_0000000000f3b2a0/d .functor NOT 1, L_0000000002660580, C4<0>, C4<0>, C4<0>; -L_0000000000f3b2a0 .delay 1 (10000,10000,10000) L_0000000000f3b2a0/d; -L_0000000000f3c420/d .functor NAND 1, L_0000000002660580, L_00000000026609e0, L_0000000000f3bee0, C4<1>; -L_0000000000f3c420 .delay 1 (30000,30000,30000) L_0000000000f3c420/d; -L_0000000000f3c570/d .functor NAND 1, L_0000000002660580, L_0000000000f3c340, L_0000000000f3c3b0, C4<1>; -L_0000000000f3c570 .delay 1 (30000,30000,30000) L_0000000000f3c570/d; -L_0000000000f3b310/d .functor NAND 1, L_0000000000f3b2a0, L_00000000026609e0, L_0000000000f3be70, C4<1>; -L_0000000000f3b310 .delay 1 (30000,30000,30000) L_0000000000f3b310/d; -L_0000000000f3b930/d .functor NAND 1, L_0000000000f3b2a0, L_0000000000f3c340, L_0000000000f3bbd0, C4<1>; -L_0000000000f3b930 .delay 1 (30000,30000,30000) L_0000000000f3b930/d; -L_0000000000f3b460/d .functor NAND 1, L_0000000000f3c420, L_0000000000f3c570, L_0000000000f3b310, L_0000000000f3b930; -L_0000000000f3b460 .delay 1 (40000,40000,40000) L_0000000000f3b460/d; -v0000000000ef74b0_0 .net "a", 0 0, L_0000000000f3bee0; alias, 1 drivers -v0000000000ef7550_0 .net "aout", 0 0, L_0000000000f3c420; 1 drivers -v0000000000ef9df0_0 .net "b", 0 0, L_0000000000f3c3b0; alias, 1 drivers -v0000000000ef9990_0 .net "bout", 0 0, L_0000000000f3c570; 1 drivers -v0000000000efa250_0 .net "c", 0 0, L_0000000000f3be70; alias, 1 drivers -v0000000000ef8770_0 .net "cout", 0 0, L_0000000000f3b310; 1 drivers -v0000000000ef9850_0 .net "d", 0 0, L_0000000000f3bbd0; alias, 1 drivers -v0000000000efa110_0 .net "dout", 0 0, L_0000000000f3b930; 1 drivers -v0000000000ef8630_0 .net "ns0", 0 0, L_0000000000f3c340; 1 drivers -v0000000000ef88b0_0 .net "ns1", 0 0, L_0000000000f3b2a0; 1 drivers -v0000000000ef8f90_0 .net "out", 0 0, L_0000000000f3b460; alias, 1 drivers -v0000000000efa7f0_0 .net "s0", 0 0, L_00000000026609e0; 1 drivers -v0000000000efa070_0 .net "s1", 0 0, L_0000000002660580; 1 drivers -S_0000000000efc110 .scope generate, "aluBits[7]" "aluBits[7]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e38fc0 .param/l "i" 0 4 49, +C4<0111>; -L_0000000000f3ba10/d .functor XOR 1, L_0000000002661520, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000f3ba10 .delay 1 (20000,20000,20000) L_0000000000f3ba10/d; -L_0000000000f3ba80/d .functor AND 1, v0000000000e20d10_0, L_0000000002662880, C4<1>, C4<1>; -L_0000000000f3ba80 .delay 1 (30000,30000,30000) L_0000000000f3ba80/d; -L_0000000000f3cf10/d .functor AND 1, L_0000000002660f80, L_00000000026f7b50, C4<1>, C4<1>; -L_0000000000f3cf10 .delay 1 (30000,30000,30000) L_0000000000f3cf10/d; -v0000000000efa750_0 .net *"_s1", 0 0, L_0000000002661520; 1 drivers -v0000000000ef89f0_0 .net *"_s3", 0 0, L_0000000002662880; 1 drivers -v0000000000ef8b30_0 .net *"_s9", 0 0, L_0000000002660f80; 1 drivers -S_0000000000efb510 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efc110; +L_00000000027ed200/d .functor NOT 1, L_0000000002794440, C4<0>, C4<0>, C4<0>; +L_00000000027ed200 .delay 1 (10000,10000,10000) L_00000000027ed200/d; +L_00000000027ed190/d .functor NOT 1, L_0000000002794300, C4<0>, C4<0>, C4<0>; +L_00000000027ed190 .delay 1 (10000,10000,10000) L_00000000027ed190/d; +L_00000000027ecb70/d .functor NAND 1, L_0000000002794300, L_0000000002794440, L_00000000027ecb00, C4<1>; +L_00000000027ecb70 .delay 1 (30000,30000,30000) L_00000000027ecb70/d; +L_00000000027ed3c0/d .functor NAND 1, L_0000000002794300, L_00000000027ed200, L_00000000027ed350, C4<1>; +L_00000000027ed3c0 .delay 1 (30000,30000,30000) L_00000000027ed3c0/d; +L_00000000027ed580/d .functor NAND 1, L_00000000027ed190, L_0000000002794440, L_00000000027eca90, C4<1>; +L_00000000027ed580 .delay 1 (30000,30000,30000) L_00000000027ed580/d; +L_00000000027edc80/d .functor NAND 1, L_00000000027ed190, L_00000000027ed200, L_00000000027ec6a0, C4<1>; +L_00000000027edc80 .delay 1 (30000,30000,30000) L_00000000027edc80/d; +L_00000000027ed9e0/d .functor NAND 1, L_00000000027ecb70, L_00000000027ed3c0, L_00000000027ed580, L_00000000027edc80; +L_00000000027ed9e0 .delay 1 (40000,40000,40000) L_00000000027ed9e0/d; +v000000000274db90_0 .net "a", 0 0, L_00000000027ecb00; alias, 1 drivers +v000000000274da50_0 .net "aout", 0 0, L_00000000027ecb70; 1 drivers +v000000000274deb0_0 .net "b", 0 0, L_00000000027ed350; alias, 1 drivers +v000000000274df50_0 .net "bout", 0 0, L_00000000027ed3c0; 1 drivers +v000000000274d870_0 .net "c", 0 0, L_00000000027eca90; alias, 1 drivers +v000000000274d910_0 .net "cout", 0 0, L_00000000027ed580; 1 drivers +v000000000274d9b0_0 .net "d", 0 0, L_00000000027ec6a0; alias, 1 drivers +v000000000274de10_0 .net "dout", 0 0, L_00000000027edc80; 1 drivers +v000000000274dc30_0 .net "ns0", 0 0, L_00000000027ed200; 1 drivers +v000000000274dcd0_0 .net "ns1", 0 0, L_00000000027ed190; 1 drivers +v000000000274dd70_0 .net "out", 0 0, L_00000000027ed9e0; alias, 1 drivers +v00000000027484b0_0 .net "s0", 0 0, L_0000000002794440; 1 drivers +v00000000027464d0_0 .net "s1", 0 0, L_0000000002794300; 1 drivers +S_0000000000f6b630 .scope generate, "aluBits[7]" "aluBits[7]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05620 .param/l "i" 0 4 49, +C4<0111>; +L_00000000027ed660/d .functor XOR 1, L_0000000002793040, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027ed660 .delay 1 (20000,20000,20000) L_00000000027ed660/d; +L_00000000027ed6d0/d .functor AND 1, v0000000000e67370_0, L_0000000002792dc0, C4<1>, C4<1>; +L_00000000027ed6d0 .delay 1 (30000,30000,30000) L_00000000027ed6d0/d; +L_00000000027ede40/d .functor AND 1, L_0000000002793680, L_000000000282c280, C4<1>, C4<1>; +L_00000000027ede40 .delay 1 (30000,30000,30000) L_00000000027ede40/d; +v0000000002747e70_0 .net *"_s1", 0 0, L_0000000002793040; 1 drivers +v0000000002747f10_0 .net *"_s3", 0 0, L_0000000002792dc0; 1 drivers +v00000000027466b0_0 .net *"_s9", 0 0, L_0000000002793680; 1 drivers +S_0000000000f6c9b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6b630; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1467,19 +1476,19 @@ S_0000000000efb510 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000efa570_0 .net "a", 0 0, L_00000000026615c0; 1 drivers -v0000000000ef9c10_0 .net "b", 0 0, L_0000000002661200; 1 drivers -v0000000000ef8c70_0 .net "carryAND", 0 0, L_0000000000f3bc40; 1 drivers -v0000000000ef9cb0_0 .net "cin", 0 0, L_00000000026608a0; 1 drivers -v0000000000ef8ef0_0 .net "ctrl0", 0 0, L_00000000026612a0; 1 drivers -v0000000000ef84f0_0 .net "nab", 0 0, L_0000000000f3c490; 1 drivers -v0000000000ef9d50_0 .net "orNOR", 0 0, L_0000000000f3bf50; 1 drivers -v0000000000efa610_0 .net "res", 0 0, L_0000000000f3cea0; 1 drivers -v0000000000ef8590_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000efa6b0_0 .net "sumXOR", 0 0, L_0000000000f3c5e0; 1 drivers -L_0000000002660c60 .part v0000000000e21990_0, 1, 1; -L_0000000002661fc0 .part v0000000000e21990_0, 0, 1; -S_0000000000efbb10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb510; +v00000000027480f0_0 .net "a", 0 0, L_00000000027950c0; 1 drivers +v0000000002746a70_0 .net "b", 0 0, L_00000000027932c0; 1 drivers +v00000000027462f0_0 .net "carryAND", 0 0, L_00000000027ec1d0; 1 drivers +v0000000002747790_0 .net "cin", 0 0, L_0000000002793360; 1 drivers +v0000000002747ab0_0 .net "ctrl0", 0 0, L_0000000002794760; 1 drivers +v0000000002747b50_0 .net "nab", 0 0, L_00000000027ed740; 1 drivers +v0000000002746b10_0 .net "orNOR", 0 0, L_00000000027edba0; 1 drivers +v0000000002746390_0 .net "res", 0 0, L_00000000027eddd0; 1 drivers +v0000000002746570_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002746f70_0 .net "sumXOR", 0 0, L_00000000027ed890; 1 drivers +L_00000000027946c0 .part v0000000000e625f0_0, 1, 1; +L_0000000002794080 .part v0000000000e625f0_0, 0, 1; +S_0000000000f6c6b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f6c9b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1489,32 +1498,32 @@ S_0000000000efbb10 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb51 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_0000000000f3c490/d .functor NAND 1, L_00000000026615c0, L_0000000002661200, C4<1>, C4<1>; -L_0000000000f3c490 .delay 1 (20000,20000,20000) L_0000000000f3c490/d; -L_0000000000f3baf0/d .functor NAND 1, L_0000000002661200, L_00000000026608a0, C4<1>, C4<1>; -L_0000000000f3baf0 .delay 1 (20000,20000,20000) L_0000000000f3baf0/d; -L_0000000000f3bb60/d .functor NAND 1, L_00000000026615c0, L_00000000026608a0, C4<1>, C4<1>; -L_0000000000f3bb60 .delay 1 (20000,20000,20000) L_0000000000f3bb60/d; -L_0000000000f3bc40/d .functor NAND 1, L_0000000000f3c490, L_0000000000f3bb60, L_0000000000f3baf0, C4<1>; -L_0000000000f3bc40 .delay 1 (30000,30000,30000) L_0000000000f3bc40/d; -L_0000000000f3c5e0/d .functor XOR 1, L_00000000026615c0, L_0000000002661200, L_00000000026608a0, C4<0>; -L_0000000000f3c5e0 .delay 1 (30000,30000,30000) L_0000000000f3c5e0/d; -L_0000000000f3bcb0/d .functor NOR 1, L_00000000026615c0, L_0000000002661200, C4<0>, C4<0>; -L_0000000000f3bcb0 .delay 1 (20000,20000,20000) L_0000000000f3bcb0/d; -L_0000000000f3bf50/d .functor XOR 1, L_0000000000f3bcb0, L_00000000026612a0, C4<0>, C4<0>; -L_0000000000f3bf50 .delay 1 (20000,20000,20000) L_0000000000f3bf50/d; -v0000000000ef92b0_0 .net "a", 0 0, L_00000000026615c0; alias, 1 drivers -v0000000000ef8950_0 .net "anorb", 0 0, L_0000000000f3bcb0; 1 drivers -v0000000000efa4d0_0 .net "b", 0 0, L_0000000002661200; alias, 1 drivers -v0000000000ef8810_0 .net "carryAND", 0 0, L_0000000000f3bc40; alias, 1 drivers -v0000000000ef8d10_0 .net "carryin", 0 0, L_00000000026608a0; alias, 1 drivers -v0000000000efa1b0_0 .net "i0", 0 0, L_00000000026612a0; alias, 1 drivers -v0000000000efa2f0_0 .net "nab", 0 0, L_0000000000f3c490; alias, 1 drivers -v0000000000ef9170_0 .net "nac", 0 0, L_0000000000f3bb60; 1 drivers -v0000000000ef98f0_0 .net "nbc", 0 0, L_0000000000f3baf0; 1 drivers -v0000000000ef9ad0_0 .net "orNOR", 0 0, L_0000000000f3bf50; alias, 1 drivers -v0000000000ef9a30_0 .net "sumXOR", 0 0, L_0000000000f3c5e0; alias, 1 drivers -S_0000000000efc290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb510; +L_00000000027ed740/d .functor NAND 1, L_00000000027950c0, L_00000000027932c0, C4<1>, C4<1>; +L_00000000027ed740 .delay 1 (20000,20000,20000) L_00000000027ed740/d; +L_00000000027ed7b0/d .functor NAND 1, L_00000000027932c0, L_0000000002793360, C4<1>, C4<1>; +L_00000000027ed7b0 .delay 1 (20000,20000,20000) L_00000000027ed7b0/d; +L_00000000027edac0/d .functor NAND 1, L_00000000027950c0, L_0000000002793360, C4<1>, C4<1>; +L_00000000027edac0 .delay 1 (20000,20000,20000) L_00000000027edac0/d; +L_00000000027ec1d0/d .functor NAND 1, L_00000000027ed740, L_00000000027edac0, L_00000000027ed7b0, C4<1>; +L_00000000027ec1d0 .delay 1 (30000,30000,30000) L_00000000027ec1d0/d; +L_00000000027ed890/d .functor XOR 1, L_00000000027950c0, L_00000000027932c0, L_0000000002793360, C4<0>; +L_00000000027ed890 .delay 1 (30000,30000,30000) L_00000000027ed890/d; +L_00000000027edb30/d .functor NOR 1, L_00000000027950c0, L_00000000027932c0, C4<0>, C4<0>; +L_00000000027edb30 .delay 1 (20000,20000,20000) L_00000000027edb30/d; +L_00000000027edba0/d .functor XOR 1, L_00000000027edb30, L_0000000002794760, C4<0>, C4<0>; +L_00000000027edba0 .delay 1 (20000,20000,20000) L_00000000027edba0/d; +v0000000002746890_0 .net "a", 0 0, L_00000000027950c0; alias, 1 drivers +v00000000027471f0_0 .net "anorb", 0 0, L_00000000027edb30; 1 drivers +v0000000002746d90_0 .net "b", 0 0, L_00000000027932c0; alias, 1 drivers +v0000000002746e30_0 .net "carryAND", 0 0, L_00000000027ec1d0; alias, 1 drivers +v0000000002746bb0_0 .net "carryin", 0 0, L_0000000002793360; alias, 1 drivers +v0000000002748230_0 .net "i0", 0 0, L_0000000002794760; alias, 1 drivers +v0000000002748050_0 .net "nab", 0 0, L_00000000027ed740; alias, 1 drivers +v0000000002747830_0 .net "nac", 0 0, L_00000000027edac0; 1 drivers +v0000000002748690_0 .net "nbc", 0 0, L_00000000027ed7b0; 1 drivers +v0000000002747fb0_0 .net "orNOR", 0 0, L_00000000027edba0; alias, 1 drivers +v0000000002747330_0 .net "sumXOR", 0 0, L_00000000027ed890; alias, 1 drivers +S_0000000000f6c830 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f6c9b0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1523,46 +1532,46 @@ S_0000000000efc290 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_0000000000f3c0a0/d .functor NOT 1, L_0000000002661fc0, C4<0>, C4<0>, C4<0>; -L_0000000000f3c0a0 .delay 1 (10000,10000,10000) L_0000000000f3c0a0/d; -L_0000000000f3c110/d .functor NOT 1, L_0000000002660c60, C4<0>, C4<0>, C4<0>; -L_0000000000f3c110 .delay 1 (10000,10000,10000) L_0000000000f3c110/d; -L_0000000000f3ce30/d .functor NAND 1, L_0000000002660c60, L_0000000002661fc0, L_0000000000f3c5e0, C4<1>; -L_0000000000f3ce30 .delay 1 (30000,30000,30000) L_0000000000f3ce30/d; -L_0000000000f3cd50/d .functor NAND 1, L_0000000002660c60, L_0000000000f3c0a0, L_0000000000f3bf50, C4<1>; -L_0000000000f3cd50 .delay 1 (30000,30000,30000) L_0000000000f3cd50/d; -L_0000000000f3d060/d .functor NAND 1, L_0000000000f3c110, L_0000000002661fc0, L_0000000000f3bc40, C4<1>; -L_0000000000f3d060 .delay 1 (30000,30000,30000) L_0000000000f3d060/d; -L_0000000000f3cdc0/d .functor NAND 1, L_0000000000f3c110, L_0000000000f3c0a0, L_0000000000f3c490, C4<1>; -L_0000000000f3cdc0 .delay 1 (30000,30000,30000) L_0000000000f3cdc0/d; -L_0000000000f3cea0/d .functor NAND 1, L_0000000000f3ce30, L_0000000000f3cd50, L_0000000000f3d060, L_0000000000f3cdc0; -L_0000000000f3cea0 .delay 1 (40000,40000,40000) L_0000000000f3cea0/d; -v0000000000ef81d0_0 .net "a", 0 0, L_0000000000f3c5e0; alias, 1 drivers -v0000000000ef9210_0 .net "aout", 0 0, L_0000000000f3ce30; 1 drivers -v0000000000efa390_0 .net "b", 0 0, L_0000000000f3bf50; alias, 1 drivers -v0000000000ef95d0_0 .net "bout", 0 0, L_0000000000f3cd50; 1 drivers -v0000000000ef8a90_0 .net "c", 0 0, L_0000000000f3bc40; alias, 1 drivers -v0000000000ef8310_0 .net "cout", 0 0, L_0000000000f3d060; 1 drivers -v0000000000ef9350_0 .net "d", 0 0, L_0000000000f3c490; alias, 1 drivers -v0000000000efa430_0 .net "dout", 0 0, L_0000000000f3cdc0; 1 drivers -v0000000000ef9fd0_0 .net "ns0", 0 0, L_0000000000f3c0a0; 1 drivers -v0000000000ef93f0_0 .net "ns1", 0 0, L_0000000000f3c110; 1 drivers -v0000000000ef9490_0 .net "out", 0 0, L_0000000000f3cea0; alias, 1 drivers -v0000000000ef9710_0 .net "s0", 0 0, L_0000000002661fc0; 1 drivers -v0000000000ef9b70_0 .net "s1", 0 0, L_0000000002660c60; 1 drivers -S_0000000000efc410 .scope generate, "aluBits[8]" "aluBits[8]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e3a880 .param/l "i" 0 4 49, +C4<01000>; -L_0000000000f3cf80/d .functor XOR 1, L_0000000002660120, v0000000000e1f730_0, C4<0>, C4<0>; -L_0000000000f3cf80 .delay 1 (20000,20000,20000) L_0000000000f3cf80/d; -L_0000000000f3cff0/d .functor AND 1, v0000000000e20d10_0, L_00000000026627e0, C4<1>, C4<1>; -L_0000000000f3cff0 .delay 1 (30000,30000,30000) L_0000000000f3cff0/d; -L_00000000026bcc40/d .functor AND 1, L_0000000002660300, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026bcc40 .delay 1 (30000,30000,30000) L_00000000026bcc40/d; -v0000000000ef3c70_0 .net *"_s1", 0 0, L_0000000002660120; 1 drivers -v0000000000ef5430_0 .net *"_s3", 0 0, L_00000000026627e0; 1 drivers -v0000000000ef3630_0 .net *"_s9", 0 0, L_0000000002660300; 1 drivers -S_0000000000efb990 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efc410; +L_00000000027edcf0/d .functor NOT 1, L_0000000002794080, C4<0>, C4<0>, C4<0>; +L_00000000027edcf0 .delay 1 (10000,10000,10000) L_00000000027edcf0/d; +L_00000000027edeb0/d .functor NOT 1, L_00000000027946c0, C4<0>, C4<0>, C4<0>; +L_00000000027edeb0 .delay 1 (10000,10000,10000) L_00000000027edeb0/d; +L_00000000027edf90/d .functor NAND 1, L_00000000027946c0, L_0000000002794080, L_00000000027ed890, C4<1>; +L_00000000027edf90 .delay 1 (30000,30000,30000) L_00000000027edf90/d; +L_00000000027ee070/d .functor NAND 1, L_00000000027946c0, L_00000000027edcf0, L_00000000027edba0, C4<1>; +L_00000000027ee070 .delay 1 (30000,30000,30000) L_00000000027ee070/d; +L_00000000027ee000/d .functor NAND 1, L_00000000027edeb0, L_0000000002794080, L_00000000027ec1d0, C4<1>; +L_00000000027ee000 .delay 1 (30000,30000,30000) L_00000000027ee000/d; +L_00000000027edd60/d .functor NAND 1, L_00000000027edeb0, L_00000000027edcf0, L_00000000027ed740, C4<1>; +L_00000000027edd60 .delay 1 (30000,30000,30000) L_00000000027edd60/d; +L_00000000027eddd0/d .functor NAND 1, L_00000000027edf90, L_00000000027ee070, L_00000000027ee000, L_00000000027edd60; +L_00000000027eddd0 .delay 1 (40000,40000,40000) L_00000000027eddd0/d; +v00000000027473d0_0 .net "a", 0 0, L_00000000027ed890; alias, 1 drivers +v0000000002746070_0 .net "aout", 0 0, L_00000000027edf90; 1 drivers +v00000000027487d0_0 .net "b", 0 0, L_00000000027edba0; alias, 1 drivers +v00000000027482d0_0 .net "bout", 0 0, L_00000000027ee070; 1 drivers +v0000000002746cf0_0 .net "c", 0 0, L_00000000027ec1d0; alias, 1 drivers +v0000000002748370_0 .net "cout", 0 0, L_00000000027ee000; 1 drivers +v0000000002747470_0 .net "d", 0 0, L_00000000027ed740; alias, 1 drivers +v0000000002746250_0 .net "dout", 0 0, L_00000000027edd60; 1 drivers +v00000000027461b0_0 .net "ns0", 0 0, L_00000000027edcf0; 1 drivers +v00000000027469d0_0 .net "ns1", 0 0, L_00000000027edeb0; 1 drivers +v0000000002746ed0_0 .net "out", 0 0, L_00000000027eddd0; alias, 1 drivers +v0000000002747510_0 .net "s0", 0 0, L_0000000002794080; 1 drivers +v00000000027476f0_0 .net "s1", 0 0, L_00000000027946c0; 1 drivers +S_0000000000f6b030 .scope generate, "aluBits[8]" "aluBits[8]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05960 .param/l "i" 0 4 49, +C4<01000>; +L_00000000027edf20/d .functor XOR 1, L_0000000002793cc0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027edf20 .delay 1 (20000,20000,20000) L_00000000027edf20/d; +L_00000000027f6f10/d .functor AND 1, v0000000000e67370_0, L_00000000027937c0, C4<1>, C4<1>; +L_00000000027f6f10 .delay 1 (30000,30000,30000) L_00000000027f6f10/d; +L_00000000027f7610/d .functor AND 1, L_0000000002793a40, L_000000000282c280, C4<1>, C4<1>; +L_00000000027f7610 .delay 1 (30000,30000,30000) L_00000000027f7610/d; +v0000000002748910_0 .net *"_s1", 0 0, L_0000000002793cc0; 1 drivers +v0000000002749450_0 .net *"_s3", 0 0, L_00000000027937c0; 1 drivers +v0000000002749630_0 .net *"_s9", 0 0, L_0000000002793a40; 1 drivers +S_0000000000f6cb30 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6b030; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1571,19 +1580,19 @@ S_0000000000efb990 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000ef52f0_0 .net "a", 0 0, L_00000000026624c0; 1 drivers -v0000000000ef5390_0 .net "b", 0 0, L_0000000002662380; 1 drivers -v0000000000ef3590_0 .net "carryAND", 0 0, L_00000000026be5a0; 1 drivers -v0000000000ef3f90_0 .net "cin", 0 0, L_0000000002662060; 1 drivers -v0000000000ef45d0_0 .net "ctrl0", 0 0, L_0000000002661340; 1 drivers -v0000000000ef3b30_0 .net "nab", 0 0, L_00000000026be680; 1 drivers -v0000000000ef4990_0 .net "orNOR", 0 0, L_00000000026be840; 1 drivers -v0000000000ef3bd0_0 .net "res", 0 0, L_00000000026be370; 1 drivers -v0000000000ef4e90_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000ef4fd0_0 .net "sumXOR", 0 0, L_00000000026be760; 1 drivers -L_0000000002660d00 .part v0000000000e21990_0, 1, 1; -L_0000000002661f20 .part v0000000000e21990_0, 0, 1; -S_0000000000efc590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb990; +v000000000274a3f0_0 .net "a", 0 0, L_00000000027939a0; 1 drivers +v00000000027491d0_0 .net "b", 0 0, L_0000000002794260; 1 drivers +v0000000002748eb0_0 .net "carryAND", 0 0, L_00000000027f7d10; 1 drivers +v0000000002749810_0 .net "cin", 0 0, L_0000000002794b20; 1 drivers +v000000000274ab70_0 .net "ctrl0", 0 0, L_0000000002794940; 1 drivers +v0000000002749d10_0 .net "nab", 0 0, L_00000000027f6b20; 1 drivers +v0000000002749270_0 .net "orNOR", 0 0, L_00000000027f78b0; 1 drivers +v0000000002749310_0 .net "res", 0 0, L_00000000027f6180; 1 drivers +v0000000002748e10_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v00000000027493b0_0 .net "sumXOR", 0 0, L_00000000027f74c0; 1 drivers +L_0000000002793400 .part v0000000000e625f0_0, 1, 1; +L_0000000002793900 .part v0000000000e625f0_0, 0, 1; +S_0000000000f6b930 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f6cb30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1593,32 +1602,32 @@ S_0000000000efc590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efb99 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026be680/d .functor NAND 1, L_00000000026624c0, L_0000000002662380, C4<1>, C4<1>; -L_00000000026be680 .delay 1 (20000,20000,20000) L_00000000026be680/d; -L_00000000026be530/d .functor NAND 1, L_0000000002662380, L_0000000002662060, C4<1>, C4<1>; -L_00000000026be530 .delay 1 (20000,20000,20000) L_00000000026be530/d; -L_00000000026be6f0/d .functor NAND 1, L_00000000026624c0, L_0000000002662060, C4<1>, C4<1>; -L_00000000026be6f0 .delay 1 (20000,20000,20000) L_00000000026be6f0/d; -L_00000000026be5a0/d .functor NAND 1, L_00000000026be680, L_00000000026be6f0, L_00000000026be530, C4<1>; -L_00000000026be5a0 .delay 1 (30000,30000,30000) L_00000000026be5a0/d; -L_00000000026be760/d .functor XOR 1, L_00000000026624c0, L_0000000002662380, L_0000000002662060, C4<0>; -L_00000000026be760 .delay 1 (30000,30000,30000) L_00000000026be760/d; -L_00000000026be7d0/d .functor NOR 1, L_00000000026624c0, L_0000000002662380, C4<0>, C4<0>; -L_00000000026be7d0 .delay 1 (20000,20000,20000) L_00000000026be7d0/d; -L_00000000026be840/d .functor XOR 1, L_00000000026be7d0, L_0000000002661340, C4<0>, C4<0>; -L_00000000026be840 .delay 1 (20000,20000,20000) L_00000000026be840/d; -v0000000000ef8bd0_0 .net "a", 0 0, L_00000000026624c0; alias, 1 drivers -v0000000000ef8db0_0 .net "anorb", 0 0, L_00000000026be7d0; 1 drivers -v0000000000ef8e50_0 .net "b", 0 0, L_0000000002662380; alias, 1 drivers -v0000000000efaed0_0 .net "carryAND", 0 0, L_00000000026be5a0; alias, 1 drivers -v0000000000efa9d0_0 .net "carryin", 0 0, L_0000000002662060; alias, 1 drivers -v0000000000efacf0_0 .net "i0", 0 0, L_0000000002661340; alias, 1 drivers -v0000000000efaf70_0 .net "nab", 0 0, L_00000000026be680; alias, 1 drivers -v0000000000efad90_0 .net "nac", 0 0, L_00000000026be6f0; 1 drivers -v0000000000efa890_0 .net "nbc", 0 0, L_00000000026be530; 1 drivers -v0000000000efaa70_0 .net "orNOR", 0 0, L_00000000026be840; alias, 1 drivers -v0000000000efae30_0 .net "sumXOR", 0 0, L_00000000026be760; alias, 1 drivers -S_0000000000efce90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efb990; +L_00000000027f6b20/d .functor NAND 1, L_00000000027939a0, L_0000000002794260, C4<1>, C4<1>; +L_00000000027f6b20 .delay 1 (20000,20000,20000) L_00000000027f6b20/d; +L_00000000027f6500/d .functor NAND 1, L_0000000002794260, L_0000000002794b20, C4<1>, C4<1>; +L_00000000027f6500 .delay 1 (20000,20000,20000) L_00000000027f6500/d; +L_00000000027f6b90/d .functor NAND 1, L_00000000027939a0, L_0000000002794b20, C4<1>, C4<1>; +L_00000000027f6b90 .delay 1 (20000,20000,20000) L_00000000027f6b90/d; +L_00000000027f7d10/d .functor NAND 1, L_00000000027f6b20, L_00000000027f6b90, L_00000000027f6500, C4<1>; +L_00000000027f7d10 .delay 1 (30000,30000,30000) L_00000000027f7d10/d; +L_00000000027f74c0/d .functor XOR 1, L_00000000027939a0, L_0000000002794260, L_0000000002794b20, C4<0>; +L_00000000027f74c0 .delay 1 (30000,30000,30000) L_00000000027f74c0/d; +L_00000000027f68f0/d .functor NOR 1, L_00000000027939a0, L_0000000002794260, C4<0>, C4<0>; +L_00000000027f68f0 .delay 1 (20000,20000,20000) L_00000000027f68f0/d; +L_00000000027f78b0/d .functor XOR 1, L_00000000027f68f0, L_0000000002794940, C4<0>, C4<0>; +L_00000000027f78b0 .delay 1 (20000,20000,20000) L_00000000027f78b0/d; +v0000000002746750_0 .net "a", 0 0, L_00000000027939a0; alias, 1 drivers +v0000000002746c50_0 .net "anorb", 0 0, L_00000000027f68f0; 1 drivers +v0000000002748410_0 .net "b", 0 0, L_0000000002794260; alias, 1 drivers +v00000000027475b0_0 .net "carryAND", 0 0, L_00000000027f7d10; alias, 1 drivers +v0000000002747bf0_0 .net "carryin", 0 0, L_0000000002794b20; alias, 1 drivers +v0000000002747650_0 .net "i0", 0 0, L_0000000002794940; alias, 1 drivers +v00000000027478d0_0 .net "nab", 0 0, L_00000000027f6b20; alias, 1 drivers +v00000000027485f0_0 .net "nac", 0 0, L_00000000027f6b90; 1 drivers +v0000000002747970_0 .net "nbc", 0 0, L_00000000027f6500; 1 drivers +v0000000002747c90_0 .net "orNOR", 0 0, L_00000000027f78b0; alias, 1 drivers +v0000000002747d30_0 .net "sumXOR", 0 0, L_00000000027f74c0; alias, 1 drivers +S_0000000000f6ce30 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f6cb30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1627,46 +1636,46 @@ S_0000000000efce90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026be610/d .functor NOT 1, L_0000000002661f20, C4<0>, C4<0>, C4<0>; -L_00000000026be610 .delay 1 (10000,10000,10000) L_00000000026be610/d; -L_00000000026bd0a0/d .functor NOT 1, L_0000000002660d00, C4<0>, C4<0>, C4<0>; -L_00000000026bd0a0 .delay 1 (10000,10000,10000) L_00000000026bd0a0/d; -L_00000000026bcf50/d .functor NAND 1, L_0000000002660d00, L_0000000002661f20, L_00000000026be760, C4<1>; -L_00000000026bcf50 .delay 1 (30000,30000,30000) L_00000000026bcf50/d; -L_00000000026bcbd0/d .functor NAND 1, L_0000000002660d00, L_00000000026be610, L_00000000026be840, C4<1>; -L_00000000026bcbd0 .delay 1 (30000,30000,30000) L_00000000026bcbd0/d; -L_00000000026bd3b0/d .functor NAND 1, L_00000000026bd0a0, L_0000000002661f20, L_00000000026be5a0, C4<1>; -L_00000000026bd3b0 .delay 1 (30000,30000,30000) L_00000000026bd3b0/d; -L_00000000026bc9a0/d .functor NAND 1, L_00000000026bd0a0, L_00000000026be610, L_00000000026be680, C4<1>; -L_00000000026bc9a0 .delay 1 (30000,30000,30000) L_00000000026bc9a0/d; -L_00000000026be370/d .functor NAND 1, L_00000000026bcf50, L_00000000026bcbd0, L_00000000026bd3b0, L_00000000026bc9a0; -L_00000000026be370 .delay 1 (40000,40000,40000) L_00000000026be370/d; -v0000000000efab10_0 .net "a", 0 0, L_00000000026be760; alias, 1 drivers -v0000000000efac50_0 .net "aout", 0 0, L_00000000026bcf50; 1 drivers -v0000000000efa930_0 .net "b", 0 0, L_00000000026be840; alias, 1 drivers -v0000000000efabb0_0 .net "bout", 0 0, L_00000000026bcbd0; 1 drivers -v0000000000ef54d0_0 .net "c", 0 0, L_00000000026be5a0; alias, 1 drivers -v0000000000ef4f30_0 .net "cout", 0 0, L_00000000026bd3b0; 1 drivers -v0000000000ef4530_0 .net "d", 0 0, L_00000000026be680; alias, 1 drivers -v0000000000ef3090_0 .net "dout", 0 0, L_00000000026bc9a0; 1 drivers -v0000000000ef43f0_0 .net "ns0", 0 0, L_00000000026be610; 1 drivers -v0000000000ef33b0_0 .net "ns1", 0 0, L_00000000026bd0a0; 1 drivers -v0000000000ef3130_0 .net "out", 0 0, L_00000000026be370; alias, 1 drivers -v0000000000ef4670_0 .net "s0", 0 0, L_0000000002661f20; 1 drivers -v0000000000ef31d0_0 .net "s1", 0 0, L_0000000002660d00; 1 drivers -S_0000000000efca10 .scope generate, "aluBits[9]" "aluBits[9]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e3aa80 .param/l "i" 0 4 49, +C4<01001>; -L_00000000026bcd20/d .functor XOR 1, L_0000000002661b60, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026bcd20 .delay 1 (20000,20000,20000) L_00000000026bcd20/d; -L_00000000026bccb0/d .functor AND 1, v0000000000e20d10_0, L_0000000002661700, C4<1>, C4<1>; -L_00000000026bccb0 .delay 1 (30000,30000,30000) L_00000000026bccb0/d; -L_00000000026bcd90/d .functor AND 1, L_00000000026617a0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026bcd90 .delay 1 (30000,30000,30000) L_00000000026bcd90/d; -v0000000000ef4a30_0 .net *"_s1", 0 0, L_0000000002661b60; 1 drivers -v0000000000ef42b0_0 .net *"_s3", 0 0, L_0000000002661700; 1 drivers -v0000000000ef4350_0 .net *"_s9", 0 0, L_00000000026617a0; 1 drivers -S_0000000000efcb90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efca10; +L_00000000027f6880/d .functor NOT 1, L_0000000002793900, C4<0>, C4<0>, C4<0>; +L_00000000027f6880 .delay 1 (10000,10000,10000) L_00000000027f6880/d; +L_00000000027f65e0/d .functor NOT 1, L_0000000002793400, C4<0>, C4<0>, C4<0>; +L_00000000027f65e0 .delay 1 (10000,10000,10000) L_00000000027f65e0/d; +L_00000000027f70d0/d .functor NAND 1, L_0000000002793400, L_0000000002793900, L_00000000027f74c0, C4<1>; +L_00000000027f70d0 .delay 1 (30000,30000,30000) L_00000000027f70d0/d; +L_00000000027f6420/d .functor NAND 1, L_0000000002793400, L_00000000027f6880, L_00000000027f78b0, C4<1>; +L_00000000027f6420 .delay 1 (30000,30000,30000) L_00000000027f6420/d; +L_00000000027f6ce0/d .functor NAND 1, L_00000000027f65e0, L_0000000002793900, L_00000000027f7d10, C4<1>; +L_00000000027f6ce0 .delay 1 (30000,30000,30000) L_00000000027f6ce0/d; +L_00000000027f7ae0/d .functor NAND 1, L_00000000027f65e0, L_00000000027f6880, L_00000000027f6b20, C4<1>; +L_00000000027f7ae0 .delay 1 (30000,30000,30000) L_00000000027f7ae0/d; +L_00000000027f6180/d .functor NAND 1, L_00000000027f70d0, L_00000000027f6420, L_00000000027f6ce0, L_00000000027f7ae0; +L_00000000027f6180 .delay 1 (40000,40000,40000) L_00000000027f6180/d; +v0000000002747dd0_0 .net "a", 0 0, L_00000000027f74c0; alias, 1 drivers +v000000000274aa30_0 .net "aout", 0 0, L_00000000027f70d0; 1 drivers +v0000000002749a90_0 .net "b", 0 0, L_00000000027f78b0; alias, 1 drivers +v0000000002748af0_0 .net "bout", 0 0, L_00000000027f6420; 1 drivers +v000000000274aad0_0 .net "c", 0 0, L_00000000027f7d10; alias, 1 drivers +v0000000002748f50_0 .net "cout", 0 0, L_00000000027f6ce0; 1 drivers +v0000000002748ff0_0 .net "d", 0 0, L_00000000027f6b20; alias, 1 drivers +v0000000002748c30_0 .net "dout", 0 0, L_00000000027f7ae0; 1 drivers +v0000000002749090_0 .net "ns0", 0 0, L_00000000027f6880; 1 drivers +v00000000027498b0_0 .net "ns1", 0 0, L_00000000027f65e0; 1 drivers +v000000000274a210_0 .net "out", 0 0, L_00000000027f6180; alias, 1 drivers +v0000000002749950_0 .net "s0", 0 0, L_0000000002793900; 1 drivers +v0000000002749130_0 .net "s1", 0 0, L_0000000002793400; 1 drivers +S_0000000000f6bf30 .scope generate, "aluBits[9]" "aluBits[9]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05720 .param/l "i" 0 4 49, +C4<01001>; +L_00000000027f6960/d .functor XOR 1, L_0000000002793ae0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027f6960 .delay 1 (20000,20000,20000) L_00000000027f6960/d; +L_00000000027f6d50/d .functor AND 1, v0000000000e67370_0, L_0000000002792be0, C4<1>, C4<1>; +L_00000000027f6d50 .delay 1 (30000,30000,30000) L_00000000027f6d50/d; +L_00000000027f7300/d .functor AND 1, L_0000000002793b80, L_000000000282c280, C4<1>, C4<1>; +L_00000000027f7300 .delay 1 (30000,30000,30000) L_00000000027f7300/d; +v000000000274a490_0 .net *"_s1", 0 0, L_0000000002793ae0; 1 drivers +v000000000274a530_0 .net *"_s3", 0 0, L_0000000002792be0; 1 drivers +v000000000274a5d0_0 .net *"_s9", 0 0, L_0000000002793b80; 1 drivers +S_0000000000f6bab0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6bf30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1675,19 +1684,19 @@ S_0000000000efcb90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000ef3770_0 .net "a", 0 0, L_0000000002660940; 1 drivers -v0000000000ef3810_0 .net "b", 0 0, L_00000000026613e0; 1 drivers -v0000000000ef4ad0_0 .net "carryAND", 0 0, L_00000000026bca80; 1 drivers -v0000000000ef3950_0 .net "cin", 0 0, L_0000000002660b20; 1 drivers -v0000000000ef39f0_0 .net "ctrl0", 0 0, L_0000000002662560; 1 drivers -v0000000000ef3a90_0 .net "nab", 0 0, L_00000000026bd1f0; 1 drivers -v0000000000ef3db0_0 .net "orNOR", 0 0, L_00000000026bd110; 1 drivers -v0000000000ef3e50_0 .net "res", 0 0, L_00000000026bc930; 1 drivers -v0000000000ef4170_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000ef4210_0 .net "sumXOR", 0 0, L_00000000026bd9d0; 1 drivers -L_0000000002661ca0 .part v0000000000e21990_0, 1, 1; -L_00000000026622e0 .part v0000000000e21990_0, 0, 1; -S_0000000000efb090 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efcb90; +v000000000274a990_0 .net "a", 0 0, L_0000000002792960; 1 drivers +v000000000274a7b0_0 .net "b", 0 0, L_0000000002794d00; 1 drivers +v0000000002748d70_0 .net "carryAND", 0 0, L_00000000027f7990; 1 drivers +v0000000002749bd0_0 .net "cin", 0 0, L_0000000002794800; 1 drivers +v0000000002749c70_0 .net "ctrl0", 0 0, L_0000000002794120; 1 drivers +v0000000002749db0_0 .net "nab", 0 0, L_00000000027f6490; 1 drivers +v0000000002749ef0_0 .net "orNOR", 0 0, L_00000000027f6650; 1 drivers +v0000000002749e50_0 .net "res", 0 0, L_00000000027f73e0; 1 drivers +v0000000002749f90_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000274a2b0_0 .net "sumXOR", 0 0, L_00000000027f62d0; 1 drivers +L_0000000002794bc0 .part v0000000000e625f0_0, 1, 1; +L_00000000027943a0 .part v0000000000e625f0_0, 0, 1; +S_0000000000f6b1b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f6bab0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1697,32 +1706,32 @@ S_0000000000efb090 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000efcb9 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026bd1f0/d .functor NAND 1, L_0000000002660940, L_00000000026613e0, C4<1>, C4<1>; -L_00000000026bd1f0 .delay 1 (20000,20000,20000) L_00000000026bd1f0/d; -L_00000000026bd500/d .functor NAND 1, L_00000000026613e0, L_0000000002660b20, C4<1>, C4<1>; -L_00000000026bd500 .delay 1 (20000,20000,20000) L_00000000026bd500/d; -L_00000000026bca10/d .functor NAND 1, L_0000000002660940, L_0000000002660b20, C4<1>, C4<1>; -L_00000000026bca10 .delay 1 (20000,20000,20000) L_00000000026bca10/d; -L_00000000026bca80/d .functor NAND 1, L_00000000026bd1f0, L_00000000026bca10, L_00000000026bd500, C4<1>; -L_00000000026bca80 .delay 1 (30000,30000,30000) L_00000000026bca80/d; -L_00000000026bd9d0/d .functor XOR 1, L_0000000002660940, L_00000000026613e0, L_0000000002660b20, C4<0>; -L_00000000026bd9d0 .delay 1 (30000,30000,30000) L_00000000026bd9d0/d; -L_00000000026bdf80/d .functor NOR 1, L_0000000002660940, L_00000000026613e0, C4<0>, C4<0>; -L_00000000026bdf80 .delay 1 (20000,20000,20000) L_00000000026bdf80/d; -L_00000000026bd110/d .functor XOR 1, L_00000000026bdf80, L_0000000002662560, C4<0>, C4<0>; -L_00000000026bd110 .delay 1 (20000,20000,20000) L_00000000026bd110/d; -v0000000000ef5250_0 .net "a", 0 0, L_0000000002660940; alias, 1 drivers -v0000000000ef3310_0 .net "anorb", 0 0, L_00000000026bdf80; 1 drivers -v0000000000ef5570_0 .net "b", 0 0, L_00000000026613e0; alias, 1 drivers -v0000000000ef3450_0 .net "carryAND", 0 0, L_00000000026bca80; alias, 1 drivers -v0000000000ef4850_0 .net "carryin", 0 0, L_0000000002660b20; alias, 1 drivers -v0000000000ef3ef0_0 .net "i0", 0 0, L_0000000002662560; alias, 1 drivers -v0000000000ef5070_0 .net "nab", 0 0, L_00000000026bd1f0; alias, 1 drivers -v0000000000ef4d50_0 .net "nac", 0 0, L_00000000026bca10; 1 drivers -v0000000000ef5110_0 .net "nbc", 0 0, L_00000000026bd500; 1 drivers -v0000000000ef4710_0 .net "orNOR", 0 0, L_00000000026bd110; alias, 1 drivers -v0000000000ef5610_0 .net "sumXOR", 0 0, L_00000000026bd9d0; alias, 1 drivers -S_0000000000efcd10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000efcb90; +L_00000000027f6490/d .functor NAND 1, L_0000000002792960, L_0000000002794d00, C4<1>, C4<1>; +L_00000000027f6490 .delay 1 (20000,20000,20000) L_00000000027f6490/d; +L_00000000027f69d0/d .functor NAND 1, L_0000000002794d00, L_0000000002794800, C4<1>, C4<1>; +L_00000000027f69d0 .delay 1 (20000,20000,20000) L_00000000027f69d0/d; +L_00000000027f6340/d .functor NAND 1, L_0000000002792960, L_0000000002794800, C4<1>, C4<1>; +L_00000000027f6340 .delay 1 (20000,20000,20000) L_00000000027f6340/d; +L_00000000027f7990/d .functor NAND 1, L_00000000027f6490, L_00000000027f6340, L_00000000027f69d0, C4<1>; +L_00000000027f7990 .delay 1 (30000,30000,30000) L_00000000027f7990/d; +L_00000000027f62d0/d .functor XOR 1, L_0000000002792960, L_0000000002794d00, L_0000000002794800, C4<0>; +L_00000000027f62d0 .delay 1 (30000,30000,30000) L_00000000027f62d0/d; +L_00000000027f6e30/d .functor NOR 1, L_0000000002792960, L_0000000002794d00, C4<0>, C4<0>; +L_00000000027f6e30 .delay 1 (20000,20000,20000) L_00000000027f6e30/d; +L_00000000027f6650/d .functor XOR 1, L_00000000027f6e30, L_0000000002794120, C4<0>, C4<0>; +L_00000000027f6650 .delay 1 (20000,20000,20000) L_00000000027f6650/d; +v000000000274ac10_0 .net "a", 0 0, L_0000000002792960; alias, 1 drivers +v000000000274a030_0 .net "anorb", 0 0, L_00000000027f6e30; 1 drivers +v000000000274af30_0 .net "b", 0 0, L_0000000002794d00; alias, 1 drivers +v000000000274acb0_0 .net "carryAND", 0 0, L_00000000027f7990; alias, 1 drivers +v0000000002748a50_0 .net "carryin", 0 0, L_0000000002794800; alias, 1 drivers +v0000000002748cd0_0 .net "i0", 0 0, L_0000000002794120; alias, 1 drivers +v000000000274ae90_0 .net "nab", 0 0, L_00000000027f6490; alias, 1 drivers +v000000000274afd0_0 .net "nac", 0 0, L_00000000027f6340; 1 drivers +v00000000027499f0_0 .net "nbc", 0 0, L_00000000027f69d0; 1 drivers +v000000000274a350_0 .net "orNOR", 0 0, L_00000000027f6650; alias, 1 drivers +v000000000274a0d0_0 .net "sumXOR", 0 0, L_00000000027f62d0; alias, 1 drivers +S_0000000000f6b330 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f6bab0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1731,46 +1740,46 @@ S_0000000000efcd10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026bd570/d .functor NOT 1, L_00000000026622e0, C4<0>, C4<0>, C4<0>; -L_00000000026bd570 .delay 1 (10000,10000,10000) L_00000000026bd570/d; -L_00000000026bdab0/d .functor NOT 1, L_0000000002661ca0, C4<0>, C4<0>, C4<0>; -L_00000000026bdab0 .delay 1 (10000,10000,10000) L_00000000026bdab0/d; -L_00000000026bdb90/d .functor NAND 1, L_0000000002661ca0, L_00000000026622e0, L_00000000026bd9d0, C4<1>; -L_00000000026bdb90 .delay 1 (30000,30000,30000) L_00000000026bdb90/d; -L_00000000026bd490/d .functor NAND 1, L_0000000002661ca0, L_00000000026bd570, L_00000000026bd110, C4<1>; -L_00000000026bd490 .delay 1 (30000,30000,30000) L_00000000026bd490/d; -L_00000000026bd420/d .functor NAND 1, L_00000000026bdab0, L_00000000026622e0, L_00000000026bca80, C4<1>; -L_00000000026bd420 .delay 1 (30000,30000,30000) L_00000000026bd420/d; -L_00000000026bcaf0/d .functor NAND 1, L_00000000026bdab0, L_00000000026bd570, L_00000000026bd1f0, C4<1>; -L_00000000026bcaf0 .delay 1 (30000,30000,30000) L_00000000026bcaf0/d; -L_00000000026bc930/d .functor NAND 1, L_00000000026bdb90, L_00000000026bd490, L_00000000026bd420, L_00000000026bcaf0; -L_00000000026bc930 .delay 1 (40000,40000,40000) L_00000000026bc930/d; -v0000000000ef34f0_0 .net "a", 0 0, L_00000000026bd9d0; alias, 1 drivers -v0000000000ef38b0_0 .net "aout", 0 0, L_00000000026bdb90; 1 drivers -v0000000000ef57f0_0 .net "b", 0 0, L_00000000026bd110; alias, 1 drivers -v0000000000ef51b0_0 .net "bout", 0 0, L_00000000026bd490; 1 drivers -v0000000000ef47b0_0 .net "c", 0 0, L_00000000026bca80; alias, 1 drivers -v0000000000ef3d10_0 .net "cout", 0 0, L_00000000026bd420; 1 drivers -v0000000000ef56b0_0 .net "d", 0 0, L_00000000026bd1f0; alias, 1 drivers -v0000000000ef48f0_0 .net "dout", 0 0, L_00000000026bcaf0; 1 drivers -v0000000000ef40d0_0 .net "ns0", 0 0, L_00000000026bd570; 1 drivers -v0000000000ef36d0_0 .net "ns1", 0 0, L_00000000026bdab0; 1 drivers -v0000000000ef5750_0 .net "out", 0 0, L_00000000026bc930; alias, 1 drivers -v0000000000ef3270_0 .net "s0", 0 0, L_00000000026622e0; 1 drivers -v0000000000ef4030_0 .net "s1", 0 0, L_0000000002661ca0; 1 drivers -S_0000000000efb210 .scope generate, "aluBits[10]" "aluBits[10]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e3ac80 .param/l "i" 0 4 49, +C4<01010>; -L_00000000026bdce0/d .functor XOR 1, L_0000000002661840, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026bdce0 .delay 1 (20000,20000,20000) L_00000000026bdce0/d; -L_00000000026bdd50/d .functor AND 1, v0000000000e20d10_0, L_0000000002660da0, C4<1>, C4<1>; -L_00000000026bdd50 .delay 1 (30000,30000,30000) L_00000000026bdd50/d; -L_00000000026bcb60/d .functor AND 1, L_0000000002660760, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026bcb60 .delay 1 (30000,30000,30000) L_00000000026bcb60/d; -v0000000000f08790_0 .net *"_s1", 0 0, L_0000000002661840; 1 drivers -v0000000000f080b0_0 .net *"_s3", 0 0, L_0000000002660da0; 1 drivers -v0000000000f068f0_0 .net *"_s9", 0 0, L_0000000002660760; 1 drivers -S_0000000000f00730 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000efb210; +L_00000000027f7a00/d .functor NOT 1, L_00000000027943a0, C4<0>, C4<0>, C4<0>; +L_00000000027f7a00 .delay 1 (10000,10000,10000) L_00000000027f7a00/d; +L_00000000027f7370/d .functor NOT 1, L_0000000002794bc0, C4<0>, C4<0>, C4<0>; +L_00000000027f7370 .delay 1 (10000,10000,10000) L_00000000027f7370/d; +L_00000000027f6ab0/d .functor NAND 1, L_0000000002794bc0, L_00000000027943a0, L_00000000027f62d0, C4<1>; +L_00000000027f6ab0 .delay 1 (30000,30000,30000) L_00000000027f6ab0/d; +L_00000000027f6ea0/d .functor NAND 1, L_0000000002794bc0, L_00000000027f7a00, L_00000000027f6650, C4<1>; +L_00000000027f6ea0 .delay 1 (30000,30000,30000) L_00000000027f6ea0/d; +L_00000000027f7530/d .functor NAND 1, L_00000000027f7370, L_00000000027943a0, L_00000000027f7990, C4<1>; +L_00000000027f7530 .delay 1 (30000,30000,30000) L_00000000027f7530/d; +L_00000000027f6a40/d .functor NAND 1, L_00000000027f7370, L_00000000027f7a00, L_00000000027f6490, C4<1>; +L_00000000027f6a40 .delay 1 (30000,30000,30000) L_00000000027f6a40/d; +L_00000000027f73e0/d .functor NAND 1, L_00000000027f6ab0, L_00000000027f6ea0, L_00000000027f7530, L_00000000027f6a40; +L_00000000027f73e0 .delay 1 (40000,40000,40000) L_00000000027f73e0/d; +v000000000274ad50_0 .net "a", 0 0, L_00000000027f62d0; alias, 1 drivers +v00000000027494f0_0 .net "aout", 0 0, L_00000000027f6ab0; 1 drivers +v0000000002748870_0 .net "b", 0 0, L_00000000027f6650; alias, 1 drivers +v000000000274a670_0 .net "bout", 0 0, L_00000000027f6ea0; 1 drivers +v0000000002749590_0 .net "c", 0 0, L_00000000027f7990; alias, 1 drivers +v00000000027489b0_0 .net "cout", 0 0, L_00000000027f7530; 1 drivers +v00000000027496d0_0 .net "d", 0 0, L_00000000027f6490; alias, 1 drivers +v0000000002749b30_0 .net "dout", 0 0, L_00000000027f6a40; 1 drivers +v000000000274a710_0 .net "ns0", 0 0, L_00000000027f7a00; 1 drivers +v0000000002749770_0 .net "ns1", 0 0, L_00000000027f7370; 1 drivers +v0000000002748b90_0 .net "out", 0 0, L_00000000027f73e0; alias, 1 drivers +v000000000274a170_0 .net "s0", 0 0, L_00000000027943a0; 1 drivers +v000000000274adf0_0 .net "s1", 0 0, L_0000000002794bc0; 1 drivers +S_0000000000f6bc30 .scope generate, "aluBits[10]" "aluBits[10]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f057e0 .param/l "i" 0 4 49, +C4<01010>; +L_00000000027f7840/d .functor XOR 1, L_0000000002793d60, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027f7840 .delay 1 (20000,20000,20000) L_00000000027f7840/d; +L_00000000027f6dc0/d .functor AND 1, v0000000000e67370_0, L_0000000002794f80, C4<1>, C4<1>; +L_00000000027f6dc0 .delay 1 (30000,30000,30000) L_00000000027f6dc0/d; +L_00000000027f7290/d .functor AND 1, L_0000000002797140, L_000000000282c280, C4<1>, C4<1>; +L_00000000027f7290 .delay 1 (30000,30000,30000) L_00000000027f7290/d; +v0000000002754e60_0 .net *"_s1", 0 0, L_0000000002793d60; 1 drivers +v00000000027554a0_0 .net *"_s3", 0 0, L_0000000002794f80; 1 drivers +v00000000027543c0_0 .net *"_s9", 0 0, L_0000000002797140; 1 drivers +S_0000000002753880 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f6bc30; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1779,19 +1788,19 @@ S_0000000000f00730 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f06990_0 .net "a", 0 0, L_0000000002661020; 1 drivers -v0000000000f083d0_0 .net "b", 0 0, L_0000000002661de0; 1 drivers -v0000000000f07750_0 .net "carryAND", 0 0, L_00000000026bd260; 1 drivers -v0000000000f06d50_0 .net "cin", 0 0, L_00000000026603a0; 1 drivers -v0000000000f06df0_0 .net "ctrl0", 0 0, L_00000000026621a0; 1 drivers -v0000000000f081f0_0 .net "nab", 0 0, L_00000000026be220; 1 drivers -v0000000000f071b0_0 .net "orNOR", 0 0, L_00000000026be290; 1 drivers -v0000000000f07930_0 .net "res", 0 0, L_00000000026bd030; 1 drivers -v0000000000f06210_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f077f0_0 .net "sumXOR", 0 0, L_00000000026be4c0; 1 drivers -L_0000000002661a20 .part v0000000000e21990_0, 1, 1; -L_00000000026601c0 .part v0000000000e21990_0, 0, 1; -S_0000000000f008b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f00730; +v0000000002754c80_0 .net "a", 0 0, L_0000000002793e00; 1 drivers +v0000000002754d20_0 .net "b", 0 0, L_0000000002793f40; 1 drivers +v0000000002754f00_0 .net "carryAND", 0 0, L_00000000027f6f80; 1 drivers +v0000000002754dc0_0 .net "cin", 0 0, L_0000000002797280; 1 drivers +v00000000027550e0_0 .net "ctrl0", 0 0, L_00000000027969c0; 1 drivers +v0000000002756080_0 .net "nab", 0 0, L_00000000027f66c0; 1 drivers +v0000000002755860_0 .net "orNOR", 0 0, L_00000000027f6c70; 1 drivers +v00000000027566c0_0 .net "res", 0 0, L_00000000027f7680; 1 drivers +v00000000027559a0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v00000000027552c0_0 .net "sumXOR", 0 0, L_00000000027f7b50; 1 drivers +L_0000000002795020 .part v0000000000e625f0_0, 1, 1; +L_0000000002792a00 .part v0000000000e625f0_0, 0, 1; +S_0000000002752800 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002753880; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1801,32 +1810,32 @@ S_0000000000f008b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0073 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026be220/d .functor NAND 1, L_0000000002661020, L_0000000002661de0, C4<1>, C4<1>; -L_00000000026be220 .delay 1 (20000,20000,20000) L_00000000026be220/d; -L_00000000026bcee0/d .functor NAND 1, L_0000000002661de0, L_00000000026603a0, C4<1>, C4<1>; -L_00000000026bcee0 .delay 1 (20000,20000,20000) L_00000000026bcee0/d; -L_00000000026bce00/d .functor NAND 1, L_0000000002661020, L_00000000026603a0, C4<1>, C4<1>; -L_00000000026bce00 .delay 1 (20000,20000,20000) L_00000000026bce00/d; -L_00000000026bd260/d .functor NAND 1, L_00000000026be220, L_00000000026bce00, L_00000000026bcee0, C4<1>; -L_00000000026bd260 .delay 1 (30000,30000,30000) L_00000000026bd260/d; -L_00000000026be4c0/d .functor XOR 1, L_0000000002661020, L_0000000002661de0, L_00000000026603a0, C4<0>; -L_00000000026be4c0 .delay 1 (30000,30000,30000) L_00000000026be4c0/d; -L_00000000026be3e0/d .functor NOR 1, L_0000000002661020, L_0000000002661de0, C4<0>, C4<0>; -L_00000000026be3e0 .delay 1 (20000,20000,20000) L_00000000026be3e0/d; -L_00000000026be290/d .functor XOR 1, L_00000000026be3e0, L_00000000026621a0, C4<0>, C4<0>; -L_00000000026be290 .delay 1 (20000,20000,20000) L_00000000026be290/d; -v0000000000ef4490_0 .net "a", 0 0, L_0000000002661020; alias, 1 drivers -v0000000000ef4b70_0 .net "anorb", 0 0, L_00000000026be3e0; 1 drivers -v0000000000ef4c10_0 .net "b", 0 0, L_0000000002661de0; alias, 1 drivers -v0000000000ef4cb0_0 .net "carryAND", 0 0, L_00000000026bd260; alias, 1 drivers -v0000000000ef4df0_0 .net "carryin", 0 0, L_00000000026603a0; alias, 1 drivers -v0000000000f07f70_0 .net "i0", 0 0, L_00000000026621a0; alias, 1 drivers -v0000000000f08830_0 .net "nab", 0 0, L_00000000026be220; alias, 1 drivers -v0000000000f07890_0 .net "nac", 0 0, L_00000000026bce00; 1 drivers -v0000000000f06710_0 .net "nbc", 0 0, L_00000000026bcee0; 1 drivers -v0000000000f07570_0 .net "orNOR", 0 0, L_00000000026be290; alias, 1 drivers -v0000000000f060d0_0 .net "sumXOR", 0 0, L_00000000026be4c0; alias, 1 drivers -S_0000000000eff0b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f00730; +L_00000000027f66c0/d .functor NAND 1, L_0000000002793e00, L_0000000002793f40, C4<1>, C4<1>; +L_00000000027f66c0 .delay 1 (20000,20000,20000) L_00000000027f66c0/d; +L_00000000027f7140/d .functor NAND 1, L_0000000002793f40, L_0000000002797280, C4<1>, C4<1>; +L_00000000027f7140 .delay 1 (20000,20000,20000) L_00000000027f7140/d; +L_00000000027f6730/d .functor NAND 1, L_0000000002793e00, L_0000000002797280, C4<1>, C4<1>; +L_00000000027f6730 .delay 1 (20000,20000,20000) L_00000000027f6730/d; +L_00000000027f6f80/d .functor NAND 1, L_00000000027f66c0, L_00000000027f6730, L_00000000027f7140, C4<1>; +L_00000000027f6f80 .delay 1 (30000,30000,30000) L_00000000027f6f80/d; +L_00000000027f7b50/d .functor XOR 1, L_0000000002793e00, L_0000000002793f40, L_0000000002797280, C4<0>; +L_00000000027f7b50 .delay 1 (30000,30000,30000) L_00000000027f7b50/d; +L_00000000027f6c00/d .functor NOR 1, L_0000000002793e00, L_0000000002793f40, C4<0>, C4<0>; +L_00000000027f6c00 .delay 1 (20000,20000,20000) L_00000000027f6c00/d; +L_00000000027f6c70/d .functor XOR 1, L_00000000027f6c00, L_00000000027969c0, C4<0>, C4<0>; +L_00000000027f6c70 .delay 1 (20000,20000,20000) L_00000000027f6c70/d; +v000000000274a850_0 .net "a", 0 0, L_0000000002793e00; alias, 1 drivers +v000000000274a8f0_0 .net "anorb", 0 0, L_00000000027f6c00; 1 drivers +v00000000027548c0_0 .net "b", 0 0, L_0000000002793f40; alias, 1 drivers +v0000000002755900_0 .net "carryAND", 0 0, L_00000000027f6f80; alias, 1 drivers +v0000000002755400_0 .net "carryin", 0 0, L_0000000002797280; alias, 1 drivers +v0000000002754960_0 .net "i0", 0 0, L_00000000027969c0; alias, 1 drivers +v0000000002754320_0 .net "nab", 0 0, L_00000000027f66c0; alias, 1 drivers +v0000000002756300_0 .net "nac", 0 0, L_00000000027f6730; 1 drivers +v00000000027561c0_0 .net "nbc", 0 0, L_00000000027f7140; 1 drivers +v0000000002754820_0 .net "orNOR", 0 0, L_00000000027f6c70; alias, 1 drivers +v0000000002754460_0 .net "sumXOR", 0 0, L_00000000027f7b50; alias, 1 drivers +S_0000000002752680 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002753880; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1835,46 +1844,46 @@ S_0000000000eff0b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026be140/d .functor NOT 1, L_00000000026601c0, C4<0>, C4<0>, C4<0>; -L_00000000026be140 .delay 1 (10000,10000,10000) L_00000000026be140/d; -L_00000000026bdff0/d .functor NOT 1, L_0000000002661a20, C4<0>, C4<0>, C4<0>; -L_00000000026bdff0 .delay 1 (10000,10000,10000) L_00000000026bdff0/d; -L_00000000026bdb20/d .functor NAND 1, L_0000000002661a20, L_00000000026601c0, L_00000000026be4c0, C4<1>; -L_00000000026bdb20 .delay 1 (30000,30000,30000) L_00000000026bdb20/d; -L_00000000026bcfc0/d .functor NAND 1, L_0000000002661a20, L_00000000026be140, L_00000000026be290, C4<1>; -L_00000000026bcfc0 .delay 1 (30000,30000,30000) L_00000000026bcfc0/d; -L_00000000026bd6c0/d .functor NAND 1, L_00000000026bdff0, L_00000000026601c0, L_00000000026bd260, C4<1>; -L_00000000026bd6c0 .delay 1 (30000,30000,30000) L_00000000026bd6c0/d; -L_00000000026bd2d0/d .functor NAND 1, L_00000000026bdff0, L_00000000026be140, L_00000000026be220, C4<1>; -L_00000000026bd2d0 .delay 1 (30000,30000,30000) L_00000000026bd2d0/d; -L_00000000026bd030/d .functor NAND 1, L_00000000026bdb20, L_00000000026bcfc0, L_00000000026bd6c0, L_00000000026bd2d0; -L_00000000026bd030 .delay 1 (40000,40000,40000) L_00000000026bd030/d; -v0000000000f06f30_0 .net "a", 0 0, L_00000000026be4c0; alias, 1 drivers -v0000000000f07610_0 .net "aout", 0 0, L_00000000026bdb20; 1 drivers -v0000000000f07e30_0 .net "b", 0 0, L_00000000026be290; alias, 1 drivers -v0000000000f07ed0_0 .net "bout", 0 0, L_00000000026bcfc0; 1 drivers -v0000000000f065d0_0 .net "c", 0 0, L_00000000026bd260; alias, 1 drivers -v0000000000f06fd0_0 .net "cout", 0 0, L_00000000026bd6c0; 1 drivers -v0000000000f076b0_0 .net "d", 0 0, L_00000000026be220; alias, 1 drivers -v0000000000f07110_0 .net "dout", 0 0, L_00000000026bd2d0; 1 drivers -v0000000000f08150_0 .net "ns0", 0 0, L_00000000026be140; 1 drivers -v0000000000f06b70_0 .net "ns1", 0 0, L_00000000026bdff0; 1 drivers -v0000000000f08010_0 .net "out", 0 0, L_00000000026bd030; alias, 1 drivers -v0000000000f06670_0 .net "s0", 0 0, L_00000000026601c0; 1 drivers -v0000000000f06c10_0 .net "s1", 0 0, L_0000000002661a20; 1 drivers -S_0000000000f00a30 .scope generate, "aluBits[11]" "aluBits[11]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e39d00 .param/l "i" 0 4 49, +C4<01011>; -L_00000000026bd7a0/d .functor XOR 1, L_00000000026610c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026bd7a0 .delay 1 (20000,20000,20000) L_00000000026bd7a0/d; -L_00000000026bce70/d .functor AND 1, v0000000000e20d10_0, L_0000000002662240, C4<1>, C4<1>; -L_00000000026bce70 .delay 1 (30000,30000,30000) L_00000000026bce70/d; -L_00000000026bddc0/d .functor AND 1, L_0000000002663320, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026bddc0 .delay 1 (30000,30000,30000) L_00000000026bddc0/d; -v0000000000f08b50_0 .net *"_s1", 0 0, L_00000000026610c0; 1 drivers -v0000000000f08f10_0 .net *"_s3", 0 0, L_0000000002662240; 1 drivers -v0000000000f08ab0_0 .net *"_s9", 0 0, L_0000000002663320; 1 drivers -S_0000000000eff230 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f00a30; +L_00000000027f6570/d .functor NOT 1, L_0000000002792a00, C4<0>, C4<0>, C4<0>; +L_00000000027f6570 .delay 1 (10000,10000,10000) L_00000000027f6570/d; +L_00000000027f75a0/d .functor NOT 1, L_0000000002795020, C4<0>, C4<0>, C4<0>; +L_00000000027f75a0 .delay 1 (10000,10000,10000) L_00000000027f75a0/d; +L_00000000027f6ff0/d .functor NAND 1, L_0000000002795020, L_0000000002792a00, L_00000000027f7b50, C4<1>; +L_00000000027f6ff0 .delay 1 (30000,30000,30000) L_00000000027f6ff0/d; +L_00000000027f7060/d .functor NAND 1, L_0000000002795020, L_00000000027f6570, L_00000000027f6c70, C4<1>; +L_00000000027f7060 .delay 1 (30000,30000,30000) L_00000000027f7060/d; +L_00000000027f71b0/d .functor NAND 1, L_00000000027f75a0, L_0000000002792a00, L_00000000027f6f80, C4<1>; +L_00000000027f71b0 .delay 1 (30000,30000,30000) L_00000000027f71b0/d; +L_00000000027f7220/d .functor NAND 1, L_00000000027f75a0, L_00000000027f6570, L_00000000027f66c0, C4<1>; +L_00000000027f7220 .delay 1 (30000,30000,30000) L_00000000027f7220/d; +L_00000000027f7680/d .functor NAND 1, L_00000000027f6ff0, L_00000000027f7060, L_00000000027f71b0, L_00000000027f7220; +L_00000000027f7680 .delay 1 (40000,40000,40000) L_00000000027f7680/d; +v0000000002754780_0 .net "a", 0 0, L_00000000027f7b50; alias, 1 drivers +v0000000002755c20_0 .net "aout", 0 0, L_00000000027f6ff0; 1 drivers +v0000000002754640_0 .net "b", 0 0, L_00000000027f6c70; alias, 1 drivers +v00000000027540a0_0 .net "bout", 0 0, L_00000000027f7060; 1 drivers +v00000000027546e0_0 .net "c", 0 0, L_00000000027f6f80; alias, 1 drivers +v0000000002755040_0 .net "cout", 0 0, L_00000000027f71b0; 1 drivers +v0000000002756260_0 .net "d", 0 0, L_00000000027f66c0; alias, 1 drivers +v0000000002754a00_0 .net "dout", 0 0, L_00000000027f7220; 1 drivers +v0000000002754b40_0 .net "ns0", 0 0, L_00000000027f6570; 1 drivers +v0000000002754aa0_0 .net "ns1", 0 0, L_00000000027f75a0; 1 drivers +v0000000002754be0_0 .net "out", 0 0, L_00000000027f7680; alias, 1 drivers +v00000000027563a0_0 .net "s0", 0 0, L_0000000002792a00; 1 drivers +v0000000002756440_0 .net "s1", 0 0, L_0000000002795020; 1 drivers +S_0000000002753e80 .scope generate, "aluBits[11]" "aluBits[11]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f065a0 .param/l "i" 0 4 49, +C4<01011>; +L_00000000027f7450/d .functor XOR 1, L_0000000002795840, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027f7450 .delay 1 (20000,20000,20000) L_00000000027f7450/d; +L_00000000027f76f0/d .functor AND 1, v0000000000e67370_0, L_0000000002796880, C4<1>, C4<1>; +L_00000000027f76f0 .delay 1 (30000,30000,30000) L_00000000027f76f0/d; +L_00000000027f8090/d .functor AND 1, L_0000000002795b60, L_000000000282c280, C4<1>, C4<1>; +L_00000000027f8090 .delay 1 (30000,30000,30000) L_00000000027f8090/d; +v0000000002756b20_0 .net *"_s1", 0 0, L_0000000002795840; 1 drivers +v0000000002756d00_0 .net *"_s3", 0 0, L_0000000002796880; 1 drivers +v00000000027587e0_0 .net *"_s9", 0 0, L_0000000002795b60; 1 drivers +S_0000000002753a00 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002753e80; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1883,19 +1892,19 @@ S_0000000000eff230 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f06350_0 .net "a", 0 0, L_0000000002665080; 1 drivers -v0000000000f074d0_0 .net "b", 0 0, L_0000000002663780; 1 drivers -v0000000000f07d90_0 .net "carryAND", 0 0, L_00000000026bd650; 1 drivers -v0000000000f086f0_0 .net "cin", 0 0, L_00000000026640e0; 1 drivers -v0000000000f06530_0 .net "ctrl0", 0 0, L_0000000002663280; 1 drivers -v0000000000f067b0_0 .net "nab", 0 0, L_00000000026bd5e0; 1 drivers -v0000000000f06850_0 .net "orNOR", 0 0, L_00000000026bd880; 1 drivers -v0000000000f06e90_0 .net "res", 0 0, L_00000000026be0d0; 1 drivers -v0000000000f08c90_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f08e70_0 .net "sumXOR", 0 0, L_00000000026bd730; 1 drivers -L_0000000002662600 .part v0000000000e21990_0, 1, 1; -L_00000000026626a0 .part v0000000000e21990_0, 0, 1; -S_0000000000eff6b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff230; +v00000000027564e0_0 .net "a", 0 0, L_00000000027958e0; 1 drivers +v0000000002755f40_0 .net "b", 0 0, L_0000000002796240; 1 drivers +v0000000002755fe0_0 .net "carryAND", 0 0, L_00000000027f77d0; 1 drivers +v0000000002756580_0 .net "cin", 0 0, L_0000000002795a20; 1 drivers +v0000000002756760_0 .net "ctrl0", 0 0, L_0000000002795ac0; 1 drivers +v0000000002758ce0_0 .net "nab", 0 0, L_00000000027f7920; 1 drivers +v0000000002758ec0_0 .net "orNOR", 0 0, L_00000000027f7bc0; 1 drivers +v0000000002757d40_0 .net "res", 0 0, L_00000000027f7fb0; 1 drivers +v00000000027584c0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002758740_0 .net "sumXOR", 0 0, L_00000000027f6810; 1 drivers +L_0000000002795980 .part v0000000000e625f0_0, 1, 1; +L_00000000027961a0 .part v0000000000e625f0_0, 0, 1; +S_0000000002753280 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002753a00; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -1905,32 +1914,32 @@ S_0000000000eff6b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff23 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026bd5e0/d .functor NAND 1, L_0000000002665080, L_0000000002663780, C4<1>, C4<1>; -L_00000000026bd5e0 .delay 1 (20000,20000,20000) L_00000000026bd5e0/d; -L_00000000026bd180/d .functor NAND 1, L_0000000002663780, L_00000000026640e0, C4<1>, C4<1>; -L_00000000026bd180 .delay 1 (20000,20000,20000) L_00000000026bd180/d; -L_00000000026bd340/d .functor NAND 1, L_0000000002665080, L_00000000026640e0, C4<1>, C4<1>; -L_00000000026bd340 .delay 1 (20000,20000,20000) L_00000000026bd340/d; -L_00000000026bd650/d .functor NAND 1, L_00000000026bd5e0, L_00000000026bd340, L_00000000026bd180, C4<1>; -L_00000000026bd650 .delay 1 (30000,30000,30000) L_00000000026bd650/d; -L_00000000026bd730/d .functor XOR 1, L_0000000002665080, L_0000000002663780, L_00000000026640e0, C4<0>; -L_00000000026bd730 .delay 1 (30000,30000,30000) L_00000000026bd730/d; -L_00000000026bd810/d .functor NOR 1, L_0000000002665080, L_0000000002663780, C4<0>, C4<0>; -L_00000000026bd810 .delay 1 (20000,20000,20000) L_00000000026bd810/d; -L_00000000026bd880/d .functor XOR 1, L_00000000026bd810, L_0000000002663280, C4<0>, C4<0>; -L_00000000026bd880 .delay 1 (20000,20000,20000) L_00000000026bd880/d; -v0000000000f06a30_0 .net "a", 0 0, L_0000000002665080; alias, 1 drivers -v0000000000f07250_0 .net "anorb", 0 0, L_00000000026bd810; 1 drivers -v0000000000f063f0_0 .net "b", 0 0, L_0000000002663780; alias, 1 drivers -v0000000000f079d0_0 .net "carryAND", 0 0, L_00000000026bd650; alias, 1 drivers -v0000000000f06170_0 .net "carryin", 0 0, L_00000000026640e0; alias, 1 drivers -v0000000000f08470_0 .net "i0", 0 0, L_0000000002663280; alias, 1 drivers -v0000000000f08290_0 .net "nab", 0 0, L_00000000026bd5e0; alias, 1 drivers -v0000000000f07a70_0 .net "nac", 0 0, L_00000000026bd340; 1 drivers -v0000000000f06ad0_0 .net "nbc", 0 0, L_00000000026bd180; 1 drivers -v0000000000f08330_0 .net "orNOR", 0 0, L_00000000026bd880; alias, 1 drivers -v0000000000f07b10_0 .net "sumXOR", 0 0, L_00000000026bd730; alias, 1 drivers -S_0000000000efffb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000eff230; +L_00000000027f7920/d .functor NAND 1, L_00000000027958e0, L_0000000002796240, C4<1>, C4<1>; +L_00000000027f7920 .delay 1 (20000,20000,20000) L_00000000027f7920/d; +L_00000000027f67a0/d .functor NAND 1, L_0000000002796240, L_0000000002795a20, C4<1>, C4<1>; +L_00000000027f67a0 .delay 1 (20000,20000,20000) L_00000000027f67a0/d; +L_00000000027f7760/d .functor NAND 1, L_00000000027958e0, L_0000000002795a20, C4<1>, C4<1>; +L_00000000027f7760 .delay 1 (20000,20000,20000) L_00000000027f7760/d; +L_00000000027f77d0/d .functor NAND 1, L_00000000027f7920, L_00000000027f7760, L_00000000027f67a0, C4<1>; +L_00000000027f77d0 .delay 1 (30000,30000,30000) L_00000000027f77d0/d; +L_00000000027f6810/d .functor XOR 1, L_00000000027958e0, L_0000000002796240, L_0000000002795a20, C4<0>; +L_00000000027f6810 .delay 1 (30000,30000,30000) L_00000000027f6810/d; +L_00000000027f7a70/d .functor NOR 1, L_00000000027958e0, L_0000000002796240, C4<0>, C4<0>; +L_00000000027f7a70 .delay 1 (20000,20000,20000) L_00000000027f7a70/d; +L_00000000027f7bc0/d .functor XOR 1, L_00000000027f7a70, L_0000000002795ac0, C4<0>, C4<0>; +L_00000000027f7bc0 .delay 1 (20000,20000,20000) L_00000000027f7bc0/d; +v0000000002756120_0 .net "a", 0 0, L_00000000027958e0; alias, 1 drivers +v0000000002755360_0 .net "anorb", 0 0, L_00000000027f7a70; 1 drivers +v0000000002755680_0 .net "b", 0 0, L_0000000002796240; alias, 1 drivers +v0000000002756800_0 .net "carryAND", 0 0, L_00000000027f77d0; alias, 1 drivers +v0000000002754fa0_0 .net "carryin", 0 0, L_0000000002795a20; alias, 1 drivers +v0000000002755180_0 .net "i0", 0 0, L_0000000002795ac0; alias, 1 drivers +v0000000002755ea0_0 .net "nab", 0 0, L_00000000027f7920; alias, 1 drivers +v0000000002755220_0 .net "nac", 0 0, L_00000000027f7760; 1 drivers +v0000000002755720_0 .net "nbc", 0 0, L_00000000027f67a0; 1 drivers +v0000000002755540_0 .net "orNOR", 0 0, L_00000000027f7bc0; alias, 1 drivers +v00000000027555e0_0 .net "sumXOR", 0 0, L_00000000027f6810; alias, 1 drivers +S_0000000002753d00 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002753a00; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -1939,46 +1948,46 @@ S_0000000000efffb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026bda40/d .functor NOT 1, L_00000000026626a0, C4<0>, C4<0>, C4<0>; -L_00000000026bda40 .delay 1 (10000,10000,10000) L_00000000026bda40/d; -L_00000000026bd8f0/d .functor NOT 1, L_0000000002662600, C4<0>, C4<0>, C4<0>; -L_00000000026bd8f0 .delay 1 (10000,10000,10000) L_00000000026bd8f0/d; -L_00000000026bdea0/d .functor NAND 1, L_0000000002662600, L_00000000026626a0, L_00000000026bd730, C4<1>; -L_00000000026bdea0 .delay 1 (30000,30000,30000) L_00000000026bdea0/d; -L_00000000026bd960/d .functor NAND 1, L_0000000002662600, L_00000000026bda40, L_00000000026bd880, C4<1>; -L_00000000026bd960 .delay 1 (30000,30000,30000) L_00000000026bd960/d; -L_00000000026bdc00/d .functor NAND 1, L_00000000026bd8f0, L_00000000026626a0, L_00000000026bd650, C4<1>; -L_00000000026bdc00 .delay 1 (30000,30000,30000) L_00000000026bdc00/d; -L_00000000026bdc70/d .functor NAND 1, L_00000000026bd8f0, L_00000000026bda40, L_00000000026bd5e0, C4<1>; -L_00000000026bdc70 .delay 1 (30000,30000,30000) L_00000000026bdc70/d; -L_00000000026be0d0/d .functor NAND 1, L_00000000026bdea0, L_00000000026bd960, L_00000000026bdc00, L_00000000026bdc70; -L_00000000026be0d0 .delay 1 (40000,40000,40000) L_00000000026be0d0/d; -v0000000000f07bb0_0 .net "a", 0 0, L_00000000026bd730; alias, 1 drivers -v0000000000f07390_0 .net "aout", 0 0, L_00000000026bdea0; 1 drivers -v0000000000f07430_0 .net "b", 0 0, L_00000000026bd880; alias, 1 drivers -v0000000000f07070_0 .net "bout", 0 0, L_00000000026bd960; 1 drivers -v0000000000f07c50_0 .net "c", 0 0, L_00000000026bd650; alias, 1 drivers -v0000000000f08650_0 .net "cout", 0 0, L_00000000026bdc00; 1 drivers -v0000000000f062b0_0 .net "d", 0 0, L_00000000026bd5e0; alias, 1 drivers -v0000000000f06cb0_0 .net "dout", 0 0, L_00000000026bdc70; 1 drivers -v0000000000f07cf0_0 .net "ns0", 0 0, L_00000000026bda40; 1 drivers -v0000000000f06490_0 .net "ns1", 0 0, L_00000000026bd8f0; 1 drivers -v0000000000f08510_0 .net "out", 0 0, L_00000000026be0d0; alias, 1 drivers -v0000000000f085b0_0 .net "s0", 0 0, L_00000000026626a0; 1 drivers -v0000000000f072f0_0 .net "s1", 0 0, L_0000000002662600; 1 drivers -S_0000000000eff530 .scope generate, "aluBits[12]" "aluBits[12]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e3a540 .param/l "i" 0 4 49, +C4<01100>; -L_00000000026bde30/d .functor XOR 1, L_0000000002664d60, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026bde30 .delay 1 (20000,20000,20000) L_00000000026bde30/d; -L_00000000026bdf10/d .functor AND 1, v0000000000e20d10_0, L_0000000002664cc0, C4<1>, C4<1>; -L_00000000026bdf10 .delay 1 (30000,30000,30000) L_00000000026bdf10/d; -L_00000000026c74b0/d .functor AND 1, L_00000000026629c0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026c74b0 .delay 1 (30000,30000,30000) L_00000000026c74b0/d; -v0000000000f01a30_0 .net *"_s1", 0 0, L_0000000002664d60; 1 drivers -v0000000000f02bb0_0 .net *"_s3", 0 0, L_0000000002664cc0; 1 drivers -v0000000000f03330_0 .net *"_s9", 0 0, L_00000000026629c0; 1 drivers -S_0000000000eff830 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000eff530; +L_00000000027f7c30/d .functor NOT 1, L_00000000027961a0, C4<0>, C4<0>, C4<0>; +L_00000000027f7c30 .delay 1 (10000,10000,10000) L_00000000027f7c30/d; +L_00000000027f61f0/d .functor NOT 1, L_0000000002795980, C4<0>, C4<0>, C4<0>; +L_00000000027f61f0 .delay 1 (10000,10000,10000) L_00000000027f61f0/d; +L_00000000027f7ca0/d .functor NAND 1, L_0000000002795980, L_00000000027961a0, L_00000000027f6810, C4<1>; +L_00000000027f7ca0 .delay 1 (30000,30000,30000) L_00000000027f7ca0/d; +L_00000000027f6260/d .functor NAND 1, L_0000000002795980, L_00000000027f7c30, L_00000000027f7bc0, C4<1>; +L_00000000027f6260 .delay 1 (30000,30000,30000) L_00000000027f6260/d; +L_00000000027f63b0/d .functor NAND 1, L_00000000027f61f0, L_00000000027961a0, L_00000000027f77d0, C4<1>; +L_00000000027f63b0 .delay 1 (30000,30000,30000) L_00000000027f63b0/d; +L_00000000027f7f40/d .functor NAND 1, L_00000000027f61f0, L_00000000027f7c30, L_00000000027f7920, C4<1>; +L_00000000027f7f40 .delay 1 (30000,30000,30000) L_00000000027f7f40/d; +L_00000000027f7fb0/d .functor NAND 1, L_00000000027f7ca0, L_00000000027f6260, L_00000000027f63b0, L_00000000027f7f40; +L_00000000027f7fb0 .delay 1 (40000,40000,40000) L_00000000027f7fb0/d; +v00000000027557c0_0 .net "a", 0 0, L_00000000027f6810; alias, 1 drivers +v0000000002755a40_0 .net "aout", 0 0, L_00000000027f7ca0; 1 drivers +v0000000002755ae0_0 .net "b", 0 0, L_00000000027f7bc0; alias, 1 drivers +v0000000002754500_0 .net "bout", 0 0, L_00000000027f6260; 1 drivers +v0000000002754140_0 .net "c", 0 0, L_00000000027f77d0; alias, 1 drivers +v0000000002756620_0 .net "cout", 0 0, L_00000000027f63b0; 1 drivers +v0000000002754280_0 .net "d", 0 0, L_00000000027f7920; alias, 1 drivers +v0000000002755b80_0 .net "dout", 0 0, L_00000000027f7f40; 1 drivers +v00000000027541e0_0 .net "ns0", 0 0, L_00000000027f7c30; 1 drivers +v00000000027545a0_0 .net "ns1", 0 0, L_00000000027f61f0; 1 drivers +v0000000002755cc0_0 .net "out", 0 0, L_00000000027f7fb0; alias, 1 drivers +v0000000002755d60_0 .net "s0", 0 0, L_00000000027961a0; 1 drivers +v0000000002755e00_0 .net "s1", 0 0, L_0000000002795980; 1 drivers +S_0000000002752080 .scope generate, "aluBits[12]" "aluBits[12]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05fa0 .param/l "i" 0 4 49, +C4<01100>; +L_00000000027f7df0/d .functor XOR 1, L_0000000002796100, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000027f7df0 .delay 1 (20000,20000,20000) L_00000000027f7df0/d; +L_00000000027f8020/d .functor AND 1, v0000000000e67370_0, L_0000000002796560, C4<1>, C4<1>; +L_00000000027f8020 .delay 1 (30000,30000,30000) L_00000000027f8020/d; +L_0000000002800670/d .functor AND 1, L_0000000002795c00, L_000000000282c280, C4<1>, C4<1>; +L_0000000002800670 .delay 1 (30000,30000,30000) L_0000000002800670/d; +v0000000002758c40_0 .net *"_s1", 0 0, L_0000000002796100; 1 drivers +v0000000002756c60_0 .net *"_s3", 0 0, L_0000000002796560; 1 drivers +v0000000002759000_0 .net *"_s9", 0 0, L_0000000002795c00; 1 drivers +S_0000000002753b80 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002752080; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -1987,19 +1996,19 @@ S_0000000000eff830 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f02570_0 .net "a", 0 0, L_0000000002664ea0; 1 drivers -v0000000000f03830_0 .net "b", 0 0, L_00000000026649a0; 1 drivers -v0000000000f010d0_0 .net "carryAND", 0 0, L_00000000026be450; 1 drivers -v0000000000f012b0_0 .net "cin", 0 0, L_0000000002662e20; 1 drivers -v0000000000f018f0_0 .net "ctrl0", 0 0, L_00000000026638c0; 1 drivers -v0000000000f01170_0 .net "nab", 0 0, L_00000000026be060; 1 drivers -v0000000000f013f0_0 .net "orNOR", 0 0, L_00000000026c6a30; 1 drivers -v0000000000f02610_0 .net "res", 0 0, L_00000000026c7fa0; 1 drivers -v0000000000f01ad0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f01490_0 .net "sumXOR", 0 0, L_00000000026c6cd0; 1 drivers -L_0000000002663960 .part v0000000000e21990_0, 1, 1; -L_0000000002662c40 .part v0000000000e21990_0, 0, 1; -S_0000000000eff3b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff830; +v0000000002757700_0 .net "a", 0 0, L_00000000027962e0; 1 drivers +v00000000027572a0_0 .net "b", 0 0, L_0000000002795de0; 1 drivers +v0000000002758920_0 .net "carryAND", 0 0, L_00000000028018d0; 1 drivers +v0000000002757b60_0 .net "cin", 0 0, L_0000000002795200; 1 drivers +v0000000002756bc0_0 .net "ctrl0", 0 0, L_0000000002796d80; 1 drivers +v0000000002758a60_0 .net "nab", 0 0, L_00000000027f7e60; 1 drivers +v0000000002757200_0 .net "orNOR", 0 0, L_0000000002800fa0; 1 drivers +v0000000002758ba0_0 .net "res", 0 0, L_0000000002801be0; 1 drivers +v0000000002757520_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v00000000027589c0_0 .net "sumXOR", 0 0, L_00000000028003d0; 1 drivers +L_0000000002796420 .part v0000000000e625f0_0, 1, 1; +L_0000000002796ce0 .part v0000000000e625f0_0, 0, 1; +S_0000000002752380 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002753b80; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2009,32 +2018,32 @@ S_0000000000eff3b0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000eff83 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026be060/d .functor NAND 1, L_0000000002664ea0, L_00000000026649a0, C4<1>, C4<1>; -L_00000000026be060 .delay 1 (20000,20000,20000) L_00000000026be060/d; -L_00000000026be1b0/d .functor NAND 1, L_00000000026649a0, L_0000000002662e20, C4<1>, C4<1>; -L_00000000026be1b0 .delay 1 (20000,20000,20000) L_00000000026be1b0/d; -L_00000000026be300/d .functor NAND 1, L_0000000002664ea0, L_0000000002662e20, C4<1>, C4<1>; -L_00000000026be300 .delay 1 (20000,20000,20000) L_00000000026be300/d; -L_00000000026be450/d .functor NAND 1, L_00000000026be060, L_00000000026be300, L_00000000026be1b0, C4<1>; -L_00000000026be450 .delay 1 (30000,30000,30000) L_00000000026be450/d; -L_00000000026c6cd0/d .functor XOR 1, L_0000000002664ea0, L_00000000026649a0, L_0000000002662e20, C4<0>; -L_00000000026c6cd0 .delay 1 (30000,30000,30000) L_00000000026c6cd0/d; -L_00000000026c70c0/d .functor NOR 1, L_0000000002664ea0, L_00000000026649a0, C4<0>, C4<0>; -L_00000000026c70c0 .delay 1 (20000,20000,20000) L_00000000026c70c0/d; -L_00000000026c6a30/d .functor XOR 1, L_00000000026c70c0, L_00000000026638c0, C4<0>, C4<0>; -L_00000000026c6a30 .delay 1 (20000,20000,20000) L_00000000026c6a30/d; -v0000000000f088d0_0 .net "a", 0 0, L_0000000002664ea0; alias, 1 drivers -v0000000000f08dd0_0 .net "anorb", 0 0, L_00000000026c70c0; 1 drivers -v0000000000f08970_0 .net "b", 0 0, L_00000000026649a0; alias, 1 drivers -v0000000000f08fb0_0 .net "carryAND", 0 0, L_00000000026be450; alias, 1 drivers -v0000000000f08d30_0 .net "carryin", 0 0, L_0000000002662e20; alias, 1 drivers -v0000000000f08a10_0 .net "i0", 0 0, L_00000000026638c0; alias, 1 drivers -v0000000000f08bf0_0 .net "nab", 0 0, L_00000000026be060; alias, 1 drivers -v0000000000f03470_0 .net "nac", 0 0, L_00000000026be300; 1 drivers -v0000000000f02e30_0 .net "nbc", 0 0, L_00000000026be1b0; 1 drivers -v0000000000f03290_0 .net "orNOR", 0 0, L_00000000026c6a30; alias, 1 drivers -v0000000000f01fd0_0 .net "sumXOR", 0 0, L_00000000026c6cd0; alias, 1 drivers -S_0000000000eff9b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000eff830; +L_00000000027f7e60/d .functor NAND 1, L_00000000027962e0, L_0000000002795de0, C4<1>, C4<1>; +L_00000000027f7e60 .delay 1 (20000,20000,20000) L_00000000027f7e60/d; +L_00000000027f7ed0/d .functor NAND 1, L_0000000002795de0, L_0000000002795200, C4<1>, C4<1>; +L_00000000027f7ed0 .delay 1 (20000,20000,20000) L_00000000027f7ed0/d; +L_00000000027f7d80/d .functor NAND 1, L_00000000027962e0, L_0000000002795200, C4<1>, C4<1>; +L_00000000027f7d80 .delay 1 (20000,20000,20000) L_00000000027f7d80/d; +L_00000000028018d0/d .functor NAND 1, L_00000000027f7e60, L_00000000027f7d80, L_00000000027f7ed0, C4<1>; +L_00000000028018d0 .delay 1 (30000,30000,30000) L_00000000028018d0/d; +L_00000000028003d0/d .functor XOR 1, L_00000000027962e0, L_0000000002795de0, L_0000000002795200, C4<0>; +L_00000000028003d0 .delay 1 (30000,30000,30000) L_00000000028003d0/d; +L_0000000002800b40/d .functor NOR 1, L_00000000027962e0, L_0000000002795de0, C4<0>, C4<0>; +L_0000000002800b40 .delay 1 (20000,20000,20000) L_0000000002800b40/d; +L_0000000002800fa0/d .functor XOR 1, L_0000000002800b40, L_0000000002796d80, C4<0>, C4<0>; +L_0000000002800fa0 .delay 1 (20000,20000,20000) L_0000000002800fa0/d; +v00000000027578e0_0 .net "a", 0 0, L_00000000027962e0; alias, 1 drivers +v0000000002757020_0 .net "anorb", 0 0, L_0000000002800b40; 1 drivers +v00000000027570c0_0 .net "b", 0 0, L_0000000002795de0; alias, 1 drivers +v0000000002758d80_0 .net "carryAND", 0 0, L_00000000028018d0; alias, 1 drivers +v0000000002756f80_0 .net "carryin", 0 0, L_0000000002795200; alias, 1 drivers +v0000000002758420_0 .net "i0", 0 0, L_0000000002796d80; alias, 1 drivers +v0000000002758560_0 .net "nab", 0 0, L_00000000027f7e60; alias, 1 drivers +v00000000027575c0_0 .net "nac", 0 0, L_00000000027f7d80; 1 drivers +v00000000027568a0_0 .net "nbc", 0 0, L_00000000027f7ed0; 1 drivers +v0000000002758e20_0 .net "orNOR", 0 0, L_0000000002800fa0; alias, 1 drivers +v0000000002757840_0 .net "sumXOR", 0 0, L_00000000028003d0; alias, 1 drivers +S_0000000002752500 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002753b80; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2043,46 +2052,46 @@ S_0000000000eff9b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026c7830/d .functor NOT 1, L_0000000002662c40, C4<0>, C4<0>, C4<0>; -L_00000000026c7830 .delay 1 (10000,10000,10000) L_00000000026c7830/d; -L_00000000026c84e0/d .functor NOT 1, L_0000000002663960, C4<0>, C4<0>, C4<0>; -L_00000000026c84e0 .delay 1 (10000,10000,10000) L_00000000026c84e0/d; -L_00000000026c8470/d .functor NAND 1, L_0000000002663960, L_0000000002662c40, L_00000000026c6cd0, C4<1>; -L_00000000026c8470 .delay 1 (30000,30000,30000) L_00000000026c8470/d; -L_00000000026c82b0/d .functor NAND 1, L_0000000002663960, L_00000000026c7830, L_00000000026c6a30, C4<1>; -L_00000000026c82b0 .delay 1 (30000,30000,30000) L_00000000026c82b0/d; -L_00000000026c6f70/d .functor NAND 1, L_00000000026c84e0, L_0000000002662c40, L_00000000026be450, C4<1>; -L_00000000026c6f70 .delay 1 (30000,30000,30000) L_00000000026c6f70/d; -L_00000000026c7ad0/d .functor NAND 1, L_00000000026c84e0, L_00000000026c7830, L_00000000026be060, C4<1>; -L_00000000026c7ad0 .delay 1 (30000,30000,30000) L_00000000026c7ad0/d; -L_00000000026c7fa0/d .functor NAND 1, L_00000000026c8470, L_00000000026c82b0, L_00000000026c6f70, L_00000000026c7ad0; -L_00000000026c7fa0 .delay 1 (40000,40000,40000) L_00000000026c7fa0/d; -v0000000000f01c10_0 .net "a", 0 0, L_00000000026c6cd0; alias, 1 drivers -v0000000000f02070_0 .net "aout", 0 0, L_00000000026c8470; 1 drivers -v0000000000f01350_0 .net "b", 0 0, L_00000000026c6a30; alias, 1 drivers -v0000000000f01990_0 .net "bout", 0 0, L_00000000026c82b0; 1 drivers -v0000000000f03790_0 .net "c", 0 0, L_00000000026be450; alias, 1 drivers -v0000000000f024d0_0 .net "cout", 0 0, L_00000000026c6f70; 1 drivers -v0000000000f01670_0 .net "d", 0 0, L_00000000026be060; alias, 1 drivers -v0000000000f01d50_0 .net "dout", 0 0, L_00000000026c7ad0; 1 drivers -v0000000000f01df0_0 .net "ns0", 0 0, L_00000000026c7830; 1 drivers -v0000000000f03150_0 .net "ns1", 0 0, L_00000000026c84e0; 1 drivers -v0000000000f021b0_0 .net "out", 0 0, L_00000000026c7fa0; alias, 1 drivers -v0000000000f02930_0 .net "s0", 0 0, L_0000000002662c40; 1 drivers -v0000000000f01210_0 .net "s1", 0 0, L_0000000002663960; 1 drivers -S_0000000000f00430 .scope generate, "aluBits[13]" "aluBits[13]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000e3ae40 .param/l "i" 0 4 49, +C4<01101>; -L_00000000026c7d70/d .functor XOR 1, L_00000000026633c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026c7d70 .delay 1 (20000,20000,20000) L_00000000026c7d70/d; -L_00000000026c7910/d .functor AND 1, v0000000000e20d10_0, L_0000000002664040, C4<1>, C4<1>; -L_00000000026c7910 .delay 1 (30000,30000,30000) L_00000000026c7910/d; -L_00000000026c6d40/d .functor AND 1, L_0000000002664a40, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026c6d40 .delay 1 (30000,30000,30000) L_00000000026c6d40/d; -v0000000000f03bf0_0 .net *"_s1", 0 0, L_00000000026633c0; 1 drivers -v0000000000f04eb0_0 .net *"_s3", 0 0, L_0000000002664040; 1 drivers -v0000000000f05d10_0 .net *"_s9", 0 0, L_0000000002664a40; 1 drivers -S_0000000000f00130 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f00430; +L_0000000002801c50/d .functor NOT 1, L_0000000002796ce0, C4<0>, C4<0>, C4<0>; +L_0000000002801c50 .delay 1 (10000,10000,10000) L_0000000002801c50/d; +L_0000000002801940/d .functor NOT 1, L_0000000002796420, C4<0>, C4<0>, C4<0>; +L_0000000002801940 .delay 1 (10000,10000,10000) L_0000000002801940/d; +L_0000000002801710/d .functor NAND 1, L_0000000002796420, L_0000000002796ce0, L_00000000028003d0, C4<1>; +L_0000000002801710 .delay 1 (30000,30000,30000) L_0000000002801710/d; +L_0000000002801d30/d .functor NAND 1, L_0000000002796420, L_0000000002801c50, L_0000000002800fa0, C4<1>; +L_0000000002801d30 .delay 1 (30000,30000,30000) L_0000000002801d30/d; +L_0000000002800f30/d .functor NAND 1, L_0000000002801940, L_0000000002796ce0, L_00000000028018d0, C4<1>; +L_0000000002800f30 .delay 1 (30000,30000,30000) L_0000000002800f30/d; +L_0000000002801390/d .functor NAND 1, L_0000000002801940, L_0000000002801c50, L_00000000027f7e60, C4<1>; +L_0000000002801390 .delay 1 (30000,30000,30000) L_0000000002801390/d; +L_0000000002801be0/d .functor NAND 1, L_0000000002801710, L_0000000002801d30, L_0000000002800f30, L_0000000002801390; +L_0000000002801be0 .delay 1 (40000,40000,40000) L_0000000002801be0/d; +v0000000002758600_0 .net "a", 0 0, L_00000000028003d0; alias, 1 drivers +v0000000002757340_0 .net "aout", 0 0, L_0000000002801710; 1 drivers +v00000000027581a0_0 .net "b", 0 0, L_0000000002800fa0; alias, 1 drivers +v0000000002757160_0 .net "bout", 0 0, L_0000000002801d30; 1 drivers +v0000000002758b00_0 .net "c", 0 0, L_00000000028018d0; alias, 1 drivers +v0000000002757c00_0 .net "cout", 0 0, L_0000000002800f30; 1 drivers +v0000000002757660_0 .net "d", 0 0, L_00000000027f7e60; alias, 1 drivers +v00000000027573e0_0 .net "dout", 0 0, L_0000000002801390; 1 drivers +v0000000002757980_0 .net "ns0", 0 0, L_0000000002801c50; 1 drivers +v0000000002758880_0 .net "ns1", 0 0, L_0000000002801940; 1 drivers +v0000000002758060_0 .net "out", 0 0, L_0000000002801be0; alias, 1 drivers +v0000000002758f60_0 .net "s0", 0 0, L_0000000002796ce0; 1 drivers +v0000000002758240_0 .net "s1", 0 0, L_0000000002796420; 1 drivers +S_0000000002753700 .scope generate, "aluBits[13]" "aluBits[13]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06aa0 .param/l "i" 0 4 49, +C4<01101>; +L_0000000002800520/d .functor XOR 1, L_0000000002795f20, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002800520 .delay 1 (20000,20000,20000) L_0000000002800520/d; +L_0000000002800590/d .functor AND 1, v0000000000e67370_0, L_0000000002795660, C4<1>, C4<1>; +L_0000000002800590 .delay 1 (30000,30000,30000) L_0000000002800590/d; +L_0000000002800440/d .functor AND 1, L_0000000002797640, L_000000000282c280, C4<1>, C4<1>; +L_0000000002800440 .delay 1 (30000,30000,30000) L_0000000002800440/d; +v000000000275a0e0_0 .net *"_s1", 0 0, L_0000000002795f20; 1 drivers +v000000000275a180_0 .net *"_s3", 0 0, L_0000000002795660; 1 drivers +v000000000275a360_0 .net *"_s9", 0 0, L_0000000002797640; 1 drivers +S_0000000002753400 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002753700; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2091,19 +2100,19 @@ S_0000000000f00130 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f01f30_0 .net "a", 0 0, L_00000000026636e0; 1 drivers -v0000000000f029d0_0 .net "b", 0 0, L_0000000002663c80; 1 drivers -v0000000000f03510_0 .net "carryAND", 0 0, L_00000000026c6fe0; 1 drivers -v0000000000f02a70_0 .net "cin", 0 0, L_0000000002664b80; 1 drivers -v0000000000f02b10_0 .net "ctrl0", 0 0, L_0000000002664680; 1 drivers -v0000000000f02c50_0 .net "nab", 0 0, L_00000000026c78a0; 1 drivers -v0000000000f02cf0_0 .net "orNOR", 0 0, L_00000000026c76e0; 1 drivers -v0000000000f02d90_0 .net "res", 0 0, L_00000000026c7ec0; 1 drivers -v0000000000f02f70_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f03010_0 .net "sumXOR", 0 0, L_00000000026c7590; 1 drivers -L_0000000002663a00 .part v0000000000e21990_0, 1, 1; -L_0000000002662d80 .part v0000000000e21990_0, 0, 1; -S_0000000000f00d30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f00130; +v0000000002759a00_0 .net "a", 0 0, L_0000000002795480; 1 drivers +v0000000002759320_0 .net "b", 0 0, L_0000000002796380; 1 drivers +v000000000275af40_0 .net "carryAND", 0 0, L_0000000002801a90; 1 drivers +v00000000027598c0_0 .net "cin", 0 0, L_0000000002796e20; 1 drivers +v000000000275afe0_0 .net "ctrl0", 0 0, L_0000000002797460; 1 drivers +v000000000275a400_0 .net "nab", 0 0, L_0000000002800c20; 1 drivers +v00000000027595a0_0 .net "orNOR", 0 0, L_00000000028019b0; 1 drivers +v0000000002759820_0 .net "res", 0 0, L_00000000028010f0; 1 drivers +v000000000275b760_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000275b1c0_0 .net "sumXOR", 0 0, L_0000000002801780; 1 drivers +L_0000000002796a60 .part v0000000000e625f0_0, 1, 1; +L_00000000027975a0 .part v0000000000e625f0_0, 0, 1; +S_0000000002752e00 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002753400; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2113,32 +2122,32 @@ S_0000000000f00d30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0013 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026c78a0/d .functor NAND 1, L_00000000026636e0, L_0000000002663c80, C4<1>, C4<1>; -L_00000000026c78a0 .delay 1 (20000,20000,20000) L_00000000026c78a0/d; -L_00000000026c6e90/d .functor NAND 1, L_0000000002663c80, L_0000000002664b80, C4<1>, C4<1>; -L_00000000026c6e90 .delay 1 (20000,20000,20000) L_00000000026c6e90/d; -L_00000000026c7d00/d .functor NAND 1, L_00000000026636e0, L_0000000002664b80, C4<1>, C4<1>; -L_00000000026c7d00 .delay 1 (20000,20000,20000) L_00000000026c7d00/d; -L_00000000026c6fe0/d .functor NAND 1, L_00000000026c78a0, L_00000000026c7d00, L_00000000026c6e90, C4<1>; -L_00000000026c6fe0 .delay 1 (30000,30000,30000) L_00000000026c6fe0/d; -L_00000000026c7590/d .functor XOR 1, L_00000000026636e0, L_0000000002663c80, L_0000000002664b80, C4<0>; -L_00000000026c7590 .delay 1 (30000,30000,30000) L_00000000026c7590/d; -L_00000000026c6e20/d .functor NOR 1, L_00000000026636e0, L_0000000002663c80, C4<0>, C4<0>; -L_00000000026c6e20 .delay 1 (20000,20000,20000) L_00000000026c6e20/d; -L_00000000026c76e0/d .functor XOR 1, L_00000000026c6e20, L_0000000002664680, C4<0>, C4<0>; -L_00000000026c76e0 .delay 1 (20000,20000,20000) L_00000000026c76e0/d; -v0000000000f01530_0 .net "a", 0 0, L_00000000026636e0; alias, 1 drivers -v0000000000f03650_0 .net "anorb", 0 0, L_00000000026c6e20; 1 drivers -v0000000000f02110_0 .net "b", 0 0, L_0000000002663c80; alias, 1 drivers -v0000000000f035b0_0 .net "carryAND", 0 0, L_00000000026c6fe0; alias, 1 drivers -v0000000000f027f0_0 .net "carryin", 0 0, L_0000000002664b80; alias, 1 drivers -v0000000000f02390_0 .net "i0", 0 0, L_0000000002664680; alias, 1 drivers -v0000000000f030b0_0 .net "nab", 0 0, L_00000000026c78a0; alias, 1 drivers -v0000000000f02250_0 .net "nac", 0 0, L_00000000026c7d00; 1 drivers -v0000000000f022f0_0 .net "nbc", 0 0, L_00000000026c6e90; 1 drivers -v0000000000f026b0_0 .net "orNOR", 0 0, L_00000000026c76e0; alias, 1 drivers -v0000000000f036f0_0 .net "sumXOR", 0 0, L_00000000026c7590; alias, 1 drivers -S_0000000000effb30 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f00130; +L_0000000002800c20/d .functor NAND 1, L_0000000002795480, L_0000000002796380, C4<1>, C4<1>; +L_0000000002800c20 .delay 1 (20000,20000,20000) L_0000000002800c20/d; +L_00000000028012b0/d .functor NAND 1, L_0000000002796380, L_0000000002796e20, C4<1>, C4<1>; +L_00000000028012b0 .delay 1 (20000,20000,20000) L_00000000028012b0/d; +L_0000000002801860/d .functor NAND 1, L_0000000002795480, L_0000000002796e20, C4<1>, C4<1>; +L_0000000002801860 .delay 1 (20000,20000,20000) L_0000000002801860/d; +L_0000000002801a90/d .functor NAND 1, L_0000000002800c20, L_0000000002801860, L_00000000028012b0, C4<1>; +L_0000000002801a90 .delay 1 (30000,30000,30000) L_0000000002801a90/d; +L_0000000002801780/d .functor XOR 1, L_0000000002795480, L_0000000002796380, L_0000000002796e20, C4<0>; +L_0000000002801780 .delay 1 (30000,30000,30000) L_0000000002801780/d; +L_00000000028001a0/d .functor NOR 1, L_0000000002795480, L_0000000002796380, C4<0>, C4<0>; +L_00000000028001a0 .delay 1 (20000,20000,20000) L_00000000028001a0/d; +L_00000000028019b0/d .functor XOR 1, L_00000000028001a0, L_0000000002797460, C4<0>, C4<0>; +L_00000000028019b0 .delay 1 (20000,20000,20000) L_00000000028019b0/d; +v0000000002757480_0 .net "a", 0 0, L_0000000002795480; alias, 1 drivers +v0000000002756940_0 .net "anorb", 0 0, L_00000000028001a0; 1 drivers +v00000000027569e0_0 .net "b", 0 0, L_0000000002796380; alias, 1 drivers +v00000000027577a0_0 .net "carryAND", 0 0, L_0000000002801a90; alias, 1 drivers +v0000000002757f20_0 .net "carryin", 0 0, L_0000000002796e20; alias, 1 drivers +v0000000002757a20_0 .net "i0", 0 0, L_0000000002797460; alias, 1 drivers +v0000000002756a80_0 .net "nab", 0 0, L_0000000002800c20; alias, 1 drivers +v0000000002757ac0_0 .net "nac", 0 0, L_0000000002801860; 1 drivers +v0000000002756da0_0 .net "nbc", 0 0, L_00000000028012b0; 1 drivers +v0000000002756e40_0 .net "orNOR", 0 0, L_00000000028019b0; alias, 1 drivers +v0000000002756ee0_0 .net "sumXOR", 0 0, L_0000000002801780; alias, 1 drivers +S_0000000002752f80 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002753400; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2147,46 +2156,46 @@ S_0000000000effb30 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026c6aa0/d .functor NOT 1, L_0000000002662d80, C4<0>, C4<0>, C4<0>; -L_00000000026c6aa0 .delay 1 (10000,10000,10000) L_00000000026c6aa0/d; -L_00000000026c7de0/d .functor NOT 1, L_0000000002663a00, C4<0>, C4<0>, C4<0>; -L_00000000026c7de0 .delay 1 (10000,10000,10000) L_00000000026c7de0/d; -L_00000000026c7050/d .functor NAND 1, L_0000000002663a00, L_0000000002662d80, L_00000000026c7590, C4<1>; -L_00000000026c7050 .delay 1 (30000,30000,30000) L_00000000026c7050/d; -L_00000000026c8320/d .functor NAND 1, L_0000000002663a00, L_00000000026c6aa0, L_00000000026c76e0, C4<1>; -L_00000000026c8320 .delay 1 (30000,30000,30000) L_00000000026c8320/d; -L_00000000026c7e50/d .functor NAND 1, L_00000000026c7de0, L_0000000002662d80, L_00000000026c6fe0, C4<1>; -L_00000000026c7e50 .delay 1 (30000,30000,30000) L_00000000026c7e50/d; -L_00000000026c6bf0/d .functor NAND 1, L_00000000026c7de0, L_00000000026c6aa0, L_00000000026c78a0, C4<1>; -L_00000000026c6bf0 .delay 1 (30000,30000,30000) L_00000000026c6bf0/d; -L_00000000026c7ec0/d .functor NAND 1, L_00000000026c7050, L_00000000026c8320, L_00000000026c7e50, L_00000000026c6bf0; -L_00000000026c7ec0 .delay 1 (40000,40000,40000) L_00000000026c7ec0/d; -v0000000000f01b70_0 .net "a", 0 0, L_00000000026c7590; alias, 1 drivers -v0000000000f01850_0 .net "aout", 0 0, L_00000000026c7050; 1 drivers -v0000000000f01e90_0 .net "b", 0 0, L_00000000026c76e0; alias, 1 drivers -v0000000000f015d0_0 .net "bout", 0 0, L_00000000026c8320; 1 drivers -v0000000000f031f0_0 .net "c", 0 0, L_00000000026c6fe0; alias, 1 drivers -v0000000000f01cb0_0 .net "cout", 0 0, L_00000000026c7e50; 1 drivers -v0000000000f01710_0 .net "d", 0 0, L_00000000026c78a0; alias, 1 drivers -v0000000000f02430_0 .net "dout", 0 0, L_00000000026c6bf0; 1 drivers -v0000000000f02750_0 .net "ns0", 0 0, L_00000000026c6aa0; 1 drivers -v0000000000f02ed0_0 .net "ns1", 0 0, L_00000000026c7de0; 1 drivers -v0000000000f033d0_0 .net "out", 0 0, L_00000000026c7ec0; alias, 1 drivers -v0000000000f017b0_0 .net "s0", 0 0, L_0000000002662d80; 1 drivers -v0000000000f02890_0 .net "s1", 0 0, L_0000000002663a00; 1 drivers -S_0000000000f005b0 .scope generate, "aluBits[14]" "aluBits[14]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de15c0 .param/l "i" 0 4 49, +C4<01110>; -L_00000000026c8010/d .functor XOR 1, L_00000000026647c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026c8010 .delay 1 (20000,20000,20000) L_00000000026c8010/d; -L_00000000026c6b10/d .functor AND 1, v0000000000e20d10_0, L_0000000002663820, C4<1>, C4<1>; -L_00000000026c6b10 .delay 1 (30000,30000,30000) L_00000000026c6b10/d; -L_00000000026c6f00/d .functor AND 1, L_0000000002663000, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026c6f00 .delay 1 (30000,30000,30000) L_00000000026c6f00/d; -v0000000000f03d30_0 .net *"_s1", 0 0, L_00000000026647c0; 1 drivers -v0000000000f059f0_0 .net *"_s3", 0 0, L_0000000002663820; 1 drivers -v0000000000f03dd0_0 .net *"_s9", 0 0, L_0000000002663000; 1 drivers -S_0000000000effcb0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f005b0; +L_0000000002800750/d .functor NOT 1, L_00000000027975a0, C4<0>, C4<0>, C4<0>; +L_0000000002800750 .delay 1 (10000,10000,10000) L_0000000002800750/d; +L_00000000028007c0/d .functor NOT 1, L_0000000002796a60, C4<0>, C4<0>, C4<0>; +L_00000000028007c0 .delay 1 (10000,10000,10000) L_00000000028007c0/d; +L_0000000002801b00/d .functor NAND 1, L_0000000002796a60, L_00000000027975a0, L_0000000002801780, C4<1>; +L_0000000002801b00 .delay 1 (30000,30000,30000) L_0000000002801b00/d; +L_0000000002801cc0/d .functor NAND 1, L_0000000002796a60, L_0000000002800750, L_00000000028019b0, C4<1>; +L_0000000002801cc0 .delay 1 (30000,30000,30000) L_0000000002801cc0/d; +L_0000000002800a60/d .functor NAND 1, L_00000000028007c0, L_00000000027975a0, L_0000000002801a90, C4<1>; +L_0000000002800a60 .delay 1 (30000,30000,30000) L_0000000002800a60/d; +L_0000000002801630/d .functor NAND 1, L_00000000028007c0, L_0000000002800750, L_0000000002800c20, C4<1>; +L_0000000002801630 .delay 1 (30000,30000,30000) L_0000000002801630/d; +L_00000000028010f0/d .functor NAND 1, L_0000000002801b00, L_0000000002801cc0, L_0000000002800a60, L_0000000002801630; +L_00000000028010f0 .delay 1 (40000,40000,40000) L_00000000028010f0/d; +v0000000002757ca0_0 .net "a", 0 0, L_0000000002801780; alias, 1 drivers +v0000000002757de0_0 .net "aout", 0 0, L_0000000002801b00; 1 drivers +v0000000002757e80_0 .net "b", 0 0, L_00000000028019b0; alias, 1 drivers +v0000000002757fc0_0 .net "bout", 0 0, L_0000000002801cc0; 1 drivers +v0000000002758100_0 .net "c", 0 0, L_0000000002801a90; alias, 1 drivers +v00000000027582e0_0 .net "cout", 0 0, L_0000000002800a60; 1 drivers +v0000000002758380_0 .net "d", 0 0, L_0000000002800c20; alias, 1 drivers +v00000000027586a0_0 .net "dout", 0 0, L_0000000002801630; 1 drivers +v0000000002759b40_0 .net "ns0", 0 0, L_0000000002800750; 1 drivers +v000000000275b4e0_0 .net "ns1", 0 0, L_00000000028007c0; 1 drivers +v000000000275b6c0_0 .net "out", 0 0, L_00000000028010f0; alias, 1 drivers +v000000000275a540_0 .net "s0", 0 0, L_00000000027975a0; 1 drivers +v000000000275acc0_0 .net "s1", 0 0, L_0000000002796a60; 1 drivers +S_0000000002752980 .scope generate, "aluBits[14]" "aluBits[14]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f069a0 .param/l "i" 0 4 49, +C4<01110>; +L_00000000028006e0/d .functor XOR 1, L_00000000027964c0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028006e0 .delay 1 (20000,20000,20000) L_00000000028006e0/d; +L_0000000002800360/d .functor AND 1, v0000000000e67370_0, L_0000000002796600, C4<1>, C4<1>; +L_0000000002800360 .delay 1 (30000,30000,30000) L_0000000002800360/d; +L_0000000002800830/d .functor AND 1, L_0000000002795160, L_000000000282c280, C4<1>, C4<1>; +L_0000000002800830 .delay 1 (30000,30000,30000) L_0000000002800830/d; +v000000000275a220_0 .net *"_s1", 0 0, L_00000000027964c0; 1 drivers +v000000000275aa40_0 .net *"_s3", 0 0, L_0000000002796600; 1 drivers +v000000000275b440_0 .net *"_s9", 0 0, L_0000000002795160; 1 drivers +S_0000000002752200 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002752980; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2195,19 +2204,19 @@ S_0000000000effcb0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f04c30_0 .net "a", 0 0, L_0000000002663b40; 1 drivers -v0000000000f04230_0 .net "b", 0 0, L_0000000002664860; 1 drivers -v0000000000f049b0_0 .net "carryAND", 0 0, L_00000000026c8080; 1 drivers -v0000000000f03c90_0 .net "cin", 0 0, L_0000000002663be0; 1 drivers -v0000000000f05b30_0 .net "ctrl0", 0 0, L_0000000002664720; 1 drivers -v0000000000f038d0_0 .net "nab", 0 0, L_00000000026c73d0; 1 drivers -v0000000000f06030_0 .net "orNOR", 0 0, L_00000000026c71a0; 1 drivers -v0000000000f05950_0 .net "res", 0 0, L_00000000026c72f0; 1 drivers -v0000000000f053b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f044b0_0 .net "sumXOR", 0 0, L_00000000026c7600; 1 drivers -L_0000000002663aa0 .part v0000000000e21990_0, 1, 1; -L_0000000002662ec0 .part v0000000000e21990_0, 0, 1; -S_0000000000f00bb0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000effcb0; +v0000000002759fa0_0 .net "a", 0 0, L_0000000002795d40; 1 drivers +v000000000275b120_0 .net "b", 0 0, L_00000000027953e0; 1 drivers +v000000000275a2c0_0 .net "carryAND", 0 0, L_0000000002800600; 1 drivers +v000000000275a7c0_0 .net "cin", 0 0, L_00000000027978c0; 1 drivers +v00000000027590a0_0 .net "ctrl0", 0 0, L_00000000027976e0; 1 drivers +v000000000275a040_0 .net "nab", 0 0, L_0000000002800910; 1 drivers +v0000000002759140_0 .net "orNOR", 0 0, L_0000000002801a20; 1 drivers +v000000000275b3a0_0 .net "res", 0 0, L_0000000002801b70; 1 drivers +v00000000027591e0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000275a9a0_0 .net "sumXOR", 0 0, L_00000000028011d0; 1 drivers +L_0000000002796060 .part v0000000000e625f0_0, 1, 1; +L_0000000002795ca0 .part v0000000000e625f0_0, 0, 1; +S_0000000002752b00 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002752200; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2217,32 +2226,32 @@ S_0000000000f00bb0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000effcb .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026c73d0/d .functor NAND 1, L_0000000002663b40, L_0000000002664860, C4<1>, C4<1>; -L_00000000026c73d0 .delay 1 (20000,20000,20000) L_00000000026c73d0/d; -L_00000000026c7520/d .functor NAND 1, L_0000000002664860, L_0000000002663be0, C4<1>, C4<1>; -L_00000000026c7520 .delay 1 (20000,20000,20000) L_00000000026c7520/d; -L_00000000026c7130/d .functor NAND 1, L_0000000002663b40, L_0000000002663be0, C4<1>, C4<1>; -L_00000000026c7130 .delay 1 (20000,20000,20000) L_00000000026c7130/d; -L_00000000026c8080/d .functor NAND 1, L_00000000026c73d0, L_00000000026c7130, L_00000000026c7520, C4<1>; -L_00000000026c8080 .delay 1 (30000,30000,30000) L_00000000026c8080/d; -L_00000000026c7600/d .functor XOR 1, L_0000000002663b40, L_0000000002664860, L_0000000002663be0, C4<0>; -L_00000000026c7600 .delay 1 (30000,30000,30000) L_00000000026c7600/d; -L_00000000026c7280/d .functor NOR 1, L_0000000002663b40, L_0000000002664860, C4<0>, C4<0>; -L_00000000026c7280 .delay 1 (20000,20000,20000) L_00000000026c7280/d; -L_00000000026c71a0/d .functor XOR 1, L_00000000026c7280, L_0000000002664720, C4<0>, C4<0>; -L_00000000026c71a0 .delay 1 (20000,20000,20000) L_00000000026c71a0/d; -v0000000000f04370_0 .net "a", 0 0, L_0000000002663b40; alias, 1 drivers -v0000000000f056d0_0 .net "anorb", 0 0, L_00000000026c7280; 1 drivers -v0000000000f05770_0 .net "b", 0 0, L_0000000002664860; alias, 1 drivers -v0000000000f05810_0 .net "carryAND", 0 0, L_00000000026c8080; alias, 1 drivers -v0000000000f04410_0 .net "carryin", 0 0, L_0000000002663be0; alias, 1 drivers -v0000000000f04870_0 .net "i0", 0 0, L_0000000002664720; alias, 1 drivers -v0000000000f04910_0 .net "nab", 0 0, L_00000000026c73d0; alias, 1 drivers -v0000000000f04af0_0 .net "nac", 0 0, L_00000000026c7130; 1 drivers -v0000000000f04190_0 .net "nbc", 0 0, L_00000000026c7520; 1 drivers -v0000000000f05bd0_0 .net "orNOR", 0 0, L_00000000026c71a0; alias, 1 drivers -v0000000000f04cd0_0 .net "sumXOR", 0 0, L_00000000026c7600; alias, 1 drivers -S_0000000000f00eb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000effcb0; +L_0000000002800910/d .functor NAND 1, L_0000000002795d40, L_00000000027953e0, C4<1>, C4<1>; +L_0000000002800910 .delay 1 (20000,20000,20000) L_0000000002800910/d; +L_0000000002800210/d .functor NAND 1, L_00000000027953e0, L_00000000027978c0, C4<1>, C4<1>; +L_0000000002800210 .delay 1 (20000,20000,20000) L_0000000002800210/d; +L_00000000028004b0/d .functor NAND 1, L_0000000002795d40, L_00000000027978c0, C4<1>, C4<1>; +L_00000000028004b0 .delay 1 (20000,20000,20000) L_00000000028004b0/d; +L_0000000002800600/d .functor NAND 1, L_0000000002800910, L_00000000028004b0, L_0000000002800210, C4<1>; +L_0000000002800600 .delay 1 (30000,30000,30000) L_0000000002800600/d; +L_00000000028011d0/d .functor XOR 1, L_0000000002795d40, L_00000000027953e0, L_00000000027978c0, C4<0>; +L_00000000028011d0 .delay 1 (30000,30000,30000) L_00000000028011d0/d; +L_0000000002801400/d .functor NOR 1, L_0000000002795d40, L_00000000027953e0, C4<0>, C4<0>; +L_0000000002801400 .delay 1 (20000,20000,20000) L_0000000002801400/d; +L_0000000002801a20/d .functor XOR 1, L_0000000002801400, L_00000000027976e0, C4<0>, C4<0>; +L_0000000002801a20 .delay 1 (20000,20000,20000) L_0000000002801a20/d; +v000000000275a5e0_0 .net "a", 0 0, L_0000000002795d40; alias, 1 drivers +v0000000002759aa0_0 .net "anorb", 0 0, L_0000000002801400; 1 drivers +v0000000002759640_0 .net "b", 0 0, L_00000000027953e0; alias, 1 drivers +v0000000002759960_0 .net "carryAND", 0 0, L_0000000002800600; alias, 1 drivers +v000000000275ad60_0 .net "carryin", 0 0, L_00000000027978c0; alias, 1 drivers +v0000000002759be0_0 .net "i0", 0 0, L_00000000027976e0; alias, 1 drivers +v00000000027596e0_0 .net "nab", 0 0, L_0000000002800910; alias, 1 drivers +v0000000002759c80_0 .net "nac", 0 0, L_00000000028004b0; 1 drivers +v0000000002759d20_0 .net "nbc", 0 0, L_0000000002800210; 1 drivers +v0000000002759dc0_0 .net "orNOR", 0 0, L_0000000002801a20; alias, 1 drivers +v000000000275a4a0_0 .net "sumXOR", 0 0, L_00000000028011d0; alias, 1 drivers +S_0000000002752c80 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002752200; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2251,46 +2260,46 @@ S_0000000000f00eb0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000e .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026c7670/d .functor NOT 1, L_0000000002662ec0, C4<0>, C4<0>, C4<0>; -L_00000000026c7670 .delay 1 (10000,10000,10000) L_00000000026c7670/d; -L_00000000026c7750/d .functor NOT 1, L_0000000002663aa0, C4<0>, C4<0>, C4<0>; -L_00000000026c7750 .delay 1 (10000,10000,10000) L_00000000026c7750/d; -L_00000000026c69c0/d .functor NAND 1, L_0000000002663aa0, L_0000000002662ec0, L_00000000026c7600, C4<1>; -L_00000000026c69c0 .delay 1 (30000,30000,30000) L_00000000026c69c0/d; -L_00000000026c7210/d .functor NAND 1, L_0000000002663aa0, L_00000000026c7670, L_00000000026c71a0, C4<1>; -L_00000000026c7210 .delay 1 (30000,30000,30000) L_00000000026c7210/d; -L_00000000026c6b80/d .functor NAND 1, L_00000000026c7750, L_0000000002662ec0, L_00000000026c8080, C4<1>; -L_00000000026c6b80 .delay 1 (30000,30000,30000) L_00000000026c6b80/d; -L_00000000026c6db0/d .functor NAND 1, L_00000000026c7750, L_00000000026c7670, L_00000000026c73d0, C4<1>; -L_00000000026c6db0 .delay 1 (30000,30000,30000) L_00000000026c6db0/d; -L_00000000026c72f0/d .functor NAND 1, L_00000000026c69c0, L_00000000026c7210, L_00000000026c6b80, L_00000000026c6db0; -L_00000000026c72f0 .delay 1 (40000,40000,40000) L_00000000026c72f0/d; -v0000000000f05310_0 .net "a", 0 0, L_00000000026c7600; alias, 1 drivers -v0000000000f03a10_0 .net "aout", 0 0, L_00000000026c69c0; 1 drivers -v0000000000f04b90_0 .net "b", 0 0, L_00000000026c71a0; alias, 1 drivers -v0000000000f04d70_0 .net "bout", 0 0, L_00000000026c7210; 1 drivers -v0000000000f03b50_0 .net "c", 0 0, L_00000000026c8080; alias, 1 drivers -v0000000000f05db0_0 .net "cout", 0 0, L_00000000026c6b80; 1 drivers -v0000000000f058b0_0 .net "d", 0 0, L_00000000026c73d0; alias, 1 drivers -v0000000000f03ab0_0 .net "dout", 0 0, L_00000000026c6db0; 1 drivers -v0000000000f040f0_0 .net "ns0", 0 0, L_00000000026c7670; 1 drivers -v0000000000f05f90_0 .net "ns1", 0 0, L_00000000026c7750; 1 drivers -v0000000000f03970_0 .net "out", 0 0, L_00000000026c72f0; alias, 1 drivers -v0000000000f04e10_0 .net "s0", 0 0, L_0000000002662ec0; 1 drivers -v0000000000f042d0_0 .net "s1", 0 0, L_0000000002663aa0; 1 drivers -S_0000000000effe30 .scope generate, "aluBits[15]" "aluBits[15]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de1140 .param/l "i" 0 4 49, +C4<01111>; -L_00000000026c80f0/d .functor XOR 1, L_0000000002663d20, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026c80f0 .delay 1 (20000,20000,20000) L_00000000026c80f0/d; -L_00000000026c7c20/d .functor AND 1, v0000000000e20d10_0, L_0000000002664900, C4<1>, C4<1>; -L_00000000026c7c20 .delay 1 (30000,30000,30000) L_00000000026c7c20/d; -L_00000000026c7b40/d .functor AND 1, L_0000000002664ae0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026c7b40 .delay 1 (30000,30000,30000) L_00000000026c7b40/d; -v0000000000f1ac50_0 .net *"_s1", 0 0, L_0000000002663d20; 1 drivers -v0000000000f1a4d0_0 .net *"_s3", 0 0, L_0000000002664900; 1 drivers -v0000000000f1a890_0 .net *"_s9", 0 0, L_0000000002664ae0; 1 drivers -S_0000000000f002b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000effe30; +L_0000000002800ec0/d .functor NOT 1, L_0000000002795ca0, C4<0>, C4<0>, C4<0>; +L_0000000002800ec0 .delay 1 (10000,10000,10000) L_0000000002800ec0/d; +L_0000000002800280/d .functor NOT 1, L_0000000002796060, C4<0>, C4<0>, C4<0>; +L_0000000002800280 .delay 1 (10000,10000,10000) L_0000000002800280/d; +L_0000000002801470/d .functor NAND 1, L_0000000002796060, L_0000000002795ca0, L_00000000028011d0, C4<1>; +L_0000000002801470 .delay 1 (30000,30000,30000) L_0000000002801470/d; +L_00000000028016a0/d .functor NAND 1, L_0000000002796060, L_0000000002800ec0, L_0000000002801a20, C4<1>; +L_00000000028016a0 .delay 1 (30000,30000,30000) L_00000000028016a0/d; +L_00000000028002f0/d .functor NAND 1, L_0000000002800280, L_0000000002795ca0, L_0000000002800600, C4<1>; +L_00000000028002f0 .delay 1 (30000,30000,30000) L_00000000028002f0/d; +L_00000000028014e0/d .functor NAND 1, L_0000000002800280, L_0000000002800ec0, L_0000000002800910, C4<1>; +L_00000000028014e0 .delay 1 (30000,30000,30000) L_00000000028014e0/d; +L_0000000002801b70/d .functor NAND 1, L_0000000002801470, L_00000000028016a0, L_00000000028002f0, L_00000000028014e0; +L_0000000002801b70 .delay 1 (40000,40000,40000) L_0000000002801b70/d; +v000000000275b080_0 .net "a", 0 0, L_00000000028011d0; alias, 1 drivers +v000000000275a900_0 .net "aout", 0 0, L_0000000002801470; 1 drivers +v000000000275ae00_0 .net "b", 0 0, L_0000000002801a20; alias, 1 drivers +v0000000002759e60_0 .net "bout", 0 0, L_00000000028016a0; 1 drivers +v000000000275a860_0 .net "c", 0 0, L_0000000002800600; alias, 1 drivers +v000000000275b800_0 .net "cout", 0 0, L_00000000028002f0; 1 drivers +v000000000275a680_0 .net "d", 0 0, L_0000000002800910; alias, 1 drivers +v000000000275a720_0 .net "dout", 0 0, L_00000000028014e0; 1 drivers +v00000000027593c0_0 .net "ns0", 0 0, L_0000000002800ec0; 1 drivers +v000000000275b260_0 .net "ns1", 0 0, L_0000000002800280; 1 drivers +v0000000002759780_0 .net "out", 0 0, L_0000000002801b70; alias, 1 drivers +v000000000275b300_0 .net "s0", 0 0, L_0000000002795ca0; 1 drivers +v0000000002759f00_0 .net "s1", 0 0, L_0000000002796060; 1 drivers +S_0000000002753100 .scope generate, "aluBits[15]" "aluBits[15]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f064e0 .param/l "i" 0 4 49, +C4<01111>; +L_0000000002801160/d .functor XOR 1, L_0000000002795e80, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002801160 .delay 1 (20000,20000,20000) L_0000000002801160/d; +L_00000000028008a0/d .functor AND 1, v0000000000e67370_0, L_0000000002795fc0, C4<1>, C4<1>; +L_00000000028008a0 .delay 1 (30000,30000,30000) L_00000000028008a0/d; +L_0000000002801550/d .functor AND 1, L_0000000002797780, L_000000000282c280, C4<1>, C4<1>; +L_0000000002801550 .delay 1 (30000,30000,30000) L_0000000002801550/d; +v0000000002760e80_0 .net *"_s1", 0 0, L_0000000002795e80; 1 drivers +v0000000002762aa0_0 .net *"_s3", 0 0, L_0000000002795fc0; 1 drivers +v0000000002761380_0 .net *"_s9", 0 0, L_0000000002797780; 1 drivers +S_0000000002753580 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002753100; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2299,19 +2308,19 @@ S_0000000000f002b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000e .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f1a750_0 .net "a", 0 0, L_0000000002663e60; 1 drivers -v0000000000f1aed0_0 .net "b", 0 0, L_0000000002663f00; 1 drivers -v0000000000f1ae30_0 .net "carryAND", 0 0, L_00000000026c7f30; 1 drivers -v0000000000f1a610_0 .net "cin", 0 0, L_0000000002662ce0; 1 drivers -v0000000000f1a6b0_0 .net "ctrl0", 0 0, L_0000000002662ba0; 1 drivers -v0000000000f1ad90_0 .net "nab", 0 0, L_00000000026c6950; 1 drivers -v0000000000f1af70_0 .net "orNOR", 0 0, L_00000000026c7440; 1 drivers -v0000000000f1abb0_0 .net "res", 0 0, L_00000000026c7a60; 1 drivers -v0000000000f1a2f0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f1a7f0_0 .net "sumXOR", 0 0, L_00000000026c8160; 1 drivers -L_0000000002663dc0 .part v0000000000e21990_0, 1, 1; -L_00000000026631e0 .part v0000000000e21990_0, 0, 1; -S_0000000000f09850 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f002b0; +v0000000002762280_0 .net "a", 0 0, L_00000000027973c0; 1 drivers +v00000000027611a0_0 .net "b", 0 0, L_0000000002796920; 1 drivers +v0000000002761ba0_0 .net "carryAND", 0 0, L_0000000002800bb0; 1 drivers +v0000000002760fc0_0 .net "cin", 0 0, L_0000000002796ec0; 1 drivers +v0000000002762d20_0 .net "ctrl0", 0 0, L_0000000002797320; 1 drivers +v0000000002762460_0 .net "nab", 0 0, L_0000000002800980; 1 drivers +v00000000027616a0_0 .net "orNOR", 0 0, L_0000000002800d00; 1 drivers +v00000000027608e0_0 .net "res", 0 0, L_0000000002801320; 1 drivers +v0000000002760f20_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v00000000027620a0_0 .net "sumXOR", 0 0, L_0000000002800c90; 1 drivers +L_0000000002796c40 .part v0000000000e625f0_0, 1, 1; +L_00000000027966a0 .part v0000000000e625f0_0, 0, 1; +S_000000000275cca0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002753580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2321,32 +2330,32 @@ S_0000000000f09850 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f002b .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026c6950/d .functor NAND 1, L_0000000002663e60, L_0000000002663f00, C4<1>, C4<1>; -L_00000000026c6950 .delay 1 (20000,20000,20000) L_00000000026c6950/d; -L_00000000026c7360/d .functor NAND 1, L_0000000002663f00, L_0000000002662ce0, C4<1>, C4<1>; -L_00000000026c7360 .delay 1 (20000,20000,20000) L_00000000026c7360/d; -L_00000000026c8390/d .functor NAND 1, L_0000000002663e60, L_0000000002662ce0, C4<1>, C4<1>; -L_00000000026c8390 .delay 1 (20000,20000,20000) L_00000000026c8390/d; -L_00000000026c7f30/d .functor NAND 1, L_00000000026c6950, L_00000000026c8390, L_00000000026c7360, C4<1>; -L_00000000026c7f30 .delay 1 (30000,30000,30000) L_00000000026c7f30/d; -L_00000000026c8160/d .functor XOR 1, L_0000000002663e60, L_0000000002663f00, L_0000000002662ce0, C4<0>; -L_00000000026c8160 .delay 1 (30000,30000,30000) L_00000000026c8160/d; -L_00000000026c81d0/d .functor NOR 1, L_0000000002663e60, L_0000000002663f00, C4<0>, C4<0>; -L_00000000026c81d0 .delay 1 (20000,20000,20000) L_00000000026c81d0/d; -L_00000000026c7440/d .functor XOR 1, L_00000000026c81d0, L_0000000002662ba0, C4<0>, C4<0>; -L_00000000026c7440 .delay 1 (20000,20000,20000) L_00000000026c7440/d; -v0000000000f05270_0 .net "a", 0 0, L_0000000002663e60; alias, 1 drivers -v0000000000f05a90_0 .net "anorb", 0 0, L_00000000026c81d0; 1 drivers -v0000000000f04050_0 .net "b", 0 0, L_0000000002663f00; alias, 1 drivers -v0000000000f05e50_0 .net "carryAND", 0 0, L_00000000026c7f30; alias, 1 drivers -v0000000000f04550_0 .net "carryin", 0 0, L_0000000002662ce0; alias, 1 drivers -v0000000000f045f0_0 .net "i0", 0 0, L_0000000002662ba0; alias, 1 drivers -v0000000000f04f50_0 .net "nab", 0 0, L_00000000026c6950; alias, 1 drivers -v0000000000f05630_0 .net "nac", 0 0, L_00000000026c8390; 1 drivers -v0000000000f04a50_0 .net "nbc", 0 0, L_00000000026c7360; 1 drivers -v0000000000f04ff0_0 .net "orNOR", 0 0, L_00000000026c7440; alias, 1 drivers -v0000000000f05ef0_0 .net "sumXOR", 0 0, L_00000000026c8160; alias, 1 drivers -S_0000000000f0aa50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f002b0; +L_0000000002800980/d .functor NAND 1, L_00000000027973c0, L_0000000002796920, C4<1>, C4<1>; +L_0000000002800980 .delay 1 (20000,20000,20000) L_0000000002800980/d; +L_00000000028009f0/d .functor NAND 1, L_0000000002796920, L_0000000002796ec0, C4<1>, C4<1>; +L_00000000028009f0 .delay 1 (20000,20000,20000) L_00000000028009f0/d; +L_0000000002800ad0/d .functor NAND 1, L_00000000027973c0, L_0000000002796ec0, C4<1>, C4<1>; +L_0000000002800ad0 .delay 1 (20000,20000,20000) L_0000000002800ad0/d; +L_0000000002800bb0/d .functor NAND 1, L_0000000002800980, L_0000000002800ad0, L_00000000028009f0, C4<1>; +L_0000000002800bb0 .delay 1 (30000,30000,30000) L_0000000002800bb0/d; +L_0000000002800c90/d .functor XOR 1, L_00000000027973c0, L_0000000002796920, L_0000000002796ec0, C4<0>; +L_0000000002800c90 .delay 1 (30000,30000,30000) L_0000000002800c90/d; +L_00000000028017f0/d .functor NOR 1, L_00000000027973c0, L_0000000002796920, C4<0>, C4<0>; +L_00000000028017f0 .delay 1 (20000,20000,20000) L_00000000028017f0/d; +L_0000000002800d00/d .functor XOR 1, L_00000000028017f0, L_0000000002797320, C4<0>, C4<0>; +L_0000000002800d00 .delay 1 (20000,20000,20000) L_0000000002800d00/d; +v000000000275aea0_0 .net "a", 0 0, L_00000000027973c0; alias, 1 drivers +v000000000275aae0_0 .net "anorb", 0 0, L_00000000028017f0; 1 drivers +v000000000275ab80_0 .net "b", 0 0, L_0000000002796920; alias, 1 drivers +v000000000275ac20_0 .net "carryAND", 0 0, L_0000000002800bb0; alias, 1 drivers +v000000000275b580_0 .net "carryin", 0 0, L_0000000002796ec0; alias, 1 drivers +v0000000002759280_0 .net "i0", 0 0, L_0000000002797320; alias, 1 drivers +v0000000002759460_0 .net "nab", 0 0, L_0000000002800980; alias, 1 drivers +v0000000002759500_0 .net "nac", 0 0, L_0000000002800ad0; 1 drivers +v000000000275b620_0 .net "nbc", 0 0, L_00000000028009f0; 1 drivers +v000000000275b8a0_0 .net "orNOR", 0 0, L_0000000002800d00; alias, 1 drivers +v000000000275bbc0_0 .net "sumXOR", 0 0, L_0000000002800c90; alias, 1 drivers +S_000000000275ce20 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002753580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2355,46 +2364,46 @@ S_0000000000f0aa50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026c77c0/d .functor NOT 1, L_00000000026631e0, C4<0>, C4<0>, C4<0>; -L_00000000026c77c0 .delay 1 (10000,10000,10000) L_00000000026c77c0/d; -L_00000000026c8240/d .functor NOT 1, L_0000000002663dc0, C4<0>, C4<0>, C4<0>; -L_00000000026c8240 .delay 1 (10000,10000,10000) L_00000000026c8240/d; -L_00000000026c8400/d .functor NAND 1, L_0000000002663dc0, L_00000000026631e0, L_00000000026c8160, C4<1>; -L_00000000026c8400 .delay 1 (30000,30000,30000) L_00000000026c8400/d; -L_00000000026c6c60/d .functor NAND 1, L_0000000002663dc0, L_00000000026c77c0, L_00000000026c7440, C4<1>; -L_00000000026c6c60 .delay 1 (30000,30000,30000) L_00000000026c6c60/d; -L_00000000026c7980/d .functor NAND 1, L_00000000026c8240, L_00000000026631e0, L_00000000026c7f30, C4<1>; -L_00000000026c7980 .delay 1 (30000,30000,30000) L_00000000026c7980/d; -L_00000000026c79f0/d .functor NAND 1, L_00000000026c8240, L_00000000026c77c0, L_00000000026c6950, C4<1>; -L_00000000026c79f0 .delay 1 (30000,30000,30000) L_00000000026c79f0/d; -L_00000000026c7a60/d .functor NAND 1, L_00000000026c8400, L_00000000026c6c60, L_00000000026c7980, L_00000000026c79f0; -L_00000000026c7a60 .delay 1 (40000,40000,40000) L_00000000026c7a60/d; -v0000000000f05450_0 .net "a", 0 0, L_00000000026c8160; alias, 1 drivers -v0000000000f03e70_0 .net "aout", 0 0, L_00000000026c8400; 1 drivers -v0000000000f03fb0_0 .net "b", 0 0, L_00000000026c7440; alias, 1 drivers -v0000000000f047d0_0 .net "bout", 0 0, L_00000000026c6c60; 1 drivers -v0000000000f03f10_0 .net "c", 0 0, L_00000000026c7f30; alias, 1 drivers -v0000000000f04690_0 .net "cout", 0 0, L_00000000026c7980; 1 drivers -v0000000000f04730_0 .net "d", 0 0, L_00000000026c6950; alias, 1 drivers -v0000000000f05c70_0 .net "dout", 0 0, L_00000000026c79f0; 1 drivers -v0000000000f05090_0 .net "ns0", 0 0, L_00000000026c77c0; 1 drivers -v0000000000f05130_0 .net "ns1", 0 0, L_00000000026c8240; 1 drivers -v0000000000f051d0_0 .net "out", 0 0, L_00000000026c7a60; alias, 1 drivers -v0000000000f054f0_0 .net "s0", 0 0, L_00000000026631e0; 1 drivers -v0000000000f05590_0 .net "s1", 0 0, L_0000000002663dc0; 1 drivers -S_0000000000f09e50 .scope generate, "aluBits[16]" "aluBits[16]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de08c0 .param/l "i" 0 4 49, +C4<010000>; -L_00000000026c7bb0/d .functor XOR 1, L_0000000002663fa0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026c7bb0 .delay 1 (20000,20000,20000) L_00000000026c7bb0/d; -L_00000000026c7c90/d .functor AND 1, v0000000000e20d10_0, L_0000000002664c20, C4<1>, C4<1>; -L_00000000026c7c90 .delay 1 (30000,30000,30000) L_00000000026c7c90/d; -L_00000000026d6050/d .functor AND 1, L_0000000002662b00, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d6050 .delay 1 (30000,30000,30000) L_00000000026d6050/d; -v0000000000f0d2d0_0 .net *"_s1", 0 0, L_0000000002663fa0; 1 drivers -v0000000000f0c510_0 .net *"_s3", 0 0, L_0000000002664c20; 1 drivers -v0000000000f0b890_0 .net *"_s9", 0 0, L_0000000002662b00; 1 drivers -S_0000000000f0a150 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09e50; +L_0000000002800d70/d .functor NOT 1, L_00000000027966a0, C4<0>, C4<0>, C4<0>; +L_0000000002800d70 .delay 1 (10000,10000,10000) L_0000000002800d70/d; +L_0000000002800de0/d .functor NOT 1, L_0000000002796c40, C4<0>, C4<0>, C4<0>; +L_0000000002800de0 .delay 1 (10000,10000,10000) L_0000000002800de0/d; +L_0000000002800e50/d .functor NAND 1, L_0000000002796c40, L_00000000027966a0, L_0000000002800c90, C4<1>; +L_0000000002800e50 .delay 1 (30000,30000,30000) L_0000000002800e50/d; +L_0000000002801010/d .functor NAND 1, L_0000000002796c40, L_0000000002800d70, L_0000000002800d00, C4<1>; +L_0000000002801010 .delay 1 (30000,30000,30000) L_0000000002801010/d; +L_0000000002801080/d .functor NAND 1, L_0000000002800de0, L_00000000027966a0, L_0000000002800bb0, C4<1>; +L_0000000002801080 .delay 1 (30000,30000,30000) L_0000000002801080/d; +L_0000000002801240/d .functor NAND 1, L_0000000002800de0, L_0000000002800d70, L_0000000002800980, C4<1>; +L_0000000002801240 .delay 1 (30000,30000,30000) L_0000000002801240/d; +L_0000000002801320/d .functor NAND 1, L_0000000002800e50, L_0000000002801010, L_0000000002801080, L_0000000002801240; +L_0000000002801320 .delay 1 (40000,40000,40000) L_0000000002801320/d; +v000000000275bf80_0 .net "a", 0 0, L_0000000002800c90; alias, 1 drivers +v000000000275bc60_0 .net "aout", 0 0, L_0000000002800e50; 1 drivers +v000000000275ba80_0 .net "b", 0 0, L_0000000002800d00; alias, 1 drivers +v000000000275b940_0 .net "bout", 0 0, L_0000000002801010; 1 drivers +v000000000275b9e0_0 .net "c", 0 0, L_0000000002800bb0; alias, 1 drivers +v000000000275bb20_0 .net "cout", 0 0, L_0000000002801080; 1 drivers +v000000000275bda0_0 .net "d", 0 0, L_0000000002800980; alias, 1 drivers +v000000000275bd00_0 .net "dout", 0 0, L_0000000002801240; 1 drivers +v000000000275be40_0 .net "ns0", 0 0, L_0000000002800d70; 1 drivers +v000000000275bee0_0 .net "ns1", 0 0, L_0000000002800de0; 1 drivers +v0000000002761880_0 .net "out", 0 0, L_0000000002801320; alias, 1 drivers +v0000000002761600_0 .net "s0", 0 0, L_00000000027966a0; 1 drivers +v0000000002762000_0 .net "s1", 0 0, L_0000000002796c40; 1 drivers +S_000000000275c6a0 .scope generate, "aluBits[16]" "aluBits[16]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06c60 .param/l "i" 0 4 49, +C4<010000>; +L_00000000028015c0/d .functor XOR 1, L_00000000027952a0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028015c0 .delay 1 (20000,20000,20000) L_00000000028015c0/d; +L_0000000002801e80/d .functor AND 1, v0000000000e67370_0, L_0000000002796740, C4<1>, C4<1>; +L_0000000002801e80 .delay 1 (30000,30000,30000) L_0000000002801e80/d; +L_0000000002809260/d .functor AND 1, L_0000000002795700, L_000000000282c280, C4<1>, C4<1>; +L_0000000002809260 .delay 1 (30000,30000,30000) L_0000000002809260/d; +v0000000002761ce0_0 .net *"_s1", 0 0, L_00000000027952a0; 1 drivers +v0000000002762a00_0 .net *"_s3", 0 0, L_0000000002796740; 1 drivers +v0000000002761420_0 .net *"_s9", 0 0, L_0000000002795700; 1 drivers +S_000000000275cb20 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000275c6a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2403,19 +2412,19 @@ S_0000000000f0a150 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f0d690_0 .net "a", 0 0, L_0000000002662920; 1 drivers -v0000000000f0c0b0_0 .net "b", 0 0, L_0000000002664fe0; 1 drivers -v0000000000f0d5f0_0 .net "carryAND", 0 0, L_00000000026c87f0; 1 drivers -v0000000000f0d0f0_0 .net "cin", 0 0, L_0000000002664180; 1 drivers -v0000000000f0c3d0_0 .net "ctrl0", 0 0, L_0000000002662a60; 1 drivers -v0000000000f0c470_0 .net "nab", 0 0, L_00000000026c8710; 1 drivers -v0000000000f0d550_0 .net "orNOR", 0 0, L_00000000026c8550; 1 drivers -v0000000000f0b250_0 .net "res", 0 0, L_00000000026d63d0; 1 drivers -v0000000000f0b6b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f0c290_0 .net "sumXOR", 0 0, L_00000000026c8630; 1 drivers -L_0000000002664e00 .part v0000000000e21990_0, 1, 1; -L_0000000002664f40 .part v0000000000e21990_0, 0, 1; -S_0000000000f099d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a150; +v0000000002760ca0_0 .net "a", 0 0, L_0000000002796b00; 1 drivers +v0000000002762dc0_0 .net "b", 0 0, L_0000000002796f60; 1 drivers +v0000000002761560_0 .net "carryAND", 0 0, L_00000000028020b0; 1 drivers +v0000000002762320_0 .net "cin", 0 0, L_0000000002797500; 1 drivers +v0000000002761a60_0 .net "ctrl0", 0 0, L_00000000027970a0; 1 drivers +v0000000002761920_0 .net "nab", 0 0, L_0000000002801e10; 1 drivers +v0000000002762780_0 .net "orNOR", 0 0, L_0000000002802040; 1 drivers +v00000000027612e0_0 .net "res", 0 0, L_0000000002807dd0; 1 drivers +v0000000002762820_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002762500_0 .net "sumXOR", 0 0, L_0000000002801da0; 1 drivers +L_0000000002796ba0 .part v0000000000e625f0_0, 1, 1; +L_00000000027967e0 .part v0000000000e625f0_0, 0, 1; +S_000000000275cfa0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000275cb20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2425,32 +2434,32 @@ S_0000000000f099d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a15 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026c8710/d .functor NAND 1, L_0000000002662920, L_0000000002664fe0, C4<1>, C4<1>; -L_00000000026c8710 .delay 1 (20000,20000,20000) L_00000000026c8710/d; -L_00000000026c8780/d .functor NAND 1, L_0000000002664fe0, L_0000000002664180, C4<1>, C4<1>; -L_00000000026c8780 .delay 1 (20000,20000,20000) L_00000000026c8780/d; -L_00000000026c85c0/d .functor NAND 1, L_0000000002662920, L_0000000002664180, C4<1>, C4<1>; -L_00000000026c85c0 .delay 1 (20000,20000,20000) L_00000000026c85c0/d; -L_00000000026c87f0/d .functor NAND 1, L_00000000026c8710, L_00000000026c85c0, L_00000000026c8780, C4<1>; -L_00000000026c87f0 .delay 1 (30000,30000,30000) L_00000000026c87f0/d; -L_00000000026c8630/d .functor XOR 1, L_0000000002662920, L_0000000002664fe0, L_0000000002664180, C4<0>; -L_00000000026c8630 .delay 1 (30000,30000,30000) L_00000000026c8630/d; -L_00000000026c86a0/d .functor NOR 1, L_0000000002662920, L_0000000002664fe0, C4<0>, C4<0>; -L_00000000026c86a0 .delay 1 (20000,20000,20000) L_00000000026c86a0/d; -L_00000000026c8550/d .functor XOR 1, L_00000000026c86a0, L_0000000002662a60, C4<0>, C4<0>; -L_00000000026c8550 .delay 1 (20000,20000,20000) L_00000000026c8550/d; -v0000000000f1a930_0 .net "a", 0 0, L_0000000002662920; alias, 1 drivers -v0000000000f1acf0_0 .net "anorb", 0 0, L_00000000026c86a0; 1 drivers -v0000000000f1a110_0 .net "b", 0 0, L_0000000002664fe0; alias, 1 drivers -v0000000000f1a570_0 .net "carryAND", 0 0, L_00000000026c87f0; alias, 1 drivers -v0000000000f1a9d0_0 .net "carryin", 0 0, L_0000000002664180; alias, 1 drivers -v0000000000f1aa70_0 .net "i0", 0 0, L_0000000002662a60; alias, 1 drivers -v0000000000f1a250_0 .net "nab", 0 0, L_00000000026c8710; alias, 1 drivers -v0000000000f1ab10_0 .net "nac", 0 0, L_00000000026c85c0; 1 drivers -v0000000000f1a1b0_0 .net "nbc", 0 0, L_00000000026c8780; 1 drivers -v0000000000f1a390_0 .net "orNOR", 0 0, L_00000000026c8550; alias, 1 drivers -v0000000000f1a430_0 .net "sumXOR", 0 0, L_00000000026c8630; alias, 1 drivers -S_0000000000f0a2d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0a150; +L_0000000002801e10/d .functor NAND 1, L_0000000002796b00, L_0000000002796f60, C4<1>, C4<1>; +L_0000000002801e10 .delay 1 (20000,20000,20000) L_0000000002801e10/d; +L_0000000002801ef0/d .functor NAND 1, L_0000000002796f60, L_0000000002797500, C4<1>, C4<1>; +L_0000000002801ef0 .delay 1 (20000,20000,20000) L_0000000002801ef0/d; +L_0000000002801fd0/d .functor NAND 1, L_0000000002796b00, L_0000000002797500, C4<1>, C4<1>; +L_0000000002801fd0 .delay 1 (20000,20000,20000) L_0000000002801fd0/d; +L_00000000028020b0/d .functor NAND 1, L_0000000002801e10, L_0000000002801fd0, L_0000000002801ef0, C4<1>; +L_00000000028020b0 .delay 1 (30000,30000,30000) L_00000000028020b0/d; +L_0000000002801da0/d .functor XOR 1, L_0000000002796b00, L_0000000002796f60, L_0000000002797500, C4<0>; +L_0000000002801da0 .delay 1 (30000,30000,30000) L_0000000002801da0/d; +L_0000000002801f60/d .functor NOR 1, L_0000000002796b00, L_0000000002796f60, C4<0>, C4<0>; +L_0000000002801f60 .delay 1 (20000,20000,20000) L_0000000002801f60/d; +L_0000000002802040/d .functor XOR 1, L_0000000002801f60, L_00000000027970a0, C4<0>, C4<0>; +L_0000000002802040 .delay 1 (20000,20000,20000) L_0000000002802040/d; +v0000000002762140_0 .net "a", 0 0, L_0000000002796b00; alias, 1 drivers +v0000000002761060_0 .net "anorb", 0 0, L_0000000002801f60; 1 drivers +v0000000002760de0_0 .net "b", 0 0, L_0000000002796f60; alias, 1 drivers +v0000000002762b40_0 .net "carryAND", 0 0, L_00000000028020b0; alias, 1 drivers +v0000000002762640_0 .net "carryin", 0 0, L_0000000002797500; alias, 1 drivers +v0000000002761740_0 .net "i0", 0 0, L_00000000027970a0; alias, 1 drivers +v00000000027621e0_0 .net "nab", 0 0, L_0000000002801e10; alias, 1 drivers +v00000000027626e0_0 .net "nac", 0 0, L_0000000002801fd0; 1 drivers +v0000000002762960_0 .net "nbc", 0 0, L_0000000002801ef0; 1 drivers +v0000000002761c40_0 .net "orNOR", 0 0, L_0000000002802040; alias, 1 drivers +v0000000002760b60_0 .net "sumXOR", 0 0, L_0000000002801da0; alias, 1 drivers +S_000000000275d5a0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000275cb20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2459,46 +2468,46 @@ S_0000000000f0a2d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026c8860/d .functor NOT 1, L_0000000002664f40, C4<0>, C4<0>, C4<0>; -L_00000000026c8860 .delay 1 (10000,10000,10000) L_00000000026c8860/d; -L_00000000026d5e20/d .functor NOT 1, L_0000000002664e00, C4<0>, C4<0>, C4<0>; -L_00000000026d5e20 .delay 1 (10000,10000,10000) L_00000000026d5e20/d; -L_00000000026d5410/d .functor NAND 1, L_0000000002664e00, L_0000000002664f40, L_00000000026c8630, C4<1>; -L_00000000026d5410 .delay 1 (30000,30000,30000) L_00000000026d5410/d; -L_00000000026d5560/d .functor NAND 1, L_0000000002664e00, L_00000000026c8860, L_00000000026c8550, C4<1>; -L_00000000026d5560 .delay 1 (30000,30000,30000) L_00000000026d5560/d; -L_00000000026d5020/d .functor NAND 1, L_00000000026d5e20, L_0000000002664f40, L_00000000026c87f0, C4<1>; -L_00000000026d5020 .delay 1 (30000,30000,30000) L_00000000026d5020/d; -L_00000000026d5090/d .functor NAND 1, L_00000000026d5e20, L_00000000026c8860, L_00000000026c8710, C4<1>; -L_00000000026d5090 .delay 1 (30000,30000,30000) L_00000000026d5090/d; -L_00000000026d63d0/d .functor NAND 1, L_00000000026d5410, L_00000000026d5560, L_00000000026d5020, L_00000000026d5090; -L_00000000026d63d0 .delay 1 (40000,40000,40000) L_00000000026d63d0/d; -v0000000000f0d230_0 .net "a", 0 0, L_00000000026c8630; alias, 1 drivers -v0000000000f0c330_0 .net "aout", 0 0, L_00000000026d5410; 1 drivers -v0000000000f0b9d0_0 .net "b", 0 0, L_00000000026c8550; alias, 1 drivers -v0000000000f0c1f0_0 .net "bout", 0 0, L_00000000026d5560; 1 drivers -v0000000000f0c150_0 .net "c", 0 0, L_00000000026c87f0; alias, 1 drivers -v0000000000f0d370_0 .net "cout", 0 0, L_00000000026d5020; 1 drivers -v0000000000f0b930_0 .net "d", 0 0, L_00000000026c8710; alias, 1 drivers -v0000000000f0be30_0 .net "dout", 0 0, L_00000000026d5090; 1 drivers -v0000000000f0d410_0 .net "ns0", 0 0, L_00000000026c8860; 1 drivers -v0000000000f0cb50_0 .net "ns1", 0 0, L_00000000026d5e20; 1 drivers -v0000000000f0ba70_0 .net "out", 0 0, L_00000000026d63d0; alias, 1 drivers -v0000000000f0bcf0_0 .net "s0", 0 0, L_0000000002664f40; 1 drivers -v0000000000f0d4b0_0 .net "s1", 0 0, L_0000000002664e00; 1 drivers -S_0000000000f09fd0 .scope generate, "aluBits[17]" "aluBits[17]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de0900 .param/l "i" 0 4 49, +C4<010001>; -L_00000000026d5100/d .functor XOR 1, L_0000000002662f60, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d5100 .delay 1 (20000,20000,20000) L_00000000026d5100/d; -L_00000000026d5330/d .functor AND 1, v0000000000e20d10_0, L_0000000002664400, C4<1>, C4<1>; -L_00000000026d5330 .delay 1 (30000,30000,30000) L_00000000026d5330/d; -L_00000000026d4ed0/d .functor AND 1, L_0000000002664540, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d4ed0 .delay 1 (30000,30000,30000) L_00000000026d4ed0/d; -v0000000000f0ca10_0 .net *"_s1", 0 0, L_0000000002662f60; 1 drivers -v0000000000f0cc90_0 .net *"_s3", 0 0, L_0000000002664400; 1 drivers -v0000000000f0cd30_0 .net *"_s9", 0 0, L_0000000002664540; 1 drivers -S_0000000000f0a450 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09fd0; +L_0000000002808f50/d .functor NOT 1, L_00000000027967e0, C4<0>, C4<0>, C4<0>; +L_0000000002808f50 .delay 1 (10000,10000,10000) L_0000000002808f50/d; +L_0000000002808230/d .functor NOT 1, L_0000000002796ba0, C4<0>, C4<0>, C4<0>; +L_0000000002808230 .delay 1 (10000,10000,10000) L_0000000002808230/d; +L_0000000002808d20/d .functor NAND 1, L_0000000002796ba0, L_00000000027967e0, L_0000000002801da0, C4<1>; +L_0000000002808d20 .delay 1 (30000,30000,30000) L_0000000002808d20/d; +L_0000000002808070/d .functor NAND 1, L_0000000002796ba0, L_0000000002808f50, L_0000000002802040, C4<1>; +L_0000000002808070 .delay 1 (30000,30000,30000) L_0000000002808070/d; +L_0000000002808930/d .functor NAND 1, L_0000000002808230, L_00000000027967e0, L_00000000028020b0, C4<1>; +L_0000000002808930 .delay 1 (30000,30000,30000) L_0000000002808930/d; +L_0000000002809730/d .functor NAND 1, L_0000000002808230, L_0000000002808f50, L_0000000002801e10, C4<1>; +L_0000000002809730 .delay 1 (30000,30000,30000) L_0000000002809730/d; +L_0000000002807dd0/d .functor NAND 1, L_0000000002808d20, L_0000000002808070, L_0000000002808930, L_0000000002809730; +L_0000000002807dd0 .delay 1 (40000,40000,40000) L_0000000002807dd0/d; +v0000000002760ac0_0 .net "a", 0 0, L_0000000002801da0; alias, 1 drivers +v0000000002761240_0 .net "aout", 0 0, L_0000000002808d20; 1 drivers +v00000000027628c0_0 .net "b", 0 0, L_0000000002802040; alias, 1 drivers +v0000000002761b00_0 .net "bout", 0 0, L_0000000002808070; 1 drivers +v0000000002761f60_0 .net "c", 0 0, L_00000000028020b0; alias, 1 drivers +v0000000002763040_0 .net "cout", 0 0, L_0000000002808930; 1 drivers +v0000000002761100_0 .net "d", 0 0, L_0000000002801e10; alias, 1 drivers +v00000000027623c0_0 .net "dout", 0 0, L_0000000002809730; 1 drivers +v00000000027619c0_0 .net "ns0", 0 0, L_0000000002808f50; 1 drivers +v0000000002760980_0 .net "ns1", 0 0, L_0000000002808230; 1 drivers +v0000000002760a20_0 .net "out", 0 0, L_0000000002807dd0; alias, 1 drivers +v0000000002760c00_0 .net "s0", 0 0, L_00000000027967e0; 1 drivers +v00000000027617e0_0 .net "s1", 0 0, L_0000000002796ba0; 1 drivers +S_000000000275dea0 .scope generate, "aluBits[17]" "aluBits[17]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f060e0 .param/l "i" 0 4 49, +C4<010001>; +L_00000000028084d0/d .functor XOR 1, L_0000000002797000, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028084d0 .delay 1 (20000,20000,20000) L_00000000028084d0/d; +L_00000000028089a0/d .functor AND 1, v0000000000e67370_0, L_0000000002795340, C4<1>, C4<1>; +L_00000000028089a0 .delay 1 (30000,30000,30000) L_00000000028089a0/d; +L_0000000002808ee0/d .functor AND 1, L_00000000027999e0, L_000000000282c280, C4<1>, C4<1>; +L_0000000002808ee0 .delay 1 (30000,30000,30000) L_0000000002808ee0/d; +v00000000027648a0_0 .net *"_s1", 0 0, L_0000000002797000; 1 drivers +v0000000002764a80_0 .net *"_s3", 0 0, L_0000000002795340; 1 drivers +v0000000002764940_0 .net *"_s9", 0 0, L_00000000027999e0; 1 drivers +S_000000000275c0a0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000275dea0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2507,19 +2516,19 @@ S_0000000000f0a450 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f0cfb0_0 .net "a", 0 0, L_0000000002663460; 1 drivers -v0000000000f0d050_0 .net "b", 0 0, L_0000000002663140; 1 drivers -v0000000000f0d190_0 .net "carryAND", 0 0, L_00000000026d61a0; 1 drivers -v0000000000f0bb10_0 .net "cin", 0 0, L_0000000002663500; 1 drivers -v0000000000f0c8d0_0 .net "ctrl0", 0 0, L_00000000026635a0; 1 drivers -v0000000000f0b610_0 .net "nab", 0 0, L_00000000026d5170; 1 drivers -v0000000000f0bed0_0 .net "orNOR", 0 0, L_00000000026d4ca0; 1 drivers -v0000000000f0c010_0 .net "res", 0 0, L_00000000026d56b0; 1 drivers -v0000000000f0b750_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f0c970_0 .net "sumXOR", 0 0, L_00000000026d55d0; 1 drivers -L_0000000002664220 .part v0000000000e21990_0, 1, 1; -L_00000000026630a0 .part v0000000000e21990_0, 0, 1; -S_0000000000f0a5d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a450; +v0000000002763b80_0 .net "a", 0 0, L_00000000027971e0; 1 drivers +v0000000002763720_0 .net "b", 0 0, L_0000000002795520; 1 drivers +v0000000002763c20_0 .net "carryAND", 0 0, L_00000000028095e0; 1 drivers +v0000000002765020_0 .net "cin", 0 0, L_00000000027955c0; 1 drivers +v0000000002763cc0_0 .net "ctrl0", 0 0, L_0000000002797d20; 1 drivers +v00000000027649e0_0 .net "nab", 0 0, L_00000000028080e0; 1 drivers +v0000000002763220_0 .net "orNOR", 0 0, L_00000000028090a0; 1 drivers +v0000000002764080_0 .net "res", 0 0, L_0000000002809340; 1 drivers +v0000000002763d60_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002763f40_0 .net "sumXOR", 0 0, L_0000000002808310; 1 drivers +L_00000000027957a0 .part v0000000000e625f0_0, 1, 1; +L_0000000002797820 .part v0000000000e625f0_0, 0, 1; +S_000000000275c9a0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000275c0a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2529,32 +2538,32 @@ S_0000000000f0a5d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0a45 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d5170/d .functor NAND 1, L_0000000002663460, L_0000000002663140, C4<1>, C4<1>; -L_00000000026d5170 .delay 1 (20000,20000,20000) L_00000000026d5170/d; -L_00000000026d5aa0/d .functor NAND 1, L_0000000002663140, L_0000000002663500, C4<1>, C4<1>; -L_00000000026d5aa0 .delay 1 (20000,20000,20000) L_00000000026d5aa0/d; -L_00000000026d5950/d .functor NAND 1, L_0000000002663460, L_0000000002663500, C4<1>, C4<1>; -L_00000000026d5950 .delay 1 (20000,20000,20000) L_00000000026d5950/d; -L_00000000026d61a0/d .functor NAND 1, L_00000000026d5170, L_00000000026d5950, L_00000000026d5aa0, C4<1>; -L_00000000026d61a0 .delay 1 (30000,30000,30000) L_00000000026d61a0/d; -L_00000000026d55d0/d .functor XOR 1, L_0000000002663460, L_0000000002663140, L_0000000002663500, C4<0>; -L_00000000026d55d0 .delay 1 (30000,30000,30000) L_00000000026d55d0/d; -L_00000000026d5fe0/d .functor NOR 1, L_0000000002663460, L_0000000002663140, C4<0>, C4<0>; -L_00000000026d5fe0 .delay 1 (20000,20000,20000) L_00000000026d5fe0/d; -L_00000000026d4ca0/d .functor XOR 1, L_00000000026d5fe0, L_00000000026635a0, C4<0>, C4<0>; -L_00000000026d4ca0 .delay 1 (20000,20000,20000) L_00000000026d4ca0/d; -v0000000000f0d730_0 .net "a", 0 0, L_0000000002663460; alias, 1 drivers -v0000000000f0d7d0_0 .net "anorb", 0 0, L_00000000026d5fe0; 1 drivers -v0000000000f0cbf0_0 .net "b", 0 0, L_0000000002663140; alias, 1 drivers -v0000000000f0cab0_0 .net "carryAND", 0 0, L_00000000026d61a0; alias, 1 drivers -v0000000000f0b2f0_0 .net "carryin", 0 0, L_0000000002663500; alias, 1 drivers -v0000000000f0b7f0_0 .net "i0", 0 0, L_00000000026635a0; alias, 1 drivers -v0000000000f0d870_0 .net "nab", 0 0, L_00000000026d5170; alias, 1 drivers -v0000000000f0b110_0 .net "nac", 0 0, L_00000000026d5950; 1 drivers -v0000000000f0b1b0_0 .net "nbc", 0 0, L_00000000026d5aa0; 1 drivers -v0000000000f0c5b0_0 .net "orNOR", 0 0, L_00000000026d4ca0; alias, 1 drivers -v0000000000f0bbb0_0 .net "sumXOR", 0 0, L_00000000026d55d0; alias, 1 drivers -S_0000000000f096d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0a450; +L_00000000028080e0/d .functor NAND 1, L_00000000027971e0, L_0000000002795520, C4<1>, C4<1>; +L_00000000028080e0 .delay 1 (20000,20000,20000) L_00000000028080e0/d; +L_0000000002808540/d .functor NAND 1, L_0000000002795520, L_00000000027955c0, C4<1>, C4<1>; +L_0000000002808540 .delay 1 (20000,20000,20000) L_0000000002808540/d; +L_0000000002807f90/d .functor NAND 1, L_00000000027971e0, L_00000000027955c0, C4<1>, C4<1>; +L_0000000002807f90 .delay 1 (20000,20000,20000) L_0000000002807f90/d; +L_00000000028095e0/d .functor NAND 1, L_00000000028080e0, L_0000000002807f90, L_0000000002808540, C4<1>; +L_00000000028095e0 .delay 1 (30000,30000,30000) L_00000000028095e0/d; +L_0000000002808310/d .functor XOR 1, L_00000000027971e0, L_0000000002795520, L_00000000027955c0, C4<0>; +L_0000000002808310 .delay 1 (30000,30000,30000) L_0000000002808310/d; +L_0000000002808d90/d .functor NOR 1, L_00000000027971e0, L_0000000002795520, C4<0>, C4<0>; +L_0000000002808d90 .delay 1 (20000,20000,20000) L_0000000002808d90/d; +L_00000000028090a0/d .functor XOR 1, L_0000000002808d90, L_0000000002797d20, C4<0>, C4<0>; +L_00000000028090a0 .delay 1 (20000,20000,20000) L_00000000028090a0/d; +v0000000002762f00_0 .net "a", 0 0, L_00000000027971e0; alias, 1 drivers +v0000000002761d80_0 .net "anorb", 0 0, L_0000000002808d90; 1 drivers +v00000000027614c0_0 .net "b", 0 0, L_0000000002795520; alias, 1 drivers +v0000000002762e60_0 .net "carryAND", 0 0, L_00000000028095e0; alias, 1 drivers +v0000000002761e20_0 .net "carryin", 0 0, L_00000000027955c0; alias, 1 drivers +v0000000002761ec0_0 .net "i0", 0 0, L_0000000002797d20; alias, 1 drivers +v00000000027625a0_0 .net "nab", 0 0, L_00000000028080e0; alias, 1 drivers +v0000000002760d40_0 .net "nac", 0 0, L_0000000002807f90; 1 drivers +v0000000002762be0_0 .net "nbc", 0 0, L_0000000002808540; 1 drivers +v0000000002762c80_0 .net "orNOR", 0 0, L_00000000028090a0; alias, 1 drivers +v0000000002762fa0_0 .net "sumXOR", 0 0, L_0000000002808310; alias, 1 drivers +S_000000000275dba0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000275c0a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2563,46 +2572,46 @@ S_0000000000f096d0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d5640/d .functor NOT 1, L_00000000026630a0, C4<0>, C4<0>, C4<0>; -L_00000000026d5640 .delay 1 (10000,10000,10000) L_00000000026d5640/d; -L_00000000026d4990/d .functor NOT 1, L_0000000002664220, C4<0>, C4<0>, C4<0>; -L_00000000026d4990 .delay 1 (10000,10000,10000) L_00000000026d4990/d; -L_00000000026d4fb0/d .functor NAND 1, L_0000000002664220, L_00000000026630a0, L_00000000026d55d0, C4<1>; -L_00000000026d4fb0 .delay 1 (30000,30000,30000) L_00000000026d4fb0/d; -L_00000000026d5870/d .functor NAND 1, L_0000000002664220, L_00000000026d5640, L_00000000026d4ca0, C4<1>; -L_00000000026d5870 .delay 1 (30000,30000,30000) L_00000000026d5870/d; -L_00000000026d6210/d .functor NAND 1, L_00000000026d4990, L_00000000026630a0, L_00000000026d61a0, C4<1>; -L_00000000026d6210 .delay 1 (30000,30000,30000) L_00000000026d6210/d; -L_00000000026d5db0/d .functor NAND 1, L_00000000026d4990, L_00000000026d5640, L_00000000026d5170, C4<1>; -L_00000000026d5db0 .delay 1 (30000,30000,30000) L_00000000026d5db0/d; -L_00000000026d56b0/d .functor NAND 1, L_00000000026d4fb0, L_00000000026d5870, L_00000000026d6210, L_00000000026d5db0; -L_00000000026d56b0 .delay 1 (40000,40000,40000) L_00000000026d56b0/d; -v0000000000f0c650_0 .net "a", 0 0, L_00000000026d55d0; alias, 1 drivers -v0000000000f0c6f0_0 .net "aout", 0 0, L_00000000026d4fb0; 1 drivers -v0000000000f0bf70_0 .net "b", 0 0, L_00000000026d4ca0; alias, 1 drivers -v0000000000f0c790_0 .net "bout", 0 0, L_00000000026d5870; 1 drivers -v0000000000f0b390_0 .net "c", 0 0, L_00000000026d61a0; alias, 1 drivers -v0000000000f0b430_0 .net "cout", 0 0, L_00000000026d6210; 1 drivers -v0000000000f0bc50_0 .net "d", 0 0, L_00000000026d5170; alias, 1 drivers -v0000000000f0bd90_0 .net "dout", 0 0, L_00000000026d5db0; 1 drivers -v0000000000f0c830_0 .net "ns0", 0 0, L_00000000026d5640; 1 drivers -v0000000000f0ce70_0 .net "ns1", 0 0, L_00000000026d4990; 1 drivers -v0000000000f0b4d0_0 .net "out", 0 0, L_00000000026d56b0; alias, 1 drivers -v0000000000f0cf10_0 .net "s0", 0 0, L_00000000026630a0; 1 drivers -v0000000000f0b570_0 .net "s1", 0 0, L_0000000002664220; 1 drivers -S_0000000000f09cd0 .scope generate, "aluBits[18]" "aluBits[18]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de0c80 .param/l "i" 0 4 49, +C4<010010>; -L_00000000026d51e0/d .functor XOR 1, L_00000000026645e0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d51e0 .delay 1 (20000,20000,20000) L_00000000026d51e0/d; -L_00000000026d5e90/d .functor AND 1, v0000000000e20d10_0, L_00000000026642c0, C4<1>, C4<1>; -L_00000000026d5e90 .delay 1 (30000,30000,30000) L_00000000026d5e90/d; -L_00000000026d5a30/d .functor AND 1, L_00000000026665c0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d5a30 .delay 1 (30000,30000,30000) L_00000000026d5a30/d; -v0000000000f0fc10_0 .net *"_s1", 0 0, L_00000000026645e0; 1 drivers -v0000000000f0f030_0 .net *"_s3", 0 0, L_00000000026642c0; 1 drivers -v0000000000f0f490_0 .net *"_s9", 0 0, L_00000000026665c0; 1 drivers -S_0000000000f0aed0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09cd0; +L_0000000002808e00/d .functor NOT 1, L_0000000002797820, C4<0>, C4<0>, C4<0>; +L_0000000002808e00 .delay 1 (10000,10000,10000) L_0000000002808e00/d; +L_0000000002809110/d .functor NOT 1, L_00000000027957a0, C4<0>, C4<0>, C4<0>; +L_0000000002809110 .delay 1 (10000,10000,10000) L_0000000002809110/d; +L_0000000002809570/d .functor NAND 1, L_00000000027957a0, L_0000000002797820, L_0000000002808310, C4<1>; +L_0000000002809570 .delay 1 (30000,30000,30000) L_0000000002809570/d; +L_0000000002808a80/d .functor NAND 1, L_00000000027957a0, L_0000000002808e00, L_00000000028090a0, C4<1>; +L_0000000002808a80 .delay 1 (30000,30000,30000) L_0000000002808a80/d; +L_00000000028088c0/d .functor NAND 1, L_0000000002809110, L_0000000002797820, L_00000000028095e0, C4<1>; +L_00000000028088c0 .delay 1 (30000,30000,30000) L_00000000028088c0/d; +L_0000000002808150/d .functor NAND 1, L_0000000002809110, L_0000000002808e00, L_00000000028080e0, C4<1>; +L_0000000002808150 .delay 1 (30000,30000,30000) L_0000000002808150/d; +L_0000000002809340/d .functor NAND 1, L_0000000002809570, L_0000000002808a80, L_00000000028088c0, L_0000000002808150; +L_0000000002809340 .delay 1 (40000,40000,40000) L_0000000002809340/d; +v0000000002763ae0_0 .net "a", 0 0, L_0000000002808310; alias, 1 drivers +v0000000002763900_0 .net "aout", 0 0, L_0000000002809570; 1 drivers +v0000000002764120_0 .net "b", 0 0, L_00000000028090a0; alias, 1 drivers +v0000000002763860_0 .net "bout", 0 0, L_0000000002808a80; 1 drivers +v00000000027639a0_0 .net "c", 0 0, L_00000000028095e0; alias, 1 drivers +v00000000027643a0_0 .net "cout", 0 0, L_00000000028088c0; 1 drivers +v0000000002764bc0_0 .net "d", 0 0, L_00000000028080e0; alias, 1 drivers +v00000000027637c0_0 .net "dout", 0 0, L_0000000002808150; 1 drivers +v0000000002764c60_0 .net "ns0", 0 0, L_0000000002808e00; 1 drivers +v0000000002763680_0 .net "ns1", 0 0, L_0000000002809110; 1 drivers +v0000000002763a40_0 .net "out", 0 0, L_0000000002809340; alias, 1 drivers +v0000000002763180_0 .net "s0", 0 0, L_0000000002797820; 1 drivers +v0000000002765660_0 .net "s1", 0 0, L_00000000027957a0; 1 drivers +S_000000000275c3a0 .scope generate, "aluBits[18]" "aluBits[18]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06820 .param/l "i" 0 4 49, +C4<010010>; +L_00000000028093b0/d .functor XOR 1, L_00000000027989a0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028093b0 .delay 1 (20000,20000,20000) L_00000000028093b0/d; +L_0000000002808fc0/d .functor AND 1, v0000000000e67370_0, L_0000000002797fa0, C4<1>, C4<1>; +L_0000000002808fc0 .delay 1 (30000,30000,30000) L_0000000002808fc0/d; +L_00000000028083f0/d .functor AND 1, L_0000000002799440, L_000000000282c280, C4<1>, C4<1>; +L_00000000028083f0 .delay 1 (30000,30000,30000) L_00000000028083f0/d; +v0000000002765700_0 .net *"_s1", 0 0, L_00000000027989a0; 1 drivers +v0000000002765520_0 .net *"_s3", 0 0, L_0000000002797fa0; 1 drivers +v00000000027655c0_0 .net *"_s9", 0 0, L_0000000002799440; 1 drivers +S_000000000275dd20 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000275c3a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2611,19 +2620,19 @@ S_0000000000f0aed0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f0f2b0_0 .net "a", 0 0, L_00000000026644a0; 1 drivers -v0000000000f0fad0_0 .net "b", 0 0, L_0000000002666f20; 1 drivers -v0000000000f0e090_0 .net "carryAND", 0 0, L_00000000026d5720; 1 drivers -v0000000000f0fd50_0 .net "cin", 0 0, L_0000000002665440; 1 drivers -v0000000000f0e130_0 .net "ctrl0", 0 0, L_0000000002665260; 1 drivers -v0000000000f0e630_0 .net "nab", 0 0, L_00000000026d5f00; 1 drivers -v0000000000f0eef0_0 .net "orNOR", 0 0, L_00000000026d5250; 1 drivers -v0000000000f0f670_0 .net "res", 0 0, L_00000000026d6130; 1 drivers -v0000000000f0e8b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f0ef90_0 .net "sumXOR", 0 0, L_00000000026d4a00; 1 drivers -L_0000000002663640 .part v0000000000e21990_0, 1, 1; -L_0000000002664360 .part v0000000000e21990_0, 0, 1; -S_0000000000f09b50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0aed0; +v0000000002764e40_0 .net "a", 0 0, L_0000000002799a80; 1 drivers +v0000000002765160_0 .net "b", 0 0, L_00000000027987c0; 1 drivers +v0000000002765200_0 .net "carryAND", 0 0, L_0000000002809180; 1 drivers +v00000000027652a0_0 .net "cin", 0 0, L_0000000002798680; 1 drivers +v00000000027635e0_0 .net "ctrl0", 0 0, L_0000000002798040; 1 drivers +v0000000002763360_0 .net "nab", 0 0, L_0000000002809030; 1 drivers +v00000000027634a0_0 .net "orNOR", 0 0, L_0000000002809650; 1 drivers +v0000000002763540_0 .net "res", 0 0, L_0000000002809490; 1 drivers +v0000000002765340_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002765480_0 .net "sumXOR", 0 0, L_00000000028082a0; 1 drivers +L_000000000279a020 .part v0000000000e625f0_0, 1, 1; +L_00000000027985e0 .part v0000000000e625f0_0, 0, 1; +S_000000000275c520 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000275dd20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2633,32 +2642,32 @@ S_0000000000f09b50 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0aed .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d5f00/d .functor NAND 1, L_00000000026644a0, L_0000000002666f20, C4<1>, C4<1>; -L_00000000026d5f00 .delay 1 (20000,20000,20000) L_00000000026d5f00/d; -L_00000000026d5480/d .functor NAND 1, L_0000000002666f20, L_0000000002665440, C4<1>, C4<1>; -L_00000000026d5480 .delay 1 (20000,20000,20000) L_00000000026d5480/d; -L_00000000026d54f0/d .functor NAND 1, L_00000000026644a0, L_0000000002665440, C4<1>, C4<1>; -L_00000000026d54f0 .delay 1 (20000,20000,20000) L_00000000026d54f0/d; -L_00000000026d5720/d .functor NAND 1, L_00000000026d5f00, L_00000000026d54f0, L_00000000026d5480, C4<1>; -L_00000000026d5720 .delay 1 (30000,30000,30000) L_00000000026d5720/d; -L_00000000026d4a00/d .functor XOR 1, L_00000000026644a0, L_0000000002666f20, L_0000000002665440, C4<0>; -L_00000000026d4a00 .delay 1 (30000,30000,30000) L_00000000026d4a00/d; -L_00000000026d5790/d .functor NOR 1, L_00000000026644a0, L_0000000002666f20, C4<0>, C4<0>; -L_00000000026d5790 .delay 1 (20000,20000,20000) L_00000000026d5790/d; -L_00000000026d5250/d .functor XOR 1, L_00000000026d5790, L_0000000002665260, C4<0>, C4<0>; -L_00000000026d5250 .delay 1 (20000,20000,20000) L_00000000026d5250/d; -v0000000000f0cdd0_0 .net "a", 0 0, L_00000000026644a0; alias, 1 drivers -v0000000000f0f8f0_0 .net "anorb", 0 0, L_00000000026d5790; 1 drivers -v0000000000f0ee50_0 .net "b", 0 0, L_0000000002666f20; alias, 1 drivers -v0000000000f0ea90_0 .net "carryAND", 0 0, L_00000000026d5720; alias, 1 drivers -v0000000000f0fa30_0 .net "carryin", 0 0, L_0000000002665440; alias, 1 drivers -v0000000000f0eb30_0 .net "i0", 0 0, L_0000000002665260; alias, 1 drivers -v0000000000f0ec70_0 .net "nab", 0 0, L_00000000026d5f00; alias, 1 drivers -v0000000000f0e9f0_0 .net "nac", 0 0, L_00000000026d54f0; 1 drivers -v0000000000f0ebd0_0 .net "nbc", 0 0, L_00000000026d5480; 1 drivers -v0000000000f0dc30_0 .net "orNOR", 0 0, L_00000000026d5250; alias, 1 drivers -v0000000000f0fb70_0 .net "sumXOR", 0 0, L_00000000026d4a00; alias, 1 drivers -S_0000000000f0a750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0aed0; +L_0000000002809030/d .functor NAND 1, L_0000000002799a80, L_00000000027987c0, C4<1>, C4<1>; +L_0000000002809030 .delay 1 (20000,20000,20000) L_0000000002809030/d; +L_00000000028091f0/d .functor NAND 1, L_00000000027987c0, L_0000000002798680, C4<1>, C4<1>; +L_00000000028091f0 .delay 1 (20000,20000,20000) L_00000000028091f0/d; +L_00000000028081c0/d .functor NAND 1, L_0000000002799a80, L_0000000002798680, C4<1>, C4<1>; +L_00000000028081c0 .delay 1 (20000,20000,20000) L_00000000028081c0/d; +L_0000000002809180/d .functor NAND 1, L_0000000002809030, L_00000000028081c0, L_00000000028091f0, C4<1>; +L_0000000002809180 .delay 1 (30000,30000,30000) L_0000000002809180/d; +L_00000000028082a0/d .functor XOR 1, L_0000000002799a80, L_00000000027987c0, L_0000000002798680, C4<0>; +L_00000000028082a0 .delay 1 (30000,30000,30000) L_00000000028082a0/d; +L_0000000002807e40/d .functor NOR 1, L_0000000002799a80, L_00000000027987c0, C4<0>, C4<0>; +L_0000000002807e40 .delay 1 (20000,20000,20000) L_0000000002807e40/d; +L_0000000002809650/d .functor XOR 1, L_0000000002807e40, L_0000000002798040, C4<0>, C4<0>; +L_0000000002809650 .delay 1 (20000,20000,20000) L_0000000002809650/d; +v0000000002763e00_0 .net "a", 0 0, L_0000000002799a80; alias, 1 drivers +v0000000002764260_0 .net "anorb", 0 0, L_0000000002807e40; 1 drivers +v0000000002764d00_0 .net "b", 0 0, L_00000000027987c0; alias, 1 drivers +v00000000027644e0_0 .net "carryAND", 0 0, L_0000000002809180; alias, 1 drivers +v00000000027632c0_0 .net "carryin", 0 0, L_0000000002798680; alias, 1 drivers +v0000000002763ea0_0 .net "i0", 0 0, L_0000000002798040; alias, 1 drivers +v0000000002763fe0_0 .net "nab", 0 0, L_0000000002809030; alias, 1 drivers +v00000000027641c0_0 .net "nac", 0 0, L_00000000028081c0; 1 drivers +v0000000002764300_0 .net "nbc", 0 0, L_00000000028091f0; 1 drivers +v00000000027646c0_0 .net "orNOR", 0 0, L_0000000002809650; alias, 1 drivers +v0000000002765840_0 .net "sumXOR", 0 0, L_00000000028082a0; alias, 1 drivers +S_000000000275c220 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000275dd20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2667,46 +2676,46 @@ S_0000000000f0a750 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d6280/d .functor NOT 1, L_0000000002664360, C4<0>, C4<0>, C4<0>; -L_00000000026d6280 .delay 1 (10000,10000,10000) L_00000000026d6280/d; -L_00000000026d4c30/d .functor NOT 1, L_0000000002663640, C4<0>, C4<0>, C4<0>; -L_00000000026d4c30 .delay 1 (10000,10000,10000) L_00000000026d4c30/d; -L_00000000026d52c0/d .functor NAND 1, L_0000000002663640, L_0000000002664360, L_00000000026d4a00, C4<1>; -L_00000000026d52c0 .delay 1 (30000,30000,30000) L_00000000026d52c0/d; -L_00000000026d5800/d .functor NAND 1, L_0000000002663640, L_00000000026d6280, L_00000000026d5250, C4<1>; -L_00000000026d5800 .delay 1 (30000,30000,30000) L_00000000026d5800/d; -L_00000000026d5f70/d .functor NAND 1, L_00000000026d4c30, L_0000000002664360, L_00000000026d5720, C4<1>; -L_00000000026d5f70 .delay 1 (30000,30000,30000) L_00000000026d5f70/d; -L_00000000026d60c0/d .functor NAND 1, L_00000000026d4c30, L_00000000026d6280, L_00000000026d5f00, C4<1>; -L_00000000026d60c0 .delay 1 (30000,30000,30000) L_00000000026d60c0/d; -L_00000000026d6130/d .functor NAND 1, L_00000000026d52c0, L_00000000026d5800, L_00000000026d5f70, L_00000000026d60c0; -L_00000000026d6130 .delay 1 (40000,40000,40000) L_00000000026d6130/d; -v0000000000f0f3f0_0 .net "a", 0 0, L_00000000026d4a00; alias, 1 drivers -v0000000000f0e950_0 .net "aout", 0 0, L_00000000026d52c0; 1 drivers -v0000000000f0dcd0_0 .net "b", 0 0, L_00000000026d5250; alias, 1 drivers -v0000000000f0fe90_0 .net "bout", 0 0, L_00000000026d5800; 1 drivers -v0000000000f0de10_0 .net "c", 0 0, L_00000000026d5720; alias, 1 drivers -v0000000000f0dd70_0 .net "cout", 0 0, L_00000000026d5f70; 1 drivers -v0000000000f0f530_0 .net "d", 0 0, L_00000000026d5f00; alias, 1 drivers -v0000000000f0f990_0 .net "dout", 0 0, L_00000000026d60c0; 1 drivers -v0000000000f0ed10_0 .net "ns0", 0 0, L_00000000026d6280; 1 drivers -v0000000000f0edb0_0 .net "ns1", 0 0, L_00000000026d4c30; 1 drivers -v0000000000f0fcb0_0 .net "out", 0 0, L_00000000026d6130; alias, 1 drivers -v0000000000f0da50_0 .net "s0", 0 0, L_0000000002664360; 1 drivers -v0000000000f0deb0_0 .net "s1", 0 0, L_0000000002663640; 1 drivers -S_0000000000f090d0 .scope generate, "aluBits[19]" "aluBits[19]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de11c0 .param/l "i" 0 4 49, +C4<010011>; -L_00000000026d53a0/d .functor XOR 1, L_0000000002665580, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d53a0 .delay 1 (20000,20000,20000) L_00000000026d53a0/d; -L_00000000026d58e0/d .functor AND 1, v0000000000e20d10_0, L_0000000002666160, C4<1>, C4<1>; -L_00000000026d58e0 .delay 1 (30000,30000,30000) L_00000000026d58e0/d; -L_00000000026d4ae0/d .functor AND 1, L_0000000002665f80, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d4ae0 .delay 1 (30000,30000,30000) L_00000000026d4ae0/d; -v0000000000f101b0_0 .net *"_s1", 0 0, L_0000000002665580; 1 drivers -v0000000000f10b10_0 .net *"_s3", 0 0, L_0000000002666160; 1 drivers -v0000000000f12550_0 .net *"_s9", 0 0, L_0000000002665f80; 1 drivers -S_0000000000f0abd0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f090d0; +L_0000000002808bd0/d .functor NOT 1, L_00000000027985e0, C4<0>, C4<0>, C4<0>; +L_0000000002808bd0 .delay 1 (10000,10000,10000) L_0000000002808bd0/d; +L_00000000028092d0/d .functor NOT 1, L_000000000279a020, C4<0>, C4<0>, C4<0>; +L_00000000028092d0 .delay 1 (10000,10000,10000) L_00000000028092d0/d; +L_0000000002808380/d .functor NAND 1, L_000000000279a020, L_00000000027985e0, L_00000000028082a0, C4<1>; +L_0000000002808380 .delay 1 (30000,30000,30000) L_0000000002808380/d; +L_0000000002809420/d .functor NAND 1, L_000000000279a020, L_0000000002808bd0, L_0000000002809650, C4<1>; +L_0000000002809420 .delay 1 (30000,30000,30000) L_0000000002809420/d; +L_00000000028096c0/d .functor NAND 1, L_00000000028092d0, L_00000000027985e0, L_0000000002809180, C4<1>; +L_00000000028096c0 .delay 1 (30000,30000,30000) L_00000000028096c0/d; +L_00000000028097a0/d .functor NAND 1, L_00000000028092d0, L_0000000002808bd0, L_0000000002809030, C4<1>; +L_00000000028097a0 .delay 1 (30000,30000,30000) L_00000000028097a0/d; +L_0000000002809490/d .functor NAND 1, L_0000000002808380, L_0000000002809420, L_00000000028096c0, L_00000000028097a0; +L_0000000002809490 .delay 1 (40000,40000,40000) L_0000000002809490/d; +v0000000002764800_0 .net "a", 0 0, L_00000000028082a0; alias, 1 drivers +v0000000002764f80_0 .net "aout", 0 0, L_0000000002808380; 1 drivers +v0000000002764440_0 .net "b", 0 0, L_0000000002809650; alias, 1 drivers +v00000000027630e0_0 .net "bout", 0 0, L_0000000002809420; 1 drivers +v0000000002763400_0 .net "c", 0 0, L_0000000002809180; alias, 1 drivers +v00000000027653e0_0 .net "cout", 0 0, L_00000000028096c0; 1 drivers +v0000000002764580_0 .net "d", 0 0, L_0000000002809030; alias, 1 drivers +v0000000002764b20_0 .net "dout", 0 0, L_00000000028097a0; 1 drivers +v0000000002764620_0 .net "ns0", 0 0, L_0000000002808bd0; 1 drivers +v0000000002764760_0 .net "ns1", 0 0, L_00000000028092d0; 1 drivers +v0000000002764ee0_0 .net "out", 0 0, L_0000000002809490; alias, 1 drivers +v0000000002764da0_0 .net "s0", 0 0, L_00000000027985e0; 1 drivers +v00000000027650c0_0 .net "s1", 0 0, L_000000000279a020; 1 drivers +S_000000000275da20 .scope generate, "aluBits[19]" "aluBits[19]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f062e0 .param/l "i" 0 4 49, +C4<010011>; +L_0000000002808460/d .functor XOR 1, L_00000000027994e0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002808460 .delay 1 (20000,20000,20000) L_0000000002808460/d; +L_0000000002808a10/d .functor AND 1, v0000000000e67370_0, L_0000000002799b20, C4<1>, C4<1>; +L_0000000002808a10 .delay 1 (30000,30000,30000) L_0000000002808a10/d; +L_0000000002808000/d .functor AND 1, L_0000000002797f00, L_000000000282c280, C4<1>, C4<1>; +L_0000000002808000 .delay 1 (30000,30000,30000) L_0000000002808000/d; +v0000000002766600_0 .net *"_s1", 0 0, L_00000000027994e0; 1 drivers +v00000000027666a0_0 .net *"_s3", 0 0, L_0000000002799b20; 1 drivers +v0000000002767320_0 .net *"_s9", 0 0, L_0000000002797f00; 1 drivers +S_000000000275c820 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000275da20; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2715,19 +2724,19 @@ S_0000000000f0abd0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f0e6d0_0 .net "a", 0 0, L_00000000026668e0; 1 drivers -v0000000000f0dff0_0 .net "b", 0 0, L_0000000002665a80; 1 drivers -v0000000000f0e770_0 .net "carryAND", 0 0, L_00000000026d5b10; 1 drivers -v0000000000f0e810_0 .net "cin", 0 0, L_0000000002666e80; 1 drivers -v0000000000f10250_0 .net "ctrl0", 0 0, L_0000000002666520; 1 drivers -v0000000000f113d0_0 .net "nab", 0 0, L_00000000026d4b50; 1 drivers -v0000000000f122d0_0 .net "orNOR", 0 0, L_00000000026d5b80; 1 drivers -v0000000000f10a70_0 .net "res", 0 0, L_00000000026d4a70; 1 drivers -v0000000000f127d0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f12870_0 .net "sumXOR", 0 0, L_00000000026d59c0; 1 drivers -L_0000000002665300 .part v0000000000e21990_0, 1, 1; -L_0000000002666020 .part v0000000000e21990_0, 0, 1; -S_0000000000f0a8d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0abd0; +v0000000002765de0_0 .net "a", 0 0, L_0000000002798220; 1 drivers +v00000000027670a0_0 .net "b", 0 0, L_0000000002798180; 1 drivers +v00000000027664c0_0 .net "carryAND", 0 0, L_0000000002809810; 1 drivers +v0000000002765e80_0 .net "cin", 0 0, L_0000000002799da0; 1 drivers +v0000000002767140_0 .net "ctrl0", 0 0, L_0000000002798c20; 1 drivers +v0000000002767640_0 .net "nab", 0 0, L_0000000002808e70; 1 drivers +v0000000002767c80_0 .net "orNOR", 0 0, L_00000000028087e0; 1 drivers +v0000000002765fc0_0 .net "res", 0 0, L_0000000002808c40; 1 drivers +v0000000002766560_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002767a00_0 .net "sumXOR", 0 0, L_0000000002808770; 1 drivers +L_0000000002798ea0 .part v0000000000e625f0_0, 1, 1; +L_0000000002799300 .part v0000000000e625f0_0, 0, 1; +S_000000000275d120 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000275c820; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2737,32 +2746,32 @@ S_0000000000f0a8d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0abd .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d4b50/d .functor NAND 1, L_00000000026668e0, L_0000000002665a80, C4<1>, C4<1>; -L_00000000026d4b50 .delay 1 (20000,20000,20000) L_00000000026d4b50/d; -L_00000000026d62f0/d .functor NAND 1, L_0000000002665a80, L_0000000002666e80, C4<1>, C4<1>; -L_00000000026d62f0 .delay 1 (20000,20000,20000) L_00000000026d62f0/d; -L_00000000026d6360/d .functor NAND 1, L_00000000026668e0, L_0000000002666e80, C4<1>, C4<1>; -L_00000000026d6360 .delay 1 (20000,20000,20000) L_00000000026d6360/d; -L_00000000026d5b10/d .functor NAND 1, L_00000000026d4b50, L_00000000026d6360, L_00000000026d62f0, C4<1>; -L_00000000026d5b10 .delay 1 (30000,30000,30000) L_00000000026d5b10/d; -L_00000000026d59c0/d .functor XOR 1, L_00000000026668e0, L_0000000002665a80, L_0000000002666e80, C4<0>; -L_00000000026d59c0 .delay 1 (30000,30000,30000) L_00000000026d59c0/d; -L_00000000026d6440/d .functor NOR 1, L_00000000026668e0, L_0000000002665a80, C4<0>, C4<0>; -L_00000000026d6440 .delay 1 (20000,20000,20000) L_00000000026d6440/d; -L_00000000026d5b80/d .functor XOR 1, L_00000000026d6440, L_0000000002666520, C4<0>, C4<0>; -L_00000000026d5b80 .delay 1 (20000,20000,20000) L_00000000026d5b80/d; -v0000000000f0e590_0 .net "a", 0 0, L_00000000026668e0; alias, 1 drivers -v0000000000f10070_0 .net "anorb", 0 0, L_00000000026d6440; 1 drivers -v0000000000f0e270_0 .net "b", 0 0, L_0000000002665a80; alias, 1 drivers -v0000000000f0f850_0 .net "carryAND", 0 0, L_00000000026d5b10; alias, 1 drivers -v0000000000f0f0d0_0 .net "carryin", 0 0, L_0000000002666e80; alias, 1 drivers -v0000000000f0f170_0 .net "i0", 0 0, L_0000000002666520; alias, 1 drivers -v0000000000f0f210_0 .net "nab", 0 0, L_00000000026d4b50; alias, 1 drivers -v0000000000f0ff30_0 .net "nac", 0 0, L_00000000026d6360; 1 drivers -v0000000000f0f350_0 .net "nbc", 0 0, L_00000000026d62f0; 1 drivers -v0000000000f0d9b0_0 .net "orNOR", 0 0, L_00000000026d5b80; alias, 1 drivers -v0000000000f0fdf0_0 .net "sumXOR", 0 0, L_00000000026d59c0; alias, 1 drivers -S_0000000000f0ad50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f0abd0; +L_0000000002808e70/d .functor NAND 1, L_0000000002798220, L_0000000002798180, C4<1>, C4<1>; +L_0000000002808e70 .delay 1 (20000,20000,20000) L_0000000002808e70/d; +L_0000000002809500/d .functor NAND 1, L_0000000002798180, L_0000000002799da0, C4<1>, C4<1>; +L_0000000002809500 .delay 1 (20000,20000,20000) L_0000000002809500/d; +L_0000000002808af0/d .functor NAND 1, L_0000000002798220, L_0000000002799da0, C4<1>, C4<1>; +L_0000000002808af0 .delay 1 (20000,20000,20000) L_0000000002808af0/d; +L_0000000002809810/d .functor NAND 1, L_0000000002808e70, L_0000000002808af0, L_0000000002809500, C4<1>; +L_0000000002809810 .delay 1 (30000,30000,30000) L_0000000002809810/d; +L_0000000002808770/d .functor XOR 1, L_0000000002798220, L_0000000002798180, L_0000000002799da0, C4<0>; +L_0000000002808770 .delay 1 (30000,30000,30000) L_0000000002808770/d; +L_0000000002809880/d .functor NOR 1, L_0000000002798220, L_0000000002798180, C4<0>, C4<0>; +L_0000000002809880 .delay 1 (20000,20000,20000) L_0000000002809880/d; +L_00000000028087e0/d .functor XOR 1, L_0000000002809880, L_0000000002798c20, C4<0>, C4<0>; +L_00000000028087e0 .delay 1 (20000,20000,20000) L_00000000028087e0/d; +v00000000027657a0_0 .net "a", 0 0, L_0000000002798220; alias, 1 drivers +v00000000027671e0_0 .net "anorb", 0 0, L_0000000002809880; 1 drivers +v0000000002767960_0 .net "b", 0 0, L_0000000002798180; alias, 1 drivers +v0000000002767000_0 .net "carryAND", 0 0, L_0000000002809810; alias, 1 drivers +v00000000027662e0_0 .net "carryin", 0 0, L_0000000002799da0; alias, 1 drivers +v0000000002766100_0 .net "i0", 0 0, L_0000000002798c20; alias, 1 drivers +v0000000002766e20_0 .net "nab", 0 0, L_0000000002808e70; alias, 1 drivers +v0000000002767780_0 .net "nac", 0 0, L_0000000002808af0; 1 drivers +v0000000002766060_0 .net "nbc", 0 0, L_0000000002809500; 1 drivers +v00000000027661a0_0 .net "orNOR", 0 0, L_00000000028087e0; alias, 1 drivers +v0000000002766ba0_0 .net "sumXOR", 0 0, L_0000000002808770; alias, 1 drivers +S_000000000275d720 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000275c820; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2771,46 +2780,46 @@ S_0000000000f0ad50 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d5bf0/d .functor NOT 1, L_0000000002666020, C4<0>, C4<0>, C4<0>; -L_00000000026d5bf0 .delay 1 (10000,10000,10000) L_00000000026d5bf0/d; -L_00000000026d5c60/d .functor NOT 1, L_0000000002665300, C4<0>, C4<0>, C4<0>; -L_00000000026d5c60 .delay 1 (10000,10000,10000) L_00000000026d5c60/d; -L_00000000026d5cd0/d .functor NAND 1, L_0000000002665300, L_0000000002666020, L_00000000026d59c0, C4<1>; -L_00000000026d5cd0 .delay 1 (30000,30000,30000) L_00000000026d5cd0/d; -L_00000000026d5d40/d .functor NAND 1, L_0000000002665300, L_00000000026d5bf0, L_00000000026d5b80, C4<1>; -L_00000000026d5d40 .delay 1 (30000,30000,30000) L_00000000026d5d40/d; -L_00000000026d64b0/d .functor NAND 1, L_00000000026d5c60, L_0000000002666020, L_00000000026d5b10, C4<1>; -L_00000000026d64b0 .delay 1 (30000,30000,30000) L_00000000026d64b0/d; -L_00000000026d6520/d .functor NAND 1, L_00000000026d5c60, L_00000000026d5bf0, L_00000000026d4b50, C4<1>; -L_00000000026d6520 .delay 1 (30000,30000,30000) L_00000000026d6520/d; -L_00000000026d4a70/d .functor NAND 1, L_00000000026d5cd0, L_00000000026d5d40, L_00000000026d64b0, L_00000000026d6520; -L_00000000026d4a70 .delay 1 (40000,40000,40000) L_00000000026d4a70/d; -v0000000000f0ffd0_0 .net "a", 0 0, L_00000000026d59c0; alias, 1 drivers -v0000000000f0f5d0_0 .net "aout", 0 0, L_00000000026d5cd0; 1 drivers -v0000000000f0e3b0_0 .net "b", 0 0, L_00000000026d5b80; alias, 1 drivers -v0000000000f0f710_0 .net "bout", 0 0, L_00000000026d5d40; 1 drivers -v0000000000f0daf0_0 .net "c", 0 0, L_00000000026d5b10; alias, 1 drivers -v0000000000f0df50_0 .net "cout", 0 0, L_00000000026d64b0; 1 drivers -v0000000000f0e450_0 .net "d", 0 0, L_00000000026d4b50; alias, 1 drivers -v0000000000f0e310_0 .net "dout", 0 0, L_00000000026d6520; 1 drivers -v0000000000f0f7b0_0 .net "ns0", 0 0, L_00000000026d5bf0; 1 drivers -v0000000000f0db90_0 .net "ns1", 0 0, L_00000000026d5c60; 1 drivers -v0000000000f0e4f0_0 .net "out", 0 0, L_00000000026d4a70; alias, 1 drivers -v0000000000f0e1d0_0 .net "s0", 0 0, L_0000000002666020; 1 drivers -v0000000000f0d910_0 .net "s1", 0 0, L_0000000002665300; 1 drivers -S_0000000000f09550 .scope generate, "aluBits[20]" "aluBits[20]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de2080 .param/l "i" 0 4 49, +C4<010100>; -L_00000000026d4bc0/d .functor XOR 1, L_0000000002665c60, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d4bc0 .delay 1 (20000,20000,20000) L_00000000026d4bc0/d; -L_00000000026d4d10/d .functor AND 1, v0000000000e20d10_0, L_0000000002666fc0, C4<1>, C4<1>; -L_00000000026d4d10 .delay 1 (30000,30000,30000) L_00000000026d4d10/d; -L_00000000026d70f0/d .functor AND 1, L_00000000026651c0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d70f0 .delay 1 (30000,30000,30000) L_00000000026d70f0/d; -v0000000000f11470_0 .net *"_s1", 0 0, L_0000000002665c60; 1 drivers -v0000000000f10d90_0 .net *"_s3", 0 0, L_0000000002666fc0; 1 drivers -v0000000000f116f0_0 .net *"_s9", 0 0, L_00000000026651c0; 1 drivers -S_0000000000f09250 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f09550; +L_00000000028098f0/d .functor NOT 1, L_0000000002799300, C4<0>, C4<0>, C4<0>; +L_00000000028098f0 .delay 1 (10000,10000,10000) L_00000000028098f0/d; +L_0000000002809960/d .functor NOT 1, L_0000000002798ea0, C4<0>, C4<0>, C4<0>; +L_0000000002809960 .delay 1 (10000,10000,10000) L_0000000002809960/d; +L_0000000002807eb0/d .functor NAND 1, L_0000000002798ea0, L_0000000002799300, L_0000000002808770, C4<1>; +L_0000000002807eb0 .delay 1 (30000,30000,30000) L_0000000002807eb0/d; +L_0000000002808850/d .functor NAND 1, L_0000000002798ea0, L_00000000028098f0, L_00000000028087e0, C4<1>; +L_0000000002808850 .delay 1 (30000,30000,30000) L_0000000002808850/d; +L_0000000002807f20/d .functor NAND 1, L_0000000002809960, L_0000000002799300, L_0000000002809810, C4<1>; +L_0000000002807f20 .delay 1 (30000,30000,30000) L_0000000002807f20/d; +L_0000000002808b60/d .functor NAND 1, L_0000000002809960, L_00000000028098f0, L_0000000002808e70, C4<1>; +L_0000000002808b60 .delay 1 (30000,30000,30000) L_0000000002808b60/d; +L_0000000002808c40/d .functor NAND 1, L_0000000002807eb0, L_0000000002808850, L_0000000002807f20, L_0000000002808b60; +L_0000000002808c40 .delay 1 (40000,40000,40000) L_0000000002808c40/d; +v0000000002767500_0 .net "a", 0 0, L_0000000002808770; alias, 1 drivers +v0000000002767aa0_0 .net "aout", 0 0, L_0000000002807eb0; 1 drivers +v0000000002766d80_0 .net "b", 0 0, L_00000000028087e0; alias, 1 drivers +v0000000002766380_0 .net "bout", 0 0, L_0000000002808850; 1 drivers +v00000000027673c0_0 .net "c", 0 0, L_0000000002809810; alias, 1 drivers +v0000000002767b40_0 .net "cout", 0 0, L_0000000002807f20; 1 drivers +v0000000002767be0_0 .net "d", 0 0, L_0000000002808e70; alias, 1 drivers +v0000000002767820_0 .net "dout", 0 0, L_0000000002808b60; 1 drivers +v0000000002766420_0 .net "ns0", 0 0, L_00000000028098f0; 1 drivers +v0000000002767280_0 .net "ns1", 0 0, L_0000000002809960; 1 drivers +v0000000002765980_0 .net "out", 0 0, L_0000000002808c40; alias, 1 drivers +v0000000002766880_0 .net "s0", 0 0, L_0000000002799300; 1 drivers +v0000000002766240_0 .net "s1", 0 0, L_0000000002798ea0; 1 drivers +S_000000000275d2a0 .scope generate, "aluBits[20]" "aluBits[20]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f061e0 .param/l "i" 0 4 49, +C4<010100>; +L_00000000028085b0/d .functor XOR 1, L_0000000002799c60, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028085b0 .delay 1 (20000,20000,20000) L_00000000028085b0/d; +L_0000000002808620/d .functor AND 1, v0000000000e67370_0, L_00000000027982c0, C4<1>, C4<1>; +L_0000000002808620 .delay 1 (30000,30000,30000) L_0000000002808620/d; +L_0000000002809ce0/d .functor AND 1, L_0000000002798360, L_000000000282c280, C4<1>, C4<1>; +L_0000000002809ce0 .delay 1 (30000,30000,30000) L_0000000002809ce0/d; +v00000000027696c0_0 .net *"_s1", 0 0, L_0000000002799c60; 1 drivers +v000000000276a160_0 .net *"_s3", 0 0, L_00000000027982c0; 1 drivers +v0000000002768860_0 .net *"_s9", 0 0, L_0000000002798360; 1 drivers +S_000000000275d420 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000275d2a0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2819,19 +2828,19 @@ S_0000000000f09250 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f10390_0 .net "a", 0 0, L_0000000002665d00; 1 drivers -v0000000000f10570_0 .net "b", 0 0, L_00000000026662a0; 1 drivers -v0000000000f11f10_0 .net "carryAND", 0 0, L_00000000026d4f40; 1 drivers -v0000000000f11d30_0 .net "cin", 0 0, L_0000000002665120; 1 drivers -v0000000000f11fb0_0 .net "ctrl0", 0 0, L_00000000026672e0; 1 drivers -v0000000000f10bb0_0 .net "nab", 0 0, L_00000000026d4d80; 1 drivers -v0000000000f10cf0_0 .net "orNOR", 0 0, L_00000000026d6670; 1 drivers -v0000000000f11010_0 .net "res", 0 0, L_00000000026d7be0; 1 drivers -v0000000000f10610_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f106b0_0 .net "sumXOR", 0 0, L_00000000026d6910; 1 drivers -L_0000000002666200 .part v0000000000e21990_0, 1, 1; -L_0000000002666980 .part v0000000000e21990_0, 0, 1; -S_0000000000f093d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f09250; +v0000000002766b00_0 .net "a", 0 0, L_0000000002798900; 1 drivers +v0000000002766ec0_0 .net "b", 0 0, L_0000000002798720; 1 drivers +v0000000002766c40_0 .net "carryAND", 0 0, L_0000000002809ff0; 1 drivers +v0000000002766f60_0 .net "cin", 0 0, L_0000000002797a00; 1 drivers +v0000000002768b80_0 .net "ctrl0", 0 0, L_0000000002799620; 1 drivers +v000000000276a700_0 .net "nab", 0 0, L_0000000002808cb0; 1 drivers +v0000000002769800_0 .net "orNOR", 0 0, L_0000000002809d50; 1 drivers +v0000000002768360_0 .net "res", 0 0, L_0000000002809ab0; 1 drivers +v000000000276a520_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000276a480_0 .net "sumXOR", 0 0, L_0000000002809a40; 1 drivers +L_0000000002798cc0 .part v0000000000e625f0_0, 1, 1; +L_0000000002799580 .part v0000000000e625f0_0, 0, 1; +S_000000000275d8a0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000275d420; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2841,32 +2850,32 @@ S_0000000000f093d0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f0925 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d4d80/d .functor NAND 1, L_0000000002665d00, L_00000000026662a0, C4<1>, C4<1>; -L_00000000026d4d80 .delay 1 (20000,20000,20000) L_00000000026d4d80/d; -L_00000000026d4df0/d .functor NAND 1, L_00000000026662a0, L_0000000002665120, C4<1>, C4<1>; -L_00000000026d4df0 .delay 1 (20000,20000,20000) L_00000000026d4df0/d; -L_00000000026d4e60/d .functor NAND 1, L_0000000002665d00, L_0000000002665120, C4<1>, C4<1>; -L_00000000026d4e60 .delay 1 (20000,20000,20000) L_00000000026d4e60/d; -L_00000000026d4f40/d .functor NAND 1, L_00000000026d4d80, L_00000000026d4e60, L_00000000026d4df0, C4<1>; -L_00000000026d4f40 .delay 1 (30000,30000,30000) L_00000000026d4f40/d; -L_00000000026d6910/d .functor XOR 1, L_0000000002665d00, L_00000000026662a0, L_0000000002665120, C4<0>; -L_00000000026d6910 .delay 1 (30000,30000,30000) L_00000000026d6910/d; -L_00000000026d6d00/d .functor NOR 1, L_0000000002665d00, L_00000000026662a0, C4<0>, C4<0>; -L_00000000026d6d00 .delay 1 (20000,20000,20000) L_00000000026d6d00/d; -L_00000000026d6670/d .functor XOR 1, L_00000000026d6d00, L_00000000026672e0, C4<0>, C4<0>; -L_00000000026d6670 .delay 1 (20000,20000,20000) L_00000000026d6670/d; -v0000000000f10110_0 .net "a", 0 0, L_0000000002665d00; alias, 1 drivers -v0000000000f120f0_0 .net "anorb", 0 0, L_00000000026d6d00; 1 drivers -v0000000000f109d0_0 .net "b", 0 0, L_00000000026662a0; alias, 1 drivers -v0000000000f102f0_0 .net "carryAND", 0 0, L_00000000026d4f40; alias, 1 drivers -v0000000000f11bf0_0 .net "carryin", 0 0, L_0000000002665120; alias, 1 drivers -v0000000000f11150_0 .net "i0", 0 0, L_00000000026672e0; alias, 1 drivers -v0000000000f10430_0 .net "nab", 0 0, L_00000000026d4d80; alias, 1 drivers -v0000000000f11c90_0 .net "nac", 0 0, L_00000000026d4e60; 1 drivers -v0000000000f12690_0 .net "nbc", 0 0, L_00000000026d4df0; 1 drivers -v0000000000f110b0_0 .net "orNOR", 0 0, L_00000000026d6670; alias, 1 drivers -v0000000000f104d0_0 .net "sumXOR", 0 0, L_00000000026d6910; alias, 1 drivers -S_0000000000f1c770 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f09250; +L_0000000002808cb0/d .functor NAND 1, L_0000000002798900, L_0000000002798720, C4<1>, C4<1>; +L_0000000002808cb0 .delay 1 (20000,20000,20000) L_0000000002808cb0/d; +L_0000000002808690/d .functor NAND 1, L_0000000002798720, L_0000000002797a00, C4<1>, C4<1>; +L_0000000002808690 .delay 1 (20000,20000,20000) L_0000000002808690/d; +L_0000000002808700/d .functor NAND 1, L_0000000002798900, L_0000000002797a00, C4<1>, C4<1>; +L_0000000002808700 .delay 1 (20000,20000,20000) L_0000000002808700/d; +L_0000000002809ff0/d .functor NAND 1, L_0000000002808cb0, L_0000000002808700, L_0000000002808690, C4<1>; +L_0000000002809ff0 .delay 1 (30000,30000,30000) L_0000000002809ff0/d; +L_0000000002809a40/d .functor XOR 1, L_0000000002798900, L_0000000002798720, L_0000000002797a00, C4<0>; +L_0000000002809a40 .delay 1 (30000,30000,30000) L_0000000002809a40/d; +L_0000000002809c00/d .functor NOR 1, L_0000000002798900, L_0000000002798720, C4<0>, C4<0>; +L_0000000002809c00 .delay 1 (20000,20000,20000) L_0000000002809c00/d; +L_0000000002809d50/d .functor XOR 1, L_0000000002809c00, L_0000000002799620, C4<0>, C4<0>; +L_0000000002809d50 .delay 1 (20000,20000,20000) L_0000000002809d50/d; +v0000000002767fa0_0 .net "a", 0 0, L_0000000002798900; alias, 1 drivers +v00000000027676e0_0 .net "anorb", 0 0, L_0000000002809c00; 1 drivers +v00000000027658e0_0 .net "b", 0 0, L_0000000002798720; alias, 1 drivers +v0000000002766ce0_0 .net "carryAND", 0 0, L_0000000002809ff0; alias, 1 drivers +v0000000002767460_0 .net "carryin", 0 0, L_0000000002797a00; alias, 1 drivers +v00000000027678c0_0 .net "i0", 0 0, L_0000000002799620; alias, 1 drivers +v00000000027675a0_0 .net "nab", 0 0, L_0000000002808cb0; alias, 1 drivers +v0000000002766740_0 .net "nac", 0 0, L_0000000002808700; 1 drivers +v0000000002765a20_0 .net "nbc", 0 0, L_0000000002808690; 1 drivers +v0000000002767d20_0 .net "orNOR", 0 0, L_0000000002809d50; alias, 1 drivers +v0000000002767dc0_0 .net "sumXOR", 0 0, L_0000000002809a40; alias, 1 drivers +S_000000000276e0c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000275d420; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2875,46 +2884,46 @@ S_0000000000f1c770 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d7470/d .functor NOT 1, L_0000000002666980, C4<0>, C4<0>, C4<0>; -L_00000000026d7470 .delay 1 (10000,10000,10000) L_00000000026d7470/d; -L_00000000026d8120/d .functor NOT 1, L_0000000002666200, C4<0>, C4<0>, C4<0>; -L_00000000026d8120 .delay 1 (10000,10000,10000) L_00000000026d8120/d; -L_00000000026d80b0/d .functor NAND 1, L_0000000002666200, L_0000000002666980, L_00000000026d6910, C4<1>; -L_00000000026d80b0 .delay 1 (30000,30000,30000) L_00000000026d80b0/d; -L_00000000026d7ef0/d .functor NAND 1, L_0000000002666200, L_00000000026d7470, L_00000000026d6670, C4<1>; -L_00000000026d7ef0 .delay 1 (30000,30000,30000) L_00000000026d7ef0/d; -L_00000000026d6bb0/d .functor NAND 1, L_00000000026d8120, L_0000000002666980, L_00000000026d4f40, C4<1>; -L_00000000026d6bb0 .delay 1 (30000,30000,30000) L_00000000026d6bb0/d; -L_00000000026d7710/d .functor NAND 1, L_00000000026d8120, L_00000000026d7470, L_00000000026d4d80, C4<1>; -L_00000000026d7710 .delay 1 (30000,30000,30000) L_00000000026d7710/d; -L_00000000026d7be0/d .functor NAND 1, L_00000000026d80b0, L_00000000026d7ef0, L_00000000026d6bb0, L_00000000026d7710; -L_00000000026d7be0 .delay 1 (40000,40000,40000) L_00000000026d7be0/d; -v0000000000f111f0_0 .net "a", 0 0, L_00000000026d6910; alias, 1 drivers -v0000000000f10890_0 .net "aout", 0 0, L_00000000026d80b0; 1 drivers -v0000000000f12230_0 .net "b", 0 0, L_00000000026d6670; alias, 1 drivers -v0000000000f11830_0 .net "bout", 0 0, L_00000000026d7ef0; 1 drivers -v0000000000f11650_0 .net "c", 0 0, L_00000000026d4f40; alias, 1 drivers -v0000000000f11290_0 .net "cout", 0 0, L_00000000026d6bb0; 1 drivers -v0000000000f125f0_0 .net "d", 0 0, L_00000000026d4d80; alias, 1 drivers -v0000000000f10930_0 .net "dout", 0 0, L_00000000026d7710; 1 drivers -v0000000000f12730_0 .net "ns0", 0 0, L_00000000026d7470; 1 drivers -v0000000000f115b0_0 .net "ns1", 0 0, L_00000000026d8120; 1 drivers -v0000000000f11e70_0 .net "out", 0 0, L_00000000026d7be0; alias, 1 drivers -v0000000000f11330_0 .net "s0", 0 0, L_0000000002666980; 1 drivers -v0000000000f10c50_0 .net "s1", 0 0, L_0000000002666200; 1 drivers -S_0000000000f1bff0 .scope generate, "aluBits[21]" "aluBits[21]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de2740 .param/l "i" 0 4 49, +C4<010101>; -L_00000000026d79b0/d .functor XOR 1, L_0000000002665b20, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d79b0 .delay 1 (20000,20000,20000) L_00000000026d79b0/d; -L_00000000026d7550/d .functor AND 1, v0000000000e20d10_0, L_0000000002666840, C4<1>, C4<1>; -L_00000000026d7550 .delay 1 (30000,30000,30000) L_00000000026d7550/d; -L_00000000026d7da0/d .functor AND 1, L_0000000002665da0, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d7da0 .delay 1 (30000,30000,30000) L_00000000026d7da0/d; -v0000000000f14710_0 .net *"_s1", 0 0, L_0000000002665b20; 1 drivers -v0000000000f13310_0 .net *"_s3", 0 0, L_0000000002666840; 1 drivers -v0000000000f14b70_0 .net *"_s9", 0 0, L_0000000002665da0; 1 drivers -S_0000000000f1cd70 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bff0; +L_000000000280a0d0/d .functor NOT 1, L_0000000002799580, C4<0>, C4<0>, C4<0>; +L_000000000280a0d0 .delay 1 (10000,10000,10000) L_000000000280a0d0/d; +L_000000000280a060/d .functor NOT 1, L_0000000002798cc0, C4<0>, C4<0>, C4<0>; +L_000000000280a060 .delay 1 (10000,10000,10000) L_000000000280a060/d; +L_0000000002809c70/d .functor NAND 1, L_0000000002798cc0, L_0000000002799580, L_0000000002809a40, C4<1>; +L_0000000002809c70 .delay 1 (30000,30000,30000) L_0000000002809c70/d; +L_00000000028099d0/d .functor NAND 1, L_0000000002798cc0, L_000000000280a0d0, L_0000000002809d50, C4<1>; +L_00000000028099d0 .delay 1 (30000,30000,30000) L_00000000028099d0/d; +L_0000000002809e30/d .functor NAND 1, L_000000000280a060, L_0000000002799580, L_0000000002809ff0, C4<1>; +L_0000000002809e30 .delay 1 (30000,30000,30000) L_0000000002809e30/d; +L_0000000002809f80/d .functor NAND 1, L_000000000280a060, L_000000000280a0d0, L_0000000002808cb0, C4<1>; +L_0000000002809f80 .delay 1 (30000,30000,30000) L_0000000002809f80/d; +L_0000000002809ab0/d .functor NAND 1, L_0000000002809c70, L_00000000028099d0, L_0000000002809e30, L_0000000002809f80; +L_0000000002809ab0 .delay 1 (40000,40000,40000) L_0000000002809ab0/d; +v0000000002767e60_0 .net "a", 0 0, L_0000000002809a40; alias, 1 drivers +v0000000002767f00_0 .net "aout", 0 0, L_0000000002809c70; 1 drivers +v00000000027667e0_0 .net "b", 0 0, L_0000000002809d50; alias, 1 drivers +v0000000002768040_0 .net "bout", 0 0, L_00000000028099d0; 1 drivers +v0000000002765ac0_0 .net "c", 0 0, L_0000000002809ff0; alias, 1 drivers +v0000000002765b60_0 .net "cout", 0 0, L_0000000002809e30; 1 drivers +v0000000002766920_0 .net "d", 0 0, L_0000000002808cb0; alias, 1 drivers +v0000000002765f20_0 .net "dout", 0 0, L_0000000002809f80; 1 drivers +v00000000027669c0_0 .net "ns0", 0 0, L_000000000280a0d0; 1 drivers +v0000000002765c00_0 .net "ns1", 0 0, L_000000000280a060; 1 drivers +v0000000002765d40_0 .net "out", 0 0, L_0000000002809ab0; alias, 1 drivers +v0000000002765ca0_0 .net "s0", 0 0, L_0000000002799580; 1 drivers +v0000000002766a60_0 .net "s1", 0 0, L_0000000002798cc0; 1 drivers +S_000000000276e840 .scope generate, "aluBits[21]" "aluBits[21]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06ba0 .param/l "i" 0 4 49, +C4<010101>; +L_0000000002809dc0/d .functor XOR 1, L_0000000002798860, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002809dc0 .delay 1 (20000,20000,20000) L_0000000002809dc0/d; +L_0000000002809ea0/d .functor AND 1, v0000000000e67370_0, L_0000000002797e60, C4<1>, C4<1>; +L_0000000002809ea0 .delay 1 (30000,30000,30000) L_0000000002809ea0/d; +L_0000000002807510/d .functor AND 1, L_0000000002799080, L_000000000282c280, C4<1>, C4<1>; +L_0000000002807510 .delay 1 (30000,30000,30000) L_0000000002807510/d; +v000000000276a660_0 .net *"_s1", 0 0, L_0000000002798860; 1 drivers +v0000000002768220_0 .net *"_s3", 0 0, L_0000000002797e60; 1 drivers +v0000000002769120_0 .net *"_s9", 0 0, L_0000000002799080; 1 drivers +S_000000000276e9c0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000276e840; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -2923,19 +2932,19 @@ S_0000000000f1cd70 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f148f0_0 .net "a", 0 0, L_0000000002665620; 1 drivers -v0000000000f13db0_0 .net "b", 0 0, L_0000000002667420; 1 drivers -v0000000000f14990_0 .net "carryAND", 0 0, L_00000000026d6c20; 1 drivers -v0000000000f14df0_0 .net "cin", 0 0, L_0000000002665ee0; 1 drivers -v0000000000f13450_0 .net "ctrl0", 0 0, L_0000000002666480; 1 drivers -v0000000000f147b0_0 .net "nab", 0 0, L_00000000026d74e0; 1 drivers -v0000000000f14210_0 .net "orNOR", 0 0, L_00000000026d6590; 1 drivers -v0000000000f131d0_0 .net "res", 0 0, L_00000000026d7cc0; 1 drivers -v0000000000f12ff0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f13950_0 .net "sumXOR", 0 0, L_00000000026d71d0; 1 drivers -L_0000000002665bc0 .part v0000000000e21990_0, 1, 1; -L_0000000002666a20 .part v0000000000e21990_0, 0, 1; -S_0000000000f1b870 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cd70; +v000000000276a840_0 .net "a", 0 0, L_0000000002798a40; 1 drivers +v000000000276a5c0_0 .net "b", 0 0, L_0000000002798ae0; 1 drivers +v0000000002768fe0_0 .net "carryAND", 0 0, L_0000000002807ac0; 1 drivers +v0000000002769a80_0 .net "cin", 0 0, L_0000000002797c80; 1 drivers +v0000000002769080_0 .net "ctrl0", 0 0, L_0000000002798b80; 1 drivers +v00000000027680e0_0 .net "nab", 0 0, L_0000000002809f10; 1 drivers +v000000000276a020_0 .net "orNOR", 0 0, L_0000000002807890; 1 drivers +v000000000276a0c0_0 .net "res", 0 0, L_00000000028077b0; 1 drivers +v0000000002769c60_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002769760_0 .net "sumXOR", 0 0, L_0000000002806780; 1 drivers +L_0000000002797b40 .part v0000000000e625f0_0, 1, 1; +L_0000000002799bc0 .part v0000000000e625f0_0, 0, 1; +S_000000000276f740 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000276e9c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -2945,32 +2954,32 @@ S_0000000000f1b870 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cd7 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d74e0/d .functor NAND 1, L_0000000002665620, L_0000000002667420, C4<1>, C4<1>; -L_00000000026d74e0 .delay 1 (20000,20000,20000) L_00000000026d74e0/d; -L_00000000026d6ad0/d .functor NAND 1, L_0000000002667420, L_0000000002665ee0, C4<1>, C4<1>; -L_00000000026d6ad0 .delay 1 (20000,20000,20000) L_00000000026d6ad0/d; -L_00000000026d7940/d .functor NAND 1, L_0000000002665620, L_0000000002665ee0, C4<1>, C4<1>; -L_00000000026d7940 .delay 1 (20000,20000,20000) L_00000000026d7940/d; -L_00000000026d6c20/d .functor NAND 1, L_00000000026d74e0, L_00000000026d7940, L_00000000026d6ad0, C4<1>; -L_00000000026d6c20 .delay 1 (30000,30000,30000) L_00000000026d6c20/d; -L_00000000026d71d0/d .functor XOR 1, L_0000000002665620, L_0000000002667420, L_0000000002665ee0, C4<0>; -L_00000000026d71d0 .delay 1 (30000,30000,30000) L_00000000026d71d0/d; -L_00000000026d7390/d .functor NOR 1, L_0000000002665620, L_0000000002667420, C4<0>, C4<0>; -L_00000000026d7390 .delay 1 (20000,20000,20000) L_00000000026d7390/d; -L_00000000026d6590/d .functor XOR 1, L_00000000026d7390, L_0000000002666480, C4<0>, C4<0>; -L_00000000026d6590 .delay 1 (20000,20000,20000) L_00000000026d6590/d; -v0000000000f12050_0 .net "a", 0 0, L_0000000002665620; alias, 1 drivers -v0000000000f124b0_0 .net "anorb", 0 0, L_00000000026d7390; 1 drivers -v0000000000f12190_0 .net "b", 0 0, L_0000000002667420; alias, 1 drivers -v0000000000f10750_0 .net "carryAND", 0 0, L_00000000026d6c20; alias, 1 drivers -v0000000000f11510_0 .net "carryin", 0 0, L_0000000002665ee0; alias, 1 drivers -v0000000000f11790_0 .net "i0", 0 0, L_0000000002666480; alias, 1 drivers -v0000000000f12370_0 .net "nab", 0 0, L_00000000026d74e0; alias, 1 drivers -v0000000000f12410_0 .net "nac", 0 0, L_00000000026d7940; 1 drivers -v0000000000f107f0_0 .net "nbc", 0 0, L_00000000026d6ad0; 1 drivers -v0000000000f10e30_0 .net "orNOR", 0 0, L_00000000026d6590; alias, 1 drivers -v0000000000f10ed0_0 .net "sumXOR", 0 0, L_00000000026d71d0; alias, 1 drivers -S_0000000000f1b6f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1cd70; +L_0000000002809f10/d .functor NAND 1, L_0000000002798a40, L_0000000002798ae0, C4<1>, C4<1>; +L_0000000002809f10 .delay 1 (20000,20000,20000) L_0000000002809f10/d; +L_0000000002809b20/d .functor NAND 1, L_0000000002798ae0, L_0000000002797c80, C4<1>, C4<1>; +L_0000000002809b20 .delay 1 (20000,20000,20000) L_0000000002809b20/d; +L_0000000002809b90/d .functor NAND 1, L_0000000002798a40, L_0000000002797c80, C4<1>, C4<1>; +L_0000000002809b90 .delay 1 (20000,20000,20000) L_0000000002809b90/d; +L_0000000002807ac0/d .functor NAND 1, L_0000000002809f10, L_0000000002809b90, L_0000000002809b20, C4<1>; +L_0000000002807ac0 .delay 1 (30000,30000,30000) L_0000000002807ac0/d; +L_0000000002806780/d .functor XOR 1, L_0000000002798a40, L_0000000002798ae0, L_0000000002797c80, C4<0>; +L_0000000002806780 .delay 1 (30000,30000,30000) L_0000000002806780/d; +L_00000000028061d0/d .functor NOR 1, L_0000000002798a40, L_0000000002798ae0, C4<0>, C4<0>; +L_00000000028061d0 .delay 1 (20000,20000,20000) L_00000000028061d0/d; +L_0000000002807890/d .functor XOR 1, L_00000000028061d0, L_0000000002798b80, C4<0>, C4<0>; +L_0000000002807890 .delay 1 (20000,20000,20000) L_0000000002807890/d; +v0000000002768a40_0 .net "a", 0 0, L_0000000002798a40; alias, 1 drivers +v0000000002768e00_0 .net "anorb", 0 0, L_00000000028061d0; 1 drivers +v0000000002768180_0 .net "b", 0 0, L_0000000002798ae0; alias, 1 drivers +v0000000002769d00_0 .net "carryAND", 0 0, L_0000000002807ac0; alias, 1 drivers +v00000000027698a0_0 .net "carryin", 0 0, L_0000000002797c80; alias, 1 drivers +v0000000002768900_0 .net "i0", 0 0, L_0000000002798b80; alias, 1 drivers +v0000000002768c20_0 .net "nab", 0 0, L_0000000002809f10; alias, 1 drivers +v0000000002768ae0_0 .net "nac", 0 0, L_0000000002809b90; 1 drivers +v0000000002769bc0_0 .net "nbc", 0 0, L_0000000002809b20; 1 drivers +v000000000276a2a0_0 .net "orNOR", 0 0, L_0000000002807890; alias, 1 drivers +v000000000276a340_0 .net "sumXOR", 0 0, L_0000000002806780; alias, 1 drivers +S_000000000276ee40 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000276e9c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -2979,46 +2988,46 @@ S_0000000000f1b6f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d7160/d .functor NOT 1, L_0000000002666a20, C4<0>, C4<0>, C4<0>; -L_00000000026d7160 .delay 1 (10000,10000,10000) L_00000000026d7160/d; -L_00000000026d7630/d .functor NOT 1, L_0000000002665bc0, C4<0>, C4<0>, C4<0>; -L_00000000026d7630 .delay 1 (10000,10000,10000) L_00000000026d7630/d; -L_00000000026d6a60/d .functor NAND 1, L_0000000002665bc0, L_0000000002666a20, L_00000000026d71d0, C4<1>; -L_00000000026d6a60 .delay 1 (30000,30000,30000) L_00000000026d6a60/d; -L_00000000026d6600/d .functor NAND 1, L_0000000002665bc0, L_00000000026d7160, L_00000000026d6590, C4<1>; -L_00000000026d6600 .delay 1 (30000,30000,30000) L_00000000026d6600/d; -L_00000000026d7a90/d .functor NAND 1, L_00000000026d7630, L_0000000002666a20, L_00000000026d6c20, C4<1>; -L_00000000026d7a90 .delay 1 (30000,30000,30000) L_00000000026d7a90/d; -L_00000000026d7c50/d .functor NAND 1, L_00000000026d7630, L_00000000026d7160, L_00000000026d74e0, C4<1>; -L_00000000026d7c50 .delay 1 (30000,30000,30000) L_00000000026d7c50/d; -L_00000000026d7cc0/d .functor NAND 1, L_00000000026d6a60, L_00000000026d6600, L_00000000026d7a90, L_00000000026d7c50; -L_00000000026d7cc0 .delay 1 (40000,40000,40000) L_00000000026d7cc0/d; -v0000000000f118d0_0 .net "a", 0 0, L_00000000026d71d0; alias, 1 drivers -v0000000000f10f70_0 .net "aout", 0 0, L_00000000026d6a60; 1 drivers -v0000000000f11970_0 .net "b", 0 0, L_00000000026d6590; alias, 1 drivers -v0000000000f11a10_0 .net "bout", 0 0, L_00000000026d6600; 1 drivers -v0000000000f11ab0_0 .net "c", 0 0, L_00000000026d6c20; alias, 1 drivers -v0000000000f11b50_0 .net "cout", 0 0, L_00000000026d7a90; 1 drivers -v0000000000f11dd0_0 .net "d", 0 0, L_00000000026d74e0; alias, 1 drivers -v0000000000f12a50_0 .net "dout", 0 0, L_00000000026d7c50; 1 drivers -v0000000000f13f90_0 .net "ns0", 0 0, L_00000000026d7160; 1 drivers -v0000000000f14ad0_0 .net "ns1", 0 0, L_00000000026d7630; 1 drivers -v0000000000f13270_0 .net "out", 0 0, L_00000000026d7cc0; alias, 1 drivers -v0000000000f14fd0_0 .net "s0", 0 0, L_0000000002666a20; 1 drivers -v0000000000f14d50_0 .net "s1", 0 0, L_0000000002665bc0; 1 drivers -S_0000000000f1bcf0 .scope generate, "aluBits[22]" "aluBits[22]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de2300 .param/l "i" 0 4 49, +C4<010110>; -L_00000000026d7d30/d .functor XOR 1, L_00000000026653a0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d7d30 .delay 1 (20000,20000,20000) L_00000000026d7d30/d; -L_00000000026d7b00/d .functor AND 1, v0000000000e20d10_0, L_00000000026654e0, C4<1>, C4<1>; -L_00000000026d7b00 .delay 1 (30000,30000,30000) L_00000000026d7b00/d; -L_00000000026d6f30/d .functor AND 1, L_0000000002667100, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d6f30 .delay 1 (30000,30000,30000) L_00000000026d6f30/d; -v0000000000f12d70_0 .net *"_s1", 0 0, L_00000000026653a0; 1 drivers -v0000000000f12e10_0 .net *"_s3", 0 0, L_00000000026654e0; 1 drivers -v0000000000f13e50_0 .net *"_s9", 0 0, L_0000000002667100; 1 drivers -S_0000000000f1b3f0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bcf0; +L_00000000028067f0/d .functor NOT 1, L_0000000002799bc0, C4<0>, C4<0>, C4<0>; +L_00000000028067f0 .delay 1 (10000,10000,10000) L_00000000028067f0/d; +L_0000000002806860/d .functor NOT 1, L_0000000002797b40, C4<0>, C4<0>, C4<0>; +L_0000000002806860 .delay 1 (10000,10000,10000) L_0000000002806860/d; +L_0000000002807b30/d .functor NAND 1, L_0000000002797b40, L_0000000002799bc0, L_0000000002806780, C4<1>; +L_0000000002807b30 .delay 1 (30000,30000,30000) L_0000000002807b30/d; +L_0000000002807c10/d .functor NAND 1, L_0000000002797b40, L_00000000028067f0, L_0000000002807890, C4<1>; +L_0000000002807c10 .delay 1 (30000,30000,30000) L_0000000002807c10/d; +L_0000000002806550/d .functor NAND 1, L_0000000002806860, L_0000000002799bc0, L_0000000002807ac0, C4<1>; +L_0000000002806550 .delay 1 (30000,30000,30000) L_0000000002806550/d; +L_00000000028075f0/d .functor NAND 1, L_0000000002806860, L_00000000028067f0, L_0000000002809f10, C4<1>; +L_00000000028075f0 .delay 1 (30000,30000,30000) L_00000000028075f0/d; +L_00000000028077b0/d .functor NAND 1, L_0000000002807b30, L_0000000002807c10, L_0000000002806550, L_00000000028075f0; +L_00000000028077b0 .delay 1 (40000,40000,40000) L_00000000028077b0/d; +v0000000002768f40_0 .net "a", 0 0, L_0000000002806780; alias, 1 drivers +v0000000002768cc0_0 .net "aout", 0 0, L_0000000002807b30; 1 drivers +v000000000276a200_0 .net "b", 0 0, L_0000000002807890; alias, 1 drivers +v0000000002769f80_0 .net "bout", 0 0, L_0000000002807c10; 1 drivers +v0000000002768ea0_0 .net "c", 0 0, L_0000000002807ac0; alias, 1 drivers +v00000000027699e0_0 .net "cout", 0 0, L_0000000002806550; 1 drivers +v00000000027685e0_0 .net "d", 0 0, L_0000000002809f10; alias, 1 drivers +v0000000002769940_0 .net "dout", 0 0, L_00000000028075f0; 1 drivers +v0000000002769e40_0 .net "ns0", 0 0, L_00000000028067f0; 1 drivers +v000000000276a3e0_0 .net "ns1", 0 0, L_0000000002806860; 1 drivers +v00000000027687c0_0 .net "out", 0 0, L_00000000028077b0; alias, 1 drivers +v0000000002768d60_0 .net "s0", 0 0, L_0000000002799bc0; 1 drivers +v000000000276a7a0_0 .net "s1", 0 0, L_0000000002797b40; 1 drivers +S_000000000276fa40 .scope generate, "aluBits[22]" "aluBits[22]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06260 .param/l "i" 0 4 49, +C4<010110>; +L_0000000002807ba0/d .functor XOR 1, L_0000000002798d60, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002807ba0 .delay 1 (20000,20000,20000) L_0000000002807ba0/d; +L_0000000002806ef0/d .functor AND 1, v0000000000e67370_0, L_000000000279a0c0, C4<1>, C4<1>; +L_0000000002806ef0 .delay 1 (30000,30000,30000) L_0000000002806ef0/d; +L_0000000002807900/d .functor AND 1, L_00000000027991c0, L_000000000282c280, C4<1>, C4<1>; +L_0000000002807900 .delay 1 (30000,30000,30000) L_0000000002807900/d; +v000000000276b240_0 .net *"_s1", 0 0, L_0000000002798d60; 1 drivers +v000000000276afc0_0 .net *"_s3", 0 0, L_000000000279a0c0; 1 drivers +v000000000276ce60_0 .net *"_s9", 0 0, L_00000000027991c0; 1 drivers +S_000000000276e3c0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000276fa40; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3027,19 +3036,19 @@ S_0000000000f1b3f0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f14f30_0 .net "a", 0 0, L_0000000002666d40; 1 drivers -v0000000000f13770_0 .net "b", 0 0, L_0000000002665760; 1 drivers -v0000000000f12f50_0 .net "carryAND", 0 0, L_00000000026d7240; 1 drivers -v0000000000f12af0_0 .net "cin", 0 0, L_0000000002667060; 1 drivers -v0000000000f12b90_0 .net "ctrl0", 0 0, L_0000000002666660; 1 drivers -v0000000000f138b0_0 .net "nab", 0 0, L_00000000026d7010; 1 drivers -v0000000000f13bd0_0 .net "orNOR", 0 0, L_00000000026d77f0; 1 drivers -v0000000000f12c30_0 .net "res", 0 0, L_00000000026d7fd0; 1 drivers -v0000000000f12cd0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f14530_0 .net "sumXOR", 0 0, L_00000000026d72b0; 1 drivers -L_00000000026656c0 .part v0000000000e21990_0, 1, 1; -L_00000000026659e0 .part v0000000000e21990_0, 0, 1; -S_0000000000f1c5f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b3f0; +v000000000276a8e0_0 .net "a", 0 0, L_0000000002798e00; 1 drivers +v000000000276bec0_0 .net "b", 0 0, L_0000000002798f40; 1 drivers +v000000000276b880_0 .net "carryAND", 0 0, L_0000000002807d60; 1 drivers +v000000000276b420_0 .net "cin", 0 0, L_0000000002799260; 1 drivers +v000000000276b060_0 .net "ctrl0", 0 0, L_0000000002798400; 1 drivers +v000000000276b100_0 .net "nab", 0 0, L_0000000002806e10; 1 drivers +v000000000276bb00_0 .net "orNOR", 0 0, L_0000000002806b00; 1 drivers +v000000000276b920_0 .net "res", 0 0, L_0000000002807820; 1 drivers +v000000000276c280_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000276b1a0_0 .net "sumXOR", 0 0, L_00000000028079e0; 1 drivers +L_0000000002799d00 .part v0000000000e625f0_0, 1, 1; +L_0000000002799e40 .part v0000000000e625f0_0, 0, 1; +S_000000000276ecc0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000276e3c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3049,32 +3058,32 @@ S_0000000000f1c5f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b3f .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d7010/d .functor NAND 1, L_0000000002666d40, L_0000000002665760, C4<1>, C4<1>; -L_00000000026d7010 .delay 1 (20000,20000,20000) L_00000000026d7010/d; -L_00000000026d76a0/d .functor NAND 1, L_0000000002665760, L_0000000002667060, C4<1>, C4<1>; -L_00000000026d76a0 .delay 1 (20000,20000,20000) L_00000000026d76a0/d; -L_00000000026d7400/d .functor NAND 1, L_0000000002666d40, L_0000000002667060, C4<1>, C4<1>; -L_00000000026d7400 .delay 1 (20000,20000,20000) L_00000000026d7400/d; -L_00000000026d7240/d .functor NAND 1, L_00000000026d7010, L_00000000026d7400, L_00000000026d76a0, C4<1>; -L_00000000026d7240 .delay 1 (30000,30000,30000) L_00000000026d7240/d; -L_00000000026d72b0/d .functor XOR 1, L_0000000002666d40, L_0000000002665760, L_0000000002667060, C4<0>; -L_00000000026d72b0 .delay 1 (30000,30000,30000) L_00000000026d72b0/d; -L_00000000026d6b40/d .functor NOR 1, L_0000000002666d40, L_0000000002665760, C4<0>, C4<0>; -L_00000000026d6b40 .delay 1 (20000,20000,20000) L_00000000026d6b40/d; -L_00000000026d77f0/d .functor XOR 1, L_00000000026d6b40, L_0000000002666660, C4<0>, C4<0>; -L_00000000026d77f0 .delay 1 (20000,20000,20000) L_00000000026d77f0/d; -v0000000000f129b0_0 .net "a", 0 0, L_0000000002666d40; alias, 1 drivers -v0000000000f14a30_0 .net "anorb", 0 0, L_00000000026d6b40; 1 drivers -v0000000000f145d0_0 .net "b", 0 0, L_0000000002665760; alias, 1 drivers -v0000000000f14030_0 .net "carryAND", 0 0, L_00000000026d7240; alias, 1 drivers -v0000000000f139f0_0 .net "carryin", 0 0, L_0000000002667060; alias, 1 drivers -v0000000000f13090_0 .net "i0", 0 0, L_0000000002666660; alias, 1 drivers -v0000000000f140d0_0 .net "nab", 0 0, L_00000000026d7010; alias, 1 drivers -v0000000000f142b0_0 .net "nac", 0 0, L_00000000026d7400; 1 drivers -v0000000000f14170_0 .net "nbc", 0 0, L_00000000026d76a0; 1 drivers -v0000000000f14850_0 .net "orNOR", 0 0, L_00000000026d77f0; alias, 1 drivers -v0000000000f13a90_0 .net "sumXOR", 0 0, L_00000000026d72b0; alias, 1 drivers -S_0000000000f1c8f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b3f0; +L_0000000002806e10/d .functor NAND 1, L_0000000002798e00, L_0000000002798f40, C4<1>, C4<1>; +L_0000000002806e10 .delay 1 (20000,20000,20000) L_0000000002806e10/d; +L_00000000028066a0/d .functor NAND 1, L_0000000002798f40, L_0000000002799260, C4<1>, C4<1>; +L_00000000028066a0 .delay 1 (20000,20000,20000) L_00000000028066a0/d; +L_00000000028074a0/d .functor NAND 1, L_0000000002798e00, L_0000000002799260, C4<1>, C4<1>; +L_00000000028074a0 .delay 1 (20000,20000,20000) L_00000000028074a0/d; +L_0000000002807d60/d .functor NAND 1, L_0000000002806e10, L_00000000028074a0, L_00000000028066a0, C4<1>; +L_0000000002807d60 .delay 1 (30000,30000,30000) L_0000000002807d60/d; +L_00000000028079e0/d .functor XOR 1, L_0000000002798e00, L_0000000002798f40, L_0000000002799260, C4<0>; +L_00000000028079e0 .delay 1 (30000,30000,30000) L_00000000028079e0/d; +L_0000000002807740/d .functor NOR 1, L_0000000002798e00, L_0000000002798f40, C4<0>, C4<0>; +L_0000000002807740 .delay 1 (20000,20000,20000) L_0000000002807740/d; +L_0000000002806b00/d .functor XOR 1, L_0000000002807740, L_0000000002798400, C4<0>, C4<0>; +L_0000000002806b00 .delay 1 (20000,20000,20000) L_0000000002806b00/d; +v00000000027682c0_0 .net "a", 0 0, L_0000000002798e00; alias, 1 drivers +v00000000027691c0_0 .net "anorb", 0 0, L_0000000002807740; 1 drivers +v0000000002768400_0 .net "b", 0 0, L_0000000002798f40; alias, 1 drivers +v0000000002769300_0 .net "carryAND", 0 0, L_0000000002807d60; alias, 1 drivers +v0000000002769b20_0 .net "carryin", 0 0, L_0000000002799260; alias, 1 drivers +v00000000027684a0_0 .net "i0", 0 0, L_0000000002798400; alias, 1 drivers +v0000000002768540_0 .net "nab", 0 0, L_0000000002806e10; alias, 1 drivers +v0000000002769da0_0 .net "nac", 0 0, L_00000000028074a0; 1 drivers +v0000000002769ee0_0 .net "nbc", 0 0, L_00000000028066a0; 1 drivers +v00000000027693a0_0 .net "orNOR", 0 0, L_0000000002806b00; alias, 1 drivers +v0000000002768680_0 .net "sumXOR", 0 0, L_00000000028079e0; alias, 1 drivers +S_000000000276e540 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000276e3c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3083,46 +3092,46 @@ S_0000000000f1c8f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d75c0/d .functor NOT 1, L_00000000026659e0, C4<0>, C4<0>, C4<0>; -L_00000000026d75c0 .delay 1 (10000,10000,10000) L_00000000026d75c0/d; -L_00000000026d6de0/d .functor NOT 1, L_00000000026656c0, C4<0>, C4<0>, C4<0>; -L_00000000026d6de0 .delay 1 (10000,10000,10000) L_00000000026d6de0/d; -L_00000000026d6c90/d .functor NAND 1, L_00000000026656c0, L_00000000026659e0, L_00000000026d72b0, C4<1>; -L_00000000026d6c90 .delay 1 (30000,30000,30000) L_00000000026d6c90/d; -L_00000000026d7a20/d .functor NAND 1, L_00000000026656c0, L_00000000026d75c0, L_00000000026d77f0, C4<1>; -L_00000000026d7a20 .delay 1 (30000,30000,30000) L_00000000026d7a20/d; -L_00000000026d8040/d .functor NAND 1, L_00000000026d6de0, L_00000000026659e0, L_00000000026d7240, C4<1>; -L_00000000026d8040 .delay 1 (30000,30000,30000) L_00000000026d8040/d; -L_00000000026d6d70/d .functor NAND 1, L_00000000026d6de0, L_00000000026d75c0, L_00000000026d7010, C4<1>; -L_00000000026d6d70 .delay 1 (30000,30000,30000) L_00000000026d6d70/d; -L_00000000026d7fd0/d .functor NAND 1, L_00000000026d6c90, L_00000000026d7a20, L_00000000026d8040, L_00000000026d6d70; -L_00000000026d7fd0 .delay 1 (40000,40000,40000) L_00000000026d7fd0/d; -v0000000000f13ef0_0 .net "a", 0 0, L_00000000026d72b0; alias, 1 drivers -v0000000000f13b30_0 .net "aout", 0 0, L_00000000026d6c90; 1 drivers -v0000000000f13c70_0 .net "b", 0 0, L_00000000026d77f0; alias, 1 drivers -v0000000000f14e90_0 .net "bout", 0 0, L_00000000026d7a20; 1 drivers -v0000000000f14350_0 .net "c", 0 0, L_00000000026d7240; alias, 1 drivers -v0000000000f143f0_0 .net "cout", 0 0, L_00000000026d8040; 1 drivers -v0000000000f14490_0 .net "d", 0 0, L_00000000026d7010; alias, 1 drivers -v0000000000f14c10_0 .net "dout", 0 0, L_00000000026d6d70; 1 drivers -v0000000000f12910_0 .net "ns0", 0 0, L_00000000026d75c0; 1 drivers -v0000000000f13130_0 .net "ns1", 0 0, L_00000000026d6de0; 1 drivers -v0000000000f13810_0 .net "out", 0 0, L_00000000026d7fd0; alias, 1 drivers -v0000000000f15070_0 .net "s0", 0 0, L_00000000026659e0; 1 drivers -v0000000000f14cb0_0 .net "s1", 0 0, L_00000000026656c0; 1 drivers -S_0000000000f1ca70 .scope generate, "aluBits[23]" "aluBits[23]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de1a00 .param/l "i" 0 4 49, +C4<010111>; -L_00000000026d66e0/d .functor XOR 1, L_0000000002667880, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d66e0 .delay 1 (20000,20000,20000) L_00000000026d66e0/d; -L_00000000026d7320/d .functor AND 1, v0000000000e20d10_0, L_0000000002665800, C4<1>, C4<1>; -L_00000000026d7320 .delay 1 (30000,30000,30000) L_00000000026d7320/d; -L_00000000026d67c0/d .functor AND 1, L_0000000002666b60, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d67c0 .delay 1 (30000,30000,30000) L_00000000026d67c0/d; -v0000000000f16970_0 .net *"_s1", 0 0, L_0000000002667880; 1 drivers -v0000000000f156b0_0 .net *"_s3", 0 0, L_0000000002665800; 1 drivers -v0000000000f161f0_0 .net *"_s9", 0 0, L_0000000002666b60; 1 drivers -S_0000000000f1cbf0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1ca70; +L_00000000028070b0/d .functor NOT 1, L_0000000002799e40, C4<0>, C4<0>, C4<0>; +L_00000000028070b0 .delay 1 (10000,10000,10000) L_00000000028070b0/d; +L_0000000002806cc0/d .functor NOT 1, L_0000000002799d00, C4<0>, C4<0>, C4<0>; +L_0000000002806cc0 .delay 1 (10000,10000,10000) L_0000000002806cc0/d; +L_0000000002807660/d .functor NAND 1, L_0000000002799d00, L_0000000002799e40, L_00000000028079e0, C4<1>; +L_0000000002807660 .delay 1 (30000,30000,30000) L_0000000002807660/d; +L_0000000002806e80/d .functor NAND 1, L_0000000002799d00, L_00000000028070b0, L_0000000002806b00, C4<1>; +L_0000000002806e80 .delay 1 (30000,30000,30000) L_0000000002806e80/d; +L_0000000002806240/d .functor NAND 1, L_0000000002806cc0, L_0000000002799e40, L_0000000002807d60, C4<1>; +L_0000000002806240 .delay 1 (30000,30000,30000) L_0000000002806240/d; +L_00000000028062b0/d .functor NAND 1, L_0000000002806cc0, L_00000000028070b0, L_0000000002806e10, C4<1>; +L_00000000028062b0 .delay 1 (30000,30000,30000) L_00000000028062b0/d; +L_0000000002807820/d .functor NAND 1, L_0000000002807660, L_0000000002806e80, L_0000000002806240, L_00000000028062b0; +L_0000000002807820 .delay 1 (40000,40000,40000) L_0000000002807820/d; +v0000000002768720_0 .net "a", 0 0, L_00000000028079e0; alias, 1 drivers +v00000000027689a0_0 .net "aout", 0 0, L_0000000002807660; 1 drivers +v0000000002769260_0 .net "b", 0 0, L_0000000002806b00; alias, 1 drivers +v0000000002769440_0 .net "bout", 0 0, L_0000000002806e80; 1 drivers +v00000000027694e0_0 .net "c", 0 0, L_0000000002807d60; alias, 1 drivers +v0000000002769580_0 .net "cout", 0 0, L_0000000002806240; 1 drivers +v0000000002769620_0 .net "d", 0 0, L_0000000002806e10; alias, 1 drivers +v000000000276cb40_0 .net "dout", 0 0, L_00000000028062b0; 1 drivers +v000000000276b380_0 .net "ns0", 0 0, L_00000000028070b0; 1 drivers +v000000000276cf00_0 .net "ns1", 0 0, L_0000000002806cc0; 1 drivers +v000000000276c000_0 .net "out", 0 0, L_0000000002807820; alias, 1 drivers +v000000000276ab60_0 .net "s0", 0 0, L_0000000002799e40; 1 drivers +v000000000276cd20_0 .net "s1", 0 0, L_0000000002799d00; 1 drivers +S_000000000276fbc0 .scope generate, "aluBits[23]" "aluBits[23]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06360 .param/l "i" 0 4 49, +C4<010111>; +L_0000000002806f60/d .functor XOR 1, L_0000000002799ee0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002806f60 .delay 1 (20000,20000,20000) L_0000000002806f60/d; +L_00000000028069b0/d .functor AND 1, v0000000000e67370_0, L_0000000002798fe0, C4<1>, C4<1>; +L_00000000028069b0 .delay 1 (30000,30000,30000) L_00000000028069b0/d; +L_0000000002806fd0/d .functor AND 1, L_0000000002799760, L_000000000282c280, C4<1>, C4<1>; +L_0000000002806fd0 .delay 1 (30000,30000,30000) L_0000000002806fd0/d; +v000000000276ae80_0 .net *"_s1", 0 0, L_0000000002799ee0; 1 drivers +v000000000276be20_0 .net *"_s3", 0 0, L_0000000002798fe0; 1 drivers +v000000000276c640_0 .net *"_s9", 0 0, L_0000000002799760; 1 drivers +S_000000000276f8c0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000276fbc0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3131,19 +3140,19 @@ S_0000000000f1cbf0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f172d0_0 .net "a", 0 0, L_0000000002666340; 1 drivers -v0000000000f15a70_0 .net "b", 0 0, L_0000000002666ac0; 1 drivers -v0000000000f15110_0 .net "carryAND", 0 0, L_00000000026d6830; 1 drivers -v0000000000f16830_0 .net "cin", 0 0, L_0000000002666700; 1 drivers -v0000000000f16150_0 .net "ctrl0", 0 0, L_0000000002665e40; 1 drivers -v0000000000f154d0_0 .net "nab", 0 0, L_00000000026d7b70; 1 drivers -v0000000000f17730_0 .net "orNOR", 0 0, L_00000000026d7860; 1 drivers -v0000000000f17370_0 .net "res", 0 0, L_00000000026d7f60; 1 drivers -v0000000000f160b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f175f0_0 .net "sumXOR", 0 0, L_00000000026d7e10; 1 drivers -L_0000000002667560 .part v0000000000e21990_0, 1, 1; -L_0000000002667240 .part v0000000000e21990_0, 0, 1; -S_0000000000f1be70 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cbf0; +v000000000276c500_0 .net "a", 0 0, L_00000000027996c0; 1 drivers +v000000000276ca00_0 .net "b", 0 0, L_0000000002797aa0; 1 drivers +v000000000276b6a0_0 .net "carryAND", 0 0, L_00000000028068d0; 1 drivers +v000000000276ac00_0 .net "cin", 0 0, L_00000000027993a0; 1 drivers +v000000000276bce0_0 .net "ctrl0", 0 0, L_00000000027984a0; 1 drivers +v000000000276c320_0 .net "nab", 0 0, L_0000000002807970; 1 drivers +v000000000276c5a0_0 .net "orNOR", 0 0, L_0000000002806940; 1 drivers +v000000000276bd80_0 .net "res", 0 0, L_0000000002806b70; 1 drivers +v000000000276aca0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000276ad40_0 .net "sumXOR", 0 0, L_0000000002806470; 1 drivers +L_0000000002799120 .part v0000000000e625f0_0, 1, 1; +L_0000000002797960 .part v0000000000e625f0_0, 0, 1; +S_000000000276fd40 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000276f8c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3153,32 +3162,32 @@ S_0000000000f1be70 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1cbf .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d7b70/d .functor NAND 1, L_0000000002666340, L_0000000002666ac0, C4<1>, C4<1>; -L_00000000026d7b70 .delay 1 (20000,20000,20000) L_00000000026d7b70/d; -L_00000000026d6750/d .functor NAND 1, L_0000000002666ac0, L_0000000002666700, C4<1>, C4<1>; -L_00000000026d6750 .delay 1 (20000,20000,20000) L_00000000026d6750/d; -L_00000000026d6fa0/d .functor NAND 1, L_0000000002666340, L_0000000002666700, C4<1>, C4<1>; -L_00000000026d6fa0 .delay 1 (20000,20000,20000) L_00000000026d6fa0/d; -L_00000000026d6830/d .functor NAND 1, L_00000000026d7b70, L_00000000026d6fa0, L_00000000026d6750, C4<1>; -L_00000000026d6830 .delay 1 (30000,30000,30000) L_00000000026d6830/d; -L_00000000026d7e10/d .functor XOR 1, L_0000000002666340, L_0000000002666ac0, L_0000000002666700, C4<0>; -L_00000000026d7e10 .delay 1 (30000,30000,30000) L_00000000026d7e10/d; -L_00000000026d7780/d .functor NOR 1, L_0000000002666340, L_0000000002666ac0, C4<0>, C4<0>; -L_00000000026d7780 .delay 1 (20000,20000,20000) L_00000000026d7780/d; -L_00000000026d7860/d .functor XOR 1, L_00000000026d7780, L_0000000002665e40, C4<0>, C4<0>; -L_00000000026d7860 .delay 1 (20000,20000,20000) L_00000000026d7860/d; -v0000000000f134f0_0 .net "a", 0 0, L_0000000002666340; alias, 1 drivers -v0000000000f12eb0_0 .net "anorb", 0 0, L_00000000026d7780; 1 drivers -v0000000000f13d10_0 .net "b", 0 0, L_0000000002666ac0; alias, 1 drivers -v0000000000f13590_0 .net "carryAND", 0 0, L_00000000026d6830; alias, 1 drivers -v0000000000f13630_0 .net "carryin", 0 0, L_0000000002666700; alias, 1 drivers -v0000000000f133b0_0 .net "i0", 0 0, L_0000000002665e40; alias, 1 drivers -v0000000000f136d0_0 .net "nab", 0 0, L_00000000026d7b70; alias, 1 drivers -v0000000000f14670_0 .net "nac", 0 0, L_00000000026d6fa0; 1 drivers -v0000000000f17190_0 .net "nbc", 0 0, L_00000000026d6750; 1 drivers -v0000000000f16fb0_0 .net "orNOR", 0 0, L_00000000026d7860; alias, 1 drivers -v0000000000f15250_0 .net "sumXOR", 0 0, L_00000000026d7e10; alias, 1 drivers -S_0000000000f1cef0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1cbf0; +L_0000000002807970/d .functor NAND 1, L_00000000027996c0, L_0000000002797aa0, C4<1>, C4<1>; +L_0000000002807970 .delay 1 (20000,20000,20000) L_0000000002807970/d; +L_0000000002807c80/d .functor NAND 1, L_0000000002797aa0, L_00000000027993a0, C4<1>, C4<1>; +L_0000000002807c80 .delay 1 (20000,20000,20000) L_0000000002807c80/d; +L_00000000028065c0/d .functor NAND 1, L_00000000027996c0, L_00000000027993a0, C4<1>, C4<1>; +L_00000000028065c0 .delay 1 (20000,20000,20000) L_00000000028065c0/d; +L_00000000028068d0/d .functor NAND 1, L_0000000002807970, L_00000000028065c0, L_0000000002807c80, C4<1>; +L_00000000028068d0 .delay 1 (30000,30000,30000) L_00000000028068d0/d; +L_0000000002806470/d .functor XOR 1, L_00000000027996c0, L_0000000002797aa0, L_00000000027993a0, C4<0>; +L_0000000002806470 .delay 1 (30000,30000,30000) L_0000000002806470/d; +L_0000000002807a50/d .functor NOR 1, L_00000000027996c0, L_0000000002797aa0, C4<0>, C4<0>; +L_0000000002807a50 .delay 1 (20000,20000,20000) L_0000000002807a50/d; +L_0000000002806940/d .functor XOR 1, L_0000000002807a50, L_00000000027984a0, C4<0>, C4<0>; +L_0000000002806940 .delay 1 (20000,20000,20000) L_0000000002806940/d; +v000000000276bba0_0 .net "a", 0 0, L_00000000027996c0; alias, 1 drivers +v000000000276b2e0_0 .net "anorb", 0 0, L_0000000002807a50; 1 drivers +v000000000276b9c0_0 .net "b", 0 0, L_0000000002797aa0; alias, 1 drivers +v000000000276b600_0 .net "carryAND", 0 0, L_00000000028068d0; alias, 1 drivers +v000000000276b740_0 .net "carryin", 0 0, L_00000000027993a0; alias, 1 drivers +v000000000276b4c0_0 .net "i0", 0 0, L_00000000027984a0; alias, 1 drivers +v000000000276ba60_0 .net "nab", 0 0, L_0000000002807970; alias, 1 drivers +v000000000276ade0_0 .net "nac", 0 0, L_00000000028065c0; 1 drivers +v000000000276c780_0 .net "nbc", 0 0, L_0000000002807c80; 1 drivers +v000000000276cfa0_0 .net "orNOR", 0 0, L_0000000002806940; alias, 1 drivers +v000000000276c1e0_0 .net "sumXOR", 0 0, L_0000000002806470; alias, 1 drivers +S_000000000276e6c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000276f8c0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3187,46 +3196,46 @@ S_0000000000f1cef0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d6e50/d .functor NOT 1, L_0000000002667240, C4<0>, C4<0>, C4<0>; -L_00000000026d6e50 .delay 1 (10000,10000,10000) L_00000000026d6e50/d; -L_00000000026d6980/d .functor NOT 1, L_0000000002667560, C4<0>, C4<0>, C4<0>; -L_00000000026d6980 .delay 1 (10000,10000,10000) L_00000000026d6980/d; -L_00000000026d7e80/d .functor NAND 1, L_0000000002667560, L_0000000002667240, L_00000000026d7e10, C4<1>; -L_00000000026d7e80 .delay 1 (30000,30000,30000) L_00000000026d7e80/d; -L_00000000026d78d0/d .functor NAND 1, L_0000000002667560, L_00000000026d6e50, L_00000000026d7860, C4<1>; -L_00000000026d78d0 .delay 1 (30000,30000,30000) L_00000000026d78d0/d; -L_00000000026d6ec0/d .functor NAND 1, L_00000000026d6980, L_0000000002667240, L_00000000026d6830, C4<1>; -L_00000000026d6ec0 .delay 1 (30000,30000,30000) L_00000000026d6ec0/d; -L_00000000026d7080/d .functor NAND 1, L_00000000026d6980, L_00000000026d6e50, L_00000000026d7b70, C4<1>; -L_00000000026d7080 .delay 1 (30000,30000,30000) L_00000000026d7080/d; -L_00000000026d7f60/d .functor NAND 1, L_00000000026d7e80, L_00000000026d78d0, L_00000000026d6ec0, L_00000000026d7080; -L_00000000026d7f60 .delay 1 (40000,40000,40000) L_00000000026d7f60/d; -v0000000000f168d0_0 .net "a", 0 0, L_00000000026d7e10; alias, 1 drivers -v0000000000f15f70_0 .net "aout", 0 0, L_00000000026d7e80; 1 drivers -v0000000000f151b0_0 .net "b", 0 0, L_00000000026d7860; alias, 1 drivers -v0000000000f170f0_0 .net "bout", 0 0, L_00000000026d78d0; 1 drivers -v0000000000f17230_0 .net "c", 0 0, L_00000000026d6830; alias, 1 drivers -v0000000000f17550_0 .net "cout", 0 0, L_00000000026d6ec0; 1 drivers -v0000000000f15c50_0 .net "d", 0 0, L_00000000026d7b70; alias, 1 drivers -v0000000000f15570_0 .net "dout", 0 0, L_00000000026d7080; 1 drivers -v0000000000f16330_0 .net "ns0", 0 0, L_00000000026d6e50; 1 drivers -v0000000000f159d0_0 .net "ns1", 0 0, L_00000000026d6980; 1 drivers -v0000000000f157f0_0 .net "out", 0 0, L_00000000026d7f60; alias, 1 drivers -v0000000000f16bf0_0 .net "s0", 0 0, L_0000000002667240; 1 drivers -v0000000000f15430_0 .net "s1", 0 0, L_0000000002667560; 1 drivers -S_0000000000f1c2f0 .scope generate, "aluBits[24]" "aluBits[24]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de1d00 .param/l "i" 0 4 49, +C4<011000>; -L_00000000026d68a0/d .functor XOR 1, L_00000000026660c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d68a0 .delay 1 (20000,20000,20000) L_00000000026d68a0/d; -L_00000000026d69f0/d .functor AND 1, v0000000000e20d10_0, L_00000000026667a0, C4<1>, C4<1>; -L_00000000026d69f0 .delay 1 (30000,30000,30000) L_00000000026d69f0/d; -L_00000000026d8270/d .functor AND 1, L_0000000002667380, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d8270 .delay 1 (30000,30000,30000) L_00000000026d8270/d; -v0000000000f16e70_0 .net *"_s1", 0 0, L_00000000026660c0; 1 drivers -v0000000000f19c10_0 .net *"_s3", 0 0, L_00000000026667a0; 1 drivers -v0000000000f17eb0_0 .net *"_s9", 0 0, L_0000000002667380; 1 drivers -S_0000000000f1b570 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1c2f0; +L_0000000002806d30/d .functor NOT 1, L_0000000002797960, C4<0>, C4<0>, C4<0>; +L_0000000002806d30 .delay 1 (10000,10000,10000) L_0000000002806d30/d; +L_0000000002806da0/d .functor NOT 1, L_0000000002799120, C4<0>, C4<0>, C4<0>; +L_0000000002806da0 .delay 1 (10000,10000,10000) L_0000000002806da0/d; +L_0000000002806320/d .functor NAND 1, L_0000000002799120, L_0000000002797960, L_0000000002806470, C4<1>; +L_0000000002806320 .delay 1 (30000,30000,30000) L_0000000002806320/d; +L_0000000002806a20/d .functor NAND 1, L_0000000002799120, L_0000000002806d30, L_0000000002806940, C4<1>; +L_0000000002806a20 .delay 1 (30000,30000,30000) L_0000000002806a20/d; +L_0000000002806390/d .functor NAND 1, L_0000000002806da0, L_0000000002797960, L_00000000028068d0, C4<1>; +L_0000000002806390 .delay 1 (30000,30000,30000) L_0000000002806390/d; +L_0000000002806a90/d .functor NAND 1, L_0000000002806da0, L_0000000002806d30, L_0000000002807970, C4<1>; +L_0000000002806a90 .delay 1 (30000,30000,30000) L_0000000002806a90/d; +L_0000000002806b70/d .functor NAND 1, L_0000000002806320, L_0000000002806a20, L_0000000002806390, L_0000000002806a90; +L_0000000002806b70 .delay 1 (40000,40000,40000) L_0000000002806b70/d; +v000000000276c960_0 .net "a", 0 0, L_0000000002806470; alias, 1 drivers +v000000000276bc40_0 .net "aout", 0 0, L_0000000002806320; 1 drivers +v000000000276a980_0 .net "b", 0 0, L_0000000002806940; alias, 1 drivers +v000000000276d040_0 .net "bout", 0 0, L_0000000002806a20; 1 drivers +v000000000276aa20_0 .net "c", 0 0, L_00000000028068d0; alias, 1 drivers +v000000000276b560_0 .net "cout", 0 0, L_0000000002806390; 1 drivers +v000000000276c3c0_0 .net "d", 0 0, L_0000000002807970; alias, 1 drivers +v000000000276c140_0 .net "dout", 0 0, L_0000000002806a90; 1 drivers +v000000000276b7e0_0 .net "ns0", 0 0, L_0000000002806d30; 1 drivers +v000000000276aac0_0 .net "ns1", 0 0, L_0000000002806da0; 1 drivers +v000000000276c820_0 .net "out", 0 0, L_0000000002806b70; alias, 1 drivers +v000000000276c8c0_0 .net "s0", 0 0, L_0000000002797960; 1 drivers +v000000000276c460_0 .net "s1", 0 0, L_0000000002799120; 1 drivers +S_000000000276eb40 .scope generate, "aluBits[24]" "aluBits[24]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06e60 .param/l "i" 0 4 49, +C4<011000>; +L_00000000028073c0/d .functor XOR 1, L_0000000002799800, v0000000000e68bd0_0, C4<0>, C4<0>; +L_00000000028073c0 .delay 1 (20000,20000,20000) L_00000000028073c0/d; +L_0000000002807cf0/d .functor AND 1, v0000000000e67370_0, L_00000000027998a0, C4<1>, C4<1>; +L_0000000002807cf0 .delay 1 (30000,30000,30000) L_0000000002807cf0/d; +L_00000000028076d0/d .functor AND 1, L_000000000279afc0, L_000000000282c280, C4<1>, C4<1>; +L_00000000028076d0 .delay 1 (30000,30000,30000) L_00000000028076d0/d; +v000000000275f3a0_0 .net *"_s1", 0 0, L_0000000002799800; 1 drivers +v000000000275e180_0 .net *"_s3", 0 0, L_00000000027998a0; 1 drivers +v000000000275eae0_0 .net *"_s9", 0 0, L_000000000279afc0; 1 drivers +S_000000000276f440 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000276eb40; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3235,19 +3244,19 @@ S_0000000000f1b570 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f15ed0_0 .net "a", 0 0, L_00000000026663e0; 1 drivers -v0000000000f16290_0 .net "b", 0 0, L_0000000002666ca0; 1 drivers -v0000000000f16650_0 .net "carryAND", 0 0, L_00000000026d84a0; 1 drivers -v0000000000f166f0_0 .net "cin", 0 0, L_0000000002666de0; 1 drivers -v0000000000f16790_0 .net "ctrl0", 0 0, L_00000000026671a0; 1 drivers -v0000000000f16ab0_0 .net "nab", 0 0, L_00000000026d8580; 1 drivers -v0000000000f16b50_0 .net "orNOR", 0 0, L_00000000026d8740; 1 drivers -v0000000000f16dd0_0 .net "res", 0 0, L_00000000026d8190; 1 drivers -v0000000000f16f10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f17050_0 .net "sumXOR", 0 0, L_00000000026d8660; 1 drivers -L_00000000026658a0 .part v0000000000e21990_0, 1, 1; -L_0000000002666c00 .part v0000000000e21990_0, 0, 1; -S_0000000000f1b9f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b570; +v000000000276d680_0 .net "a", 0 0, L_0000000002799f80; 1 drivers +v000000000276d900_0 .net "b", 0 0, L_0000000002799940; 1 drivers +v000000000276de00_0 .net "carryAND", 0 0, L_0000000002807430; 1 drivers +v000000000276d180_0 .net "cin", 0 0, L_0000000002797dc0; 1 drivers +v000000000276d220_0 .net "ctrl0", 0 0, L_00000000027980e0; 1 drivers +v000000000276dea0_0 .net "nab", 0 0, L_0000000002807040; 1 drivers +v000000000276d2c0_0 .net "orNOR", 0 0, L_0000000002806c50; 1 drivers +v000000000276d360_0 .net "res", 0 0, L_0000000002807580; 1 drivers +v000000000275ea40_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000275fbc0_0 .net "sumXOR", 0 0, L_0000000002806400; 1 drivers +L_0000000002797be0 .part v0000000000e625f0_0, 1, 1; +L_0000000002798540 .part v0000000000e625f0_0, 0, 1; +S_000000000276fec0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000276f440; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3257,32 +3266,32 @@ S_0000000000f1b9f0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b57 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d8580/d .functor NAND 1, L_00000000026663e0, L_0000000002666ca0, C4<1>, C4<1>; -L_00000000026d8580 .delay 1 (20000,20000,20000) L_00000000026d8580/d; -L_00000000026d85f0/d .functor NAND 1, L_0000000002666ca0, L_0000000002666de0, C4<1>, C4<1>; -L_00000000026d85f0 .delay 1 (20000,20000,20000) L_00000000026d85f0/d; -L_00000000026d86d0/d .functor NAND 1, L_00000000026663e0, L_0000000002666de0, C4<1>, C4<1>; -L_00000000026d86d0 .delay 1 (20000,20000,20000) L_00000000026d86d0/d; -L_00000000026d84a0/d .functor NAND 1, L_00000000026d8580, L_00000000026d86d0, L_00000000026d85f0, C4<1>; -L_00000000026d84a0 .delay 1 (30000,30000,30000) L_00000000026d84a0/d; -L_00000000026d8660/d .functor XOR 1, L_00000000026663e0, L_0000000002666ca0, L_0000000002666de0, C4<0>; -L_00000000026d8660 .delay 1 (30000,30000,30000) L_00000000026d8660/d; -L_00000000026d8820/d .functor NOR 1, L_00000000026663e0, L_0000000002666ca0, C4<0>, C4<0>; -L_00000000026d8820 .delay 1 (20000,20000,20000) L_00000000026d8820/d; -L_00000000026d8740/d .functor XOR 1, L_00000000026d8820, L_00000000026671a0, C4<0>, C4<0>; -L_00000000026d8740 .delay 1 (20000,20000,20000) L_00000000026d8740/d; -v0000000000f165b0_0 .net "a", 0 0, L_00000000026663e0; alias, 1 drivers -v0000000000f17410_0 .net "anorb", 0 0, L_00000000026d8820; 1 drivers -v0000000000f15cf0_0 .net "b", 0 0, L_0000000002666ca0; alias, 1 drivers -v0000000000f17690_0 .net "carryAND", 0 0, L_00000000026d84a0; alias, 1 drivers -v0000000000f15b10_0 .net "carryin", 0 0, L_0000000002666de0; alias, 1 drivers -v0000000000f15750_0 .net "i0", 0 0, L_00000000026671a0; alias, 1 drivers -v0000000000f152f0_0 .net "nab", 0 0, L_00000000026d8580; alias, 1 drivers -v0000000000f177d0_0 .net "nac", 0 0, L_00000000026d86d0; 1 drivers -v0000000000f174b0_0 .net "nbc", 0 0, L_00000000026d85f0; 1 drivers -v0000000000f16c90_0 .net "orNOR", 0 0, L_00000000026d8740; alias, 1 drivers -v0000000000f16d30_0 .net "sumXOR", 0 0, L_00000000026d8660; alias, 1 drivers -S_0000000000f1b0f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b570; +L_0000000002807040/d .functor NAND 1, L_0000000002799f80, L_0000000002799940, C4<1>, C4<1>; +L_0000000002807040 .delay 1 (20000,20000,20000) L_0000000002807040/d; +L_0000000002806710/d .functor NAND 1, L_0000000002799940, L_0000000002797dc0, C4<1>, C4<1>; +L_0000000002806710 .delay 1 (20000,20000,20000) L_0000000002806710/d; +L_0000000002806be0/d .functor NAND 1, L_0000000002799f80, L_0000000002797dc0, C4<1>, C4<1>; +L_0000000002806be0 .delay 1 (20000,20000,20000) L_0000000002806be0/d; +L_0000000002807430/d .functor NAND 1, L_0000000002807040, L_0000000002806be0, L_0000000002806710, C4<1>; +L_0000000002807430 .delay 1 (30000,30000,30000) L_0000000002807430/d; +L_0000000002806400/d .functor XOR 1, L_0000000002799f80, L_0000000002799940, L_0000000002797dc0, C4<0>; +L_0000000002806400 .delay 1 (30000,30000,30000) L_0000000002806400/d; +L_00000000028064e0/d .functor NOR 1, L_0000000002799f80, L_0000000002799940, C4<0>, C4<0>; +L_00000000028064e0 .delay 1 (20000,20000,20000) L_00000000028064e0/d; +L_0000000002806c50/d .functor XOR 1, L_00000000028064e0, L_00000000027980e0, C4<0>, C4<0>; +L_0000000002806c50 .delay 1 (20000,20000,20000) L_0000000002806c50/d; +v000000000276caa0_0 .net "a", 0 0, L_0000000002799f80; alias, 1 drivers +v000000000276af20_0 .net "anorb", 0 0, L_00000000028064e0; 1 drivers +v000000000276bf60_0 .net "b", 0 0, L_0000000002799940; alias, 1 drivers +v000000000276c0a0_0 .net "carryAND", 0 0, L_0000000002807430; alias, 1 drivers +v000000000276c6e0_0 .net "carryin", 0 0, L_0000000002797dc0; alias, 1 drivers +v000000000276cbe0_0 .net "i0", 0 0, L_00000000027980e0; alias, 1 drivers +v000000000276cc80_0 .net "nab", 0 0, L_0000000002807040; alias, 1 drivers +v000000000276cdc0_0 .net "nac", 0 0, L_0000000002806be0; 1 drivers +v000000000276df40_0 .net "nbc", 0 0, L_0000000002806710; 1 drivers +v000000000276d860_0 .net "orNOR", 0 0, L_0000000002806c50; alias, 1 drivers +v000000000276db80_0 .net "sumXOR", 0 0, L_0000000002806400; alias, 1 drivers +S_000000000276f5c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000276f440; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3291,46 +3300,46 @@ S_0000000000f1b0f0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d8200/d .functor NOT 1, L_0000000002666c00, C4<0>, C4<0>, C4<0>; -L_00000000026d8200 .delay 1 (10000,10000,10000) L_00000000026d8200/d; -L_00000000026d87b0/d .functor NOT 1, L_00000000026658a0, C4<0>, C4<0>, C4<0>; -L_00000000026d87b0 .delay 1 (10000,10000,10000) L_00000000026d87b0/d; -L_00000000026d8510/d .functor NAND 1, L_00000000026658a0, L_0000000002666c00, L_00000000026d8660, C4<1>; -L_00000000026d8510 .delay 1 (30000,30000,30000) L_00000000026d8510/d; -L_00000000026d8430/d .functor NAND 1, L_00000000026658a0, L_00000000026d8200, L_00000000026d8740, C4<1>; -L_00000000026d8430 .delay 1 (30000,30000,30000) L_00000000026d8430/d; -L_00000000026d82e0/d .functor NAND 1, L_00000000026d87b0, L_0000000002666c00, L_00000000026d84a0, C4<1>; -L_00000000026d82e0 .delay 1 (30000,30000,30000) L_00000000026d82e0/d; -L_00000000026d8890/d .functor NAND 1, L_00000000026d87b0, L_00000000026d8200, L_00000000026d8580, C4<1>; -L_00000000026d8890 .delay 1 (30000,30000,30000) L_00000000026d8890/d; -L_00000000026d8190/d .functor NAND 1, L_00000000026d8510, L_00000000026d8430, L_00000000026d82e0, L_00000000026d8890; -L_00000000026d8190 .delay 1 (40000,40000,40000) L_00000000026d8190/d; -v0000000000f15d90_0 .net "a", 0 0, L_00000000026d8660; alias, 1 drivers -v0000000000f163d0_0 .net "aout", 0 0, L_00000000026d8510; 1 drivers -v0000000000f15e30_0 .net "b", 0 0, L_00000000026d8740; alias, 1 drivers -v0000000000f17870_0 .net "bout", 0 0, L_00000000026d8430; 1 drivers -v0000000000f16a10_0 .net "c", 0 0, L_00000000026d84a0; alias, 1 drivers -v0000000000f16510_0 .net "cout", 0 0, L_00000000026d82e0; 1 drivers -v0000000000f15890_0 .net "d", 0 0, L_00000000026d8580; alias, 1 drivers -v0000000000f15390_0 .net "dout", 0 0, L_00000000026d8890; 1 drivers -v0000000000f15610_0 .net "ns0", 0 0, L_00000000026d8200; 1 drivers -v0000000000f16010_0 .net "ns1", 0 0, L_00000000026d87b0; 1 drivers -v0000000000f16470_0 .net "out", 0 0, L_00000000026d8190; alias, 1 drivers -v0000000000f15930_0 .net "s0", 0 0, L_0000000002666c00; 1 drivers -v0000000000f15bb0_0 .net "s1", 0 0, L_00000000026658a0; 1 drivers -S_0000000000f1bb70 .scope generate, "aluBits[25]" "aluBits[25]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3580 .param/l "i" 0 4 49, +C4<011001>; -L_00000000026d83c0/d .functor XOR 1, L_00000000026674c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d83c0 .delay 1 (20000,20000,20000) L_00000000026d83c0/d; -L_00000000026d8350/d .functor AND 1, v0000000000e20d10_0, L_0000000002667600, C4<1>, C4<1>; -L_00000000026d8350 .delay 1 (30000,30000,30000) L_00000000026d8350/d; -L_00000000026dc3d0/d .functor AND 1, L_0000000002667b00, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026dc3d0 .delay 1 (30000,30000,30000) L_00000000026dc3d0/d; -v0000000000f18db0_0 .net *"_s1", 0 0, L_00000000026674c0; 1 drivers -v0000000000f18a90_0 .net *"_s3", 0 0, L_0000000002667600; 1 drivers -v0000000000f17f50_0 .net *"_s9", 0 0, L_0000000002667b00; 1 drivers -S_0000000000f1b270 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f1bb70; +L_0000000002806630/d .functor NOT 1, L_0000000002798540, C4<0>, C4<0>, C4<0>; +L_0000000002806630 .delay 1 (10000,10000,10000) L_0000000002806630/d; +L_0000000002807120/d .functor NOT 1, L_0000000002797be0, C4<0>, C4<0>, C4<0>; +L_0000000002807120 .delay 1 (10000,10000,10000) L_0000000002807120/d; +L_0000000002807190/d .functor NAND 1, L_0000000002797be0, L_0000000002798540, L_0000000002806400, C4<1>; +L_0000000002807190 .delay 1 (30000,30000,30000) L_0000000002807190/d; +L_0000000002807200/d .functor NAND 1, L_0000000002797be0, L_0000000002806630, L_0000000002806c50, C4<1>; +L_0000000002807200 .delay 1 (30000,30000,30000) L_0000000002807200/d; +L_0000000002807270/d .functor NAND 1, L_0000000002807120, L_0000000002798540, L_0000000002807430, C4<1>; +L_0000000002807270 .delay 1 (30000,30000,30000) L_0000000002807270/d; +L_00000000028072e0/d .functor NAND 1, L_0000000002807120, L_0000000002806630, L_0000000002807040, C4<1>; +L_00000000028072e0 .delay 1 (30000,30000,30000) L_00000000028072e0/d; +L_0000000002807580/d .functor NAND 1, L_0000000002807190, L_0000000002807200, L_0000000002807270, L_00000000028072e0; +L_0000000002807580 .delay 1 (40000,40000,40000) L_0000000002807580/d; +v000000000276dc20_0 .net "a", 0 0, L_0000000002806400; alias, 1 drivers +v000000000276d400_0 .net "aout", 0 0, L_0000000002807190; 1 drivers +v000000000276d9a0_0 .net "b", 0 0, L_0000000002806c50; alias, 1 drivers +v000000000276d0e0_0 .net "bout", 0 0, L_0000000002807200; 1 drivers +v000000000276da40_0 .net "c", 0 0, L_0000000002807430; alias, 1 drivers +v000000000276dcc0_0 .net "cout", 0 0, L_0000000002807270; 1 drivers +v000000000276dd60_0 .net "d", 0 0, L_0000000002807040; alias, 1 drivers +v000000000276d540_0 .net "dout", 0 0, L_00000000028072e0; 1 drivers +v000000000276d4a0_0 .net "ns0", 0 0, L_0000000002806630; 1 drivers +v000000000276d5e0_0 .net "ns1", 0 0, L_0000000002807120; 1 drivers +v000000000276d7c0_0 .net "out", 0 0, L_0000000002807580; alias, 1 drivers +v000000000276d720_0 .net "s0", 0 0, L_0000000002798540; 1 drivers +v000000000276dae0_0 .net "s1", 0 0, L_0000000002797be0; 1 drivers +S_000000000276efc0 .scope generate, "aluBits[25]" "aluBits[25]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f067a0 .param/l "i" 0 4 49, +C4<011001>; +L_0000000002807350/d .functor XOR 1, L_000000000279ab60, v0000000000e68bd0_0, C4<0>, C4<0>; +L_0000000002807350 .delay 1 (20000,20000,20000) L_0000000002807350/d; +L_000000000280a8e0/d .functor AND 1, v0000000000e67370_0, L_000000000279ba60, C4<1>, C4<1>; +L_000000000280a8e0 .delay 1 (30000,30000,30000) L_000000000280a8e0/d; +L_000000000280bd00/d .functor AND 1, L_000000000279a160, L_000000000282c280, C4<1>, C4<1>; +L_000000000280bd00 .delay 1 (30000,30000,30000) L_000000000280bd00/d; +v000000000275e4a0_0 .net *"_s1", 0 0, L_000000000279ab60; 1 drivers +v000000000275e7c0_0 .net *"_s3", 0 0, L_000000000279ba60; 1 drivers +v0000000002760340_0 .net *"_s9", 0 0, L_000000000279a160; 1 drivers +S_000000000276e240 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_000000000276efc0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3339,19 +3348,19 @@ S_0000000000f1b270 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f183b0_0 .net "a", 0 0, L_0000000002667740; 1 drivers -v0000000000f19170_0 .net "b", 0 0, L_00000000026677e0; 1 drivers -v0000000000f17910_0 .net "carryAND", 0 0, L_00000000026dc670; 1 drivers -v0000000000f179b0_0 .net "cin", 0 0, L_0000000002668280; 1 drivers -v0000000000f189f0_0 .net "ctrl0", 0 0, L_00000000026679c0; 1 drivers -v0000000000f18270_0 .net "nab", 0 0, L_00000000026dc750; 1 drivers -v0000000000f19e90_0 .net "orNOR", 0 0, L_00000000026dc1a0; 1 drivers -v0000000000f17e10_0 .net "res", 0 0, L_00000000026dc520; 1 drivers -v0000000000f19fd0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f18090_0 .net "sumXOR", 0 0, L_00000000026dc210; 1 drivers -L_00000000026676a0 .part v0000000000e21990_0, 1, 1; -L_0000000002665940 .part v0000000000e21990_0, 0, 1; -S_0000000000f1c170 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b270; +v000000000275f260_0 .net "a", 0 0, L_000000000279a660; 1 drivers +v000000000275e400_0 .net "b", 0 0, L_000000000279c140; 1 drivers +v000000000275e680_0 .net "carryAND", 0 0, L_000000000280b8a0; 1 drivers +v000000000275fda0_0 .net "cin", 0 0, L_000000000279b240; 1 drivers +v000000000275ec20_0 .net "ctrl0", 0 0, L_000000000279c8c0; 1 drivers +v000000000275f120_0 .net "nab", 0 0, L_000000000280adb0; 1 drivers +v000000000275efe0_0 .net "orNOR", 0 0, L_000000000280acd0; 1 drivers +v000000000275e900_0 .net "res", 0 0, L_000000000280a5d0; 1 drivers +v000000000275e5e0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000275fa80_0 .net "sumXOR", 0 0, L_000000000280bc90; 1 drivers +L_000000000279b380 .part v0000000000e625f0_0, 1, 1; +L_000000000279c000 .part v0000000000e625f0_0, 0, 1; +S_000000000276f140 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_000000000276e240; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3361,32 +3370,32 @@ S_0000000000f1c170 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f1b27 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026dc750/d .functor NAND 1, L_0000000002667740, L_00000000026677e0, C4<1>, C4<1>; -L_00000000026dc750 .delay 1 (20000,20000,20000) L_00000000026dc750/d; -L_00000000026dc7c0/d .functor NAND 1, L_00000000026677e0, L_0000000002668280, C4<1>, C4<1>; -L_00000000026dc7c0 .delay 1 (20000,20000,20000) L_00000000026dc7c0/d; -L_00000000026dc830/d .functor NAND 1, L_0000000002667740, L_0000000002668280, C4<1>, C4<1>; -L_00000000026dc830 .delay 1 (20000,20000,20000) L_00000000026dc830/d; -L_00000000026dc670/d .functor NAND 1, L_00000000026dc750, L_00000000026dc830, L_00000000026dc7c0, C4<1>; -L_00000000026dc670 .delay 1 (30000,30000,30000) L_00000000026dc670/d; -L_00000000026dc210/d .functor XOR 1, L_0000000002667740, L_00000000026677e0, L_0000000002668280, C4<0>; -L_00000000026dc210 .delay 1 (30000,30000,30000) L_00000000026dc210/d; -L_00000000026dc590/d .functor NOR 1, L_0000000002667740, L_00000000026677e0, C4<0>, C4<0>; -L_00000000026dc590 .delay 1 (20000,20000,20000) L_00000000026dc590/d; -L_00000000026dc1a0/d .functor XOR 1, L_00000000026dc590, L_00000000026679c0, C4<0>, C4<0>; -L_00000000026dc1a0 .delay 1 (20000,20000,20000) L_00000000026dc1a0/d; -v0000000000f19ad0_0 .net "a", 0 0, L_0000000002667740; alias, 1 drivers -v0000000000f17b90_0 .net "anorb", 0 0, L_00000000026dc590; 1 drivers -v0000000000f19d50_0 .net "b", 0 0, L_00000000026677e0; alias, 1 drivers -v0000000000f17c30_0 .net "carryAND", 0 0, L_00000000026dc670; alias, 1 drivers -v0000000000f190d0_0 .net "carryin", 0 0, L_0000000002668280; alias, 1 drivers -v0000000000f18770_0 .net "i0", 0 0, L_00000000026679c0; alias, 1 drivers -v0000000000f198f0_0 .net "nab", 0 0, L_00000000026dc750; alias, 1 drivers -v0000000000f195d0_0 .net "nac", 0 0, L_00000000026dc830; 1 drivers -v0000000000f19990_0 .net "nbc", 0 0, L_00000000026dc7c0; 1 drivers -v0000000000f18e50_0 .net "orNOR", 0 0, L_00000000026dc1a0; alias, 1 drivers -v0000000000f19df0_0 .net "sumXOR", 0 0, L_00000000026dc210; alias, 1 drivers -S_0000000000f1c470 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f1b270; +L_000000000280adb0/d .functor NAND 1, L_000000000279a660, L_000000000279c140, C4<1>, C4<1>; +L_000000000280adb0 .delay 1 (20000,20000,20000) L_000000000280adb0/d; +L_000000000280a410/d .functor NAND 1, L_000000000279c140, L_000000000279b240, C4<1>, C4<1>; +L_000000000280a410 .delay 1 (20000,20000,20000) L_000000000280a410/d; +L_000000000280bbb0/d .functor NAND 1, L_000000000279a660, L_000000000279b240, C4<1>, C4<1>; +L_000000000280bbb0 .delay 1 (20000,20000,20000) L_000000000280bbb0/d; +L_000000000280b8a0/d .functor NAND 1, L_000000000280adb0, L_000000000280bbb0, L_000000000280a410, C4<1>; +L_000000000280b8a0 .delay 1 (30000,30000,30000) L_000000000280b8a0/d; +L_000000000280bc90/d .functor XOR 1, L_000000000279a660, L_000000000279c140, L_000000000279b240, C4<0>; +L_000000000280bc90 .delay 1 (30000,30000,30000) L_000000000280bc90/d; +L_000000000280abf0/d .functor NOR 1, L_000000000279a660, L_000000000279c140, C4<0>, C4<0>; +L_000000000280abf0 .delay 1 (20000,20000,20000) L_000000000280abf0/d; +L_000000000280acd0/d .functor XOR 1, L_000000000280abf0, L_000000000279c8c0, C4<0>, C4<0>; +L_000000000280acd0 .delay 1 (20000,20000,20000) L_000000000280acd0/d; +v000000000275fe40_0 .net "a", 0 0, L_000000000279a660; alias, 1 drivers +v000000000275eea0_0 .net "anorb", 0 0, L_000000000280abf0; 1 drivers +v000000000275eb80_0 .net "b", 0 0, L_000000000279c140; alias, 1 drivers +v0000000002760160_0 .net "carryAND", 0 0, L_000000000280b8a0; alias, 1 drivers +v00000000027602a0_0 .net "carryin", 0 0, L_000000000279b240; alias, 1 drivers +v000000000275e360_0 .net "i0", 0 0, L_000000000279c8c0; alias, 1 drivers +v000000000275e540_0 .net "nab", 0 0, L_000000000280adb0; alias, 1 drivers +v0000000002760700_0 .net "nac", 0 0, L_000000000280bbb0; 1 drivers +v00000000027607a0_0 .net "nbc", 0 0, L_000000000280a410; 1 drivers +v000000000275ed60_0 .net "orNOR", 0 0, L_000000000280acd0; alias, 1 drivers +v000000000275fc60_0 .net "sumXOR", 0 0, L_000000000280bc90; alias, 1 drivers +S_000000000276f2c0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_000000000276e240; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3395,46 +3404,46 @@ S_0000000000f1c470 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026dc280/d .functor NOT 1, L_0000000002665940, C4<0>, C4<0>, C4<0>; -L_00000000026dc280 .delay 1 (10000,10000,10000) L_00000000026dc280/d; -L_00000000026dc6e0/d .functor NOT 1, L_00000000026676a0, C4<0>, C4<0>, C4<0>; -L_00000000026dc6e0 .delay 1 (10000,10000,10000) L_00000000026dc6e0/d; -L_00000000026dc360/d .functor NAND 1, L_00000000026676a0, L_0000000002665940, L_00000000026dc210, C4<1>; -L_00000000026dc360 .delay 1 (30000,30000,30000) L_00000000026dc360/d; -L_00000000026dc8a0/d .functor NAND 1, L_00000000026676a0, L_00000000026dc280, L_00000000026dc1a0, C4<1>; -L_00000000026dc8a0 .delay 1 (30000,30000,30000) L_00000000026dc8a0/d; -L_00000000026dc600/d .functor NAND 1, L_00000000026dc6e0, L_0000000002665940, L_00000000026dc670, C4<1>; -L_00000000026dc600 .delay 1 (30000,30000,30000) L_00000000026dc600/d; -L_00000000026dc2f0/d .functor NAND 1, L_00000000026dc6e0, L_00000000026dc280, L_00000000026dc750, C4<1>; -L_00000000026dc2f0 .delay 1 (30000,30000,30000) L_00000000026dc2f0/d; -L_00000000026dc520/d .functor NAND 1, L_00000000026dc360, L_00000000026dc8a0, L_00000000026dc600, L_00000000026dc2f0; -L_00000000026dc520 .delay 1 (40000,40000,40000) L_00000000026dc520/d; -v0000000000f17cd0_0 .net "a", 0 0, L_00000000026dc210; alias, 1 drivers -v0000000000f18130_0 .net "aout", 0 0, L_00000000026dc360; 1 drivers -v0000000000f1a070_0 .net "b", 0 0, L_00000000026dc1a0; alias, 1 drivers -v0000000000f19a30_0 .net "bout", 0 0, L_00000000026dc8a0; 1 drivers -v0000000000f18ef0_0 .net "c", 0 0, L_00000000026dc670; alias, 1 drivers -v0000000000f184f0_0 .net "cout", 0 0, L_00000000026dc600; 1 drivers -v0000000000f19b70_0 .net "d", 0 0, L_00000000026dc750; alias, 1 drivers -v0000000000f19030_0 .net "dout", 0 0, L_00000000026dc2f0; 1 drivers -v0000000000f18950_0 .net "ns0", 0 0, L_00000000026dc280; 1 drivers -v0000000000f17d70_0 .net "ns1", 0 0, L_00000000026dc6e0; 1 drivers -v0000000000f19f30_0 .net "out", 0 0, L_00000000026dc520; alias, 1 drivers -v0000000000f19cb0_0 .net "s0", 0 0, L_0000000002665940; 1 drivers -v0000000000f188b0_0 .net "s1", 0 0, L_00000000026676a0; 1 drivers -S_0000000000f26610 .scope generate, "aluBits[26]" "aluBits[26]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de2e00 .param/l "i" 0 4 49, +C4<011010>; -L_00000000026dc440/d .functor XOR 1, L_0000000002668320, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026dc440 .delay 1 (20000,20000,20000) L_00000000026dc440/d; -L_00000000026dc4b0/d .functor AND 1, v0000000000e20d10_0, L_00000000026683c0, C4<1>, C4<1>; -L_00000000026dc4b0 .delay 1 (30000,30000,30000) L_00000000026dc4b0/d; -L_00000000026d8bd0/d .functor AND 1, L_0000000002667a60, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d8bd0 .delay 1 (30000,30000,30000) L_00000000026d8bd0/d; -v0000000000f361f0_0 .net *"_s1", 0 0, L_0000000002668320; 1 drivers -v0000000000f36dd0_0 .net *"_s3", 0 0, L_00000000026683c0; 1 drivers -v0000000000f366f0_0 .net *"_s9", 0 0, L_0000000002667a60; 1 drivers -S_0000000000f26790 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26610; +L_000000000280b130/d .functor NOT 1, L_000000000279c000, C4<0>, C4<0>, C4<0>; +L_000000000280b130 .delay 1 (10000,10000,10000) L_000000000280b130/d; +L_000000000280ae20/d .functor NOT 1, L_000000000279b380, C4<0>, C4<0>, C4<0>; +L_000000000280ae20 .delay 1 (10000,10000,10000) L_000000000280ae20/d; +L_000000000280b980/d .functor NAND 1, L_000000000279b380, L_000000000279c000, L_000000000280bc90, C4<1>; +L_000000000280b980 .delay 1 (30000,30000,30000) L_000000000280b980/d; +L_000000000280b750/d .functor NAND 1, L_000000000279b380, L_000000000280b130, L_000000000280acd0, C4<1>; +L_000000000280b750 .delay 1 (30000,30000,30000) L_000000000280b750/d; +L_000000000280a250/d .functor NAND 1, L_000000000280ae20, L_000000000279c000, L_000000000280b8a0, C4<1>; +L_000000000280a250 .delay 1 (30000,30000,30000) L_000000000280a250/d; +L_000000000280ad40/d .functor NAND 1, L_000000000280ae20, L_000000000280b130, L_000000000280adb0, C4<1>; +L_000000000280ad40 .delay 1 (30000,30000,30000) L_000000000280ad40/d; +L_000000000280a5d0/d .functor NAND 1, L_000000000280b980, L_000000000280b750, L_000000000280a250, L_000000000280ad40; +L_000000000280a5d0 .delay 1 (40000,40000,40000) L_000000000280a5d0/d; +v000000000275f760_0 .net "a", 0 0, L_000000000280bc90; alias, 1 drivers +v0000000002760840_0 .net "aout", 0 0, L_000000000280b980; 1 drivers +v000000000275e720_0 .net "b", 0 0, L_000000000280acd0; alias, 1 drivers +v000000000275f1c0_0 .net "bout", 0 0, L_000000000280b750; 1 drivers +v000000000275fee0_0 .net "c", 0 0, L_000000000280b8a0; alias, 1 drivers +v000000000275e0e0_0 .net "cout", 0 0, L_000000000280a250; 1 drivers +v000000000275e220_0 .net "d", 0 0, L_000000000280adb0; alias, 1 drivers +v000000000275ee00_0 .net "dout", 0 0, L_000000000280ad40; 1 drivers +v000000000275f080_0 .net "ns0", 0 0, L_000000000280b130; 1 drivers +v000000000275fd00_0 .net "ns1", 0 0, L_000000000280ae20; 1 drivers +v000000000275e9a0_0 .net "out", 0 0, L_000000000280a5d0; alias, 1 drivers +v000000000275e2c0_0 .net "s0", 0 0, L_000000000279c000; 1 drivers +v000000000275f8a0_0 .net "s1", 0 0, L_000000000279b380; 1 drivers +S_0000000002772260 .scope generate, "aluBits[26]" "aluBits[26]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06e20 .param/l "i" 0 4 49, +C4<011010>; +L_000000000280b520/d .functor XOR 1, L_000000000279bb00, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280b520 .delay 1 (20000,20000,20000) L_000000000280b520/d; +L_000000000280a2c0/d .functor AND 1, v0000000000e67370_0, L_000000000279b060, C4<1>, C4<1>; +L_000000000280a2c0 .delay 1 (30000,30000,30000) L_000000000280a2c0/d; +L_000000000280bd70/d .functor AND 1, L_000000000279ade0, L_000000000282c280, C4<1>, C4<1>; +L_000000000280bd70 .delay 1 (30000,30000,30000) L_000000000280bd70/d; +v0000000002779800_0 .net *"_s1", 0 0, L_000000000279bb00; 1 drivers +v000000000277b7e0_0 .net *"_s3", 0 0, L_000000000279b060; 1 drivers +v000000000277a3e0_0 .net *"_s9", 0 0, L_000000000279ade0; 1 drivers +S_0000000002772e60 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002772260; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3443,19 +3452,19 @@ S_0000000000f26790 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f19530_0 .net "a", 0 0, L_0000000002668500; 1 drivers -v0000000000f36bf0_0 .net "b", 0 0, L_0000000002668140; 1 drivers -v0000000000f368d0_0 .net "carryAND", 0 0, L_00000000026d9c00; 1 drivers -v0000000000f365b0_0 .net "cin", 0 0, L_00000000026681e0; 1 drivers -v0000000000f36650_0 .net "ctrl0", 0 0, L_00000000026686e0; 1 drivers -v0000000000f36d30_0 .net "nab", 0 0, L_00000000026d9e30; 1 drivers -v0000000000f36790_0 .net "orNOR", 0 0, L_00000000026d93b0; 1 drivers -v0000000000f36a10_0 .net "res", 0 0, L_00000000026d96c0; 1 drivers -v0000000000f36150_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f36ab0_0 .net "sumXOR", 0 0, L_00000000026d9f10; 1 drivers -L_0000000002667920 .part v0000000000e21990_0, 1, 1; -L_0000000002668460 .part v0000000000e21990_0, 0, 1; -S_0000000000f26910 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26790; +v0000000002779940_0 .net "a", 0 0, L_000000000279a5c0; 1 drivers +v000000000277a0c0_0 .net "b", 0 0, L_000000000279c320; 1 drivers +v0000000002779e40_0 .net "carryAND", 0 0, L_000000000280ae90; 1 drivers +v0000000002779ee0_0 .net "cin", 0 0, L_000000000279c780; 1 drivers +v0000000002779c60_0 .net "ctrl0", 0 0, L_000000000279a840; 1 drivers +v000000000277b240_0 .net "nab", 0 0, L_000000000280a330; 1 drivers +v000000000277b560_0 .net "orNOR", 0 0, L_000000000280a870; 1 drivers +v0000000002779f80_0 .net "res", 0 0, L_000000000280b670; 1 drivers +v000000000277a160_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v0000000002779440_0 .net "sumXOR", 0 0, L_000000000280a800; 1 drivers +L_000000000279b560 .part v0000000000e625f0_0, 1, 1; +L_000000000279a340 .part v0000000000e625f0_0, 0, 1; +S_0000000002773be0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002772e60; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3465,32 +3474,32 @@ S_0000000000f26910 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f2679 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d9e30/d .functor NAND 1, L_0000000002668500, L_0000000002668140, C4<1>, C4<1>; -L_00000000026d9e30 .delay 1 (20000,20000,20000) L_00000000026d9e30/d; -L_00000000026d9570/d .functor NAND 1, L_0000000002668140, L_00000000026681e0, C4<1>, C4<1>; -L_00000000026d9570 .delay 1 (20000,20000,20000) L_00000000026d9570/d; -L_00000000026d8f50/d .functor NAND 1, L_0000000002668500, L_00000000026681e0, C4<1>, C4<1>; -L_00000000026d8f50 .delay 1 (20000,20000,20000) L_00000000026d8f50/d; -L_00000000026d9c00/d .functor NAND 1, L_00000000026d9e30, L_00000000026d8f50, L_00000000026d9570, C4<1>; -L_00000000026d9c00 .delay 1 (30000,30000,30000) L_00000000026d9c00/d; -L_00000000026d9f10/d .functor XOR 1, L_0000000002668500, L_0000000002668140, L_00000000026681e0, C4<0>; -L_00000000026d9f10 .delay 1 (30000,30000,30000) L_00000000026d9f10/d; -L_00000000026d8e70/d .functor NOR 1, L_0000000002668500, L_0000000002668140, C4<0>, C4<0>; -L_00000000026d8e70 .delay 1 (20000,20000,20000) L_00000000026d8e70/d; -L_00000000026d93b0/d .functor XOR 1, L_00000000026d8e70, L_00000000026686e0, C4<0>, C4<0>; -L_00000000026d93b0 .delay 1 (20000,20000,20000) L_00000000026d93b0/d; -v0000000000f181d0_0 .net "a", 0 0, L_0000000002668500; alias, 1 drivers -v0000000000f17a50_0 .net "anorb", 0 0, L_00000000026d8e70; 1 drivers -v0000000000f17af0_0 .net "b", 0 0, L_0000000002668140; alias, 1 drivers -v0000000000f18450_0 .net "carryAND", 0 0, L_00000000026d9c00; alias, 1 drivers -v0000000000f17ff0_0 .net "carryin", 0 0, L_00000000026681e0; alias, 1 drivers -v0000000000f18310_0 .net "i0", 0 0, L_00000000026686e0; alias, 1 drivers -v0000000000f197b0_0 .net "nab", 0 0, L_00000000026d9e30; alias, 1 drivers -v0000000000f18590_0 .net "nac", 0 0, L_00000000026d8f50; 1 drivers -v0000000000f19210_0 .net "nbc", 0 0, L_00000000026d9570; 1 drivers -v0000000000f18d10_0 .net "orNOR", 0 0, L_00000000026d93b0; alias, 1 drivers -v0000000000f18630_0 .net "sumXOR", 0 0, L_00000000026d9f10; alias, 1 drivers -S_0000000000f26a90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26790; +L_000000000280a330/d .functor NAND 1, L_000000000279a5c0, L_000000000279c320, C4<1>, C4<1>; +L_000000000280a330 .delay 1 (20000,20000,20000) L_000000000280a330/d; +L_000000000280a790/d .functor NAND 1, L_000000000279c320, L_000000000279c780, C4<1>, C4<1>; +L_000000000280a790 .delay 1 (20000,20000,20000) L_000000000280a790/d; +L_000000000280af70/d .functor NAND 1, L_000000000279a5c0, L_000000000279c780, C4<1>, C4<1>; +L_000000000280af70 .delay 1 (20000,20000,20000) L_000000000280af70/d; +L_000000000280ae90/d .functor NAND 1, L_000000000280a330, L_000000000280af70, L_000000000280a790, C4<1>; +L_000000000280ae90 .delay 1 (30000,30000,30000) L_000000000280ae90/d; +L_000000000280a800/d .functor XOR 1, L_000000000279a5c0, L_000000000279c320, L_000000000279c780, C4<0>; +L_000000000280a800 .delay 1 (30000,30000,30000) L_000000000280a800/d; +L_000000000280af00/d .functor NOR 1, L_000000000279a5c0, L_000000000279c320, C4<0>, C4<0>; +L_000000000280af00 .delay 1 (20000,20000,20000) L_000000000280af00/d; +L_000000000280a870/d .functor XOR 1, L_000000000280af00, L_000000000279a840, C4<0>, C4<0>; +L_000000000280a870 .delay 1 (20000,20000,20000) L_000000000280a870/d; +v000000000275e860_0 .net "a", 0 0, L_000000000279a5c0; alias, 1 drivers +v000000000275ecc0_0 .net "anorb", 0 0, L_000000000280af00; 1 drivers +v0000000002760520_0 .net "b", 0 0, L_000000000279c320; alias, 1 drivers +v0000000002760480_0 .net "carryAND", 0 0, L_000000000280ae90; alias, 1 drivers +v000000000275ef40_0 .net "carryin", 0 0, L_000000000279c780; alias, 1 drivers +v000000000275f300_0 .net "i0", 0 0, L_000000000279a840; alias, 1 drivers +v000000000275f440_0 .net "nab", 0 0, L_000000000280a330; alias, 1 drivers +v000000000275f800_0 .net "nac", 0 0, L_000000000280af70; 1 drivers +v000000000275f9e0_0 .net "nbc", 0 0, L_000000000280a790; 1 drivers +v0000000002760200_0 .net "orNOR", 0 0, L_000000000280a870; alias, 1 drivers +v000000000275f4e0_0 .net "sumXOR", 0 0, L_000000000280a800; alias, 1 drivers +S_0000000002773460 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002772e60; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3499,46 +3508,46 @@ S_0000000000f26a90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026da300/d .functor NOT 1, L_0000000002668460, C4<0>, C4<0>, C4<0>; -L_00000000026da300 .delay 1 (10000,10000,10000) L_00000000026da300/d; -L_00000000026da1b0/d .functor NOT 1, L_0000000002667920, C4<0>, C4<0>, C4<0>; -L_00000000026da1b0 .delay 1 (10000,10000,10000) L_00000000026da1b0/d; -L_00000000026d9ff0/d .functor NAND 1, L_0000000002667920, L_0000000002668460, L_00000000026d9f10, C4<1>; -L_00000000026d9ff0 .delay 1 (30000,30000,30000) L_00000000026d9ff0/d; -L_00000000026d9110/d .functor NAND 1, L_0000000002667920, L_00000000026da300, L_00000000026d93b0, C4<1>; -L_00000000026d9110 .delay 1 (30000,30000,30000) L_00000000026d9110/d; -L_00000000026d9420/d .functor NAND 1, L_00000000026da1b0, L_0000000002668460, L_00000000026d9c00, C4<1>; -L_00000000026d9420 .delay 1 (30000,30000,30000) L_00000000026d9420/d; -L_00000000026d9ce0/d .functor NAND 1, L_00000000026da1b0, L_00000000026da300, L_00000000026d9e30, C4<1>; -L_00000000026d9ce0 .delay 1 (30000,30000,30000) L_00000000026d9ce0/d; -L_00000000026d96c0/d .functor NAND 1, L_00000000026d9ff0, L_00000000026d9110, L_00000000026d9420, L_00000000026d9ce0; -L_00000000026d96c0 .delay 1 (40000,40000,40000) L_00000000026d96c0/d; -v0000000000f18f90_0 .net "a", 0 0, L_00000000026d9f10; alias, 1 drivers -v0000000000f186d0_0 .net "aout", 0 0, L_00000000026d9ff0; 1 drivers -v0000000000f19710_0 .net "b", 0 0, L_00000000026d93b0; alias, 1 drivers -v0000000000f18810_0 .net "bout", 0 0, L_00000000026d9110; 1 drivers -v0000000000f19670_0 .net "c", 0 0, L_00000000026d9c00; alias, 1 drivers -v0000000000f18b30_0 .net "cout", 0 0, L_00000000026d9420; 1 drivers -v0000000000f18bd0_0 .net "d", 0 0, L_00000000026d9e30; alias, 1 drivers -v0000000000f192b0_0 .net "dout", 0 0, L_00000000026d9ce0; 1 drivers -v0000000000f18c70_0 .net "ns0", 0 0, L_00000000026da300; 1 drivers -v0000000000f19350_0 .net "ns1", 0 0, L_00000000026da1b0; 1 drivers -v0000000000f193f0_0 .net "out", 0 0, L_00000000026d96c0; alias, 1 drivers -v0000000000f19850_0 .net "s0", 0 0, L_0000000002668460; 1 drivers -v0000000000f19490_0 .net "s1", 0 0, L_0000000002667920; 1 drivers -S_0000000000f26c10 .scope generate, "aluBits[27]" "aluBits[27]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3640 .param/l "i" 0 4 49, +C4<011011>; -L_00000000026d9030/d .functor XOR 1, L_00000000026685a0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d9030 .delay 1 (20000,20000,20000) L_00000000026d9030/d; -L_00000000026d90a0/d .functor AND 1, v0000000000e20d10_0, L_0000000002667ce0, C4<1>, C4<1>; -L_00000000026d90a0 .delay 1 (30000,30000,30000) L_00000000026d90a0/d; -L_00000000026d8af0/d .functor AND 1, L_0000000002667e20, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d8af0 .delay 1 (30000,30000,30000) L_00000000026d8af0/d; -v0000000000f28050_0 .net *"_s1", 0 0, L_00000000026685a0; 1 drivers -v0000000000f29270_0 .net *"_s3", 0 0, L_0000000002667ce0; 1 drivers -v0000000000f27bf0_0 .net *"_s9", 0 0, L_0000000002667e20; 1 drivers -S_0000000000f25110 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26c10; +L_000000000280bc20/d .functor NOT 1, L_000000000279a340, C4<0>, C4<0>, C4<0>; +L_000000000280bc20 .delay 1 (10000,10000,10000) L_000000000280bc20/d; +L_000000000280b440/d .functor NOT 1, L_000000000279b560, C4<0>, C4<0>, C4<0>; +L_000000000280b440 .delay 1 (10000,10000,10000) L_000000000280b440/d; +L_000000000280ac60/d .functor NAND 1, L_000000000279b560, L_000000000279a340, L_000000000280a800, C4<1>; +L_000000000280ac60 .delay 1 (30000,30000,30000) L_000000000280ac60/d; +L_000000000280b590/d .functor NAND 1, L_000000000279b560, L_000000000280bc20, L_000000000280a870, C4<1>; +L_000000000280b590 .delay 1 (30000,30000,30000) L_000000000280b590/d; +L_000000000280a6b0/d .functor NAND 1, L_000000000280b440, L_000000000279a340, L_000000000280ae90, C4<1>; +L_000000000280a6b0 .delay 1 (30000,30000,30000) L_000000000280a6b0/d; +L_000000000280b600/d .functor NAND 1, L_000000000280b440, L_000000000280bc20, L_000000000280a330, C4<1>; +L_000000000280b600 .delay 1 (30000,30000,30000) L_000000000280b600/d; +L_000000000280b670/d .functor NAND 1, L_000000000280ac60, L_000000000280b590, L_000000000280a6b0, L_000000000280b600; +L_000000000280b670 .delay 1 (40000,40000,40000) L_000000000280b670/d; +v00000000027605c0_0 .net "a", 0 0, L_000000000280a800; alias, 1 drivers +v000000000275ff80_0 .net "aout", 0 0, L_000000000280ac60; 1 drivers +v0000000002760660_0 .net "b", 0 0, L_000000000280a870; alias, 1 drivers +v0000000002760020_0 .net "bout", 0 0, L_000000000280b590; 1 drivers +v000000000275f580_0 .net "c", 0 0, L_000000000280ae90; alias, 1 drivers +v000000000275f620_0 .net "cout", 0 0, L_000000000280a6b0; 1 drivers +v000000000275f6c0_0 .net "d", 0 0, L_000000000280a330; alias, 1 drivers +v000000000275f940_0 .net "dout", 0 0, L_000000000280b600; 1 drivers +v00000000027603e0_0 .net "ns0", 0 0, L_000000000280bc20; 1 drivers +v000000000275fb20_0 .net "ns1", 0 0, L_000000000280b440; 1 drivers +v00000000027600c0_0 .net "out", 0 0, L_000000000280b670; alias, 1 drivers +v000000000277a200_0 .net "s0", 0 0, L_000000000279a340; 1 drivers +v000000000277ade0_0 .net "s1", 0 0, L_000000000279b560; 1 drivers +S_00000000027723e0 .scope generate, "aluBits[27]" "aluBits[27]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05ee0 .param/l "i" 0 4 49, +C4<011011>; +L_000000000280a720/d .functor XOR 1, L_000000000279bc40, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280a720 .delay 1 (20000,20000,20000) L_000000000280a720/d; +L_000000000280a480/d .functor AND 1, v0000000000e67370_0, L_000000000279bce0, C4<1>, C4<1>; +L_000000000280a480 .delay 1 (30000,30000,30000) L_000000000280a480/d; +L_000000000280b210/d .functor AND 1, L_000000000279c280, L_000000000282c280, C4<1>, C4<1>; +L_000000000280b210 .delay 1 (30000,30000,30000) L_000000000280b210/d; +v000000000277aa20_0 .net *"_s1", 0 0, L_000000000279bc40; 1 drivers +v000000000277b600_0 .net *"_s3", 0 0, L_000000000279bce0; 1 drivers +v0000000002779a80_0 .net *"_s9", 0 0, L_000000000279c280; 1 drivers +S_0000000002772860 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_00000000027723e0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3547,19 +3556,19 @@ S_0000000000f25110 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f27290_0 .net "a", 0 0, L_0000000002668780; 1 drivers -v0000000000f282d0_0 .net "b", 0 0, L_0000000002667ec0; 1 drivers -v0000000000f28730_0 .net "carryAND", 0 0, L_00000000026d9180; 1 drivers -v0000000000f28370_0 .net "cin", 0 0, L_0000000002667c40; 1 drivers -v0000000000f284b0_0 .net "ctrl0", 0 0, L_0000000002667d80; 1 drivers -v0000000000f275b0_0 .net "nab", 0 0, L_00000000026d9650; 1 drivers -v0000000000f28690_0 .net "orNOR", 0 0, L_00000000026d8a10; 1 drivers -v0000000000f29130_0 .net "res", 0 0, L_00000000026da0d0; 1 drivers -v0000000000f28f50_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f291d0_0 .net "sumXOR", 0 0, L_00000000026d9880; 1 drivers -L_0000000002667ba0 .part v0000000000e21990_0, 1, 1; -L_0000000002668640 .part v0000000000e21990_0, 0, 1; -S_0000000000f25590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f25110; +v000000000277b740_0 .net "a", 0 0, L_000000000279a520; 1 drivers +v0000000002779760_0 .net "b", 0 0, L_000000000279a8e0; 1 drivers +v000000000277a660_0 .net "carryAND", 0 0, L_000000000280b050; 1 drivers +v000000000277b1a0_0 .net "cin", 0 0, L_000000000279a980; 1 drivers +v000000000277a8e0_0 .net "ctrl0", 0 0, L_000000000279b420; 1 drivers +v000000000277a980_0 .net "nab", 0 0, L_000000000280afe0; 1 drivers +v0000000002779b20_0 .net "orNOR", 0 0, L_000000000280a9c0; 1 drivers +v0000000002779120_0 .net "res", 0 0, L_000000000280b7c0; 1 drivers +v000000000277a700_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000277b100_0 .net "sumXOR", 0 0, L_000000000280b0c0; 1 drivers +L_000000000279c1e0 .part v0000000000e625f0_0, 1, 1; +L_000000000279b880 .part v0000000000e625f0_0, 0, 1; +S_0000000002772b60 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002772860; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3569,32 +3578,32 @@ S_0000000000f25590 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f2511 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d9650/d .functor NAND 1, L_0000000002668780, L_0000000002667ec0, C4<1>, C4<1>; -L_00000000026d9650 .delay 1 (20000,20000,20000) L_00000000026d9650/d; -L_00000000026d9500/d .functor NAND 1, L_0000000002667ec0, L_0000000002667c40, C4<1>, C4<1>; -L_00000000026d9500 .delay 1 (20000,20000,20000) L_00000000026d9500/d; -L_00000000026da530/d .functor NAND 1, L_0000000002668780, L_0000000002667c40, C4<1>, C4<1>; -L_00000000026da530 .delay 1 (20000,20000,20000) L_00000000026da530/d; -L_00000000026d9180/d .functor NAND 1, L_00000000026d9650, L_00000000026da530, L_00000000026d9500, C4<1>; -L_00000000026d9180 .delay 1 (30000,30000,30000) L_00000000026d9180/d; -L_00000000026d9880/d .functor XOR 1, L_0000000002668780, L_0000000002667ec0, L_0000000002667c40, C4<0>; -L_00000000026d9880 .delay 1 (30000,30000,30000) L_00000000026d9880/d; -L_00000000026da220/d .functor NOR 1, L_0000000002668780, L_0000000002667ec0, C4<0>, C4<0>; -L_00000000026da220 .delay 1 (20000,20000,20000) L_00000000026da220/d; -L_00000000026d8a10/d .functor XOR 1, L_00000000026da220, L_0000000002667d80, C4<0>, C4<0>; -L_00000000026d8a10 .delay 1 (20000,20000,20000) L_00000000026d8a10/d; -v0000000000f36830_0 .net "a", 0 0, L_0000000002668780; alias, 1 drivers -v0000000000f36970_0 .net "anorb", 0 0, L_00000000026da220; 1 drivers -v0000000000f363d0_0 .net "b", 0 0, L_0000000002667ec0; alias, 1 drivers -v0000000000f36c90_0 .net "carryAND", 0 0, L_00000000026d9180; alias, 1 drivers -v0000000000f36290_0 .net "carryin", 0 0, L_0000000002667c40; alias, 1 drivers -v0000000000f36330_0 .net "i0", 0 0, L_0000000002667d80; alias, 1 drivers -v0000000000f36470_0 .net "nab", 0 0, L_00000000026d9650; alias, 1 drivers -v0000000000f36e70_0 .net "nac", 0 0, L_00000000026da530; 1 drivers -v0000000000f36b50_0 .net "nbc", 0 0, L_00000000026d9500; 1 drivers -v0000000000f36510_0 .net "orNOR", 0 0, L_00000000026d8a10; alias, 1 drivers -v0000000000f36f10_0 .net "sumXOR", 0 0, L_00000000026d9880; alias, 1 drivers -S_0000000000f25e90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f25110; +L_000000000280afe0/d .functor NAND 1, L_000000000279a520, L_000000000279a8e0, C4<1>, C4<1>; +L_000000000280afe0 .delay 1 (20000,20000,20000) L_000000000280afe0/d; +L_000000000280b9f0/d .functor NAND 1, L_000000000279a8e0, L_000000000279a980, C4<1>, C4<1>; +L_000000000280b9f0 .delay 1 (20000,20000,20000) L_000000000280b9f0/d; +L_000000000280a950/d .functor NAND 1, L_000000000279a520, L_000000000279a980, C4<1>, C4<1>; +L_000000000280a950 .delay 1 (20000,20000,20000) L_000000000280a950/d; +L_000000000280b050/d .functor NAND 1, L_000000000280afe0, L_000000000280a950, L_000000000280b9f0, C4<1>; +L_000000000280b050 .delay 1 (30000,30000,30000) L_000000000280b050/d; +L_000000000280b0c0/d .functor XOR 1, L_000000000279a520, L_000000000279a8e0, L_000000000279a980, C4<0>; +L_000000000280b0c0 .delay 1 (30000,30000,30000) L_000000000280b0c0/d; +L_000000000280b1a0/d .functor NOR 1, L_000000000279a520, L_000000000279a8e0, C4<0>, C4<0>; +L_000000000280b1a0 .delay 1 (20000,20000,20000) L_000000000280b1a0/d; +L_000000000280a9c0/d .functor XOR 1, L_000000000280b1a0, L_000000000279b420, C4<0>, C4<0>; +L_000000000280a9c0 .delay 1 (20000,20000,20000) L_000000000280a9c0/d; +v000000000277afc0_0 .net "a", 0 0, L_000000000279a520; alias, 1 drivers +v000000000277a020_0 .net "anorb", 0 0, L_000000000280b1a0; 1 drivers +v00000000027794e0_0 .net "b", 0 0, L_000000000279a8e0; alias, 1 drivers +v000000000277b4c0_0 .net "carryAND", 0 0, L_000000000280b050; alias, 1 drivers +v000000000277b880_0 .net "carryin", 0 0, L_000000000279a980; alias, 1 drivers +v000000000277ac00_0 .net "i0", 0 0, L_000000000279b420; alias, 1 drivers +v000000000277a2a0_0 .net "nab", 0 0, L_000000000280afe0; alias, 1 drivers +v000000000277af20_0 .net "nac", 0 0, L_000000000280a950; 1 drivers +v00000000027798a0_0 .net "nbc", 0 0, L_000000000280b9f0; 1 drivers +v0000000002779260_0 .net "orNOR", 0 0, L_000000000280a9c0; alias, 1 drivers +v000000000277a340_0 .net "sumXOR", 0 0, L_000000000280b0c0; alias, 1 drivers +S_00000000027732e0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002772860; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3603,46 +3612,46 @@ S_0000000000f25e90 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d9260/d .functor NOT 1, L_0000000002668640, C4<0>, C4<0>, C4<0>; -L_00000000026d9260 .delay 1 (10000,10000,10000) L_00000000026d9260/d; -L_00000000026d9a40/d .functor NOT 1, L_0000000002667ba0, C4<0>, C4<0>, C4<0>; -L_00000000026d9a40 .delay 1 (10000,10000,10000) L_00000000026d9a40/d; -L_00000000026d8ee0/d .functor NAND 1, L_0000000002667ba0, L_0000000002668640, L_00000000026d9880, C4<1>; -L_00000000026d8ee0 .delay 1 (30000,30000,30000) L_00000000026d8ee0/d; -L_00000000026d99d0/d .functor NAND 1, L_0000000002667ba0, L_00000000026d9260, L_00000000026d8a10, C4<1>; -L_00000000026d99d0 .delay 1 (30000,30000,30000) L_00000000026d99d0/d; -L_00000000026da370/d .functor NAND 1, L_00000000026d9a40, L_0000000002668640, L_00000000026d9180, C4<1>; -L_00000000026da370 .delay 1 (30000,30000,30000) L_00000000026da370/d; -L_00000000026d9340/d .functor NAND 1, L_00000000026d9a40, L_00000000026d9260, L_00000000026d9650, C4<1>; -L_00000000026d9340 .delay 1 (30000,30000,30000) L_00000000026d9340/d; -L_00000000026da0d0/d .functor NAND 1, L_00000000026d8ee0, L_00000000026d99d0, L_00000000026da370, L_00000000026d9340; -L_00000000026da0d0 .delay 1 (40000,40000,40000) L_00000000026da0d0/d; -v0000000000f36fb0_0 .net "a", 0 0, L_00000000026d9880; alias, 1 drivers -v0000000000f28410_0 .net "aout", 0 0, L_00000000026d8ee0; 1 drivers -v0000000000f28e10_0 .net "b", 0 0, L_00000000026d8a10; alias, 1 drivers -v0000000000f280f0_0 .net "bout", 0 0, L_00000000026d99d0; 1 drivers -v0000000000f27150_0 .net "c", 0 0, L_00000000026d9180; alias, 1 drivers -v0000000000f271f0_0 .net "cout", 0 0, L_00000000026da370; 1 drivers -v0000000000f28190_0 .net "d", 0 0, L_00000000026d9650; alias, 1 drivers -v0000000000f278d0_0 .net "dout", 0 0, L_00000000026d9340; 1 drivers -v0000000000f28910_0 .net "ns0", 0 0, L_00000000026d9260; 1 drivers -v0000000000f27470_0 .net "ns1", 0 0, L_00000000026d9a40; 1 drivers -v0000000000f29310_0 .net "out", 0 0, L_00000000026da0d0; alias, 1 drivers -v0000000000f29090_0 .net "s0", 0 0, L_0000000002668640; 1 drivers -v0000000000f28230_0 .net "s1", 0 0, L_0000000002667ba0; 1 drivers -S_0000000000f25410 .scope generate, "aluBits[28]" "aluBits[28]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de27c0 .param/l "i" 0 4 49, +C4<011100>; -L_00000000026d89a0/d .functor XOR 1, L_0000000002667f60, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d89a0 .delay 1 (20000,20000,20000) L_00000000026d89a0/d; -L_00000000026d9730/d .functor AND 1, v0000000000e20d10_0, L_0000000002668000, C4<1>, C4<1>; -L_00000000026d9730 .delay 1 (30000,30000,30000) L_00000000026d9730/d; -L_00000000026d9810/d .functor AND 1, L_0000000002658920, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026d9810 .delay 1 (30000,30000,30000) L_00000000026d9810/d; -v0000000000f27ab0_0 .net *"_s1", 0 0, L_0000000002667f60; 1 drivers -v0000000000f28ff0_0 .net *"_s3", 0 0, L_0000000002668000; 1 drivers -v0000000000f296d0_0 .net *"_s9", 0 0, L_0000000002658920; 1 drivers -S_0000000000f26d90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f25410; +L_000000000280b6e0/d .functor NOT 1, L_000000000279b880, C4<0>, C4<0>, C4<0>; +L_000000000280b6e0 .delay 1 (10000,10000,10000) L_000000000280b6e0/d; +L_000000000280a1e0/d .functor NOT 1, L_000000000279c1e0, C4<0>, C4<0>, C4<0>; +L_000000000280a1e0 .delay 1 (10000,10000,10000) L_000000000280a1e0/d; +L_000000000280a3a0/d .functor NAND 1, L_000000000279c1e0, L_000000000279b880, L_000000000280b0c0, C4<1>; +L_000000000280a3a0 .delay 1 (30000,30000,30000) L_000000000280a3a0/d; +L_000000000280b3d0/d .functor NAND 1, L_000000000279c1e0, L_000000000280b6e0, L_000000000280a9c0, C4<1>; +L_000000000280b3d0 .delay 1 (30000,30000,30000) L_000000000280b3d0/d; +L_000000000280a640/d .functor NAND 1, L_000000000280a1e0, L_000000000279b880, L_000000000280b050, C4<1>; +L_000000000280a640 .delay 1 (30000,30000,30000) L_000000000280a640/d; +L_000000000280b830/d .functor NAND 1, L_000000000280a1e0, L_000000000280b6e0, L_000000000280afe0, C4<1>; +L_000000000280b830 .delay 1 (30000,30000,30000) L_000000000280b830/d; +L_000000000280b7c0/d .functor NAND 1, L_000000000280a3a0, L_000000000280b3d0, L_000000000280a640, L_000000000280b830; +L_000000000280b7c0 .delay 1 (40000,40000,40000) L_000000000280b7c0/d; +v0000000002779da0_0 .net "a", 0 0, L_000000000280b0c0; alias, 1 drivers +v000000000277a840_0 .net "aout", 0 0, L_000000000280a3a0; 1 drivers +v0000000002779300_0 .net "b", 0 0, L_000000000280a9c0; alias, 1 drivers +v000000000277a480_0 .net "bout", 0 0, L_000000000280b3d0; 1 drivers +v00000000027796c0_0 .net "c", 0 0, L_000000000280b050; alias, 1 drivers +v000000000277ad40_0 .net "cout", 0 0, L_000000000280a640; 1 drivers +v0000000002779d00_0 .net "d", 0 0, L_000000000280afe0; alias, 1 drivers +v0000000002779580_0 .net "dout", 0 0, L_000000000280b830; 1 drivers +v000000000277b060_0 .net "ns0", 0 0, L_000000000280b6e0; 1 drivers +v00000000027799e0_0 .net "ns1", 0 0, L_000000000280a1e0; 1 drivers +v0000000002779620_0 .net "out", 0 0, L_000000000280b7c0; alias, 1 drivers +v000000000277a520_0 .net "s0", 0 0, L_000000000279b880; 1 drivers +v000000000277a5c0_0 .net "s1", 0 0, L_000000000279c1e0; 1 drivers +S_0000000002772560 .scope generate, "aluBits[28]" "aluBits[28]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f069e0 .param/l "i" 0 4 49, +C4<011100>; +L_000000000280a4f0/d .functor XOR 1, L_000000000279a700, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280a4f0 .delay 1 (20000,20000,20000) L_000000000280a4f0/d; +L_000000000280aa30/d .functor AND 1, v0000000000e67370_0, L_000000000279c460, C4<1>, C4<1>; +L_000000000280aa30 .delay 1 (30000,30000,30000) L_000000000280aa30/d; +L_000000000280cb00/d .functor AND 1, L_000000000279a2a0, L_000000000282c280, C4<1>, C4<1>; +L_000000000280cb00 .delay 1 (30000,30000,30000) L_000000000280cb00/d; +v000000000277d7c0_0 .net *"_s1", 0 0, L_000000000279a700; 1 drivers +v000000000277d400_0 .net *"_s3", 0 0, L_000000000279c460; 1 drivers +v000000000277cf00_0 .net *"_s9", 0 0, L_000000000279a2a0; 1 drivers +S_0000000002772ce0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002772560; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3651,19 +3660,19 @@ S_0000000000f26d90 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f28cd0_0 .net "a", 0 0, L_000000000265ab80; 1 drivers -v0000000000f29810_0 .net "b", 0 0, L_000000000265a400; 1 drivers -v0000000000f298b0_0 .net "carryAND", 0 0, L_00000000026d8a80; 1 drivers -v0000000000f27510_0 .net "cin", 0 0, L_000000000265a040; 1 drivers -v0000000000f27970_0 .net "ctrl0", 0 0, L_0000000002659780; 1 drivers -v0000000000f27790_0 .net "nab", 0 0, L_00000000026d91f0; 1 drivers -v0000000000f27830_0 .net "orNOR", 0 0, L_00000000026d92d0; 1 drivers -v0000000000f28d70_0 .net "res", 0 0, L_00000000026d8c40; 1 drivers -v0000000000f27b50_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f27a10_0 .net "sumXOR", 0 0, L_00000000026d9490; 1 drivers -L_00000000026680a0 .part v0000000000e21990_0, 1, 1; -L_0000000002659280 .part v0000000000e21990_0, 0, 1; -S_0000000000f25290 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26d90; +v000000000277bc40_0 .net "a", 0 0, L_000000000279b4c0; 1 drivers +v000000000277c000_0 .net "b", 0 0, L_000000000279a200; 1 drivers +v000000000277d720_0 .net "carryAND", 0 0, L_000000000280ab80; 1 drivers +v000000000277cd20_0 .net "cin", 0 0, L_000000000279b6a0; 1 drivers +v000000000277cdc0_0 .net "ctrl0", 0 0, L_000000000279b1a0; 1 drivers +v000000000277b920_0 .net "nab", 0 0, L_000000000280b910; 1 drivers +v000000000277dae0_0 .net "orNOR", 0 0, L_000000000280b280; 1 drivers +v000000000277c0a0_0 .net "res", 0 0, L_000000000280c710; 1 drivers +v000000000277db80_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000277c3c0_0 .net "sumXOR", 0 0, L_000000000280b360; 1 drivers +L_000000000279ae80 .part v0000000000e625f0_0, 1, 1; +L_000000000279b100 .part v0000000000e625f0_0, 0, 1; +S_00000000027726e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002772ce0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3673,32 +3682,32 @@ S_0000000000f25290 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26d9 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d91f0/d .functor NAND 1, L_000000000265ab80, L_000000000265a400, C4<1>, C4<1>; -L_00000000026d91f0 .delay 1 (20000,20000,20000) L_00000000026d91f0/d; -L_00000000026d9ea0/d .functor NAND 1, L_000000000265a400, L_000000000265a040, C4<1>, C4<1>; -L_00000000026d9ea0 .delay 1 (20000,20000,20000) L_00000000026d9ea0/d; -L_00000000026da060/d .functor NAND 1, L_000000000265ab80, L_000000000265a040, C4<1>, C4<1>; -L_00000000026da060 .delay 1 (20000,20000,20000) L_00000000026da060/d; -L_00000000026d8a80/d .functor NAND 1, L_00000000026d91f0, L_00000000026da060, L_00000000026d9ea0, C4<1>; -L_00000000026d8a80 .delay 1 (30000,30000,30000) L_00000000026d8a80/d; -L_00000000026d9490/d .functor XOR 1, L_000000000265ab80, L_000000000265a400, L_000000000265a040, C4<0>; -L_00000000026d9490 .delay 1 (30000,30000,30000) L_00000000026d9490/d; -L_00000000026d9d50/d .functor NOR 1, L_000000000265ab80, L_000000000265a400, C4<0>, C4<0>; -L_00000000026d9d50 .delay 1 (20000,20000,20000) L_00000000026d9d50/d; -L_00000000026d92d0/d .functor XOR 1, L_00000000026d9d50, L_0000000002659780, C4<0>, C4<0>; -L_00000000026d92d0 .delay 1 (20000,20000,20000) L_00000000026d92d0/d; -v0000000000f27fb0_0 .net "a", 0 0, L_000000000265ab80; alias, 1 drivers -v0000000000f28550_0 .net "anorb", 0 0, L_00000000026d9d50; 1 drivers -v0000000000f27330_0 .net "b", 0 0, L_000000000265a400; alias, 1 drivers -v0000000000f287d0_0 .net "carryAND", 0 0, L_00000000026d8a80; alias, 1 drivers -v0000000000f285f0_0 .net "carryin", 0 0, L_000000000265a040; alias, 1 drivers -v0000000000f28870_0 .net "i0", 0 0, L_0000000002659780; alias, 1 drivers -v0000000000f293b0_0 .net "nab", 0 0, L_00000000026d91f0; alias, 1 drivers -v0000000000f294f0_0 .net "nac", 0 0, L_00000000026da060; 1 drivers -v0000000000f28eb0_0 .net "nbc", 0 0, L_00000000026d9ea0; 1 drivers -v0000000000f29450_0 .net "orNOR", 0 0, L_00000000026d92d0; alias, 1 drivers -v0000000000f289b0_0 .net "sumXOR", 0 0, L_00000000026d9490; alias, 1 drivers -S_0000000000f26f10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26d90; +L_000000000280b910/d .functor NAND 1, L_000000000279b4c0, L_000000000279a200, C4<1>, C4<1>; +L_000000000280b910 .delay 1 (20000,20000,20000) L_000000000280b910/d; +L_000000000280aaa0/d .functor NAND 1, L_000000000279a200, L_000000000279b6a0, C4<1>, C4<1>; +L_000000000280aaa0 .delay 1 (20000,20000,20000) L_000000000280aaa0/d; +L_000000000280ab10/d .functor NAND 1, L_000000000279b4c0, L_000000000279b6a0, C4<1>, C4<1>; +L_000000000280ab10 .delay 1 (20000,20000,20000) L_000000000280ab10/d; +L_000000000280ab80/d .functor NAND 1, L_000000000280b910, L_000000000280ab10, L_000000000280aaa0, C4<1>; +L_000000000280ab80 .delay 1 (30000,30000,30000) L_000000000280ab80/d; +L_000000000280b360/d .functor XOR 1, L_000000000279b4c0, L_000000000279a200, L_000000000279b6a0, C4<0>; +L_000000000280b360 .delay 1 (30000,30000,30000) L_000000000280b360/d; +L_000000000280ba60/d .functor NOR 1, L_000000000279b4c0, L_000000000279a200, C4<0>, C4<0>; +L_000000000280ba60 .delay 1 (20000,20000,20000) L_000000000280ba60/d; +L_000000000280b280/d .functor XOR 1, L_000000000280ba60, L_000000000279b1a0, C4<0>, C4<0>; +L_000000000280b280 .delay 1 (20000,20000,20000) L_000000000280b280/d; +v000000000277a7a0_0 .net "a", 0 0, L_000000000279b4c0; alias, 1 drivers +v000000000277b2e0_0 .net "anorb", 0 0, L_000000000280ba60; 1 drivers +v000000000277aac0_0 .net "b", 0 0, L_000000000279a200; alias, 1 drivers +v000000000277b6a0_0 .net "carryAND", 0 0, L_000000000280ab80; alias, 1 drivers +v00000000027791c0_0 .net "carryin", 0 0, L_000000000279b6a0; alias, 1 drivers +v0000000002779bc0_0 .net "i0", 0 0, L_000000000279b1a0; alias, 1 drivers +v000000000277aca0_0 .net "nab", 0 0, L_000000000280b910; alias, 1 drivers +v000000000277ae80_0 .net "nac", 0 0, L_000000000280ab10; 1 drivers +v000000000277ab60_0 .net "nbc", 0 0, L_000000000280aaa0; 1 drivers +v00000000027793a0_0 .net "orNOR", 0 0, L_000000000280b280; alias, 1 drivers +v000000000277b380_0 .net "sumXOR", 0 0, L_000000000280b360; alias, 1 drivers +S_00000000027729e0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002772ce0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3707,46 +3716,46 @@ S_0000000000f26f10 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026d9ab0/d .functor NOT 1, L_0000000002659280, C4<0>, C4<0>, C4<0>; -L_00000000026d9ab0 .delay 1 (10000,10000,10000) L_00000000026d9ab0/d; -L_00000000026d9960/d .functor NOT 1, L_00000000026680a0, C4<0>, C4<0>, C4<0>; -L_00000000026d9960 .delay 1 (10000,10000,10000) L_00000000026d9960/d; -L_00000000026d95e0/d .functor NAND 1, L_00000000026680a0, L_0000000002659280, L_00000000026d9490, C4<1>; -L_00000000026d95e0 .delay 1 (30000,30000,30000) L_00000000026d95e0/d; -L_00000000026da4c0/d .functor NAND 1, L_00000000026680a0, L_00000000026d9ab0, L_00000000026d92d0, C4<1>; -L_00000000026da4c0 .delay 1 (30000,30000,30000) L_00000000026da4c0/d; -L_00000000026d8b60/d .functor NAND 1, L_00000000026d9960, L_0000000002659280, L_00000000026d8a80, C4<1>; -L_00000000026d8b60 .delay 1 (30000,30000,30000) L_00000000026d8b60/d; -L_00000000026d97a0/d .functor NAND 1, L_00000000026d9960, L_00000000026d9ab0, L_00000000026d91f0, C4<1>; -L_00000000026d97a0 .delay 1 (30000,30000,30000) L_00000000026d97a0/d; -L_00000000026d8c40/d .functor NAND 1, L_00000000026d95e0, L_00000000026da4c0, L_00000000026d8b60, L_00000000026d97a0; -L_00000000026d8c40 .delay 1 (40000,40000,40000) L_00000000026d8c40/d; -v0000000000f27c90_0 .net "a", 0 0, L_00000000026d9490; alias, 1 drivers -v0000000000f28a50_0 .net "aout", 0 0, L_00000000026d95e0; 1 drivers -v0000000000f27650_0 .net "b", 0 0, L_00000000026d92d0; alias, 1 drivers -v0000000000f27f10_0 .net "bout", 0 0, L_00000000026da4c0; 1 drivers -v0000000000f29590_0 .net "c", 0 0, L_00000000026d8a80; alias, 1 drivers -v0000000000f28af0_0 .net "cout", 0 0, L_00000000026d8b60; 1 drivers -v0000000000f276f0_0 .net "d", 0 0, L_00000000026d91f0; alias, 1 drivers -v0000000000f27dd0_0 .net "dout", 0 0, L_00000000026d97a0; 1 drivers -v0000000000f27e70_0 .net "ns0", 0 0, L_00000000026d9ab0; 1 drivers -v0000000000f29630_0 .net "ns1", 0 0, L_00000000026d9960; 1 drivers -v0000000000f28b90_0 .net "out", 0 0, L_00000000026d8c40; alias, 1 drivers -v0000000000f28c30_0 .net "s0", 0 0, L_0000000002659280; 1 drivers -v0000000000f273d0_0 .net "s1", 0 0, L_00000000026680a0; 1 drivers -S_0000000000f26010 .scope generate, "aluBits[29]" "aluBits[29]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3000 .param/l "i" 0 4 49, +C4<011101>; -L_00000000026d98f0/d .functor XOR 1, L_00000000026589c0, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026d98f0 .delay 1 (20000,20000,20000) L_00000000026d98f0/d; -L_00000000026d9dc0/d .functor AND 1, v0000000000e20d10_0, L_0000000002658b00, C4<1>, C4<1>; -L_00000000026d9dc0 .delay 1 (30000,30000,30000) L_00000000026d9dc0/d; -L_00000000026dac30/d .functor AND 1, L_0000000002658c40, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026dac30 .delay 1 (30000,30000,30000) L_00000000026dac30/d; -v0000000000f2adf0_0 .net *"_s1", 0 0, L_00000000026589c0; 1 drivers -v0000000000f29a90_0 .net *"_s3", 0 0, L_0000000002658b00; 1 drivers -v0000000000f2a530_0 .net *"_s9", 0 0, L_0000000002658c40; 1 drivers -S_0000000000f25d10 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26010; +L_000000000280a560/d .functor NOT 1, L_000000000279b100, C4<0>, C4<0>, C4<0>; +L_000000000280a560 .delay 1 (10000,10000,10000) L_000000000280a560/d; +L_000000000280b2f0/d .functor NOT 1, L_000000000279ae80, C4<0>, C4<0>, C4<0>; +L_000000000280b2f0 .delay 1 (10000,10000,10000) L_000000000280b2f0/d; +L_000000000280b4b0/d .functor NAND 1, L_000000000279ae80, L_000000000279b100, L_000000000280b360, C4<1>; +L_000000000280b4b0 .delay 1 (30000,30000,30000) L_000000000280b4b0/d; +L_000000000280bad0/d .functor NAND 1, L_000000000279ae80, L_000000000280a560, L_000000000280b280, C4<1>; +L_000000000280bad0 .delay 1 (30000,30000,30000) L_000000000280bad0/d; +L_000000000280bb40/d .functor NAND 1, L_000000000280b2f0, L_000000000279b100, L_000000000280ab80, C4<1>; +L_000000000280bb40 .delay 1 (30000,30000,30000) L_000000000280bb40/d; +L_000000000280cb70/d .functor NAND 1, L_000000000280b2f0, L_000000000280a560, L_000000000280b910, C4<1>; +L_000000000280cb70 .delay 1 (30000,30000,30000) L_000000000280cb70/d; +L_000000000280c710/d .functor NAND 1, L_000000000280b4b0, L_000000000280bad0, L_000000000280bb40, L_000000000280cb70; +L_000000000280c710 .delay 1 (40000,40000,40000) L_000000000280c710/d; +v000000000277b420_0 .net "a", 0 0, L_000000000280b360; alias, 1 drivers +v000000000277d860_0 .net "aout", 0 0, L_000000000280b4b0; 1 drivers +v000000000277cbe0_0 .net "b", 0 0, L_000000000280b280; alias, 1 drivers +v000000000277c140_0 .net "bout", 0 0, L_000000000280bad0; 1 drivers +v000000000277c1e0_0 .net "c", 0 0, L_000000000280ab80; alias, 1 drivers +v000000000277c280_0 .net "cout", 0 0, L_000000000280bb40; 1 drivers +v000000000277cc80_0 .net "d", 0 0, L_000000000280b910; alias, 1 drivers +v000000000277c6e0_0 .net "dout", 0 0, L_000000000280cb70; 1 drivers +v000000000277c460_0 .net "ns0", 0 0, L_000000000280a560; 1 drivers +v000000000277da40_0 .net "ns1", 0 0, L_000000000280b2f0; 1 drivers +v000000000277dd60_0 .net "out", 0 0, L_000000000280c710; alias, 1 drivers +v000000000277c780_0 .net "s0", 0 0, L_000000000279b100; 1 drivers +v000000000277c8c0_0 .net "s1", 0 0, L_000000000279ae80; 1 drivers +S_0000000002772fe0 .scope generate, "aluBits[29]" "aluBits[29]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06a20 .param/l "i" 0 4 49, +C4<011101>; +L_000000000280c2b0/d .functor XOR 1, L_000000000279ac00, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280c2b0 .delay 1 (20000,20000,20000) L_000000000280c2b0/d; +L_000000000280c160/d .functor AND 1, v0000000000e67370_0, L_000000000279af20, C4<1>, C4<1>; +L_000000000280c160 .delay 1 (30000,30000,30000) L_000000000280c160/d; +L_000000000280bf30/d .functor AND 1, L_000000000279a480, L_000000000282c280, C4<1>, C4<1>; +L_000000000280bf30 .delay 1 (30000,30000,30000) L_000000000280bf30/d; +v000000000277bb00_0 .net *"_s1", 0 0, L_000000000279ac00; 1 drivers +v000000000277bba0_0 .net *"_s3", 0 0, L_000000000279af20; 1 drivers +v000000000277bce0_0 .net *"_s9", 0 0, L_000000000279a480; 1 drivers +S_0000000002773160 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000002772fe0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3755,19 +3764,19 @@ S_0000000000f25d10 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f2bb10_0 .net "a", 0 0, L_0000000002658ec0; 1 drivers -v0000000000f2c0b0_0 .net "b", 0 0, L_000000000265a7c0; 1 drivers -v0000000000f2bed0_0 .net "carryAND", 0 0, L_00000000026d9c70; 1 drivers -v0000000000f2a490_0 .net "cin", 0 0, L_00000000026593c0; 1 drivers -v0000000000f2ad50_0 .net "ctrl0", 0 0, L_0000000002659460; 1 drivers -v0000000000f2a670_0 .net "nab", 0 0, L_00000000026d9b20; 1 drivers -v0000000000f2a850_0 .net "orNOR", 0 0, L_00000000026da290; 1 drivers -v0000000000f2a7b0_0 .net "res", 0 0, L_00000000026dba30; 1 drivers -v0000000000f2a2b0_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f29950_0 .net "sumXOR", 0 0, L_00000000026d9f80; 1 drivers -L_000000000265a220 .part v0000000000e21990_0, 1, 1; -L_0000000002658a60 .part v0000000000e21990_0, 0, 1; -S_0000000000f25710 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f25d10; +v000000000277d5e0_0 .net "a", 0 0, L_000000000279c500; 1 drivers +v000000000277d680_0 .net "b", 0 0, L_000000000279b2e0; 1 drivers +v000000000277e080_0 .net "carryAND", 0 0, L_000000000280c550; 1 drivers +v000000000277bd80_0 .net "cin", 0 0, L_000000000279b600; 1 drivers +v000000000277c640_0 .net "ctrl0", 0 0, L_000000000279c0a0; 1 drivers +v000000000277dea0_0 .net "nab", 0 0, L_000000000280c1d0; 1 drivers +v000000000277cfa0_0 .net "orNOR", 0 0, L_000000000280cef0; 1 drivers +v000000000277be20_0 .net "res", 0 0, L_000000000280cfd0; 1 drivers +v000000000277b9c0_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000277cb40_0 .net "sumXOR", 0 0, L_000000000280c860; 1 drivers +L_000000000279ad40 .part v0000000000e625f0_0, 1, 1; +L_000000000279aa20 .part v0000000000e625f0_0, 0, 1; +S_00000000027735e0 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002773160; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3777,32 +3786,32 @@ S_0000000000f25710 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f25d1 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026d9b20/d .functor NAND 1, L_0000000002658ec0, L_000000000265a7c0, C4<1>, C4<1>; -L_00000000026d9b20 .delay 1 (20000,20000,20000) L_00000000026d9b20/d; -L_00000000026d9b90/d .functor NAND 1, L_000000000265a7c0, L_00000000026593c0, C4<1>, C4<1>; -L_00000000026d9b90 .delay 1 (20000,20000,20000) L_00000000026d9b90/d; -L_00000000026d8d90/d .functor NAND 1, L_0000000002658ec0, L_00000000026593c0, C4<1>, C4<1>; -L_00000000026d8d90 .delay 1 (20000,20000,20000) L_00000000026d8d90/d; -L_00000000026d9c70/d .functor NAND 1, L_00000000026d9b20, L_00000000026d8d90, L_00000000026d9b90, C4<1>; -L_00000000026d9c70 .delay 1 (30000,30000,30000) L_00000000026d9c70/d; -L_00000000026d9f80/d .functor XOR 1, L_0000000002658ec0, L_000000000265a7c0, L_00000000026593c0, C4<0>; -L_00000000026d9f80 .delay 1 (30000,30000,30000) L_00000000026d9f80/d; -L_00000000026da140/d .functor NOR 1, L_0000000002658ec0, L_000000000265a7c0, C4<0>, C4<0>; -L_00000000026da140 .delay 1 (20000,20000,20000) L_00000000026da140/d; -L_00000000026da290/d .functor XOR 1, L_00000000026da140, L_0000000002659460, C4<0>, C4<0>; -L_00000000026da290 .delay 1 (20000,20000,20000) L_00000000026da290/d; -v0000000000f27d30_0 .net "a", 0 0, L_0000000002658ec0; alias, 1 drivers -v0000000000f29770_0 .net "anorb", 0 0, L_00000000026da140; 1 drivers -v0000000000f2a8f0_0 .net "b", 0 0, L_000000000265a7c0; alias, 1 drivers -v0000000000f2be30_0 .net "carryAND", 0 0, L_00000000026d9c70; alias, 1 drivers -v0000000000f2b070_0 .net "carryin", 0 0, L_00000000026593c0; alias, 1 drivers -v0000000000f2ac10_0 .net "i0", 0 0, L_0000000002659460; alias, 1 drivers -v0000000000f2a3f0_0 .net "nab", 0 0, L_00000000026d9b20; alias, 1 drivers -v0000000000f2b9d0_0 .net "nac", 0 0, L_00000000026d8d90; 1 drivers -v0000000000f2a990_0 .net "nbc", 0 0, L_00000000026d9b90; 1 drivers -v0000000000f29ef0_0 .net "orNOR", 0 0, L_00000000026da290; alias, 1 drivers -v0000000000f299f0_0 .net "sumXOR", 0 0, L_00000000026d9f80; alias, 1 drivers -S_0000000000f25890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f25d10; +L_000000000280c1d0/d .functor NAND 1, L_000000000279c500, L_000000000279b2e0, C4<1>, C4<1>; +L_000000000280c1d0 .delay 1 (20000,20000,20000) L_000000000280c1d0/d; +L_000000000280c240/d .functor NAND 1, L_000000000279b2e0, L_000000000279b600, C4<1>, C4<1>; +L_000000000280c240 .delay 1 (20000,20000,20000) L_000000000280c240/d; +L_000000000280cf60/d .functor NAND 1, L_000000000279c500, L_000000000279b600, C4<1>, C4<1>; +L_000000000280cf60 .delay 1 (20000,20000,20000) L_000000000280cf60/d; +L_000000000280c550/d .functor NAND 1, L_000000000280c1d0, L_000000000280cf60, L_000000000280c240, C4<1>; +L_000000000280c550 .delay 1 (30000,30000,30000) L_000000000280c550/d; +L_000000000280c860/d .functor XOR 1, L_000000000279c500, L_000000000279b2e0, L_000000000279b600, C4<0>; +L_000000000280c860 .delay 1 (30000,30000,30000) L_000000000280c860/d; +L_000000000280bec0/d .functor NOR 1, L_000000000279c500, L_000000000279b2e0, C4<0>, C4<0>; +L_000000000280bec0 .delay 1 (20000,20000,20000) L_000000000280bec0/d; +L_000000000280cef0/d .functor XOR 1, L_000000000280bec0, L_000000000279c0a0, C4<0>, C4<0>; +L_000000000280cef0 .delay 1 (20000,20000,20000) L_000000000280cef0/d; +v000000000277d4a0_0 .net "a", 0 0, L_000000000279c500; alias, 1 drivers +v000000000277c320_0 .net "anorb", 0 0, L_000000000280bec0; 1 drivers +v000000000277d0e0_0 .net "b", 0 0, L_000000000279b2e0; alias, 1 drivers +v000000000277ca00_0 .net "carryAND", 0 0, L_000000000280c550; alias, 1 drivers +v000000000277c5a0_0 .net "carryin", 0 0, L_000000000279b600; alias, 1 drivers +v000000000277d040_0 .net "i0", 0 0, L_000000000279c0a0; alias, 1 drivers +v000000000277caa0_0 .net "nab", 0 0, L_000000000280c1d0; alias, 1 drivers +v000000000277dc20_0 .net "nac", 0 0, L_000000000280cf60; 1 drivers +v000000000277c820_0 .net "nbc", 0 0, L_000000000280c240; 1 drivers +v000000000277ba60_0 .net "orNOR", 0 0, L_000000000280cef0; alias, 1 drivers +v000000000277d540_0 .net "sumXOR", 0 0, L_000000000280c860; alias, 1 drivers +S_0000000002773760 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002773160; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3811,46 +3820,46 @@ S_0000000000f25890 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026da3e0/d .functor NOT 1, L_0000000002658a60, C4<0>, C4<0>, C4<0>; -L_00000000026da3e0 .delay 1 (10000,10000,10000) L_00000000026da3e0/d; -L_00000000026da450/d .functor NOT 1, L_000000000265a220, C4<0>, C4<0>, C4<0>; -L_00000000026da450 .delay 1 (10000,10000,10000) L_00000000026da450/d; -L_00000000026d8cb0/d .functor NAND 1, L_000000000265a220, L_0000000002658a60, L_00000000026d9f80, C4<1>; -L_00000000026d8cb0 .delay 1 (30000,30000,30000) L_00000000026d8cb0/d; -L_00000000026d8fc0/d .functor NAND 1, L_000000000265a220, L_00000000026da3e0, L_00000000026da290, C4<1>; -L_00000000026d8fc0 .delay 1 (30000,30000,30000) L_00000000026d8fc0/d; -L_00000000026d8d20/d .functor NAND 1, L_00000000026da450, L_0000000002658a60, L_00000000026d9c70, C4<1>; -L_00000000026d8d20 .delay 1 (30000,30000,30000) L_00000000026d8d20/d; -L_00000000026d8e00/d .functor NAND 1, L_00000000026da450, L_00000000026da3e0, L_00000000026d9b20, C4<1>; -L_00000000026d8e00 .delay 1 (30000,30000,30000) L_00000000026d8e00/d; -L_00000000026dba30/d .functor NAND 1, L_00000000026d8cb0, L_00000000026d8fc0, L_00000000026d8d20, L_00000000026d8e00; -L_00000000026dba30 .delay 1 (40000,40000,40000) L_00000000026dba30/d; -v0000000000f2a210_0 .net "a", 0 0, L_00000000026d9f80; alias, 1 drivers -v0000000000f2a0d0_0 .net "aout", 0 0, L_00000000026d8cb0; 1 drivers -v0000000000f2a5d0_0 .net "b", 0 0, L_00000000026da290; alias, 1 drivers -v0000000000f29bd0_0 .net "bout", 0 0, L_00000000026d8fc0; 1 drivers -v0000000000f2acb0_0 .net "c", 0 0, L_00000000026d9c70; alias, 1 drivers -v0000000000f29d10_0 .net "cout", 0 0, L_00000000026d8d20; 1 drivers -v0000000000f2ab70_0 .net "d", 0 0, L_00000000026d9b20; alias, 1 drivers -v0000000000f2aa30_0 .net "dout", 0 0, L_00000000026d8e00; 1 drivers -v0000000000f2b570_0 .net "ns0", 0 0, L_00000000026da3e0; 1 drivers -v0000000000f2ae90_0 .net "ns1", 0 0, L_00000000026da450; 1 drivers -v0000000000f2b890_0 .net "out", 0 0, L_00000000026dba30; alias, 1 drivers -v0000000000f2b750_0 .net "s0", 0 0, L_0000000002658a60; 1 drivers -v0000000000f2b430_0 .net "s1", 0 0, L_000000000265a220; 1 drivers -S_0000000000f25a10 .scope generate, "aluBits[30]" "aluBits[30]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3840 .param/l "i" 0 4 49, +C4<011110>; -L_00000000026db8e0/d .functor XOR 1, L_0000000002659500, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026db8e0 .delay 1 (20000,20000,20000) L_00000000026db8e0/d; -L_00000000026dbcd0/d .functor AND 1, v0000000000e20d10_0, L_0000000002658ba0, C4<1>, C4<1>; -L_00000000026dbcd0 .delay 1 (30000,30000,30000) L_00000000026dbcd0/d; -L_00000000026da8b0/d .functor AND 1, L_000000000265a680, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026da8b0 .delay 1 (30000,30000,30000) L_00000000026da8b0/d; -v0000000000f2e770_0 .net *"_s1", 0 0, L_0000000002659500; 1 drivers -v0000000000f2d0f0_0 .net *"_s3", 0 0, L_0000000002658ba0; 1 drivers -v0000000000f2dd70_0 .net *"_s9", 0 0, L_000000000265a680; 1 drivers -S_0000000000f26190 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f25a10; +L_000000000280d200/d .functor NOT 1, L_000000000279aa20, C4<0>, C4<0>, C4<0>; +L_000000000280d200 .delay 1 (10000,10000,10000) L_000000000280d200/d; +L_000000000280c080/d .functor NOT 1, L_000000000279ad40, C4<0>, C4<0>, C4<0>; +L_000000000280c080 .delay 1 (10000,10000,10000) L_000000000280c080/d; +L_000000000280d5f0/d .functor NAND 1, L_000000000279ad40, L_000000000279aa20, L_000000000280c860, C4<1>; +L_000000000280d5f0 .delay 1 (30000,30000,30000) L_000000000280d5f0/d; +L_000000000280d740/d .functor NAND 1, L_000000000279ad40, L_000000000280d200, L_000000000280cef0, C4<1>; +L_000000000280d740 .delay 1 (30000,30000,30000) L_000000000280d740/d; +L_000000000280c320/d .functor NAND 1, L_000000000280c080, L_000000000279aa20, L_000000000280c550, C4<1>; +L_000000000280c320 .delay 1 (30000,30000,30000) L_000000000280c320/d; +L_000000000280d040/d .functor NAND 1, L_000000000280c080, L_000000000280d200, L_000000000280c1d0, C4<1>; +L_000000000280d040 .delay 1 (30000,30000,30000) L_000000000280d040/d; +L_000000000280cfd0/d .functor NAND 1, L_000000000280d5f0, L_000000000280d740, L_000000000280c320, L_000000000280d040; +L_000000000280cfd0 .delay 1 (40000,40000,40000) L_000000000280cfd0/d; +v000000000277d2c0_0 .net "a", 0 0, L_000000000280c860; alias, 1 drivers +v000000000277c960_0 .net "aout", 0 0, L_000000000280d5f0; 1 drivers +v000000000277d900_0 .net "b", 0 0, L_000000000280cef0; alias, 1 drivers +v000000000277df40_0 .net "bout", 0 0, L_000000000280d740; 1 drivers +v000000000277dcc0_0 .net "c", 0 0, L_000000000280c550; alias, 1 drivers +v000000000277d360_0 .net "cout", 0 0, L_000000000280c320; 1 drivers +v000000000277de00_0 .net "d", 0 0, L_000000000280c1d0; alias, 1 drivers +v000000000277d9a0_0 .net "dout", 0 0, L_000000000280d040; 1 drivers +v000000000277d180_0 .net "ns0", 0 0, L_000000000280d200; 1 drivers +v000000000277d220_0 .net "ns1", 0 0, L_000000000280c080; 1 drivers +v000000000277c500_0 .net "out", 0 0, L_000000000280cfd0; alias, 1 drivers +v000000000277dfe0_0 .net "s0", 0 0, L_000000000279aa20; 1 drivers +v000000000277ce60_0 .net "s1", 0 0, L_000000000279ad40; 1 drivers +S_00000000027738e0 .scope generate, "aluBits[30]" "aluBits[30]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f05fe0 .param/l "i" 0 4 49, +C4<011110>; +L_000000000280d120/d .functor XOR 1, L_000000000279b920, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280d120 .delay 1 (20000,20000,20000) L_000000000280d120/d; +L_000000000280d7b0/d .functor AND 1, v0000000000e67370_0, L_000000000279b740, C4<1>, C4<1>; +L_000000000280d7b0 .delay 1 (30000,30000,30000) L_000000000280d7b0/d; +L_000000000280d510/d .functor AND 1, L_000000000279bba0, L_000000000282c280, C4<1>, C4<1>; +L_000000000280d510 .delay 1 (30000,30000,30000) L_000000000280d510/d; +v000000000277fc00_0 .net *"_s1", 0 0, L_000000000279b920; 1 drivers +v000000000277e260_0 .net *"_s3", 0 0, L_000000000279b740; 1 drivers +v0000000002780560_0 .net *"_s9", 0 0, L_000000000279bba0; 1 drivers +S_0000000002773a60 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_00000000027738e0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3859,19 +3868,19 @@ S_0000000000f26190 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f2ba70_0 .net "a", 0 0, L_000000000265a540; 1 drivers -v0000000000f2bcf0_0 .net "b", 0 0, L_0000000002658ce0; 1 drivers -v0000000000f2bd90_0 .net "carryAND", 0 0, L_00000000026dbbf0; 1 drivers -v0000000000f2bf70_0 .net "cin", 0 0, L_0000000002659640; 1 drivers -v0000000000f2c010_0 .net "ctrl0", 0 0, L_0000000002659f00; 1 drivers -v0000000000f2e8b0_0 .net "nab", 0 0, L_00000000026dbb10; 1 drivers -v0000000000f2df50_0 .net "orNOR", 0 0, L_00000000026da760; 1 drivers -v0000000000f2db90_0 .net "res", 0 0, L_00000000026dbd40; 1 drivers -v0000000000f2ca10_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f2c150_0 .net "sumXOR", 0 0, L_00000000026dbf00; 1 drivers -L_000000000265a860 .part v0000000000e21990_0, 1, 1; -L_00000000026595a0 .part v0000000000e21990_0, 0, 1; -S_0000000000f25b90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f26190; +v000000000277f980_0 .net "a", 0 0, L_000000000279c3c0; 1 drivers +v000000000277ffc0_0 .net "b", 0 0, L_000000000279bd80; 1 drivers +v000000000277ee40_0 .net "carryAND", 0 0, L_000000000280c390; 1 drivers +v000000000277e580_0 .net "cin", 0 0, L_000000000279c5a0; 1 drivers +v000000000277f700_0 .net "ctrl0", 0 0, L_000000000279aac0; 1 drivers +v0000000002780880_0 .net "nab", 0 0, L_000000000280cbe0; 1 drivers +v000000000277e760_0 .net "orNOR", 0 0, L_000000000280ce10; 1 drivers +v000000000277e1c0_0 .net "res", 0 0, L_000000000280d190; 1 drivers +v0000000002780240_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v000000000277e9e0_0 .net "sumXOR", 0 0, L_000000000280d970; 1 drivers +L_000000000279aca0 .part v0000000000e625f0_0, 1, 1; +L_000000000279b7e0 .part v0000000000e625f0_0, 0, 1; +S_0000000002773d60 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002773a60; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3881,32 +3890,32 @@ S_0000000000f25b90 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f2619 .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026dbb10/d .functor NAND 1, L_000000000265a540, L_0000000002658ce0, C4<1>, C4<1>; -L_00000000026dbb10 .delay 1 (20000,20000,20000) L_00000000026dbb10/d; -L_00000000026da7d0/d .functor NAND 1, L_0000000002658ce0, L_0000000002659640, C4<1>, C4<1>; -L_00000000026da7d0 .delay 1 (20000,20000,20000) L_00000000026da7d0/d; -L_00000000026dc050/d .functor NAND 1, L_000000000265a540, L_0000000002659640, C4<1>, C4<1>; -L_00000000026dc050 .delay 1 (20000,20000,20000) L_00000000026dc050/d; -L_00000000026dbbf0/d .functor NAND 1, L_00000000026dbb10, L_00000000026dc050, L_00000000026da7d0, C4<1>; -L_00000000026dbbf0 .delay 1 (30000,30000,30000) L_00000000026dbbf0/d; -L_00000000026dbf00/d .functor XOR 1, L_000000000265a540, L_0000000002658ce0, L_0000000002659640, C4<0>; -L_00000000026dbf00 .delay 1 (30000,30000,30000) L_00000000026dbf00/d; -L_00000000026dabc0/d .functor NOR 1, L_000000000265a540, L_0000000002658ce0, C4<0>, C4<0>; -L_00000000026dabc0 .delay 1 (20000,20000,20000) L_00000000026dabc0/d; -L_00000000026da760/d .functor XOR 1, L_00000000026dabc0, L_0000000002659f00, C4<0>, C4<0>; -L_00000000026da760 .delay 1 (20000,20000,20000) L_00000000026da760/d; -v0000000000f2b250_0 .net "a", 0 0, L_000000000265a540; alias, 1 drivers -v0000000000f29b30_0 .net "anorb", 0 0, L_00000000026dabc0; 1 drivers -v0000000000f29f90_0 .net "b", 0 0, L_0000000002658ce0; alias, 1 drivers -v0000000000f2a710_0 .net "carryAND", 0 0, L_00000000026dbbf0; alias, 1 drivers -v0000000000f2aad0_0 .net "carryin", 0 0, L_0000000002659640; alias, 1 drivers -v0000000000f2b2f0_0 .net "i0", 0 0, L_0000000002659f00; alias, 1 drivers -v0000000000f29e50_0 .net "nab", 0 0, L_00000000026dbb10; alias, 1 drivers -v0000000000f2af30_0 .net "nac", 0 0, L_00000000026dc050; 1 drivers -v0000000000f2bbb0_0 .net "nbc", 0 0, L_00000000026da7d0; 1 drivers -v0000000000f2afd0_0 .net "orNOR", 0 0, L_00000000026da760; alias, 1 drivers -v0000000000f2a030_0 .net "sumXOR", 0 0, L_00000000026dbf00; alias, 1 drivers -S_0000000000f26310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f26190; +L_000000000280cbe0/d .functor NAND 1, L_000000000279c3c0, L_000000000279bd80, C4<1>, C4<1>; +L_000000000280cbe0 .delay 1 (20000,20000,20000) L_000000000280cbe0/d; +L_000000000280d900/d .functor NAND 1, L_000000000279bd80, L_000000000279c5a0, C4<1>, C4<1>; +L_000000000280d900 .delay 1 (20000,20000,20000) L_000000000280d900/d; +L_000000000280c6a0/d .functor NAND 1, L_000000000279c3c0, L_000000000279c5a0, C4<1>, C4<1>; +L_000000000280c6a0 .delay 1 (20000,20000,20000) L_000000000280c6a0/d; +L_000000000280c390/d .functor NAND 1, L_000000000280cbe0, L_000000000280c6a0, L_000000000280d900, C4<1>; +L_000000000280c390 .delay 1 (30000,30000,30000) L_000000000280c390/d; +L_000000000280d970/d .functor XOR 1, L_000000000279c3c0, L_000000000279bd80, L_000000000279c5a0, C4<0>; +L_000000000280d970 .delay 1 (30000,30000,30000) L_000000000280d970/d; +L_000000000280cc50/d .functor NOR 1, L_000000000279c3c0, L_000000000279bd80, C4<0>, C4<0>; +L_000000000280cc50 .delay 1 (20000,20000,20000) L_000000000280cc50/d; +L_000000000280ce10/d .functor XOR 1, L_000000000280cc50, L_000000000279aac0, C4<0>, C4<0>; +L_000000000280ce10 .delay 1 (20000,20000,20000) L_000000000280ce10/d; +v000000000277bec0_0 .net "a", 0 0, L_000000000279c3c0; alias, 1 drivers +v000000000277bf60_0 .net "anorb", 0 0, L_000000000280cc50; 1 drivers +v000000000277e440_0 .net "b", 0 0, L_000000000279bd80; alias, 1 drivers +v000000000277e8a0_0 .net "carryAND", 0 0, L_000000000280c390; alias, 1 drivers +v00000000027801a0_0 .net "carryin", 0 0, L_000000000279c5a0; alias, 1 drivers +v0000000002780060_0 .net "i0", 0 0, L_000000000279aac0; alias, 1 drivers +v000000000277ebc0_0 .net "nab", 0 0, L_000000000280cbe0; alias, 1 drivers +v000000000277e6c0_0 .net "nac", 0 0, L_000000000280c6a0; 1 drivers +v000000000277e940_0 .net "nbc", 0 0, L_000000000280d900; 1 drivers +v000000000277f0c0_0 .net "orNOR", 0 0, L_000000000280ce10; alias, 1 drivers +v000000000277ea80_0 .net "sumXOR", 0 0, L_000000000280d970; alias, 1 drivers +S_0000000002773ee0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002773a60; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -3915,46 +3924,46 @@ S_0000000000f26310 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026dbaa0/d .functor NOT 1, L_00000000026595a0, C4<0>, C4<0>, C4<0>; -L_00000000026dbaa0 .delay 1 (10000,10000,10000) L_00000000026dbaa0/d; -L_00000000026dbdb0/d .functor NOT 1, L_000000000265a860, C4<0>, C4<0>, C4<0>; -L_00000000026dbdb0 .delay 1 (10000,10000,10000) L_00000000026dbdb0/d; -L_00000000026db4f0/d .functor NAND 1, L_000000000265a860, L_00000000026595a0, L_00000000026dbf00, C4<1>; -L_00000000026db4f0 .delay 1 (30000,30000,30000) L_00000000026db4f0/d; -L_00000000026daca0/d .functor NAND 1, L_000000000265a860, L_00000000026dbaa0, L_00000000026da760, C4<1>; -L_00000000026daca0 .delay 1 (30000,30000,30000) L_00000000026daca0/d; -L_00000000026db090/d .functor NAND 1, L_00000000026dbdb0, L_00000000026595a0, L_00000000026dbbf0, C4<1>; -L_00000000026db090 .delay 1 (30000,30000,30000) L_00000000026db090/d; -L_00000000026da840/d .functor NAND 1, L_00000000026dbdb0, L_00000000026dbaa0, L_00000000026dbb10, C4<1>; -L_00000000026da840 .delay 1 (30000,30000,30000) L_00000000026da840/d; -L_00000000026dbd40/d .functor NAND 1, L_00000000026db4f0, L_00000000026daca0, L_00000000026db090, L_00000000026da840; -L_00000000026dbd40 .delay 1 (40000,40000,40000) L_00000000026dbd40/d; -v0000000000f2b110_0 .net "a", 0 0, L_00000000026dbf00; alias, 1 drivers -v0000000000f2b1b0_0 .net "aout", 0 0, L_00000000026db4f0; 1 drivers -v0000000000f2bc50_0 .net "b", 0 0, L_00000000026da760; alias, 1 drivers -v0000000000f29c70_0 .net "bout", 0 0, L_00000000026daca0; 1 drivers -v0000000000f2b390_0 .net "c", 0 0, L_00000000026dbbf0; alias, 1 drivers -v0000000000f2b7f0_0 .net "cout", 0 0, L_00000000026db090; 1 drivers -v0000000000f29db0_0 .net "d", 0 0, L_00000000026dbb10; alias, 1 drivers -v0000000000f2a170_0 .net "dout", 0 0, L_00000000026da840; 1 drivers -v0000000000f2b4d0_0 .net "ns0", 0 0, L_00000000026dbaa0; 1 drivers -v0000000000f2a350_0 .net "ns1", 0 0, L_00000000026dbdb0; 1 drivers -v0000000000f2b610_0 .net "out", 0 0, L_00000000026dbd40; alias, 1 drivers -v0000000000f2b6b0_0 .net "s0", 0 0, L_00000000026595a0; 1 drivers -v0000000000f2b930_0 .net "s1", 0 0, L_000000000265a860; 1 drivers -S_0000000000f26490 .scope generate, "aluBits[31]" "aluBits[31]" 4 49, 4 49 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4200 .param/l "i" 0 4 49, +C4<011111>; -L_00000000026daf40/d .functor XOR 1, L_0000000002658d80, v0000000000e1f730_0, C4<0>, C4<0>; -L_00000000026daf40 .delay 1 (20000,20000,20000) L_00000000026daf40/d; -L_00000000026dab50/d .functor AND 1, v0000000000e20d10_0, L_0000000002658e20, C4<1>, C4<1>; -L_00000000026dab50 .delay 1 (30000,30000,30000) L_00000000026dab50/d; -L_00000000026da990/d .functor AND 1, L_0000000002659a00, L_00000000026f7b50, C4<1>, C4<1>; -L_00000000026da990 .delay 1 (30000,30000,30000) L_00000000026da990/d; -v0000000000f2c3d0_0 .net *"_s1", 0 0, L_0000000002658d80; 1 drivers -v0000000000f2c470_0 .net *"_s3", 0 0, L_0000000002658e20; 1 drivers -v0000000000f2d230_0 .net *"_s9", 0 0, L_0000000002659a00; 1 drivers -S_0000000000f378b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f26490; +L_000000000280d350/d .functor NOT 1, L_000000000279b7e0, C4<0>, C4<0>, C4<0>; +L_000000000280d350 .delay 1 (10000,10000,10000) L_000000000280d350/d; +L_000000000280ccc0/d .functor NOT 1, L_000000000279aca0, C4<0>, C4<0>, C4<0>; +L_000000000280ccc0 .delay 1 (10000,10000,10000) L_000000000280ccc0/d; +L_000000000280d270/d .functor NAND 1, L_000000000279aca0, L_000000000279b7e0, L_000000000280d970, C4<1>; +L_000000000280d270 .delay 1 (30000,30000,30000) L_000000000280d270/d; +L_000000000280d2e0/d .functor NAND 1, L_000000000279aca0, L_000000000280d350, L_000000000280ce10, C4<1>; +L_000000000280d2e0 .delay 1 (30000,30000,30000) L_000000000280d2e0/d; +L_000000000280d0b0/d .functor NAND 1, L_000000000280ccc0, L_000000000279b7e0, L_000000000280c390, C4<1>; +L_000000000280d0b0 .delay 1 (30000,30000,30000) L_000000000280d0b0/d; +L_000000000280c9b0/d .functor NAND 1, L_000000000280ccc0, L_000000000280d350, L_000000000280cbe0, C4<1>; +L_000000000280c9b0 .delay 1 (30000,30000,30000) L_000000000280c9b0/d; +L_000000000280d190/d .functor NAND 1, L_000000000280d270, L_000000000280d2e0, L_000000000280d0b0, L_000000000280c9b0; +L_000000000280d190 .delay 1 (40000,40000,40000) L_000000000280d190/d; +v000000000277fca0_0 .net "a", 0 0, L_000000000280d970; alias, 1 drivers +v000000000277e620_0 .net "aout", 0 0, L_000000000280d270; 1 drivers +v00000000027802e0_0 .net "b", 0 0, L_000000000280ce10; alias, 1 drivers +v000000000277e4e0_0 .net "bout", 0 0, L_000000000280d2e0; 1 drivers +v000000000277eee0_0 .net "c", 0 0, L_000000000280c390; alias, 1 drivers +v000000000277eb20_0 .net "cout", 0 0, L_000000000280d0b0; 1 drivers +v00000000027807e0_0 .net "d", 0 0, L_000000000280cbe0; alias, 1 drivers +v000000000277f520_0 .net "dout", 0 0, L_000000000280c9b0; 1 drivers +v000000000277f3e0_0 .net "ns0", 0 0, L_000000000280d350; 1 drivers +v000000000277e120_0 .net "ns1", 0 0, L_000000000280ccc0; 1 drivers +v0000000002780380_0 .net "out", 0 0, L_000000000280d190; alias, 1 drivers +v000000000277e800_0 .net "s0", 0 0, L_000000000279b7e0; 1 drivers +v0000000002780420_0 .net "s1", 0 0, L_000000000279aca0; 1 drivers +S_00000000027720e0 .scope generate, "aluBits[31]" "aluBits[31]" 4 49, 4 49 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f061a0 .param/l "i" 0 4 49, +C4<011111>; +L_000000000280d430/d .functor XOR 1, L_000000000279b9c0, v0000000000e68bd0_0, C4<0>, C4<0>; +L_000000000280d430 .delay 1 (20000,20000,20000) L_000000000280d430/d; +L_000000000280cd30/d .functor AND 1, v0000000000e67370_0, L_000000000279be20, C4<1>, C4<1>; +L_000000000280cd30 .delay 1 (30000,30000,30000) L_000000000280cd30/d; +L_000000000280d3c0/d .functor AND 1, L_000000000279c820, L_000000000282c280, C4<1>, C4<1>; +L_000000000280d3c0 .delay 1 (30000,30000,30000) L_000000000280d3c0/d; +v00000000027813c0_0 .net *"_s1", 0 0, L_000000000279b9c0; 1 drivers +v00000000027815a0_0 .net *"_s3", 0 0, L_000000000279be20; 1 drivers +v00000000027829a0_0 .net *"_s9", 0 0, L_000000000279c820; 1 drivers +S_0000000002784580 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_00000000027720e0; .timescale -9 -12; .port_info 0 /OUTPUT 1 "res" .port_info 1 /OUTPUT 1 "carryAND" @@ -3963,19 +3972,19 @@ S_0000000000f378b0 .scope module, "_bit" "aluFullBit" 4 52, 5 6 0, S_0000000000f .port_info 4 /INPUT 1 "cin" .port_info 5 /INPUT 1 "ctrl0" .port_info 6 /INPUT 2 "sel" -v0000000000f2d370_0 .net "a", 0 0, L_0000000002658f60; 1 drivers -v0000000000f2e810_0 .net "b", 0 0, L_0000000002659aa0; 1 drivers -v0000000000f2e630_0 .net "carryAND", 0 0, L_00000000026db250; 1 drivers -v0000000000f2cfb0_0 .net "cin", 0 0, L_00000000026596e0; 1 drivers -v0000000000f2d050_0 .net "ctrl0", 0 0, L_000000000265aa40; 1 drivers -v0000000000f2e450_0 .net "nab", 0 0, L_00000000026daae0; 1 drivers -v0000000000f2e4f0_0 .net "orNOR", 0 0, L_00000000026dbc60; 1 drivers -v0000000000f2e270_0 .net "res", 0 0, L_00000000026dbf70; 1 drivers -v0000000000f2c650_0 .net "sel", 1 0, v0000000000e21990_0; alias, 1 drivers -v0000000000f2d190_0 .net "sumXOR", 0 0, L_00000000026db020; 1 drivers -L_000000000265a5e0 .part v0000000000e21990_0, 1, 1; -L_0000000002659320 .part v0000000000e21990_0, 0, 1; -S_0000000000f38f30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f378b0; +v000000000277f660_0 .net "a", 0 0, L_000000000279c640; 1 drivers +v0000000002780740_0 .net "b", 0 0, L_000000000279a3e0; 1 drivers +v000000000277fd40_0 .net "carryAND", 0 0, L_000000000280c5c0; 1 drivers +v000000000277fde0_0 .net "cin", 0 0, L_000000000279bf60; 1 drivers +v000000000277ff20_0 .net "ctrl0", 0 0, L_000000000279c6e0; 1 drivers +v0000000002781000_0 .net "nab", 0 0, L_000000000280c400; 1 drivers +v00000000027824a0_0 .net "orNOR", 0 0, L_000000000280c7f0; 1 drivers +v0000000002782540_0 .net "res", 0 0, L_000000000280d580; 1 drivers +v0000000002781640_0 .net "sel", 1 0, v0000000000e625f0_0; alias, 1 drivers +v00000000027809c0_0 .net "sumXOR", 0 0, L_000000000280c780; 1 drivers +L_000000000279bec0 .part v0000000000e625f0_0, 1, 1; +L_000000000279a7a0 .part v0000000000e625f0_0, 0, 1; +S_0000000002785300 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000002784580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "sumXOR" .port_info 1 /OUTPUT 1 "carryAND" @@ -3985,32 +3994,32 @@ S_0000000000f38f30 .scope module, "alu" "aluBit" 5 18, 6 14 0, S_0000000000f378b .port_info 5 /INPUT 1 "b" .port_info 6 /INPUT 1 "carryin" .port_info 7 /INPUT 1 "i0" -L_00000000026daae0/d .functor NAND 1, L_0000000002658f60, L_0000000002659aa0, C4<1>, C4<1>; -L_00000000026daae0 .delay 1 (20000,20000,20000) L_00000000026daae0/d; -L_00000000026dafb0/d .functor NAND 1, L_0000000002659aa0, L_00000000026596e0, C4<1>, C4<1>; -L_00000000026dafb0 .delay 1 (20000,20000,20000) L_00000000026dafb0/d; -L_00000000026dc0c0/d .functor NAND 1, L_0000000002658f60, L_00000000026596e0, C4<1>, C4<1>; -L_00000000026dc0c0 .delay 1 (20000,20000,20000) L_00000000026dc0c0/d; -L_00000000026db250/d .functor NAND 1, L_00000000026daae0, L_00000000026dc0c0, L_00000000026dafb0, C4<1>; -L_00000000026db250 .delay 1 (30000,30000,30000) L_00000000026db250/d; -L_00000000026db020/d .functor XOR 1, L_0000000002658f60, L_0000000002659aa0, L_00000000026596e0, C4<0>; -L_00000000026db020 .delay 1 (30000,30000,30000) L_00000000026db020/d; -L_00000000026dbb80/d .functor NOR 1, L_0000000002658f60, L_0000000002659aa0, C4<0>, C4<0>; -L_00000000026dbb80 .delay 1 (20000,20000,20000) L_00000000026dbb80/d; -L_00000000026dbc60/d .functor XOR 1, L_00000000026dbb80, L_000000000265aa40, C4<0>, C4<0>; -L_00000000026dbc60 .delay 1 (20000,20000,20000) L_00000000026dbc60/d; -v0000000000f2d870_0 .net "a", 0 0, L_0000000002658f60; alias, 1 drivers -v0000000000f2e090_0 .net "anorb", 0 0, L_00000000026dbb80; 1 drivers -v0000000000f2cab0_0 .net "b", 0 0, L_0000000002659aa0; alias, 1 drivers -v0000000000f2c8d0_0 .net "carryAND", 0 0, L_00000000026db250; alias, 1 drivers -v0000000000f2e6d0_0 .net "carryin", 0 0, L_00000000026596e0; alias, 1 drivers -v0000000000f2cdd0_0 .net "i0", 0 0, L_000000000265aa40; alias, 1 drivers -v0000000000f2cb50_0 .net "nab", 0 0, L_00000000026daae0; alias, 1 drivers -v0000000000f2c510_0 .net "nac", 0 0, L_00000000026dc0c0; 1 drivers -v0000000000f2cc90_0 .net "nbc", 0 0, L_00000000026dafb0; 1 drivers -v0000000000f2e590_0 .net "orNOR", 0 0, L_00000000026dbc60; alias, 1 drivers -v0000000000f2cbf0_0 .net "sumXOR", 0 0, L_00000000026db020; alias, 1 drivers -S_0000000000f387b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f378b0; +L_000000000280c400/d .functor NAND 1, L_000000000279c640, L_000000000279a3e0, C4<1>, C4<1>; +L_000000000280c400 .delay 1 (20000,20000,20000) L_000000000280c400/d; +L_000000000280c470/d .functor NAND 1, L_000000000279a3e0, L_000000000279bf60, C4<1>, C4<1>; +L_000000000280c470 .delay 1 (20000,20000,20000) L_000000000280c470/d; +L_000000000280c4e0/d .functor NAND 1, L_000000000279c640, L_000000000279bf60, C4<1>, C4<1>; +L_000000000280c4e0 .delay 1 (20000,20000,20000) L_000000000280c4e0/d; +L_000000000280c5c0/d .functor NAND 1, L_000000000280c400, L_000000000280c4e0, L_000000000280c470, C4<1>; +L_000000000280c5c0 .delay 1 (30000,30000,30000) L_000000000280c5c0/d; +L_000000000280c780/d .functor XOR 1, L_000000000279c640, L_000000000279a3e0, L_000000000279bf60, C4<0>; +L_000000000280c780 .delay 1 (30000,30000,30000) L_000000000280c780/d; +L_000000000280c630/d .functor NOR 1, L_000000000279c640, L_000000000279a3e0, C4<0>, C4<0>; +L_000000000280c630 .delay 1 (20000,20000,20000) L_000000000280c630/d; +L_000000000280c7f0/d .functor XOR 1, L_000000000280c630, L_000000000279c6e0, C4<0>, C4<0>; +L_000000000280c7f0 .delay 1 (20000,20000,20000) L_000000000280c7f0/d; +v000000000277e300_0 .net "a", 0 0, L_000000000279c640; alias, 1 drivers +v000000000277ec60_0 .net "anorb", 0 0, L_000000000280c630; 1 drivers +v000000000277fac0_0 .net "b", 0 0, L_000000000279a3e0; alias, 1 drivers +v000000000277fe80_0 .net "carryAND", 0 0, L_000000000280c5c0; alias, 1 drivers +v000000000277ef80_0 .net "carryin", 0 0, L_000000000279bf60; alias, 1 drivers +v0000000002780100_0 .net "i0", 0 0, L_000000000279c6e0; alias, 1 drivers +v000000000277e3a0_0 .net "nab", 0 0, L_000000000280c400; alias, 1 drivers +v000000000277ed00_0 .net "nac", 0 0, L_000000000280c4e0; 1 drivers +v000000000277eda0_0 .net "nbc", 0 0, L_000000000280c470; 1 drivers +v000000000277f020_0 .net "orNOR", 0 0, L_000000000280c7f0; alias, 1 drivers +v000000000277f160_0 .net "sumXOR", 0 0, L_000000000280c780; alias, 1 drivers +S_0000000002784d00 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000002784580; .timescale -9 -12; .port_info 0 /OUTPUT 1 "out" .port_info 1 /INPUT 1 "a" @@ -4019,247 +4028,247 @@ S_0000000000f387b0 .scope module, "mux" "multiplexer" 5 20, 7 7 0, S_0000000000f .port_info 4 /INPUT 1 "d" .port_info 5 /INPUT 1 "s1" .port_info 6 /INPUT 1 "s0" -L_00000000026da680/d .functor NOT 1, L_0000000002659320, C4<0>, C4<0>, C4<0>; -L_00000000026da680 .delay 1 (10000,10000,10000) L_00000000026da680/d; -L_00000000026db100/d .functor NOT 1, L_000000000265a5e0, C4<0>, C4<0>, C4<0>; -L_00000000026db100 .delay 1 (10000,10000,10000) L_00000000026db100/d; -L_00000000026dbe20/d .functor NAND 1, L_000000000265a5e0, L_0000000002659320, L_00000000026db020, C4<1>; -L_00000000026dbe20 .delay 1 (30000,30000,30000) L_00000000026dbe20/d; -L_00000000026da6f0/d .functor NAND 1, L_000000000265a5e0, L_00000000026da680, L_00000000026dbc60, C4<1>; -L_00000000026da6f0 .delay 1 (30000,30000,30000) L_00000000026da6f0/d; -L_00000000026da920/d .functor NAND 1, L_00000000026db100, L_0000000002659320, L_00000000026db250, C4<1>; -L_00000000026da920 .delay 1 (30000,30000,30000) L_00000000026da920/d; -L_00000000026dbe90/d .functor NAND 1, L_00000000026db100, L_00000000026da680, L_00000000026daae0, C4<1>; -L_00000000026dbe90 .delay 1 (30000,30000,30000) L_00000000026dbe90/d; -L_00000000026dbf70/d .functor NAND 1, L_00000000026dbe20, L_00000000026da6f0, L_00000000026da920, L_00000000026dbe90; -L_00000000026dbf70 .delay 1 (40000,40000,40000) L_00000000026dbf70/d; -v0000000000f2dff0_0 .net "a", 0 0, L_00000000026db020; alias, 1 drivers -v0000000000f2cd30_0 .net "aout", 0 0, L_00000000026dbe20; 1 drivers -v0000000000f2e310_0 .net "b", 0 0, L_00000000026dbc60; alias, 1 drivers -v0000000000f2c1f0_0 .net "bout", 0 0, L_00000000026da6f0; 1 drivers -v0000000000f2e130_0 .net "c", 0 0, L_00000000026db250; alias, 1 drivers -v0000000000f2ce70_0 .net "cout", 0 0, L_00000000026da920; 1 drivers -v0000000000f2e3b0_0 .net "d", 0 0, L_00000000026daae0; alias, 1 drivers -v0000000000f2e1d0_0 .net "dout", 0 0, L_00000000026dbe90; 1 drivers -v0000000000f2cf10_0 .net "ns0", 0 0, L_00000000026da680; 1 drivers -v0000000000f2c290_0 .net "ns1", 0 0, L_00000000026db100; 1 drivers -v0000000000f2d910_0 .net "out", 0 0, L_00000000026dbf70; alias, 1 drivers -v0000000000f2deb0_0 .net "s0", 0 0, L_0000000002659320; 1 drivers -v0000000000f2c330_0 .net "s1", 0 0, L_000000000265a5e0; 1 drivers -S_0000000000f37430 .scope generate, "zeroFlag[0]" "zeroFlag[0]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de45c0 .param/l "i" 0 4 70, +C4<00>; -L_00000000026db170/d .functor OR 1, L_000000000265ac20, L_000000000265a360, C4<0>, C4<0>; -L_00000000026db170 .delay 1 (30000,30000,30000) L_00000000026db170/d; -v0000000000f2d550_0 .net *"_s1", 0 0, L_000000000265ac20; 1 drivers -v0000000000f2d2d0_0 .net *"_s2", 0 0, L_000000000265a360; 1 drivers -S_0000000000f37a30 .scope generate, "zeroFlag[1]" "zeroFlag[1]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3b40 .param/l "i" 0 4 70, +C4<01>; -L_00000000026dad10/d .functor OR 1, L_0000000002659e60, L_0000000002659fa0, C4<0>, C4<0>; -L_00000000026dad10 .delay 1 (30000,30000,30000) L_00000000026dad10/d; -v0000000000f2dc30_0 .net *"_s1", 0 0, L_0000000002659e60; 1 drivers -v0000000000f2d410_0 .net *"_s2", 0 0, L_0000000002659fa0; 1 drivers -S_0000000000f38ab0 .scope generate, "zeroFlag[2]" "zeroFlag[2]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3a40 .param/l "i" 0 4 70, +C4<010>; -L_00000000026db1e0/d .functor OR 1, L_0000000002659820, L_00000000026598c0, C4<0>, C4<0>; -L_00000000026db1e0 .delay 1 (30000,30000,30000) L_00000000026db1e0/d; -v0000000000f2c5b0_0 .net *"_s1", 0 0, L_0000000002659820; 1 drivers -v0000000000f2c970_0 .net *"_s2", 0 0, L_00000000026598c0; 1 drivers -S_0000000000f38930 .scope generate, "zeroFlag[3]" "zeroFlag[3]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3c00 .param/l "i" 0 4 70, +C4<011>; -L_00000000026dbfe0/d .functor OR 1, L_0000000002659b40, L_0000000002659000, C4<0>, C4<0>; -L_00000000026dbfe0 .delay 1 (30000,30000,30000) L_00000000026dbfe0/d; -v0000000000f2c6f0_0 .net *"_s1", 0 0, L_0000000002659b40; 1 drivers -v0000000000f2c790_0 .net *"_s2", 0 0, L_0000000002659000; 1 drivers -S_0000000000f384b0 .scope generate, "zeroFlag[4]" "zeroFlag[4]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3c80 .param/l "i" 0 4 70, +C4<0100>; -L_00000000026dc130/d .functor OR 1, L_00000000026591e0, L_00000000026590a0, C4<0>, C4<0>; -L_00000000026dc130 .delay 1 (30000,30000,30000) L_00000000026dc130/d; -v0000000000f2c830_0 .net *"_s1", 0 0, L_00000000026591e0; 1 drivers -v0000000000f2d4b0_0 .net *"_s2", 0 0, L_00000000026590a0; 1 drivers -S_0000000000f38c30 .scope generate, "zeroFlag[5]" "zeroFlag[5]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3dc0 .param/l "i" 0 4 70, +C4<0101>; -L_00000000026dad80/d .functor OR 1, L_0000000002659140, L_000000000265a0e0, C4<0>, C4<0>; -L_00000000026dad80 .delay 1 (30000,30000,30000) L_00000000026dad80/d; -v0000000000f2d5f0_0 .net *"_s1", 0 0, L_0000000002659140; 1 drivers -v0000000000f2d690_0 .net *"_s2", 0 0, L_000000000265a0e0; 1 drivers -S_0000000000f372b0 .scope generate, "zeroFlag[6]" "zeroFlag[6]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3e00 .param/l "i" 0 4 70, +C4<0110>; -L_00000000026da5a0/d .functor OR 1, L_0000000002659960, L_000000000265a720, C4<0>, C4<0>; -L_00000000026da5a0 .delay 1 (30000,30000,30000) L_00000000026da5a0/d; -v0000000000f2d730_0 .net *"_s1", 0 0, L_0000000002659960; 1 drivers -v0000000000f2d7d0_0 .net *"_s2", 0 0, L_000000000265a720; 1 drivers -S_0000000000f37bb0 .scope generate, "zeroFlag[7]" "zeroFlag[7]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de3e40 .param/l "i" 0 4 70, +C4<0111>; -L_00000000026dadf0/d .functor OR 1, L_0000000002659be0, L_0000000002659c80, C4<0>, C4<0>; -L_00000000026dadf0 .delay 1 (30000,30000,30000) L_00000000026dadf0/d; -v0000000000f2d9b0_0 .net *"_s1", 0 0, L_0000000002659be0; 1 drivers -v0000000000f2da50_0 .net *"_s2", 0 0, L_0000000002659c80; 1 drivers -S_0000000000f38db0 .scope generate, "zeroFlag[8]" "zeroFlag[8]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5540 .param/l "i" 0 4 70, +C4<01000>; -L_00000000026da610/d .functor OR 1, L_0000000002659d20, L_000000000265a900, C4<0>, C4<0>; -L_00000000026da610 .delay 1 (30000,30000,30000) L_00000000026da610/d; -v0000000000f2daf0_0 .net *"_s1", 0 0, L_0000000002659d20; 1 drivers -v0000000000f2dcd0_0 .net *"_s2", 0 0, L_000000000265a900; 1 drivers -S_0000000000f375b0 .scope generate, "zeroFlag[9]" "zeroFlag[9]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de48c0 .param/l "i" 0 4 70, +C4<01001>; -L_00000000026daa00/d .functor OR 1, L_000000000265af40, L_0000000002659dc0, C4<0>, C4<0>; -L_00000000026daa00 .delay 1 (30000,30000,30000) L_00000000026daa00/d; -v0000000000f2de10_0 .net *"_s1", 0 0, L_000000000265af40; 1 drivers -v0000000000f2f210_0 .net *"_s2", 0 0, L_0000000002659dc0; 1 drivers -S_0000000000f37730 .scope generate, "zeroFlag[10]" "zeroFlag[10]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5580 .param/l "i" 0 4 70, +C4<01010>; -L_00000000026db2c0/d .functor OR 1, L_000000000265ad60, L_000000000265a180, C4<0>, C4<0>; -L_00000000026db2c0 .delay 1 (30000,30000,30000) L_00000000026db2c0/d; -v0000000000f30430_0 .net *"_s1", 0 0, L_000000000265ad60; 1 drivers -v0000000000f30750_0 .net *"_s2", 0 0, L_000000000265a180; 1 drivers -S_0000000000f37d30 .scope generate, "zeroFlag[11]" "zeroFlag[11]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4840 .param/l "i" 0 4 70, +C4<01011>; -L_00000000026dae60/d .functor OR 1, L_000000000265a9a0, L_000000000265aae0, C4<0>, C4<0>; -L_00000000026dae60 .delay 1 (30000,30000,30000) L_00000000026dae60/d; -v0000000000f30ed0_0 .net *"_s1", 0 0, L_000000000265a9a0; 1 drivers -v0000000000f30bb0_0 .net *"_s2", 0 0, L_000000000265aae0; 1 drivers -S_0000000000f37eb0 .scope generate, "zeroFlag[12]" "zeroFlag[12]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4d80 .param/l "i" 0 4 70, +C4<01100>; -L_00000000026db870/d .functor OR 1, L_000000000265acc0, L_000000000265a2c0, C4<0>, C4<0>; -L_00000000026db870 .delay 1 (30000,30000,30000) L_00000000026db870/d; -v0000000000f2fd50_0 .net *"_s1", 0 0, L_000000000265acc0; 1 drivers -v0000000000f30f70_0 .net *"_s2", 0 0, L_000000000265a2c0; 1 drivers -S_0000000000f38030 .scope generate, "zeroFlag[13]" "zeroFlag[13]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5300 .param/l "i" 0 4 70, +C4<01101>; -L_00000000026daed0/d .functor OR 1, L_000000000265a4a0, L_000000000265ae00, C4<0>, C4<0>; -L_00000000026daed0 .delay 1 (30000,30000,30000) L_00000000026daed0/d; -v0000000000f2ed10_0 .net *"_s1", 0 0, L_000000000265a4a0; 1 drivers -v0000000000f2f7b0_0 .net *"_s2", 0 0, L_000000000265ae00; 1 drivers -S_0000000000f37130 .scope generate, "zeroFlag[14]" "zeroFlag[14]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5600 .param/l "i" 0 4 70, +C4<01110>; -L_00000000026db950/d .functor OR 1, L_000000000265aea0, L_000000000265afe0, C4<0>, C4<0>; -L_00000000026db950 .delay 1 (30000,30000,30000) L_00000000026db950/d; -v0000000000f30b10_0 .net *"_s1", 0 0, L_000000000265aea0; 1 drivers -v0000000000f2fdf0_0 .net *"_s2", 0 0, L_000000000265afe0; 1 drivers -S_0000000000f381b0 .scope generate, "zeroFlag[15]" "zeroFlag[15]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4940 .param/l "i" 0 4 70, +C4<01111>; -L_00000000026db330/d .functor OR 1, L_000000000265b080, L_000000000265c660, C4<0>, C4<0>; -L_00000000026db330 .delay 1 (30000,30000,30000) L_00000000026db330/d; -v0000000000f307f0_0 .net *"_s1", 0 0, L_000000000265b080; 1 drivers -v0000000000f2f850_0 .net *"_s2", 0 0, L_000000000265c660; 1 drivers -S_0000000000f38630 .scope generate, "zeroFlag[16]" "zeroFlag[16]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4a80 .param/l "i" 0 4 70, +C4<010000>; -L_00000000026daa70/d .functor OR 1, L_000000000265ba80, L_000000000265d2e0, C4<0>, C4<0>; -L_00000000026daa70 .delay 1 (30000,30000,30000) L_00000000026daa70/d; -v0000000000f2fe90_0 .net *"_s1", 0 0, L_000000000265ba80; 1 drivers -v0000000000f2f5d0_0 .net *"_s2", 0 0, L_000000000265d2e0; 1 drivers -S_0000000000f38330 .scope generate, "zeroFlag[17]" "zeroFlag[17]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4bc0 .param/l "i" 0 4 70, +C4<010001>; -L_00000000026db3a0/d .functor OR 1, L_000000000265b1c0, L_000000000265c2a0, C4<0>, C4<0>; -L_00000000026db3a0 .delay 1 (30000,30000,30000) L_00000000026db3a0/d; -v0000000000f30390_0 .net *"_s1", 0 0, L_000000000265b1c0; 1 drivers -v0000000000f2f2b0_0 .net *"_s2", 0 0, L_000000000265c2a0; 1 drivers -S_0000000000f39140 .scope generate, "zeroFlag[18]" "zeroFlag[18]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4ac0 .param/l "i" 0 4 70, +C4<010010>; -L_00000000026db410/d .functor OR 1, L_000000000265c200, L_000000000265c160, C4<0>, C4<0>; -L_00000000026db410 .delay 1 (30000,30000,30000) L_00000000026db410/d; -v0000000000f2ea90_0 .net *"_s1", 0 0, L_000000000265c200; 1 drivers -v0000000000f2f8f0_0 .net *"_s2", 0 0, L_000000000265c160; 1 drivers -S_0000000000f3a940 .scope generate, "zeroFlag[19]" "zeroFlag[19]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4c00 .param/l "i" 0 4 70, +C4<010011>; -L_00000000026db480/d .functor OR 1, L_000000000265d100, L_000000000265c700, C4<0>, C4<0>; -L_00000000026db480 .delay 1 (30000,30000,30000) L_00000000026db480/d; -v0000000000f2fad0_0 .net *"_s1", 0 0, L_000000000265d100; 1 drivers -v0000000000f30890_0 .net *"_s2", 0 0, L_000000000265c700; 1 drivers -S_0000000000f398c0 .scope generate, "zeroFlag[20]" "zeroFlag[20]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4c40 .param/l "i" 0 4 70, +C4<010100>; -L_00000000026db560/d .functor OR 1, L_000000000265c7a0, L_000000000265c840, C4<0>, C4<0>; -L_00000000026db560 .delay 1 (30000,30000,30000) L_00000000026db560/d; -v0000000000f30c50_0 .net *"_s1", 0 0, L_000000000265c7a0; 1 drivers -v0000000000f2f670_0 .net *"_s2", 0 0, L_000000000265c840; 1 drivers -S_0000000000f3aac0 .scope generate, "zeroFlag[21]" "zeroFlag[21]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4d00 .param/l "i" 0 4 70, +C4<010101>; -L_00000000026db5d0/d .functor OR 1, L_000000000265b940, L_000000000265bf80, C4<0>, C4<0>; -L_00000000026db5d0 .delay 1 (30000,30000,30000) L_00000000026db5d0/d; -v0000000000f304d0_0 .net *"_s1", 0 0, L_000000000265b940; 1 drivers -v0000000000f2f030_0 .net *"_s2", 0 0, L_000000000265bf80; 1 drivers -S_0000000000f392c0 .scope generate, "zeroFlag[22]" "zeroFlag[22]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4d40 .param/l "i" 0 4 70, +C4<010110>; -L_00000000026db640/d .functor OR 1, L_000000000265b300, L_000000000265c340, C4<0>, C4<0>; -L_00000000026db640 .delay 1 (30000,30000,30000) L_00000000026db640/d; -v0000000000f30570_0 .net *"_s1", 0 0, L_000000000265b300; 1 drivers -v0000000000f2fc10_0 .net *"_s2", 0 0, L_000000000265c340; 1 drivers -S_0000000000f3adc0 .scope generate, "zeroFlag[23]" "zeroFlag[23]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de4dc0 .param/l "i" 0 4 70, +C4<010111>; -L_00000000026db6b0/d .functor OR 1, L_000000000265d060, L_000000000265c3e0, C4<0>, C4<0>; -L_00000000026db6b0 .delay 1 (30000,30000,30000) L_00000000026db6b0/d; -v0000000000f2edb0_0 .net *"_s1", 0 0, L_000000000265d060; 1 drivers -v0000000000f31010_0 .net *"_s2", 0 0, L_000000000265c3e0; 1 drivers -S_0000000000f395c0 .scope generate, "zeroFlag[24]" "zeroFlag[24]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de6440 .param/l "i" 0 4 70, +C4<011000>; -L_00000000026db720/d .functor OR 1, L_000000000265c5c0, L_000000000265cd40, C4<0>, C4<0>; -L_00000000026db720 .delay 1 (30000,30000,30000) L_00000000026db720/d; -v0000000000f30d90_0 .net *"_s1", 0 0, L_000000000265c5c0; 1 drivers -v0000000000f310b0_0 .net *"_s2", 0 0, L_000000000265cd40; 1 drivers -S_0000000000f39440 .scope generate, "zeroFlag[25]" "zeroFlag[25]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5fc0 .param/l "i" 0 4 70, +C4<011001>; -L_00000000026db790/d .functor OR 1, L_000000000265b580, L_000000000265c8e0, C4<0>, C4<0>; -L_00000000026db790 .delay 1 (30000,30000,30000) L_00000000026db790/d; -v0000000000f30e30_0 .net *"_s1", 0 0, L_000000000265b580; 1 drivers -v0000000000f2fcb0_0 .net *"_s2", 0 0, L_000000000265c8e0; 1 drivers -S_0000000000f3a7c0 .scope generate, "zeroFlag[26]" "zeroFlag[26]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de6480 .param/l "i" 0 4 70, +C4<011010>; -L_00000000026db800/d .functor OR 1, L_000000000265b800, L_000000000265b9e0, C4<0>, C4<0>; -L_00000000026db800 .delay 1 (30000,30000,30000) L_00000000026db800/d; -v0000000000f30610_0 .net *"_s1", 0 0, L_000000000265b800; 1 drivers -v0000000000f2e950_0 .net *"_s2", 0 0, L_000000000265b9e0; 1 drivers -S_0000000000f39740 .scope generate, "zeroFlag[27]" "zeroFlag[27]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de6780 .param/l "i" 0 4 70, +C4<011011>; -L_00000000026db9c0/d .functor OR 1, L_000000000265b3a0, L_000000000265b8a0, C4<0>, C4<0>; -L_00000000026db9c0 .delay 1 (30000,30000,30000) L_00000000026db9c0/d; -v0000000000f2f490_0 .net *"_s1", 0 0, L_000000000265b3a0; 1 drivers -v0000000000f309d0_0 .net *"_s2", 0 0, L_000000000265b8a0; 1 drivers -S_0000000000f3a640 .scope generate, "zeroFlag[28]" "zeroFlag[28]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5b80 .param/l "i" 0 4 70, +C4<011100>; -L_00000000026f7060/d .functor OR 1, L_000000000265d880, L_000000000265b260, C4<0>, C4<0>; -L_00000000026f7060 .delay 1 (30000,30000,30000) L_00000000026f7060/d; -v0000000000f2f0d0_0 .net *"_s1", 0 0, L_000000000265d880; 1 drivers -v0000000000f2e9f0_0 .net *"_s2", 0 0, L_000000000265b260; 1 drivers -S_0000000000f39a40 .scope generate, "zeroFlag[29]" "zeroFlag[29]" 4 70, 4 70 0, S_0000000000c91660; - .timescale -9 -12; -P_0000000000de5880 .param/l "i" 0 4 70, +C4<011101>; -L_00000000026f6ab0/d .functor OR 1, L_000000000265d6a0, L_000000000265b4e0, C4<0>, C4<0>; -L_00000000026f6ab0 .delay 1 (30000,30000,30000) L_00000000026f6ab0/d; -v0000000000f2ff30_0 .net *"_s1", 0 0, L_000000000265d6a0; 1 drivers -v0000000000f2f710_0 .net *"_s2", 0 0, L_000000000265b4e0; 1 drivers - .scope S_0000000000c8ecc0; +L_000000000280c8d0/d .functor NOT 1, L_000000000279a7a0, C4<0>, C4<0>, C4<0>; +L_000000000280c8d0 .delay 1 (10000,10000,10000) L_000000000280c8d0/d; +L_000000000280c940/d .functor NOT 1, L_000000000279bec0, C4<0>, C4<0>, C4<0>; +L_000000000280c940 .delay 1 (10000,10000,10000) L_000000000280c940/d; +L_000000000280ca20/d .functor NAND 1, L_000000000279bec0, L_000000000279a7a0, L_000000000280c780, C4<1>; +L_000000000280ca20 .delay 1 (30000,30000,30000) L_000000000280ca20/d; +L_000000000280ca90/d .functor NAND 1, L_000000000279bec0, L_000000000280c8d0, L_000000000280c7f0, C4<1>; +L_000000000280ca90 .delay 1 (30000,30000,30000) L_000000000280ca90/d; +L_000000000280cda0/d .functor NAND 1, L_000000000280c940, L_000000000279a7a0, L_000000000280c5c0, C4<1>; +L_000000000280cda0 .delay 1 (30000,30000,30000) L_000000000280cda0/d; +L_000000000280ce80/d .functor NAND 1, L_000000000280c940, L_000000000280c8d0, L_000000000280c400, C4<1>; +L_000000000280ce80 .delay 1 (30000,30000,30000) L_000000000280ce80/d; +L_000000000280d580/d .functor NAND 1, L_000000000280ca20, L_000000000280ca90, L_000000000280cda0, L_000000000280ce80; +L_000000000280d580 .delay 1 (40000,40000,40000) L_000000000280d580/d; +v000000000277f200_0 .net "a", 0 0, L_000000000280c780; alias, 1 drivers +v0000000002780600_0 .net "aout", 0 0, L_000000000280ca20; 1 drivers +v000000000277f840_0 .net "b", 0 0, L_000000000280c7f0; alias, 1 drivers +v000000000277f8e0_0 .net "bout", 0 0, L_000000000280ca90; 1 drivers +v000000000277f2a0_0 .net "c", 0 0, L_000000000280c5c0; alias, 1 drivers +v00000000027804c0_0 .net "cout", 0 0, L_000000000280cda0; 1 drivers +v00000000027806a0_0 .net "d", 0 0, L_000000000280c400; alias, 1 drivers +v000000000277f340_0 .net "dout", 0 0, L_000000000280ce80; 1 drivers +v000000000277fa20_0 .net "ns0", 0 0, L_000000000280c8d0; 1 drivers +v000000000277f480_0 .net "ns1", 0 0, L_000000000280c940; 1 drivers +v000000000277f7a0_0 .net "out", 0 0, L_000000000280d580; alias, 1 drivers +v000000000277fb60_0 .net "s0", 0 0, L_000000000279a7a0; 1 drivers +v000000000277f5c0_0 .net "s1", 0 0, L_000000000279bec0; 1 drivers +S_0000000002785780 .scope generate, "zeroFlag[0]" "zeroFlag[0]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f078a0 .param/l "i" 0 4 66, +C4<00>; +L_000000000280d660/d .functor OR 1, L_000000000279ee40, L_000000000279d680, C4<0>, C4<0>; +L_000000000280d660 .delay 1 (30000,30000,30000) L_000000000280d660/d; +v00000000027818c0_0 .net *"_s1", 0 0, L_000000000279ee40; 1 drivers +v0000000002781c80_0 .net *"_s2", 0 0, L_000000000279d680; 1 drivers +S_0000000002784880 .scope generate, "zeroFlag[1]" "zeroFlag[1]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f070a0 .param/l "i" 0 4 66, +C4<01>; +L_000000000280d4a0/d .functor OR 1, L_000000000279db80, L_000000000279e620, C4<0>, C4<0>; +L_000000000280d4a0 .delay 1 (30000,30000,30000) L_000000000280d4a0/d; +v0000000002780c40_0 .net *"_s1", 0 0, L_000000000279db80; 1 drivers +v0000000002780ce0_0 .net *"_s2", 0 0, L_000000000279e620; 1 drivers +S_0000000002785a80 .scope generate, "zeroFlag[2]" "zeroFlag[2]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07ca0 .param/l "i" 0 4 66, +C4<010>; +L_000000000280d6d0/d .functor OR 1, L_000000000279e440, L_000000000279e580, C4<0>, C4<0>; +L_000000000280d6d0 .delay 1 (30000,30000,30000) L_000000000280d6d0/d; +v0000000002781320_0 .net *"_s1", 0 0, L_000000000279e440; 1 drivers +v0000000002781d20_0 .net *"_s2", 0 0, L_000000000279e580; 1 drivers +S_0000000002784280 .scope generate, "zeroFlag[3]" "zeroFlag[3]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07de0 .param/l "i" 0 4 66, +C4<011>; +L_000000000280d820/d .functor OR 1, L_000000000279ce60, L_000000000279da40, C4<0>, C4<0>; +L_000000000280d820 .delay 1 (30000,30000,30000) L_000000000280d820/d; +v0000000002781aa0_0 .net *"_s1", 0 0, L_000000000279ce60; 1 drivers +v0000000002782a40_0 .net *"_s2", 0 0, L_000000000279da40; 1 drivers +S_0000000002784100 .scope generate, "zeroFlag[4]" "zeroFlag[4]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07ae0 .param/l "i" 0 4 66, +C4<0100>; +L_000000000280bde0/d .functor OR 1, L_000000000279e4e0, L_000000000279e260, C4<0>, C4<0>; +L_000000000280bde0 .delay 1 (30000,30000,30000) L_000000000280bde0/d; +v0000000002782400_0 .net *"_s1", 0 0, L_000000000279e4e0; 1 drivers +v0000000002781f00_0 .net *"_s2", 0 0, L_000000000279e260; 1 drivers +S_0000000002784a00 .scope generate, "zeroFlag[5]" "zeroFlag[5]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07560 .param/l "i" 0 4 66, +C4<0101>; +L_000000000280d890/d .functor OR 1, L_000000000279e6c0, L_000000000279d180, C4<0>, C4<0>; +L_000000000280d890 .delay 1 (30000,30000,30000) L_000000000280d890/d; +v00000000027810a0_0 .net *"_s1", 0 0, L_000000000279e6c0; 1 drivers +v0000000002781dc0_0 .net *"_s2", 0 0, L_000000000279d180; 1 drivers +S_0000000002785900 .scope generate, "zeroFlag[6]" "zeroFlag[6]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07220 .param/l "i" 0 4 66, +C4<0110>; +L_000000000280be50/d .functor OR 1, L_000000000279e940, L_000000000279eda0, C4<0>, C4<0>; +L_000000000280be50 .delay 1 (30000,30000,30000) L_000000000280be50/d; +v00000000027820e0_0 .net *"_s1", 0 0, L_000000000279e940; 1 drivers +v0000000002782c20_0 .net *"_s2", 0 0, L_000000000279eda0; 1 drivers +S_0000000002785600 .scope generate, "zeroFlag[7]" "zeroFlag[7]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07be0 .param/l "i" 0 4 66, +C4<0111>; +L_000000000280bfa0/d .functor OR 1, L_000000000279df40, L_000000000279e760, C4<0>, C4<0>; +L_000000000280bfa0 .delay 1 (30000,30000,30000) L_000000000280bfa0/d; +v0000000002780d80_0 .net *"_s1", 0 0, L_000000000279df40; 1 drivers +v0000000002782f40_0 .net *"_s2", 0 0, L_000000000279e760; 1 drivers +S_0000000002785000 .scope generate, "zeroFlag[8]" "zeroFlag[8]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f071e0 .param/l "i" 0 4 66, +C4<01000>; +L_000000000280c010/d .functor OR 1, L_000000000279dea0, L_000000000279e080, C4<0>, C4<0>; +L_000000000280c010 .delay 1 (30000,30000,30000) L_000000000280c010/d; +v0000000002781b40_0 .net *"_s1", 0 0, L_000000000279dea0; 1 drivers +v0000000002782680_0 .net *"_s2", 0 0, L_000000000279e080; 1 drivers +S_0000000002784700 .scope generate, "zeroFlag[9]" "zeroFlag[9]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07e20 .param/l "i" 0 4 66, +C4<01001>; +L_000000000280c0f0/d .functor OR 1, L_000000000279d040, L_000000000279e800, C4<0>, C4<0>; +L_000000000280c0f0 .delay 1 (30000,30000,30000) L_000000000280c0f0/d; +v0000000002780e20_0 .net *"_s1", 0 0, L_000000000279d040; 1 drivers +v0000000002780920_0 .net *"_s2", 0 0, L_000000000279e800; 1 drivers +S_0000000002785c00 .scope generate, "zeroFlag[10]" "zeroFlag[10]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f072a0 .param/l "i" 0 4 66, +C4<01010>; +L_000000000280deb0/d .functor OR 1, L_000000000279d5e0, L_000000000279ec60, C4<0>, C4<0>; +L_000000000280deb0 .delay 1 (30000,30000,30000) L_000000000280deb0/d; +v0000000002781e60_0 .net *"_s1", 0 0, L_000000000279d5e0; 1 drivers +v0000000002780f60_0 .net *"_s2", 0 0, L_000000000279ec60; 1 drivers +S_0000000002784b80 .scope generate, "zeroFlag[11]" "zeroFlag[11]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07260 .param/l "i" 0 4 66, +C4<01011>; +L_000000000280df90/d .functor OR 1, L_000000000279e1c0, L_000000000279cd20, C4<0>, C4<0>; +L_000000000280df90 .delay 1 (30000,30000,30000) L_000000000280df90/d; +v0000000002783080_0 .net *"_s1", 0 0, L_000000000279e1c0; 1 drivers +v0000000002782cc0_0 .net *"_s2", 0 0, L_000000000279cd20; 1 drivers +S_0000000002785d80 .scope generate, "zeroFlag[12]" "zeroFlag[12]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f070e0 .param/l "i" 0 4 66, +C4<01100>; +L_000000000280d9e0/d .functor OR 1, L_000000000279e8a0, L_000000000279d360, C4<0>, C4<0>; +L_000000000280d9e0 .delay 1 (30000,30000,30000) L_000000000280d9e0/d; +v00000000027825e0_0 .net *"_s1", 0 0, L_000000000279e8a0; 1 drivers +v0000000002781460_0 .net *"_s2", 0 0, L_000000000279d360; 1 drivers +S_0000000002784e80 .scope generate, "zeroFlag[13]" "zeroFlag[13]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07720 .param/l "i" 0 4 66, +C4<01101>; +L_000000000280e0e0/d .functor OR 1, L_000000000279eee0, L_000000000279d7c0, C4<0>, C4<0>; +L_000000000280e0e0 .delay 1 (30000,30000,30000) L_000000000280e0e0/d; +v0000000002781140_0 .net *"_s1", 0 0, L_000000000279eee0; 1 drivers +v0000000002782d60_0 .net *"_s2", 0 0, L_000000000279d7c0; 1 drivers +S_0000000002785180 .scope generate, "zeroFlag[14]" "zeroFlag[14]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f072e0 .param/l "i" 0 4 66, +C4<01110>; +L_000000000280dcf0/d .functor OR 1, L_000000000279e120, L_000000000279d720, C4<0>, C4<0>; +L_000000000280dcf0 .delay 1 (30000,30000,30000) L_000000000280dcf0/d; +v00000000027811e0_0 .net *"_s1", 0 0, L_000000000279e120; 1 drivers +v0000000002782ea0_0 .net *"_s2", 0 0, L_000000000279d720; 1 drivers +S_0000000002785f00 .scope generate, "zeroFlag[15]" "zeroFlag[15]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07320 .param/l "i" 0 4 66, +C4<01111>; +L_000000000280df20/d .functor OR 1, L_000000000279cbe0, L_000000000279cdc0, C4<0>, C4<0>; +L_000000000280df20 .delay 1 (30000,30000,30000) L_000000000280df20/d; +v0000000002781280_0 .net *"_s1", 0 0, L_000000000279cbe0; 1 drivers +v0000000002782720_0 .net *"_s2", 0 0, L_000000000279cdc0; 1 drivers +S_0000000002785480 .scope generate, "zeroFlag[16]" "zeroFlag[16]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f06ee0 .param/l "i" 0 4 66, +C4<010000>; +L_000000000280db30/d .functor OR 1, L_000000000279d860, L_000000000279d0e0, C4<0>, C4<0>; +L_000000000280db30 .delay 1 (30000,30000,30000) L_000000000280db30/d; +v0000000002781500_0 .net *"_s1", 0 0, L_000000000279d860; 1 drivers +v00000000027816e0_0 .net *"_s2", 0 0, L_000000000279d0e0; 1 drivers +S_0000000002784400 .scope generate, "zeroFlag[17]" "zeroFlag[17]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f075a0 .param/l "i" 0 4 66, +C4<010001>; +L_000000000280dba0/d .functor OR 1, L_000000000279dfe0, L_000000000279ed00, C4<0>, C4<0>; +L_000000000280dba0 .delay 1 (30000,30000,30000) L_000000000280dba0/d; +v00000000027827c0_0 .net *"_s1", 0 0, L_000000000279dfe0; 1 drivers +v0000000002781be0_0 .net *"_s2", 0 0, L_000000000279ed00; 1 drivers +S_000000000278fda0 .scope generate, "zeroFlag[18]" "zeroFlag[18]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07360 .param/l "i" 0 4 66, +C4<010010>; +L_000000000280da50/d .functor OR 1, L_000000000279ebc0, L_000000000279e300, C4<0>, C4<0>; +L_000000000280da50 .delay 1 (30000,30000,30000) L_000000000280da50/d; +v0000000002781fa0_0 .net *"_s1", 0 0, L_000000000279ebc0; 1 drivers +v0000000002780ba0_0 .net *"_s2", 0 0, L_000000000279e300; 1 drivers +S_000000000278ff20 .scope generate, "zeroFlag[19]" "zeroFlag[19]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f075e0 .param/l "i" 0 4 66, +C4<010011>; +L_000000000280dac0/d .functor OR 1, L_000000000279ef80, L_000000000279f020, C4<0>, C4<0>; +L_000000000280dac0 .delay 1 (30000,30000,30000) L_000000000280dac0/d; +v0000000002782ae0_0 .net *"_s1", 0 0, L_000000000279ef80; 1 drivers +v0000000002780b00_0 .net *"_s2", 0 0, L_000000000279f020; 1 drivers +S_000000000278f920 .scope generate, "zeroFlag[20]" "zeroFlag[20]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07960 .param/l "i" 0 4 66, +C4<010100>; +L_000000000280e000/d .functor OR 1, L_000000000279dc20, L_000000000279dcc0, C4<0>, C4<0>; +L_000000000280e000 .delay 1 (30000,30000,30000) L_000000000280e000/d; +v0000000002782040_0 .net *"_s1", 0 0, L_000000000279dc20; 1 drivers +v0000000002781780_0 .net *"_s2", 0 0, L_000000000279dcc0; 1 drivers +S_000000000278eea0 .scope generate, "zeroFlag[21]" "zeroFlag[21]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f071a0 .param/l "i" 0 4 66, +C4<010101>; +L_000000000280dc10/d .functor OR 1, L_000000000279cf00, L_000000000279cc80, C4<0>, C4<0>; +L_000000000280dc10 .delay 1 (30000,30000,30000) L_000000000280dc10/d; +v0000000002782180_0 .net *"_s1", 0 0, L_000000000279cf00; 1 drivers +v00000000027822c0_0 .net *"_s2", 0 0, L_000000000279cc80; 1 drivers +S_000000000278e720 .scope generate, "zeroFlag[22]" "zeroFlag[22]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07820 .param/l "i" 0 4 66, +C4<010110>; +L_000000000280dc80/d .functor OR 1, L_000000000279e9e0, L_000000000279d900, C4<0>, C4<0>; +L_000000000280dc80 .delay 1 (30000,30000,30000) L_000000000280dc80/d; +v0000000002782e00_0 .net *"_s1", 0 0, L_000000000279e9e0; 1 drivers +v0000000002782220_0 .net *"_s2", 0 0, L_000000000279d900; 1 drivers +S_000000000278faa0 .scope generate, "zeroFlag[23]" "zeroFlag[23]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07060 .param/l "i" 0 4 66, +C4<010111>; +L_000000000280de40/d .functor OR 1, L_000000000279e3a0, L_000000000279f0c0, C4<0>, C4<0>; +L_000000000280de40 .delay 1 (30000,30000,30000) L_000000000280de40/d; +v0000000002782fe0_0 .net *"_s1", 0 0, L_000000000279e3a0; 1 drivers +v0000000002781960_0 .net *"_s2", 0 0, L_000000000279f0c0; 1 drivers +S_000000000278e8a0 .scope generate, "zeroFlag[24]" "zeroFlag[24]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07620 .param/l "i" 0 4 66, +C4<011000>; +L_000000000280dd60/d .functor OR 1, L_000000000279ea80, L_000000000279caa0, C4<0>, C4<0>; +L_000000000280dd60 .delay 1 (30000,30000,30000) L_000000000280dd60/d; +v0000000002782860_0 .net *"_s1", 0 0, L_000000000279ea80; 1 drivers +v0000000002780ec0_0 .net *"_s2", 0 0, L_000000000279caa0; 1 drivers +S_000000000278e120 .scope generate, "zeroFlag[25]" "zeroFlag[25]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07120 .param/l "i" 0 4 66, +C4<011001>; +L_000000000280ddd0/d .functor OR 1, L_000000000279d4a0, L_000000000279dd60, C4<0>, C4<0>; +L_000000000280ddd0 .delay 1 (30000,30000,30000) L_000000000280ddd0/d; +v0000000002780a60_0 .net *"_s1", 0 0, L_000000000279d4a0; 1 drivers +v0000000002782360_0 .net *"_s2", 0 0, L_000000000279dd60; 1 drivers +S_000000000278ea20 .scope generate, "zeroFlag[26]" "zeroFlag[26]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f076e0 .param/l "i" 0 4 66, +C4<011010>; +L_000000000280e070/d .functor OR 1, L_000000000279eb20, L_000000000279c960, C4<0>, C4<0>; +L_000000000280e070 .delay 1 (30000,30000,30000) L_000000000280e070/d; +v0000000002781820_0 .net *"_s1", 0 0, L_000000000279eb20; 1 drivers +v0000000002781a00_0 .net *"_s2", 0 0, L_000000000279c960; 1 drivers +S_000000000278eba0 .scope generate, "zeroFlag[27]" "zeroFlag[27]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07e60 .param/l "i" 0 4 66, +C4<011011>; +L_000000000282c8a0/d .functor OR 1, L_000000000279d400, L_000000000279d220, C4<0>, C4<0>; +L_000000000282c8a0 .delay 1 (30000,30000,30000) L_000000000282c8a0/d; +v0000000002782900_0 .net *"_s1", 0 0, L_000000000279d400; 1 drivers +v0000000002782b80_0 .net *"_s2", 0 0, L_000000000279d220; 1 drivers +S_000000000278e5a0 .scope generate, "zeroFlag[28]" "zeroFlag[28]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07a20 .param/l "i" 0 4 66, +C4<011100>; +L_000000000282cad0/d .functor OR 1, L_000000000279ca00, L_000000000279cb40, C4<0>, C4<0>; +L_000000000282cad0 .delay 1 (30000,30000,30000) L_000000000282cad0/d; +v00000000027834e0_0 .net *"_s1", 0 0, L_000000000279ca00; 1 drivers +v0000000002783260_0 .net *"_s2", 0 0, L_000000000279cb40; 1 drivers +S_000000000278f320 .scope generate, "zeroFlag[29]" "zeroFlag[29]" 4 66, 4 66 0, S_0000000000cc7490; + .timescale -9 -12; +P_0000000000f07420 .param/l "i" 0 4 66, +C4<011101>; +L_000000000282c2f0/d .functor OR 1, L_000000000279cfa0, L_000000000279d2c0, C4<0>, C4<0>; +L_000000000282c2f0 .delay 1 (30000,30000,30000) L_000000000282c2f0/d; +v0000000002783e40_0 .net *"_s1", 0 0, L_000000000279cfa0; 1 drivers +v00000000027833a0_0 .net *"_s2", 0 0, L_000000000279d2c0; 1 drivers + .scope S_0000000000cb6030; T_0 ; - %wait E_0000000000e35d80; - %load/vec4 v0000000000e1f910_0; + %wait E_0000000000f05e20; + %load/vec4 v0000000000e68db0_0; %dup/vec4; %pushi/vec4 0, 0, 3; %cmp/u; @@ -4295,244 +4304,412 @@ T_0 ; %jmp T_0.8; T_0.0 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.1 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.2 ; %pushi/vec4 1, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.3 ; %pushi/vec4 0, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.4 ; %pushi/vec4 2, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.5 ; %pushi/vec4 2, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.6 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 0, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.7 ; %pushi/vec4 3, 0, 2; - %store/vec4 v0000000000e21990_0, 0, 2; + %store/vec4 v0000000000e625f0_0, 0, 2; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000000e1f730_0, 0, 1; + %store/vec4 v0000000000e68bd0_0, 0, 1; %pushi/vec4 1, 0, 1; - %store/vec4 v0000000000e20d10_0, 0, 1; + %store/vec4 v0000000000e67370_0, 0, 1; %jmp T_0.8; T_0.8 ; %pop/vec4 1; %jmp T_0; .thread T_0, $push; - .scope S_0000000000e74ac0; + .scope S_0000000000cd86f0; T_1 ; %vpi_call 3 28 "$display", " operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero" {0 0 0}; %vpi_call 3 29 "$display" {0 0 0}; %vpi_call 3 30 "$display", "ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; - %pushi/vec4 2, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 3, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %pushi/vec4 2000, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 2147483000, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 34 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 34 "$display", "| %b | %b | ADD | %b | 10000000000000000000010101001000 | %b | 0 | %b | 1 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 4294967266, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 50, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 38 "$display", "| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 38 "$display", "| %b | %b | ADD | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 4294967291, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 42 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 42 "$display", "| %b | %b | ADD | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 200, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 46 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 46 "$display", "| %b | %b | ADD | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 4294967294, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 4294967294, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 0, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 50 "$display", "| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 50 "$display", "| %b | %b | ADD | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %vpi_call 3 51 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %vpi_call 3 53 "$display", "SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %pushi/vec4 5, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 3, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 57 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 4294967266, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 50, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 57 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294957396, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 2147483000, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 61 "$display", "| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 61 "$display", "| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 1 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 4294967291, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 65 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 65 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 4294967096, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 69 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 69 "$display", "| %b | %b | SUB | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 2, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 5, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 1, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 73 "$display", "| %b | %b | SUB | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 73 "$display", "| %b | %b | SUB | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %vpi_call 3 74 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %vpi_call 3 76 "$display", "XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %pushi/vec4 773499565, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 2860817687, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 80 "$display", "| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 80 "$display", "| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294962296, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 123123, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 84 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 84 "$display", "| %b | %b | XOR | %b | 11111111111111100000110010001011 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 332, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294965173, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 88 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 88 "$display", "| %b | %b | XOR | %b | 11111111111111111111011011111001 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 92 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 92 "$display", "| %b | %b | XOR | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294074932, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294962311, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 96 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 96 "$display", "| %b | %b | XOR | %b | 00000000000011011000111010110011 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %vpi_call 3 97 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %vpi_call 3 99 "$display", "SLT COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; - %pushi/vec4 773499565, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 2860817687, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; - %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %pushi/vec4 3320106349, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 626512242, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 3, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 103 "$display", "| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 2, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 1, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 103 "$display", "| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294962296, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 123123, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 3, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 107 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %pushi/vec4 3, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; - %pushi/vec4 5, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; + %vpi_call 3 107 "$display", "| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 332, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294965173, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; %pushi/vec4 3, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 111 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 111 "$display", "| %b | %b | SLT | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; - %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 3, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 115 "$display", "| %b | %b | SLT | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294074932, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294962311, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 3, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 119 "$display", "| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %vpi_call 3 120 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 122 "$display", "AND COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 3320106349, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 626512242, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 4, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 126 "$display", "| %b | %b | AND | %b | 00000101010001001100000101100000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294954993, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 222, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 4, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 130 "$display", "| %b | %b | AND | %b | 00000000000000000000000011010000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 9732, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294921673, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 4, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 115 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; + %vpi_call 3 134 "$display", "| %b | %b | AND | %b | 00000000000000000000010000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265de20_0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; %pushi/vec4 0, 0, 32; - %store/vec4 v000000000265ec80_0, 0, 32; - %pushi/vec4 2, 0, 3; - %store/vec4 v000000000265fcc0_0, 0, 3; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 4, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; %delay 10000000, 0; - %vpi_call 3 119 "$display", "| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", v000000000265de20_0, v000000000265ec80_0, v000000000265f220_0, v000000000265f720_0, v000000000265e960_0, v000000000265da60_0 {0 0 0}; - %vpi_call 3 120 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 138 "$display", "| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294967062, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294962311, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 4, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 142 "$display", "| %b | %b | AND | %b | 11111111111111111110110000000110 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %vpi_call 3 143 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 145 "$display", "NAND COMMAND ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 950744538, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 2466067877, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 5, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 149 "$display", "| %b | %b | NAND | %b | 11101111010101101100101001111111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294868203, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 222, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 5, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 153 "$display", "| %b | %b | NAND | %b | 11111111111111111111111100110101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 122, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4293967398, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 5, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 157 "$display", "| %b | %b | NAND | %b | 11111111111111111111111111011101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 5, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 161 "$display", "| %b | %b | NAND | %b | 11111111111111111111111111111111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294957297, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294967294, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 5, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 165 "$display", "| %b | %b | NAND | %b | 00000000000000000010011100001111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %vpi_call 3 166 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 168 "$display", "NOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 3443074421, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 3849243493, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 6, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 172 "$display", "| %b | %b | NOR | %b | 00010010100000000001000010001010 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294844173, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 9882, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 6, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 176 "$display", "| %b | %b | NOR | %b | 00000000000000011100000001100000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 2, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294967290, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 6, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 180 "$display", "| %b | %b | NOR | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 6, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 184 "$display", "| %b | %b | NOR | %b | 11111111111111111111111111111111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4294844173, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294967197, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 6, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 188 "$display", "| %b | %b | NOR | %b | 00000000000000000000000001100010 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %vpi_call 3 189 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %vpi_call 3 191 "$display", "OR COMMAND ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; + %pushi/vec4 886281674, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 3375262922, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 7, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 195 "$display", "| %b | %b | OR | %b | 11111101111111111111110111001010 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4204159197, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 1239, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 7, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 199 "$display", "| %b | %b | OR | %b | 11111010100101100110010011011111 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 90, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294966204, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 7, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 203 "$display", "| %b | %b | OR | %b | 11111111111111111111101111111110 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 7, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 207 "$display", "| %b | %b | OR | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %pushi/vec4 4274975084, 0, 32; + %store/vec4 v0000000002790660_0, 0, 32; + %pushi/vec4 4294957469, 0, 32; + %store/vec4 v0000000002790b60_0, 0, 32; + %pushi/vec4 7, 0, 3; + %store/vec4 v0000000002790a20_0, 0, 3; + %delay 10000000, 0; + %vpi_call 3 211 "$display", "| %b | %b | OR | %b | 11111111111111111111100111111101 | %b | 0 | %b | 0 | %b | 0 |", v0000000002790660_0, v0000000002790b60_0, v0000000002791ec0_0, v0000000002791920_0, v0000000002792320_0, v0000000002790700_0 {0 0 0}; + %vpi_call 3 212 "$display", "-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------" {0 0 0}; %end; .thread T_1; # The file index is used to find the file name in the following table. diff --git a/alu.t.v b/alu.t.v index a9c9143..1fb1aba 100644 --- a/alu.t.v +++ b/alu.t.v @@ -28,26 +28,26 @@ module testALU(); $display(" operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero"); $display(); $display("ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - // 2 + 3 = 5 - operandA=32'd2;operandB=32'd3;command=`ADD; + // 2000 + 2147483000 = + operandA=32'd2000;operandB=32'd2147483000;command=`ADD; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | ADD | %b | 10000000000000000000010101001000 | %b | 0 | %b | 1 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // -30 + 50 = 20 operandA=-32'd30;operandB=32'd50;command=`ADD; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | ADD | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // 2 + (-5) = -3 operandA=32'd2;operandB=-32'd5;command=`ADD; #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | ADD | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // -200 + 200 = 0 operandA=-32'd200;operandB=32'd200;command=`ADD; #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | ADD | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); // -2 + (-2) = -4 operandA=-32'd2;operandB=-32'd2;command=`ADD; #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | ADD | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); $display("SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); @@ -55,10 +55,10 @@ module testALU(); operandA=32'd5;operandB=32'd3;command=`SUB; #10000; $display("| %b | %b | SUB | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // -30 - 50 = -80 - operandA=-32'd30;operandB=32'd50;command=`SUB; + // -9900 - 2147483000 = + operandA=-32'd9900;operandB=32'd2147483000;command=`SUB; #10000; - $display("| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | SUB | %b | 11111111111111111111111110110000 | %b | 1 | %b | 1 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // 2 - (-5) = 7 operandA=32'd2;operandB=-32'd5;command=`SUB; #10000; @@ -72,54 +72,144 @@ module testALU(); #10000; $display("| %b | %b | SUB | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - + $display("XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); // operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; #10000; - $display("| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // - operandA=32'd0;operandB=32'd0;command=`XOR; + operandA=-32'd5000;operandB=32'd123123;command=`XOR; #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 11111111111111100000110010001011 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // - operandA=32'd0;operandB=32'd0;command=`XOR; + operandA=32'd332;operandB=-32'd2123;command=`XOR; #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 11111111111111111111011011111001 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // operandA=32'd0;operandB=32'd0;command=`XOR; #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); // - operandA=32'd0;operandB=32'd0;command=`XOR; + operandA=-32'd892364;operandB=-32'd4985;command=`XOR; #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); + $display("| %b | %b | XOR | %b | 00000000000011011000111010110011 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - + $display("SLT COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - // - operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; - #10000; - $display("| %b | %b | XOR | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd2;operandB=32'd1;command=`SLT; - #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd3;operandB=32'd5;command=`SLT; - #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | XOR | %b | --- | %b | - | %b | - | %b | - |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'b11000101111001001100110101101101;operandB=32'b00100101010101111101000101110010;command=`SLT; + #10000; + $display("| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd5000;operandB=32'd123123;command=`SLT; + #10000; + $display("| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd332;operandB=-32'd2123;command=`SLT; + #10000; + $display("| %b | %b | SLT | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd0;operandB=32'd0;command=`SLT; + #10000; + $display("| %b | %b | SLT | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd892364;operandB=-32'd4985;command=`SLT; + #10000; + $display("| %b | %b | SLT | %b | 00000000000000000000000000000001 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("AND COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); +// + operandA=32'b11000101111001001100110101101101;operandB=32'b00100101010101111101000101110010;command=`AND; + #10000; + $display("| %b | %b | AND | %b | 00000101010001001100000101100000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd12303;operandB=32'd222;command=`AND; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000011010000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd9732;operandB=-32'd45623;command=`AND; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000010000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd0;operandB=32'd0;command=`AND; + #10000; + $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd234;operandB=-32'd4985;command=`AND; + #10000; + $display("| %b | %b | AND | %b | 11111111111111111110110000000110 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("NAND COMMAND ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); +// + operandA=32'b00111000101010110011010111011010;operandB=32'b10010010111111010011010110100101;command=`NAND; + #10000; + $display("| %b | %b | NAND | %b | 11101111010101101100101001111111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd99093;operandB=32'd222;command=`NAND; + #10000; + $display("| %b | %b | NAND | %b | 11111111111111111111111100110101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd122;operandB=-32'd999898;command=`NAND; + #10000; + $display("| %b | %b | NAND | %b | 11111111111111111111111111011101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd0;operandB=32'd0;command=`NAND; + #10000; + $display("| %b | %b | NAND | %b | 11111111111111111111111111111111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd9999;operandB=-32'd2;command=`NAND; + #10000; + $display("| %b | %b | NAND | %b | 00000000000000000010011100001111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("NOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); +// + operandA=32'b11001101001110010010010101110101;operandB=32'b11100101011011101100101101100101;command=`NOR; + #10000; + $display("| %b | %b | NOR | %b | 00010010100000000001000010001010 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd123123;operandB=32'd9882;command=`NOR; + #10000; + $display("| %b | %b | NOR | %b | 00000000000000011100000001100000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd2;operandB=-32'd6;command=`NOR; + #10000; + $display("| %b | %b | NOR | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd0;operandB=32'd0;command=`NOR; + #10000; + $display("| %b | %b | NOR | %b | 11111111111111111111111111111111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd123123;operandB=-32'd99;command=`NOR; + #10000; + $display("| %b | %b | NOR | %b | 00000000000000000000000001100010 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); + $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); + + $display("OR COMMAND ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); +// + operandA=32'b00110100110100111001010111001010;operandB=32'b11001001001011100110110011001010;command=`OR; + #10000; + $display("| %b | %b | OR | %b | 11111101111111111111110111001010 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd90808099;operandB=32'd1239;command=`OR; + #10000; + $display("| %b | %b | OR | %b | 11111010100101100110010011011111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd90;operandB=-32'd1092;command=`OR; + #10000; + $display("| %b | %b | OR | %b | 11111111111111111111101111111110 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=32'd0;operandB=32'd0;command=`OR; + #10000; + $display("| %b | %b | OR | %b | 00000000000000000000000000000000 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); +// + operandA=-32'd19992212;operandB=-32'd9827;command=`OR; + #10000; + $display("| %b | %b | OR | %b | 11111111111111111111100111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - - // $dumpflush; end endmodule diff --git a/alu.v b/alu.v index cc6c9a7..a00155c 100644 --- a/alu.v +++ b/alu.v @@ -2,6 +2,7 @@ `include "lut.v" `include "aluFullBit.v" +// Define gates and timings. `define AND and #30 `define OR or #30 `define NOT not #10 @@ -28,7 +29,7 @@ wire [1:0] select; wire nSltFlag; wire sltFlag; wire aLessB; -wire nCommand; +wire [1:0]nCommand; wire partialResult2; wire finalSlt; @@ -37,8 +38,8 @@ ALULut _lut(select[1:0], invert, carry, command[2:0]); `XOR (finalB[0], operandB[0], invert); `AND (cIn[0], carry, command[0]); -`NOT (nCommand, command[2]); -nand #30 (nSltFlag, command[0], command[1], nCommand); +`NOT (nCommand[1], command[2]); +nand #30 (nSltFlag, command[0], command[1], nCommand[1]); `NOT (sltFlag, nSltFlag); aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); @@ -59,10 +60,6 @@ wire partialOverflow; wire [1:0] nSelect; -and #40 (carryout, cOut[31], select[0], select[1]); -and #40 (overflow, partialOverflow, select[0], select[1]); - - wire [30:0] zeroFlags; `OR (zeroFlags[0], result[0], result[1]); @@ -74,7 +71,10 @@ endgenerate wire zeroPartial; `NOT (zeroPartial, zeroFlags[30]); -and #40 (zero, zeroPartial, select[0], select[1]); +`NOT (nCommand[0], command[1]); +and #40 (zero, zeroPartial, nCommand[0], nCommand[1]); +and #40 (carryout, cOut[31], nCommand[0], nCommand[1]); +and #40 (overflow, partialOverflow, nCommand[0], nCommand[1]); `XOR (aLessB, partialOverflow, partialResult[31]); `AND (finalSlt, aLessB, sltFlag); diff --git a/tests.png b/tests.png new file mode 100644 index 0000000000000000000000000000000000000000..13bf92f6fb7342f9bb5137d35ea332f93a1dec44 GIT binary patch literal 70434 zcmc$`2{_bi|39wN<48)$7Q#8xVjUu6SIkh9En8%eMD}H}FCF{tC`(y0)*^#6)*)nx zY)N)vvSnY#?tg!Vw)6Bn-~a!*zQ5n!b)D;|W9?xxPE)raDk$EAaMd7^{e zV0V2eqUidjRi2?8M<%Zh7Kt7$YZk5Fm9niTEA@~xgcFRvY`E;?8id~RLZwu%C#}yF zRr=ShI<@Z@;FstL%*<&0tp|y!PnGaPuGINopYox0z+d9`<10JPuXXDJ-miG$rx;In zCC7RuTRJk%Y>>Ye>4@{UkT%>$$NgS8I1@jG|8=a^qRLukeP_wU;~h_4%tDH&r~meHy@A(m6uF+Q^3sMqujlc~^T~Lx z#9n?Geg{91fTcy(Es4)-zkOoP?R5&%ydG=jIASV~Wqf^eX`@Xdr2}cR$W7rc<~dt( z?q*(gtLB2(v*s@22eCI8rdMAkU1xNPA9$NP%l2B~(tA25fli^jCD$jswKbz1-`dpbj3?8I zYtA<35Yi|ad=<^8Rh&v5VKaG+B9wntA*#GOjo{v^P`bg^?wC{3WS_IF;l*#zmJ-Tt zLwM5ae&B$Jzn)4am6mI*@olMTUktxLd6|x@ky>bz(XCqx7rKhw+3Me!YDrH$iDtm| zpQ>B#ftv^qtvCPCB=LGtF5mTTSU|IB{F+Se0qq;5h7@Jl_W0#$D~|2YO}f8->E!Fa ztiw;>=)W_3q%NIKE4g5qyGz?@A;P<+qk5-{0JcwS_-^u_6-#!x>pl9*0B?AC)-i`ZwRg*5q4u1-?cd zgSg?QhWgQvuuJ^aN=2VOcFcK);nUIvTCcsX^^3Ku@(ydd9MjjmIBr0&cPdG#Eh;w*kwzfOalz-nDKGLRO9ClCgYA^LNti0F3 zMygMA33=_^K>V#ZdM7Z z*dT7xOG(%}6*S)atL!lUW_4L}`}12K^i{gQm-}`(&Je0*e~X+$or308Kv(H7j zTGl#A5)vsYjFE-ibo4UaS`TrVPX31CFZ+eGlEbx4-5eJO-bzcHEY6=V;4kmX@r!>a zs4FQeIVYT!WAcZAvlSy>cPRg6eO*23J@)`xd7qTRgpOAcB z?XH0}e~!uPkS=dl_UYIef_hxi__3HI7Y`SP%AT}9Fr)NpPCAhUtH(R&3#V8*%d$}G z!{u5|?K2B+WB)Q{+AZG=BtOQwyN+A)h%3cVnYgXU->NZ-v$r?#-J6zQ`WpUlYh3I$ z|2e-7DK_isy9znd)V!x_%O3Xkjs%2gJFf8@C+tclzP-Iem=ZKL9<}?dA)n}tPW>r(=G*%$iYp>V2OH=UUndAn!cEA`edSvtuEEcd`3&WhC5@^@K& z0si-K%h21*PiWXApcY&nAz+6c%_4)(GOaz<1Zw>ZDWz)2l;HBhU#k==h4cOY{ ziN_wb!F(Ss?V@XhCFy>5b(Hrt@z`e^n=enskIS@D+M0LuAndR znuuK2dvdkcL{!iH)lr4qQcLM0MU`z9W>JjG^D^0L?=C8S;vMcp*-kN`OAQG|`5x8k zNSo@WV#})BSkx_QLdR?8q`$+HKM|^fnpS&YiYgYWx?AS=u+xL;Ndu-Cd<#rw=0h^; zFW>UDmOJPDZvA%HnpS)C?u**YL-&l}2Up73j@7MxW_pUA?D+^yId}}_<2jbDak4gk z{0mP`)ywRB{C37NI?s)9U|Vp$U0VN%PK5m!eLW*tmu+VSy+zD#cSGQ^O^`>GTdxhl zA6ALi?o^)O!~a3XccdsupI7JU0W7&&aBc{NG&2Kl8x7krzQ8iGb9@?7vt%5%Ip`)H zcD)E7rxJSYL;XNRC-0Jivt;ofvzRBvsw>3@69N-eKgk(aL1`P=gJacR9CXlc;3wBV zQFZS(GGe_l=iaXmHjTf2;PrMsEtLL&pHDm8lu&{Per&y_eM!$EBP*71ls&NbxBcuA zDSN+>%|`s6d92MK<@WMu{oL+05hQS9F)|f9OO?C+@(Zo8gbCGCW~)2*&36gdN-xFD zh@xi#)IuJd0|DaEoSthr0*)X65ktp13H^k77q&AQoy0?If^Brlv&In)3bm-s&l& zWp-rqDi34vniLtmt!F#G-iLL5aN=rHjQzWlXXB%N>?oGDEly6<%M9-Z)XfiLy#~Fc zfNwo579@y8hb;9dPyAtu+J79MbuT3=aWliJ{xfT2yj4|Rz9^0}vM}eG%vMGJ>@7r7 z5y~Il0J5n|c03MSTx)hsi{r#1nq61DJLL?0sg24L!{0_XR7}f!5k_cz95MIC z-BN4Tl1f@P>WZ#b)IrD8`A3t`bOT2`Cv=8Y2W`8@(Hv<0xR$5Q789a#@607q;u-db zH9#qmvY^mFs3+_Y3f;0SshAy)5DsKt^pr32hz0)?Fj~30?cmNhZqI?{AU(k{Vvcx< zdCEz){$g@naS(Ngr|Sy#TZ;HmiWvRfvCoOkG5-+3j=*j|g}}qE%JG6=k9UmfXGOj=J&e#!xZ=OPeUeo4~mDj0Yi`LKP|YCFJYVVaY}+wi~KJ z=mn3s$=^paWpY-yG)zSj(cnp`F3qf&MNzXezGJOadrx^C?cqx0 zWoFjCymoV?7%n<{Lb>k6+j;?&RJo3pROe}JWrFg|q?-s_B1K_I(NXqMax?bH*AX-^ zd#UUnKC*t6Uml~2bH1}Xz1PXQ-guLXgHmMF`XJhuoC@t*??W}h7Z*gO86j~gLpxOS z6)p2F^X9Ap0}STMaJ6((VLcw1k1v!>k9A91($9M+QoMK&qfx15$?t*eaXspOI{k=V z+UA2};?22|?N+d>At;fDA`_j9z8gA+8FGF^SXI(EO<6X0Pckh znnq8W6X1h+hCwJ6q*V;+^V*59-tw_yeu9#F>w%ms#|&tH zz}uyKxsMOjWw3F}pA3~q>C>Jcx2g0Dm_;C3VfT92PBSC=ViFEVqh=!uIc13$pJR2s zY^MX&5oK^OETBKd zbBIL2RUTi6fuUQabc8sQCPX3&Eh9>@1G9T#8QvkFhAm zquIx)RfV+9O(~l#e*E}sbfJ@(rv0Uvbx+Q}+W#ofbZ+!h_dU$YFx@|bb|F{$ayN2@ z{(fXvik0RV9rln(l*bNR^0C3n+%H}ti7CjSwAwmV5R#?BwZZ$_`_&f5vPUT$ z>ePJ&!M^c_j>UBG<4bBM&x#k7?+Ek5gH`>j)}M>=g1tfpcHT zbr5~j%FL`?JSi-X_i#&&%5us2B^9w@n|K1%FNRoRvqH+Lt+E9(ospcWpO&1qtQ75v zmrbuaW-OVh!S3aA!KHhj)o(V^4xEeAQ$&e4G)=j^k~C`330D1`DrUm3y?=2d{bG?Q z9x)!%RXLW9q-mUYPqKM7HA0VEI?<>7Vdlpz?4~?x&e#lZoqKQ;rstP=ixZjEw)By3 z{}<~*=e|Q-bG<&`uZ$F@|082rlS)C%{C_o~V|B#8q<6~4&TJ_!Bu+}Q@d9^msTdOB z_@$>%1LcD0L%c7L8iV0=lg^v+A}5mE^2}8K&ARx-yQO2waznJ~nw2a$oZW6^xgxu4 z-6o}5Sf85ZT&qiL`)jc%IWRB@+3t8YP$cpNAOtKQ^#7f3^iYT@biKn}1lK1X$hWSK1B7~@+iXyYn+?yP*&f?-VC(XW>z z4C5QLj5ev#57BS%c2AO3{y3Iitx=35oTkzlWbXN35UOr@CI$yS|Q!CV=CWvGX8fwjBcaRXlX6>r74_w?}YB4TsbT|4mz znX~NU1>AB$-1PKAJ77S66yPm&SJg4ASQl1c&7Cw)+j(^)1gczE3ZhVh7t7LXw zK3L2BxnQR(x`AI9kT>n8)ZbpfE~DfTA8dp&j6CXOjER#?5$I@U*pg0wq76mG5)5X} z@ak4m*Udb&lP1EW!;%huqrtCTK@6SRm&W!V!pW<1!HV_bbjmt;hSB+ffqZO8tB>~R4ezkJKmGYn zKD?~$=T{&2?8w>Y8oK57DS?3-VX(gDl)|oIN_t)$7|gDcoMlF<8wJ9a;<)D0ZxhUr z-FD@fETkKc8gfJhnuX)w$(7q1tRMa04`VR-X-LR@PmA%xFn1xRPSe-mk&}Q5Zj&N@ z;&dl0*Y_>zWvDcsJf;fyJZ@BpDgv=B56*HOUb}^oKEGdtyuEVP zp#g_u41JeX+V3v}V_d8g<&%%RktEb%ph~Zt`d;Q9tNF}1Pb(LRZ)Fbp!PBE)M?+)l_1?N(r+92losOqg$ug`uK@+qI?=~x{UM=NAsflCb7%z4Ga3li&oVX(p zu%5Zf<{v~uiP2zeUtXln781(sGQFp9A*RJv!Zrc&D_Ntu6%F1VZbR+|7^vK~xYpBf zg=?8=XJWMol9}jai?2sBk{Gk!Sc< zI2vzQ(nrQ>RP`I{@WLnW;*h%|vYiC#zbEO9K@p4&g1jUJD;Xde{tBOWw!Pesya2Yq zj-p{XIDhP0y<5yP`1Qj!>%aZdw}KS?`BvQx7z|@ox-Ajp%I`PhIG+=G0P>wpoVZxN z?!QiONq_PQpJ6_c9;jXvdb?~`KFzQy10;-Ga&&zv26?Vb@ca7ZBe_fV^`unzkx`Bu zDH&fIAJp<92SJ%rKD+W!v8$xIvU8SM6*q7S9))Jtniz9DtL1R5Ln$~-rW%(q!Qbr| zTs4Bqj?zTB31wt(1js>di)eO@KhKat*g&Efc*|P-@zHQnba8!vLFb$T@7bqc#J1G`|!^M-k{(>`=g3=7=mMg?*A z1Z(rrf!VI4VB%OJVavCHHHaxN-pmUyDf|HZR9Qe>wX0Xz;XUI{7Aty9(hBX(Jy~^R0CL3vM3uNGo zvB)hP9F?U3Yst>hi=!I|q)TB0M$vGg7ne|A=+I~erGZX~u@YbHBBZYI&H&~0!CvuK zk`=nAVX%iFP3GN6KppOzG1T+ldt=YH_tlde<8ab-Q<5JMpp)tT2xa%rB4{-SzrC!q z!Mm9&70MU-@1th-il}dg7j?23PT1h~%z~D%Pw-!mExc^N8Ty^zlEr$Hvv|$v@Ct6< zd`sC0*Nxv2J_U%cYQR&NzlCe4cTJMG2i5PoJJT8R_{DI>S@pqJ6$vMlnkV)Euh zMwQ;Yqn9TeM3%rLzs-B!_?;6i=H5E3C{XbM^$bE zIN=QNVp~2nq`fH$4TNT80A>{lt=zYiGj$yC{`L)x^8JlDM&H^0bz{W9#z^u0yfIgv z^RV&+cyI`LynOrR#S8G36W1w1r!2Oe(cMZ$WvtUNG>|zFLoBI8)Pd1Oml@VSd`RJ! zKdLrwzMR)3=8=Vn4i08)^n*bQsG;HTbpgkr1swaw0$w?$8WkR01F+3+IU`Mb_sN~< zt7f+XiyoNb?djk;=|^Cfqe|_B;K9}8ZqBm$?fYI&!3*+w559UmE=-hI+{ci~x0ma^ z&w`h~YcE8#pznJj7Qca-(utyOSqv#TrztN#QPl!(}1^sK>yBH$qo50y=X?U~*k z79~=O_@o?t4uNRK2pqNG+VQAUG4M=t>NIUfHnye8r57C}9u5jrOby{(61bnJO-*=N zE!y}+_UQPNapAy~iM?pi6$F^ypuk*OR&L$AjhEMQG)720d8dPR4Nh<|%hBqSi>`ji<3Hc;{sJ7O!9#J$(e3u+0!1i>{ z=ApzikozFkN4WOK?nWU)_6<+ zXA-05mSrm0-zg|*^Js>%@^zcJUvl3qeZJd+_Gj43Y6kfEX=ox5?=euU*FOXDqIQt3 zwXS7OfCRitUqg2`_*?m}BMuT6@o?^Br51SV;?iZ#nqh}yAh-iOV0$A@PyAM%&sr0D z#CGx+?aa0DcFf+qHb>6_&wNFf4oW*iz?(RA^Qxzo+#nb0Jb+9e&4m&Q6apSn6qatx z5tT*AS}gh8FUT#!?kjjons}T>7-XScSZqmp4Y|aje^&9_gWHQIXlSmUfs=|9B*16D zDI8u|$EySXT0V<;Z?6p{Nc@=ZYgK5)wedn~JSGK<=FmV0^=i)Ffepv6Hm#n_59_Zl zNZhZs=MCN2CkR*`lGOgZ=cL|6u9>G+T%ednfaXc)q+K=wE7I=-Zdg zk2rO<6;~wB$}@5i&cA1}rkJHaK|_yZyg8+{n#kvBydMrPmUmNkR&db0=7M;VQdC~Q)r0U~C3S%B zD2340>R)jxcU)_AmcBA3Wc~DWUq4*rexR@l`WB&z+>WvN4JkkC;Hi5)Kp9rK;z+cS zfg%SYdv%`5$6$@+Bl4xoQ7H6zrgjfnR-yjo6c43FCV{s%XW_^oG;jCUKh`o@LfF~) zcTHPA)Ks{#u$E%l(v3cqNqi_s`#6|8Z21Gd1ZFy7*^y5F0_C+@li4*rxDJi{O-<1R z(_Gs>yzJG2xa~j{ZXZ#%ybkxPKM2DpA&p&UNCXo=dn{D{QL1Kns!ViS?4snOKsZC% z0RClXecNGUcvJi8{b66|_&KU=l1oqvxL5ssq?DUiQvR9=r`lP)g|e>mdjT-5U%hw8 zs`r{0^vB#&D+PuSoDASoa}=3ALAG;hgBizL0kX?!-xD;6v{ri3UYjctZb$uRp{L8Y z<3>BFME+R-|Cnf5>3xm?egT}in;=vqfiDzL;UG@{rX!Jv`LVj#Plv`4lbbb}F%O-B z0%!|><7Y%}dle9d?t{fvWr{26n3{ z8Yqpc2Vb#QQX|3Cq*TzX&%TGe4|0aVW3LjG+kYq+8N;H3pn|ajv+8x}MRPd}AS=&1 zvUp_Ke-`8rZMg`&Bx^z7}=1!oBWfZh!FgEt8(bhx^B0z)yp1ZE{1 zp0Q#-OkO5l5JoaY9>L1*AVoPS*(9>&Zh^T z9{nfx`vfKMvHUAVOXgJj)g%2caJV-8l-pY~UEr|CZV>wn1kM3h{?DIMS&bm#SETU& z6c=21k7IdeyK-n(Y1^5lV2|_N*7?AG^pQ+J+nR>;xr6}*7`L=B-h@7~PyTrVsT)DWta~UyuhPA_hccRT zR%FbQ*&p5oM0sK!qO+c!@kM`(9!cKW+OZM6hC6pQI38Eirbs7qjirN9TKt>|uam6y z(nGxNJ|mg9QP{hwWCiRzg-|!Tpghqn)#G*T?UQtq{wnuf=HE9KRMvJ=1l-rAl8FZ3 zL1#E9{lL5~3U$nM<*)5g!Iz#f?LF-hKieGTb7FqZ45WnnKib)4rpMfZaVmo zD--UIfam^yjcASO3>TZMFKzY}xp1H_>L%RjRldGzH6Grrl~8^m zd&bxL>rBz63q=G%Zt}FH^~D3)5-9?%Tj{Aj?0+UJSGW^Q3(NY7`zep=J((NY-4P2f z=%*YA%DC``JuJC3rp3ZCmi-HMh>{h1tw31vKheUq%4TP5lKZWH3%@^&T&Nk)S5{l; zSf~*&;<@0+jxm}zKjBH&dS3an9sb;s<9MN*_;sxw%H~b_to^OXwKU~e&L5EIFDAj<|B5X^-yGvUKD>bh*wtn&;&eUa5@UIsc?! z`Qf)^qx6!H?(q)Q`^!$x_V3w){!R+YGQU;!3cTBWDf=^7?8wAZh`k5{>(K|8;)O6w z(~Hh^)8W{C!q|V(wD}ul?3bvz4t&L{1xBZXsVXZR;njc!o@T<&&<$rTvFIwUCfuJ+?pLi#5MI|IHF9WtEP!uZ1Bu z@?T&s%^Bai%DRfpSiitPY27oEUsUd2b-Tw8D2KoaWMo=dwt5g9`^@F~ZFaxFiI~W= zbQNk+Y8(Q)+Nz)DV`?Jw@q2AX!X|CX`X;6&QtX%)ux|roC}dRp11IE=$vbOPbIEpB zBearxt?;C(tN*l#rU)v}r-NQjr0~>TU(T=SxRQ*9`avHb@mniG7CZ zi4q7cijIKI{+O~th@Mh?qY*Hx$}3a`9?k3(UT0w&kJacH+Ba^Lz3BQAA{%%xS8hgU z@^)8c@*4!q_O*kJI^o9=I(aWu-DKg3c2eL(DzbeRKAIQn4KRr1U`}z5D)};#!Y%+z zy?5y>|L(!vCw0U?-a7oL7H+w9n-|Ri+c1Mb`}~zg8drErs%qmOr1}I`f9NcLPeT5r zL#9U524n_nMtKgs0&l}^5@E0}7r((-?Nv#D%P2L0px}MTxYn`l2AUMb`zGn|KfD`2 z+&Ms-!Jq&6Xf6W54v*6l;pzX#1A(0lQgM1o*v8+f9M5~kqvzIUCJ4rF29+niY~~6F z2Uj-8oCVl&YKh6qEC*MR10xW#ptAb{iZemwJ(l2CSo-s@u^2lVdKoq=x6!>FaA;c6 z>@lp8PkBB+RroOZR8M$CFJ)pWFNe;vthJD>8=&fw1fvQO&m^tnXMntC^6BKm3418E z_UJG2gJxf;uShRN zQ1>Y~G~HDjUsi_@?Ech}(GLKuH%a(4Sij%~aB_19RyUrCKE14)ppX)E86Zd`ss+NQ z806?8+3yeWd-4afmu@kyU`B{MIco}lp!mKi7$2!|0IfFxtx5goWp#+N51Dxim9@zB za$E(2xMlank(Fh>)9KJs<6*(d26^q)OfyhEheiqin9q?E5M~>{cXjIN1%Xp5#Co0& zvy$of?KK?-TD47l$R*ekBst&EjNbfno7((wgT~hLJkk31;!gDRGPb*<2@0|1-72|3 zP~(T#l?i&lr1nsW^ukl|Aa{vs$sXG)I!Uoz_4q zM9N(Zy`N8G!a`#lfDsld-LUx#gvB9y-L=3P){!$#L%@r$2Y^6 z*W?wMa94=!Z0KdGm1%a9OHrlHbbYM5(E}=?#m-EPI<8EIlg4lCm33p*1fZbVE8q4( zQ>NUMb!$TI9)S(jZ&NmtN>6~2;;}!Y#a60ka>dr)u{oQR(GB=&0sJL?DRB)~iSu+S zh-F^p4lRiJ9-H`kc!}7zdCTj(|xA?Nmdh*o^H*Nclb zT|BIgzqY2UdnsVf;4G_XQk#fY7sTTnGN$M4uE}={i2zyqzFCB`Qq|RAyN?H-E8fkdZw^%u@rfx90rt4 zXW+qY_=!*blc6TQ-yLt}77rCBO1seN*_O7WOiHmO7=xGzQ0Dae0C)tdh!%r!a4g7R z6n+NE_LvD&yKq0M6hj)%UZsP{Y+t3CoauXvS2knGo7uS zMEHzYLE;}K@?@iWn@6*(m5D8DC-aNI)>l}BVMh2DvAlumsL0LAMI=fD-lSUB$R$Tp zH{y~saZatNEX)neL^WmH+v*3d0%M`$Qe@ZlRX@|-!8yM2iuR|6;+toBo1Y z%1puM8CsVR7lU*Mt@w z`%`CVLsb1omz;7897T#cdOTDn2wm^TV={TTcVN4X-khWKCA&NZpG`Unj+o>m2+|qx zCXEMKpLkWiw-@xTe5AV2uFItazy>^}{?Se>P5=S}YBW%MONHU!eM4iPzApm6N{fr3 z5%gNQ48TsHB7u2A9Bq=@e9TtXrYDn|+E&|WR~93ErNMB)=ITj+v0MJ{83qh4zC~pa z&QTcFc^_Lt%U!KQPPJ;AGca-*RK!60q5t`QR+Lc)wV&X0a^)jzaot6?jE+b_tqX~R z3~_XpTDn`qe%#wcfDvRW%R?=-f8w=Mk#j`p71D zOY)=*T|RpDx=GGm=IjCdx%A**_EV@V&1V1$h(1m98VxD8)Qw3Ay|3dB3$0y}Z1=## zII$2XRI}O~s&L+(^w3C6-GZO8p%q>${6CVyk}#y zH2#Tr102rZfTdDCz4Hp&X;8%hg9W#LY5n|yEh37R4bT{h00Cx)Gj}YKXD83^#S6(> zq<9f3y~DbmJR~E7DO9=_*$Pl2#GMe7-KO{(0H_oo@%-HoF~5Jz01M9cl#|4Wp|@ag z_Z49;NNO2qMvpY|c)=b)?ZyQt#Q{MG=K10oX;mc;B~#PMMij{v?yRLY3I;R2igZcm zGcv;zqiZwwCKhQwl!t`she)wtas50fx%ozDUsBiqmR#dK_mXP}>i;q0`U+gVy}8F= zwts11_28A3)Xc#wZ{|jGhqRVxo}d{JgwpG320#YZP8?2mhG8NYAUxhEgf6A)HX5nC z0HI|2FaD+;`60DNoM?u}*CuyBw4Y_uaT1{kxb1Irrqz2qp(r&Xg2qiEC3~(6^eqi; z^C?1Nr6S2nn{F7CO*Jq;B#F+wd*7Wm(Ct5|{R)#Y$~!a_S1?2<09G5H+bu0}#dfW%yIj{4CFuWIPLs6sW$? z{-0+v1mrNw)&G5?7QE>fQ)f48=a36>u?DFqjV({V6bYJkg^)B8x6nLfaGQldsVo<% z5`z>p-GE?yby7d_21z@*pFn++z7UirF0J>eKol*quU1BMIz4ZI+Oa7Rf)O{7IC2&) zpfD)#+KDmsTf5teMss;;b}!@F?!?^%t!w+1I0G`H|7wY#hMi=&rEOycF-~UUhPMo+ z>QjGBIrsm!R9lsgADU;z!I-8CCLw^Lfx#SvSld8Y$9|I_0Lz#>5d1#hz8h2yc7nqZ z7jiN|ks>6bT=~KVKKNVGN~2n#VYFZ%U`guQ3po!nP7hPw_oH$Ybrxv+!jqlHB#vAP z6~UKRD7_;bpoxULw$CU*j7S0UqE24ecWVa{YDhN%91>Xz5Dfh{RXM;F+s=}FBbw}& z>_(i^*EWz(xy~y4AFco;-mef#tr=yrt@O-IugejESy$=$oV=EDPh54iW>QQuhEy($ z5w|6%aHsu8NF1WtIjC$;hb3cN`@AAxkdrzi&WKoueXLbyJ|Sa@kh>r*a?cY z`wX4D0Ub@Mklxjsyo}jmezK`6Wz$hSJ5e>L`t;ax@y%xiYws+sAP`ZstdQ_UpF>;& z@WeAUum2PO1}Nar_}_+2auDzB!5Tp+ElCch0fh`yJI;^1%t9ijoBy<=RxW0lWe&JL zY&pc5?{md#TP`$^A0%ZxdEL~mppED!S|m6(r5p9`Mj`K@NKAO5DkeO2CU#>{+3jqc zo-+J&rYw>Z6l))Dyzy=}$RiNn62pg-P2I!$`zZB22zYt5g;{Wn0g^;9s0#C;Y<7i}%ga|e2(xET&_bE2HQS5s8C#y$j&j8a z^U(AYWraqaX0)rGnR?#MdkeUqZR-x;ae!u42_!)KofBOvF!P(`R=li$NbyjZl$g<; z%h$$CK6u$AnxjgyXTx{sGKapM8OjT{Zg(AYHmXtZmUkV%GyuTz@y|_Vvjq7qV--;UU*V8LAm~y%4X)}*v2k%o?j>e8&1XdMJ8N6ptJ239LkDVH z7*Zp=6n4gmtr46v>bjpVAZvLTgTZmc2;g52WQ;}y0a0?@GIIn)qp=DkY5Atw`Yt;1 zjAW?Th?8;ORYV{PgE4s(A0re3@O#mTu19Os8Y>q~<+$(3mOGWR5W|$luDw|rfOx#c z3FUlpNXC*rMEDY@QxMOTQ!S*~ESr8%)q#-q@QWgVW&t5U!9Tk~U^E5+A5w?xi^YJT z#Q~@t_N2@oc@!pIiAKmW-L9;j*T@fkKUby&$UM4cS;*mC48g%O`>harb$aQ|LaUMn zLKW`EwcI0<0Ui4>v8_2)XYqG!z*_~4#Wat!PLdF{9!yDXA$JiZtLm;=`?m}ZFtmI6 zL_5q>zZDGYLJ8*hydEgGL^6MT4@isuky~Z2r6@v$^Ojde0%QQ(77Qsw}Ll9MThZ8lxl0 z<8R1AB7$#5(C{ni-Y<4Tg=SrixP6$2Sxafcsuj^!!Zo4Ifb^6JZbd+e{%vb`yAuR; z0k^*|8~rAf{)U6X9&+~b?Nk|&&Pu~6Bu=xWZ%TF2TR(C?Lwjbsm!a|9#f<=MG>IOb zP>^iaRnfUUY5v8>3vqM zX=DdWzds9XEa%HrNS(pXU0OXLFWSNbaqi+_dpms(JD*SYje<*sqGt=cC2hxb^Pp%w?JmHG{DiF@rCqZK6?>Rj1 z4Jpro$k-H;CxeRx?28VFLpj?&RVGj?@Cm3!Jtj`pYxoN(GvI>u$s$|r|IIF5kcUA6 z-JKz?XI_=t^v3^Wiou^TJcwwJ8}7aSe}SO>b(8VtGQxV1u8NdCQh8R9FsXUFWTN6I)wOg@!WpG8TQcT0ws%jwnV5U+{eF-4Q;8*mYlkHwvS+GSsGm+dXWZ-O zxiw>KJ(F}^y`C9U%r0IrzC7b0X~}ti&1T{i`*qVpEIA8_&j}LO*yHme!k1cdaI~fO z_S?kU5&=cs&*Q!ox^;4Th73^9^#q@qI`jR_+?!0-jMnG8c26l7%=*qE zI+#Wx>RL_XzeI)G9dk0v8KMl^Y~Y%d1sU!?el*xMUANF%#cFE=`1;lPcgf+&)NYod z+|ND5J)hftkwzFzWU5Kl6c)wD6-i`zXA*w|0V>2yx-V_f!L)7fPsYBM7iJiqMS2Tk?^+ppru za-oig|I$MSuKz)A^eMV|-MkWXW?;wVtRD8SKh^8(BhIWmS5rlIJmEh9$`N>7~JQR_c_72o)GVHG7s1qq>rK8w$g zES6=$cvb$8sIZRrR;x#m80?spkL9SCaCmIvIoN-3Tvg z&!l%R&DOhyyONPd@Rse5V;F5h`gzj2$ldUmP&p*kDvZ(m8h=}pls$jyD5X{VV;pEw z=;skK2!s9+(9rSi0kb!n3LdT}EId}&r52 z;I?MBW5r$n5sE&l(VgG&ws{P8eXDs2G$&A7E7&@~%Ig3`5GX9W6`H10z|{2oW@`<0 z&ELT@!=YIzKQ1%mskeuu3153=9=sa?-79aD&CK3%4tg|JPnow(<)Es+nhR;UQ%h=} zg_ex2aL^%v(0rgiPA?%>KD;g4xyoO^#U*DTWt=KH&29rF!*2`{$rqbcuUE0|+q8$V z4O%H~3tg4WpncAG6j0wlH^~mi%B0K(Thf;@dTnP56eWmjDf1&mQ)(DLy?+mB1OKfN zCFN|YGm(o5n|&Gk(v^}(%Por?>HACDPoHQXF~*Q72qf9bz!2aePV5%ecBRZ>;NQt5 zc0O+sOg{%4a|%e}*SJDpcbMNOS!>YR!s!wjA8c9>U>tNP(1A=G!Fmag#oVZGoCGF% zr%GBEL|`kh^2yr^#zH4qfA#$04E02 z8%v+(pXW_M9sK)-77XjtQRD|7MH+txl$Yg(*FV+kIa>$TnCzgp2rB(CM>EfZh9Fdy zmC`8HC7|>EuLcN!9W@PqK=wiQ>jRej3b?D_YKS(|dv&y|U5}t8Y2AU2zwgIw|7TZB z8Umb)BbIo~`zuUMQo*NqLMP5nep&|XBIps-UYL2EU!Ch#q5w|2T0E_MdZZO^dMq6J zm?gkUxpnd;FDlpgR4>Cj7Iq(9J$eUq&uC#+dr6oFG}+@-8)bss=)_`ZUi~M>aHmSS zH{rZ}D7b$??X!;jXVKA#WiW8i9_CBC;eKEcYKQ%16=yo5Nu4ioo{2bDi)^UP#`uLy zNVh98J@N&x6NPYXA5=)4KOXkgQjAHKl5udW@iDcTeRY-)>4bG0@YE)#LX9|tcyW`! zNvWja_CLefIE3X1r!rk$91iK=f8n65ws4y$+XLK1JNkhE6KLpGJ}D-TJ3J6lxzqWW zTGTD41~LwYX~KhZ9HFO9?;p2o6bVuvjUcOTW&HFLPx1W@ia(UkZ7CM42sx(KM@+w{ zZ8DlL2?06ZY2x9@`&B4@ZaGi}@Y|>Gz5g0!_7>e9n>S`YvB)?rq`C<2$QMvP<4!=| zeCytF{>@0!%lW(Sx3Od)LdAgmoHZ$wOmTw(V1JWs@*=V^FD!kzvC5m31&TB3jd{pf zlVX*17;w+(u29_>>B9SSm)8Hk4L`}BPnWvU^(AjN>J8EM!l3Bx%m7_`k!Vy^s(nR+ z{c0~k=>x-6*B&k_tTCE*r53|D)fI$veEb@XaT-NV8O^9h|iNHIUV zcH$NCp$I4H{qNdx?=ksj;HAvsL*wiJo)7?<;0ymm`b7^+#PP6u&aCy7Pu3=zj=2bz zCATq@sFav2h=E>m&|sh`kFP}7h?#)qa!@L++En}$`<3(9A}H_*#$QTftbp&-LmlnY z8Oyc{B<}l$zBMn*|Tfl^ku*@(1VhR$j!o&t!Ivs?jMaX}M7L@CZ8lZcN_t z5zt%|5d{inb6PI!dW_nKjJ2%h&OpWbU`1?Q3TUb8b4ml0Eoe;|NfpsP&CSZgjb{#! zX?cFPEr?ve2=3ce9We9&A<#7uiz9m)4fmj4()|~>$W0wkNJN|kU+{&x`)ZE|Cme?Q2>yvRfWDjO27`mR1<3T7wSAWs3Df(V+CJQhGVJc!Hcf*+X|1bGnj zxR@-gxk^AFRNkRs%E(5soMHDp5w*-&HP#a*J5*Pb#bAxTA z_wr};THIc^uvimNCfB-j_}*5?QIrfL`(97)^UI$rV)SzPiTS!MO&^swNzJ{Er%Eaj zR=*tVsig5=hGC%a;cds6Y=*FvE1QL+rDq*cqx*VA4wAx63vw5L#D%iB!cfR&=7Xak zNZt~Ncz{_1R4-LU6wUV^4`|w&T&o|-hqEXfae5k-`6IZnW_=G|o&qT+xR&P^7A0nB zay%WN`AVaZGy2xJ)#kwqeF17{hWo32*698rwIQ|0?^C(P=(YOFQz~X5uzD^9lEEEj z$N`2NE3cLbHib^#r7`lw5zwWaXV_tr;7{Q^$^F)|$rZ?)bt66!!6l$v#YK`lO;COVux8Wkp&65G1u{u8+Sw}v)Q z27+Y_Ron2}n01S11!Dp+Gvm}NUKG#(>Er=d*QwBPz@q{&Cq6n!c7rLnjmN2~?&AH% z;~j32HK}r^q_m{v35X0JOso})`B;*j<(vZ0UXAz$+^PQ5$p`0ZRVai?m^%*m8DFQM zgGRwfg_~>G26sDT9E_urVQu?tevN`IT$okWS(w@nCEdF83tvbL95W$c?jz{(4`Na1 zX!@f(PL8l84pdoi`(*p35r>ncwy#daMdzSFn?_;d$?w5Zi>1P@H0O@A>B-v*!aB|u z?k#AW=t;6Ivt8d6@@uM+GQa8Glx@V44I->g9$ukCCTs|7D!6QAv@OF411R3gTkJRYXVgz0YamI^&Hz^XL7N$&ig z6eIfOB*ln*sFv3wyA|KzKBb^2;9a9r&8m8XIW`WpKQ65p<5#bH9FuH&?K++s+&42b zmeTN4@D{F%XFLKg541@`&!B z!st3M(CqmO(}Z=y4Q`$&8ik0~fcxI<8%>U~!_s?KZPC#KEgeKZ zL}Oj-teJ4MS}`DW9A>aQbyk`$R9G^J<^661RMY9Kp{B}BbyEx1u7C?#z%2k!ANv^Q za-mh^;pi*6QJ_^9+&_>d(u>P8bONe6aAOv#T3!Van`3 zj&U5?A`@tfz7>(_)k0yk3mYy>dJ7N<=8-S~Yq}*A7h2j!__X5Wd zyUb}B_hN}cjguW~j4b7ze9{H<*q`TY?!Z0TiZ@v4;nx)N=HgWkzPbVItfw~l->j!KqP{K zA|SmaQl$o^C{kpQq96lAs+1rC3L?^^cSk^__x`Ot1BeE5a?W>t=Y9Q4VVrs9+56dh z?X~W8-A8T4%q30V%0y!A%M_C;lu-EShbvdD$&AfR{`01Sr?~%=u&Gqnu7_NU>Ke6+ zgk+Ba|ylRWbdC?HCbtq?(on?M?dC-W$cr6Jc4^F%nK<9 zS!vz?XAa#O%Dv>X*MZmaMX7C5f%ZKIl?Qv93!}U|rhb4!x}~3cawJKTAwd4x>b#seeU_Nnh>#3gZZCXoXe2lQ>Lc zJ1caBfs2N_9^^E@AOnm7<^XT&YhaRbG{NF`;sF6rwVCcZFZ916QtuZyym#+e;fD2k zxJ!m_H=6>kKfIRrLs^jAy z#MUu{IzJ9Q_h1I}<4Bo;YR;gDd49Dl_p>C^^fwBi&x3l~*3%1=c%n9`1~u1F>d`RX zG~Fg{cq8>#d16+r@u)VTOFMS+rBx&y+oS}5SxSj1GGj0DGykY6FR#r&1Rs1RW*Ps1 zl8%+G@>iBR3aFk;(q}ysbi-ZiKKe*Qn}Flmj(QMCnH!4F*i>5)K|ou9FWtRR21g%< z%B=Qbp!4vp>$&>uvjug=TSGf81h6^v2n(MHx8(Y*fz_Q}w`z+=m6qpH}G5mDf++!Q+xIG?4K7+nu zm*1&X$*$(Rwb=w<`>k7kL*3>?+|~HPdfyTf+kYj4x`_+)=T0PazL?83~n61 zC+J>dzr1$e_t)?+8PjKLbpmQts0jw(80bb^!yXSI&;2Zn*yOXUNLVBA9~-uMV>%^Z zN%r?NarKDAb`LPHFh6xpW~{W}wd6jiXopj`UVNl?6y1|gun$|K&!wk-PU#Tn8H6S{ z%`kq?vgD;WJ2R$Z(2PD&K30!1HM1$IOrpmN&?CdW@m#uXBh~%i!>x?6p8r8vIuMuw zSAuoeP!*x| zL*K<$IkMkyMXOsRAe^q_a?_-DE+;qNLED&YS0A){Nl?Rnd{;4SpysfxR01F2lTton z5^Nzag3eFdJp<4UpQ6waIWBW=A|8^N&+MKu2M^=P{#9EMv1IFyN}X^k34>{)VgAGbP)W&w7{d(;e%n(8=hxvf4)pEsA> zXR1S%8jG{Nz@j~D3SvW)su$6%ac-;Jr(ph)PqjiN5IPI4vsVWg@cTvbR21( z2x!gEttd@q)GgE|$f3-V_24C4ZMPcF>L-eJDlgDvHGHMwbb0|QdyxGAJyFGVYXG{c z3fu)AtvBBwdr`7m7&+u=f}jo1RzLGArHT}V>a+ucJ`KIzPcNlMPGtwfUA=7>6A(oU z?H42};WRl$lze&tt_%cyc|Xa12l2ry2fw(K7-IQEYvY<~rsz6EFFHSsoZ5%cDtYb$ zjM_@Lds!wOAQWn>`T6oy3DX*9QXH4@Te(_3c68U6Xw$b(9t~NBOr$3wtyW}ac8uN(?HTzKz&FR(OLnH_o_=9j01<2xImu>KF}x?<(W`o z>uVZwX}sU{Vmc@**vhQUAbf^W z`K^bX;rY9hrW1wBB$5>2ZZ-B+K~$tYVrO-Q;*ajmnrGxKy7PEGEt{{rTkmthX_QR41k{Zi` z)~7h;x0g|=r4^Tk@4JB|DA1CYLLVG47_AJEygg=ooI-Y$bWK*+caP`F)ar*UszTNm zC*_=FyDKSb?U$~_=oMBhSaI0jYg(s)+@lMf^FAzV#-H19-EaZSwf2;bt;{cPqBbf= zUS&pz>xx}I12m9XYY@r=({kL&)w<>A@`^d7IwJP9V3}9oy1b^hKNKS4kCCxcT%dds+4qZQNf1y(Oye74Ul&_Q9B(xVmX(wpos|(aWn~_WJ!8#b-?%Bh z3M^%2bzJd~^_cqk)nOA5mc0*-uOI!f2AIp`lLWR#&KGQrt*#4?-tPK}Qp(_?x8mgN ziCu45Ff%CLTY#?Yw3Fmo!b4;cRAxsV@}w=+7?{Ht%wNdz@WKi;t)lIbAc*S~HD{_l6s_#k_hv9Em zdB)pvdv)u<{-Q+fXxBYZ)ESo#UFEfmYV@6*QG5#;qx}?XM@uy93v#%}Mvbn;bZZtK z!)H>s*sROwzA-XxC5>QZ*>is2%KoHb`%_@oW76(S9lBCIeBo`%?6maVNFbJu?+R}? z;jz=R`N&G!a&34`@=oF~^+r2*NU*FA+2!r+P>?{*bL8+Zm(KmQ_!1$$Jb!ba$-9BQ zpkdXs;wq1VVkk;-dzkp6`b5J{4kjZv-jl^H6d9g!KBmBBZRNIdmOJVCQN)6_!lBB! z4GN>&O!T0aF=TKNKmf$7v(5xktZO4sYH#?rE8UEHVzU3U=oL}FgCrAbN~cQlhj}2Z zez7*i4&GNvZwFP7+Nv$!ia0)!qi9usv^c}_DN|A&usS%ytTD>d0p!;vFnozXMU3LJ z#l2p+$Qica?YBF=w5NMe`FfVCSY2Ue*~3X6=oA##!{6Ksd^Nx&cpM~T1}-w3y|8U! zfY>-*Iy|O05asYf&m0o`#+R_E_UWmQp(GieD9RC(A*K7am8WnO2@=Y#>r`jzv`%_! zq!eRC!ms;mmW8l>pZd6T}{VBIXIzLnBVaaztfND811RYUI;Fi+(*SSKcD< zCBi<_TW>V2CFe>eyx4y(C9iYZW|CNy%l(LwXK(Nn#4VV+)Tq)DMBPIZ#ZX0ib~0jCgYl zphxflxvs$$S@x$at8kuEy-79HHPtxzCEs-RH>nt{fwrus@6CV*9%u%6Ks*)p?;JD- z!FdXqPfN4Y*$Lo-XV+!+E%(iZu*-^LjsCG$xt5PtNn;|H2O9AX)py>^>dr$6CO#sN zW4nw)7FD$2wqF@<^Wkdgyui`-L}t;jh)Bf;1fY`a-n|;C1a1qG z3UPJ@Zopt(Kxq3a@%d-qUm|y&kw*(A=dY7=i3r*a!JJ#u&(%neqsPKy`;q1>8U+Y5 ziA%)O)JBZP-RJI@Tu$3`LE9q6Tp?(>eM&kKUy48TQ^9xro$yu!(C6QHCO$we*E%ch z*t2$M`+1s`yn`$-wEdJb3M~_PLPeN+TeduyHiWUD9Qs|a=`dmPQI4mf3lQMO5cFqN zUcg<`Kjo&|%4fyibVj`+0esTd=Yjo?l`cJ~$qh(oI{-ramHJyb(Uo^i$a2RG* z_Z8#AYt5L2+*UnYK>3i#=tmif1z70R{>093+D z@|-WW6_vL^N(>Ir(b*PfirB)#+{eZq6F=a_omaZvv1uJ9bY82T{aS)TK9X}V=_9CfKyZ5c%wtsAx5=ORK9&ah z%?XA5f(mU^5d`GNL$Xpw-h~%oG4Wsy+`{vR4e!r)-YILI-CDny6v&u)~ar(%m6U9E6+tT9-N+#1R3Pagd_G zb}b~Yfh2G1z8Gf?Xt<+A772~&iH-d{n$_yyg@Hso2;O9TB<`q-Q`5K`H#fJ3p((xw z(#VMlsLhPfQ}(oY0|0QFDWRobDq#CwC8Qq;qFkGg0$PR!=obOELxA4qMEo?}!1;a} zBo0^}HSuipsle?3sM3XLJBWpe%O2zrE}Nx6di(@-XnOkty*is&cuBeQTyZTDe1UdR zD}*4qfu1`cRlkPS9xAe4_%`V5;yUzx|i-&+Cou-WI3M8c)%2nVqUp6H89UtOwA2gaG>0P}(G| zhsRoxR6cd+uD@4$m{%~EoM}eOCL)DtINiv|`=%4V5Pbm@-p^)gSWfIkt83qf%*Ksk zYa5J}jQfIweI0|e)CDIQdL7z$3?hwhRz?o|MWM~@$Skx?T^Q3p!1nM4O0~HPbddTi z=@VMbp_=vs;3(R2wM(|h3?Ri+{k?c%{1d9eN9q{|AOHdpAc3|twa!O_2I=~;*{yvJ zTLBv{3=JUw-fsj_3k0L{K;MtEvq}>n+v^`_>scFUhl<>-FY zs}aWfArP`Rd&9p{-Gb-Yeg?WHjj_0{kC}fdUjWudLb<@=DG%F27p4URJ0(v-KpjDx zC|gQ`;Bm8kI32z&S}=anW-6Hg^AAeW1f~?&Ijo2Xyy7c&cv>w)oe%|KEYs**;zL-E zd2PoIK^UvIaT5tp#lI^50cFE}2JJ@qb3`!)0p_kyK~6MVz+b`uDSpH|0;%EpNqFAs z1q}wVY{aS?pYnHy3Qm?g@Ib8wsC~V|%I<$U4LxgqmwPodi2NCdw{4?K{L8A#FZT3i|J8+_hsL@_e@{`z8NG1`v_6$-3F&|Vuj}+r z{HD^^^p|_v;&WM7mRFsfZMgn!F?Gv|wnZk8P)#B^KaKzJS~}*!1rA}FxT8|6L4U11 z_~zs%QyAkQcko7NlhlP=Kme4>zc4uX@|<2xU^aZlVZeHgm=%0VrfhaApK+ACm6xl^ z7kVt8Fr_|~+7*Te$0j=NyH;IcJ(w%^puh)@k|G410Gb5IhX4#Ozfw?wxdO4w=5hsG z3Y*pOK$%iY^(AIiy zc?81PPg_qybzKiozukjcB^){Ikq%d0Ui_a|_uaid5ZzZIHeahi?jR-Rtp1&Q3UrIF z(e;p8JA-GJjDfvBQftHx{WkDdEFCoo0!!!9p07YI%EY8}=lPpKQRT+r+gZ=EC+*QO z2S^itp{Ds?WK)i{?n{bO$a0^{tK<&5PoXL{&RnCbSd+EWR|py-F;&-3??t~auC>h! zh6;%*!w$py^A>v~ay_Y08nM0ia-%K5SK^SgW0@%MdOvlJo$}41gf4yAm5G)f*#RcS z;nVS)M;RN(HRlIa=GOqOP4^7!wxI5-i46=g=NX`>U`0i6CA0R6;+)W0WF2N*?K1JT z*hkxPqIQ)Fp)y2DI*d%K5$ypS`#w9#5w#av+9SFwmgSBuFkV|8U-@84jXI9RBKIkz zgwmjz8m%WMp*kE)X>5fEd+>FkXr97^fKSlGl-5tAkHOYQSXKZCwhW+Czz;~^FW))? z0SlZew@n(Z7uDe?Dec-4D&Ih;|3X5RuL|nYCsZ_WG0?O|K)9$Q{UfmRyEg9jI%q7Q zy1uT9v`crs5HV`OZslJ-fVdchmqhJmUu>HsRI8U$hFJt~}D z4XrPV^VUANrIbS(Sm1vl=Lb$)7Zj^|>NK&?unUFUaNtWrT0H1^*8Fi(UO@a@wx2&| zCzXIoVQTsBl!Bj@#AEHC=c104aVK`ajavGVU#b+=F|j*WVIK)f=ywyLtSG=igt^vj?A zoIUXHnd=5it&??=)-k%}eZVW3cuoHSl=;j^q)w9J?+7S#*)=x}K)kDnO`e79bG>&;N<8=BAb|yhye# zgV!%uoEfFB{`p*|b-46t1$uVzK330_6>FIUD@mXoES2^NqQdT*J0F4VFj4+^qIqh) z)@ftj2)G01|qjRS_LS9I+mFt=n2B&_c_m!?vsHvVVAFCO8r7+zZ z74($$ZD?MB??g&()&F>ScrJ;8#kykPts*DPdO0uyD)Pbm!zM1gta3ajBg&J)Tz-C+ zR>zUf$LXBAJ}M6A52%`_n@X9a+HhVG`)F54RY(UGfI^$z^mGn#1EIfFg;uRpSYzzNiaoxg;sE&xWNVszdtB^fU}~5#d7Yu$6h`=yBoQ(pM}lW1W0OH}9)^e1Erb zVdGrub)%2;s)pXORmM-mJ#T-cR~E2rBdhv2#vM{`drP>e(QYyDWazo%y#oZ-0jm8S zFE_jjD%=@+)C)SV$9VoM)pmrbW57^g&S7`CL*Ka_6L;oiYVExy8{<7G604|`T~ke$ zE$4J7>WRhM#!vV2W252x@#BHXRdo+>j=OKgn}$mI4(#kd-Rt6LSD1 z6OlU7W+Lm*%zKf1C{Oy5q=gfTLhK`1E%(j<K=NP>ix>Fyz@N$@cH!NWao{bN>&#Wp!{1clniEvnbPbdHyjX57EkXVr z6-&1JDrI7NvQ*54Ho{ZR#^v$7%A=NE;F1@VQdTwPMDbl*h`s{V&bO;$*H*X&0qb7^ z-(*R@8pJ;}v;}LfXFaRMndrWr@1GfrvpD9m+0;`WW%G^`b(r$WTs(?LYzm%G8u8fx zLZKDnln5a!np`Q9;ZhhScJ^^x_x_Lk8fE^?6qSBO45s$8Y{*W;&c$K7VL$ zwSF^GoFEyub~r80C^b^!{8EZlBO+axDLml_YJZ9Tx`wHrNlE{%;&+o3*Ea0)tLYYV z-WqqE;ja@tP|ymeNpRX$UzG@&id;Ohn<&5c2|YnfECVXyYFidG?MI=xfKtX}Ko$4l zQ{&{5p4~@*`UH!tpwJMEno=QG4RP^7qWh&7S&!JtMDB8=CGWwmez#mIiSKA6+;I7+ zPI$Q-)U)_f!KIDOa)MEX&_fH;1&YRqm7&^7Ks93Q$9>-AAd_}@e<#BGd$;HVegGLv z>{H;d`pZL@R!8jXw-i|bthgiv#Q{Q3DzyOPDQ74w02KovA6#yHK+FpB9?7_I3Dn_2w0qR9x6%W?(VT5l_LQgAIKuw59p4fU>);518-`IJM>J=X| z+;O%!7*OC>$n{+l!RQsS#bov#wOXFJKyZv^86+)b;u8)rmjYekx@7H^}0;c{NZ`Q*TRoul-@IFImjLR z4_#9KoHu{SdI<|)5pdVo`7p)LW{7MR4NjuC#Bw+*$^v?=xnbS9p9E5P=wn4d7DI-0 z4_&Vb_j?m_zXmuzv6HBq#?<#6ky{y_pT1L`{9l@@|Nmw1nmC#U=2OnPC+d#UEZ8ky z!%q2~ti^;XLGT(*-YgW58)a@2hHB?bJnhn^O+TZ*F3$yI$eJr~#*uvJ`N~SN#QS*y zk=Pmk$Wg8_FEzdU`%BR1N>&w3$;pcrIp~N*vX(a>$;1sUB4!8)xh_}vO7)X?8;N^$ z>*ae3i9c{ppHEyYN(C*0>F5@}>_JlVQ=xm)qRT~xR`J|A1lR1Y#NlrmWzFwm_y(c# z3$A;#qQgaD{pp$+Bg|<<>vwoe(IY*3!tDK0CB7M!2YbFWU42mOXL?Rqc!nbC2jL73 zW5%}1Y?>b1YCZs{XLY)Q1>5jnnGg=_J`sL z85U(F#aBwOfj*}_l|5uaAN#GbVhi3ikkL?#ijIbj#AlnsJ|Yuo3uq&QwnsY+$RS42tL@V5s;I}(2Fo%pM-wWRi zCrLZHwZ{mtzIQ`eebhT+)xda>)OW+@<6sctd>UuhVFRhhSb{AV;KSV0kzZ|3{|*1$ zVM`6agFrBd2@JbU!*zVhog?|mk1TmgdT{5kIPS-evdx)aE)maHLd{%Vz`2e3qS{Cl z<`Uf-S4a=DDPLnOMie`sg%11msa%#0P!*5?P>bI28&D=EBoM5@Vsim{5E=rN)#wYN z629Z$UEPhG9ciL=Gm@*YGFNikP_KOWZroc#Jpua|HnY88ql)dyVH#1)rQ!w_6*Tu? zSrYw8TvLDk96++!la9 zAygUkn!}|jCr=$Z9%?StMj9+KrkZ&I#853e_l5xm-s+8yQ|@_XHK*z#sEA@mu?0RP zX%Crdwzuh4JBXi&p3;=b+w$3zc`R($pTGEIFcKLU6ALU)l2w@$Q=6x-NVv*7(55;x zMM}#B40`k9^5^~EMGmH!TzGL?^*{gFb)`x60)boKIM>lX!#keN}2vA%Sb#fSK`L2Tr2 z4Lb2Yj4#U1I0=^Q5nh#1KXS!Ub?{C%kA#$Bsw{K7j9n`=YV?Jh^yk6uRRr;dG=VJ- zy(?&nu3I5*k5D?t5q=^tymUMXl7kgWAz>pIIYELzX!K5hB*(_q!)a^eqA?8RDZ=pA zkquKOgH^`hoq%QWRf+nA^7}vIsuDp11x?Rv7ikqbt{reV*a1+$QIx~h2-SFYU0VBS z&d{IUm1z>% z6ttxYauYdE4~J4#yW5@@$4nu2GbA0o`lwt*R5-&p{Ykw-fMaMlR|mE{%ig!+S2MI2 zK6f9635QV(!ICV^mMmoR(Tc8cEi6biu&jFezl&Dk(T0x3_x!fT??jI6BCd}7=gM6E zD~X$bzU%||zWRz3d*SIr!?Ob7h4Ii6r(1JEvx*#n7vglpeo#JpAYh~xV^yarCK$wy z7JXkh@d4=#`94`iMGbi=-GndmL{S0;_U;Ky+H{uT?fU-KEjlPl5OAST>G5KDVA?Kb zP$Gsl3%MvvAWtUH;>6MfTc*(&){vnDdnJd|`K4uMGX1lueNr`%eYaOinPqFS03VXa zg>=$=3??kIdP=;l%YRYw=-IR=AFrUVkHvBpiN4t*F$!p_s*4N?qjbs|K%Q^l0S}mV zc|Tlm1H|fzzzz%np{tE-Ujm_dC~=SRg~Kz?>Km2(CQhm% z>X8hn)s8WuBCm))8<2Vb)GfTVVi&(aF!r_QfV&PSrcQv`RbFHO&dTlTEje|GW9_-Z zPFdEWdx5P67(a!2=xOUzyNx8ZgX+-24HoBlrv`?GX$N70{E4%>&$U9KLY8ZwcXFTm zI4X=k<{elhfYz~Ib@6l>#%NivJX1OImydq-sQ2M3*0BaG#*g-P9R|k>0i!uUuw{XM zHgL{|AWX2w11{kw`|7|)KlwmUSlU@m*fn!ah+9A(YympKG%~OSG;;A>17J!Ao_(+G(#=&FGK{E;M9Sm@Y@wzU~WffinF*pQKBAzCE*Fk05OJ$ zzp8VVNGcQsuH_Kd2dia1tE`*T7fzxxP$HaxXn+kX5>coFIxlonEH1Z|1mQIL*87z) z%plm+7x~K~qYGm#-@v8vzMn2!Zi_+n2O&`G3WQ@V$^x)FfWn}_YGJG>K#6R2c0>+v zOxH>FbKeQsBXUaTh4cNUBj(_^KJ~AD?7Vb>ycz+#0Bb(<0-~|hj#FQ^K!?sT2o;jb z0~5KF77Qw!=~PW_2G%VbaD*BhSQe}92WqIoxxcT~1iE`k{+ z>RIE1bHW%oK^in10oW94?5sepD0-65zDGj?rX(SEr8tB5LLcf5lG3QcIpgV5QH!gH z!ob?fFHpZLG&2p1o1=?b4Rld+Z;tH7@gXxPQSH<5;c@Ov(M#~c zcDeSp#AJ*a)n0KS`k4x0ueK{vg3{}M#K?hi{oiwKTCO+*wSBbEBP)_F=*uX0E=#tz zp6}p#WB9fg+6LRHaKACB(F%>*)^hlPj=?d(>i)6kep9@LzGky)4$ImX5lZK8^`&HHdf3y)AChA@a`SWpAy&VRXa&$p zkLowjdjI9X5`*&rRSPkviQI5PbPf=l?EngPdqSQbQ}rz3D>Y}VIguw8KjKX(=R?`U z!_SQY+>N|^#HWQ9-5{bYWBXuh>xzq%J6psLU~Wh-W1s01jOAc(;T?FPYLPB(oz?g6 zsx1N<>UxOIvyI4)UFy}*X%n)G>*!dpo3V zBnbaa?85HN73qfG$`UbMBH$ziirK%f%pfj9Fxa0dGn^;y3Fb=qVq!VMk4P(eTXd6B zbleLJ*7%p03%%V)m<7`)1W7s`oB(oWPj`#t?TI~{D{-sg%Wf=|d)8#-vqV*V$j~$M ze@=ddDh$Rhg-b1#H#FtBdN^~(R^Od91d4+GO&8Pw3l>Lp*w*fM&6hs07QSV*?-UTw zc;ByK^n1BwnEMK0?<@g=#$^2N~+nitM@lE$uLMudYa26v#N z;%#3%K<>xaj6LoLR)61CiNZ{nkqh;=Mcrl=0nqnc)Vz59I3yMlKI$-hN-RXXqGbqP zR>)rr4xx57p_n6hbfRCjIJLjTMS!LFT(zGv>z<31pHqj4Ko~;bmw~4YGT-8=8bYS+ zU`eVfyZi3{5UK&TysPSB2T)7(#fA4dp9?lHnP#=+bZ1}i_74$L{_k=Kp=OGe84p@l zfORygq9)!qllXjvk^3p>8A9<|Z*1e=%eX48UV+oX}&jFHD)b;0IHJR3`hlt zeGu~-fid7VQ-~7){3O?%Y=p-AEZnqX7@RrJA&l{E(98qmRPM}oAOU5YOB(dpWdU7K z-7V?};*AIjB`|^3fADo`G^a*YST+`H1eF@R=iTa*-o5YZ7wN zRpo4b&&A&lg-&@J>(eq;IZG1*PB4WDQbky>l4*l(AO#jwEUk|hdK(z8^&i;LS>Zn) zuuV6W_hOp5;n3!$TnTjwL|Y(C8XlN5*NlxJp|2@qPB6wZDf3-S890t_G{ z71Pk)@?KJNb>|(>I8_B81PNhagJEE;&DwyHiEZFgLbi+5pK{?klk2%~Q$j90&{`TO zAf<3>=i(^xpe`^zPQ0<`X)Ip7BNAQCOYB|u@vr0mV#NK*WuT+9}Y2{zU6shqLp1}p@`o)D99CIL+HUSC?v zRrdm=TrO5x9FIZfm9e|H?Eay~_?z){2S@tW{5T(3yNkR8!6|`BFwaLAD2%ZAmN%HI zt`AI3hyT1SPk98i8Zp=Z+9)9~R{fp|(*H^gePiJTul26-BJbyqzCo@7ZJ5b=K_X+` z>k5cJ&i;RkOty$a_Jf$ub7^MDb1i9gWmYc;;<-Ql$@pFeG9~Mk_5Y~IWQ%rXtT9El zWOb@^4KAala~fX-t}By$P1zFfoN@FNRe_!*r>d9&cGR+%yAc2b%1fbn4~|d@yK{E= zJq+jcm`|Tj*c3BlSaM9yt$D1h3?>d|&2e;hmv{F7NRpLL8P|+NCq}V0djE>(M52xK zboVa>U`-_%3S@xbQv17;mbm7SzFsH^TlSo-K4KPbrAt}K{BjOwdXII@dySQ`+;58| zi}j`$hOA$8=-hFeoKNDD___Ac&y^?PO-H{o{7ts|9b;wubyjj{tsChmqAJ|ar#jj1 zyzY^b?_Z^Akxh38;)vkq^GDweNFHIm8=;JgWiCHm6JYztW#JH!QSC~`${oIBev`iC zGAW?+the_)ym^!MW>ZNNmrukCAWXo)w-ajw4T?ILHyjDt_59w0u98pufRaGF0;)nP zBwfK-xJ_9u-&op~84%8(12&I-dy*ZMWM)^BBk_N{$nEt&7gd#keVnenV!v#2sct=Gpw#AKeab0SHWZH?Ul!_iT69 zIsUkvv`evkO*n4DL#5H$LGD((q9?hh1!pc!GfA)W2-C6eIlzov>f>wVqp}pyxR5ey z*{MUJ@k-TVX=6~tT2h*;)*lob*=@a(Kvz|`^hD%aBdWhXoa#1%0p_g_PdsIib;?Tl z2VWq2?>lKA9C?3mmXThy)t2|<{!`Actw01ggCXk}`9s}zsR)u~!?`WJWExhoD~y!8 z%}%~=zVteM_Z*dSp`<{?F1Obj{NV?L))I{pf+CA|>WP7da1Jthlo_mCpoX1oH1-2h zx`)ZupSzWQb0vL~g|}X(${zEsv|J_-1djC#zK{xx^!y)>i3d+}Um#diSgxUb9n%GlkEIZui&t1{O7-U>J_+z19le=39OW zA0(-sVY*GzDP$xTXIFd1fK!mdDpR7Wh2;#&S$lvLh8{h6jbZ)z;bOKbavJdvnw&ef zO+UkZ-Gkbv1F!5#GH23tS|m;E!qg9kErnZynnasE7JBYU51M0$OymO%gI*I?P0v*7 zx7wW2eAsYF$IfY9zfJ|(l=La0xq~mRYN!e%n&XD1fdyuZ7@hVjfHcZ72{wQHktWsy z9N7`FH3$X*5I+Kce8wIlcELd69ZbSpSAbOD;Wr9p5TqtK&vP7*UQn?Y&x_&&KomiT z!Wqz61t}(?$V8tyB225VfFm4AU;UjH9k$gX)Q~a_)Dx(`hb9K(Rf&C$V)BJ&3ff62 zdbE%xh4Jdx{ys$!@gENKXIV0?^g86MJ6uig=BeiP8&>*CZ z-*Ok4)>ni@O-7=~^Q;bby8R8)g=q0WY=4D=x(2lly822kT)CZ^Qy4j3#{6m+VE_as zBw`FP#zmYGeG2^HOW_p?5J2O{N%Fsf)?y>ZoT?-2Mf^>j{lafRjlvbU)2#S%H82nQ zJATq&7@czI@@b#lH>gNg;EVmq2ha-UF+u_$o?T&ZkPusbpEa&^$c$l9weB@b;3?0S z^20et8*iQgXl*#$f;qao7V3ZZLL*k3e!H5mEw#aZFY%m^GP@50jI*nZmq6GPuHco0 zIc5&Jf{BrPsk`T#i$~?GU2oVW1{xi&zxT8N-z21ZCko(DtGk!P^xreo{1*E<>#Bqf z=M`@KtyhVy_6)bHv1n6?aeW$S#5uCl-aOP?-fp>+iwJ z_pAVV0q_8lmb;3cLcX7)%hR4(*Kp}|L!ZQ-=eLfPADFX5ZsYj_O|w5O9(Qi^8*Z^w z!&?3wA-M8!9r*Dqp%hKAy#_9j+*{#+edTpRH%Vxc-m{ z7NSQ`KeJNEU^YNic6~ipYzYMjUfFf&B6Ys4AkR-8h?2^>SFCj)NWaP(uO^raQaenk z-dm>x;J;T!Q(6e2OBRUEh7fz+LEQj$7GNnjxGJP5!Hm|pOO~?Z6@TIiTrEWLXPKF* zZ^zM8uBpWxsEkx9Gr*bGn(lvWLZ(m=*nICnL+U$L{IpPeDW#e$@d-;@pDGb8eIPpT zPi&yVyp=0Z6E8dgUj=-;`g|^aA^~pJ9oMa{Q>NZDwg&h2IDZ#RUXIUHsMgPYF8W5n zrNEdI90gPh@NlQO39g;duvp-o1=tHu_|S13zk$*Ag)TjQ$~a$2*XS!_ zA8^@+bX@kk}4TWRhj8(kJhFQXIE5~k&Qy)%}&*d^cbn^jhk?-I(6E`7H z3hZkGLg0zyWWZd9*;4$Ow*$!bZ)1G^gMt4<-1;fOt%h#Lh)~>VEGa$T> z$YbS0Too#=!-%-at_cbi%>#Qieh11>nr-j?VbG`ada3^I8UC$CfJL(h-Zi{nHyqpH z=;cJ+aVFDmdaF$*97NVBLhH1MR{@p6MY;W~JjhLZk<67=!|i8=(c)<;7VctZdayAM zyV7K<1NLW&ZqzT}=H9`)J$tCl$W7eza-(%vBpyfO#<3e(`teW@q0vZ?6< zDsnurICUn|8I)O{aeud}Ro0T#z{cd0SS3^-E`k#~53?bVo2aaM@SA_?8R`iBUGQju z7UwA`eeGQGXU;4X=I3tY^2L{~EHba227r4}_4f)0>)j6rZx>RO z4hcL!t?VN&U;DXarFf_}vwp!zMt{WHckIEclcC2ko#C1L^POYQXlVp;y~vP}I8S@7 z(FltU0=Gj6b%xs7P(SmDZS@xS+^xJ!ckJ{^C8#<-oCjVer-#Ln;F&@)Kq$=?m)nDh zIdoDdofn4+bv{A4vwS{2#*>Msjg*;{xw|wYK-)$7?yJ$xJV0-E{6qqtuz0}CZ29ag zlMnGsdgk3VhY{34ZdbxH)!=(!ncWGgFkYl*csSrLH z5KeOU_sC+DP;vpfCgeiF7`*l6R}67KdUu1f?Q;QRU`v2U95plqX$9m3n|}c86KpBI z>YN~x5?R-ji~@`wbW4skWsOf{{4V%ZsSdU7^JGoEohLcxI&$j5lo%E;{iw5ui(qI| z&@TNDb+iMj=sg#~0|5UOoXBfKg<&;iRn}xo?Xi3;vkTvf=oB045Vu}15{=c5~LD$gXraN{_ z7d!k-Kxi-wzBUX#G}uA)lF+hEz4aOV_`X;0&2+lps^{eHBe_x!8(jEOsB(Xr<0yf0 zcow5Dvhx*JY$;W0Mw%KJhFBN|ou#9G=AS#|5EIJKdp;o`dP+7bivFdoXfFh($jj^bAL?53x|soPG2n6O zASw9r(vOcXI=bBeX(BWsw)b8H5_8tdbegGT-yedJqsT^+e+;>cUqm3erOH3td5Nn% zaD`->t~Y;SV2lKbgoEC*(!jh$o_Trs6s5G%x3^`Y@{y%wotOkV61Vbp4g zO5F!6S7#9`nsx}_Fh_95eaIceoX)fg8qM>J2S#3-P=jOLI}!iipFivJ9rBVld+*X? z!^*RZJQDq5v5fZPy>}YVK9jr=*Za&dqO&eRlNgF?^!LyBUZKyYc+IGvzO7meIZg7G zFpH^*FRF)4j)4azAXBX~6txYY*^>zpp@Y{rV+6stroNGINE3e}wg`u`(1uGQvE$l*IeFu^!Ni_!W$exuzU8p|3Tua|G5|TmJ;Yy+}iR;%h>B!{~YTgD#@W@EJ;}$ zuwLlWPHMT;Z?|#eLO$tsiBLgsKMr0Rga=_RG?y>W_$x*bp9-Znf&PC0v?*z-P5?r+ zbs?T&FCQgQ*FShk5H)68Ger@USX; zZjri?r?;;|ERR;ox*tLANkHOmGQbmISjxLJA z{@_$^MA3odOVF>|#kWgsY>_FB2D;VERF>WEo$DU$9LqTxTRY$nPAY_H<*zx2-el`w zSQ}n0HL=?^9)O}B^L^RyWA3*-Vio1>9jLAKVr_!fp^0!9VM<|gPd%{!zM9wuAuO_d zdj!Z~XqgF_lAu<#SU4|>3IrARCQS>yxI*{(6*mkEJI$}p2{^tiDT0if5SF<65AEP@ zE&n`X{KW5Y3{OY=4tpOE8@ib;N$pU00?!NbQiL<}uV81lhXyRbjHk0L?L@E*#JPFR z3C&Hy38OOl(+NYz0Ef4K3KIBds8XQo1+`N72mkz9esuW-3#N6maAb=)H9uT5Bkb@0 zD!8AOh7?W`?RKGH3`RINMCuJ>)Z4SKV6e*Pc@n_~O2;Cwv&yBu$R5_StK-@_t&MK3 zJ#N;sCn7KhqEn{&`}v}xz?dDjo=dG`C4DlwQa^&oTe(Xt8dJ_{S*G|-Y4869m&FR> zQ*3O77WVmV?xh93ZkIBItt=Mt%EH!U+Yh`iT(YxFM9g+gtlUm*vu`S!88AHjY& zzr_>!Y#oQT_YJ|=+q4e3NwKCo$d%7&BO%M(htn>aZljLp0yhFX&C6d{;op*kE~ zt6BCm90*|9x*q)*WfXOOUHn449rqP9R?{nu?%L(6*vTo{c;X?wlNhFz>JZALgxo%$Nbxyfp5gKt{_Gj!g zSv`1%S_i_SlCb+Hc=x?YC~74b#!y4T=3|vt;g_?Px5Og-)SrAxs=*1>gu$Lk1-?M# zuy%s)1MVa}e_G=>YjpMG=HI~qCV(@EkQ@T3Mgn{ac>Yvx`{uB2vSE>D3F4>9cw!KZ z2C4K7DdWJaUW!KwJ|l8|>Bowqu7aLRhRd^8`=;EF`Bzke#*hKEh+@)=V|&#if`tulEYNp-tDYEo_X%oJC- zhn091`eMkz*Xzr9 z_YM-ulq^QyJJz2vN_7DTu;O!2b@`P)%jDOP@q0V&QNU~Wvh3mae`0Z%UmLv(=E$3( z&DLDUiht^LcM&vk0@7V;MJgEgclYmi5Y6vB*FkY-3Lr2e5L6fk)5d{U_h&XdaqzG3@{oTu|7^s3Nw&8-U}2*O+t)~gEhOF|?w)=~l^> zvF2x&8!<<2I#cxX3enKWju-3fJ1Z!Jqb?^k&N6X7IM+Ypj1b?l0)|929=lj7fU;ZpAY|FW(_LnL{W6fRALXfD@z#LcWjSpA z6$Fid+ZW`{KegsyN=|&~yjiV|Fc)vtE75}D?_ijF>CE0jI%XzO3D&w@X|b=zrJGr= z)Qlx_7spY@FU9H~pLl{U4292EWfQ~Q96ApIYm@U-7IXhK_L1!>AKAV8%cqn+%F6n|9%>jPw-FG2>(42 zVm_bvkGG~m%^)W*kXR1gt6|Ex3yp2DOS)$!y7QE=mIL4id=HC|cagPd@z38`*sOuy=KB^{j0*U|H? z_Y1pVO~`E-#Blft(ZX(_@VF!UY)!!HF5B_xjJm!veOXizxzBobaladRwStjsY?BJ% ztPbocRR@hTlFTf@0HLXiyjc5KVEa-q4H)?z_e34sav{pDL^miq0yI1DS{ulWw_pV; z9Q;R$wvh%EI zarB{VRs9EvK?zoYdZYx~sYV|G$0EcpcSANIHDB=2zahZOOi+ta!yLs($**k_K#7H> zo@!{XA(~ZU9qRiGbiJuSr_@|#nm&BSbb0M9!#OKw?W7q4uwwBVRdWL$^Br@B?DZr7 z3dO5jx;QOks?A84JYmFrvYsuPvei|gAYPC=7!BM!fg)44EgB2;{1{u^_TU#daw_Nq zGEaTe$G)F&kS+}&otDA{G>h!D0X+`^q@H}Erc24oYoEo+GxO`QGB|Kn5iTk^LeoXg z@O}`UrGU;;45e8tXbN!Qbj6v>De3Z~6|ApRwq+jt=b@po>Kn|6EwUK1e-Y`j*3#Y8 z`8@cNC#$328Z}czm#m?m;2;0E>iD}2_0FFMcOU-s*F4pJM#{dh6U}>PG?m=Mu_tEf z=6CnLQ#%yeq!1jP;Xu%J2$nc=Oi#7Mm#)r9PLH+7x}So0@ykC=a@ziYIwf8<{U;4g z<)<$&v8fRCHE>AAc*BRdu^U>o#d z=3@NHO4Yuz(N%kv9@-TjCQEkcO1U2K+;bsALmYo|Lu&Fm-JD~(WzA!CP8OFul6%jJ z#AC58&(qj%M3k!3ttaSSE3^2Rhez|fn~zT3===`c8BV?}-M!y)Wqx*{dGh`IY`FP9 zN&~rFbJj;n0v7Bt*Q3TA*WpI(24TijM*k9Xc}{;>>@6&;^n@CCdm}v8lq@UN{t$18A;cl^9DPR6_#^NR1YrBr?Q&$ql z9Cml94plhx3H3TWv7?HuW5*Xbr^qhP&*DE6Qn@f%?>v*M<#n+qW|F7R+|{Ai&AwxIXKw!W7G+U-7EV!$|;^XE-wj}Q&g+1 zElf=<6*%CXpTxd46J9Zu2^)Fye(}k8M@W@cB-Pc9dm0-on0w}av9Cu29+rA6bdm4r z0H96(F^Z^IrHOW&RIEzds5W+Zk8$TNPM@%tXxr-5qBrdr$&qy`}AavP$7%DW*X*J5a*SQB23{$a)+B&G0KM?H2YM45P2Zld%xYN z`WI(AX!3n5Xwq%uTx<_`PL8p=Hy!bpC^;YKFeED8lyOZs^hn+JJ`F}gg7)K)N8|r9 zByn@Pjhx-mN9fR_@qmUlIAuY_`pA3dI|FhN1C-x$nPsTl0d#qtbI( zKD!>fW;8+a`dZU-pND4@@p?_{}#b`_i+YD1lu*4B26 z2`^5r%;8vjWc-XvJPNhg>TLMOCdi5<%{(K>~xA6zw2@EO);4{yf+Tj&Ll}=Wug2pFiX_aPu3?64F~u1?RN8NTqY#Ru>yflN6v+`69pN$@dY*?wtsDSu^DFqh;26_M6zE zf=QPOn_|&{XX@{i148(0VZ8ZH?obZY5zsLGQNxtq{15b!8y9xHgfF1CyXDf+kf&-# zN+;gVxcwlRU?*L6^qD-%K?k3L(o1LOPN|%y_H?0`VzU%y(XxY&;5KVIVGvRkWZ?Vw zP%S&3b1`*>YeU(f9bTcqRx0`3FAe8(DpSKAGleqb?6y1^tzt0g!eumf-)m}62${BO z)YUN2Jv3@*$^3cZq@BXUCsd3@2OKP&i}`eKyrE4jcoV6_LrqgXp zeJ)*3OcWZNn~T(aqVk^p(%;svR9y5ZHv8#}@^ZYp;EYk_Txn#_tB%!~2U3b3$s~2- z_#VxtUkO;4t8qhb6Qq%tgRdvg$?Y_*Y`0zGQ8u8y{3Gqhw6FZe3&L6v&@!BBni8t= z;f6DC_Wb<Ap&uLet*0)a% z`4||E3Yb|JtkJOZksbSie71S}-`pz$3~TgfPum%{2BWZ6QulN@jf9|#T}FmME;sHE zg^|%@v=o!;9*WhgCD(Cs=Gn~|$LG;h)6vewV}u*J;L}p3S!bl!sha8*cif5Ary-zj zG5NM<=}Ytm)$}3;hAhSEmugnb)A{B~vCuiR^L$x}!S=rq2G2rGt*wt3TcBDr&%QNP z3oBgFw+fo)F^VmO85l;5uZ?Ko@%@m)z~L*Tr)h5$Hl@jCd6H4xAl>B#*^U4*JFXq? z;3XTt4RRRqDR78d-iBX&!m#P5W^0vRTTLaLn?8$jiX{c&Agf-zHT&4AM9V zGxX?1hK8HMQIFrwXy%We+!bFJL3grd;&wFpYS=tmVTj$%mvky>70S zkN9SW*RDnL=z(%(9S^6;(0jG^F>2UjdD{Ht9*UowsU8UOzFc$GbI!fr&ruB*Tr~a< zh1zeW%VEhXsuBp-OWBQk#q<8={@+NR2=baWJ$L>pRn;(z$=X z=l9(AbN)EzyvEFT`7GD5 zIgyU-x}{GyRc)OWxnZQ^6%AvA8|8_2ET!J?05&XmVGMSPTqcP#E$jCuFuB`?ZI)qC z@kxziz8!NtJkrJ4XAL2FZ3u^0b(#b>worY=8$=?LA1?P7aW21NwIys-Urr*wry z!e}5gs)%cDj#OMa1f5idMr41pf0MFs&Ssyf2v6&dgP?W^Uw5`k8dZDaP6j@=GN4`= zq%%Y6nbWuTT8mUkV?=n|eQK9hS@s5W3X~jLoHKuQL9FM5abA7onXy9sX|;S?ZK*E3 zMQ{Qrlz;PQCqN5@wzm&1`TUg~#dhRD*j%1O(rCb4gn&s;#_yFi**mr>O1}Sz$sBZ8 ziTtj^%7M#|uWI(v-Plk>QQO+p;89j9RbF22uf*sYa2Dt&ZMq*3?vx`w`OG$@e>vkX zZMDkn2VR;MnVjsqjTw_|_O8Q##&1T^Hqvju#Amx9yXIKFEiRmsEoB%xiMWso9}Oze>~i3(q9+rr9%3V!V{I-BW{;L+ea+NknfV z4_0?U?Y--VY1dmrz5A50p((RQ1n0sb)mn4Oc0%ez_Kb1avw%_6lNCYGvp&n_>zn?( z!7$?mPdc2NcF9|$T~bLiOd2H2beH>j&kOic=X%;z$a_eJc7e(AH^F#+eBtCC-HBnw z`+B)amAqRMW|{9h{ef!jYvJTVtx-EzXZ0eIn{c8yVSpSa6d4_wLLZBoj#6#)91NB} z9F5J&@_x?K5HPAtHnK{?67srAYDOkwP({5f?x1x*?uJBwgl1`Se3N`6*pRU7je_Q8 z%A`srFq)?Y0*%%*?nHc;+*U)x$?24m*Kq5&=;&clfg{PT`IoK{MyUG%CF@*16C46Q zN6OWY_+;#QT#dxiq&kNx4;YRH>A<^V({5#r(16D-IWnczW-3dVqy(cA+sA^ z21oYWAm00=xN9OPVy~4GM}IVX^&SWQAgDpC@owYmi_@Is=rqk2(kL@?B^BFqn8&@x^98l+ z^=kD@6y}HPueQdmH#ly_o$L8Su%M*xdLB1pevO2zBMzRlQ+oDU7JFcLUWcU{)^6MU zOLSbHTt9PYMp1@Xj%k;_@F%C_vL3tSJTY{9`&?n4)z+*n%AJ{$y$vYtMq~Pwbm%J{ zSwI3J6nQcn*+o2^8l*5zAZrb66l1C>LjPuUO-Wb@6m~%H8P(AuC!Ab+R+OxyO47^q_L4GNpKmUSI2wwjDLlxgVrvf; z@Ox)gTgB&{Sh^^CPV$uLgS_d>vToy7hEdf;s0Tk#;}E)yob6~xp(32q)k!T0y5p3XINdr=ZSGA zo=IMP&x*PlEWvhk}f7df{^S~Po5jvy@cCEJ1 zfUvyWQx}bPJrudsGHu4_UFZ6)Sh?-29DzY$-k18=9&xA$ZRCgr*VGwI@7WNo-6X_! z-u63xvyf!yw|S|L>Yfq+t@qxgcMh112}Q;*p~Pg4Wv&xWi{!08H^SzwkEM6!+~jZ#$G+*b%!=%#j(wC0ljAbz|Yp=$Onkf&TWBPG+5 z&8_CE(+Swnl8%aV{6d&u2?cEPY{1lm-y2vceE~NJT1)&VmoEBd99!SfqJlp&=^4XV zuFz16>`r(^7;y`M#72xDE=l(5n{LaIb<5oZQS8{;`qCvJ%9iRSfgVE)c6>aRm8d#x z?VM<`F)&#DvPN}WEAO8v_P!p^|H&=Tdv--sznco}@w)Ig6hz$k%_q-dFv~#nCon4M zA+F$QKhi|@x-5;nvOVF%MD-*xM-hVy&KUID$;vy>$n;g<08o3(BoY*4JH=r5(gg_C4IbqrmXr zy|f?Fwc>9iK7BR`5x_l`t9=;(5@_ztJCq(hd}RIfGTzU^+v{lX(&#L4pzUvc56RM5 z3Y2R@nq=-3y2c1hv{GXZeDev1vF70~iycmt*z=|+m}UUH3L&?{N=I|zs;ktB-XlYn z7}vuAtD;XM9M$&W?LSydWC>Q1B*|X%WpAs6&H4sIYv0zRFZ;8zYlxlZ=199av-R;r z;?l(6eZ26vyOUXv77ScCpJLg6V-b;^C&O|cKhPB4GQtUIDG6n>^@A=enm)3Jy7-dK z8#SFrYEZE&t;m_G*rMvk=1G*+Y=YLP+c?pwzopw^6iThXv3I}5gS~r~QX9e8&kj#G z=v^ytjjPxm-T#7VfUv5KA2Djwm+;R*K(cG8by3GE!4oSOCg77{Y#1%M1R2R-1<3V^ zGBEsDynW}|kNluN=CfjbAr`eFROd^HN28k=q7+4*A&{d-lpoDXA);XjIRay}D+1V& zc~cY#9Hn^w$h5gkFie}tCiyK~b;E2_r zZ@F-^Tr}_djCYu4%c9LsL{v@p+QnrAls;5ZkqUzxH?-Fckp;u)@nMp=WsXiR6@4L> zc5Z#%VC{kfdMU?ai=(MQFf4OnR>`Q!Da42>a!XpEZVXVrvZFsRX@O851Yu}W)Sz?Y zcBqho0E%J{d`a>K8*$fU1<#=P8i0=KGah$GlFx-?^j1xTt_8yrERlg`CDQZ4h8a$! zO+p(gC8LEj>b_7Xpi23Z&Q4tPP`_OGNLD6~-oS?EV`UXZ=yfQ(c)TYCRWVDk=%$(Z zu6CR!W|24bc-=MFq(d5t4&^KMQU?RH5d$fsTzWn=RlCQFU!_4$Z`p=)W(nT0?_91n zA{kNSV>HHU2Iw975Deq{Y1K~U{R2f%8a~H|X54Ca;*U8yk4v}O;p$l}UjNb6=ar8A zk~9zsrvf)z_*qXT#-wD=-BuA;PQmKBFeHezW!GRO65islE8*wf?zPY#R`yF|fL5@9 zPC7)@fw!csd@0F1X@1H}Q+prXdu6FBg%!w)-}c?5rQIupvb4Rp<-UNJ&*WMyl;My_ zSp@U6Y`OX!J+|K6Z)tHnGQ~HSnz}vv1XSPEdLq7#HZV(e)v3CgpDg(Ogw zH-06^(W$evAQrSOJ0Vbpw-J95^YD{3;*(K?*iB5vb{3jlQ(A5>O=8a+7gi$)zd6IF=5# zKARuTs~ZUBhZ|JUh~;le@4ToCxnmeODXn6XyVSV~ul7jc{;%)_!dC+bT9sV+zX`iC zl~b3ts;bg{QcV*V?uIE_q zv#bxo_mIe}Q&>E#PZ13lGy5a`v8g}>(5ZGsgV<+2?j=k+_$-Ws7v$2NXL|?C@VFU8(KnH z0=jo!8Y8$KbPdkJwwW38=aj09)MhJ|HODB zF|&ks`2^rO;yv8MM^3fBWv~+CX5g_9t?@QY{SnFN+GC8sm6LX6>mgPA1mL~7ck95l9K^Q<%__a2~y#X@cMirkKgjxbY#msyUX}?jRJz*ZW z4n*1UM|S^hZXKX`$5~)*zNf8A4G#<(*c6^gpp)ui9GC)#)6EULo!MX5=+7K!gKbf%MCK99^R7 zkTroKEPOv4;fwZYDiVT+{((XcNqU(oOkyso6NxllpLujp^5gvOiMns3%NuoqpH6|* zQd1V?J0nxd!oU(sI12Lw1ya?$67DqV$(-8z78!nc=dtzfwmTYFeci3P7DyJOMm@PZ{e*Mek|BPM zp1CV3e4CCI4-G2ZKK{2YeU9@XE?+&e^0v1byHe&$b#PRY6UOW{D^ncf>EAq)C-XGe zn75q=I}L1XhL~k~MHo^0!@2VSYerBn_$#)xqd2NrnDt(EUVsxFL z>#AlXtgh_)n&NH!oOs>D^SQyze=cyK=noF$srfCAdkl8x`OU?DSL*~^W?=Tl@lObL z=RP>Y$w&r=ed(^VJQoaHG~@68&Q}qY$1B<*wR&JFVeS8WingBJB>fF1+)wa?fUip@E$*J}LucP-6Lj8g89TI3{76>~|6 z%vzeX-}&?&$p-&ds)`=o0m#dT82!o^4u2-^1V7Wo0@J~w3l-GDMi1p62l2_1#ZFYx z%&7aoFG?x)U1P51*B!csHmH^PP@jxlN!Gk^Aa1nz=Ieq!qX+l7hNq2o%Y014Ym(0u zitpK7>b~Kr;K6d!#~sxwN)Ga&uLc$I9R;o|3yakYnvFyG4Imvk{xIzAu49YJM+Q9N z%XXcx5+o)azaT#O@}pkHT9l}qNHuACJUMkAnIH zF5#!>D|jKtSZPl6P0l@UaaUi;Ii~}^GzEUYVg_5Y4VxXS%lCcoX?HT=UUXk@4eO|# z?98e;yts=jQm33z;dcFQN0MFz;gUvd(o3R4&clzdwMpl__%C2vrsWKZ>gy?%RUR(z z#fq@`q?ZR37AtlKxfHdNW^Kh?hvrW$4WNlAD6upowtP^o4|WOerLCD;7#NL6_3N{F z{M&4VU&`sn2dG18e!jl!o{5Bl@!i56%Bj_7=bpkKeUeNN%yk>w)jM~cZT?ieWUx7l zT28`ums-y_6`$G@(yd?t?RM^28~!uYH{s`f0+t3Ry$UFC=+1(JppoeGIcwRBx%i^yF|1<^g{9u%z@G|L295<4`8^%w9P}NKuxU z4wS?&b)<~k!m{qReh)0&JWC*zKrK3fJKDyxi|9oA)zE07cXG2=q!tdfDpJBk{5Eyb zsJ~Xa5BbG5c+h*<{WE&krSrmWcuBtT-=*eH{1W#Fcz~cW$EB*~&004W$EDpLs3Qin zG9EDoSR=7#Pu6Z(md=U7@he^m$An>}I?G{gywHMbvh#W$$|Z>>xF(Xr$=Fo^5+>U}O)v7q$n;*PPwz-sCLVbzg;^$xmBMC#(2!m_bwol6S!tW5 zVt5?>de?E_0pIOKCSqKSy@V7uN-EJ*q-vnv%?cH`Wv5+5GB$B4#!_nQ>y520DYLx? zv&4H<|HYA5qvpRN*{}dwJ~}}BoWYTlN2Q-eMRC(j2Hbc==u-Y3eW_@vFiGEhrc8j1 z+MzK;b%gA_*7Gi%@P<#c1O=#oIvehV%iKi6*!1ymHE34H#q;@ZV?7caAv5Ds9#cfF zeVhkjMx^R70dXGW&-2LO#c&InkIlo3fq6pimF()Yv>X+W%x!L^lOjN2bCDjT$g1%U zdv1SrE@t=5CTYk0d9M(GQ}cULxK}N#7V_v#5?jlXKXzDga+rI(WiQJ1ujzp&IrvH> z-7+A4**Yzxj)%9OCSY|CqzqLQrGXah37ku3Gpr3HN9?ZFxIj`FmnY`#v}ziPRY2&M(x9$BOc&c< zBCIVTcD{_!L*4?)PI~QNtod_8q!k~G5yJwfp}+9_vESYEew(uzM+&Law+N|d9J5qu z%-LpE`#8)4Vs@5*$hnF~eyK6pQB0KF6ZO6J$$0sjoQm>zs37;eft7A}6YCqS$4vEJ z_&Gc@LaeXZ@WV?XoFU3-3E&rG#pF@gA)w05i`0eXG!)CNYAtkauq92>n!|k+E9Uak z0H+!tEa^Y^wEr2o!qI~THHGE#znk8ff_Omm)L)wY@{ytiQrM;pCh>k3NqMOCv4*3u z7}N=T?p^-Z!iq!{Exd41y>JXX-l{9CrjUqhmngN1lY71?f3c{JCDEo%>|B#S7X?UH z)mu6%{sj(hS{?r)&0!?RBwfT^XV!Z49451*4gMIkSH z0Q=c}reVz;zm3L<&;1N*!8}9?CEOuDStKilYO8m(*V-NNuwh|sS7`NRYQyo;7)X;Q z@a(#%2>&x%!c?EWX-C`2p9eKiroNDypbJyk9|d|GC@u7&^o8S`TNI z^+?u-4XhMA#pNkj?HfM(a^_Qh1EQif@HSVAHw0<3s#;snb5WM%J++!=9bBVW3S2>6FNfMhsqodD+=CC)U!hRoZy6Bm5ArPxb*vKQreH11gT;c@e z=KU+ZWr0lc8P6{xqqlB1GdIGv;h0(PXxLzb;1t#fPk}YQ`$5N&V2+CP`_pR4&4RsG zH;^n3-pTGKXb!d1+#!~zPrPr*KECieTAuzee4xXNZn|@tKTSQbxBU+0!EAw;?fw%& zK(1dN!2KY*MKo$<{G!rMmU8xjoRsec9e%_3hD?SK0X?1udWOKH-8b{i(EYYQBP1w> zZQ6BVio7OAt(vu*o6E{uyU36yEdjM9)3jb59mrbsz%>0d;}wx<-O*;MM;~KWp3pOS zFs9)3m;!OYIIl~FiYd3gO12=GDp7ZJOTFXBtg!O1-YKd}2UR5FRrcW-f(?#RU|M33 z?OSQbaUH)s2Ig48&<`yTE(?S z2YtZgtHtOiPDYdxN4F@RP6gMFBfgYE@Ya2dSIdrrqU>$p78x>&bM%?ZJ6tbk$Lkjs zArqL#4*J<657tE~fJ26LAKf1vm%$jwU5e5X#sUUK%f|AP7{{nvIrSPL^5*SVu)G^S z*eQV7f5*=|j%-I{k~u$`!x}xG69epn{IbFnc@<=q@3Fc2%Kt2tqCL^`eyO4O+LMDu z6Y52VZuE)9Q~i^BTFxT7p|rWEq?t*T0#k6$nlESNT~Fx8m3^hSV`K|wKpZ%K-k|%0 zc@`|45+xq5AlM)v=c_`oh<R-1{G)BfKmCZ*f3wzS5!=<} zdQvexbCbtm%RVnf`)MN>C%dC(_u+9iSnn?XeitgUD}N#LQ)z=qj+$?$_-IP;k!~IK=2&9)j{|X0EG4U)EPs&U4$zqb*~*T3*W z&Mf+eO?5>8RHka-qiGw8B`=N+{v9=aJ#XOXn+w5X5&aK;Q{FEEA>@+|g^RUl9}Sn< z0Qi>~W#Xpw*YN^F3n=0zKKVrfxCj|Wcj1Q8{F%rdxKfp;OsGqeMs%gB5N7b81`c&T zj|6L9URK)VanVV>KT&mR5|BY^U?)7N%v`N0?=6IL!r;UPm8WF1JE|5LfsD=ftN6C+J|PE4Ogg7&j;-o#&wkzci%Cxj z#Gcjg`kIP-=X;ii0dN}QBRMX%`BxBj@VS#k2>1T!e$x-%7KCk^5<4=R8PAB6AX(QR zx9flGX6x{^zwf#-^+vpG_T^BBCuC)cGQ*YqJ$K!9FI;_beAj zf{=jj+m@ghxEzvvxkl7G#=hwl#8uToJ0h;JrfqCIr`My)(eOen8d@nMiERvv))Gj_ zUCy6kli;xO9`vmG37K+I6mmcC8m@(FkMp4DnjT0qK(HPllenA*`7Fu-Ae!bq&4nx@ z4-2^~Qo>`+rHOu{cq`)G(oFqbG$; z#9|{Q(C;jB^QwV0LBmE)dx68DQ}spQ*2i2b;=W0;eG@qer}2V{jT%_$XY+i7#J}FN zT`%g1b!jt&42DBW-b%8o&jpubYhs>Xw)1nObQ&V1c7DhvGj9G+;gK`T2cgK@ofpta z$Pbe=ceEWoRkhk&{_COssOK){QkoF&TPnD}cy1$y>XuSpH`j)|(lBg4#78GZW!g$8 z!kk-wHN&d7OJ_zQk!zSM5)TOzBOE@*L)H%}JA))#wH}suCYLcQa7vnrtby8B^bd~Z z%NpfshBa4T)|vih8UO!D(t4ldyh&KzCEbZJy{b&<8FrXm?`N7cJfrhKWXKU!<(f1XUnu^Pn=wu#u+sEaG za3shv+QhpH@}R<@NJkkEEiWwKI5^m$1vHWj-Q&014}8{2y-9lc_U>Ih!U%gV2oey@ z38Ib&M>nMfTjdkRs~l+&CUA>L4jo0CK4e@#zX2&t4h)D{CI007^I`LsfB@*yn%-;u zq0jUsI4~|>UuvwT-s<{`a3f6yVTw+JE&TV2Xs(`j=^P(FZ~6;?$YGK4JkRXTKAwc@2fj zW_AAU(fgt_3;Z;vnHj5<)%btnI&72sL9UXvw=C#9cxXg3^iev*zoMd%3IK77g@@w> zvF~I?@(el!ANdYt;-k~ko!9l-Kd++CoG@LYVfL*=W8u&I1#&S0)CBwr-gVU*BK>g= zYanf_Fvd|Y+$)ZL$AC*g36Kxm-<1IQO7*q8gF*Jk4hCPTWAA=R5lD4?Qp%Q-R~xcG zs_^GX7WQ7*&Cu>3LV16Na=%pP+9}%L(9ju01tIFR2hHNnC_vA{^0j|7+vVzL^5dd1 zc=U_<{pTz~BOh6dqf>^AhelK8mkJg|zps;!PpT zxjkSYv%q(G=(SL8vN_qrV!6Y&tcPJ2HIyERNOv59`joR9tKWnfOnJB%dh+b#9T&>x$-cCt#lAfbzJq`qJ^`(#lU~oFX4{d7THdbY}L}jgS_YkPuL4z*Gyw{OlJU`YJt+QUyGjgY zxj#95&Xh%w3l*rql_5{V@N%u zfqIW9$Fk#V#ecn$*#!{q59m>?j&{-8;;{;|-LA)h>%I~oyv;jKR=kig*zxtK!w#jN zGXb4kk{~VWzv#EtvDLoXfqoY(+Q?AJ^q#rq|CS;MEn8QL(H|} zp^PvmciMm@&O`e@+aepD0EMnRGwD+l1nZCllzl_~ItrVuZ>=IrNupj)|C|IHXc3b% z50`qGCli*-KjtL8*(rE9&%|Hc?o*Ic1?MIhyOjY4htV=`E?ntBpy&iFMPs(<`(a-n zIb{g)`}GG$X2{dGxa`%;^ecSCE&AqEcWyNdd98+mlPPHlSr#sT5wvHVd3Qv;OebA} z@}Px_2qt{LVgwJ)AyqkzR7IH-ym>mt1G}^esfhX!6`Br^HoVN-Cv+%A`g>OR|NW5( zeTk3Kg8#g_MG4m&b)>7r2@P84Y{i}J#TiZf RY>fO!M?)W-t8N|ee*gpx&$$2q literal 0 HcmV?d00001 From 589da172db0a855110a625bf7b7838cebc55efe8 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 22:31:11 -0400 Subject: [PATCH 16/20] report --- Lab1 report.pdf | Bin 0 -> 1032994 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Lab1 report.pdf diff --git a/Lab1 report.pdf b/Lab1 report.pdf new file mode 100644 index 0000000000000000000000000000000000000000..84f74671c07df6c2220ed8761d12161785678d72 GIT binary patch literal 1032994 zcmce-b9AgZQFLTV%xUutk||~+qR9)if!}e_kDZsv-dq`jC=n&J-Wy2 zSzV)M)l<*x@m9U_C6N;rrD33DfhOsB%KwCBV8f@!w>7YU=H|wylQy?8)^{-XFgC(x z_@3c2&@(Y|a4_T3iQ{YHvoW&bGqAAZYva?&;xm5#E`rZQ&%pHkMFyV*pHAst+N_LB z_`JN(#x_R(*u?+8_kw0%|8IdPx!W1z)2S&Kn|z01Y-8$VhX3t3ov68$ld;41Xr=FD zENpCOYxJ%4?@>iG9Pz)ymUA#RGBGZHb%y7{|MOd8R`EmFtNpF{KpRkd^!bNTPJ)5j(<-SzcIyUV*8gxm;V5Y`TqqD zorEyHCO0!ZqX9D`vpypuvk^NRqalY06NfQ76QiLaBLfSYi2?8bw}$pV8E|xRFxIz* zcFQz0FxoNIGtgs)B2JYFR2$|Gu*VH;{Xy(j0s>V)iRcO)JG%{}z!v2nR`-P@&hUc> z2^0wR1XAXo9R7!q|I68g{ugTh$w@hVQ)5Sb#{U5F--#8{cha}AHT`c)`%b|BqVfMR z&5HPJ^#4T$cKZK`k)EEOiJo3NIAO#&Mi53W(4+}?7-KCnIRpJ@`pfgyCN19X7E$vQ zoyoLJhWn@iEMzbI5G<@K`ZGGZ%#6J4Y8|(qXWtz-I8bf^3f%vW-1lzzU)~AX+Bg~8 zIDN;&_-}Ij{wA5epzS|Y`X>t3Z?3U%F#bcRZ&H41{#Wn{#*Vhm4u;=w{KHcbHzzSg zr*9(vYbHkjy&L~!?;oCuey{N_vFNw>pT)lkDawG)#Qa|xat^kJipJkwXnrpvEc(5F zjoqBSIc@z7x8Q$_LjN&JeEaf`)qjKkPeT4X^8ZBfpUL_^BL5#7kcpX|`G253guNDi z(R$O>v&#*UKiAC<=>%|Ont_6Q@grtJOywv2LWnz$uPQQ1IbUFM9JS#2 z?8oGMweVl}^MbOm`ahrSU=i`?_PkiWtMY2on_m*?-R=cwcS9#%L0&hyeUQ+8K6}0W zrF$n}ZXg}}df&S%VXIRVhx~kgKbS(t_H&Z-QJS4>xd`}b(z*?2 zDQ#b%MQYQUp-RjB+3+56Y|Bk$SXNiBRLl9h3r1Fm@DgALaMuE_sJv$ksHBxlsB7Sc zBq5Cp<$pwByc*F|<)6p9?n<1;tZ0S_phF|RoAw|9#j!L7wxn&UB{*j%cS~m6woup0 zqA<#;4G+14A(8`4_voD#wU1>)6n}yjzeWr~2D<>!vQyE#VDabSRpgr2NPk=d@Rc#1 z3+u_awfM(QCkT{+1s(cys-3#X6B}v~Pj!2r{KVT88;pt0LFD6&Baz2%Ae6ej)iyFy zsA~NCv3;-ox&_)w_K6HQd!YQl)ISEVrWYP8Flz`ugzU5GV6JDTg>x~8irnPYB8p|1 zFJXymnna~OVEic|eri!w5sanEZYSI;3zf)reFvqL7;!gU*S6sU#O#}!|fmJ z5!7c>&~WYzqrn1ZhdZ9|$rQa;>bmsmPS@OUPiwEAjoaLBEE%}#f@lYXBQ3`4XFTT& z+hRtkO@F2sIFFO(NU5$Mtd860?N#v`FbLBT@yB^LTY(7dAT!_GoX0851%ERU;{z3O zS%WcSo&6|qF6O^+v^Z8R)*v!CN3lX8O|yAXBdt7;PtLS07+JPb=mWzwtRj>o5ij}K zoG=Xt3b@vzke(#yTqQbV`9rWvQxr|m0mbzwA4zMF4@xC8ti4O)m7M`9gMSPbp|6(2 zp(QfwNh%C$O$FP6sHimje$HSRndDpv)nkt*FrpP4>K-}rQBd!<=8P;*otjs*oJ^ri z-xCcj3ND8-Y{*93(s@cEbV#ePP^_kXCs^p9p$8q(X%i+cF`~#=ESv&RNFFZaC>>C$ z&@69H^+FD*d~^zz1lsH%cT6%Dy>CMVhi+1tRp258ql=Qkii?&ubJ6p}ZDqQ|?;k@t zAx!y^#wgw#8wFwnRS1ayN7`RpFShwN{{VNl7HeQoB%xuq*nbog3p4|EFzFx*VjqmE zzYz$8zvPsd{uB6Rnk~MzN{`re(p+85oB6{W<4$Lk6?OYfJ9nOkVpsDMcN5~Thqv_# z9=C|u^<;l_UaCLz&8V5Bn~F+irLb)FLfoqllqZ^AW?Vju$v(t10UE{3+JSImp-x5M zu6)m;YZ-oVP^yFT^VR*tJ+C8o#f?8Xh;uT_#%9KG<0)o`$ZhEC{!5De7MNdr5qQ+h8PhKT> z!iUCR)TD((r%Q1nXt4SoJ{9|()n`r?>IG?Y&Ek8-`;-SXq}8@uUSN2d2&!X9Hw;o& zruESI&N;D@#ZH!@3{qB|~AEx%)d|1}<7NGX}h`GEpp(6E7N?I+so~h1$YO zCdd)hdd|D@lA9iVL;Xndj&plqW1V#IZ4vFVUQ^^2TvkRnM!KuJF3*I z)Gg^ZbwkrHTGK3In(^kpZeyU?83s(Qa!Y0JwPT zTCgxRRZs`HNYf}F`T9f_4il{uPlnGp0CdQ>RuSSN$CysrPCMnj%c1wHrJMKNPA&?G zgNiBi$sPpzO@Z-X0!3YnUDHz)vrw*+5H*C+;!ec_cf5)V;{?)`u;7iI_Z`qP1YSuy zG(Li)iM)TMV(431^CFCAP?Qz2YPUw*ypNXh0>h`{{%YB6t=vKqd4yX}(-6E7Smy!c z1%!y>?w#!UFa_HJNyGff7!NcVUfdB!+IR74Bj}=f9mgs*Zl&#_N-ZqsO{|hnG;E0n zyq6-gcenOH=0=6b39jj$I|JpoKEg7@E6&RH&^9aH{w5FgQb(n<*APuVLZzx zu0Ew{K+ug*|1hMo6f95Z_JS( zIkf2KACVTe{+~z;bCEo0F@nM`BqE?nUZrH1x2 zKD;xabG}&J9uz^DlZ%7y83gch#T#4eCZrMHO*viUPAv{nuBI-xu89%!1iwPolvJk7 z`p&VYS2W1s#XTo5;Q zR2>{?GwVGZ=H3loQO0AWZ+x}m(FLz%{6j93ji+>DtKPWTgkcVE6{Lhrl3B^w08S=! z@o^X3A^+?n6Y}Cuz}nPIHp9xXWcqFlapE386d#%eo3V05jt`$62eYnT{5k-P>@6Sb z3w=1wd0MDEsaI)|sFcS&owI-&61q-)b&rWFuK_C5U;i|Th*aR z4GE8!rACSu89U2Yn-RV@)~GWZVRaS!2QxAQ=L-K%s@M`U=HF2ch~S z(>RrI^cGaDTL-q-<6)F`)-NBBtPbc89^nvJDgt$TMEA;xJLH+5v+JUC3cp)&G>fdw ze_boElXpH4H+a>ak%C|8h;~oTtd{#}2^waNB&{4!g&cOhJ%8kzf z!G!48=aq$@T_Lf4lje}-%cdpKqLy645N#CkKY$ish`lxz*Fa5+fuIqK_U&Djxn3L; z$pV@4-3!D(*eG^^C@)pd@(L~onk(pPPK0wZ`(Sl9?T%AV7MbPWPh0ROMZVXbpQ0k0 zgfUDQOI`Ir-cDK9C&8q zb?!V{zs8M~eA~^+cHA}x(+jdJ`Lb~*BitrMFaATOL@P`~rB-q7&tevU`iVW%HdrD8 zd#37$^sa<>>}6fucA&2LArFNoVL9JH#T~EsfHmv{uFlTI56!|r4m754u;2xzfV$k<$@Gj4LtA1!jk9w z^qK%@7IK}3t#DrG$ZcDf$1U&jijE4IEKbWAPy=CP z@da_Y2;c!n(d9H2`Z#h2V)?A9AH%4pzu8t*k(#83wYR{dv6;O#o6c23)7gj(I>6yF z=u`5T+-_s?a@*2rsw5j9^8@dZYbTX1igTvAH|#-Yvn3Ca%w`gppd{ zC-7nyjI4kB*pnrK4#ml4@+s`9x*mDpY}Lh!W8$VW7|7Zwx%x@?(mNW^88?H_>ElO! zfPC4OgM7tJKy74UA<`4e`c>5KQ}}t&g}$yeH=~|1v0}KH^VV{W6{YCAYxFVT_^2<6 z*nZiAYskF==*KQw=c`IS?W;?)P-L6$T(Q*W+=Uwxl}?niIoLY?1BNST=}v(%rfZ&= zDM-{YMXZ1b8XouJo6t@Pj)i%PvDBKew=ct1ojc)bWpduzCL@ zKlR>$UUB>s?Lv;q$n;UUcG<&uhqcVZ(5GVoPiVL$o1s-Uvw~!qy+)Tt`DCC0JKl6s z3r%w(ThWbuIms2`SFSlxO|a@b-3(E~Cj10=h%2mpu0{3Bl4K1S%DMc~>@M0Ze^Z-; z5OQJMLflSZnx9YdhH}1h3F{F_#4n&)SHVYQieXj)F%xNvvfBdQ&z_6m-fIr@Nwre< z$ZuwXCSXhwT%~JN`xNf#)y=-}Ii*2eFsKUwA4g(ddIWl9 zn_JlY&9u*xI6yb6&9BKDn4KtcpZT12dDvvm@Ysm>@oNaC*9J565$bLrfjT6)Ail3u0VA;Zvpuu70X&reyA)+=LEOz8dX)xHdVzThlfX*6Oc202@ z#X|$O=tQ#kT{*qiHc!QXk7takl-ns15H7g1J3VQSNG_R%K`{ygsuF8 z`2-)BvLb*7Wy4f%^Quq@s`$(XaZ^3uH-jYJoNBRkA@Y@E%Pw*&J&Xys^NSBmRhTKHL5$220BcG6l|}Vs&v+JxpzKd=pHg zWVIlc_bxiiGB4vwhl0r*{)U@|xn|UZR*{+}_~jWCw{%-`TW(t-A%v$-{|o*Guw=b= zjOn5KrUiBfUbdy=f-%C(%46})0VWSsjGW=IW++cA{3?if%Ed2@%B|Uyv>F z-058^5AlnT%$P2O+;s&x$2;tHBUAJSg5PD>nwBDl`&~Orzk^o+0=?wtH~e}$a}VhF zYlpJNwI@m82sGf$JP5DMe%WX+wZ%1dzXLycWEq;V!v{ZG_gyr~UKU`+pH?MH16hB) z{q^s8{%QG|%BqKl`q~uKNw*+)!R@rChzZXDAhpdSeKeB%zHZ>X$S-oBaH7O>BA)`y zGL_16xuuzbay-|$&otq8LBsb%bMx!g`(hn;Ox;6zE^2O+T=U=L5A-K+z-s!_4X9=r z;l9YzRXt|d_&FZX>1fSEE@UZ5bMUb!vTh#Wjo@4xmFME}EU>|;;paIr{+d|QB?*-- z^Tf}Vyv~0385JKa^THS~S_73Ik#g&R5A^wNfB##g18jXJ0R@P+?wvM4N0^TMz=b%aG+~5uT;wAmVN=P4~C=@|fXjrq9=v+T=Q|!Xd*B5u+ejKA# z8AVF4tV%j>Z9XRbHsly?upUXPH{}Z+j~C=_x`efPhI;fam#Q=;K17%D;Zdq&q|3*N za8~_~oGU(7E6is%kQ3%C$npM=gC3C6r_NepfH9k7qlf_>gnUq@a4;iMbN<5CEih#= zSKrqj=~Oa6p5d`Z&1%Sz>%%?34JQRU{$+2uvu(Cj}4(!N6`hmJMHG~DX z-w~}ei#4>Kpu+07AHB-&CxdPChm2$ZJgQ}I>K74rMzD*}a}`G`yKJ3TJZ@tYSQd;Q;udW!mh>m)@n1 z+8cN!55%1zcCMOd3j3~=t|RYIi^hbjQ;IJ4um_mE>Ja5I^eomfa3eS_@Fkj58M3{7 z(=+f>bFtbB?STbCpDSySb;ttY1^g_c264&XSK@^fw1J)wCblZ1GjmR_QIAoRpy#ax zv^Q2^EpU9HxQP(2uge1Fb!{Hj?> zAm~@5n-P5+dECfLFe>}+NY5`^^q6CTB7Y2nr1c`_;?e(XowUxb((-5~v}v)yG1ULJscU_5tH9+g8S*(wL7*_LIV zUgh3WH)UJst?<0XnNov&ps%VY=`MjfRGiW~QuR&}Fg)s(YM>pyX@+|#Rz&b9SgLfs zBa}NRG$Hs(5fCLY3F>UrMl0vy1+??e_~ZI>pVdlbW94GSDO&v+IiX0}DCZuVw2d?D z2VWuJ)2MXNo*h9xa72PZ`GB;SnF4-UEOaO}O-Wl2kYOnskD0<=%Gd64@=IePvi-$|}2;wSgxk$lP^W3%;nWegZ%~ z1zzG#_4wSk|MYlc-t+7@FI-V}ltCQ~R0zF1V;a8crC)I;$?Qb4zL&KsK%vl;3yl^1WLfzej8UVCH>ryXpjxox#)wj0$(k9zc zo9mSni8kfyU=Q)-N%Siicj>1PEO$06WDY(>5f(Cz(#i;gtfeo?Hrhiu?KOk@C6gg^ zix4JNrRO?Jq4Szg6dNiW&c-wlIXhJ=>7|w?pKu>+mi*5o4e-LBRhMOp2kDIpEmN=R zOG|a7HTnR`83pdL*SdK=9snCfygE#+L2ttaEY<>luH|dlC)#M^&Z1lGZ%)j45<0sj#hVuuH{JxpaVx z=YzyraM|0qN{D=F`LEAg8&f2QofI=vGumUVoYj)uxF)ievM0h=>tCyuKpi$(_v7R! zbtPQB15AL9$KqR(y;AdzTE4rFnE4dR)2Nc9x;l+Wr8;|=Bp&5y?UO)K)uDC_7DKgz zH-ZC4O7o-(55t&dfSK{@o7)UfmA@&b?Qt5(Q{NTr?cgZ%jQgF2X-$nP@8bQ{=uwBd zyH658<)!C#WLu*zlE*B=-XP*&V9Bw(hY_{(z0=~IW}9Pr2_3D;kE1zUz``ri`fv;2 z{ze~?7L(I3%w+k;x>5Qd^6)NIbtphG^=YM%CZcLQ0WIkd`9 z#wE_(4R&T<-3!e(25$#&4FLNk_#A;4haWByrbfIBRYHyiF-Tx9jGYu^6n;*Q7x!V@ zor!-m%HmK!oxrtE@Dj{T^Hsqxl@~lxzv@!gU`RLgiTfrN7~<^9BZsg=^q|J0BRj8o zT;^ff6}2ruw^(v|_VD}Fq)Qr**Mm|r6g;vN9T6iHX^FeSmOZkuE3`YXTd-%imoW@L z%fZQ6Io@&iIoQIQzjoWijZxMyT;f#eg0Jw2a#`?nO7$s>*w=?g9sa3qxE$|nxMo%B zx@5VATKURZv#0&wQ~8Rn@>{tgJ6M^I1~mdrIBk^xK3uleB0j7>xKqp}`NzIEhdk<7 zlS6{jSk|qfyR;8gjhPlqRMBd4-Gaf&@F%J#Mg=Jkzw7Z|kHqS;sM=GNS8L@89|2zp zU$6?=71x)__7>Nryd{;a2xX?qbp0h1HI~L+$DunOuEQ6Ex7mh}(5@fnK--{ldGu(t zP^;%<7j>S@d+9eYTs1+)`xrQC0dJ{qFi*fwDo@}~6PHI@6`MoobJwj)I5x-0N5aAK z{^7+ShAORI7L2=w?)O zunxNnfupfHy*u*ce3m`2x517PxZ$`VI^nQEy1UlQ-ba6Xei{D#n&IP3lHFT)f#pq@ z5hVE_raORn7VHkCJCuTCVf6h&cQEnn@JT(DzxK}29Z<7h@odrk=Wtf5JFKUl?N0T@ z(hCM0FI6X$g{3p*)&mQ28=^f&eNGy5U_t5l(?j8FpDd!07S%k6( z?EU9g)YmlK-PbMEJ0(q_$UEj&pzaZy8<^{Yfd*lKaIIaa91>~&ixF?|%%P=-VjOjP z*dZZ5Xt)L;XmXp#Btc0kRrnw`B~gkRK5DqgJ?FU5H$*wuqA^iH5k78PB;I@aI_(*M%l`c5YL$Hk{?d7ytxQN6Zc3sKLHV{C!uap zyeOJGP2)ikyah8SrK^5bfHU?c@6%rThU&Fiq1oy}QLbD7~+@v~bwvjr9 za5)9YhI|J*oRWR=9PcYuVYEB=(S~^2g?r|%oYc=tzQUgd-<-hIdzGI<@dhCxBM|;_ z@&)9)t6`hOn@@rRZVK4@&nIJECQf$AZkQqroYk~ZX{N(<2Wxh*-xYtkz*th2)ERx> zqA;bX49+Al?YC?)D5L(JiH4@eIiZ(!uEHTTjfyrz!XXol))NN!>wPp{c24kEn@L>~ zIp^(dhik|~5{R~^AWp$zZm3?F;-w%H&rpwhHic{I zt)}bNtDU55t_34yiN&9IJBc+#Ar^LA3_>xT&;5YyAlCtoOUxmysKQaZ?iXG9Wni{jsPIoGeDY2x1kh zh7KeQqXj4c=MdzK8huP2oU2Fn|F@O{&f z84*3mlQa+>ymo~U#Hk!CU{bvdOar=o6r>D*D1eq4f8#Jq;}?(j7@*yVU#nW$`uloq z$)~6wy8;MbcMka|6u6UiJyeSuGgLtHW1egQqzZJZp1*?MRUMIxrp>WPwn6|wjoul# zeW0iAKJm-OU*|FTQxbqg7gWnX3-ORa2Ic|AJQy%<8>c65(&9HAww4?{fc6>Ih6;Ix zHcKXdenE93m}RK@mqvo$6!a0CH(^Iag1`GZofvUohvWC`S#Id!b>4Cp6*VH`4`B6B z2%X1SM2ecco(2se}geSOTgA)${&wtuCQ%2<+}Mh_kz>$J_X!90^DX`Sf1Ye)<|%|f!;B-0d+>LXSVC-8@7>D7?EaXgMNM6wsYV?P$=#x+Z)(}{≺B z7Jr6X!}_qu!E4EN5__z}N5nJIg^|o5_9_grHFBB#)WMgL7-S7&AKppX7uQ3+{DNu( zLJ#yY8g!thhyRew-lGZC6(CbXJmN=UB7O%|^o0DvKhk6nNOog@_ z5&8{=9I5xQB3g-Ro7G0i z9}%QtFuAP+#-v74+h4nAoFqfCPpzDc>a4m-PaPH11NrlKB#9<_DosM&&-B+dM zU<(Jx=#P`(@p3EPe=vyI>iY|{IPGJ3P(J%>IUk2?uZJIaV8MWNi(KjX3tZg`!ob#v z=2-X>K+Nja=H9F6+WHHKIS(RgD_6}bexSgN^WIOP#s3I3t)cM5l zvXiY)V5K3W-G(ilz@Bo9g@JZ3F*F|FPrl z%2bm4o_mQLmgUL2zQ9o>6xrY%QkmMy-c{h}dXzoAg=4T=U!Trlt;`)DgR3yIyPSRA zxHUg{Vj4BuRMOxbnNS}dQPmK{e$=?Tn`%&*d+XJ5_2MGR!nLk?XfyQI-9zT^Q1Vl7!-QOhn}eS5_D$T##lSu zv6SkKA8R=Z_Fu@`mNJzp)~fi^;Bpo5w?@*3DN~%RtHxGBRkd6knA*pwCED~Tmj}g= zveVd~Q_$Kmr9$1DiRA;R&ex?vfo80tJPiMS52O67$W{)4Ii1Bvefri{aDG<9;XeKT z4`Vy35DJfeyS4Z0o2ME5D#>HTiovEy;gqLabY5EwoOi=o2lMpNILJoUH&O)E6=)AF z;5VX23ay5pl0a(aM23n%ve@|DWThK_3w)~k)$@{wAILj_T?y6^12<&K_2zGSz4C0E zkHyP0$dX1g^6KSc{?G_w-QlveJpS&)$OqQE)x7n%{`mQP{wVc$cJhSHi}v+;gLmrt zeY8+dvRBsM8l(jRQ=kQW3W^iSiN{T^wgR<=rFFRvu_v}a_6Y}G%yVQRx*+dC(DVK6 z<>}7%g6Re@)05-N_vQ2X@sH>i=bV?epN9;y=qGtB!>b}<@GQA%EDxfJDgB zoC)s7l;O3$gThF*O!iO3VZ#lRG_s&y(3Tko@tN0!QVpS7gg&GcFp%x%(Dfbxzjybe z>oo*YG#eu$V4{BIMSi#DM&{Ks&CYEGo=nh>qHw5>J!xSB?OQY*b#!aiwh~@ivGTk+ zJLs-72j9w9aF{n*gZT_S0|e_pOskMfq|N6TxoN1WnZ}2Mw~%zW#@sb7{VIJGWTM?&ZF{Ai3ZxpJsergz{r$KJeFi_1BzE9)}SF5T<4y;vC*N_ z2e;^Bc=Z*+t)KZpn}rmE1I`44oU!)WCBBk4(o5uuzsmCE6H#r@2*Y^vu+68_-}tb4 z)0x?L*ZIsxmdAD@bS6aWvxi}blAl;|hjY)=RtX1B&KU z_r;tS)r=M19FZfXBo`$x(oV|akeWG!UdP$M(aA0|IKB>o-64MKVBUapr}jX7KogO} zmA{uCp}?HjbFY>T`0TKkFBuAdd#^JOp4>wuJniLy1mPb+S{X*MR zv3t7!YdL5%19XerHCr#Wcopy*8TmD*1k`~;uxVcZ z9hNbqOJskb>`2(M@Ul2Z_&kUxAd56S`c5{_atjo!PnmUKQ&ThhLT}`wsu1CC^-yVw zU%n3eyMhn>dOro5?nTSqCvb+na8-1D7;OVzaRNLbGQ*w4=7^S8;bD(TIb770nn>;j zX#a*PC~{yMe=gCoV^8M}RF9H}u;^=XPK=7PkszkUF4HSR<S-i=@6@S#gwk2mbtdA`#J}SBtDQrmLN~ zsBBrX(;iMBY40sv8Dj}oCtr=cbShTai*a5t)>mvuD5h?}!VyfC{#jH#pngRkZ4Bq- z!h%9&uLd(lk7m%YGAw@zx1cyRHN=_lb2amYDaB(rCAkB@8U zbdnTt6rtiJ16<%qO)+Bf3)(Ni!*>hg5f>=Jw^yT% z5A{U1&0yhuDLk!5>AgOYQODZcu4D2qEcf|s16aDwLZ=iBK4TxVR`U3+;Dy0-Um(|} zklPsVlT&jI>zjMp4dxl6mR5Od6rPgq%)Dc5h{;X?Z)X<=!@yu=JdES7L>79nv`SK8 zPkKe^HO2A#iU*5Fvn80i5L^{cB>5=)jsbPNXcBJhY!UuO{Wv80+`+=db)7QO!HtU$ z+#S=c8Ztil`|UMA%vBq9JBd@@>Pf`PWcq9!3Ey-~w?U+h>AU@D<6qv_<^8g0l!)>9 zDV9>#@u*sGtfK8fn@O-T7BhIfK!Tb+`s7@%D64&+8$Rxe-Awl4Em!Xj6)|rj3Nzw; z#fioYb_)%P0&!Rjb&l>fYm1WjccP`+gxm~?%9t1>9=+zHYow?9fXuj~$Ma9;weYhE z)S1k>L3S>$%ky6i5ytD+ziBisF`t&p7CMHzx9W$A^*3U0D%99++74E-X_Mw0VeXEm zxa18^jjBh8s?#j3#k;DKzzyvElc?ZEBw97fAXOzHbfE~+tLy+%kIu={z3D0@ic*Rd z3gL*DC-^i3ILRxJ-3w=8gccFaRV&7_YaH}n1Nnx&=rVgteFhhX>W z@VWicL*4w10364QhuG`I5coCyxGgPUe*p`1VE7VlEhcPLQg;3AUsy6$l9WF26(R2m zMP0mrvD&=w3)oK4o_^58GSu_ms>Fk466CHz~z7QGkK5R-F z9>S9Suy}8@t7yBDTz(@s;}5T#gs`DBC+W(yh!kk&~*nxFiU@CKR4Tl)b$OmwuR61}X9i({T{`@@zRJXbn8MAk`iBiFQWI@yxAA)?n zBkTGRxZCmGhLQCC)gM?jTnM#I!RJ)7PIK6*c?axO>{*{)ot(B{J)obdZn*drvfC$Z zsj$|%)xlDd1VhPt_E)%o^sCp@`BJ0Ns!&bBSg5UHw~VKv*2EH96K!F+{&w6O)PhZHqWRxKMj(Sx@^aEzH@S9ot?)FBN1g-f@RTH8zCNmU%#=Smr%CRS`2K2 zG-HEmhdIA~TKX5rUbu( zKYM%T^HqMDs|BoZkPOukbhvN9P!G0xEUk{#XKVI!FRG1ccIZ!}Ab7c$EcLrHsX$*h z0ej)lKe2^_w>EN`yq0ogHhq=o)=YY9!B5n1d3|`~wzWwvH+_}ghO1{}iS%!Hz8qYk zXFS&0*3f?qF{#H0T_RDJIqkI{4BLO!zBy01pPJ3}sazHbyr0KQIHJ)@jc^Ubpr&%z zS4YHS8n$P&2AgFHQ)OFI;wic4R$!)t%@x;(-$%{N!J7sSurH5tDT%9`cv>6vY?D(@ zouiE>98dW!{B)10C{cf2k@8LJHXUe?tx+G&em3*7zZ@w7k38K%OXllIoauPlJIIhl z^I_Ltt{;0*mxcFo@b+0dDgfkgo~=oUjp%8gvf)fjs%1DNfGJypB=7EN!K+H5jjb~h zz2Fq2VV4GL^VI}bHSa5fxLfzP>N|x9{F7stn>WR&%lM0m2ng!YW!in>D<^a4-G%UY7O=&Pc;; zJ)*`FCgFW{3p*2KmfqjFS*H{!`&ZI5w@MbEDlwD(#!S zWWBkw!84prGi)j^KkQF4yuNiFxK$HwiQ+TewflCKWnA$7j-{I>lel2FsAXzQ7G5;W zJchEgkIIx}$L?HXE$kmvdYs|jQ~n+(mX=LBOI&NneVGsz1SaZURp@Ukm@22eV;?4= zQoljZ(o`O+G^T%1IvZLl&O|3YtE{lPibVhM(1geEc(>dy|Kso+z03A|dd4Up>3MZL zD0ui#uxlcd?a+2}jmOmKvMYx6tj)1Y{wys!y2NA4b+>C|QFiw?&YbnBuTq874{iN9 zhVU->5JkNy9|~WOyW{k=aM|*b7pR3k2&gk-wJBuM@;`6CLuKoU{DMu6_45upKs-z5 zsmgqN-U{MAGsea0cNY#K4z15Nz0b}`xbM?%PWs1K9eTI`>=vy}E%4Ti@oYGZ&hPf; zYaHk5-X60Dq1JLmdnO!s+C=Oxt1&qmh2>jBi*qR=B!3q*^b`-&l6R)CC;nhpu-bCz zg#l2l&%2QT=xoqETDONJJv2T=LfINOo0B(PuU4bR5|Nmd-3m_cO$3g7t68;ox$%yC z*X~FT@OfsVZ8Fk4B&D3mnbs7(;jHbVkPm+WMPc}g)1>ka@LwUSjDO8TP2^)80_I7x zaf__jn3QM=0Bx?@({zCSoF3nmnuCcWcD#%BHZu;{_rRjb9rKm4+R!5H23x+)bZw8v zQ01H_;IAH`>A2W?`ji|BbCUk?R{eT(?FH=okI596ISNfc*e84XQ&}3Liqweq-{meJ z7LZU>*%Rd?`Al^jQ_(fKo3*|9U%y@kbg_=I-%VaiOt+wJA9g=Q$_m0G>BMLe_Ue2@ zkf<+Po}Dih_A3ASxLtHlyFR=NV|(e_SCy!!eb&LDy3C@6u^hAsT8Js1M7N=KwfBpu zy2`VtmVwTAAy;@%R&GlL;r)p(%S1QMQtuF5qcK*nCG+t*NW#fjZ-Eh)xLW!e!5g9~ zda3EcXxuNWw(^Y4-uD>0mW+v<;D}JkQ zBp+N?Amd&Vk>eouZ=``kSk@-D7Z>9Kt?g5&Dh z4Mph*9h6v=Cg<6KhJ;~z8i~6#m5Z!KYMM;YU@tT7#@tJP8gloGc^VU6QeICp>&jCy zcN!sksv8mJz={V{kk@r;S!tR`yOi7^j6_aeD*bIv^UU=r;X`i=$;G!gss~$9FeDn% zTLRpCi7>SeVA!G8RZgmW1Xqr41$$6bY>(An9vobm!go9@>!;PTSk6{jZ|GRBr?MWo zkGRDe>rdk^c3s=ZJ6F2K$lOl9sE$NdRqGs<(h(3Ma1kkvW2ehahyGKD>Uon!K)4 z-(|jG3v(RElB$VT4#Kzl5#4`#3w%DeAZqJ!FZBq38m7ilzQ-DIh+;jp-R-@S#BSdx z71b_US*5qt4yLK|`8Bu*a9JJBxH0aOQi-7BWqndTLen;EJCp#GA*7Twu={Cv$n0~7 zB{fAn?ylr3CNDV8aBqydS*Y&z>yV75=LdFtU zD2txRYb%{tq0kqI*tTTJTHl}h^x<_iMkdvxpZ80K?sdJjcJCm*L}y)%(e{U|h9NRm zNaW5Eo1|I=^jFnLkNeESlzl?aPa@6X^mma3an)9ok%6zuGcT+8$tv%Cw7F%rC z$h~3bwu=VSO}1n&i=lfT(dO12?TKqljxoLw6ve+p7Uu!BF1Nn1=|<%%K)w>cr!P0M zu0oLQMz(V%RHuAy>au5M)Te&&RF2*MUHR39J)@!WeDQ3Bgh2JNId9kvYYAEGsa>xk zo`jq6$5rvIG91;P*EUq^(|`L35SFZ;#u&XjVPpPsn025ja+Sh#8bGh;tK-jd6rwPD;!dZVzyMkzTN_n~M zxGh|NB3x$6I(^Vk4@tVYm3!^jwbj{{-GqcBW4^Wc>fA(%ZTcAYh*vzz zHCMA!+5p@BUIg6uweX*M{3@Qb_$*FxJWySxg{G%6%@6@CtKGeAi8D_4OF0PxoUE|6 z6fqLHWc56Ew$h_F5Va)sg31c`Xn4bVjJyGr-H|`kS!BgUmbv~Fuo*WAFxw2GVN$(c zONAVgpgDl_>i$b&+=zcomi3NBAT(PJ!1ZR`EpQXxTu2egizg* zH*Z&Dd#g*ikVaF5_>`AxVO&#Vbp2c>H4Ja4?fv~n`Lb?WaogxCZqs_qPpf9rkb9&J zwdhK3KqT1rQ-9?>C6Ol96`vM--wzvO0{$>nOpVE$Y&X9eOJmt;=6k2KRLQe%9a(Rb zqCPw}UlcAN+HT;f9=dP%Bl8z+yC7zJFK@sXA2zm~e);tTM^}8cNqltSJ{Zq$m>>_n zdTwM|)FExNGP<&kZ6uqaxo=>S)RSyCN1fK;Y&U1EHxz6)^MT#0Z@b`&T)WwFh5mH^ z(}E)%b2rj;p|Kcc+r#C%9~3|k2#$7I^*)A>7NXZ4z!L0KW`e;cC$vIsJ{zMB>bzq$0=8;@iz5_;D*5IX$s7 z5;;0WH46iAbmAI4i2=0R6<_%^CK}Nf989EHQW%NFR_z|`u6p+#AV4ut$t708?ew*U z!{vOj3hO$*l_*PO4uYbW12LyZIT+oNkGl91FY9t>$?G1WfID+bmdFgqMXiKujtRC= zYDuwRs)2i!JCX%;L~C;mO!f%^8razxu{=&cF_6ov=6&r_pO+7F@6eESNXpSJUNX(e z6U8)*SC;uLBrfTZa@xU9P-_BM)tz~>^9t(zV4)YY!s^oT(9;-beSn~@ueedvaF77q zJgCiqrDRv@|Do<3gLHYmeBXBOwr$(C-Mekuwr$(C?cKI}w{6?j>ED0uoHKLh+=!W% zH>;kAs>+O&8L=`lpQoPnT^|WS`!Dz!82*`GiiGWLrqFBcM?4X!eI>%zK^Km8xz0!L z(u^K9q|vA)olv(%mMdxgIw;mB@6a+7FER@BGN!MVnTMBpdyNT^__8y2X-8!$2sFQwkkMX787H zzVAJRE9FRu-H%QP$^Ea9TVOyDjA&wnO_FGfJ8*)LkrNVy;nfk^2@*8O$Ov176XYr5 zrOQkuMK$f;0V1%!bkpv=+0V|ha-nptxF6^!Dp zN&o=@`NOlKJx!70=k1Be!y}{p9UDy^f~jfSi3lU~Aj#VUBhM@gdhn8Ueh!l6_x9bs z_WlmMO;^;O*LFTz;F#fHfkq@M(8~}Dm~$kH&O!WRX2mGKfLyS0+0G>SP~v#d6P^*e zQ-f<*8kNa>r`2^Fu7HJDuR4MJ zZ|^oHG#m^BeoR0y;=YtQeU>tt{1W}DJwEA*yI$rbzQLJDX#G>v*&gfbf(t39;=r_O z`#5!uiVsQ)lOE<743X>{F2@lSQ%zCtwAhJ=$*!D3p_xy^dNJ@h8Tof>f{CvV);xl( z0Ib2D&-nf36f{&P#^#i&yjVM&n$$oOo_Wzyd`IKfg1>Ln46K4-z5m|9FN*pW zM0eke0jjQJ`)_eQsJ}3BGp2z1{@gnz@Am?oOq&De3Rvo)8e`z*>C$=X1pyfxwnbZO z=nfY4P5hQ(flbgF_{V^Tc0AFdW}0Szc;awl>I-U-!r%NFI|nZp=6VoUJ+n!`3-@=# z#uN8#wN%MwZ1MBE#lkcZ1&17acfTGFtm%)*t6E_e5gjQ+sz`@(3sM@;oRja%#Y^N& z+W}5wd0ylz=v4JPxyt?6@+7$D{>Zx&5;h&P%j|FcsT(F~Q{`z*sck+IIq8!XhDo7}fD&Ds}|74wU{oXAWe=C|gsg)t>6mtk8ku0b(z4&rAk`R6F-e zj17}GWxlIl%KN}=GSYJF6azub@qi|2fQlSS{8*D*H>c!%P~vQAWd5_0AX?yC;TC$T zw-LB`nPMA32pF5m9KPZu^A~Hek!}*ya=4d0NNa6!Nh$SCAdILh!ww* zJ=okB&qMKKalM7N11HT~j9d|G?wffzGU;`253f`tz9&1TqU+J_962NVX0=)mD&t)lzIe1_MHi4DaimF>Z}q*>?2>;BU?W5%e&I0t3|PPUQLYh zf^N6O{GrV?T+9fAE9l~K$NY{T#sa$@Dvd)?oRlUw*RPDonKMo*`s3OKQ18Z_%IIc| zh2Huo56-il9PksJ<9lYhJc=nt=KPKrzIt%z_pO9AuItclThE?EsyZ|&bN{x$h?}a3 zTMU*2wq87`T~nw!v}eU8A|M5ADq%U`OQ@<_^tD9uUry8xCUW^pfHS=Q?{2$0LwTyVie=II63MEp9<0YT0%@XaQH5!ZnErHb38HpC+mrVw^8%%Xw~)y z{czt!5^M65kQYL5ph0?_Sb9Qan|_~dz^oT#EJb?8j_)0fjx57j5Wct3pWuh1S2u@{ z8DZWzV3Ym0e9%?|9UwWK%tN!7E|xG|d7-EPn`n7F$wv{47uujtZ={saYBIaiRu)Cs zfqZ^!O?6;n9HmU;-&1v6J$q zicMldoN7^pgqq5kF>bZ1Pa)gL$q_FQiFggq%VP;r40?SQ6M3?6T2jM*mS{;v1F9>l#Z>z`D^X2QT-KW_SI6ECFb~=LG zjml_MzM~d;;~Drudex#er|K5ia^Fx41>)`X3bfy;pB=fyJ7g2tUu!@*Yy@@x@e5wq zs9#O0HbQ;t89}$*$uZMII)%mIdXO=Z%cUYZB78|Ae7D|q@~B1Eeo$EuV|(JS_ciVw8G--bVAb6F(QFHIK)*|2+t z0B#;$ou8_YL#P)n-+{`ZPx#_=7pOXDT&#a?g^*UB}h zI}tri0c~8^jqYB;{3gzsIGlfPOWtLN?YfPjF zLxgu4^MFKQ&>mdQsbF% zmsa1$EQ4XtnUid_Z|u`NWzSBs_kO)h8;_e>=8PoSmU%0i(hXr9;Z|yBEpC^kPj+B6 zH@la}F+?{;a?+JIA|9<-_>{a6Om%2Zg=gr#7EFn)Pu}A@1ZLiQa+>fX`OSvfC1lWlmo2%8SUxSt)=+p zwa(2~>G+7lF64T*Re45ToXE-d_A*vlDGPUh5pW-(Ead46OI|$fU;G!t6his?-EATZ zn5Cs5l+&{8-oG7>~o9K1s6@)#_17HNyw2qnnx4BD2FE_) z%yX2`jE@)(-kFN~N;l2L?}*sT#(;FszpVFzny8`c?x(!Ev~rK`i!ZjTj$Y=mo-4Sj zi!Y5A8ZR#Q9QsSIp_jaq`b+z|8vE&{A&JZbRTzsuLYPA!yoFEIp*a;P*})-VXRjuE z;4S+qrzF9>L5w?ai4mjwKXdK}d5Djjq>BX{P5v!&tR8_|C9$WTZ^_W8tR&&VB`+!HM+CRo`ySTZ+&DYavR>4_6tbmw?`aqiP#B4 zM-7?&BFzJ^7WkVqvoTW=i=^<5+?NDlrX9F7HC-8^<>0Ovna7&vs`Du5-xT3|fDZ7oY?pPP)X@A{kX z`_P%g`xmcnKe~fRGv1!a+5@;7WiJ-p0lXs6rZ~Pqyd%q3q7U7sFw}eJ5BV>2-5I=N z*}sSy-i4BPk_5y-RBMG^Q2)E1F)!bgz?5bZ+>_0k(s#s>&+Vaf(9T|w-gaV?Rd zg98t?^(i{2v43H2>FQ%#BE-zmE>UB{ANTBUgH`}=Jrt}8y!R+>nY&0>19!LbUCsHr z#IEPT4WPWm@xreMSvkpd@??i1^{N?n^MxKTylGn^k@mKo)H(IhS3uxA;gI-ejAoMX#uR@tHs6HfYSIa^$J(T8< z%0fEs>y|pya)CN0x{h}o1ij5{8?`ZRt?#~YS%S0T^Me5q+a3d}@3RUs(qo{tw@J-# zs>5~(?UdT0**}1>BF7pIX++R#_FNTL*G*|4WDTA&g*y1W<84uy);q5?#iAmwHO)L< zJ@RO2&#|sC&HSFXD)bQ$?5kayel>mMSD4m-X|^h3N`Ni!~>l@_EGE`a}=;w{Gn2J zBnn8>P-+(xI&1W9R>cw%Dr$h|nI9Ek#S#I6V;QLL$f_9B1Kpt+R09e^S8secmcRQK z`MW;d3I-IA=Mb&>P9y;pwcX=}F~AebZ~JKM-t&U!$)R&Vd$UU2HzW1D5qwALzaFEi zG+_=CS6Z+0`*H6yX}plzySkb_<1?ChG*7d-uUvP*@TkAO@PTOl-pA#mCizARLyh-< zddX0?cwuX~flAT9FVXGD7A#!-*$(L#?hc@l=>10vlO>@ik61^imI&g2o;DBC1rja| z1KWJ%y#SQY2wxYhX3O{71{&ZSL1tA}&#H#6gk(?%!}3H5)dcLobd$t0GmmOUc7Tdy zA!A%&mwyD#_bU@^kc)L; z;~K~Uk1xmz?qzfd^aCGOV}pRVHIH3r?SWWMQQQPj9Yo9A|0W6k}HB+dH0b>X}SEH&p@QJ(*_Bf>BhxXtSX6tA=R;~+7nN= z0ep=kZWC(}{+@|TM~%agXaPe0&Ld5!{OKn;P;6mq9*rE*b#@YF_IZ&V2vv!cE}XQHQXWL08NW{ z_YI;3D=EZ7sm}tO6z*v_riR*MKCpt?Lnd|z0ggSnU52e)^t)wzc{i5JTr7Wxf!f)e`~DW(Izljyd>r!xd;6BFvaAMXmXgbIf> z^lknsAIOWE)a4Xa9_WhhB^jD~x`PL{e)d-cuGOI~=G8elaW~N;3G|SS^Fwxo+lqh~ zY_1Oiy1O_K*h$$J|M=t-72QiptSjG?A8$oD)c3@?TC1kD5xF909iEvG=xKY4E2{`yTZ>s0@~9SOFt(T>5oRngP5Hf{)2~L z0Z7P-xOWSpB1g!Jc%d=RA|uG{rg*vW4m_{hU=%^(T3v7F zTX%=6ha4x)l&;%cAp4E#uP$JyX`TOWb1%HA&Vu-v^vsr%qNJ^>-Zp`8RQ2fUSZCy> z_IWC3L_ASOyLv>8Y3%ixNnu92dqgISJ&R)`a#yA%vGX|_F@qT0qLk-R^4aD?z^uDX zx{;(;oNh*9$)ED?xLY?o`oY7iG$hr<$(b?p^+}pV`Z??pW^iEXI`A|-SifVc%=YG_ ztqx7%XB>xj_~*_V`>Pmj$2~1tp7pNMYxw7!8vB~8EE5~CgF9~x+4@S0r7G%FL!0)iGTB$?3Mt~+`!4bYFZEP!LKz+96z*#Dwvt?G z_rov+mWurIIGvX3$XziO;T62?7Dsh0;T6SG=!<`py%!ybS;Ao8RA@|(RetYHkpZp! zD0D(9RP-v5|DZ58xvrBsD^s=Y4ZsYon#Ge5uY|AC6nf5CHk#wQqHn#0)FaMtKeCcU z{eE@|6W$hoWL51d7TF72U(k&A&@A85QL@!}T*9C8FzEQVlZ+WP7GUmRv|psJOp-93VSt%Ugwucmk{bSMMW`9)Q2Dz*Z=0{vWVd;jPRFKanL{ONc|y51 z@aPicrQUZ#qf~mPOSP+xfTuE85=aldm43G1Q5IS$!!YCjhY_cj(_I} z|FKjxjw~&}6LcHrL*NFSK%bzirsw~Ce6i^ssRFPUh7XtFfpUaJCBP+jjbQT4x8jU#vsGV%&4*F_;lvsmG3 z2l>Eo`wR4;XKV9hAZnrCE0-L0TK~G-|O=g?RnS#B~)jkyXE(1VY%<@I_9AAZ$7JtA~(-PxOHCTtl_}Mho1)=pB}|9 zJ&j5j%1X>EQO)NzaH z+$Q5*`~7&sBEzJUA1mzM59{Wxct2kyt~1*xUPF$rIA1`IT``&N9k)*n+A=+LZy$OaZbM0TyR;uZ=RR|I0Ckss!J5}%SU!G}4p;f&6#FVN zvvl0ra+h^f7O}}Xh?L9g>^y7XPAW|2C=;z3avY^~#|f#HJYr3_>QC=EP623vywD2T zAryE8#xuM3A_|m<+v1KgIJ<#J86m`RhfV2`R4u$PZ>7D)Gf?Tcn+`FQ>z+-h+@Miy zC0$a!V+@r9l=x@>t@%4ehOXAvlq}E}qiSlWSGve*|I+$ZjIm4|!Whg*flaB^Wezc% zKb}3_)=6ebsnfHe?x=f3{?scA<}PbqzQzkFl=N^TP9PDWfs-Hj!k7`ABzdj z%Gcn+wBBiRnHY7=Zq<3_)rj?e7YX*lu5=; zsd0*peN;kOc`CUt*-7Ik6p5RTVp?ca9ZeQK94L6^ka4uRv6 zEgtVDbzEh41xH`sn|xQBiVYP_5a|g#HelYgOCFNkAUx)R`W((^+p4=hd^41Tc{W_M zTS*ZFydrKIX7VlR0DWWUkKdSzzi)-_bzHsF)Dv>|XcDnlC8w=cC5CUDrkz9#fCnGchyMtDt9=|7#%ilsU}q?DzfLDVGrX<79BnWSmn&Cc%#%$UID)}W z*>)eSWBh?E@oA#3HG84i6k|EP-*l|S={~jemEnj*45} zy z6Sjv1Y@e|0DCp~SD`T@zop7{NDky#T78N0$VCKT`cl2^pV5uw?c=WQ`eCss2RU>r! zf~uEOJLs>+0;O*?Yz$oU{)51;Wx2_jz|WV>aH!Ja$gx9MsXS^W!oLE_N4K9N*Fqwx zhS2(S7@1Lcw`)O5@F_$tjgiUa$qat*^?kZr7yH{!SJ#PgvbseWRuGvj$A`mbYuU2+y>IVEQGY%wd3dPuj zG*m?M5saxQ&hopn4Du3`#bl)H+3~XGzgt6CIE@Sa11-&kr1&SNlA{^H5h_T!pmF9eU!U+tsBHS2w7;X}{m7LSNH(Nc zrtAgemC07V4>->+8pFm%Z*xxzu13LKf6cb_2Kab=v)N=Fx_{n{M1NX|&x~>1sS}YB z(bkjlU0~4rOex-Ks$}|rX&bi*ed0M7#5)?CEiqXx)7PQ(6{${YDO!Jbh#~=&O#QxP z3yMJ!o8ZKtF+irGoY|9zsB7r2kC@)>etcn1(NkKW^}342OS2UGN~ltaG`dg@Yx+ibgiX#vEVLc?Ld*ONL!F8D3^dJOp3d4c z(8K&uE~&O^B*as6$xv}Z5a z=BUt@fj9GhAW*C;xph%Tr_FdULPjhXgP9?z`p7-LYWEe3mB9s1t(VKNzjIX<8jH*% z8F@Ggv-YEum-VQO2ogoId&FFYd~r#sw&VUC6+I-fE~8Sp#nfL_1!YGyr_jxHnPVr0 zJ@4#cP&$YW6>)!1Mp(pHm?Wg9+kT&{wgrnCvt`5Sr4HTGY-Qq|C>Hd~@+N=cjI2J% z8ae@@&KFz80g49(i$w+10{sZKTt`eqCHD8W2sN$2CwE!P9lluAU0z+>3MTGBI4fUY z`$TMcT}z1SyD}vTF-lD)E1m6p zrfIt6aGQwr+B99-SmKw_PyAW+i~CUD7?I~6(`}aKOQod`hn)wS~TYXc)yejsd%}8W-ty3$`{&M)~q&j*8s0sm93bg{-(qR&+505IoqcO-u3V zGwjurAs0;gJjG)`;2kuPGNCK}st`Gs=72h#$I+-N^&}-@B_>r+yPwa>u|a9XzzsE( z(5Jj!5|gSW>QD$~<7(ru%Mk^=j?3ReYaX>0d&k4BrDwpiXHVD)d(ui(!}_O=$szX1 zg5|Grt&uo+J<4o$;e+?!Y{OYb_k|9)meQe@_LX~q1&e%Dkz2w z;{(+PhmdUHy!|O{CXPU*m+uEld$OCF(S+&-@pN9@x}Y8^M4Tzsk#y)}qH%3* zJ(ABCnrzhDzWb`c94iN985rV=6x%QqtE)2d?l<|W-y=kV?e|TIhF-$kB_T&<(irRo zA#9s&9m?%@-*tR3a~qI{ftRe;)LNcSOO+C{ zwB8;1vG<|&8w@XxfQUQ^U%fJYE!H~zh%LcZ-vQ27Eo%j;D^cO`_YGCrYwOjSh?I1# zmiRDy>}O_JPx~qC0tPM@vmyKjj-Xs0Yq`g(FrM1Rd>j^-%oQHsu^)^6xEC>+V&Anl zsey$JYfRKIYsLmlh~V5Y*YidVwV?m|y|P%QUm~KSY+7@I{{GIJ)vg3Mlq>w`1-2;we#d#Is$&;y8Dk@7v+_FO&UdY~*b0IQ~JRzX)})V#O=Ndfo=XJV(#N*r{%TuVY;!BS`{P2Bt-EN5(fLmBXvkZv z3AjgzEPx8O8BmeE`k|0jzRps;{oZ;L~nmF8;d`u03TVXM(mx6`9sv-j=%OfA6kZCI$_qC}rB zUJ|U#g-GEfI`ZadoL1+A28OqRSgPwu(%kh^8i*mogu(Y77S-N5cEn3WvsosQZ3u4H zf~|DE7`}61rQ=$2x95ZSp3CrDg`)ovb9H`q+N4p9v?{V^aHww10A(~M;d5#3hS$K% zjAoB|`N*2;r&KxfJZ8#o?6!urEMns|YyU)zlsn=16t98v_ z5b_X^7)xp~H)k@Pmd3H(O4d-~rmgj%gcE|oa;RBiiZ;i*C(fAPU`2qw_aNK7_PKJrjq0o_G6^7f)K=$X&19~3F z2{dv+t4Hn^Tvy=aY>9pf;bb+lRIm<4k_emfY5L)_RbvmjeP{WuSsn;c%<%E+&DE@# ztgtRZbanljQV-R)tix;$$OKB7N@fVch+)xK3FuEACsSjD;(MAGYBZk2-xCMBIj3gF z>CfcakkS(_{kT!X-b=~fOcW>WDL8Y#|D^7WwS+x0XP9>@Fia=cE7M?$eYrT6XNIfO0h{}M#(2)P1U(-n@`=Tv*d z^>0DMwl*o|A^EJE=qR9ux1oI5CaragGO=iG5qoTEUKZbK)7vJVjxQ z7VNvbOpBd%OG9?~NK0&{U-frk`Y3{5AHZ-^M`Kvq`tO0S5-WZFt5^ObDe>P>XtD+t zicZ%5AzqmICwTb(%0QFB=lG|_!O;ny^*{S;NO|1`iw)lUTJ>huhd%YdE`%5!{ifI^ ziV$tZ{0{y=pT=4_zdh=9)l2_1S6sjHEs0;J&S;$FY$eMk!rqk`{_PZy_H3*wTEu~=<_hS9gUN-A)F6Pivk z&p+oi9sta2pW1v6WNe#z`inNd9ak?+Ha)g#z?`?RfLq`&q zOWM8LuqYe$xV#>Fs#4ol(&>-dWvlkQ(Z2WI?w;(~oSv7@bv+ARx2=yiRpety7Yy5+ zBM)s>crywc-F=sFEHN{uD*lYX_~qm*iQ~QH*3fM?WeWAW}~Cl zw+ll@(isTR)&&o;mTGlE2rijo2BP4wpxScCMRD4rhoajNFicbIw%XMpLx4f(=Z4m; zha#C|4wm}SyXUeD5cL^P@)6rgK@vWqDYn286pV#eMN0N#jOm$yAm8P*x(&jdfd;}5 z0iFDE1LiZ)RCFL6U}-z}^}2V^sIMfu`Yt(%;5qnG#=MF_Ff3P?d#K~B}BjGiKVz+A>)A3c2*CoPWOA%%Igu~$}^FsM*N2u!t{3f51iSdhOmoJ?)_rhcboKTTQ~)O$e`&&o_=iSh-=Ls6Z4p&Pg4WTJ`2v?C#qg5Z*C zNvkPydDG!`T`$44h5X6K5!?~c0Hgq^f3#+82-ZQ~^!cOn8yKD}Tn?RXcAv5>m9Pf= zy41#f#Gq?BPj3#nYHggGfGu6sz8_I&R%U z)5_-r!mQ`YSGL2j?|SU9?UX`<58pY$SG1-wHPs=YI|ApblK8*RDS-(^Yg_VC4t0j1 zqzn>(R9E`&QQu`kYAzr&HrSD)XR7!TF%ftyL^3`2QhyPnQ|kiI-4=oThUqJSG>@Gv zHyUsD3V+Lk%X@{WQAXK?n8lHC$4^m;hvCq8E@BY0xGe+OCLl*Om*!#7^rd703)AWm zG}c5}NLESMXT2Z+lo=&Su;6p0o_T7c+sg$~29;@|GzPLvB%Xu=^Zbs~$2!HSdvxZD zH~4$6%p8`WTp!A7U*D??;ROA9(-vA~6@pmC#wE^3gpVJp=#f{BP&7APr*qHF?6rBy6 z{>6&@7x?nu5tsiyTsl>AqaSoE11tUiL5H%?voQZ4asSV@`@QnL0{|~6Dj^C02nYZG z_;Ub!uLB4HfPsL5fr5a6fr5d9gZ+YphlGTHfJBCcgN8>#Mn^|OMn%QMA;H7MBEm*R z#izz6A|<1sq`<(Vp{F6ICn2XG|HlX*I5;@uFGwUvNF;JhR7~>!ary2AK==g=3dRo% zNC*Ig00@i#_&o@K4FCWH4ER$3{&xWd1_1*E0{`_>D+dn%2m%NU3=y_KAPgW7 zFbF6D02m??0fT@(I5MF;3Zp%dpn^ZDfkQxiJ~0yutCMqmBeP>e6B-GdkYNI8K_8iL zprTUZ?2Yn|Ma+Nt`ltNw?g0K}66~jr3jqKS7!U*k1PBlW2nZP9XIwu6B|v15zX2A| zw~x=S@0%rL^xs9g?I#i}m}63KxHAZ707=*r`d$No2L7ipFaiJ{z{h8eWe3X}%Wdjk zA}f#MtXReSdA=e>Dv=08EZK>u)&&@(9Idvum+2zWk#aW%(D3`IfrqkIaYeI}s3vSh zqc2;GzB$s?u0lEZel!vLe=D8mwzi5Pmf;~4vOPIKRGchR6BYPT@8l30z8 zA$no|p}JJ~WQP&#SZ>GbTCR|UI!E2&Q~lZAtj(ty(HD1U>yx`G>Ou1cOJ78D$wV9) zfTBAgV@NfpGXDcI4EDKJ)~{{<778nCE9#(1@oUbIG;>gxawr8cLeDsHT&`zM4OgvD zOTzwKbw&NVs*grQ68eVw-_QMm2~7b=i6e3BbMIK;Dk#l%MqnK*)zu}C3W5vrX>8gX8P9#SDZqt@aJm})` z#CnUfib(D=4yZ6CTRbAU5|A{7$R~j!BOSb6h~3Ync|MI(tEj(XrlxEVXz4l!r)tH3 zA+Cj~p#!7i1FslmE7`iKw=%R-2!PKSc^cA-J{s|y}J%q%vsqp?ONXR{Yv{ImZqGD zyPXnOC~D1MiQ~h~q_fegM(HKi2iSQx3?L7%&&!of z7u!KibR|l=CT&j5~z>un8Pg=^?F@)#GN28O3LNP|A`LF8T5P*f3mDn zBc)IWCS%79VD@pg7c7u7brc*RNnxHIa;2G;s?okFH27TgC5GWDS>Aikek7( z1fDM4N~f1vn+?0>5Z0h2+7fbrreh$bI8D~Mq4uV8%9E}2@Tq2@s1qU5F6;UfqoBQe z{fo(P8{n9gWZtAGs2c_u+=_-kQ1Od2PY^DgNySD@HEJIfcLF0N%rU6v2}9BvtI5r* z@dha9{f<-$>5z9xHdN#^1#lbP+b2Pgi>(+lIZwsJ&fDqFfU^`^%p99V@2 zMdW#8csjCqGxlGY?TszW-hFbRSs$Xzp>lT_-j@2%)GY~BB$RUYKJ=0Tz?@^^9m#|N zBwYTrNfCG3RzbU7smEmOBepHsiq{jIWs6fRh}DnF`NM@h1i=)8tey9^1pM|Kyy@2~ z(dJWg@KzaB&1>|#gyCJRqh;A}Hmr|P2di5m-bZpF^K<6E?>`2g@oyfkOYoph&MU8< zjDv&HeZy9}TMe4V5j7+c#`v1Zx+TETmjrq58lqVe}rVIYU*}Nz7tC ztxyC+ja7B`9An|6952UYY{bN>gm8s$`7tu$Ty0Cy5thNMg{NTVT1&s6pZTh-3i3ai zwf{7hbyAx0}8kIcwRlDYnBp5Y}dBGrlA)0G1J6RQq9;%FA14~65|nL#1=Ej77BS8ou(P4 zt%vqPFQ}!qxD`jL2)c4s9Kh52<2*CtHGg@WySjN2K*n;{GXLVN>rWfic4Ib+*VR1Y zFa0Lhojspc$I}Ebr0wat_4&{H4ZM2{zGvl9h?h_s?KAO6RSNMmQ-*tl@h|Q9s3cgm zK74Gdl!hloocj7yR(^30#R>0eVBmx#B|F|#&J5OW0UsN&_7rg&UayL`zn5?ytViDf ziS6G2-qEH_(+OiJmzo5_3yqAB1!OV230l_byxRib065NeajLB7b|lnGjka=1;%iK{ zHYGCVZSx4oOehcvSqE7MC@$zrveFstNlRNk$pp2D9)d}`r z8M*67NwxZP{fJjm>OxkohICq*R2fFaN5E~IJGd4&Z#w_BV}XurvtGj^li~+X9x$1-xEk1$uKEPsAFOmbETB5x+Uvw7Lsc$DrMC>M5Ps(!^bo!X$MaF;CNk=r>kL)aVpE=g#|14$R;x? z9TE3U+F(wlh8r6@36KNgzyTI;dGh2LyCO_sC+wzFb(})8p0r72Rl}EZ1cgLEXjlLz z*6U1_O?nn|G;TEIVa)RDmcK5O*{i?c=k^b6yu<<{)Ki@hnB&7)@Ae{s{K2p{hza2w6rN z5EO?h0PZPfRbJ%pL|d~uxogVq2YGjCo3aZCUnQ1HRS4?hF#0qV?dr~`z5JP5EV)P| zfIqJA%FVWS#5hv;2Jl@Y213gD`bBZUW!O^4)iHT(dn%6*U8{S<(EIRuJ90Q}AM35K z+#%4x1$)<^)l1U)^_55${J6kM-u`=^3D-Gdq=*$`e~5s9Pi}06A!jSs+t|uS>KOZj z>BvX66)t^$;dYzb(|smdeZ7^@jI>6Wnf_Wnc-Xldg3@|vfxf5=2$l;D1b404kb=p$ z`aw7nnhLKzDj95k{V#=N&rEwtGMb9Ac0=Q0gtmYnP zQZjLgPSx0MNpY;5mjk*mE)Ymq0TZZ&6awT_8cA3Rj_-M{?`Oj&YjW!4jqM@DBSLn0 zQ#RyRN$F4uN?AEC*baQ!rsxT)5!6QRvhu*q_#WFT%P8C z2VO!EbGDC38$RGNtnrD7%Z4?BsFqs*Wq8DV)?PPDcZc~u@Q!^n!@dEA?amw?KeFpf z71)aZyw|YqIUf2V#00efSi+POfPzqP+$e~%kL0Y(SxS`U6415+A;Tjg&4ncwrEvj_{vu|)%jVT}<3P3^P zduEyYbeyY!s1cc6HFF_Z!i-ju9dK&|YmIBW5iG51jK?AuQ`!Z=zFx_eb~i0)Dim5S zsB06~yQC}(Fr+yjw1uSXNyxc1RgssX_bY)%>$`kDbg+!S8j1OEw?r};jN4|)68$9y zf-~HJ7XV*YEwnk6$4$IzUi>YPv|=OTW^BULHU>$dFdh)%OE1T{_tcqzX`-@n1gJUh z76SaoWWuo~p|NV>Qosfs)~k&eg`z*lt3edtt&7Fr*{n}lc)hGbNeOba!xHDBTPV($c6%=Wh+(_ults@BMxLfAD|CajZ4-ey#I7*P5BNuIr-qT%b#1 zVP0Zy7SqoyO!84{e4V)Mm&gsL%k`HHR60+;nAL^Gz`CHM3HgP%N;`8*FW-3YM6%ST zzxo01*jo66qd}7{P|hlO1u;x;J3lC>9nb$>xM4P6m16g?N^0I0d@bOAeFgER(B-*; zkY7O#$F3kIhBLoo4gB>VFOk+QsaVU#WU*sE)IytG*7^3(WsMvYH9=iUyCQ8Ig&cck z)vgY~8e5mxvJg)ozLqD6(6i`TF~sm@hT_m=w%5B9cpAab*IUIPDOLHS40A-X_c3awWZat7nAM45Dwvt}81HlxJT)I{Y&NbqH!-DSPqZ{~RFkaJi9HagnvQ@5 zVtxMkpjxxuBwgh#CC|Rsghii9)S2;=FNX*l%vB6+8J#%rWku&43H_u5cS}nZ&U1#v z@}eD1A>BO~=>dv4q9tFF@1)P>yKL62yIXp$5Gd{q)ZN)4xX($=l3inObT%zK-u%Ny zy*lPh@Suv?cQ;5j!#Nojmaz6t+4;I7viMTha z!1b=vm1J@N{Ev=qrd36eiF^Hwh7bf6Ps6bZ-o6u4hUMXo6g&QW-Dv$y;zFhac+*Ni z_RZk^8MlIvXwxG2;7^LYTB&bM8pp?1kfWOwyBr(fySn1`bA_&9qwt_<;Z^JboF8-Y zMRC5$V}`c2fi9@v3q$w_rMI$1B6=AI+PUCV;OBQ+6StC*Rh(c>w1*eT=PFsJQEwg8 z-e26!5D?z5W4gT=cLE&>Aq|2+br!MFmicTOzC#tzI{xU z(e{5j=;9U80GxGrYh; z$(sOPNMksX-(>IzN6Fz>^Spb^;H@5hDRF@eEepE`Us+1E%PX z6q5+kmpdJ)=IDz=qbV-vL?yhNcWgYV94mf&5hY*d9#_FL)WDi?Fk8rrdK7XSa`+?N zu6Fl(()ZtHr_FOcCh{Qy@ZPivC0w^nu*9**-mmdA}>yYdFTq#?iq|Juw z^4M7k>Ndkv>}b=oO^>~ zamoXYp|%thnA!ZpPNP)HqJz;bDjmP3yJl27psVL!!ZM$sCM@FGGShR=d>rKYIbx`B zIOlb)VtU$9wu}E+=tOYIU-1H0)Iz{Wkt+XrX{(3Vu7~W$U*tXpxERW|2w~|xX-%oi za?i)kG~mgAF&glD-=uzc%%8h~X+u_gsQt9(gWU~i)7Gt38WjGRTKa6UVt?7u00>k3 zx8a;Wx^0d9{`=&jba3CNs#DvC>IWeu52;>67lk0;m!o zSS*VBB=7r|Rdo8Rf>p2wB{x*cTDGl(Mqe*g#6_q-BgFbV?!>(ji#tb7Ha6xQt)Mmc zrk6vTgi`;zT(}`ZiE+?n!2+u#dzsT~CP}HJVONB^#5Q4KIrS`h>c( zpmFjU(P+`H%8@Pm2iD^XHz_u$TkYA7IM${L>d$y@#p$YPsmdH74PEW_ z*w1+cBd^LPJl?W=9ymW`N&Gl6ugRiHXk&JQ`zsIT!{|HRakskJBm}_2IxLw;lpsX` z{PD|%B9x9LrKc(GT3fr{YM;__NgyQ6i#Rqpbi9NEqf14$QO1RWzMGJRr$TD>Gk(ko%D4(xGGaco<}vxyC- z@i%?nS2`+!f|ktt9nP3Oh2T%cC!Mk4Ed|A(J@!0p^c;*ffz6Tf(&_5VAX=HHXNca# zGP4oX)KIapFHfFyF+$h5R<+bmicFZvgbJu_SKJ)GgI_Y7o;?~*(P=&f5frqxCc1|^ ziuXNyZcp0HHYo0@&DX}} zxm66nLx;;d_aUMw!?`B+4*DYY4emoa4MphHelI2S$!Ol$i8>y?9fPS6X(KHBN%LI| zsz=58c!A&eE7%}(_g9ME9ONX3k*h5r-xaF&&N-1t(doDg5#>-%5|;ZKbIh+#i>qfK zA`mK!g}v$b$PAq%3-P25URUnNLKZh%ei7ErHdMh)&+X$OXo{|O67%xw;;b{;&>nR3 zVzi?F*g}+ftcGk-QCpMlRVyFSvnB#Xhy)>1xm1aI^+~Evi2%?28>3yOQp4p`CUEWt z-HDAPzs-_N78ncnMIl)K#O$stonoYW+phIQy?Gy*qMvE(=z-Bm?5&XsQ|NrCvM@8T%ke?^R?>Z-Qw!m*G8EjAF zDcFedi!xEC0$%|uew?^Pn>^S1KJ%;0{yrXmzSI-UoFX+D;)EF58{`oQi^6PFuRi@Q zE0H>NSUaEfpB%S2o*?`(q3-tl$BBxC@H4!PW!IhcIx?fc)DhaMK=r=6+2K7(gz%tY zSUL*^W2`WZ$KC-;9Ii%DM@uzLNyBv8%-trOHy4n!#s{J|qEk3;H3e?F;ZVnSio0xN zxH6k~*Hj{VL^1@Z8Rt=B%ET1l%}F1M1V?o*XA18RWRGByY;L*7zo2z2lgk6ldASOS-AEr%3`O&-~2Z@#4696w6CZ`QzJWZRSxoc80ftA5lrd z5M8z^n@=!kbg(j)Rn2rKN(Sm3*;`Qal(SVQm{ZnyQgI)DL=ak}C~aqoEWbG%XvD1e zsORy*R`(|vg)Zt75zbB-pDu0Y-Ru`sZHkt`FFPTJ137L+j5v!1SCHSsw%I9S4I`pa zc9ewS{VIMv#10V$U1?i<(h`b9Klo&FYdFXk|ddNYPEfsRyEX-({zGJkY@RC^04`8eyzD^+pr@M~>{C zBc%%!0!^g-o?1~sC%z8JO|SmNwL;<%cK-nivDch8nc*YzrnNV&Mc+$wpW3B7?I<+H z?#Whry13iR>fTbJ{Jr+qTKk3TtMkSVv8^$hql$=?6z$iQ`hL{Zyh%jff@}@pX7Yqp z@3MUZM5~d7*$%G@_b~nM!tQ!w6K@S2YnqO^6;kC>E1|qYraa}jKeTv|!p6irTc8S3 zFWr8g|77fuV%)t3c`B*s*na)!MxlL!n)_|;VP$q3lfmIQZ}M071vGVxB^sjSuOM7L z{a=%*U}Gl<(CAH%187gl738a}XV2C`Be^4mwFE1$rls!nNBNIYw$K;XI?=_s>*?xW zaw*s8n__fT7vJRFcpyr8;@gpcWJ!aiqX!4w3~w*jx1Gd^&z_u{@_l|EmC5f3g*Zw|^ukzlaURn>X}_K-)aj$* zy?-)NV6m4-jqB60Z0A;#{Jx(}og^kk)|o(tX-j=XK#kuN#`aW?QRb(@?ELlI>V2APoB)n-Ey4@W}r{28dBC^9+Nj}xPtU+D|jERX87V(6nrq& zbcBBj+BU+~>Ggx<R3izCY&gm|+dwHrTZ+4>l|g|~g{61JLw=8QufE5YmlL$gj>}Zn{b$`9 zCcWlF5utXyGR)%XCE?5eAR?$JXuMDP!jZcLZ`i?hlv^IID4V4{K(B%)m+uDE4h~3& z$?OE)>FP|}U3S`mPkeqZ7%82|fFv(oBZ7zIjELm3L`XxG0J*EXXKb!*+A%A|=JP6x zE>m&yT_d7k9ezDS9JZBJ9YyND-C2+J;tH4LaJaTjM3=ae_X?6$fOJ&!lv!8J)$#D# zw29BHJOiCqFe`RZ($h>A+=qtLjA^LP0!YA}ymTw;B}Ekqb<;EUl|lRVqB(a}uemFj zp-66N4I)&Stj`Kn26etonso~iQ ze3H9jb21H4#0*dm*ZaSS6kNILI%beWhI%+8a3oQN3%llj6ID#iyhaj1=Tj2dw1x^1 zTd!b2LmN~>Hv=HbIKN|DJ*eT1NQG|ys@T=0(H~fz>y5sl;0VHkacxbC)Fu0MfY&3v zxW#$N>g;-@!4^9}eS8Huj26|VhDp?eN5h^kM;^e{70P!&B+wEfjV|Js4<0hxuV|*uOQ*qhA|rA*2<;+ z9CS_8nYhn~_fF1DbNclro>8CyEJ1pZ_ypB$D|4DXk>E+YM31hv@oX2j41T|y9ZwOL z6NVL33r$>c1!u70g}p*s6*rwrGzXn%BBFY@Vzncbp|0OB4N@3it3~aGbp`oigq%aM zVDdK%eE}9~OA~ib7ezI`yn-xo<$gHZ>lzO&*8J`xF#A=hrI;V7x|b1&Y)~TtTupqt$$I(@Gl2 z#rOg}hBBi)2ut~7mvb}{8_2#YxkuS71_s2T`~XqNDkWO+xj(0DqHB|i;{4JrX8Lu{ z_syUdz!H-+3smvIo3>6((GeWFvAM$`23Q`N^Q-<3mQf^(Ird*LV?eKe!Ek>|J0%m; zb@QZT4?)6s-zw%kV$6A(DAF7876}s|tqeKp5)s`|PYDri75GA z!Wvzo&<54azj}o!O^-78uv0AD9cvG+%#?3VCZ~Hdij0Mbgf8)f7|7^ro63{DosC@P z*@pM%)~Ti1kBPfCi=sv5uPyW+On$27OORXe@il&;^s3Jt&PVrDr#PZQKEQ>cu!1?p zc%OUNICL~8SS$(>fQXVku;-ZX;RnmqDt&4^Z>VzuT&+p*?(t(H5^0Iw;BYnH>CVkX zPLcYQC|HXZ$*!?d5K^Ld*eeG*is^sZbp=tWF9=VLTT&o4v@2E%kmk{q_a3~0G$8#N ztS?CB5+~0m!*F48r6Fvo5oq02U%P?~gj_+2cRbwXJE$$1zTpm zTS$}!c#DB6$R)!xxaLFhTN?db?<=AQR%x|Dd?Hf1#A|;^aensP)~Tgf&d3;%5eZ#& zV7p;jr#dkg74k8eR5NZS*!5{B%Bd2tnnq4x;v&J!Wdg#J+1{K?K=-Cs(9Yq>re6u; zfY%k|7dd!V%;Ekp!LG4z5I^Tw@%yae)4x@PBAdpup5~H9hBQ+=FL@7JppQ!5uY-%{ z7GkroYvKGl%aQo7_Q-RhTlLt#DQ-u>CK0D-)6T{b>c8pyat=x_5w;tAwr0RXRsaq_ z>^&c0lT>R~thR?UR)N9ZIn62wKg+m+oGVg*(>EYkxW4P+SK=w_aHASY z7MOHjCdm%*O}Q7;H}&6Y7)b;Tg7E2F(*9RXLk9{ji3I%Wg7*vHQi&o!Wi}0`d8qb} z3`$Me)c0P7G{qHsW*v?l1xZK+cvt_l!~9N$2T&2Ob10~lI0SKl-E){=klrjjKxbd@ zYhf9xj|{@!EigWH(~|JhGjNd6kx&VQiL~`h3E{VJs*%z*wrr1>iB#h%)>u`y=t+QO z&b1`uFAumo(&Jip&N;Sf8yDYO${9xjeCGalhi*}kPpSZb%Aq~U4k>ffjcUDo%k)-V z;)A2h=14ZvLceb*6Z9s%VYJyGr4Rlg!0C;{{f?R$dD+ye`$kU@6YQ$O;U2~CTDG4m zDSL3z!uqFhHsL+>$ZUGmDWmfGP5Z>VR02(ik?DkE=00y&g!bez8&q99J}(`;tMQt^ z8cEQLBp~_cNQa)(7YI&*9CmVojK(PI{ab}H-%w`>-g53iq5_AHA#b%C=Iz8kEQKRrDD?%~O-!1hVF2Szvx zCdA!jM!Coo*M1N=oSkZJ5bP!fq8!nw`lTIg`>LQf3p?|cX^`+!kS=})i{}Pe5M*G^Za5|+9Q8-eO zdrg7*02brB?-r0|97!Pbc#YXQ2bAhTmSTpoGlP||lx4e+0v2!v0oGTvH7DaIA{n6x zIx4^}J&h@K0XWJw0k9-*%9Mr2ez%V4n&Yb^;%gmTGSP!bj3hT5CQobV$u}g-S^P6JNXi^JQc$evbm10U; z6~weyK^{3%EH4t4p_(+G7M|O`152ru8ehL*9Gol;n1jGMV*|!X1b*5d@5!aYwD{2k zADa*_S$|sos?<@%hx9|j87_|0sw|@AS}hYV?q)(L_c{3vH5$P^LVC)JC`Ftpc?CrJ$D^m1=GGo3O-Dm5h7S zxPe@mD|<4y17e31R(Ero9X_hzmmKqtS~%Vn4`Wu8>*35<8ZnYhz;Re+D#l<(b_>}_ ziA*O$8Mbr!!Lf$?n02&4=Gcw{nd73HhuV>>fgq~c$TePQJQU1nz1%9Wyq%z5yhb)* zqYc@wS8a0Cwb%T*6NvfTfor8BW~FG|TOmPWM8yUc$dzuHNC!9Q^lLX2n|y~ozyh974pep-ndw4fLX%SJTEgtKe zBQ+I5&cZs>pqmGtr_nQ73a_-aYCQK}OSDoLr^e?$NGUY-=7zJHQZYuf!E)w%G+ZjI zb_lA;3Iz+olETzDGE3?IUnM1{k%lLe0K@SN3{E17qGL2B8%81&-+iKW^rdvu(sDQ+ zN_n0snC&^Ds-NZ28F!?>fg&zk#>9!XlK&=};?q;Z(DnO{wK`s;Tf9tcb>o%HlBq|Z zKO?MTqZ>@8#e-iw`s_PzhYdcY;9tOpRM5DdpZVVs#%|xknlTRZ<|ffgN-NcLv3l~I zJy+7z7sUlm8f_LJIc-!OYnjdF-&}V$79+RT+Js+ z`^7W)i%_QYPc5vb_z+7^(O&mRcj=bhM|$asi=B|g7-F7Y7oi@&Zg&GadF#+F~dqd$i!>Zgwl zOtM<3)Fiyr@W-IoFD=~N{6&*yfa7r{ST6}{!3ccetQ4HbC(2|l28CRASp~$+o{SGR zDAoggXFmkH(R9aq%>#MBPXftGg|u1^J!5?^LyuXGpCc_Pah9l&YfdIC9R~8~CX-G4 zL%DQ9M_-b|l2+@SQIeJ$dxr~tByYObV>`qwA=BPyUlo%*Sa5eH*IP;|r;46^seCE^ zv4J+&&sCJkC2ESc8t7NF_Xn5~Zl8;BoQW7UOwxh~y$%{f+DQdR4*nJe}3;?cE_*EE+%ngcmdtGR(D*w2%X3BB`a z^!8{M4ZHXii;p&b)Dwa=ZebDy>uf4?85yDMhKQjq!hm)6=zDA~;W;U>K% zI@5y{di%HDO)mgW4EV*yL!Jt7e8!Lh5FY%kO>I}Q>k``%`0dJFnbrhW`_Gq^0FVMk5Fp4)yWB%|?9F#}dn2352^VSp`8$fOl|p~d)* zTI5R0dya&-kC6ZAW2#{;;1pXCe(S;;+wl80aP2Md3j9D-5I3|gR~Y+!?UmHnra%SS z6o|))N}~(uUK(gxy>42d-3+79N7+V-bJJOOVtYl!74VdJIg4OjfLx#}$`Vzi$$nnS zH9l|0J$*r(cildfbhCP8xRR!M=NCEbl4qD^1AbU496@{+clV z*;MS67dJzuw(3~XhZdw?K4=%3%g_ah_`n_H5BS&KYW#Y+dnctJ%RdPZgL+y%n$9&-{UvNlG^ZK6no|HvK@97er@RMO*7&CIzU z{nQ_1FzJn0-m*=ry_MK+^1LV-;$K2O5`ba{atq7XpY{ec?B zM#gs-Y!vC!8i8F94UV*zV_GzjD~JwPE)mky%DgdMUFqXQUs*d2xV#DSHwoSKE7v2bb-G9Vlbpj?_|EroJFnNv=4`Ws#9Q-3um^9psK(Ma%LvPuxFw;vk0; zBkUz5**zdvAOdQNT48c$PbA?QvolPaY94y;0a zO!PN$-qkWOKMsJC5tdRR^>HV(MdE;YUr8gY_Z(ClXjyhZDsWv5(*Tv8ojo-pekdgD-E;Q%#V}69yfo*sk5zP zQb2kq-f{+8agC8dmZ--F4<@UJjjN@3`o0Tg@=XTXv=c=bKbZDgoiT}7z$*UA2C#Xm z-LvuzY)i!v9>D6tr4NGJQLykg73<0o7vsOA>2jv8tpgPVcT4S4`h9P^%2Y zREe5pU?2wbCnxkdn}-sPGZ88DSMP6dhhBW8N1_Kf<{)!mZN)54#2L@>u4cw_aq!PA z{*(|@L7gB*#uU3Q^BHko9%P~O6LMtuZUc3^CI6MFFxc(oA8h(J73@E##{Wen`fsWs z&0Gn+_WS0fZ1Gt&s`S5OW9jj0lG+fcuM-us~Mfb7W*QP{Fd((_=nr&#|KZ z``n^Txnc?lqWS2;qU)l-1o>0spJQ~wcHxWGwFTvdl&5bChRqG zg6%}a%L|=yklQ%OFvYI1SC&T|GVR@FVAnUFb`$PMnhoMrnY$Yiu>&WcZfionHRn29 zwDbR{#=pd6Z0EZy$%Kx%bU{G>A$}B3R=2a&?@QKd9?isUGy#d>{ulBC_BwSED-DB^ zdlaSY-qJbX|})pn)gL2^G!U7x+Hv4=CVX@7>e|(wpwZ?%D=Zv>QG~Yrb#*_ z@{tecEnxvsmqCFZaO&`*<%y1i4hPHA4f zc;1Zo62|^ndnWuPAHOTn2rBB>zA&z9<(&@cpAS63gay8s^>tbmOw--zjFX|+HTEX_ z0BkqvjB5v5>(WwVvVRTkMWf4#` zZ`3QU4o8QaRVMmRIdQsgVq?UK*B)#XRZI_Y@7O<^SObeOJpJu(>50UEZ1%y9_fo6uAU{$p7igYMJPQ{w8qYbbU^j?98y~a2i}AMXOWP z6@_S6kDJvIZhHiKCQQno_?Uzb>*ZGVNd1k`9>4p`_2v45jB~x_az8)>lYU_!bC&II zg=+(+&6S=&wy6Bimf`yf3Vst6AM45kF`iba>L}V7yDK}fQS@&}pR@!YC)peQ{3&PESO#b#*@VyGJT=;rL>o2#Mt@+kIq6IC zyd1FV3t5<5u5jQ*0$Xl^-zzk5!Z;aswr*WkzJ%MJs6{2+`7=k2G;d!CtQy~oD`y1j z>&a?=>YdJqr)(pQ`l3?Cyju7&P7pt3|a8sobTf%C}rCr~%(&XHz6-s=-ilB^hTv>N@mocqt{r_mdim zPSQ+&Unr@ZIXDa2#qhys$wOP6jHM=_cs*gp!|6VYtGugphdOYlaK(vIR67k*GI8ct z#K|%^cTPAD=GQZRK@|rqqP%@cju@7eYQhY4TCL`~7VJ=j83-oCd(Ksy8|?3n?@QHS8_wO>?Wymr=V)(?+W=v+uboa@Qj$$}KTCg$2NEmYta}TBnD)Ad|HGHmV z{T?hQ%(*4vdC)m~hwPrH$Mc;Ah5=Y8~|qZ%nA$V1s&ueH&LX1{^U z0xA$oq3-`mGW4nC<4aQvjpC*Q#b_i68@M*QPv{-Yhlq~=Wv5d2CwuO>ep!$$2a{}# zxp>@{P()29H*dePtT*~HOIuJVZ=_bfqpjDg5YFby8NZ2v)aE2aeSS__)$%6{W^lQ{ zWarPif(YV^A;FG{)mp9%R*>d(6lIftlGw6MMx#0HmgcvIF<2Tne0`IuHFTziQEUa> zC?kyzcDX}cT~3Nkrsc<>(=L!0M?d|Z2AUExoshEH(O5(;9^?|g2<{()jLbOqX~>7! zds?$Zob>9uPN(cA@avhlL-H$17-s$*62QT`p=@2&^nW<~ij@XxJZ>lk$~8O1lTf{K zUgag{W9pRK5uh>(xdsV6e)ICpmLev;j%h@~FMMXpaL>L6F-3cnuy|^9A)V~t5cFlq z2wa+bm`O9C=Fgdv@#a!rEw#9g&DIr2uyKwhn?Tq&O2X^@njm9rcZUAmgu)I5*L(c& zjp+j)|`TUhlE) zPt}*?jU9{<)P($ZTxAhUO)3~H7mrA=>0EafY)>cz9}9m0|C-h3YxxJk%1l8ouHvX3 z6+x>BX=q$i(Q5~k>uHkZo==T$((7bj71wXzfe0=}!hX7rwDJ*DW@6avbII#p528f! z%VJ3uQz|ROLlg29VMEX$BGQ{dzNDn$kL@M&X~ZcqmR(a~<`Xehc+Kk>L}_d@OQT#3 z93SUjaQ(W2PGGQy)W=%1*sAla`ldL!V{@ZpzbDL~l)E7&@j5bS$3|SFNDjfnBID_>vDk zkp?N7lV&HZnA57ZUg5y>b%`AVq(Z8OWi^^$1i_C~>@2FrJNq7NJeAp(3WsVI?&dYTsZ6&{2&%}kE9a@sh_6}<*7f>`P zi9Qs!H>hQNPiyaF^i?tPt|e`fHJ@cw*o;F{ErzhsLpCiOWwaPNvL|=YblT-R)W|>T z^*%9Fotv#ubN!=qT%xYL_G7|oh1}pQ=NNZ}UBkPL$c!2*DI+Uo|ErSQFLLyUNxCES z_YjsE0e!XaMxN!=7SR}MuM_Y=wj~4;hTkpTt6CE&V6n4&-Ds1x@(v8e7Mo31M?nB` zCSbS_ze_?8Y|<+~!3-A4QYD$c-+lre6!zV(e^4G9G`Ql)7Wb67p10eVy(M?_tBkG| z=@8oXuze61tmG-N7uQXFPB51ZWpQv^)A9n`gckM;k-s4Nf| zG*?KTtX|W7%XL`LwO!C^ET0Yz-fA3-W&+~dCUZ3Oy3sbR(JZZMbA$+1QWRlN(@)-j zwo5|TlCix$JU!E#w9)lzr6*vN_06jr@b5!~- zf49J7an*EW$0fj+ux6QPeL08Ar*3olDVnF=-8Fo|{?NLzBtMumtth1I1(1^vDacLm z+C)x%{jvXiE!QwSHYh80vOspv@NUHuD0rzeutN4)%!2FJZaS?NxWYs2PD`X^luN=5 zJF68cQY05eju2;z7l$)hzlFgqfN*gB{p;x!#L@Hs5y*?DmtooDQQF;{#PSEnnN3g& z@8O|M?I_kPi{vTB?0XSdyP&TwW8nXJ$FZHDeM_h5Bx^nC_tvT%x3%3!YMJTPnMhD| zP$bSdVh+df2Sy#7UWBH~Qrb3F=3vXp!Vi}vc-T`-Z4vSd9R2XuS--LZQW#Y&$rF( zN481t%{QO=O&{j{A->dC0qUqDZ|Wh9#ocTwMQ>%i9Wo7^lZ5QzHkyb8kwUoxXoN|p6Yj>XRU7_61;a|=g*=HPXAT+ zChyC7s&a?Z}8LVIGuo#|iRDS2vC@K*a`Gye(_9eo9H5taUI2D@fwV#@gwu+mF0ojs8-39|m9sgm;L#Yd|v2+7)I zUg=w|!#lHMU5O^Rp9@!NdeV{-i|N=N1NGChCKz`K@}%i*>kc@(+$`7oyH4A~ z_^+1D@l&&u=7EjyexY9cUKdu!nqWBiw}&W`_>2fM0vq>7(Qau=Q{&fid;xM8g=zeU zVna@=sY^&LiT{U|W3fT~KupR;Yvcu%gaw_Qi zIEu<2_ti)zu&^)-I5g4^eBd~tj<5u8-~Au2V@d+P4CZmk;8iP#8++>}ht~ zn=H?M4YnlNjC6gLVtlU}Ia@8l*DL62nVrp~E01q62i(tcO+Q=L1r7Xog1$Qc(XioE zG2&lW+PY+T1sNBpx~I{#ty8dPSjYf|SCT-A9#IsSOZbm>sa2P+>NhoDW5prULVGCX z*<`}!+vE15*emR823!S0bp^TjY8%z|ih6?QNw-<*-Kz2_hj)9ZGuj_wf)kkzVcLU9 zgcgCB(|xbWmtpfoEnl{vx?Wt`v7FWVW3o_G1s&;e!ny2;zoGw>)5ZC_+{-`Z3SUff zdT2YAdggUTGIa3ug)Y}5z2%VY@eXD}FQj`0xp8XGTr$b9t!KQ3@AX+V@qbr{^WA6P z1w%Ce3srCea;Rmr%`z3KlB-N-8%~iAZ*$Io)qQuh#FaV8uDwWENvR~9;`3Pz#YX%t zOrs^_k&3Imo2ag@4ilVBO-FhdYj?=LAP?D8B7Ftvd;l&h)wp<&`Xl;i!r8x%$$wT4 zj)KZNC|Ih_b=PApx1zbxK5e$D|DgvC8_wUa4@4GUH<_*NcQUXp6Phn5&7+4 zHn5&0#23t9l0I26QA=ot`QIpgZm%jHQ^SQwfMeK7B*f@;4z8zT`uF=MhpJ)HoSo zO=V%>)kxfTbG$Gv9yq!R<&H8=Y5;YXMM^p(^EZ{i5uYUC zj7wRy2S*n5xXYcd7y?9o`G>n%E;EY4&kJ~{mb<@4VvXK(Sv{qSTbcYj4bDUd9HlK*HL(bS|`zMz!dk>%$vRdp+l1I1;nHyK@Hd` z5EKA%(A6X5aCP@G`0|95bn;(Nq(>U(^bLR7p8RQpR{dY7wOR^%1}E`Wxj5sw(Djg- zw6rGodYn3ROfF#Fha_@k{JPxiM3%<3AZ17lDJEuU*)`P|lIk8^Cz5LKp#hW7bVQI& z=4M+%910!l4Se3h!Y2Pk{2`=P-S5+%5T|Quo3Z!&agY=Nuo#+AtNIa*LL6_F_#MzAmSGiy!96?0=bc>vB~|eK$u`7q6|Wp0p^?+4ZO5?fIQ3F1WQW(A{yd?e>Xkfi*oJ!Uq{bniXEs6n5%uDGkYMPA=#7^1g z=5-_Sbz==AXX@#1F62e)XJOoWYO%G)S!0%bRH%3ShMJG(kHU#2AInBNpL;NmPyLfjkpVn1GJKpemjL19QUs;%e6o@^D@CpB zQD@8)I%A*-jh}lcuA|)*kj?vgUjW#}I;*T^l~?SlBlr&e2`PCX;%_odZIjlYrLN3^ zNm20bdr6;w(}pN+%+$?+GfbYtU;ZQ?gp67VXoexn6}s`VmNMg5RvVXJiiKwJcvA)Z zH%TU;k-y?oR2YjQR~KGKSpdkq7I9Qr`_9wty_Uk>frFCA#u*;b@AcS>jc z(sr&OA#YRJ%4$5gm4oUaz|JAO^awF8v^u42!TyhGo>!2zoq>e0Y0)qynQPr_F}^8W z(>?nqoyxAriU_+Frq(d>^lk`yh26Q%JVorWe>g*fewtiUTNJwh_lJz-67bQkq! z5YnowbXBAIf9SGGu_7Y8sfcIvJr4wDt{`|vP;GsiO)5Xs6r`m}+8_SV{hBeJ zNtlQ}=be+*ofe&`)GrZ6N(U=!B=y|Ie4zAeMv-_kB?l}UYqfe|u(RqRcIWMDx<$>K zn2e?z6m!R0DsO5wq~xINIGTUKG1i59iUH~DoQrT?;#Vd{I-PsKJ1E?MYR8B zTNPXe_d0t$R5Qsd^4L63M6yA3ju@imK6Q1|2=+IsJOfupaE)Ip_b8xf0VK|h!z)(N zX~EJmnnE~QcLKT0PDf%U&t(Q`hgdW{-g!`n8$j3obk)MBmD<)NbdF`+7R&^!O>l^s zsfg}5Y{0%$Z`r56FN<1~#ffv1sg{lYfT=WJ_GLF#qyEb6vs1h8Gw=`oi%Hv1yB%t| zicdPujKbOP5}Uj7>Yc@&&J;9C3Q#z)!+m-qE>cCnQ^)&BLwJ9atO&BvuVS#)$ToFjp_lI6@VyYwS8b@Ail3#F z{4lJfP0Pm819twe{uc+XZ^<3Z32=|pOTWAw*Amt~U~ zo%-l={<-373A-?n_B~XI+GD-Fi{-G~5yd$6!Jj5022E`WQ9SXdmil0&E)s_iiL*qS zJB+)dNp z6FvpSA&j2nm2tDvFu7P+0jt;{zYNsXv3H`4e7BN3-B;SCJz$%(IDDC2m5672(4cq8 zBTC9lals2-gOP?ar1f!<6ubMmNH-cVMdhE6-ugPWx4=6Oo-{=}YFl1hp2^-8^tMP*+B`U`%kk5A|$^J=X2?6Tti?Z-kM@$B-R8?XJk%1<@G$ zZ0xGU*72Y!vYKIj@#4!}L>k5VYm#p`7wMXrCh`qFsM#(r=L~KSG(MP$b^Lj{bkk0a z%v~2JD)@4MRfA#ESQ153`1ASH?SZPbdDZcYi@|}HO5yxeyUku9vE|@H$TQFFZIN=| zqqte4C(A%JlT#(6Y6D7O#=A`x)=3QY&9TjJDF^D($lL9UrzGJip33VGpQ(p`7lGjX zxW?pGHuUTu+W_rqrQT~@xoGeIXSTsG;W}!-*|FoJoHsqM)k3`J#}rw^M*~; zqi2@`yfRwPgngKbJU{==u-!$q;n@-VE~zI?7hXmnh-eYO#KelUVMDyHuBU*_Gu<-V z)01AFoAo>x^#3_RmXKIf7neZtEdLZ-W{1ynhJ1G0?;L;deBb$roa-C@kW)Iva0yI&imqt*7u*oev{_H=?Fs0X;bi zxJY+mXZI@dAy7U$`6(F58@h&esxRjgl002$!dtTzF;}cBRK9}V0k$5b)WKh`=L zMUJ|<-X3dtRo~JR*){Nee|O_!wYj}ehH6tc8cBv%Wj`YO?|OtZ?vCE~)pp)8>ep<- z$CWVn{*mUeX+I!zmNxhhcyC!*j< zebR*arBVLQPiT~Xmn%?0GsG4TGPLc^X=*3>I!PqM8@yEvoR2l`c6In1Pq0xKpERTP z6xaF@8}Gm5wWXDRI>Tni4S=T9`PXg_wVYb2owLR$j77bu=j=d$dLOOrJVCt^6s3e^ z_@z3WC5trR6bkOF(?k}`vr}$<@U2=r$#CCj(#j-5mj; z&^$X`)j&G7Vs){Jl_i-!B1p8-b=~fkRIbUNyBjeyx&xprF$CE<@sLobwjfC^J11Cl zym3Am3fj=B)b|c-Z?#G)Qn|Fr1NR^FCH_^^StH$I6wLMN)c4zw6BngXU~Q1_eQ=4P^*3gL)wCAtr6KpMNSQ* zuI=wGB5R{cTluBYPrGmfIyC%FBfcj-xo`OpCIr`=7)U98Eq%&p4NYfvzFgO~5@s3T z-N^k2pH`dV-=Kt*a;){~AO(FDQ;3@mJRDZX`Tgd(jp_Z@C#HTEMkAba57(Mqdw1^K zmrX*90+XEcnN@pS23na5fgaTENIg(AZ+HKgo#t~25iEv-$+_4CO-WeJ@KaIuP8t#2 zU|$ZLf)w4bJo-@-G*WK8UA*sDtebdvlZ@9fI+vFZFGQVo4%em-{iH)eK;Bkww9fMM zE~2F8>`p{VUFY!aRE)NI;Ix2t&iY7>h>B}lX-C4g3scb=5~n~Mp^`i7tj$jZ{{ zD{npKC}us7V+$>>tV%1kcR)&F{QWvY&Kee-G-MRiC>R-AvI@`aY3cTU_uzd$_w&5p?E&>3@ZvSGf8+2N|qAIjDGAZtp+*kU_IHLh3?utl&R?HqVLJ{AL_PYerP zY#&cILm9V&R_2}du_aD!Zv6@qjQK+c`9s%w%31GWk#n%iSzowJQ7%8VU$!f-p69Zu zob+Nx0uvkjkXn&pmXc2Flg+4`z3M`>e&vvz5IDcf-$`D(gpE5J>{`>$jBNNtnT75v zL2^vab;5j=4TL6Lp#3)@Ht0HascZPa4_NJq`FKI`J>7P*J_CVToLFIwPl9#7=g;Z3=+BCy$nr;s5ZxHIf>NU%ygKn+dN6<1ca~u2s0xqYs8c{ zeH6K!CZ? ztJ5njF5T`LID|(y?bmZBL2NTqFY(wu#E~>7te0MPPLld%_6mz56(bwfAg}mje-Roy zq+Y67R4Pj36_=_FdC<>XzqX8wZe>%oP<+q8<(oyk8RmA7UUJ+7!l2-MWFe}v zcdnSRrec+|XAei3*Th8&Gu0iplVAMUEn9>0Q-Gknrwy*!L?oEt57!glZ0e#h3w z4T4j2I&Q6j7WH{)*EUSwAI~#%DjU+qTU1rh&mmc7>pu#4Rm{J=sNAHJXWAm8H9+x& z#4V0tbB*%m0_m+d)5K!q?{AAV$9aDteTpqK+Ihx~{+s91x%zxlQz8~NLBftf2`EQn znC^`f8y1&vu)oW~ioK?w`etL~(*oP>55q(+K1?>~4Y5J|*>TcNLny`OevQ`NzUAsb4EzhsD&!<|R_`2w6LL&J&)p*M*H|Si+ zLBUTj-OYPTJV8p7b?Q7-_-+t6`;KZXuCKu9N&zBXhIWgcEl};cW6vL)bMNT3D`!6= zUtBwJq;htFs}eg8!x)3&)(lFMa6(+e)(BYlB~HFQ{r*Yl zyZm2Bk39B@G77OWs-K)3*I)ZLk`(qY_z{lsb3O1vjojs1UY=-bPuP`hko*PD{H?xq zcg{ced%XGQU8hZB<1C(O6Pas?=afgf7PQy@>cMwt-W#UE)pZmN!a3UVTaW0Oan?N5 z=GhyoXtD)QIZ=-)&DUO*Nl6iUZ#`&He#mEB)`;CrY5RkTxC0Iv;6}-) zaEOJm5;9uUT5^8Tsaj4*-9lv**&NSkwXS89E{k^!-}KwGVMw&o8r+Pj6w8u$Lk;{= zjX7QIEKoTFXP?I{ot|45H*?FCoC*_85vyKRKo*NPFSw7|0nv57mi6{6skh}=ZY{zh)( zEu;~Eo*>!f4iU~QvIqCDm0Ykm+KdnjlW&8B#l}*LlS407&rolfjXr;wZ(_FUejc`h1t=d{Z`99GkpA0B$v^S?l9jtW zuN;^Nv3*|LDq18LA&Yv<1frxC`V}{H{RwBcEf(sikn=>jQG9Ii*?_wuO)48hNIx~< zoSA-5HtHrTF%9)HaH>l^|ND<0z2fXy8?7BPmc`yTY8}W}W4OcYT#}D#!^2)|tl5UJLK&Lz& zJx+|)93l856`)yXwuKcBi{@?PMXrf~b93-1-$>ED1)@?{Q};M2Zb~1%YK*q^^QX*D z2&!&qsM?iWh*@LCJ@pagXKyF_SV``DaV;7WKjg7k&F4O98f-D8*xb{}GdZeg4fR5{ zy&k)fU2s0k3ec|9+q5-~ZBd?wl%DamQYHM1Qi#@I4vVC z9|6^jtuY-x?@j5uMU%3oFq_e8)AlM2sM#wcYq2PVNh_R^Mv}JcE{6${tbL85*-%G; zO$IxGQfwP{VY>Bxaym8{l>ed{0jS(E=PS!(V-CUwym|VRK$$X{NByBF=@Qkb64(4I zy-4pb&#%_YKgdnL3h-YNuBe&=R6koHRB19*`^Ur|tAN9$fZ7C146H5FT1555)&ph* z4C3f2HcJX*#5cW+VJelSWB;3c9mhpzy4L9!nFleuLNFOmx*^?H4OW=p_y}n$T9yWOHSbFS*wK=Ic6MJeE+878%D02PFD^L zQ6|SUxE_lTixU<`eZWlBXbc5Bt1z1XR;E&M;6``aPcEjy0-_eW`%>7{Xox5xPSTl`3+IyjVhSH!^O2vg-?8HJsEXxV;M!!`#OFS%(jcp= zbwFZ8ZxUWX7~05a$z-vsKzS^*zK%nZ<+-_#(dzKjh_0;U0*g11OGOW(iz11asP?P? zFTnC>aNsek(0=(Mgx!VTa%36;HnVZP?cR}Y#IRA> zKYNJApD==V|5Kb<_9la)*3{ucDIQ*=yf4OSA@p?O$$ME>^KVk!XT2QCYfO1 zaqfqnH_irNTV`XUqkzNg{C=5ExEF1Jj0K)tAt1iA+)R@G&=1A`)NymF`F(wSHEguz?KGq0@ipMoMyjOW3wh&H`||l+!k^4IFisjnu;Au|}4YdNPPxBengd zG>!LmU!z?h3$dNVQ{}-mUJN9gRgoXfsLz+Ds&AM4@f)3zYGd(Ljy%*p;~jt$hYacq*H=XcYc{ocW-4kbB)72?~tmp5sxIVYQ1Igb{OXV^a4Jt0A=U#_0Z;Xew1>KYy7%+cfmS{yE zsg!N{K?DY2jFg~XPjPwP8jN&KvmFqBu042y#Fs_M~6zZ@ES@kj`BSoOIszl`|1MF3 z-?Sawym&LReIhEk7EU!vLzUcBY&1?v-3>b0mAC~ZDwwVssP1x3L`}}y^(9>kuEeS5 zBupirV%)XxPMB>E!23}4PM8MYQoB2dM-azE;P$;gDbqoQ0~0n2gP2VH@?-MRsuE{@ zA;FBe?j+$tP002Q)@RDaDJv`I(Nzay&YADG1Jf8QaHqmmtlRw~gM);um6$k2ygAW9 zz9JLVL`RcbX-Db~EioO^l{oR*7z^t6Gt*ul@K!>6@gcBMH(>A7AZH)t2;ORXxT~jQ+3oTDB6F_{|FEh41_~Dk zsZE4oYzD}@>2sOwenWg4u5mnWDmM3azh81=n&yW2G~RTyZN-EA-RF?4x*0zapC$fx zNdkAI{xN;X;@);fvUIkbDeEHh61h+u%8x=v&Dd9a8<)U3> z8;%QO@*e0k3s^&^D$IRH4`0o?-tnYLe)Ue_qo*hay9*@61ym!N5@sXmux0f5XNbRX zGtdSzRiL+xr5xBle@1plMi5*>oE5mDrxECTy&JN)%i!gh)W+@e(53h*NA~k?Q&zU_ zT|2Wd;{)j2kptm`EneX&<0|Br=4~FGECURKD%v}S78O zQDf@KV$9-fN3)3hqq|rN+&cCT8QS!E%abUvYa(98B`X=J6aV*^`ENTRzPc^DCB{0t z3Y)UL#?d+X%d1ov;6RN{M{_-Wx%%SX2V}&S3J zS$_iTwD;`VakLNcx*yZp>$kym7uB~apb%|HW&rv(!N(qCSB@f2oC77f@lcb*72JXQ znTK}EE~~K)+;g$|s;B@jf0?sihLRQmwnbeag1$%5rtcM6k0_-fXfU&=@8Fy-RcmiC zA=iOUT~RHC6%B0*+bKvL1h;;zq--q%He zM@_ZaW$~7Ksi@{yt|AVFxq&gOuUGq#S&?OH`u$1k1=YgN_H675=END}duT@#)k0GU z2(vgTg;tnoSv(&fR=(JS=#%;uRb@1)Hp}b=Se2}O0DJ%eKKwzda)eE}=(pN!!*zy3 z*_t+u;)E-Y7u@r@kZu=DsE*D@f^N9?O_zv97CVfm^;)gBYdSv10CVP1Vajj1hjtII^F_!#oxz$AP$-uB=_UYmfnBBc+@128<{|DCnxWn!Fzl6t26` z>y3)2=N(YDtIVQ+l}~?wj>rhC3yQkl{A~c*s%(?vH7)Rze(_)(uxM7CmQf>!pGts; zKuW>6!Fer^I1InZ-|j-&4pzQMs*77@Iz)W0=W-0E!+VMUt@q7{#N1e`k#6G)pnV+# ze2@^`$yBnI8J{+f>4gN~_PPAy&ojgXpFT?o0@7a)bOCDi@-{G00aIJ-IaN)otVgmKrfyfXrr;7qtA!5DXwi?-6&jc z;pQvbDy~5E%^!7Hz#Ms!*Jv~i#EXD9&eVPU$hIAbd$<@X3*FdEsQ3!FR~V)gn>pZpgIGJcG zC?x-}(hrn3t)Wapw0Lsyk1r+;!l&#FTXyU+b;=7B%ZbQey15)AVVNS~?fl43aycI@ ziXEA+WEbR@H?~XljGU5@<-l_kAD6}hm`F-;v;6C~p`SBF^u69q1^WP16WMYy?Pv-j zhRhuMBN^xkQL4K2ngGofE*KLE5@bV0orESE&Y!$LECo*}VnI${J?b*jo2Lu)XNZ5K znNo~~Jdn6XeeFLo{vXNrz$0}Ux*+v7lk|6_gZxwy;&_-p&{J-_Vi$n20HEHd{%6Ew zplAscB>mq`W$d1HaMw9gefDyOcSgZ>yOy2ENaN7aJY39Z8&f~0B;;ezbuO%UcP5d< zE?Try76hPQW<{~ec{h$I7@D&x51X5itL|&9<17o7@e^m1c?`bi6?0HOE`&4F?hp?QqUnVU@DD9U|n0SOs%tuWRSr|@K z_Iz83bkx=LQk`+YnzQOsyn%dibzQRsHpucpUbA^eq05gQqLi4>JGpr3;*PSD=5TG zp{-k7m<()4rC58SK_As5qI(7dtwl5?sX%e#gKe)IV^ zc1xjz!ZXP&7Ui5?RPCz`kk(5Wd(tS#5`ChN+qMypcGY%;AXg}%#tqKQNNxfAdLbxZ zp>MY~oNz-|np&sTC1T_d9O&He3xrS2hD|ka;7z#{uluqr_ChoIDzZ7@)*H7^8%w3S zUuAfslhI0wl+N@Mz$U%`DeEeB*47s&6&$Q8SJ|r*ZvOSUybLkXQU#Q#et(2;#!A_3 zkuHe7s0_v=&JuGs<6BH}SYKAwT{Fcj>9$IU!?dL}XI1)5Am)9uel-;v2rcl{DurFY zBZAYBqb82VWb<7XH3SGF2TP|@w2Bf%3U`-kIQ#d90Q(m4I+llMF8lsM+O5hRiS=&C z*~p%bw|v(G9g2_#J5r>D*0WK}8OJo|7)l!|D=Uy7W36WqZjqx4h}oO{%4fV1Jx|nR z81{x0)f5lomMY5#$L;F_OBzwr6lPUC=X#?Bsnh%YQfZ8xVkSR7W~2-8Mn!L_3{*G6B6npTo%Q0hz#EW5 zDU%HrIV!`l0i!XpCjJ_;qYq>2^Vc)-gTR4cW5luVb+R{_CPUo}YGc4zYl%C>s1h0D zgJze-b==qhpzx?6 zu+ntgxwO?zb2Tr>9Y<$sO{r#=kvdT4nf30=yNniLfOPpY32<-ESsQ6=Lj2Nl=i7%s zIthx!@oH{dzjMi3e`~fP-|=OMRfNY<@J~4d9l!rR1TzETT6o~|D)dD2Lnr14uTRu| zHs^#|>F=}gxBdM(T^! zyA7Er@WitTO^J8^UatEKDGE4eL2EjFbzC*_Ng0}^t`%2JJ}(h(6L&)@oun%m-+aqt zb0kgyyFxup0P4FbxBcj_YN?3HDmY$iy>G|Gy}U!Rv^ON{oKGzlHLs?Y z6;UbYkW4tq99MH8o$Q!2mWIWG4MqRX&$}9u@teZkkRC)Y^Z!1?&7_FYM2eL%GGXbV z%SYg6ZE;iJjR45Z+n&b1kSgr*YuiAntyQ3jP6hj(V&TqBUmGw4eQ)j)gd{PMOMAaE ztj|R((;v!sBGae%T`@T^#)g4>CVTDog(;KHhQLBzRFta+Nb^GFA`{lv8#%*0A9VZ`+I(a{QwQl_2wJa_x)5t& zXP!~wZ&)tT0pUW;c(5Etp7Wp08+6TMIj1nm4hWq}@V}dGuvVll7g70*jyS#)XbSng z?rA@*Zw@}~GXuF=J&(ZzFN0=vtcsIpAj)*F4F;~U+>#T6mKn&&1T$gFq7(T9y#@&>C-UWWnFzT#V z+%_37r}fB!ILm=eGsA8bTll>)J_Z_;r*6aj9M|6cu=?vUCg4hW=?QBoD8H=H$B8re zxh8aDFI)jT$(Dx9;4Tg=*EU9l_7J-83yw_xW?YcMm*eXx5zrkL8$#!>pkRQ!D&bkh zjw<~e&~)_l2a*q64wH0Ul_53@Wo?Yz@RPDUE`<)A2>D@BnsC2(c?0dBy6;{eH!xS+ z;)N*~96y9|F&(eBU4FF-GCUS1HFtbCEWPwLg*j+MZ2ip)x^ac|Z+))4dgW1=Z`qh< zt;yr>VLg*C1}blrO9H7QZK5=#^*O?T<1G80%gy%=@R(yqm3&S#zD(vp5+@i`25agL z(^;XW@x)WqKXRWGXx1N2I$YzidtftgsvFjv_O})qfI@fhA$;cH)gaezKB8Pb1gT38 z%t+^q38>7{>$gFs2af2aL2 zmH<}kN}^;Iqvm+7>-9smM@gJu>|dG~!gB5=HCg*0zr6_guOf-YK;{H%Q4S61-oG_- z(1^s=sR+E(WF4j2J!SJZsgx?O2!aq0J!LiaD+1q4il1RIXewh6{cZ$kJgBd zKc|{rCKD3j%RQF{YeHQI45irmc!Hlx&G*hauRe*YTpF&vm5+=<&!?a*WdXNXaukwU zJnp2ZM+eN=@IM)+dc<88<}?tlBU{B(H*Si3n?|G)Jo>*se`Ib%H z7;4CcJkUG&@HrD{R`FgB6GQ?O3k=o`owL?m!T2{6mxo5K+&wH|fgIly@Z4jk%Z_u>Y3hRr0n~ zmZ3s8sKblzSGeLuA+cy7c*h2RK0>^xS2aK6!-0X-oHe!g^J&*}oscnH0uZ8NMoCG| zfJY+io^?6zP*4t-`F%w&KT@y(Gpy!+2GpCjmTBJU-e%yvi{9xpJElvL-394{ijYYp z>B!1(fED$N-9@FCz-lgA{}^}8!Dm0GWr*MMK7Wy1A%Dihv=cqr?SyaeA3X4K{}C}5LCb*TX($zsS8)%Vn3U37Wr(GT{uu4e zNOUEFS=JN5Ts!rY62T1ox!3DD0IqQfRE*jA2JE}S`@ZxoVF$9E|9F{^T#HCAK^9?x z;?x55T^!I4soGNq{q?h_10DjCwW@EEa7v<7l;{vnGVELj9nJ#9t7gp82a3G_H`x6ik?iL6f7EZw-6LC2J|pm zLb=$}z|fZnAE(Mb&uLP@FOmyIGVKhCghJDFR|r2Xg-g!3{H98>3#l(0P_liIU}v_)uh8-k%Yv z{(nZe_`Ag@atvWpvwea8+ApAKBsvJ#(E#}8T4w-X3)HP@9(v}Jl{-@`hql@wD~VH4?LIKa+; zigayb0*@aPYO33nmt zihK`Nwv`Sb;8o1wAWsx>BgN^u z#6iW{qwQ?c=Y-Y{!`K?^J+4}Nem*v;0`>5Kr_&uFg3e4C0+F_u z8UvqdX4JY|m4K6~i(<>pmm?YvSH3M^zEaDlfUsUMr%`M-t1F|nOP|gN67NJ!kt~Wd zRaL$&gr5B$71SLw!oLYf2(9>Nrc0{YC zAH#*E+$Im>{&LPrLidY@#g_bDj(|C>ssjY_nUIG63C3}q$?ZftW-CocgUmFf$s9*o z>aDjspAx@znPsthX|D1^nB|W75H7-6LjP@UMnKI}h_MTTC;R}X0*BR4k%^}8?gnrm z^Cp0Lz9UQ&aDWVwS6IBBW8f4Ldd!G-MdL()h?32BMEo61Vg5Ht^-Jvak3${xlHzgx z{G{~p@ABF82NfS$ok5}Fl&)Ch256*5lqmtp7yH>HDTFongr2=;Xxb&^|l;&l0cFDD47#!y#@}0))dCyI(5R^f*JR}q?rL> zI(lM+KMDC#hl$ImeSb{wXE0)A^=Cpeyph@IZ7U{bBWCp&V6l=S(C=|Iyw+HTxodrw z|JdMI0W_H7&EutVMIqNj;HBN;anEb)Uy6D;%Vjqc4WBzeLm6ef&D*&wSrSBpMb{=2 z#sY%zmKF$^9ntFUGU-K#=)~GTKH?=&qUxkNTDMVrPA4$9YF9CY5}r5z&MO&5I==rk`S;6q8S1vby)WgBV1VR{Th~p?kqJT7IQJy zyHt{`rBP_FSOmVt0)*6eIsqXrVk(>e5<>F=YC%HiAOKwq@!}HXA_=HZ&>Z^0@R4xv zZ3^%ud*uDSj2D{i%(m6;Qwc*%f*dnEE=vx;2w+3LO?zza@8ux*W(HtBTJ5s@g~SmW zsqrl05igk%H3oTqVm75IVoh8tH27FOgP#IImH!W+*bZs3ZhO;F$Bn*?uJ{=f65bjT z;Akk7+d|4MnEHDN`uQ!ES|^3)ipn!XMIOyW%#B~&fk3wR7z(3roo2=lFix6KjC_W8 zq5%l@a7r|e1Tg}>cm@&Sku$kp2nL%n)20&o*?r%Z{e|X*X03O-!Qpx+5!{J?vK^f9 z+($E}s`V0ngz)0k^-5l9U@jSv@U!Ctw-w9naD>c+<3BQyvjjQ%I6@ePv~OtN9P<^} zbTsX5U!ZxzgE&R4NVT4z5D%?JAY(J)qv2C4xVvTVM6I62zCIlhg2Fw6IpgNqvfiar zq+_urG_zP)Bw%Awre7%u|KfFr3_XU4+8x+&nb?`I6v+S(aZ((Nu4WqyJ3zD_Ayejs zO6-MVi-+p5O|oRej;3GbCt_8@ z2*LUfV0yxZ{|)lFX}4SumCp->!nKcnRR95U9z|yvv=VxGvTQPU2)W)_{4%-Nm9|hD z-mDh%V8i7uUkPxFPD1QrY(%0YheoL{UUe<*u%QF;y|)hDnrv+ultMjM&-L5Z#;r77(Anl}2p)1GKqB{qpZcfwP z3m5>({2px!at-aL3acI#A)q{p_vI0|b1**rw!i{$TM_-QD0c{a)SptL?CGB1-a-sw zmh2SI7sJYo8uDAxo~`qXpb0*`O?qNBNz_oxrP*w%%g&ROqE zlx@9T{*We~-Q-+tkYbJ9`bf$tbN&?l%9hp)w)ldAB#pcRa3{>Xi!~DAs5Xoz2s(2g@n?A<8SboVc9gdsVI@%ea^(Bh@`x^&f=+1~{$_IAc|)<@ID-NEac7#wFOp)cKK5EYm8^?lxbn;c<3<<{W;ftDv+YW=Ey_zc~GQE9uu9# zVaUQ;ad+D@O1{$;F`tLLzWzm--dLsq>#QEHF)Fd>Xw5A)3*wk*&kyh&D1(;C9|&ac z_vVqp-*YM}o4y48r&$khA%J~A#(u4IGS4#U zI9R7W3HbRITXI;nOkk+!`;2;ei*X<)wX2vXm24Sswl7VkY@M{wfro{o6N|4kEUKCG z$a>HkTr}jWXvl;usf3AP1g3Z#JZubDhaL2acPV&5pI}?r1?z`5t0V}l zE}And(r2tcSX!TICfuG?GQwI+e69&JX~OlVKXKc?o5Nz5A>;buok`9|8NHy}+z5kO zVSpmWNdq4$ql$Do4u_c?7>im7PFyuj?r7bzGH;_V;MnFrW1c!05-m#M##eW`1~pvR z!Jz#M@?6c!Sj8kVC;_$8HrvAJO@8N{d!koEoRag7bj>&MB@M;dDv=rtaiCpZXNj%a z{r#%y^VDI*1I&kw#xmiYpCN7e+XEByU7q@bu%Y80TJh|AS=2AYfXWwlZ$D4{W-M;+ zN-xff`b%?kNMu#njFKZI#$x+uOIuk0=S5XCXOym|KaO$qjg2IQE5|@hcIt6#-KXXh zi=i84z}Xp34;xPNuZOj`_th`-V`cu!!s>!0(y@l4= zf(fC^8yx`%j`4ee@>|=1dInifrARnCQ%?c4{+!U^+DL4MG}$I;{$EHGu8afU7nA4( zh6Y_&Q2zi<;3k|e7biQfFMdg2lnuZJbiCcxB1G}Jn?jZq`hA@yRGM8KSCmwKEeFp$ z)}WU5bKWwa_59`g^VnZY5d2}c-jxSHm`R^EtNTg6DA%CBrY@I3%}|G?H)qJjgyd~z zxz-UcBA|viVJf)%$e7X35)m}$issen#i|AHu^_;7Qn6W=sbNd8l%are zBftc4G~>Psw|fGQBXXFYN|cQBLHi(TI}cz2LZxr)UPa#Lk4hl|VdNi#p|L9fp^5%8 zW)*jixKu-o@T@2K|6v$)@37F6|K#}PNim)3EB5N5dxp@EMStMA-(n>8oiy1I8PY-v zmN8GZKToEWTx$v=_3mB*ADr5~+q|Rc=ic6OW;5`nicMZ)A8~&PSt24;;XU8;v6|)F zg2uW4z$A!EPB_A(Tq7wwPpWXY*^B*x;m`jyAGTV}YG2bY6}{0NPJq^v&m@z>bW}=Z z+yIJ)zArDvYFX%)q6Ys7Qr!o=z053wa%RO(?=kirEY%h;am)C=&#z&wO=3$SBfL<+ z511DqJ|*!yCwh8-cKX#TpZ^{*5EWQ8Suxai4c=a5Ul&34Ro?~>j|4f}0X@0zd`}Qk zR*h6M$$v`)xP&64ZeGv26FQ~xW3{;AzzSNAEnq{@*&xEM+kS0-qG1Kd{t@O$2u`uKDeHgd z22dCC@AB;?J!~5Tyzsc)k~laUn+J>Hvk`8=5db8g&5j>V65-rm9#uvzd7O$}1mHn=-N`lv_`vByL1)%;2Z`ZdQP0+YhOu$5ff) zbvQpgxZFdQ_&+2n=cH)HTUM~PblPD^gsCiUT_fwiO4kCoXvP;%0U)X(i`N?d#L7;) zR7MzKSYizIwK+maFq!FJp(yu%g#@r~0>aNtTH1od8Ea0hft8#t1OM@8tp@E9@cQ^r zH={LW#xyfAmO7)Kq~qmx`Hlb;l@?dD2JYPwbC@1fB!wY;>#-75ZOF38>~kKcbtPLb zE~&IMDi<7qp~+%!DCuv21OAv<)f1s~tpg}Ar2tAp=3**&kd&~$6Tav8t$H8jJ@xz( zCHW^RK5}N5S*qqS*YbF5JIh4{_5jED3QP_-&jd|3k>$MiqpkxejHm_L&sh>4r;YZZ z1Ct;Q-rY#6drATQt3D!hC$#OjPG6_*2k4t>3m6=E=>;(D2R}@)Ul*sx7ozw9MRRxr zyTe=cvHbQWc-Y}nw-xsbrlkUTu}}WAq;CL2E@=M8khYhay&dmQ@Jw1z46LT|(&mg( ze#%IJc| zIiH{4rgXkZmyKl=3+x|9)6< zA4eztSdgFu1+xam|klbpEO+=PtTFXq)Df``8n(U)lr3+JlW@zb;x!~ zVBW}R`ll?&Z0i^L#Ew6|ueoT`PcOD&)ddy~-ifa;Ex?l<-a=PY8l?v>?$>W-K!ls$ z>9?-nZro4fShSy1J}cW7?4ZF0zTodB9Js%pE0t?;QjM z?(lvRZ#t5YF~ClgSb~q9c|0}}MvgCj5P%QYni(#5P9(6f8~nafP44G8M&v5!cVHMy zD^6+!1#^FPYeKhYo`(ljZyq>M9qDSOE^8{k{jlU zL?A|7fgy}^cXm1yJFN2T2S@BTn^*R;QXXjxk4HorY5TQV8FL9FxfugmuQoym*Ff5u zMNjQ9QQvLbs)fDvFzx%myM6XjXxf(*iW{%a#52#LozBICS6OgO9M6cHh2cab8q6)# zdDbaJyAnF@Q9m7ikfj|B(mp1hNTtMi?}Q|oK^su4>@j)Mjt;Kz2e#o(`AOtQy|q7% zTNE~BRdR2DJ5++aLhQ^oA0zoo6q{Un%Rh29!l*(-UpxPazOysD4oA9eFV^hnhC_ON*Jxw@%;~#hU&T{Lk}@%!=1>x8-)C-CuLmAq zJL~VB&v4R7l>Av^?qfl?%%rlb8ziRPcJHfA|5vQ*UQDrjh@~xjj)%I{6{a2eFUp^& zssPdX-NQZWF6&j9f?ky#y-b+Op+9IxgBb=o+SdUSUud`|JO7aibJ%5P1Z#Wba zwI?C2ep$xg5fX0njWb}CWx;RWdhwX^IYV9YAN-T^9?$7Y`OJQSxp33@@5A{2iP|dt z6a9CIeW!QneRk=g_{haXkZ`w{-AW|&Gyz!83qR)5obv)DpOudNI*8_4ZE<`uqf(i) zVx|m0a(<;#5z_oZlRmlgyX(a={H9$z^mA8gUpN^-A;S89gcQ0F!7lNbZ(U)1;lPoe z!RIeF#}j8QSUdHr$_LNn{@fg=qt)3W?p@z*b}|(+J3?<;OIL@dla7tU_9*rihthSv>L%4~K7a!s|_n*xZ)N9us@O@Js1Jsxt_ z-Q6;s!`r{+{MnmQc^@q-MF7J80i1N~RExe8 z1WJ6c_j$09F_0%QxY|raz!)A7@5={7nSiJYBHA{HrHo|3@Zd$e`#%Jz@`67~97G;B zwM9w=Y!7W?gOk4Bd%y;4FpU7_oB$J!@nR4AGT?#CbRmBy!XHE|8StliuL*Yd^ul^X zZv~VuZ-f)3#T)fU6gZE)m;s6xdum-J4Ddf#>h{^M^gL zC&g|ps-l=K?mJns6IZN@gRH8A#!_5oM5VEq(L6QcwF?l>k_-8*@)N0}mA!OTIFv8oJP+qTdYwA;CfK3O% zHgK{vA*1%nvD*x-P8fPa5zM){NjlDzRpqbF3X3K;n%@3L!odfl$@k;0?MLeR?ZqT; zc|hJhFyC3x^72fg)x3cQehS|&R~8Loiy-gX(Rr_7wWO@cicmQ4yM8_YZ2#K-a;hTx zOR8+lsyCY|bKN0rF8CoYPvXMW>GyQKo0^$UjyT(Zf!udqt&+Hj?i+499%>DmK#`R; zvIyrS#5Jm7lcmXa-G4u!-x#`>+{v_60?aAi8Nt~5NL@UmDv*2=P!P~)&*03Yxh6Ud zO2*u48(<*`iixrG0xWPzBy#&XK)aUbW%WL*UpU6KCb>VG(7#*}yBdh#U9X)0Us6#mmDmj|xfiLCx z80;hb>>~jM?5#6nS3IpUqE0PI6}bQ-yk*a=unhv#AuLzbBD$bqo4joarOspRd(N}l zHZJ$HAB(~aNFkw@XF`Wn4%Y&lUUoZ-rk_ok7~KgPo;Q}B48$)2|KkP}#9ep5mJupO zf2)B0sN`z`<=zLJ7;B<|pLGxz{s}Yw38xbM6CPK;_d(u*61?*v^wZg5SY=F~ed**A zmvcqI1*2)rP+Fpg>LeNgU#NJ4>KU?+$cogCe-yp6opl9wO*Vc1IdC+`OzrAYjXPQh z5~LD^-InjCO%`p@GrTvSo`#~8qPfyS?Z~N{CH>7JnoAk|q{`}(UzK>I48%=5a7p{I z&Qu%hobV=jt7BR7k)^|~SgP~u_oI`~KF-!3pA%)Uvu}$uxJl@S5dvK}F&jlc+dh#U z;f1P5;Rz7wQRoew-RtD@$mTR(B52-T*cb!B143U zl(n9f^M%UBqiB9wQ(MDJ(Vk9=e(s@Ol=AClat|mep0b8(>H`+fU(Ny76Gh+n;+g7c zQbyR?k4ioBr(jd8gNe)LL<|z2HXt09mNe+!W9g^g`I#s*)kS|eZj=cjZFZ=%{ZWj9 z?vc2iqye#Ux11~MOXAc5Hf2ty5of1_34aziCfJz=R}?S`1!5(rlwOBRlT-T!ORQ`Q zWIKfARqi*LxG2lMu8)SYDk9U0WF`4OOv(oKnj-dPe&1)y<9Vlo>BiVyS#8Ch(A>?% zQF4UHD#>kq4QWSwRcAx@9qQ&{2APgKDEcM?OB*!8%j+zSMz~)t3K}QoPj{cLW_xZd zkh#XhnJ&U5kYAX}=*23`(A3mkurz&C`SgqRXB88QpO7xw-r^{)IOP{&F-gw&Mf_jq zo9cHfj*SQ{1Sjf7evTEG>XQK5`sCEkT}d{7<;qYRgLGpoBM9S^m?rOJX=CEWJ87KV z>oj}PgBb7Z4*Y$$i@q8eFZufSlcdm*X{A6}Rv6L2%FN$wE%R}TBZVn}HV~#72gcOh zpS;vz*}NQY39{L=$7uEHXZ)v$NVW&Nz=2AXQ8$StO{P+1ndE(z!fYg$1DWI(#J%HC0yFDl(cR3LP;AX$c{r6DPULlkR{V z_lTgSmP*c~?BtSK2QERsDa;#elV9J?5izzA;kvuA$$n$zLJJ8b0Qw0jp|+V&H7S<&X~7V9IN-IF6gsVpF2J&6aP|OBd7~f`fI!)ZRdqh0)x^JEy*-+mp4b zWf?P7HVZhL1n-t;&<`@Psl2Bez>;xAPf%~cymfCEa!*{jdSvHBItfr%~65O%4PjS^mmew`^#y1&&lcc&Pr+BV)S3 zjdTQCMCk(}-|?;w1Yc)Yh%jBm`x6&z-+3tKTyj05Op}d1a7G$DNAk6G zCqkQDPe5{EOumlgTY9IG*>?pgWtS-)0P7?tNV^!&?lLb(_;SXZFNqe|PW*oM>OxQE zM^6~l;JBHLH!H4S@SAvDA}Q1Z4~gAkM{M0`?hQ$<_QCu$E+vge(#k&yD^L`qZ-@)5 z;0hHPFMFfYA_bgH15W@SM#V^4;(_B3lxe-m&-#iNVKpBspv7hW;QZ-^8q3nUWnJKn zIUu?JkFmE7i>mAXhlg$i1f)Y4VMqZ%LRwns4go0<2I-KHF6l1mln{v_MM3G3jsXEF zr3IwbcMs}wKk@xt*Zc3Bb?r~Ab@th3&pGQrzAYHIl|SV9@q=4vFi50XtfK$f=GC)k zkxxBZ-b1GZZckuBWMszrXe!K|9(SauCEi_u-+1!l;WLdAqt!hte1(<0iWL$M;<^}9a#C%?=l6oy=?WaFk)J=Z zYUKC3I-HP^adKdx&p$kPE0uiHKR2;g#qf1bjc^H4R;$v?UZ>{hqkjh5yvzjba6sDa zldy98roXD#50==5;!qnSsp;od+j$TTiA_7>hA}>@b&ujOCpKxJ2~F;r1&Ah(6=+nE5_(f3t#EL z2hQ_qWL4EUGomrg9$+D0zKi3+!lKyc+WhqKF4Raz3{4NpNQXj(a^brt(V^ zV1&nxK-$j*5uslJ@LI~cPB zc~^e1??lIrNqeeRh--Qf4_?%HXHi`jq*NUh5c?bMmC$dvTfgCi(H5(#8o?ud1+j?m zT98s3L?+{-(cAPM`4kqX^MS?C!XbZCva~u=O5ZnC@(Evsr1Ji}3K%7PMx;jr{nb^*HUDCC8PM?L zPk_*$0H1#ad=i{a1P(;7>kj)rDij{gPC2t?t9T6_0c&1lWZNf}bjqg#jIV3z)GpG% zi1{D<&+&q{EuK@&IYu(L#mnVS570=ge0W;D_cD&GKO~dZ4agX4_2aMiL+#X69mSYf zy*)=Bg!8vuTM$3F?=4{78yfsOf^#*YzLf2bf+c3q(YKiQnYI{~{Yo=?M06oFroSQk zi*qlN78E=8C`4!!yEiQnP!b`qe9I$)qI`Lr;pGMD`0ma>CA~T5Y}R*T?zg)nxP3qD z2F+DIJdhKvrb|kmF+F%V^VTw##A8qM|D3IF;K+$` z>NhQhX^s!n&xkx|1}{Ufeuo&o!0$C2znaZbgD(9Y2O-{`Zi`_x1loB@pcN#5H_)7~ z@`+moR>l8?{Sv@Gggx*A@N)AiOemJHMwMJm0{EzkiEAtes^jiP3*hzaWJJBy5ycFTEShE7N&qgVQYq+{EOE1cb zFRwCfFs$`SYs)7Tp!a+Rn()%%HUjh%LvTj#B>PFnw#EG1UN-$H5}l{26%9FWS-x%Xq8I6yon!f_4tO967-)K8) zcMqT{i})W>5Z^<+`*i`eT=?$3Prsn7N@W%xqgU5#zRNBVzf!&rkvKyY*0Yl}q0;M_ z)oNeAZfcp=37sc@qkp(@&CocxI$3hukt~^cRD_8Iqec&hiNFM4TEIJ#!!qAtQ=VG|a<78^TLzJ~qK^DYzQB$UA z8~o^%ju4`3wkW!U)`@(=*f6p3?931yu%b zCVz?Y&Y0(_CLQ_#A%yPzT%$LKDbFWg_66uI|RFV``NDY4{2?t*GbThcHD0Zgc6wm_D|BwB5>_olj!cLWP0S({8-v z9iYU{i3A#~>18~xuB)6z}G`I2@qm1H#uxdC9W5L4WZFikL-KB3lY@g+Ob*Zc7E4Qe4s&qK1+Q3W@=>8PJa9 zM3cJJ@}+&z&E!_?C75!CiFNVyqf+SK3YD18OKwX9PsH|9`{sMs{+ zZWk9T6vL-=B24=XCFy zX1SH2P`=pj06wN&SQ%V7HfU9Mc!}Kql|`ayL`BPlOtr7@X&WJ8w<)5=*qqaK-w~0W z7`E{wM#PuZ@^mO})L`e4m~B~nS*AOM*?%DqC%uZ;;meO1c9B8C??vB3ek?~HP*GTZ zm2`7`^r`X+N!2fiD=MTQ!_LId!flBg!!b(8E9opFznQKwRdL$~2LDvnE+kt4b;wqw z92*5S_pO_^Fe*ocW?SyQE6j*L8Pp~6jZs7<^CVe=B2QW2C4JBRGsWJ6_(F2`%nSyk zgXLrEg%Ev8(21JmRgy%Bm1}Yjv&qk|53V>xUq;M1SU$C0k*QcKML44(@;aVB{zDF@ zu`8siB5P7KxQ?jf=FFPbMn=XLegvGeey{kp=W^LUxRZXxC*M{N;}g7B9=$B93gEQS z5;4WM@Q7(mPhbGO>#r zG#T*4v6W$&hQ0Fkt^3Upz}!Uq+R_y}5z@(f{7WcyWEDA$DE@#VqI84Z&JGrV^#h=p8ik(cs2#yIHhQcUDu} zjL;n^HSvK(&%b59%IFo`xX#d=E(N#QcoMG0RT!1Hz#<2~?`Bz9q~3fYVAK--Cy3+> z71UzIe5WP>kL|6)tgNhUQvv*NFj{r4RHvxBz(6sk#ypjn(#Vu4*~(-u7np}5hgG~5 z-SA<0e6T;nu;f9k)eX_!(2{?TS;WY*o%A>e_@;{Yh_>vu=I*Swk(Q|eo9%d28{y>6 zO0R>(?#)!XMOIia_M|sL^J-60oRauHcH~*cMwyXjk=tHz{)TqSn#4Jt(-68$nv9VF zwS6I5Jd7#9`-p0kgW&!kjsN}VdvlFCFWpX#G%YI99-}{u_@iX+-kNQuG15KgBsG}pH-v&>^#JV47t zi}UdWPaFBryR&~?u(Cs8Ym7*-zw<>+f! zlJ*pThaW`5@A{*Tf~{t4p&U3qu|~*Dz7xwb#iw(TaEB2VCPiOk9dn$2-Vo zd-|=Ip?7lwmB1#%DE(WTrnCY9i?Ie#z%{8es&rHGMp2e<@g#XtIY&FQv>Cf4#HHZL z%#JmTaQg7e3&6GSVVAaB`O0JsMkL%E*jhoBjOCvf2YfAj;IaMA$6ZD0+!mF6UxxhV zga_Z$A*7Tv*g;;iAcB(|oTaPVFW;jBMXE{T4VE`k z^~O|IlbGvCQ+gn!NoEQ1st_9KhOJ|PTalRzFRm_4anIQ6E63FPWK2pq-LHVbG&tH} z>iU>}se(rJ;-YNtUm4ZRR43CvJ{7F+|D36>shO`6sF_=h+XGqWWPRZ9)&XO=#t;Xo z)MB$O`8dBh@&U5)6g0(g64lb22Y#6v!!6>H4f0z!T4e2Vj&il;?yUQ$sVnz6k9ga<@ zt03zanDL)-Z$SlMGhEP#!?<4%Ib66xizQ)c8I=aB9Jqc%;{CT;FG0t372(!Ja zzSEPy)VQQ&PIgr^7MPUY+pJOKk#~Bt+u*|&l%$-KTaz|@G4;51256mmAgd92WGJg} zFxtEjY{s3%jhWZ%I$uX#cwCj}(6lm#Qv~K}hsDJX;H=WU9hRAbSgz|~$z~`rX*w=< zx>(*IY^ERokdblBDqrTVqD7fXzOPMhPiSR@_fBIc3CsJmpMxsSZo~blab<|0-l)md zYtZ24sx+6vB%yr%8ETG|K#Bx0AmZE_Ua0&$!mULDGxE4Vc&=Bq*ap&Ws3fKC!}c1F zzO8D$cem=J!4Bn1x@1#n201I|xl9Jx3en<4_9YH26;3phlOLQs9+2f;QMZ+i5}ymM z+p`eCs>+#DwYlOdWCHu*4NTbAy4r*fsjSth+6JdXrwW3rVg&RiI&uvxtYo>+ul5#m0ng;3L+BPMsZ*_5yS`lN!s5!VcZmNfW zj-mWaNuE@iAPow$XP8lRZTf`^&C4}clUI~ocfyB?eWMDMJ7zUUb@Ai&Nlycxv9JDo zI+zNv-1y;Wf-!51OP(eCE#F*@jL7ZAsOBcgnX?@gp-6v8{%Sz5U^ z<^KY zkZ?05>=iq|65?-*v269jHq8)Edje@b8~!MO_?L@x2foTiLtK@Q^^)1a9~%dik7gIYu!*L)R@vGI$a+W?uEYK9 zJmjUeb#ae=K~$m(pSm?@7f$V{CsAPOLh^Cx6b8FQj&~s2$D<~0*OCX$u@7c^uP&wZ zTR2V*xVut2Q*bK>p-m>Ncst9Zu`lk%nWFN)?{6wR}abM~1CQ zXEqC%HVcNhw6RVqW#$v`NjUO3K%5pQmVI+OrAozsUEfw;e6KK$Z_bWd$mn2AlHcg% z)4O5hn=zby;{^%NK77r$YyVE&o#dgVY$%7l^Guokkx)3c&}t73`3~tX$Z`7QGc`nh zQrdZJkd@C%WBm5ZM$2#aza3BMohz>v9AkzV&894k!SOjUjIh0rQV1R^40fw5yq3l& zjyjgU6S0!T*>weOR{wf7@iu|>kWVzNZgQNlz{jo9O(Q2d#huUE(1Zt@z6y^P#WnN|OQt1EXtLz1Q4MFyJCs(hwZV)>$h(>xYp(>~&+VNy$r_Yjlz z`j4$}6%g?Yav7q=e8?U&Z`)BLM$e2)@lKxw&)Aj74G8a3SX>O0cFbu91^+GG9V8Xr|$xu9Y?z1>}pZZ}bIA|zX`6d4Enyjq1;^!m|&DPkAv zDM3(iPehB=PX(gh?-O$F15ch)?Afavk1}&>QK_6njh=*#_4wj59UD4U#B|G{BH+@I zCqmH8uO!;mH&ee+7kwitNnN>AVd*X^i$dSC>akA0#zzg%CHX}s!*^pgTwxr_$}PXq z3*a+5HcKkL8P+@b0?fLP{Vd%o2_?kJJ{Fe2ICc|~opDZ71F9I7`TUTrJ1AbHcOr--@)&Meaxr0G>9~Rr}(Y4(0cz=`)gj)p1=aW z;J4v}?2uV6o5*lIw-WsA>1bx8yLZN2SZwXR`EmI|j=?9uL&STOhsp^e9=*yC0!r|hL4=4Kf^F5`E4%21oe4P7tYC9EDf5W@)=eJlhkI}SI1U&umg>7A5<-$Tp zVQZ}aj<%PFen*Qti=xnc_F6KT-PYJ`H;N{XTBFhBN_h3jPKiuHVT{TCKS{pTSZivX z-qKi_P%hZQBRYw^a%r>T>O!*;)6p5Q@JS;o$2c*E|7PNGJq42Q3f0731wq5Ew4`W< zDPGh9<4j44XX(MkGRmQAs0cC&$~N--?epqqWBMvfq)0sRTl>Ql4B#nBlNQoKTIVqr zm1w~uTVzXQ`iiMTP- zN?-qtq4QaBi*>%{=c$gYk|%S2LT1&g@bE7w`pU*WycOnP=wMr+>YL%d%Yp|eLIFjq z!>Cl0i~8cD;4@AzQK8l1wXA{TnG%Qnn+f(W0L3zd>Az8w=TFSF%iOtrcYn`wS7ve7 zp(*kSD$TE6+94i8MabI7SH=8RNN!Z8cCh5(>S2c1L`JwATq$+hl7GIDv7Hg&@ZnX6 zh^lE7?p6JJ{&TL(`0R?ho~5ahH_!al{!rXj^NIu%eR^x$oI#>%&g50{lr*JuuegF8 zjy~1sCflZx2Jtl*vNg&w^O_!5k&W>dS~im>vtpV3)Kp}lR{AJ7cubq`<$1>=&(Wj7 zxbybqxiTS$6ufE@VT$jZ_0|}2qdFa}@e7=Q9nI@=(KWsvUn#cNG`t&j2=(o z;A)zNdBnn|h}h0vdrf(TPj!CFP3b~z`O>XC$xWHKLdZW{-G^Na2(G1-I2?(t{ABtD z7TUI{A9KD3C~88~mAc7Lo^I#bK53l4+TXtXh9Kxo^BN3Qnc{PTf=Cg8LfKa#e5#+) z*e|InsQe+(h{HR8qFUfMu4lh8oJib8-YMp_|L;+O=t;&HscXM&ZLw*p_yr;L%5Z(q zZ5;c7f&bE1GBtG=z7#&5@Mlzo3eyMyMRh{F0;Nw`lV2{ani(8U#>a#`ci)ncxp6Zc&sx+#Hd0Et9Zi`Bt^WX${lW4p_NUsp z$;j7$t?A8`0P)@Qn0o%PFG}K4g)lS@z*ZIa9g6Mu?6rE6=M`i5r(u?OyAgbo#fH9k zY^9(Gpwg@{tcy|Ti2?q$49l)+yf`tVA@AmR(~8dy=!7tTL7cVq?AO-&gV4$ATU?o$ z!5I_G|IUiI42=^Li~V2bI%`eYuT|WT4!}2@Z@zb91c;L5!dBmiBdeCujC{9x3QjD3 z*C24;XJ^A@0|P1zq++-OJ9Xu2l^X!HUa znt(>Df4=VDN^k*WkL+)}3&7W$Z@epnOzW`BOA&>+DdIhl(%=M@fCtE`qSm)|9`?qM z0ogkzS!b5rhUvCzql%6&d<6>31mXR5`baf zCFC1Xc?l9s7oku0_NKBoX@cAQpqfeTbj=HFOqi;e{hWLzifrgp6j^mbwFgit51qOYV*Gk*@fnzSlZ!DJuaoZlscGw4l zO_}~DLRn!VLWNGuB6MD6F@P)T3XduS)}ma8DZaM)HGcGu4v!yi=P?ZV;F1(Ju9iC) zI#}Q(gi>=AO)x>27VBrY~vyxN4e0~i|66p+A-rq2V4LtgA z))zKkxNi&gPpVkwUE5OfycZWiI>(haITp8mV?2hHjU|?gbUFxWf<$B{9O>jfLc(Q9LNVBu=g)hHVSH^4{@(JrV<+fymX5OjiI;K155uenWD(d#3SE%!u z#By*eWeg^}dpF}f3#!Ae`T|U0rHN_ZmE;$k0k*}K>xWfa?}(88{?AT*!*Wj84ii-o zfk~OE_$0cZ<22|1bMq7C@i}46YGL!1?*c}d@rx}+@sz%Z((jqXCVOGT#^0x}(>}XR zPeyB79ary5fF+`5o@IFj)5cJISjD7n$#`b!UFbpi3;MKe6?1;0sM#6WJ~bTl0M_yt zRYi=~n28m|116gzR_*15_b0qxUJ<81GS*@@GAre(#FtjW5N|Sz`M@ySAP2s7Z#hf( zlGJZt3N!s)+xw8<%GXKdiH{Y~$@pTVNRc>*##Y+9!yDPeN+$YpaV*D%2Vj%mL!8@v zJjl(~1f6!M%HG|go;$eLLBP(67j}mZA2XqfDJu@gB0;sO>1#Qnkf6oz3&|%pEtY!v zvZxy>PMJn9FQvsrbvBOAc)bvJ5!Ovf6pg~)G}bXA?zO&ufmw+G(*wmprPw6`QLty2 zW#7Incu_7hA;UY9wHOaeO>@bm3`i>HE~C2{@BcewFqL3%qysQDsv~;;o9JzELHF@j zt@Tv_c|AG3)%kEW0jJ6vu(WMzz-&=Xmbr}~M4&P{{Z#Y}O_5r;W;Xsk9u-C$)pih4 zj=p$p?ke}wTVLKj3g*CHcl%)0^=Ct~y1c3=3 z9=VB({6doD_2k1nYpZHtl1c@1wInDj;19{&0;jPlc*Z6&;{+9T_ntKrM9HZPprZT( zo%7>RQg$nT00M6_?MA>Hs!O0!v)n@O3n?TlT&-sbBacGsAtW^WQcyQHbx;W?wmoiF zayGWHsHpyTiUCpdT#XMLmz14Fpi+Alg56&wL92A+c0I;v&;A6qGLCg7{%z!kCMx8sZDM ze70agd!1gy)V$NgR(A#-Mrr|r06KX}X2w~^G*}NzZjmSVM{T*KpvUnCrHseW$vEOL z-Q-(P;klOe+rh=({3s}p&dp~Vn7FEU>N`%Yp*Pcs7Tk`m1`~g2wWbaa=j%y*EDZJ? zz%5)AvVx5*wpoYp&N%8rar>YT<4C3G+2leGIP`!kE`4Cb*ucP`KLpu*9|G%HQy10* z$xYlmi`;H8eddAQMi2{A_cMs#x*@9}y9Hg1$xO#-f>GmOFf{XSa3T%~&M&FSU+Gs! z=&%$dmjK3nZ9>tHbHp8`F%lp2wy~!!arj1wuk_!z^r2#3ifiYlQc~K%y}(to!t{7L z;4AyZ3#2B#aPXopsweiJqzax(Eh+nbJ5zgwLZv-#6x_@5GG(&3M7_2~tx`@exXRWh z)gz4g=xu2ga*ubHX{y6%6&L%K7Z>#C;l+FAiJyaBO1p9V#Au^5cH)Cxb`Dg<4%zN9|RdL3}2t7vBz!by{Pv{i$u+7%IA#3cGf^!7~u>K7g?GJ4Qxs3kf z*ZD@|_FMF`eIg%dYvDV-f?jjp+G}ex#IKI*`qTokrQeD2zT_-rGJVkgD!3sj96y>t z1;iIb&tu#DxyoCR$?b?G;6f=uzAz?#3y$3lbK1}vD{ z3UX>6X!?-w6AR>@tXdGF&nK~0?DP-(|BE8Bif-8 z4B33O@wzb-#pxGBSJJvL$!R&@JMnw^L+x1yyZ-0eU}Zlw?C4+&RWLBad{)j_3wVEf zcNP}qG1~w7KUhs^09GM@6{X!2&7DxPbG~F|EC+^+{lrs6Rp~C@x(DN^khaSZ&MAgl zmmyGmqp;%E!k;o%;Ydgl;aO98Lsf^)~yHHQ<^fZC~9g{6GIA7=XhRe8wts<_hSz<6+X&H zV-i1julTqU0MDa<7YA{zjVTli%$aeH%=S=@oT)J{p6=$q9CI<5pUsPO-ys~&OLru6 zOCd{QoC#`IV*jk^nJwGCnndH#NJa|*@$h3JX0XJ?i39h+&Dfo|itdf}>!b|r|=Uvl8WIlo$9 zuhrma4JB0Z2h(U$?#}@Rd?f|4oWgyED=rIiCdF$lZ|dNY?%M+&^6!8zH}~TyU)dV< zghA<){SpT}#fMaNRV80)y&|vU7X+2|KwD2srg@HJa|yOg_NM2XsW~qny|c{t=Vlcw zR>%wwo=2Grg0WFxvA6+p!zP_@09Yq4J5c&-+)-cl6R!Dioa6_yNN{MYI|+Tqfrs zF~VzZ6cZ#ox5<@H9+ z`r;Sa3Y={8Z>ii~;t($g|KMOGwaagB2tK4FrEdCYkXB4frDA93oE!f|VDahWhWFJJ zZKX2)Oao6||8UcDSE)_)X4bP0z0nZ*9F2}_RP$yM>oZ&iv7GVC7T7GP7TEpepanL> zUuU694erYL?Uh90TgHyv96u7nG1yM_#q9E$Y`>3EeJDJBB&(+jx^CswDLKKE zPW-Hbd;iiv{ZrMKnL?rveXmf;u4WwCCz zf)mfioG}jlgN?-*J`PuR@(5oUGV;%wig<=HEsO;CYKY_oMCg->SzA2WM@bu+Nl}>o zH4u#LV(+WpSWV@hrUaCiZM7n!pj`|4R_`i`OlzDldKj~kjwXmY`Lrqvo44(iPsQcF zBLQwuDQ9(8+1&Kw@ zzaZb#p21DOkBO%hv3SA1Gh=lYck$t3q8Ci4Vyiiv8F03i)bM>TZ#WqLx%zqwC@P&H zS;qJ6b-ZwgN}5n(i>V<}jR*`I4>ZZ~bpjUINptSYwdE;TYUsLeTs>`70C9MAEDP;IWA zz|-e{+FWPA)rmKXFfFMEp3SKye@&T&ie-a_;)cPKxQZZC`Q9EQ*P`F?`C8({rlWdS zkIhFA^XEIzD)FT!ty+>D5VlP_)qGAOAunX;!w_l5v?cn+0lH!@rRmZ93Oq=@VfYI&DX69gi?~LDuhkPl z6o>O_T5=j}eGJ z>WYcF8u;ZGchF|WWhM|Zt{u2$bEleyrSbIAkO5P;2p*b*C!X_zaZnb*~gG4Dtp+F z>Nrr-Cy}F#cOzc+P>bI^IzOhdY8@}yC79sGs;Ayf2$Y72%enV}6X0*l>$0()$AUpB z@#tJfy?9@Gd4fGyfYK0~)8!rF7Z+*~3|BtTPFEMy(+FrP8(Ae`GGky=V^q`VG>{xL z5DLt*)huU3sOAvY_R)BwDosOwK$H!XX-wA-fO~6j5)D% z>%*~XG?k?zn3cjk1YbUKR$2Pc*Wia(?%#h-%um3>?iysXq4uPIN6fB%Vv667cqT-q z#_L9V7&TTZxu`VGpJUk1#V~Kt+@M9hr#9W&sl%jc0?*5QBsWEVe@D5iLarDNk( zG^uC+_2&k+`YAHMD~4ELOl%*w8h}R`cx60hA$87u#K6RfZSi~Q&5CU49C~~7{z$Cc zpzkaVtH<>9!T&opfIFHcy6#g~7yp5ox0Lk?LSR|8;D&pgr$R7|eE2l(FhxswRb%3w z-~0`V#2$eHZhr$ODFlgMq5DPKG9t2hGhGswhs72Lfb#SvOG0uLP%7q%s$ zzI(K6aW?Wskebf{_D}=%?Ni@wIE5f^l4PE>z19ocUZ)zR`kk(_n4hT=QvWV$VEBIy zEcYS^j*ZOW<_}II*?<7g@+jSj z>S!H&>JT_2!EL#aa|=;=+Nf=&y;xk3vuc@nEj{C&6BWN8?7!mVZ^xCXk6&UD7b}Z-($^@!onsIi3LVj1C?Xv9pbAPTVaB~u z-2q5e_ets&F>EAJgM=Y11{$8P6xvaj>+L`IvSwz`OB08}zH+RC0W2mw42G!rS?wD73)=fVMVq9lxL?|8syM&ptcV4vzgYQr~@R zi`HIf?(~s8A!gBc2o93^<2=Y&D99O6fPWJ3@rS_6Kjl$6dQ0w*1aU~wpeb?9A(%=i zR;Xl=L-Z*R49ctuM%)v#VVD*A0iTNvr7glHDj0-q9MFqjBgdD?UQy>;bRQD04|f=9 zN4$p*r-Z(Hr);VY@k{?-xIBx0Wv!WV;fd~i&xvVsoY_q4X!a|jUMdt=(#Jo#^QE|3AQRG0xd7VoyR9wF-+oc*YF5I^My*OIm{P{MEtJb=rNVm34%E^|aQ zoDxjj{(E4endw{8vM#H67}Y>1#;J`=H}&tjr@j9diGFgS2grKiZ-L4Rn!}&0$j?=_ zB<^*)-`*E`a;t=i>dTkL+*~@BRJ0i2p&-h_;ttvzZe036%`<<-eo@-mYTN42k^vc< zV30z|6jv^%7ljO@OW5&s^8`;CIC)ks_~$DxqB93!J=mh6JnZZ^bgSMP$^nwV{NN>$ zql5|lHFAnDy?+00ANQeK@R$8fl7z=DGDMk!-0J^%@KRGDrl5o&$sJJPXcx74;4FwE z89#j_wFhbtXMZRpMxZXJ;||lPP-Y(dXb?V^6PnrdHon%YC{2k$~b>#C_~j$K?4tE3&%oL`=+O`yc;G{8vH1 zZ_6OJpCX$WiH^Ndq*Z$Z5%D*BnOe8x*YsRW{GStwaMYLrVpg6m%lB0^Ju}~> zOvE3Y)P0X<4h&Cb7xls<^kA8y=@7Ir>qDo`;jY7o`)?@L;Joi>KOtYX!IU4P2qyg3 z4oCOh`p>U=BGPmnY8tnSf>DxkzXC~t3RSo4BLNAXZ}6KHFRV1uRd5L#3(KU(dYCLQ zV~y=_C$f&zNd`{gxnwfxXa2nh)AU3J!@pHZvqdthQ%iVb6kLj80`q-m%k=jt-YwtH zqvi&peFe8tNT{8ZG=oawq`L4J8b@B2S3Q`a?JVr5aZ8qi|D!jGOx&r0Mb~9U0o$e@ zF7kU^pGzbu=cP>xD77Ol)De7jNjCFBr1hiE^~y>QcGENLRE-= zNMlg3J`Grq|4`NBZH02Lw@Zf<*9y3oP`x`26ie^p_<~Gj_X!I~7}@sTL8;nNv&btK z*(!K0u!_h^?7UJ+n7wi&Dh4hs=CoiseC}1f35aK+h&v_ADxl1 zQMkQFih12i(^cg!Rgz@~4km~B<;F)*U}Oa>=*w5E*TisvvT#--m&oP!PMrSoc*vXm zGjO$pb?FNGAFzAd@?S5Df@U)e;rGqi1O~7aJnJs8mE`uRl(_2vYhy z4*Y}a%Whz>0h#X6U6-P&D;M6MZKeuM0<2mij!Mn{8m-DlqN>To;R)qkTEXgLE z#xNc?jif>gI+W;+dK7oDj$_jq1i54VUDP=r1;NuGl%Gs{B4&>UMe91RM^Caz2>$IH(YFcPB!p z$>D}1Kp7{&ToYaMaJ0Xz==YQ*?GQaoJnk&w{!t;$w0xoo4 zXTiH44)K-Ip-(+c6^jEzb%=W$5MoPx=L&vaXqZ$xZs<)-7c60A+en&rhxG!*c@JKx z?miK|)jF-k!ivRMxca?bC0_DgU+B)zQio{H?C!$p29a)cm=bc(YF5g~(weQ$8}W9g zrQoNwu?@kwA(Tu!A=jiW@;k9wzBlU}WcqfTF$8y9o5UiTX}(WEh+ z7Z4cG2P#sZwOE)kXgya~)Et+QC&L@UX+Y{qlD-%-dM3shcrYk#Wo~c~pMCauo0O*> zbli>}^+)o(@S1L$Xx1|4ZVo$=fx2RCbwYdcqzjiulG$SmS(p{x+S{#yb``-#r)|y^ zOtRYD1U{0m-MQF@&hm-%LPlJ$&>}^6h$ZF6jX+hO6^v-TF}b|g6fLcu_itoOi}_s? z7}Dqcf`ra3?0ZP=zOFc`c%ONl%<2Yz2WIg-55G7srZNtew=rMUoE8wxjfx??q5T0I zh_-;eDriNh2V;L%_7-$ZS>`Fc@_yNH0mRI#eE5mz>CcMp93cT8mU{_(0@>Wng;78K zH^dPcMv=|84IG`u8=eu`IRrMa+#t&7kBqpVa>nDd@qD&D!5{4oS%Ae0d)#i>5P)ohO=Ps)S@herJTz?Gv zt?Ro-55342JvE^%w6ieJ+B13;GSMLY<3n$dH5CPaCikK7XZkaVEDO3Ht($KN?5cvJ z-(4Qk%+&I|CLHDnUiGrbX?S4{7RL6a;8qGhx!2fKeZ_D6tqbZdF`06`AH47l(3~Y* z7MjNYpn*AidfMg=3(HRe*p6**zX}n_VSxog|0=V=_;8E zCS)2}rJ~EWY6Ztfxf~r-T<2Ox=o;m4V?AEUPaPLwY}%ds0yYx*`dwCYD~*#h&%#)a z#m`^R7x2{o2OL{f)BWVJ8x$G(_brPjXRO}E?UFCCqOAOKqGsa!TrA}tCu?=a%pWQk zDB-bcqNtq&D=Tovt6QZE`y(aTVA#F_W$#3<+#fGTX8AXb?z-(qgUPLW$dh}$7op^qS!Zb z0%pTp5jT?w%{ZyHyf?9*i)>$J&|szJzbq}R*f&u5lT^Q`=E)IyAF!CPx94xj!QHH) zZK<{FsF7t4fD!`{P@a@?s4NwJml(s@dI!g5=&pVG&5F1_CMDTQpkF1Vsu%F7RCth_vq2-9>Gtw=2M zCV|GHjw#Dh3pDWH&~BB_^{S>Pj%t?efKU*WIJag|*_hiMpX!~SlfU;S?%(0dY!dqZ zIVW&6$0PPfivXmrR4M8dRQS?g2`8QEyRA6c^`6sXFSL2JvQsb-yO%JZJV`VV80T2y zNY3Z~iVCMKHdt_q+d;`bO$ZPw%p0dfiA?m*i^B4JC;mNH?nNMZJ=os*jmUvI83dh1^0K_s57(R&}tG9zPWmN&L_8=$eK7$t|o!=I#i2TnO@rJT~ecgFiJ)g z#)3iz&1Oo&ozN&+p_zLkFfXiBbj_N+>OXv{!)vQ$QVP{llDSY>!&2DsKK=)BNL%wP zKm(kLhyR&KQ(U_$!+G9Yr9$wUv;@t40${6Eh#9*Dnn@ShZov{*HHuYE>R=Bdw8)en zp!if&S73qC0Y{hhwGF13RW#Z9l~bJa8P!oD+rTE#fBzIa()35;qv%lG^(7+snAmGL z2T`VdF;`(L%2k7yR;(y9Mk<`Q(Tuou4(aoqMbfqKVELrfI0NwC&4AlC0;+csH?KUS zc@HKRd_%Cer(K&&@<-AC@e`QbP!j+LxP7?xv2V_Yxw6^D6IuXdkkV+HN?Uy>;^52r zOv&f(9cA+yGwsv;IV&Me+h!GF>;XMA;zqU1$DBQt*HNB=G}uB9LGI zQ?B{EdC3hYaz^e$hgJpHBM6cYg>8YLslE2YrVm^ekOX;w?N<~!?z|VGepTeKhclTe z*@@Q*bC1zK(HekH5^<(J!w{L|7IObno>`?~}{m%B%$F4G~6&w4Xg;5C8>s^=l zur5j|oIc;vN5mo(eer?!)e~*n#%r(LHyV&2_G7f@%6h5bc&zr; zj!(rk^pBLsiyyq%JPPGxt?lB1oWc7!TnN0V9rV{okuHJlGCvaC`R)bv*_-yWRzy8y zBp}sFd|Ar_7LY`g?TTYooyv)n+M#3x2{cZMIN#gHBWkOaC+mh&l3yLLOXTXDWgSnk zRbS3am9>gA*qse0l13hkz8#O+Yi*N+PU29mD@Cm{SWsbRSxC%ET$wcKUT`$LX+L9y z>!XM&1CbciQEK5X-}>hv)%(kl z$Ym{Spzal)?17y)i68!>xid9=B*z0xHJ>%Jx?N)Vq6mM(>CSg8)Ng}I=gQ;t=^)^N z!UjBq52)Vtb_rC-*l&^5R|>3#{7cKnOfk$#yL+eRcHK~%as0Ph*=Hg#Zk1q3fZC`- zq1EgN{di@oZa-s-=dp(JOVy&OJFVM3%R&@r17P2`sf6t7{C9s-sRr8UYn-AsN&a)> z*T*8ec|z`ZhLh?09aAyNS4t@?x`4Jdk;{uTY99gq6C|hWB;dhFkafS}#>FN1eqyIJ zJ|r8}P;9VHgVRrDy*jg*no)wBn<$^1`?I{F-2YP<9m=)tsH|g@v_{}7No`&-?0azCOGi#fK?Z4mCdo=C<&~IGP#*msd2d) z$1<%YWHF`WLtuYB!^%4R zjcTg=!0)mkTj2EKV@`bQ6qO7=PyXH2qRU)n~Thx@~D3LWU81suab6(6O`=;yhst6`K7 z&G#F9{-_F}r;Wu`>-l8ou{R4W>|~D)*Zu$K`tEovzyE(lgv=1KM^>alM)oG_ws)e! zoxL(MGqPue?CrL4n^7XOY&RL%DJy&P{+;XAdVjv}-@oTP9f)<|b17?!DL@q2 zsi-yF9&ho>wNkXqYUK5M?od7|wa)9PFx=ttW=uK_MjyZ1Bw7i!E^4CIO*pZ*u0&r+ zXpHU(J%a_AJ1@GXW@GjrVSkAV!{9KR2Rb29;TwU%axcDAd!DVE5&sa9f9J1YMZbbw z#)G_lj_zc0Les!Y09CQ^YLBf7vJdSQIxP4K&;M%bz~ph|_&fDOkBMcarRV=( zP-JHCK6)vU_G#;>k-~XSU6A!oFV0^GpcXBz6y1ymUH&`6l~t=}h&{X%*toQJh< z_5p*e?4Uwo4YwQAI<2O^SJ{lOQX;*M0^J#*f%W=$#(uu;0WKHnDo5}?c60mtxf8py zjUn?1I3|%vNj?4woxYql@^lhCxoN99L&9nHgYRtACa+W#D_CW8v`ODL*76mJjm_=A zTv;iNd9isRzWv7B3tURVB<+tx!$QMP=fnmh>(zvVH`Fw^81= zxZ#Pg`@qk(-hLrJfJfBX^*+g*@WpX@hcRK(wWZ@D@H`rBcT(5GtzF8RUuvJ7a^D=&Cs3g_dY&V9HTXFvrH0k}@RJ%;NM?z>Q|O&rT0-j81pW`?e1wz0 zS7omWWRtTLPx;C_{KyebypuG4l}CB?P1*I14+%6rc^cNd6qRRlW16~osKFs~gw_4q zBWXWSqyJ#Ml>G+GkRan37J6IT^XPHDepaE{5-~VE%Vrv2uXZ*c(cmE831N-!}Vd}XT*E;o#@+REx-sKodAQsmwPE3|S;NYJt zD?|4vX0jPFAMcK(Z3@2Kjmd$5*H-MnN4-tAhx19l_=)kg7fmzW%y-YZOUI?;A8YSP zH|0Lar2%}WDUfG_adAn0iKQ60%g`}4)_6fC;j=;!?D{9EsK08{nX_uM_S@UFU$8??N$)a%ok+7l<_EInzxxQjCjG2*{%L!l6 z;39azcV`An~o}tlju{GC8)55-1WI%BZd9|`Z>Sd^`(O9 zX6sO-Yd966ph$rEjj>hJ2b&D#PSSMG$j{3z&!h%N`>O`CxuN?Yo3K0rO4V-9t`@Y7 z84mWqeWd_syc?FK`3Nk$2x+9h4%Q()qwBJ!h;}bL;5OlUI%a= zroYol%dn}d$dki}E7&xZ?l38wYIrZ()-t-~zE^#+y&i|C_U@DU&i#i%M-%I_1C+z0 zJGe0~6UO z5q!uwUow{}--mpvmjTLqfTB*)Tv*tOKXSN@hn6|9xiWkn$dr&LEG(kDX8vQ49YR;T z73kreXLaS-n$9B@87qz$p?#u^55l?cLv7ggq|{?Ge6#aY+(Zxg+~7kIed3nqt?sm! z4VGKexfNFTx4Y;|>fRAhWAP3vE zzfossb4B;OVt{Hk7MY8#-uqW=lldB5V*A}s?b57kr0!6=Ffe2l*0quW=cOX`ce`E6 z3O`>}-h(r}d!Ja8Q&EIXcgFiVE&i0o5v$Vsgxz=a-bQkrc`-g!DtlF}E#{$oXw4DtDS%)>Z_=So=1Uoe_AEn{@wCF}b;axIYl<7Z^) zOFgfR7;_1Pqe(S}9Ls|mCWlWw$%#TdiE@s*pq2hKgRr zy#~0yx)I?vF%HSxtkBk>b!=w`9#MxvY8d-&Px;S?n*WLz0y<0}s9w^4cjQC>sG(<9 zxKK6!h8lyQ%F$3dw2KUc%pI*x-*ixa#8S1+Oh%>CBx^POvhGUN)Xl#Cq@H+BXC*ie1`NqB~0`u>elZMmM zxoTYC&Qqq>R%$R!mJlo*f{EGDB=ipkH;HS`TwRknT?0H2rbA1}aDj*oYhhp|<7#nq zzy^%%UKs!8`cjKbQ=Y+DC65vmZ?)&aHXa@X^~~$&@mZ#Sb2ta8G$#~!jTMDYIkeQe(Jsqs);ojoZo^*n zNtcXhf%}azJ=s#j=rE<`jFvNgb5kk*U?c`sygo0^%H+zPIVnz!5fZ|;B%!FLG1^Mw z_Vyo)5OhQ))6ocB~g!00y1PKXtY72(upa&!hyxqwGxfGJr^TC&ii9jR-e?#5)AE;CS z1=Rk8+8p_}EK_j`NY*ODS>Y%h-q{9lTd^!dk=TA8;r?D3mW*Vc0|v>zNPvx99BUI* zAd3q%_X}$ovXHjGU93_q)TG)hKEVYlmY#c{EJ-^*G91N+j${UV9VswzG7QxCo?jHz zYcAOZ3qCUc;=_fi5VxKdf2K@GR%F(8xbTlB;L%-yv!~J1T2BPF;ccHr+F;wvP*g}G zK}qKcl?A4?iCPJ`G6^waLH-NsPf_Upceu@!O!CcjhLHRK)fo)t{9|*%`qNas?WxM~ zLteYe6}acJwT*e|+#fl& zdYS_vck+L^vs7@-bYV>9^1}3c5)JIsK@54AEF|V&QBrq*XApU)v)Wpt8e~#^k629| z8cI8C!dz8|iG;_g*bR0v46o81FkB&h%3Rlxpya&R(@n+kh{He`WIx=A<)U=|@(;!^ z3=jAca;fKiAX7B}2|wwVg%gSV1{u>??R=veU{ak)^E(~_h|`{NrrvHKUfA(#IWq<- z;HQo~4T(k{GDfPJpBQ@X-T%U1zV#bJ=odypz0PX)PmGgZL0=K~WmT8ai6Xa$7^;2{ z@XtmC;nO0E3L?W_n3e*!K&vjwJ+Wd!2B-Wuc3~*m`Y|ShzcAJOUmY-=6~lVLvybq$ z8!o+F0p3@?Y6&PoL5=hxXB+Hfe;i88V5}^ZGXS@s#EX%sUrP%jnukC+&KY8G*baqL7xab#_W>R$(JMsuOI zjA8}}83@04k`kWIH;l4p_xG-g83VKOSTY}v|+*UHrZLVc{)!SQ5s46Zn zmH!b4LsJ$Pf+%;;0?O^1Bhk(}E6QDhS1bT7B{?C;x`q4lFSA0?36ZA#Z;X4CK!!U@ zT(`mf_~#rI4)PjdU}{Oi1ovm%Y^&JPow3Cs}%g4BtxttUohjh>S)xd(D6 zz?wrS)lW4k*DDmo0j0b_1$cT6n0OHWekR|Wloh?r}sNjp2B-%+$AGaE40r*gG8%cqcpn@y9zmWA+vpdbEG;$sm~kJ;{!7m%doUNU%9?r|QRs|;JmBl=YyH8wD}vT@ z-v-Q_Q^JtI<#e@lE=dMY z!e*!ty5x*J8XN4YM!VqZr?rJH1UVzu|7m4S{w-%9w|tr$n-;K;0&ZRbW0?4-meEs?r33&%aJ8!9uNvsfGXgd6&FX$m^v?oR7GO9^ zMpv|SC{Pmc?BAidex&&alLBxY3LQuyLz;w6002j)-*T)(cNnq7SJB`8$F6$031e@M zNoiGzMClM3El}iES6hB#6#NgyyB*p}C|f)^#8zJxucC7zDHh5l2|AG64+iTirHcUL z?^f?2j4*U9d+MzA5te|Ie>f0ZEf)kO&eS2kjTfPju zF|dP}C^XRqwkY#Zr2M4J-w9?@OEgIHpH?75ZIOKm=%76xpt`Glzj>3tShOWgW>a$J zrw$@4$vn@(is}8AD7qQ7Om{SRPBB=v_{{>wP_X7x=iv#-I;*{6U}oMkdq42}r-7`` z15Yv|fecYw$dKY1p9jd`nqOq0+Wo&Bn&^}RzV!UtOJ2OwRv&>==D?|1@H4j}{0~O( zFybU2D32Fd6$M!(z_u-rKV>S8k9bcYGNGMB^bu9IOX_{`6HdquB{jqUuOk+k_+3t< z8tj~DxJ81A1&hIa*au;Vna^>dcDrf}+z1&Q^fp9dvR~f|*kA_`=NrNLakUW2hMs4r zSm@V)7S9U=#MjaSP1%8k`jCa;qAka99cb*>YU_#5FV2D~ci#(I zOOM;^D_3bGW-`8yN3bT7)5k!|7}*V|rjiE5Z|XIVt9`y5{W!|ZFOy(%Eq)&qbY0gX z{qth8Rkr0<3LxL_29aRR_X&mt=6dr}zPe+z)L@A*@iO}gz`Z{H9g z_5#MG)sEs_vaUn*6-Mz*rG}m1=;kSOv*Lr6liVRPu-Yz>ios6p-`I}~STH^!qY0Z!w8;hY9+HC3FVkpR5GpC47o z$8=UdbZ`SbUEz(%?*#ptOl=oVUY^!hhN(C~2R_Jv^3qqCFcdAWxWzdJFcdLmxE7}C z87%`;zk7SN#~3tcur2#$6Z=7We1_`FT{Z2CP#d$?a{mwyJ1%m&x15`yi8e}MK)is48!y9H<5k z0rBrDQl*C7vVR4%gr1$QDOrp8=s~*(e1E@H}>{=mimqcTwYSNo5|I>P4uf7~KJPFumX%x`~44{*cQ$t4;fN z-j^Dd019)U7^pMV zWlCD2bYTb8a9#q8+eGZa`Z6C)UI118KTxm@00l1gE%{)I6MLGzpY{o$p~&|MbN?I4 zGX<v6)8%eTVwkX)<-bP{7fNgq_^U^gdwQcr6P<6KjogIYd&&SEQ#lz3SJC=82P8L+9N7`p)k=M9pngKZ*4`Vq8+ z4h3d^hfMk%(lQ8n?MhbyHLJ(C52wG01X^x4(a&k`P(!xShwsHTP#9reL1bV<HXC~G3Vd=l10*)%BN0XfHcRr(NuvPfgzG(j>5pAGg zKD76xl?3)EKZr@Pqy1Y(`^SJrf|>p&(u#6z#k?#)Vv$u>ZU?2twk;?Q_SuTu1T6B) z!IoG^HtQS?vEapn6#Dt>*CbnMgJV0HfV%s?0$xADg~pEpHPfUx-M>hLKRHVV$~_i4 zCm3n_^-cmQx5s!4S>PMr8Jx4;9ZeurD~7dk_hldo9~iGO2>QKCb3}`h?|-6cb2O+? znij=#ClE?jv{o>j(xK9Pj~LYZaWtP?qtETy8yC@vVYJ0&t$k5bQqfZ4b=Y8|()O!L z0x7@8c--G$Og0dhbj1IHeM(b2R;k|9_#M&O%RgI0uQ?)%{#_754*disw9)%9juC9X z=CyN5Bf|wpuOFR<;b|QA@?TXca=YQ2?*O(xpJ(GFLne*`w=%B`)h7vS34+biJ21>o z!NhpD>rnftiwmEio!(8B4saGwuz?r70w)wedlmo0nScanzHuiW?98Sy6cD1yW-Z&+ z7}6mcFqzS6#|5Qe>IPe-FbVfE;G&ls8_vEZ5Io#Q2>t7qvV zIy4lB1ZX7Kdm`ti&^ybB@!E|H`BKB!%&FTW4j5TtB5|NV6Yq(|9i;5%&rXW9e7*su zDC;LQ$*#ztDxmByFjlY?Ja}?r3|Y(#B~u+1wdz;E1nR(PUNXuA+4(H;^=|=vm#il` z%M!4`J~|JNFy!LR*;-JdiVu3SPx8d91;JLofJ`>9YO>Yv7nC4iZwV>3P=f3|x?c^~ zGgJT`W`&7IQ^)cQ)hC#7Uhvp1F*0%i`67GR=IC%jy=+tD#`#{0a(l_Bre^6cxva^j zvYrE{`XTZtKt7?EI~$PK_(0!IaKTjU<9E_H>{R$;3`20VQJDht3g+#f0jB_lwK}Bf zU~hiDwF#u_j2uW8{dA_lP<@=)6?}34_3qLi3d#cBY}+@(LctkIDwBGED)J2mH#lw> zRTcL;N27V<+xua2x!dR89TkxrClc0rV>+uU;mDMuMUi6bH6nD>7H_4w%hWMBX>2>J&~Ru$K83bHE;NirD`6IZzXs_ z(J7dH=*xH0>ritt5gg6gv49@)KRx_cy^GwKI%TlAP_;4rWJ{80_TA#mGU#?$PyQvN z_V0Ku=`&{(#6%Y8r_rjcLz5RqUmcZWrz*QJvQ~3Z8Y;G}RcU-+8$Xi)^=$p_(bN3h zQ=kWYx|*%~+64JAGCI#-k(mRhsoUYigYxh8>Obv2ml!V^y^C;iLiiR93vjthkU@MY z9y!1#u_*%Rz%ih|h1!LCFfegg@BK?vQP^jBvNU__9CPc5w-1g1do2_x#gehieTF@u zZt))J%Q`EEx0XJa8swHmS5yC{pnM(oSmmwtmC`iprtkg)!ikGg%J5<{6*jtpM_FNN zHJH-6J=J%&nob2HQM|cvQCeuh7Z!C~5o0@c!~7;l6*ER#{y{kyQ9#P<(bi3*?c{5p z+QRKZI4-_JN#>3CG#sI}{qLtgkO0cI=`#d1Bc-hT7Zg=5IM^H!;g!sTjVnl6xrB3M z&kk|NJ1FjA3MZr%xo#(Kf6_&0>Pr|#xRAtWpUM(}tt{OtdI@Az_@ZSgt~sSVd4>Mj zvJS0Z7%OwV8=#s~6Ybx>LFWpT3 zyBT^`x6xqQ-_olEIp;Dsi?39Cw*qHeK%<4;{$|Fdp3DzU{~wh3tc3hh{ghEO>A*B7 z4H*FZKbn7z+DkpT{}ap$N`W&#A1Q$}X8+P?7fcKlf!yF5$G*-%-QsSWGao={OQBQH z8&UAMUYAS5BnBplc~MdJAwSZ}81q z%M-?+-MZC`&WT-`N?7hu#J@orZx(1EZHKMv28WBgr7#O0=7;|@OGIZyrwF0q@o`Ro zR#Wub=~4(f&v{*CV7|Z4y+`H`T#P{%Oi< zC}F|Fts48D#+zB|3;$q{-t>`6dm*ouvj?Z8@jfsTdv0WlPB#{SG^`(@ z2cWZ!;p<0maU#>6r;x6jZKoE2XR)wrZD~i!3m{S&;eSBv0}%_&dHqNHk&$A@JTy0d zgfFUnLrl(0KJ}q{oA!O%LsaHrFggtrUZ$G@fa3uOfd|+|QBcz9@5j|yr{TcR85DG4o4dy?LoqmLWyVaA-cx%pT zKkyGmB*0K|MT}IDrv;ZTba|UZX;96gvrRZuPw~EN7;04S`PB&hQj*E-Z(3Xd$>5Cy zPPL+w;Q*46J}LGn5K1-)&_?+u*&L(Q_eON6w~zMi3aNgjRT4CQ=6?z*Aon2SPbSb) z)a8Xwu~4!>dZ6T#(@o_I?sCInFR{OKS*AD>Jid$ zIvR9_>QxHi1Rf{eDoN5{H01qGb+VRHqqmMC7}e8OlJW{VWmL?o2GcERUJs>8)3jrO zGC>1%b!zf(Yyh!`hA14ZwnEC9mFm69?SjfFJ_f)iNxEF! zCW(!iLx;m3t+qtkmTNCvO!S`tgG_f zxrb6e(zKsB-J!OxWJu@Z(z_7tt?VTjw<_ntxq}$xHbel@3&cif((cfDMe4ts(fd5( zbL>f82g`;p?+yfIoI8`D_s$|<(GWQ1cr(MTr{qKJQy_*^om$2GOe|4>T`;M4dv}h> zP`zxCc2TKb$_#!8+A=n1l5(=1sJ0%mUP7@6`_F)7(cfYg7-i}ghVP9xW2bQAvJ+e> z_wDHU87^p2te)a4CEv77b~@k7R!laroU%xk!fry6 zjzwJo#F%S@PD#T(r+hAHV~}mMani}K^&+_6ls(7^$VEsmN~^{tR$w`od?e+%9)9sP=2=b4kr z_UNt(%cLHcEHe7~?887u8F?Vbdta@X2}#Uaac|wroe$e{O5*}H3xf9S%bHWo=-Vzh zP0v>I+%6vzUW+SjvCc&!11y@JUQ^j4I|nYi6Q0(wkO3=% zitbFl@`oop@qs?DX?c5IEs|mn*OiLzV9=%UX9+!t8VH{i_j))?IXq}C@Y)l+!D-qz zXk#0j+P3_Zl0(yCZ+>WN^VPwe!0_I@ak|voto+fYocgHGY!}E1D!d#Q58Qja9fXxh zC3*@|YD+Q_n=&I$v=n&Vh|aQQe}8&8R+dq;Pq_X|Haa6eqy^-oq2 zSGa=Lzu!(&7+L+S!Di}}TvQOf92}p*l|DLgUoqvT*>|6Fx9Hq0ZOMT%U#hjp6fvP%doPt8-0Z;6-;L|D$>|!XEQF9JYT;d zvr>utnAQ%SlSkXO9P?Bwp(<-ONtcbz(J6o73?JEH9*;GD_6JpQv6q{36>GM)Jk1at z)R%KJMVM~r(VS>zCpH^BcI=?s@Y(-SqG_<2uW`jxq8PjU8+(a!;-CR{)u;hp+toR& zsG?S<1GuKn=%iZ%K(F zouW|R>e(S|8_gtsy#b|E)3)pl{gGYIP(vTlH=?x!XIaQlnG@_Ts;u#BPo=b`c8?={GF+EYZ|b%#zBt27TYqtv z!TSilsLc+m#vVmOysm17AZ~p?=ClraopZUNumN5tNE}2oS8-EG{ezKJyz*|WWOheo zU8)%Yaj=N?ObI}U+>u#6T>o^xj=@~eeXh}*BopN<%r9x zpa1F4*H|O(sl};n7e?c+Mf3(xHFxeiU3NstN+D#i`G~<}Vd8 z@po6iT^Z{*j24@%YRpsp4A5P}!C3h!uxB&uaCl`eEnbA)^6&Ss~?DLA;uZsgDr zr2y;InRIx zpW8rvtbgnLKi#ysOiodOwjq?=mmEy5MpbiL4AwsS0PmKtyPbwh3C6?RgRF{e}9{NC^*;}2dH0^`U8*h;YnP=@+TSp-Sdd~sc#jXCPg zGWP3FzX<_crO2F}4;5iSKA02*ir(XN$&4JP`dngX*m?o3mW!P$ZM@vj-US0>uJju< zmFVck3LUSrK5gC3uFpfe#mJ~hQr&(6Cuu>zsQ|b!KKz2axFb#h9iQA-V#Q)AGRk1H zjJoGm|1T#XVE>iX_Z1H3f|N967#syv$-L%{k=q_J zmuws9^qQoC$Q0nDMs3R@3JWMgdQ4_u^y_3>?n>}+^Mp=G&s2rGdd`fy zQg-t{;V3y--^rV%{7NBpWgMBTbE>ObX;MiJ`*vDwTgh!}mcEe^#F(Tp^V&|$W^8VV z#GE~E*23E{Q=Lq!p|K;OG%7rD;>=Nx--1*^CT$JY{Ib_n>>|=pJIGb6%^!3Z^ z4pwd2`cooNl-+zsx%EVk57jLL9}^Es-w=Kq2z}}L+s6;nFBu0;wFCLeC=2b{yJPht~;Gah4gOmXC=iB1-2g%{K3`S z4^g7`Ns$W~H(%MYGGo$tcZ)jAD)aAf*Nm0wdGV(baPsrMH0`d#S^oS!-ei#;ljCq7 zejs-(TnxFyo=_@^o3iQ7K}or}>aY|=&1lnQh&NoEfqHXv#p%;r zHu3d^@!7G~#;odO%^g#M1yshpL~5FSq~8|r*+F9vnEi0Sui6mm%hSoY_mb4;@4mJ) z;W_8l+Gjr|@k^&M?Yz6@dtK)dL2wlBl8Mh{p|2aT^OUQAd!^)9VikYSNHfBH@6keX zhXR9n?XKX?@Swnwb&T2CsLMSHjtm?ho4ei@FlL@LNKf6T++2|RVgqA4x|OOk`hicZ z`cpAchA)kVTfWzY>L@X1Ve?eo$;OLoe$|ch8+#&-sMgv;N|#b`0!S>l&`fNB?sFfe zt-;8!xEUUDVfr=jk-^U|Zq;EW)mzRFsaUq>AY6b?wl=u?=_-g!3rkt_uK03$zfWc~ zd&EmPSu5y-h`{cJvcdu7nIVquyfN3bfe2@0{OBF&|JtA{{X(I;{Yd7ZR6sm!Vi)v9g-X9xVt@hpFLsN}h z8^?tsu@~w=)XyDRsemr38;b?9Aie{_@C&j7UP3lniq1gUVv?zbG3G-OZGpp zU;7??1K42zI|GevFZQ|5xSHFB;jJ(Myq~H3RaU+N!(EHR6rw7ZZM>)8V$*joRD)jT zJLbGlk~pCH2SWjtXmohCPxU4c4jcWdI&$NC^lNQWi)Ic5dTF+=WI30_-c@Rb@6%SE z=9)b%grK~~&1K_Yr50{=ZoikyB!)aZm{v*>6O+Ze8)po>T|AG-X8ZaM*#1C7aFO&p z)`gf|HhSPYxlPHVl^~u3e13;3A8*9_ZQbxOQ_^r+#+Ri8NtK1elf})tvZX#5DGpr7 zVer11_8b-uk&^@D1~zFH8;{pjzBxxmf{K}A+Pg{aa5N8Ez z_yDU)R89ibIVIT1yGjjzFTV&9L#_QoCT%>j!jVMHI|%|}iCPxqIyC|KB z`N(Oa-eBM5!8o!il*!l|-f!NvJR73G$VSyx+vauQTQR8oJ{ILt;LYRX6bD=^mRZ4F z!5@BL%!yc+rB&QlXS7CyKIH4rH2;2IPErH5J_UJ@-ul!~JMcJx%PzyZB-9FYOM`BC zrkvS#+zw4*0n|SCoLr9&qQCj3I-FXN?1&m=33UM$M4eiYa_V*FYg>b{4>6o|$nRI> zA!_ecfj3c-sosiJL+0Z5JIC4$iZTs8-5~2d(AS7*FL=C$Z)6V$)FguL2jKoIgv+{3 z9u=OY_tpINIjuL3&y@(W^_r`FRP7#vEI8}ZCOR?PwInc)c%x5RRAds{;?pe{7>*XY zMJ3GjKOO$04k?}~9v4!*<#UTT4uw@GY~ZEO?D%150@36hzX${g6mg7+sg6qxpXF{D z63Y$l?|e3GloW-5Y{NRZV6`9mdCccn!CCTkiO}k5qp>bX^{hgivB6d%^Nxe%FP(9j zrNDsJm@AM0ZOb#gF@caXbOCIp8V*Q(mC+jlqZBnHwhs!nZ-6A5&VK^kixXsvy-CeWb4IHrE0S;J^!i!Ae zKl*fY<5EJkW`FxaD!Hd4YUXw*`B16S1M!0o8O*qX-6H#fbl9qm*#`tE4TUG8Qb~CH zb05U?sg#wjZQz^SKKq4N?U8FAD|Wxm@RLUw%0bjS_r6?>{KWpYom&s$Ct5xxnR|sF z&7k)w#9;gqnn8;@DHp`x$@ViT`F@8Kw+)DbEJbAJBaVya!-}pf54s{7sc#cUORyBR z-IKTRzGpy?omz47St^N8bPM@LpNimb6lKc+0hg#2X`R7^f>)(4%~>nC?-a;MKc2$5 zr_d9*H?T>HJL2v>SUN>f++8y(%INi#l{Wkv>`H4%^s?ZmB;#mmQ9c%8T+a28n4EbI zF&NRtaPJA7Or7?F)rbw0+_kA#;>0>zG8YeoEAHu?c4dT>vpfaW(N*J$@1rZDB@c2@?W<#IK@ObYkC6auWeM+`KKa4S}QI9Q!=TUB=2!VT^ zLFSb`e^{d{S!OKjrql$wNWa?^2};Oi?)@ii+O(T@>3zO-_O{_+~MuNRv3 zWM3=YL&153$GU8GiSsTW6*0jLI8(8@DX-Eq^Sr+S1!4p;TF!ehH6MEY0>rt?Wl> z{wfRScaovk(Rqe;&WbLkJmQR+FKn8la9i!Y&SW_->av>|isHEttiIqWns1xqbmFdY zm=^3N^5y?fckkQK?UJ`)t1IUpEk(NReQh+>sOGlqKPcidiBA+qk+FD-O&q0}P0Ff{ zBkPnGixD2`=Er7I@&hZA!y|6PgKpI1j$`rnE?ne#72kwyu3dn;ukmdBV}yv@5Es<8IY-Ti|RWkzbah zKv81PmMp&Wt-3kkw@LI#v27~NQRkP7=`rQLKg-hEs5@c*E!R{N$gKlc@aZ&gW&A=I z>kdn;g}V$N-9Uafz4oiMI!gH9>Aa0D!LuZy> zyHp7>gG2@#d#FI1EWO~0;Vh{t~*=5(lz}+n@N!BAbtz-83KMbQ}GL-4;Xri z>ugGnELFM={z2`aa3Bg?a0fPvSl8I}RYvD|cp?^zNZOniqJSpc<;D~2h~CkX%U(8o z9Q|JwU52q7CWLSySRwo$zhT>AMPx3Eu{#7r zXt0$a55AU48nfj|bE;SqUSoaFwUBIMY(m+kkH#WA;|wS)7UG2`m_`#S06Kp(->}Qh zg?l*AA@;^78d}}yt?v`#%U~|Q<7K??M>RUjM&5M*yyS0iL@nHLX$6I9V08d?2WAt} zwTU&n0e;^yw63xC5U8Noj9ASPUW>dTXCPtIJy}PVB(jII-B(Xs^t6}+8C{)f=W@y9 zjzio;HukvSac_3*Kr6P_)GhLhf!HDVL051UJT8=y_`cgp=n#;mCW_pqof$=pyoNtw zRBMMjv3}+N7-c^(`qIGG{pAk4>L|_4u=_L=zi?yuS_8q z7=(7$KY7(bS{1y*fL0Nj-&&h`@?MQ{R?QnI7+O(Y};|iA%T9&Ifu~oxVLPo$5*W} z;Evk0*?GbcLhqw%i9=v@A9}3~g(z^X02`Vhn}7|*Z!-GM^t=t)7;UJ9+p+F#Zt5fPA!jml zTdw6G`AOj2aqpDr6AUmOHdcTG7k(_rZgfKU9D73m-$(pl5DQ(25WY+MWe-%xf_{)L zkJRTm8eEI$Nn$1cC|?Pj^5LOdbp&rN*BrXw#ayrdN)sP48=G*5mBaNDU}?fSr(;2(S2UzES0ury`2*@}NHqk|&Ch;KP$ItS-5 z#oB0?!z)Ik`U6_-y}~6TyD?cgn703@5lmGog&_MX_?V2uKNz={^81yS7jzRoR#3rj zrQ!3R#Y`l{C+Q6mOicB}V<61vE`v|LSG%Pq0q3Z@_YjHFl634rSs+}1k7EAGiU5hYu56VLomdl z4b35T^0Z=x2Y36$$qUEJIWB7zVd=|gwa6DzL6AIdw*w`Y^2)fVuIQl@_8V04w?=(^@IdmQf$$u>r^Eah z?j@!CQ?4v{SVX?uVka*ZWewjpoFO$lE$5d9uMXvBkRq>GxvUc`Lf1aF$kU*vm48!c zRE^jRfUDIp4lu%s`-G{Uhs?el=ZK8Y_Z5kz!Rt7jLl>>_klK52?$r1U?E(tkn~r+3 zm3VW=E=17|2R%XX>3~tAUn&(~u-UKIqg}$t`i2HQY9J2?a^$`T-w9HBEi)o_k2w$0_*t z2Cuq$TZ7L%9v3)=UnS5pqH25%o0@P0F&k^ahRmadhO^}G9;K-0B_j#$si}aJGm}+~ zISs@`!rlXTId}<=f|pKQE)YV}1B=7m1f6LP{hjUjI6DD&p;Y!57PYN_%EqUNB*A-CHa0-Po?1(eIOOJP6$?k|MM)elhE@KoNE7Fg5}| z#y&ykXoiv|@w+sx@^j4E=2zbT2JQvx|A=QpYfC1s=2VL}Th4wVBy{rSL*ri4Z2`F9 z5A*-R^|UT4n23fG*IvTqPZNA9&swMc9`+Su)&A>EptwF+J4k0aKrg7hMxl7W<%SS< z|5MT=UXbeRDIWgLM{Rl5tA#!!uabwm(m^%jUn5(mHrt@qRnY|^C`)fMbL^{_ML2>>a+`l=+*17?ZjW&ALO7k%t7fqH261(uxRZ4xoPoKcG$~I0S|ILRP~yJNtI=DU*2$W6TuZ&x zt1ZGY996+=H0SXMeQ_M6p4~Uit4e#mFjHTc7V;2GAK$ zXWj2BSy1;aerM3#gq?rdErbKiV3e&W9T-#l=(G;an>PnM_MKH~;WvgxYqm>;gi;d9 z!5hf8<6gaca^frteC9SP0_Re3qaB9eZD-65p=F%6HN%6|($>iuwea)|HIwt?Qkp7+ zs)8h4g&4FQc--Vfb~aAVjG7#~tj=T{OMRwVtbDz5vwr8$x8ac)aMFDlMW?uQ^fbq_ zHeK$nwmPkaiCd_IrbijENcdCI~RH`z`w09c&YY@o1b8IGyORp-+BhE4r7m(5r zR3#9f(O4hfLzT{C#_i2}Dl>e1P$lBnINcjLbr|`%|3|Rtk9nda^C#QG5*zwfj*lzM z9_(d@-!+kiDHh9tH&SO;bv_zT6M%>yuFLJZktF3Eu zw)y$dk@xNW6~sju`-&M}{Y%fU)>IkWg^g(_#mdh!Ohr7NNih#;8>6vvuy`Hy63^;f62;V;b=B)F<|`32n&A$Vhvp`ga5!mRiT`{= zpaO|{kT}O2Z@-qrIk>u#oVQ3!;RlXZ!Em3K-sR$x2;@H)e9!*DaHp0p;5WqVH?Hoi z*47aI^8ffc>#(T0w(SohQUa19jpTr!ARyg2NDbWr(hM?4ND4?xr$|W*-NMk_ARrw> zr?em-q1@jdyzl3E-sgRf?@ztfah$((p4Y6sXR~K5i1}ojuf>46q6`=La=d@qo8PKM zEgc_Rd+1)hi!8`eWI8UOA-)!#@aCal^B(o3VkPrsyuT@Knk4L_wUtS~(BpkJwy;1U zYdmPfqTmrwuLfqE8W3XpSRwBn?ensMhB_S*Jc$3fl=bgP3g%O;@|^WF+e}RhnY{V) zk0>;zPi46QpOU6-yK{%P9#2f71Pd@1B@lV36jN)yGe3UhZLmrq6PTI!F#rGF&u_}A z0*RfQ$fd2-Xlol{NbGE?&Me?3O2mALap1bz(<0~4Yc>*5a7-m=sH}=3@fWD2VcLN# zh1&g%Y-n8L{Vb;3IeDGcTgR(V(TtfrexfdoG1b|Kb8uz!^G@fu@-IQo`Vj5kF9I@; zr|^dwg&M4@zP_wz6mBYUCtIVD7oQpJ7VIUCM)L20= z+;A0UTbjPv94(H2I;>=r#9o;kP4hzT)Wr0Y6Kj9=!!UZi97Y(drwKO*Q%4-3z65Vpopu^ zKY+YOP3t{oOhR%eSu0uF_0LWz6@OUgq%4kRZ2dCU3(v$}I-NB6lS^rG7g>@SOtA`@ zJF8G2&5U@P^1!uYPWy}zORO1#s&}VyOt9eS<+$~e|20LRJO9`J{ePWMq7#a_(wKul z7WAa0_HfxSOD% zS2{c*+TcK(ju zaUUmcELWcg*XQLUGaD(V1QwY-!IP=S+ELu(b2;7dD(^@ByD5;B?69)zu`v?fn%n*d zuE1V)oLi80EV#;}3!2=__avc8*sbO8vbO(wpTQ~>$)#BbA|;`z#OXHQ9z%6tw~S*t zT{6DFbzlSH>&qQOyOczd2xYbWQSHQZo*ojUvBs1ixoyq)@kC_~r&`!HZ_V2h-SXffoLe_hVz~uV-*tl|Dxe-h7Nd^16S@)qP4VYwcgNIGu{N8|iM`x2y1zh>P=qd!=@Q@p{+DNr zdFiEqM+saOLK-?GT0B=-GD}8eMS%Oi-rHQgE9rEmg6;gxlt>O&QU@Lx5&4)FdnVEo z?r~(GUEo&ceTQ~oH9i3hxbX54a7nuv5*?C6uI5OoqL#vvq6E`G(9w`M2eI=ha9;;T z`_LHgEb`ms?kxIer$)a;Nb%h$rrMz1l?+1vry>*Dg_DPg3NIivk#)i))mJLb1}H}a zyFrh0b{Uu%j&`y5R1KQ5vJt6y6-=s`r)UK+)UuUj@)MFypkhjXE3MEHo^NY-?q#WU z4Y;^nMH!bgNu_~K0eluAVU+j4&+)?a0@iw$z57(`-7F#xYO1h2p8?(p$LRNGT+shwP@%+7!x z^QttEBnoBh0!^<#zkqkNZg(nEUFv{uQ2UdNHDA?NG!O}NHLbWlB;f&*SkDunNmTba z4e!jA-r#0NT^jNr?nPxjLO|}uW)}R76V_xmsWjP)={WCI^k|&i;lWgFEs;hZoh8u} zAF3U!nbQ6bPc#!U*ftzVcBP1VS1?ZWBzcz#wj7iHG@uH|%jx&maykW=NuG0JY0xX3 z>(zjX*+T^%0>GeG`6FQHJ7()0%66tDxu*#wdm`ce)ZzG=4s;Qh)Bw_wS|&5#5UCcoCyVOnBa8z7xFj5kpCDYo;cbdv{gAZR}4 zjGF))V6Ho;_!~(HR8ky{OC#aJ*&B;`R;UXZ?rJEBt@~6X(Ee?N5y*t#Bzzz)`vDVM z3~ zBbo{GneF}O!@W(VXe!?BzOt+vs`o)svb^$YJiys@J@xWMRst&fF6rG^g-NQiaO4+T z$o5IXin@j}^UEIq*0ADe*JbuAVijOn8Z9N8*KHWeXSqDr{tFZ--W^n8sT!@96lU2) zxV`iE!)nd#IBYD!PG;*GoazlPlHp;2<@L~E1)@nLsvko&5u~Ht{eVWoJsCg~##=wq2&8|H1xhnAR7E;+<`gaD3jqWMbH-o`kYY zB~@}PvZ@>BM7OAH4I99y#M*=PL2v~k(43Mn88@!_7PZ0sC|o5xETd2+Y|z>0#$rJ) zL53Evd|K3Y80uDUNSK;}78Ik!SAza1cljrr>VAP6)MYRQgqX!Wor{1tZ+6vuj;!Mi zEmI)jK*833y2{?FDr4^hLT&P(oC^5tsud>UdHJ&D;lRfS5d|2)mP`gx1cmsR0Z)9 z7%AIDf{l5{rVvQMnF_w zGq!iiKdd6)qP{_YT1{(X;XTZn+R2AUtM?03SM50_Z|o0Fbu{?v^0dJ((L=T#{u zJcD=cS$0?!f=&{G{JX(IH1+r72{HEYhFSnH$G^cQx()txS{gKg3_42N5iA)0tD0qU zkstQmPvFkMqOP4=Zu0K#i`4uz)kfP_U1iF$Rp0;HFT9y}!huR;P(v=G_QJh}DbH>8 zoswKjg>d;NZy!IpvhB9o%yX2VtxtTC=eG2+%IuC2tG>J^M7nJ?Ax?o?_8vx?n7?6d zMuGhB{FAK%N{uZ;@2a@D>;c{9$kl{T*}$Jy1zN-nYZ;9J5h~>3Ja#4##cmnjzZ@j~Z*ljUdg4CG895&~XcNTVY^JRWBrYe%w z81{sODH^x$GRgpd;M=z#ug9?}RB)uwYF_@;?rh96i2^mR!Fr=)dABF6&dO#aa;-Pde5rfsq}|-9qkKk53koxOojWN*{*Wpv88k= zS~i8+k8Kvz-q(4uGAY3?r&8THu>fOy*njS6UlNe<6{S==ZgtO#k;~c$qm4<%&?O!+ zh4Ado6rWHvSDPS$YM;?4H3pNCJW5d}U-zHPe3iVqi5(2?@p~V<9XO0 z*lWwmhk%1$izYxvDVgee)O?rGIXO(8MB1DT6iA;xZw&cV5D{Vuw2breM%QcImlo5N8fO3v9pYVWEsZ`Zn3QPt3Db=? z3H3ML+~ehmU#g=LcsEhucD?ovm_QCT`{?zar%ggpqI*RC9v~M<61xW!&qV$;>)pyvg`~0*ochZZNdUx6BRbK zG`2Uy@)uTd77$&MWL`2Lt5wOq8+VTXQ1%;ApL__A~oM)tfrg zX=WMV&yELR-z?=}Mz=z%fAN*rjvkp;l<0mZZb*rRck#{i32*(U-0UH=Eq5Nic3{4j+4N3u*s{XrvI`Ktgi{mp(mR z0CRGQIb|f!VkP&M8G(k6V!r$P>ZY54UnukBj0+Y~J11%)C)Z~h-)*FYQMR1ER%z^? z2)Z3*w-@1~f1I<_Hj3PSzF+IX%e``8{ZNjVuH3fPLpbJB35*`f8=P643T)*{NL+I6$QGRV6h!7pP8bo7f;66p!(C0ZVTY_+Q+PN^YoAQ6!T;z_XU2kLCHvN0jXTJ?1=sHn7DfFSohoI z*6Dh9w@JhL8wb9Dq>xMNu*dhRj}%zo*!axHd!R${VYj4)a`&?~4%@E~WZs_xd;(z{AN6e%YC2)zv5&X9lvR1t|Y-KM#qDq~fp zuHBo@kp;OmX?vNc`B3xeIC}DA<%qxGdQ0nTjXaUJGMju$ z7KQ7MC~Xm3kH3p5y$Q+>7vc;R)F0t)Bm8;xyHvT;?Rj-W*d3L5>kp2?YyD2u2;szl z?BIk9L8b3XM<}DhI)s*S-Fwa_gQ;#ZMKnwel|qQe1sR#+Ck5>HJk#WHgpT=H=FU`} zEku>*gx)GeJy=a1hixV5*LA$QhFH2-)5aT(zjAgFs0aY?nk-FSfp7E4!;C1tO;>{T z4~-!kbnkJUGk`?vMFR`L9f^Ry*E_)GVUN=UKGhWY49$vtYub)Ty1PlwwqCAh%o7e%o$9;Gn{()+F<0~_uxQQ9y2 z34DE@pC~@bRjFz{YNGhQ&R64GMKXBqEY&N%4-%IKHja%hKgd}+{wXNXEtIL?!3s2+ zLbkL~{tLj}3~8-|706zcgy|9c&tJ{M+<>f3@BBl@)qt@roo0;KIr3N33ht-1+_~V2#mQ{)j_VqVg_+lgD{)-#(3soUhoKJs}?N|6WktfbJW?bSAo zZXfZLDki$8s@=6!j*Bgg2DQDzQEZzo?>OBzso=u}(s=T>+An>pVgm@hcSu&<94Ctp z&S(5U0y|qAHLm2v1Qn^qgJ#fQvj-g!<3EpI8bkgXzYy1ySsl>(~1cF=Yj95$-rhX=%{&i+~ zBsq6K#+ISJbn8PrNoEfX+NL#Aan~B&ojE-WMGYm;`b)Rz>B66r&MP{}WhrF}t7BFp zekZyayS%$i=ge7Eac|-zc)ix@ru*~DMB>-$aS>069ZR2A=fnk)(*Yabys)!*zdrY1 z_+^TH@*Ely%d}IBSkd`2D zp!t+FvY~ZOmy5pc(RB^VIrO6&+n458HM#+{z6wf9RoBEFqmJ?J3dP$i3iX$+u~Spo zV;R0Pg(dYFMW>U)T7G2#3m3r&Qc&V9n#WAF+~C74h53k9yB{)0<{$GKFA4T)p22wYnFrKB&u z9&jTZetu+X<;7O{~WRVd8e^&a`qq$r$G&mLxeX`CuU#wzSA}RL(yk1xtU;1 zI>*FOT@g%SBSm4?WsOza+V&)qz;lq5W2R0w=~V$#_bWz_8}r{#uI?5h${HLS{itvf z(uerp4Z3V0o4UQ>SNyYL(P^A0`EIwQ49m{=S~6yq^T+M>#0bt5Q##JQ>6d5XPUSG$ z^~-EW1pl7fXth9PXMHpWkchQ0X+o0DO2@k!cv zNU_bC-TS(?gmGO8rdm2Em(q0x%m8`fuB}Pgzd%~wX34^l=6&7s62bjeoaIHFum+vu zdl>Ei5W9Mz6~$+76!-p7^bb0-ukxZ7)I~-Ho^o+T7JvSEmzlBeRfkO;AHo%{t)qu( zFB9z$o$*dsL&CIiYHTE3N^kF6PUSYa<76zrLlE~x*Hp{uhUb>lja$%PpoBa#T;G-zgVMY5WhsB7uVFPKO(d#-3CLH?oN}t{6Aj z0HK*d?8}DwdZM4Nt?g^fBox(=UK=`HKL8gDH(4N2h~AjM^@x0&Rd074pQy+%NO8QV z{JccafaBA$5Btb%_&TF#C>pre)p};bMkL~C;H3z+cbf`S@= z;xP%G1@1?85a-5^E?9)?Y)Ef#ZHCKG5ox^t`0=3ukGV*W|o^q?4d74T(Za&z$((7C?Dr@l7@4pX*!tSUBfbd)CLAamBp#4W>dVU1!;%)E z>x?*CGx@ly@T&prnH{PNz601wZ3(x&WnGfA*VEL9OOywmwECKv=&g>HgoH$9%y|+K z+ad0a{N$rs)9_jS&qkd?O`RGGWt}a2>#e%ekw_hhxQY-b= zXQ+Qpy_K+_95e6Jey-KlSxg{+DuSC#SrqGvcLK6;(({=%5%1MK-6L7Vyp*4 zE^0=z;BW%QNs7YJ1H6ETzMWgPHnpVVkDie+R|L~V1%#qg9aU7v$Y>*7N+PmA8J&CY zb5X3hSq85LQo=$$D9Hj1`NJj`%WG;Y6ym-&OH@GO)jry;`am?~e%vgvK?2QwaN_dLj&OpVjkLY@l+5W8QUap4D zKH~(>=(?ZIW`vHE=0nx*t$Dw}3UX7ror@WOOIwqzl5<~j64{h+3v{uqYUfFt#YkP$ zkuucvv6z+Q8^%p$T*)&I z?&-T3T3Y$_{FEaq$MuTORt;d8FMORPqH9HvoWk7VGaIOaGj9J#rg)Jrec8wNF1X`A z$%tu#Gi?9N!ORAj!#YUo=^%FHo@%2)u)y06NS+JgS02BM4v9V3(*`D-rL#RJ?JVk!uO_xj7ipD(#= z@hP#o=rAZXSo9xY-aa326b>WPb>6isb>#qbxJKr^oH6uJxbI<6iGx4^>13)zW&akLf%q!%{BB5Mq3Ek>;>*toFv;V>aM8eGlmzJ%V+KFV=$4~BHTu& z0zV0&3|>`{53l^MJ(bFO7rqN84lT9=x6i)xn$*P>RN^aSKgduBTp3_hk*3xRycNMl zuXgyz=esxQ%Dzw&l6Yob;@yy;BiU_%<8&968bL|+4*1FSaqkj#d-6&`QA6_}-Pq!s zC~FR4-DRrtfXjQ<0E1Rw;t5`lv%o#S1zTrcDv!^0x)xS}k;%se^FZ$j)=o>3hHv*;n9RX+us1GBd%;w;mRU z@0K%(z(e~T7L|2xu|KTREZ;*7z`rI9^kGq|if1mWU;R8PZv79@60L>|l^(RHP6Uwt z6QJwp>V~63cJi_oj!M`L*d;j0x!L03z=vxnF$YXb6G49WxVP+SPX9ljX`O0MYjWk4 z1)=6TS*vG6%RgwOFU<&=@@a9U^g%hezn5-AfrD~|n(J={j2lBPy{SD^1#jU2RB8v; z$00w^P>Cv1O%G?(=GA?57@V9PftK{Bs`g>Zi4Dlg&^rT|2J${F5?;2yKNN0M;suc!N7XS{D6(sc>#(jw0zHb=lE(#)xO zVC6fuwYgMKV8W6St=vziQ!8TKxw-lyx4WU|UaGGgM<1l4laubWTd9f`SJOJyb;k$?xGk$z1roL9|ueh@n+2GQbkJ-;KTT@bx7sl0Dgjulo1D z?1>C4GT_>x=y6*OFqh>N{a^KHwn^z|kGEGF1DcitXj+GFGsTFU#nBQgpncfLm38^#6O@}$p}w)BeeLQakG!lE zNcB=HfScJwf<{k7MPcOP%5#BQq7_mjPG!44EI9!YM8L%JFTTyVXyHK_Juav@@ai}gIx5_s-(Ay@>h&*sZEUZ0B(jEa)S3-+);2_N)1 zC&hdBRHf8qd8cU?dXG|Ra34zp1Cr1KF!s}FRLmVafm<}mQI(yjE`;{f+PyhoX;(2w z`k!h8YClFRZB>TX@1_1J2hXG1yp8!^zBE@EBM?g(dwGuRrflSPrZ@AB$@;exHF(Yd zc1kyFwHkB`*U7*2hb5RqSpPQ3(>@}HZ>#pCZdALKm~zj6+v!AIkp$^iPS>P7_6F2W z0y}B$p!lvF%<)zhwu{|HbUAh15I`9+m;g{3@@W}J-hAKRUW$v~n$~qQtgc2Kh@}m6 zlg0L(qsdp$SGX%(^ZIL!cXVm^E$}XuFq204} zK?X!%Rca^W7`&hvmByYSD&pzBo_ldRS_RgIH;BstpR|->xC-(cY*KK$oh_PhG5rE8 z?&Ioi)u^o2s`oj$&dfW(TDe(PKEbmo4(*E6g9q!ND{JG~;jxKVn_MghlmN=ucQ`SE zuAJdN1^_6X1l}Zln+1CxwT&DzW8_zUv6`o8!WyVuRfWCcdqZBS{z}LcZxGPtDE+D1 z$AtDwwGg?8Hbv}5Z;06%;MqugF-mI3Ao999x49EAMbw1wAz#kqI+&?mo^l2t(lOe@EQ!m(@uE}qtwgD*#oj2e2h#b)< zs*x!`edeEf-qL^9%Yi?G*!eQ>*m62`Tu_eO?+lG(KNWHwfC9bn(mDpS)!YU4tkAB7 zq4Mt$zj`%z5Okk(A0e0!G4k>rAdxdRCHF`C-<27R!*f2{YQJh!c>{cxd9FENTYCvy zuGA)hh%iw%GcPFEG2%AX@2gETB`H;s8E2|C^00?nf+3ni57@%P26{l^E+ckg%GyU* z@0ARL@Avh--NXz7h;G$ef@vQG@ILLsSgI8M-ZkJ) zppyXn?GWsIZw?O+G^BRj&y-%qfbDZrt*E2blPJxN{Nd<|+^1)w}hAV2Axt}p6W`Rmr%@dWggxE{UIE*@bk?D~YvpSF5%HTURfBi&`TGkv$J&#wWVSBpg!P1`w=Xfh#}nxb zVs!mTa^iP)bmlV&hdX>SRz8ICAEo#Rz*rocR_O$7*`?rY9G6viZ5SK}KCn5*@YG-~ zn`tf$&b0RgAL17*?G%k1W#Yd2>5x>^tbt^6n|8RYM$DC#V_vfDQqb+z4(m9`eV-!vuTrrE6IsOPCRgxDNsDHLQXnd^}bWsXrR z=s(Vt zKs=ZK2pLt*ogszx{^SAjUINbj689pOLYev-On`;kD~$=kmWm zF+E`Ix%E^buIEX=YANB*LrnBF7&(|G(%u}UYmBRW`Oq3M;70I{bk=9GfyS~{owQ$e zIpkhEaBPT!*S1MsltY-NlEMdI!$N*U;Fcwe>MNC|K*79(V)iz{7+fjt{JK6SrV%Ik zc-zGub=7l}odzz%VKq*v4YMTUQmL@ih5jggt>NpQo_$qIw8`Q!-}|H=@Udm(t~#AE z2GGob*Yy$5^R}>^4SfCQDj$Az_qaM>n;0Z0LPAg3=tQlb3vev=ERet6+xy^8cejVR zpl-bE{w*3jup$ia(0|weun1Kk`z)T`-@NOLS#+%(Ca@zI#2$kK#}#Tnx$U*fw)iw; zF6a7b+786UX0)_S5q++A>fS`5SC2mqT%}Nl$In2Lg}EVPjUgghter}qvpU~j=yG!D zV!LcWUG)@Iq=E5p0L+{Q67CAMj2(a9(_lED#f)tZmYak_qsJf=(`2QxC(o2kIGi@H7^+ zqzo*0G3nzF#63`2JM$%Bwu>D3H*jMGBtb_u1Vf`oZNlqpzgPr{_vqEbUhM`B8hBS$ zJ)TUFXu>Cu+H=y3>s%su(a&{i6bTMPzf*ujwX22guaA$H`zk8TF^Mx_$F+v8-T^we ziUe5-f^?sVd@M7*B7yhz7jqWwrI*d?sOLhAdM#S=CK+D@2}<=c#i?DSKbi{hZM2#7C|7&zg3l(^WX zt!Nbl30I1l!K3WE4Dr1zEKbP9FFIBPDLKTwMe=LtFNCo#Ji~=ftj6;!>wLn{*z`&R zNwnFi6l!fC-VHqOmNLKVIvmS|%c04YLpz4?K!b(hZbY0&wD3`5pUz$bR1y5tgdrOH zEJWwbI9HEouB+1NGvOF!jLx}~sg#_O0S%b84^Og>_PZ*tmwmkT(b)N3!-ror4PNEc zWr#kGcY{A6rEEEL$Z&c$nU)k?^A^rx)^#-|rdoe!?5q{y#Zf~^ zcHe72!=azZNS^w~5FtMckF#>7QMkm45(9I#HB-T{a&W@EU6-*oUN_FQp2+mZB+C)p z&FZyWDtRksLie@b9kmKf@>)E8g*G3($( zc(mX#H_46Cs~=A=dx{%0918{m4%E&UGTeiF_KS^;Q-H6lG!&(UexQ(U-(^oyxvw90 zWl0zp->qce@fZ)g){^+J+>3h(*kOwO3|~`yZ&?JRcPyaAUkA?!OgnKaju+e8`hg%- zs+)m~TXxh(q-4^Nz48{jKrYKPMVUgV9^R_xOFuP~KHRnPzVCHXD#hK+ZwH^bfH$$# zcKEiuvQ!S0Pq?~;b7vH>xNgnrF9X-RIFK0L_P zIRkiy+sHb}$J=5_|F>mr+77;Bo#TP-B-6OggRf`xWuY=B=AK&=e}NpCDU{~}etoCJ zU0a)$HSp|KeTO7Bz86OJPZ=C{CjBdruzzi>e~icHP0x%YbQ>Bsuc5clfzs16lU%7> z3fqq%k61&xJUlxVN0&)55;XKCfihH2$7$veSh}z*1ozWD=BFRL8!@&hSZ=IrlRa4w zBO*JX6*JG9-Vd6Vk$5Vfa-hn!am4sMx$6EpgPR&XooXoGX?Ut3^1d;FDgRCRdR|eJ zWf|Jah*|aK{MaS35!Ht>D}>}iJbC0Sbn#(kN@n_<7OkW+e}MSCJg6P`!CGOol-V`K zLCA{SiV`&Mo+4fk93?DN_7pp#p;x2Rxzvex&E-T?vyW^t7-ltN>>}sAKxJJoSbGI$ zbV&Ax{B6MLsHvKswf24pXZEA#xzB%EQyibiAm6tke5FAhZ_fAB%3?p?NV1RRx-j8Q zIa17Z#B_Y_{?hBpQTER*^ z)n@2XgC`w$!D0@ z4r>v?+T_k%n+81Hbw;M}H|K)P7h{4yYh&F8p+g*RN7wK+9d%Itie~z&Gly+w92k0R z*bhdj&W^cf?er1!-$q*r0jN4M6kflwMJwh^0oIEc!lwoQrdnp|+5Yw>Ke2i;GgJ%a z&Y3O9rpfwx{Jn>_IP(1`zz33Bn!WAqH&`QG;Iqb>Q5w?ic%kj?rWSfb%dbFmxESEI z<>mM-#nr_{ITV-jFS55e^1p=MCnA?M9UilAMg1Z&|3n@jDF~c*T?-c&hyDe^!rrj( zrRyF*If0S)GI+`e=f z@`S&795OYRhJ}B8FNP#ToDf&sMF$FuFh-BS3KF(zzA;Ste~buc*g*T3QTkR+5eyMXxX3@h37V1{!Mx{u{Hbh|9=;)}k5k(pA<=<=`qi^;VEe zRR5#Nb)$*6(Y*MPU6xcSpha!G^DXMVa2B^Lnu?3V0CIl=tVR~aRC$;wEO+PZfE8u; z0)cnI{uhXeZlz})&oVK-8?zP5L;W_8BDO5`ZeJ=aB_M%wUGIYOC!xTy=H2=<1~fM) zMiCqIZL@C3<1i}c$)61KCtYenD^XWwH=>pQEhoO8qENx8-WKoeyZHa1%6_9tAatX;2&j(0?maGl2t!)oskg>htmhaBqWqup zZ94fc1+>0lM%NYiC(Ff-CeUULlYRxD5<@Fcjg~v-(f9_Vtg>T^rpm>@nZa|v5a3m8 z;+dZ#>Ev4IA*nY*><&|6`BgSf8UQM;@&RZD!wWaDA6ts{hvkg>Xw^!Sk^Y`Kcr8ZM zcuEJhFW&FvI4LGE;6P6k8I;2pvMlrF%=k#hHAhU^+NXhpzsRrJnFHj#Y&IKewpxTE zI_iW%Z)bL`(li0cel?AmJ)`*C;##**WR7liE_U_hD7AM?&0k? zT;QL%A9kMN(9CJ>Y=3k98!NKK#qWvqhRjjND zf}93C=BPT9Uk_~FFZN#nk=IVgoS{fbAvENrl%ueDSepeSP@iWsZ6Z-*wnj$T7aRLp z6ntTD$|Wk!&+1nzvgM>ouR)?tkLd3|xg5(YY-&oTAn!u9f^k(NJVj>A#{#nMWc4?)1{Y3Tj|Ze73T8lS#g}P~O+9^P3+pF8V#crQ?$;z+ zG?AVj^tbhel0g5k=W4sxIw98pKWND#KOAuZewb&?`(Zl;(e2qDOjr@?AoD7?>3EuHod)2c#0SaE9pd1@eBbJ`yrjyMMg>R3@-PMy z1{fc`sn z2`@T3pqMu|2g?)|JA5XY?U}#x(0ITp3M!cs;6k{%dJFE%vRn zu}2043^DR#d5iY9SMeRecnk?~aSHDuZNM?{pPzArL$#94;LPq1xVNZ={rx~krC2iI zsw49@cT1HtD~^}Js}c9%X=y)495B~fMC==XHwg!I=NdeTv4_>BmZp5adR?eZOe#(a zp9pJ8$|qvO5lhHUxS619*woT1{Z`XzhnVzF^9|EuGpRhi%(;)SNTRm&fQ|K&sXm&* z1YA|_*S~hGHGH~q{f)CAH0670RIbW;jUXhA;S3tCIph27!Rcr%b!lqFGbv+P*^$6; zeAz{1&A`GAB1?9JSg?4^)7^3xigL+0qYg&G( z+Vjp-HG#us?vx_rcE7SS1ezNZ=im1TBLI%N*p1JhY_eadadXh@I6}?=Qtp71lrZTd zE>cKRKCWgUUAG)~kLScc)0o<}XtojGh?6FG%D5bhiC1Oj-3lE1EB#ftPc!W6S-7L@^QXvK{FOwfEnjF@Eble zcg#S@s$~Sx<`eT3+Bqc(D!lloUT)|%`Hk9qI_LApL_zAfh{e+klh8KQa35n&07^L;TruEk)Tc$zJ zI>!d+6sv=TRt<8^tPY}wb_q2?am#<|FG0SSr%0HIe{JdHed`+T+rhv?5Y0GgPorZ} z;Ir}0A8kLi)#Nu3-vtdfFX*zOL*7e-5HlNMgi#deXu$_))?=9b&|90ClXDZdciNxb z+_sfZd9;OT7=FCIu?4h;SCT|yrm(0g;S#*=Qvq zIrD;k>a)C=_3DF7B08X1?%h#%+ft9@GI^)sv@Vu5Q2?ccvh-c^4L=t<+s7N*z{4juN1xS8FWNC&Mj~$7KGQw+TUla zFM>`i>uP|^Sd8x6igv;PI>ylTv)kr3D>+$RR=Hwl<<~lh!ma{AKfoPK423$-)s6~U zFZI9m#@?(0YdZ_Ol6T2-0qE-OSXMt|)5 zIE(PN_N6K)-pkb)LZPz4$cc?oC<2}z_r`0G z_s15|CCpD2+QB&ptM8C#x4G#b-0}JKZ5CXbqENrqUl6|DH%SC>w410AOvI)}=PL5( zC+C*Fe2}5jF9M)R%Ddr(&iGYtvV{zkRHeTIxp`lGn>&k=F01#wGi;@$yIMC< zkM%cFj0uq2NG7lfPwlx*+wo$h^b%<4+C0?N8Qr&3DhUxAoOOH%S${U9Xv?`Bh1zoiLh`Qv}t!$-h zYhwc+Yo~*HQBU9>-#T<>jxpp^tUG03EH66B5NPZQ=f+*pxV3A`s z!`#ftP+)fJ!$hho?nlHV8{RL;e6i@o)iYLDRGA|gW=gX8TeZCKj@C3&iNGDrQ3wUF zRXT~h5W&%5l9>WWEPrC`@1@ktknnLsTbv0)PYi5=%irhkdom+}{myO?bZ(JZ%|`w{b-)soB0-L<%T)G<3G z$@fUAVv;5CDaFa7Owbf)Yxw0|_U^n&v#amQ-8ljD#*> z_pbh~<>ALynRnDB!3y6Cj@e=k_yeX;mMRU-rSMPtC&t|Y=O3mu@YTZ)B%Z7)JO&*J zVbk&RB`ekQxsRP(PJR4rDR8goJSk6ZK9o}nd6Cd->-{GGTt{kzaY>lVwpaiv$kD)3 z80-EJFOjPypK||kG}&JuygrE!?$}CarO4%qXwH23XSei{9HbYeN2I0tIWHAA;^%YM zY2J+1l-6RsBRSW=KdF2)bL@dHhKtQyzRi@R<{TdbUo!%|_USRdTymc~5o#NXC3PG8 z6@}Yf!*4YprBoJTW7-spC2#1X$}?w52fikcdHdvmCwmUyWt5zjseZgD0ZM_L3ijF> z-QfP}tm}#2w}-XA_%ci;{rl0NfM~`)IKzMR##WFL=Be1551p#M!#h~=%) zgZ=}kGoh#rW=P!^Q^SKR1;GbZ4;^RU#AvPw>zIQBZCst??7~K*bp9g;su2E^!>;toZEzKTuX^`X)=K9a< zg~SF_rFtW&O{SgnvYk}!wGw<0fb3*hXEDSX3t+lC&9u%nof2Kg!c?C@Ca*#W0~YPY{Xl#~J@-3UkyNH@|kNOuWHcY}0G!_W#ycXui!jdV%3lyrmWy9YeaIp_DD z|F~wYYu0Cd*V>ysGxxo2V2GovT~Q~$u{Zt5rq+f~)`F4D#h3b(s@E$ils?2CtP`jH zQOEl}{dv6kfG!2pZ2VR1p}4?%aRvaK4Ar#27$VT>0sB+V)gKc^ z6x+}ct6_Qb1?08vEgZVPz9a9;Fv5Bcq|Z!W!lg}3ry&lQTmG7Z(&Hmm#n0QBRCR7CUCx=a{O^2~HHeK-5>$WcSWW~1c(ue1F)b8gpeLTmR7-Z|L z&vXus-W5s<&FK@fobb@%b4;az+0;|ElT7Ak4B%K>Gi~_|X~UgX%|6_OI7B)ANUt${ zp**37-Phu=ffyEbj^HqwP#Cn;3BbCOIOkZ;+oE%7QY)b!ee?}2W6tuej9uQlk^XIQ zPg7#kVcLT88f9Te@%alnR1fl0$af8M*5Bis0L}RO$z|DANL9#{|{)#H?(oS zQ2!@2#=`G7L4F5bWP}Er2dI{gwIX|Nn{eOF4STtRqCMBQrk}&NT_xtHX0q6cci*pP z)iMDw<5oyM`(=3JtDdK_vZqTp^JDL?Wl{nTd4=0H=oAR6#*N4<6tv3_uEqM5T8|-e zfAl|~v#Pgywt!4feixyC!V){q+iSlv4HRcNWzuY(D11ZkU*-p@KcJYvZgl?^YZcff z)&g8&VQIH6V@$FQ;eKw&vKbQZ8yqqk$d4p7Xt47d%f-J}4$st~g0kR@y-r;dbM`P7U$d zHz^IK&%Nrc)&LiAywnfNYD|?xV&Ne2Wtw(gcR0b%pJ?Z&=L63&vMUJtDNGv80oQq2DCc{8 z^lo2t#df|#&nuevS;UR@VoC&Rg{E;2bej%4uBp5+^aDo~!R-S|Ko}oDm;ion z0fo27fl)YkEdgsTCGvo@|I5MNArk}tlh~U>_QeME=4%ozTK<5f2Ga&Z&hV@F z!TOz`K_}wftRy~q7npLTpb84wh3y5Lx>U{*)NdMH>3a3zFSI`_Ydx+SlP!iRXMp4m zC$uF~Xyb;|wWqF|jlU>EpMGYBRr{V?VH~E5QE%8!DX2qs_>8@-LY>I~rS~NC<4BJK zy0qch9lhq72x$zN5Xw&x;O73u<%#EKGJXg?g6pF8-i9$P|NEpG(>f#Gd$zv!gDS*( zl9vIRYc(G1XM=*<$gX%lGQb8tO`Q17aZq@N}o+F=GZw=xoB#$*T*}#C*!P5zL64P z6tR(63r4)6ejFL2Kon#0I-cCVKUSoAE}k=}^ilJ;!XS+_xX{Pv8cpLzfB*iv=#x0i zJBJy)7SU>I(nf}5G!-3ohdO;TB|Hb{w_uvB$!hI&((XtKM*oA@g#fAiDvN{I8!OLh zQ<<2?+`i0N8<|v6M!53Yz>|JubW75iWlG4eSzchP0jXtuvfxq>;!GB8%pi@G20Z`M z#JGxMzMqd){CuYCL!O*r=r=jL()lN43C&sEZxp7wo-F|L_>#UK z&IxtR6{^0ycor@m@|N)fincs;ZyeHBj3#u+p5*9H36Sw?s6RDUxzs-ElXt_VF&ztYvchhq?q)E0-2K+d+jPCY zUU}Ca@;Rd%L{|tOS!wn=b5$I-z(Mny%@Y-}uDrH%#aoZu`bEa|y z?d}@t0qD*-J$v3>Z*HO6C-RNge36eTZkD;Ml_Yi?4^F}g2G58+Yq_fS0s5d$VKrU% z)-X?UUWYc`oR0R(gxL1n0+2(Gr+*Yv;~V>#;&codSuxB-tMgUf1&+j2dECV=zN@%| zqNk~0WH8~frvF2f^RY&HE3RC|!BCfD8hV9pap_Z?J#JEr>f{78wT)<95y5sMITf2m z=Kb>Qz8rjCp0zj0aOT?Bb7Mid(MU8rAX>sB*78Z<;iSd0uwpEtEzN;>(61JZYGboX z-wPj?PJy^C^oS$GipOe70htE;_?1h=Owiizq#7%cmZmU0Y~_SA)vhSc)*6S=Q+jvd zyf@ODus+JZ0X#Zdnt@MZN-9;)usM2ehNNV_F@%Dfs7}bIk|rF6}a&z1`cw zOEziO#tW*?KT22+laa!LcgR2>VgQ~{rn)CJw!3GB!OXH1|2QC#2&49RJ+{E;1uA)| z&!A1bD zMqhd&9T?HYJ<&Q16(H*y6?L0J;747ntbPVnmV_v9YmL&^pZ6m5KqO&Adi5)fEiIwv zSdHweiG$_JfJi!>O3~Cf4j}5sR|I1kKxtW+vjxSOA5YOJ?9BjKW|VA;1b} z^!|%#fp%V2$RT;|+DS@{&DSrVBlIw27=lk?O&X>xdAt)oS1Nnm^KcF}TMjj0T%3TN zc@aP8)8nZCz+sQ`rUK%(2~gOS<@ePJHTvnIdwGB-v(ouk;78aQF{nb{ zhgcJCfCk#<;x2OJQBGh z=u*E@-_{INs!nwYUe6L*OGC)iv4v9qXRun1v-rL)_~ulbJ~de6D5C zFXG@X^3$QqKZ9`G;}&=>Y-D~bC=OP8Ny%1%6P!i&#DI!Kntt66*&7R}QTb{kKw7KX zmG#$Z^qvQrd)$NUd$WVln_jF^rdrD${Ys{Liqiy9=bl860R1nCqWb5|3!XI~>s#}t z{L-ulH(#P=s;wM2hqp3z#e-;Z!C(MIctzA{U1&}L9(ZE6Wel}bLYH_AdCE`*v`kQ4 zG{Kr^{MUBiv#lPMO#@Q?TI2h3tQyrW$|f~SpiDG5w*n57GOH`V*~Y-(PVT#Td{f}Z zQSa_vTyjh$DA^vaYly!)V_bLuQcWnbqCu_#Q3P%Eve*D0)Do1+4}qmjo_Azl`YJcL zCryH7APLoqo>=fG(n7qR7UIM<9TtuIt6#*KrdUyI)wF?Pp_>2xhCxj)Ac*1ZeI^P# z?|a5A5l@Qts}PGR7Xz0SovhS_0gP$eY1ijQtZLo|5J|0;^K2$&+|EHxOA7DMUaCCw z_}cQ4N({QJl!Q-BFZ)0hxSm`&2Dr%J?LD|?|8>#$>%t`}ayGHbHQ0oWRv_2{BSP=NAjS_v$C{ z;A&#)Tzb7<7~+`6RC>t2e9@!hz#pVJOXrkwq~=q@udibBUm`S&EerVq3OGr+mCT!t(@>=CdBxPM8G&6QPt$@PAjHsf9MvI$4 zm0`C|hh4CWOq>~=a#SthQUr;bu>;XEf2%gp%DUl2b?C0)b2h3>tR$@Hj;i##mg~c` zy^qKzW|*AXh&}?#^5fW_h&Zf@*MJ4V=3&DF5RETfC+hHVC3KkqY$z~kx(`-Hwy2*@qQ_`bn2()B~@pKMR$Wn-`%43 zRH`W@aCO3esG6EI>5wA5Czs+HjL;y1$o$NF*^4Pishx92xf{8{7*)|3v>(!a_!W7CR72{zntKZ!%L_uP0ICDO7v67Q%}^PYnD zX|04MfsAzY9P&7D%v(P%^%nIFEJf49MfDEy$RD-)^vuAj^eKn?MbG!EpFi#!2iu0L z7Q)B#`iT%Cx;)EEF{xKoYATvc02azxAyV=T#3%{AmnIb2c=GgJ8yt5%B?XAO5k!`u zG_e?l#;~qG_bqa=MgzTc5R*3E(gA`ZqwFm_?2yY{%+OM)49$H;*{NJ=>@8K*BXwmF zJ^0axY_{**-uEfHma1D8mb7=x1__o_B#?(wfV2HeJ>w@#X=WMw1u|= z)1MQLMM-2MY$WK8IYo ztIKJ5h0Z5?tO?Q(H1sgULRUHS`RsFL}g!`h}fT!R7chceMMRMu8pVCPk#h; zNW+-x-#Iv{Ol9b3bjr-G$kPhII$<5yI$@0q5|nWhouQPkjyfe}9*$IvY$3Wb5JfyeWi)@P z8G<7huG0|00l_bBL`?5&zt^tmoAU8+57jwrBt-Z3oe`ut`wgMJ{iEYyETB zQ**MUb^d@fGbSyTZXiluF3}XB3Hd$3pvNG-@Shy9ZRcTkl4*w~MMGOYb+z@XC8&t? z)o4)J@SpGE*aXAKZnnL@ZdJF#wj#&^t)Uj23moSom-Yvg8golDv?rRt_y-gcp|7O- z3KyZkKl03Li}2z!%B(JF$*Bm_9f_)WiQBIvtWOj}h6I<8q7`HJ8Aze?U5y6=G5i z&JK5HZ&n&$QB&@t2KfZ2<5ebIJw&Y)ww>1Rn3r6V2;9&1`Y%)68mZvOYL($X(HQ?L zng|JNq#I#RC@21lYHCS0xp;M8&M!sxTfA+n%}Qy2I;b!c7ty|lb-|2?Pf%?d6Z6g^ z=P%FRJaEdk$81Ba!L4})ab{w0DNQT#3Bu~D0vp`@xq&M|+xfmq?^^k&QPIw6>}6aZ zVK3UV{R%7wW;GpbD*pWb67D@;tQgJUW}<6rY;0W&Lk2n|7?5$~x;R=yqIy^8^iH+u zu!P&%(><&P4}F#ZQCa_QG$x=zDu03=>0u6MkCIX{@|$zXhz2S0xl7%}(u%;#C!Zsb z=f$_%n1cbJ%EX7L>%PT|XzhdeEtFz!xEIW;7zLU+qGT1m)l z#1ATy0k~0m*s{{hx6d^+1zw%+Mv*O!^#C%T7zDKd`oJJ@Ak943_ zo*TbP21=8VgFXU+m8M*^!G>{_=2kwt<06)s93~P(>3qvi(C?1lDlrjXUO4y(EdAWV zM_$^K;HB7@g=AeHVSZiVoeQL({8B6^dek%UA& zHxGh>a?ky*-c~hl0UEn}k{gK1ylOG2DKt(adJUX?R+~p&I|A~ATko~O*`J48$u-Wo zw+&Y;{W8!meXRR5p6QMp0wiHn%*15G5;Ey?u}$~`+5@dPy;bLXDzAP zRFvTmSV@@N)^Jwr?X?3`MMYsvlHRQbI9aW6H(Tdu%LNrx?m-*)B+{?Y?0I&;ui&8H(LK{MKqtI|d{RI}n%zI3BpVs+@z|jyU1UE2GqSmJ z6(!dVqVHt~5p>f|YYe&!8{px%6haDm!;TIJoH#W_3^4CJJjJttV!9hpQ=+CayvY6@ z%KYC@!I*$kG}V;SI{~UYHK3c!!cWCvLd_S2D82pV{1;02$00L9I~jWv4tRdxO0W5Y z3m!|a?9?9+(_CC#E{5&Nihxm%Lxmd0rL9P5_|kTDgozQZW9Cejt#iU?Kb$NE2AU!o zB_$deo1`Z$&VM)&{&o7^4;$wTIMGXsh5$N^{kKk8FrD_NzZO>c@aa`tn@%Q{Q8>9J z!>z-Rp1_b=2pnKYl|ou|G*;52QoQzLtm`~8#o-YR6jS&4S64?iy<{A1;Ip(g_NxPm z!ics8k0W4QAQ%ofQL6oQihgi{{9mVkiKGg@KbFoYBRx0eS^1(e*yjmRl||gvvk7xh zYopc?>N)aoxT*_FEBWyIU>_v1Cd12@9yZiS=U+qGX(!3~`qlQs#;puGxE+m#nk^jH z)e-5cuM`}n^jW(t*WN{=k-i7cAW7tZV|8v%f!_2qUyq|m$qG9d?+wH=y12#gDTavWV49UzcdtCo3=|Z1v_qL(^W61=(+MsidbcDv^$aUTM zAwVL-mYl{2{G2>RA-y~rOX<7nYkM-G*&bj!xpO1#Q^JLdmp_@l>r71zm9>vUq0;D@ z_Ra&95%w>)^VJlC zG_~c3+LmpD9qnrLLG&8ao9IO0YuALtBC3nQ&GvV0|md6dt`Es?;_sGfB0j0j#z8D|sL{u-E{x2h{F>d(_J@2Ori z&z?Ko{@#wdC)FdkFH9RNdoo(ES53DalGt^8gS+rJVXM}Qu)K8)*hw?r5_nXX5X!9Q z#hVI;h7+6JbjoaSHYd%n7lP@Za6R$~$DDcL7f&i215RuX?F#}=fa=+J(+894+E#wWgAB-?Ce^mBk&Wv*1adz(Qtk*R&S^b#H>sA-Guyvk(wgNWnnAi|O z?No~gRz@c=bqu!-YVw8_?{Fmle9_C zNp>Haa$8Xu91;vj1XcyPiao)qqhKs{w^xX~JI*oTw*1|>#F`L+Y=rXdJrSj)u!ic% zN(SNW`Wre1X1ze=k+b}PW|u1G8BrVCBm3OgTZ!Q43>xFdG+IIqTM|fugV5rS{4kg5 zPrvw{SvhBQ#)&X9@$h*iLtiEuU-y0@eKchkt)FW{_4cw_r_R^#FNb>Ie{mQC9NqUE zR48SS2w@x=yMH<84|Ido2O8uOt@lit8MC+=ASB8%h>e*mJ11|1DS@)Y@X=WuXke5j z0(#8WmkThi*28>MMVRUCK~NyoPHFCAqc-YSn>Uidg0pAeuMJk6 zH`7CcJUk2uERabWQ$79^Nn=4T3vaLYyR5=SRmhJ|fG~0UiFJy_B18w&3vlrG z4CPHIf(ql~fM&s!7|5Qfz1XQPO*XyV7Y?6WR3_2JQLf_wDjCe15P+R6FM}`~9cbCG zwMq1x%dGkm7SzlR2#Ov~VVG}Uy)0C?*)7EDKFq)UU2d+WmOD~80;wDK$|8-aVWgP- z!L-ZA5D`y=pa5julI!QwL*%2x&#? zbWTsA@Qe&cBn}O@Eq_ccu|k;ean$KJhVgW^rsUr}RD~F>MT4sc)>(}KWg_)}cq+w_ zFjz03dmyQqT`%$gg^JXb_1``=o!%Yaiz*3!P$)xM5g6Re12Rsi;}!{(lLfeyOY?-f z2mtQ9zuX1+Xd_secrqLIpnlk$CL_VTh8V+xMF$pj_&#cOk>P(u9Xq;fG1(tl1td~J zlJ);D+i3;I6t|2ivGS!{qG}1fLT?~xU2|>op5+?Q9kplU>hFR<)e2OANOCI9NrrXo z&a-MVRSCb|Db4GY$d=dNSB*A5uBM9)DbAe)#3moe`A{{I5v1ji{dpEUJCr;;hOjF! zAn>YP(Ucv}y%eYUs!#L2=pFr>=D0+O-w5-ke^upK94DJ$-O!78^Vq^fP;Q(kbaHXb zMD0b7&e*Dyjcplo^IT(@JRMk&BM}OPY2e@=kMw4tawiLq`=o?Mx8IeRDUZ<}qGOyV zagG#C_v2k)a2%us#AZS9F>NO5S3=E`YS&-ynk*MZKJlAGjiI5Af!9yOc`7PLt;Ii%zGEqQRthINv>CgI*;v4~43$S(y z3x{tf@0;rdhl8o0Or?tY;6k>x;He=huf;*8K=Q?1I)8>7drC|f^?tHzGfrdV0ji~a zB?hsUCnX@JP7mtYG+S|!=U4+@+JY(d73(JtW1Qr{YCbCzMeRyr`hj1kN{82;X-+nZ z(vHQ9!%J<-z?5tZ#@AW0uLIJ%z62LUc!kQ_*DT!ekgmep$S(=H(%V-{oUIC3*2j-s z1x3{#%!sCi4zDIPpo5@ugR_vS7=(hzXY)kY?++arTgo>Fe!rq?ilNWWKoS^6xwy3$ zda;~Dd7%2@j`O4>`}5D@AAxsLfmSH=N+9lDhSPqjw#@?v=ydJtCmh^vcT78J(rnKa zWKPoeD=uue|9}!P?>20nPn0z$GPF4+l;*yu#09}^2wA@D7->Rom_HxabHy*0`px?J zLSKB=(ezthSuX3LL9Y+w_nYy|LT@VpU+eUaE*j0BIB}0WGl(pI5>`y@OGn`|8Gl{C zCW4+)lsaO@lx7HfZQPwhi$iRO7fjz?GSFKZH`i;4jng20&bmH&PpofycMX@}{mwLH ztr-#DYF|fO)}1kEHiB}JrZdrgp!ew+$weP6aw8dMSHlz)nN^`sD7Vkd48s;K5jSP3 z)H7O#;T`@bCQ7~Z$l)2*Mup4v(m&E?Pgi~s%_jENr3{wX^b&b@(cAb)U}d8!RGnQ+ zFV$Guay=!(u^GXGOXj_~I!0`g#{4`tfCCaU0b%pw;@qxlBI~FygY%*pMZ;C$kP%(a zCP!;IMoS7Do@cW;9ev&tt9^AepZZ&V>+XUxePX{bkwIvEWi7TRubUA>CRRE!?({3h z_O5RB_jsfET?ggNI0MyCYSl$H9oFtfjdPyh7;+pbjUZ3XL_8acsOlcM#! z^@FYd!B#c?7qgUWu+U=wT)k>bJtNS?ZOr>L^AAYvjEWEu$k=JO+P5UfMCFSK(Ug4y zwpIFD;%fYV9C9CBrQHCMY!h&J+|})@qDRMTv>e_gZ8>JC+Z5bT1UEiyq2{SbM&41< z++k@5T2I1^BL0Y0WA_I%gadAmnx*Xi)%Z$=k(B1*C}SvaUDG>W{yfc7O>{w~%>9FH zYhxMmO+^;S7#sR85@VGwrrp_Dw$#&~zj5p9st4X_jQX(wLsyP@VXBS3G(W$!nz&PJ z+~M?W{R5h{0-vNjtJ-(q~y7ZuKze?TUUizsTT@=>|LOUKUQdY2(5fvJ=ZbWSCHEY9#qDJfvq=AC zGrR!vUu5@gG+>o@7nXl6+=rD0f!c%uHZuJ5P9^89N}Hv%kgNk*!(8~@K=i0@@eLN6 zq%u5Dh6{ixiy&1o2eU*#jCnvuax{LXurNbBrwg@|(8X_k%SoWqIiV zK$7)l7z)hZw1&5at4tiZm-qfF&?G@8R6uDIqW1tvk{-qA*CI^ZDUbO)l%{DhR4tx8 zz7E=;E4ilelAx zRLa#^;4|C5hPV3v2a73k-@lfR3Y5QVnFs=RGURd-&Y*)s0NsEQ&oE)gl~3nS(&scE z&+LQFfFa$r`o-6zzO%M&9=OIs0bHHxdu0dZIcq%B8`XYuw?5m7u!uSDDAiwU=2!#6 zzm|4(g{T7LW$B&(-4cxKd4Qp@)I`G@@2hNVv61FiT zZ^k1%Tp`(Bl2(hyliN=y+nQh4aTJb5<=xKYs)<=d$GAMgaC!fo<8Z$J!#&Y!H{Bhs ze-k;x6d7FnDyWb0m%r;OvIuUJc%9Je;{5_B7&PdV0tXaLOa8gi(??}qz1_6U9J$~o zFZ)iTZx6WHeIB*`P-AC9sUU6Vl-ExWi%I=YOlLb)V!G+5{aoT%0okevOym6{eMKC$!3MfCj}h@ z&qNoVXKRQ|InQIBr$eyRh3SB%CSTG#Ubz_fmqgVM61lWuS!Zk4HDER5aW@g^=Jq0% zykdsObGXei?8t!AKDZXC4zZqI6kSeOS~qcwC&AA4(M4nd`Y43|3T^t?>YdmO9ws-s zeR!BRvMSbh-_rZ3&B7>a?=Cm0);sp&?>(3sFaZyfYeNio|Prpnw&r#+YXBL zt7&&S>qbB$0s+qxm_|qjY^U}>8~wl@^C{VIIcaFwArEbDF|*53_$G0Rp7dd*Nc;>N zyL`#Nz4dnpANTmHrxjmJ{n32hvKSR>Ya&5tGZXUkT2^@Qmq)iWSwf~*bhW{So?#p( zlY@Em!Z3|y?fn$tU*2oPBj|rxXQhW*&Ail{D2pQSK?L})-mB-}tE7Nc>kYzy2(*4j zOdshr?ph>mn*tBLy*}Kuy98pEx0(i{`B*Zq7rzB!QosC3>rHExZdu;Zj%1SI}=1~f$V!vV=_YNcAQFI5+ zDB9glfy zcuCrpVS6K2z6G0@+z*?WY)h}@Vb{5bGG)VaHaX9>zF!-cmtg6&G=Lbx_ZlfsxMjsz}N@Sbv0yj>z z8A&nibI5S9u zTN{2XG{6O0KBl3*H)nY5AswCM?Uf`{!KjR)ntJ{2sf?*J5!ZJP`z>x)uEvfI0FT@U zk5H!=&>xW2XaX)2po%HDr&`zId)XiFOqTYA(QtDN{+iT%%FgZN6;{FG(_*Y5Jo?J_ zrdfWfb%iez;{6v^M@w(FbeH>niKeMpdeGH#hCK8k>JF)+M$@K2HdbJ?8l*VAe7!9URY%=ZUt@l@Ny)f04(*e&Z3~VagYLM2C5v2Ah5S z7aJIUEFZ~byog-D0zr<)Vu_vkq<-m3b&TdcMU;mYYx=RW)&2j(Y-+Esu;ck?hLQ@+F_dd%ei4#?pp01%xw9&-cCe;t6~ z$1=jGcT2yLGPc&ma-MwT7TFmb_uuGxEa^IB$36b|#%7AB3@z63qoU6}k4$tzX`~yE zGs*3iK)@o_@x<8ZEsQ{*Zpim_`?21&Ad~-LR~;)iWN>&D zCV<03xbk0uMIO6%PuF0*15t%uaNmqOVsE_H!l zYHyvJbWZz>A4tCez0>O5F^z8k{L^Thz~ch$h%;#8!G727z+mT~1dLZL%W)IG&aC-D z%DEZd_AJO0q^xQyuiWbrgZ&SRpPX&rL?V{Jcq)vEq6*4 z25xJ}2KY88W#p5XaFG?gAtfy34F2DfeL*kq(pec=trD1ivm^ zbYL7UC|3@z;=DJG-W%6597>sc3w-8XP#i9?Enk#biN5)|xh0P(;9*4e=^8BL?Q9+H zw%?%nzGqGD^;Bd1_{9Uo1i={~)#+Z5!IO9Y7Gv)nOblJf8F(+DPW?qzrs~>NLx_MI zk3QL(b;(1~yh+15%1h85A0h>>Vjv1$1>Xv)Tpi$V2ra(-cO-7 z&X=??ukUjmi3dLOwb`_Yzu?9yN@>8E)Bn0Tqu_PC6KU4qBdd@>D+{t}Kid??;K={B zKi8o11ua>rIjbGA)bw;WsEY$FO&J>a(Q=G#}(82oyQFF%$d zWUxH_dVPXm#P(NG>~jBjd#|0ms_+`8_3%{FVxekt)C2|^-g#e`@(W5phfd|pZIBx^ zQ^TO-PtiP8+Yk282HPOMSTL*_*f^vhj1Ax$U0*Qlv-m~J*9~ppaS-^%P8urqAGreK zS+{2=59jR2W3BANi;CUlo&$FeJz&aoKBmv&HJGX`ep&sVLsr~!_EU*d^JtM8aW)Qh z*?bjRuB=TZz#-ZUSBCOrub4XUAyOGElH_QC&xE^Ipl6VpoXV~RwzOCCZWzV!PT+Eb zj}?G=`5?)^QB>zsa5&25JM>j)>c2BMSSTFNfrz3bl3aU9MbzJl7pvi5$RtsJ;H0~^%*n(VISL|e+2wza9YXt`!7gJNkF z*GY}?e|aQ5;`eaO)}~UM9G+@kq*G1N>qjF}-(M*!#-6vvUK@`qzo#)T^vSRx&U+;H zVmHl^aQUKn6L9;SBqeO z=ffB9)8bw4yFwoZoZq}}=7vq{bO^D3-zi3~++GbQd-44N`O^8W69Ws(<7T<)OHJzc ziru^TYKywvwa_TyGg?!BBGP-#MS&ahlT7O2$ANLjTgde-a73J2M(dyVr-+X+8q%s8Spwkf+5=n&@^-mUF7nTLg;WCTMLmVV5*XFSO zYMp4bPBKAgy!(L-p6Y3|(vnkRPEsC1z~1TidgjHl#c*9XalGm4LMd7W^W!*Iczw1# z-Fp=4bRGf)>UsEtiUSIhG763T9p}I)XIf*o!YZ;FalkrQE2|Xus#nWpb$>t{5x`tp zBB=s?8iqIL^F^YAR%!_biGHXuy9JHN4R+oyCa0S*uZE8oEqw@@-bS5@GitEXsRb!f zZ4UT>fnPwpeBB67=ViHd-Et0OMJSWC8In%oNJQkNINb<=t#(-$R%LVYZ|C%0qcG+5 zJ3ddu$Bhr7q(hgYdA6+z0;Sy$T&D3Jm43j(Q<$y)6E0DLz+_%Rmb^Hmyp8c?rce)Q4kaV&2YnbJ3!$P&~7qEJ89=3+pG*5O($D2;e z22vFX-;S7juCjnI-_V*guuuOp?auP>*ZHfa`^m4?T-dVnPVaic<67_ya`J;B%WQ&w zk5an}R>cKMUujGa=CdQWD4u*%2uPSYx|L7oEF=T%w6IDDw+dwCSWz7Byt zyu)wgbK9uDOg}>7x5skyG!Xwiv^anA56ENZ)%yA$(1Lv8PSSTIo%B!iN}`d|AVp9@ zdba1~el`nn$Xy%oq>jDyJEx50Yo%WKV%0i)34Zb9haKsg1m4^-`b%JmgQ=ar+-9h9 zcqnv*L8wjXu;f;<=$6niw}E$H`}`Y&&dD5-JV)&OHYhg{TNnX)x}_V6EP;$PXCzBS zwv*9?la#s7bE2I4y+$#pAMyvpCgRdLr*?p)GQS^XbhhU*%@1#Ffu6P*fyX+*8Wx?K zXPYHnu!opNN6&!hC?xQSW_s3*tb|DRH?la8p0?({*C&^c`_}KZt!e)OO>@es@Az|L z!K*62e&4Qmb`#>Qs=*y|y9n&)06vD?bz2Qj>s}mYrPK|IEs7%%RQVO|dozzbG^!}3`@*| zFIcC;p35leldQ_nDJOOXpit1oc$=PcJSQLy=6R7+L5l-qr-#oefceYW?iWzKNsII= zpgY}=fAvV+Oy`@R~seD!{k zc9~?;{wzmv-Z$|`zt_r{t-OT4z8sg)_7EJ_9g|)6YPp~|0u-ad8ip&tY`U5(xsT?@ zfd=PqsU#g$i=ya7BSHtO% z2a8H5S|6zJ9CyKg{ugJ>0l8q3ROKP*xE>LQWhwZ%^dHb_bC0AiJ~H_q5VBUzx_o?{ zU82TSip3TIFwpFqvjPTrcSe2CzRu>0uU9n}({Sb~WzcmjyzZzG z`Hv26X!&d1;dWo6+(d>g-*ISRARX_VT|p~`2B`?nXQ*sFoMl5A)wuCkcY+Iio)>s`i(rfUcc;njvu7a{`Y3~TP_Bh& zG$SrJq8O%j>)+p47517@O>fjGWl2^7y7Gq4n=OrOcLRkAdAMqSUuTP7}0q*lJYIpc(i|#1ky#Myndc;+Cdj7UQC2Uxjju{ozJOL+$_Lc1%!Cb>Q zP$rzyG(SaMZ0s>QdNO&R#RoYya^Bns4+$VulrQ4ae^O~QmRmQ}SEgWqB|1WhRNI&s zbk>O`P0N6W_%!hQUfq0l9(ytOg;mW(edcFctM$s)HKpJ$$@FQlE1)aYF}Aaf~BE9 zW?#0Ym`I6!Ul<0&O%dVT6_O}ps(qau&VU|4fh`Ig+^~Nnp|bh+%ZXvA#($OKjbx|g zJW;b+hIlWofP-DPi=OScJ^q-+#Atz$=y7v&Mr?KonZ3xlb^CW z`CmoMf3Q^p9U^==p5gWm-B72Q0dZHbgTV|Pt@$us&sUx3ZI!bAOaK&C9QJye9XA5yV%OWZUq5&U`=40KN2nIVw#V6sx?uY$w+0`6;(#l z`*B=A?8er9Ht=e)HWSr-x-|Dy0{rj&0?@#%!_b1>% zt`A?FP<%0}Klrh;Gaq@N2_bt`Hv}6^M;Yf?J4G?B)N0jMK;q_vhFEgM-=qsiTjEG=I5^2gh7=r?3 zIt0-G5Q33gPHNa@?>e5(73V0@i~_ig@8>BT#Bj z(*pqA#i%p=H@3v(O1#->H6;qt&amSuZwP4+F=_dDQ}_TC5{RTe--2+ptT%Ez*r9%nov1Sb-XbiPP;J=4xs?rChq?bXjrdj z0gaiM-AN;`m6?!;Jlao3;m$jTX}K&{j`x&)OAs-)5RgU^IsOyL5UJIi1HMn=;x$;!Mv9Zs9snRA%n%s@9<=>5FK~%BU!y{Z0`HXsjmQ}rk+8rO6jF?{*%3-!33*?GzrUp96=@t(cLTYv#5PsGjM11N6( z0}vhznVX5vcP<#MR{RT)1XBQx+HoTn3fB(QU=_FvoOgOLxQ}#F6bj9Y=OFYChw`i6 zc)!a)OLsa7Wx%ZOr)xiP$})|vyJ_ne$#tJ%9}<`!p2{(^<@tY{{dqi;ZP*8lt4M^( zRti}gGnN)h_FWkJ*avA-wvn-wLS+rvx3ZJ5k1YlzvTtSIN68*i*^9V;=QUFIeLwf} z{NDHdZ$8&~p2zole2?Q?UCt}2oYvlEy+$B&#EiaElQ0ooU`4}6v%J`l_rnHfG?l3t zl6PCk(c$t3YeM$bIK~_+^4MTostEu;gOaBt`#vB`*I%7-8CI8-_>+s;XR(yz{-d(n z`TPyv4OUWo6^tziflvk*i^fFtM27~MVRwd;Z6pV>m~r{uW%Syzv*?r84z`WHiHKYk zI8t-R6@9S3x~PyLwYMYUkoUd6S-e8^&1R-0z*}jt1nDTfF)(UIuFZC<^)}HK>q)?ta+5*cbs;IqL8Pi)gM>t%fJnY*xr2plf-|I3Vfy~ugnAN-- znsXB|0yq`SYTrIZ1--gTxH!9TdV+JG;QK7B)x|Wa+kUQ`{;VimbT+;^{vn^Xfn$NK zHszCr)0Sn0`KeT02nd>UW(1*nTV~Z5W4FM!-_=uj?p%kOJ0U2F*y(wr*xT+{+h1h- zZXXsJIzKbTeKUy~K`}pv9IPar zASyuj<(QT_1^2ujoKavr+~WX!yEyGajLHTV}h|7-O~|ZYg1@C#k6!(QlKUvA!}!RBWQfvKB7K zez?sbJy2KZtlxUye#G?M57TJvsIt9n_E(2K+BL`b|9ZZ6TL=C@C z;B2D#Wr%`>KHeXw;M1xCgLuk{0vXB&9IKrm87jGRfeI}EPQ|Ui`i1XJIL+HFy%ikXg|!9y+N=ax5D9i9T)OT4 zl_%c^`R|A-H@m%0${34|yR`L1=&V9xF3Mmz*ri`P zcG4L>1jRr+LR&R?XN2Dkzm_O01aV8v;UztdNWmOFA^N`k0+8bP3VL(#ou26X%3CBB zEuQ^NkQB&d{dbU;-i+x{Z?g!8U`;{W@AM=VUs>5*oSH_dh0jmAu%dCCI`El#D>$4S z4#ZGv0@X^GUZFQTSmG>z_E!ud7qpmoj!oSnsyF~O{H+EJw4+YI)rCsCQ3~vrJj*m7 z9vcXvdzIxoyN?dGfnPC1e$yHlB2qKJ@KowVKm4$}4u=>jGCUQ>m~6#;f+KlSneCn4 zm7NbIWeLlu99}hdy_Z6QsPOXO7FuwhG#?zPK7%^%P4z`I91pS+%Y=9dTyI51T7We0 zmIhZM{Nr)B`LD+|SA8r-v)V|KYzuk_zSFz5Ggb^?*jNFKO(B6c%ESV`i2f$n^|1j;@J?bqx`RgEMJ_M^6P%O8zG;K79pAYQ0o`zIR1nvVWa@cgzbMyFl z_kTYBCzoRI(XtFZlRc2k!@vC1069QS%?om%7Lw(bivh9ac?w2&ibwxl1g9pmxnnD) z`Cf~L6&0w~_75&i=0O0{L@|hQQZt5!&z)r}gz1&hX2*poWBrcEI!4{BlQ(iWm0CJS zZLWGx-Z}fBAM<)J_{UD{YkappmMhY;bS&H}-9C`|O39?ZhJ)0Aq8XpMnu!t0J^IN} zg=Nu!4Hd2S)fbDI9=<_=Jv=$y?V&7!;$IEn_Gvs6epV1=O4&=rYk$LJhA^`!c4e_0 zGj0Awv5k@N46*MIm67ASOlqal)92=G23BMhHlHo?(U<$uw2p0Yb_2Bz6b{3g)ERI%OGOf!ZoZHi&zB-D>HTx%?JBsIV( zn}$tfDx{c|Lyg?X=2dEuozysa9{Vb8Q9omsh$s{OM$W63Z5{Iz_seysnr)??w4oEs zLes8f6WXGh56RTRnlVhVr3FTq?*yZeqG_6D)+v8>lc#MlZx0rQwojc85T`i`1`nG^F_o6VrPC2VwYfwu>*3D*a;Nby_6N^yF7cDIGePfqmD5Z zs=s48{9d8ZZJzb%qAKQUXoyARhF~s#n<$5+cC7rWK7>m24SS#PG2*IJlmae3|-odzY)Of z-{i#bKIY~-yJOY2oa7xU2aj|by!G9x)taRYV2<`SNSvHk^|-M)|M~t)s-j#I;Rn=V zhW4us7q*1N%eiwpY>L#$HnE)9>Bq(YC8vq) zP6{KOmd|NI?dROrCrKW$_a-Z4ksnJlufh9sVh4YO59>`teK~mW87Wlnoq&!RA|)ez zy}Swtc7=^@NRm^ou6-*wPF!s4E}^Ke*-gd1;fD7=ERpk!bYbYpG3}8DZAK5sX61LJ z;=wfgc&|mGpojr|+B9qonpw(}@(QvN-~tt9rcfq?acnI(IID3Piv{eb;TakFDeG0R z-@&rvl^>PUf~9Y-&o{R}wXti#&OKpm6isITcS z>@3l|{l*5`(Xr-&;%MuO=Hos zVNB~+#@WJB@-|<7X>>}@)asf2f*5EB+W7dw{|tY8T=U`2wRKXb#f{fo{7C@Q{|11j z(C)L)#Fg6amvvZ+$q{0cUm^1L<>IDcCfBZ2 z=6E<(zjaf+ZO*^>QtVU=6a5#~l!`nvjc=!Y2TnXKu(f18`+_ZkI7q!y5I(SIw;;a+ z<{rKa<2H4@D(1}68C##A+40dNn7wta>UxvT1XT#Qt8aAIW?BtC?oYBFJa&{AdJjgV zZ3}WQr!#Rt4D_rX9~XuEie>Oyzg{ABZ``tf(2XI6aRvXr2Qer~ zr3#YYazjFW`ke%~daMy4;7ScuI&dsK_K)@FSETf`uG=p916}A8y-ukJXc+5h95{U9 zdWjsQi-H+gG;e~73BX#U%dzyUdV0ZOc9d7MiUU|mF6^-BN$M!n^}gP9eT$9tyivS)W~;(SJjq zO@HuhdnCxr>>>%h;M6xF{2KaY5c(1%?QD6!pWDxuvZtl=G%z=|{l^P1;2L^vE8xB^ zp2W|YIA8b5%aTO_+BQ6S1eV9@q2o4PAoRz7!oYzd*tqbjX@QN)8L1?gj~0{5AoSSr z>ND2~(OYQB-PnU~*d=A-%8R|49S6mF(&dho#Pa548$I0LbmDX3`eqSuu}LSOqYpJ| zB6q#QF2TBCmxBSGjN;+1D$R3C(M&}2W-E#TkU&1K?71t_ZLIa64n)Cgpt{7|_f2*Z zuioIF$EpVHw&=0r=x>ZLwFBG>2%MaDrX80@qW)6ll; z1rMms7Z*|;ImD{bf7S7>0m~sFMGgb)$n5qx=~LEunKk1e6~SYZ0wj1GUh(U3A$a`U zho-&oSnlU&Ixfy~)>WMy_E&o9?qXV@;{{4w{7bqSAQw=CZ_MGq&qQ_;8G8g&(KQA=@inF6(`STE}L0r6AFvB*nUajhWrx{i|pssF=v_`2htv~d}=|N#1`b3-KAEeansg5 zBEa5U{v_oHTlVvsCvUoBHZR0(i~qB$`H8u>%iM;;e7_^&mLBG)7IU>CPq*&+ zYc^^P2Bv;~aP<<$d2q$%k1OjkVc_+^g&4;Bfj`_%1(nfoNp<&RoIei)3sQ_cz!nuX z7RNBOE>q&a3#beB^AI485Ga`Uxv;qV00@Z;Z?-5yh6Ib}#U7$^Z2!9P(5H`snqX8c zXqBJfI)&|2-XcMS3_}p-yV<_ap-wzapEFb8RNoiYz6&9nRX>Q}+u)A;e38laI-&kE zuiCY1!G0JxRHu#l)$+uB_U3*XTD<3#)!5WN?eNc5t794%`rmRC-P1S+*tuQ!E*m?- zLnth%ZdN_`63WltK^Z$@p8b3@?-@`-pDpwB6*!Hio(n#P2dMFpiH3wQm~zBeU$ydW zJ8dKS>EeYgPKX80J_iKl$!;Ci1M@+21Jy-d8s}_gWj|Ye^Q0|N$5>i!IU%LHSU@r_h4Rt+Wx&el)&b$@vz21d$EVS(zFT`3UqDEaGe zz-QyZ<;awM-#v2UWqO_wAi18}tb2zyG#=M@B1o3uBK*)mxIOT**9qq`GsNpg zJ>dyEVk9Qx-Y43$fs;1tmS%bCPcn7$MMiq0vKeCbOZ`~;(buxr=u!H%W#wr2xfLob zpYbPFMZ+EV$yvfF9TUdYn=ckQqW_6YfgKf{^LB z>wO&mRQ{DS&ygL=D^h=v)yieWJA*y`fD$99x}U!A+~ygn;& zYsui~mi3LuVc8(|`1hG_JMz~|IUCc86MYBApTv*6>tyfw@Iio?8#l!~dQ&ObQq1U~ zelJFe#iT<`ChU674tso%;2687|0Mp{wY0t!Okj}Eij_45cUt29;$(9V)>~@h;E1;b zcL|(t&Q4_Wu6K1K>{C>ZXdPY0uMVT0D}!_9$GKFVr6R}#ShrHI3CJt02~i76el6#C z%FKym4;fKLvucqo+GaFYqejjQk%7@-rmagHim1Ok_8T_o^bsu;x^Ka<_1J;O#i)6A z0!Sns{|O&3tEkocGVty`+~^-u*Jr~;4tM?jIOf0yEY z(ElbEleh!Q3Nzbdn87i3hKjDnVp_TZNpCKU-CX3fN}!I!+<7(dICA%~xM(j}gyiK- zLup<5b$mQK?Zdg*AqUBBdJab>0PpH9^4mQKz8Ao!4Vgd{VA6QP8UDOhTq>50W*zjr zW}>7z_^X0u96|uNuO6gmX+uk&+4>E*uMFHjgA8N_?q3U!8&OiuDe<57d z`Y9_aV%EXt2fEZTF6TDz>A#m%@o2AzuFh*|$qKT=gI=d|GlTCb7l9R6I*;~^U+`I< zNbpk(!23Ypy=Dx(An?AC^K7Yqp#F{T)a>z)_fb~L!Fm#Ndcb>5NR<5nnZBf@Cp5@% z_axgh8FYU&i4{8)RI2>g2uVUuKzlv=YQ-xK#M{%?}1B5Ul9HPGpe0XNCwe9tK`Q=sv#pxT9_NaRRKFb1sdv{>v*tb)P2U zWrl)taAU{pZ+$^@(;;Hh2}4f{3eWT<^0){OW#0-?O2Mc)Fp)Usd zx3dTI%bBbLSZqzPAgnp9)F;$F-741YusY zfc_mOYd@Aoi9RI;roO2d8sK;Y$#i!~Vs_S8@#h(!47G63on34MM2?$V+FO+EUx;OWf;9m4f8 zrc;|TiSHZH98Ip;Ye&JNc7J4=Z>Yc11>qJR&%i-9J5XasCh;AqhK?(#hPOYxBuh(6 zCr89OOpP0)mi|;Ni=QxK;!G=S6FkkD7~U!20nwrq!;&~j@7DW7L;5ndds zFP>G{DWB*({_-(c@;*{fqS8^4U}2e}mu>ho{H4#}r$X2*VaLHk=abK_=|b$htE02h z5}!O-refP-$T&tQTg_R%(wv?6xn=0=h1fuS_obG5;E$Yz8AIwm?CH)?++>%n1nCJY zlia;(x3|meD-VZlgS#CSMuG|-pZ`4l<>dH5sp*~E6R`!U#%FQxU!r<7d>Ya2GxSgl zvpEZ)k6n<=zGga4;k1cL-qq&LXYFv+k-;8&p4u=yiPngR5W3lw?=7p{r)|g7iy{un zD9@g`L{`;?=Ee9x=(kAdfjjSQc6!~?3ny6btKavpkPqW%na2g*%4TYxL*8SVf^@(0 zS;y1aR!Yxwi8ff>464IDBbfu?GkL;wl%xafN#2hgx(?DyjGp5>AW;0~?76=YP%Dnu z7Zli`6}mt9DsaE{o7!p*%U@&|Ga4lI0 z;fu-gblZVnee7Q8nJv-FWZ>(~IaH%oWDJT0*7hMF1>EU|r^vnzC4W~sZD;u(P+d&} z)e+aZ4lpq!Ca`g87muC{&|%bT2v zfCcGyb#fA=AA;zPnG=Qjj6GDs>|l-qKYiUTMJzPvJI@*DFlMDCD-oOkgCx%DBm$Qk zf!NJz`uV)+Mh`vcWkk-G6{Zw>y#^9!U*&WF1kn3{1YHLo5RKZyE1tzJ=)@-&a68!E zDoFPz%foAg$q;y$|%?vo3fgxI*oLUY-jf4|KRE*aU-PpD5?zl-*FD{ZI2p z#x_xh#}ZA+f7Dg{VE=f1Xk@T+Snl#WieE3h_$NdDy(Ins~4AgtPAfhw2%R_qowWQ89A{n8r@pnPFb`wAR(_k84y%`Ju!>63)-m%%1Q@8u_M>hbiQ0s0A9tnF;yb_B3lOEB1fy)Hfx5 zbi|*StDtb_;g>4*kM#EsjIm=UOV5GW}weN!k2F1o-d37zli&g1;yX5=wmHB?vcV`H9`LlovZPcrDG-1^MgdIY8o6boHym<#rE2C`BgXs1TS zmf|exkkQz1Ik4D-9)fVXs`a0sBiU)#1PfFK8QKK3eY%8^}wS}D`--U*KFJ2$; zq!`G$h%EU?JRi?9A~G$7{$Uh^*OH#R5>08yD&p?@7a6nc2t!$6jCF2bZ-*F_ysKWl z>QmLF>x+L>(o>xmEXvgx1BaQA%x+JJ4VST3H4A;jBk{^>UNTW)~XL&R#()t>3j z;#CHb{C}#?7&N$OWIq-0CsAk)&}s&+R$7 ztjc~u!#46|%~8QM<-KNvQG=4t^q7-QZe`!fnEcbB7TICH906Ns=0LnC zgP(W8{9_Q9=YJ0j%+B(#@<4oGU9H;h!Y(}CBjMiidt_nzaxMqk(Fz zbUQ;maT7R2U-KNh)q#!T^)7rKXl;Z@b}T|44h%(0w^7DiU&Ik6V%fY`st!pJKKq2< ztnmS1Wy-rR)WbuGPd9mK5@>UIidXJUVS0K7{xDoyT@6LXvENa9-%@VdNO?=C%C(%C zzy$^D`AzT#t7Z8uYXGg1&JRHgQZzIRvRiSt9$3r|7PIfby|8^>B$`L8?m4wqYA9Ml zPO#(7IUE_==lgP^&!B+w3jgB{sfRj5(-4Wvz{qpg-0VaFRt4;_cGdVu>2TSR<7I^r z;Ge$dVTUP?nkzeX&t!!093kXs$Uk(;uMnRfin8vFS+tu%UqrtQY%Ri4G^h%)+mc8D zdk)<%`}?#tH~!WVPnZDXMl86Yy7>~gc0gzQQv7Wvy44yEZ(3jPLw}LIHL95DuXcF% zvcI=Ob3^wJq~D_1O#TSoLb|ULOMz(?NG74!qHQ=jB&N#fXt~aHir%t9Hx@+QI$2xy zRzsYw)@+=@lY*aujxltaQ=R1ijQk@55%r~A&7oIM-&jqPuz<0mR?s&cbKU+|TA$c52;qIRL!0=!P+t(2)>+uWp9j)5pJ-vp0S__ppz~ z^)s@OZs28V?zNM5s#~Ncu}2jSHYt!ln8~}$I#T}5w9I^3daBcv_YZgcYtaz?mYX9q zkG1jAkpbblYFkehjN;XNLfr-Gebk;zR_bq#Y&PU*Yv-kfrB?r~99 zI^ z-SOo3iXY7wm+q>n2=Dsg8wW0K!_B-Gvd@+uYfQZ*#G5YPTrFCE%=7HC^Zh+Aw#&~Z zfeW8%=&jzrsW3a``r{oqs=obgwI1br$3&1O+jkqm6XS&Vnlhbr8-MHGh=P!;8_E{> z%s$2=`}#D>46QmnW$2S40W&>`Ql5z~-~LDtagtAzShqZVZh&3)d^DTdRH~Wu!>ts8 z5AD_I11t)Cp-74sEk`N`Kb<1l4lZ6~&CIxPo9Bg4uF^iGZ2zZ1SjRANl%!4Pn&|w@ zNEtEVhgQpt4=uKa2LAg4L_}I0adpz;YID!!`UbiGB3stfwC$`L>e4heciH+_BmMY? z`d#5@AMa|JN87Gru`&v=NA#4!H>Dyrwnf(wCyox5Xm>c(Q47qtG7jqqbJCIv$J33oPyS@caE zvzw5z=F`fjGgz0t&RR0u2{80LyXFygx>u&G`=z3}SwN(efK04B$IL!Gm+tmB^5w0` z>d-xdy|G*O})ydU9|YM`G<8FeT3n7>v4vJgoY?K(H!*t*OdDC zQumj3(8tLhWh|%%TO+1)As@TU6pXoiA(n~Ffoi$mrh)_CX-%ky2KC|hUG~WtV0#Vz z3qbJL1WKq%f@{KA|8C^<^fG?cvwOT_AGXT(xMnn#GCA?-oUNAnB$%2Pq3G_pG^TxB zIm6f2|Lq$Eq@KF*7~AR$4^rg~9kL?v?OS>i6Dp9 z*m+^{*i(3o$DOJ3u2HjJn+bkzIBd=v?5Pzowx>OJbz*&>+SJjh_Q1Cqwe7S6xCTi3 z)|HJ(;+V2H1fvOHs#uHO|00|s=Oy!cRp8WM^npmq?*;}eCM zRgLq3J@_Buud$$BnDlr2k>znPZE8EE*HlZ%nh`KhyNJ_JaRDvIWgd(tN z^Y%n^XdRt)dD2NskCJ{{3)S*HTl;yri+rN+vzOw=1j^8H`ipCEQL|f+X!~|GgPTYD zMs08_CMd?Vs;SF4iOi5ARj*RQ%sN8&t4(do&ccWbXLlugL?1Dv;Ji3{DTZV#>R-0n zFx1ApoIKktU1tBV<<|*#89x{T@PC@f2daHOSGm6O9Qk#NIS;k$SzWU1@fX=G@Xu$Y zoV@_}#E^_-cHyl45L-#PEh$p)7g_QDV3s&LsvQD@tqU6ArnWq-rhe>n-u4tEdz2ZY z9zDXCpF;)va>-B3j~}6^z7>&mjteElkhm4toiVl3nA=yCcyF)C(Z7|n{-fmok+FX^ zfs8R0cs6|Mx$xjdzwKphnIjkW{PaGUh_c!vl%|!Y`iB{4QU#jG+W`E?KTRGVgR=!0 z%TBo1us)_0MaM;|rpHD$f`!{+Uw=+H*~6s)93sPe&h zyhbZ`*D{DEk?r-WaYKgNg*e#{F1PCTj7?qE7G7@#s*%%*XMk`@py+i*L#X$DNECvg zSqTAZoqk78fdKG?DYaB3-dYU(u*pAxl43!E;0TW^9~=Qd@Kx#H)>=7xx2>fA%bY6$ zm@E8cE(;<@zFkcG;sUXgi~;P7y&r2}KuDuE*;S@K0$)8lVt*;ex);;kABlszZ6@~G zeANHsemu(CYiMyp67Z9(iviO8viJ8g^r4ejC`X(!cv31)GVc9wwxo|3V9eQINUvg4 zGz0GLPl4QJ018!hLuJfR*-J)6T@Y9b*?m$scMSO8r2T^q(`M>m~$5{>zfT>)i#g*XKX#2 zAa`A3azSnt_=QJe&$luu68ZN=R5JPb$6t;J39G-3k)DQc{yQznkzv zivOaACeiz7x<@Z^*-?i`dD*>3u7?5T&%5?z9`+0;XV?{85&iPxxAU*(B| zf+4<@#Ps(v^j?|Iu6P=-|9{s0*@6^`QQ@O-VoX3o1R+wJ~SAD|qa~1(V zX2MihtCC^FnuT;Q>nM%M-IjzSTkJtHS3d7nJqrs2Fmu+tQBZfvcT&`rodok#aVwSh zR)_?cjk-1fui(ZT7kls;qurmL$3-=4-Pv{lbIVsVh}T5PsX4&d8e%-*`D*7Sn(D?x z6ugw5H9RV;qHE8l_7&xfJNT9LmGmW3+EvJrd%qol2JnF&TkhQz`Tj2rbMD8>Fgh*Y zO@V^4ml4f8Jd-oE5lFK^<7^$K=;@Axz_Nh zmcL#lx9@eI&i@l@2H%rzOI|=#iGYR0d*#2#j%}Chdh_@^oK1hiwdzwVKyvs85}GCY zPc)xEBL?Jh)1}1PK(+ZX2F&awq_KanYtG$@lnL(q-Eacp#vEGZNi6WoEP?~LiHQe~ zLw_N~`dbN*X1?o@^w>#g3CzjqDDleun5s`L$ZxG+B^k%Cp}PR|*{rcR3W}y4 ziG6Un!F$(AYXH|X;Wf{8V@Y<*_;(N3H3GVT$&_#6-Im^`dT>@J`Gnh&lJr#cW4VBu8QHWNBj+`tp@bX|Ms;mP;Ih=oao+7M1E^az-!V1$!#y@2mN*8tq;9Z}kLEj~De8b(8*chl*NzZ_oaHipuzc2x|c!{T7Vfo3Y zGY!)PkSeYJX%W9mv9XjS-N$2-3K(h2Q z=?h@OY~%;c!5&cmd0&pqRUj0!H?2e+J2T;YzDrf5&j{BjDT9m}V3pI%+x7Q6hMY_{ zlJcQy2u119eIVHT*hOYUkScAFffpH`g?dX0r9&L%4U&t-H?7cM8*ZM%7loC0`iVPz z9te@dj#FQt147`^o`H-8TR}2D^#edN?~y}fX*@^BKtN9Na!so%Cj`E2R$x1>2Soq0 zhEO$e{ELjq8tl-WrqZ>lX0CID24~|nf?V#!aOaCZ2r4ZVhJz8Fxl5h`#FzXoICLOm zSgboSJEBT^sOFV7a<4_d=T>6RoFLTQzq~)0Zo8OGOa=y+naEu(gA<7?ub;bEHl)eyH!FqTI!b_p6lb1vm8zNa=a9LWoUs}n z*MK8`k-^d24H@RGd=a-Lva_32ri^g5&R<*Eu7dAhwHcA-pvF?hhkMc3`$e*MgX?eh zI{#sB_|X3sdl$JrIO`n?4Q|0B`)0=?CF=wJB8$dDhc@>9W95l`%9H8KcBnFm4WIyqKqt3@Dm_mhp`RhP z4V2)a3vR_D2WH3kc1uWusbFdbl*%+vaMhqNpIFKgP|h%zqpiV8R0JN#*aQqJJe__q zP&x)@l2`}iMwK7+c!CqEQQ1mW6D&9m4BZpYHyWhKb&z}1ib#6-Z|5hqO#;<&Itgo4 zGZQ>?QFDO8_*$D}eHd`oMHsM4!7RAHt5;)#^`c|&_4CxfiuRHZMGvC!DD326Yd96; zcT?gE&}eM85k=N+qr;w8n?VF6Spd{m2x=V1QMyZA;dZ|GPF3jc=m*3DtWeT4*1&O| zG+yps=01?jAr)*^2XJ|@(ZE~OfajhyX#EN@1vr~= z_XMP!x*}5bQHX>sFIQF-k+72BAMH&9zQ(?ohq$dxxrow77#$H?BvB&(YB>Dm)basV z)f(>2y*&P9gksNbZ)`dWQ9JX#Jn^x*nqYN&Uyhw|w%}U8v9B#V@h1b-@+i&RJ~(mm za{RxtH}JmVf4ApEvRBSwgwsI3hMW!aQe7oYlwep1kMxZWJMb$KYTwQiwarK*oF*U= z|7z4Vid4F?;9#z;%#*>W#stJG)HPe6;~tCH7THTZQUsbYB!^*-SDP^s4!oR2uH`~7 zuA^#v;rzcuI>G)kY%Z-L+P}7G6mVDINS6o7;_iVkUAez3m-)BYGBn(~P9WtT=~rSo zefW?#F^(bX5C@4wA4G!Y2ym+FF=THLD{;<#KjsAn)-Dp@Ck*$=uOTQsa8f>Jq z_yX1Jng8C#GuYFi9#V*lm$TRm90LNJOcKtz;MyyQc2Cz^TvU)&uYwM}@6y(FLTJ4B z(#K?QGSC~XoFT?-T80#Zl#FIJDEQv#Vos>Uh(l~d~V-{yV4=V^caUzQ%w>Rc!spIa*q^RjFw z4dwr()i3C3)m=-4dzQMFA&8peNz1IlD{}r>DRR4}9{jJSoS_yj|J6dZ4dun#^YikZ z7RS!FJ13LDQ6Uh%N#+MQl_#y(Us_K#d*1~|N2*$^cfaYJCP>+I{vT~l!_~jXX)L*q zan{QTf46mC*E5($y!q4%Yhc*cAy3KKU#ojodBc{ z`c-|67>|N|>sy(I!g&5``w!9>sr5UjB=;Caqf;K^GKd}LkW>a?^(ba0$dN-(J|cKIij~v>N;G}W!7|RPmjmb4id1XP zPXF&opZo774=?WG`zdEI^Vx{4JfZhC*J=f8X9 zG!{+~jN$s=lp0N630$!;PNjuh;oP(apWV7fR4Y%+=H)5)Ck*M-;CFxiGknD~WH1Ys z2D`WUqL5Q3`}}a1cbyEFO9L=I7_JGYG{(r^Ft5VAly)bk|LTvGz!x#dmt*RGe5u%& zlGCCCiqVR^Zg)K7H%MZ-wtQiGGb8*n;V12g_Me@Z|G(H)>2|M&! zcWE{>*12=#7F*T#MI5puF?Z^X!Q9Q)u*hq1eWsKWEtl^4q+le$YXa*IAJ=}GKNudc z{yuODWvoh1W|qBW`{p9cz}KmZ6Pgcu|40 zIF{2gL1Hn)No+bxxxy^axd+yi$<*{JeO6Bgm)>Gx%+5;fSokh+y{S^7lYvccdHN1h zf0{o`cC4C;$J*_@*J<$ILNAkkC|CQl;U7==^71xJtxVB-dmcHO>a|(YL@bI>I$1i_ z`Xlb+4x$lG$9!0(kIo%?n1ji8X=$En(2mI<#lLs{!>DM8P7FN9#zqS%O&=YON@wAY z^=b(7JReXA?-l}UQW-7}eyh;tLAqd;dQU_BwCungqWYRin$vW&qd|2 zRWmz(Q#CA|c5wTe;3e-#Q{ArcC0l5}j$Wg$x5sSQIj>DQa#u5y(X7Ld@+scc3tP05 zFHrMK8L_Uj+HRyZ(h8G6cqlsv*N#h<a=xf)|RwtV=sjG{99Uql?xvP6MFv&&k zI6;|8@zmsxG@iUlW5!n&-3vw8Y+kv)`r$ez*>ElW`7)#|6UqcMjPOe>O;qdWX``TN1WOBItX z_N6g6NGEVB*HMKNl3_w?s#?j0))-j97`5*`ew#kWh2+sF&B}A+-%H!|gKspm@U>Mg zA%jo`(OB*iUxY*S{7PcZuo|3VOrJ65zRq5gs%FO4(q35yeft#_xCp*kYqRJzoel25 zYWg{q>2dPWKG*lK!=cjt?doU)hWbb9n6j% zEmn90)%cqXZ>LlR@+<4z9e1D3)y(OhxaNFkMCGs*!ayxs46gFFNxh?ZI~f@royASLyv#m1XlMaYC9|+C ziP74RP#i+9GRCok;oc)gG34Jqg6qt5IlRx^x4~SP@BOwpQLR0mchh5IvPDV5R`27n z&PW4P>pn}}CMxz#TxY@3U|owH$1UUNq}PN1F_-oke7f{?$#!ien=JR#%0wqrWb_nP zE-rk7z5L2&TwCz5G85dZXGL$n7fF))y%=Ln>Yy(p&-Nt|A9;UqTl6cTmDW)Y@62GjI~DI@A2@lLJ3# zBP;c;67m=?I!kgU(NoHYPjZV-eLagqQt44mTsj{qJo1h!kD;iL134;cuU&kPf3cK+ zqYr&%iZVj&al^SyWhrlJz4^JCX=QQ6yMhfy{lS$Ab+nW}jBfW{E%0xBs+ALc*NJTh zOD?&7Hx+Z6yp5q6#G9$OVp*@!LByWt8c_1W;cDE0pCfDf?u|VvF%}ZwK{V2u>jdQ# zbGT6ZgwRYzC057q@hZat!H1YT?HF$sOEGUU;_HnX7GT$^h#*Yky+TYB(IxV7CQz8{ z%)m$?>A$1Anr@|ar?r4my{>!|T*#HdA4Udle~5f^LM9BuJ{znTditkF?#Wh`!SNeI z#E{peun(e`WBv7JhnyHNQhllh*>tujRJba`4{-gY-hx-n4Iy*2Lxw>oD~g`vIDUk< zJ%PCS@$sr~2SOigHAxc<93pzNzHS8ERJkNc+y@_RPykL~lremtrGE(_zPEbjht_i6Ky9a&w`Na4b|)@EWzO{x9aljV=+ z$ML=rRD`5??q8}k{|{>A#i2R6sjJjJ_+Qj07(;p3hq-04AZl9>HDh+y=MiieTp8cD zId~(V04{RhX45&fjb7V#t=fUF+}4#>xhw>@nH=wFGqs@iY1l#+H)$(KgPXZNyWCGc za~_f%7r@T9HW9`Gy@76w!j=!_Vkn z^A{_Lt!oNtp5XOPoo9%YkZAveDk|U(q$EHQJyT>KQcrhtJTFYL8~b0>&=9o*hhgD z3O5)OGOh>y#64&q*mi3*Z;5HO2x2LJVdcc3@QI01H(YIM_G}umu3}fSeBG0^C3k2f z68sCK7YrM)gxJ34^%-S3q;U3~_fGqoS$01%i9zh$=(A7CxqP?)&Vz~kk)``j31-6{ ztG9IW@_@SmK^M3VW+e@Qh$Js&ZJ|+zOWh?^4kO&b-kAr&6Kp=D-^+zVos;{7Ty)+K z+ieGkSB^!HDWS~fw!F6q;s`HCL~Dnof-w`8RZXS@q#1EO{}c?X{H(%uva`&j$4Ln#QUyq&pHQQaY{zB{trL zrX_}Ayw}W&HJAx_{K$8U{i(|+Iw)}vwN#sGFz_|z>^dT*eZ=h!hS;DVeouNFQ1;1A zkASjI+H1~uc_23rtA9qjzcNmSwvG5Uc8~^Afg`dTWVAfblVv!^fuXs}L#)NMruCE_P*N4Zk@u@X4 zxl-45&b@gM8Y@7Km*?X<@Gvd$wAW@=PYSrQwp?z<~Bj20{6yEu3Y$>3ht2eD2WjmOszCT2p2V@PVDoBnLgg zXo0A=X)*hT)@zNId)3tJLz46_?GrVe7ei$=|EL z^`j}r2$MOxXr~dom>$Q$Syn)gc6qtK4RlsUrqg1-S}@(^N> zYql12x*|KcM6*8*m14!RgxDNV3$eQ0C)$0O;_8k}b-4sx3fCbWs~aRr(Kk}+k2sA4WE&=kC8B(PWJMadoXx|?2x7znqkN`K zIc6Z1w-!h|6_a;(vn2{c#0Zv7qSO^5$Mt4(+rYoVT**^}2vHv_iAwZ}L11F-Is4=C zBbe*Pa=yDL)|xyByN$mBeP|X|QsOm|V@hCUy= z!`2IBwq3E$?bc;ziyMj`pUm2_8GPkA($<0;2wP;^`P{Xl<73* zg(R=Dm&&3OC+ks`>M!Ju`5Bde?xx3-Bx<=_0}?%I9w<`PWb)*OBwEuei4hZZjJx*K zd69X+b;+RC!7*;i@ttjT{e_eMTj-LrPEB=#+tQOHT$F|WyH#*?6rBOeopHO# z#pnw%uwXGyLt-uf5wbDr1h;BLAW652fm^qn(xY0kx}MA*w-KHB{=}8vD!y9mYl~_8 z>)bQl7CMF*MpH%?`VomlereACx= zhp{#(d)x21W)0!J3p}+wSNK@J5CH_662Y-DNu(qiRIYuW%bQ^ow3@Wl1CbJZ%;g$% zA(6T+C7vXAxZ$Yrqd^RU06iS3JBZ4Aj@ z^vlzfDQCY6FD;p`#+Hn`mUL6Skq(Mf{Jvhh6{2;-$p9@^{uvL;qzN*0VmEgcnds*& ztGX<2kK^T3K%#WGBA$R-pm3c@31vpyp0JWf_>jtHi%#zbFiK*XU(8}7Ok)gslH87{ z_e-tjb4;5nlC`d)!ixF~?%Oc;84d6ef&%O&pCNotx@t%Jk#F#FlCk;>BW3G*70Lzp z*~4Dl7$KB2tfUMJ4FD@Hz9@s2@1c6}6bVb*^6_XY|RtQA2x)t5kX{$oS~O zyzEHE0)cRPMRoS-3kSh?5kxTM5=vH=VINT`V=MC(Ocz`9xX!EVqn*>w!w0K`A0nG# zZ_``fGD#nS7yFp6wu>m->mOt(ur4w`l5>KZUza;GS(%5GFDiM6ML_Io?jz&MlL-~M zL*O0k@sb!(xTUck58u*_hmrI;V@>k?@%PbIO~n0m{jsdXNOpvpRlmoiN=N!(6ICmx zHZ@DS2B21fb4DYvd|SYua3;#)esz!JyUd%$mt_|-jLsMoO?JGQlg!eg@I7kSAa+nE z9C!M5`Afm{gcG7)-@Q<#6l6DJddGY?$(4Jb3W#}ci?5F#y)*H^tB}{%%{acy5iYuR zvA+yj5omU;M3~v?=jhJp_Cz&PflIQ87TKklPG^R-{PNC^5=+<+R95|gA#hLS*rL$3 z>FDrkw#O37qJnalJ2spQ%Hl$bi7!n}OJY~_RPaG|R@#xD#WmsHUk#f&nPRe!)k=R0 zcTCs5f8`Fp8}hyFxw2v{`<#-b0IHaC7V{Ou6X|6Xbcber{jMq}uD|)RBo&*!G;g{d z6?J({^9Mb1T|D-tlD1U88n`Cx|Hsx_M@7|kVdEemC5V)gf`BlzAl)II14DP$(1Ri& zASDvRP)bS+Fm%ieDI!V>NXLL6A(9G6gU|2a^E~hSe&6r=$K_i4EUxRm_C7Ud-`5Tx z2C+ZlXa=B1TPlQX%JST$#6}=y8i-Qk@s}cueqSn7oJl!eNokUSSl*B1X#jt&M-iDp`Bn8i?CmI&bH=nYO?rrm z&grb!6l*4Un3?~vDoWaIRXNyRU1|r{mO#!pYa{{7$XEyqX%b%Tb&;v=1AoS}$3zvy zro(gDjtL7`&=B)+8S5sqdaR2~PPpYuLv0~`ZKv9DX&|{yw_<+YVO#H;L|=y7&$4|m zNGo7h8Fa~+(WW!_@@d)5z1|!nmg$AaF_=DU_E;3@G7|wWOIQEVxr$N~QxgjND(6X( zoGnFv>|M=CX*5z(Kc5{hOy%9ZXO)1Vg1O0HUfcF|P36{nYutUA2MgZHnK8}#^ay}k zzNFHX^BAgO9AeY6Ow+_-$(v(w7{Z1DnOo#Sm0WM+a*)XXmT`Cg>2mVHIy|GX7%iL& zGui>Y!6nT@EOm6-)$(g&S3le=+03&rC@CAEijXf{OjVdXcRj?;x>wXX`jO(2t21<~ zp1y@!q*qmId&T66_$IQQpX^k5#f}Z`ipS-Ifg~w0g9(?tY?KNHl&#mGw z_=kj5VuYD;sJ~9rqhA?(Oeb6+GqukA8CJKUP|j#wmg5F|@?04GVXScMhfcA$U9MP1 zIX>&g24&LbZ5G|4{?xOkc`ARSJfmS(gdief{P^>y}y z}#1SHVHYLX}ym9v^D(9 z9b)soq0WJ*&y&iCapVe14;w95 z-uN*Exc-HAvit0dqqUVCU4N7nzWI(@(A1pwK3z_KQ~ZaYMKVHbO|LfR1q@C z7yZ9$8s}y&WP`BOf`Fr0Bq?~yHfmh3iCr6TG&5WpL(u#>?U$d+R#wrxU0ICRY|#QP zo*UAwNU?Wy%kJA>3(Zw_%xX=3nqikrLKp!#ybCpZ(U6YQhFm6iXy#Y+Ak2gU!nn!S zVkmit@hUejDQlIobcKATjApHR6Ft0Wfu=+LcC?nW8Sh3!;z8dwJ+;g!<2%O|4+(!M zOMP9f*_NjtC`DHC)L4K`8b38J-*nzJr3zoM8qD)_^$4qptfs)7pJ^0%A;sq=pE+cw z9c)&)(UZ?FYIe2Qt0dre@}9j@DY`#k1Z}Q7rv-*O91xj2yy1T=G3Hxi#*u*E16dq- zUWT>IEPl+EW4?hhk`OY(TM?9+u%85Wa}XBH-M~MzyW2eKd_{;B>RO7_S&(y(PHbK` zj05%=$WlLZ6DFRF2wySxM8^t*Zny3}sg`PeARaDt$$zQ#$!gZrkIOy8&_h1yL`?OhF1r?w%r`IVY6G`+xHk^0b?~0?nky!bzfY?HdueHCe z5oT*{`M!p}TF3p>4+(Z{O_<`F{2VPkyluUJoeE(tBTZbLJHNMMk5~{df)kmQIiG1v z%r--^HVE4J#QAtvtvujxNq!>0Os=^AsUV1|wHS-yE(I}PNySh8GjgOF%BRNd#;L=*5aUR2jp?s;iQ6XS0=a64~ zrBoNG@)OSApZ!>UDMOQJA7cU@C$G=bn|!WJb(z4vPCoFbUlMeTloLMSxZslzIzWh}YV^FKGz$~eD1)SWe-yWhaEAI&px>c8hVCgOkXUm;9Zwvob|e7^biJ zcuHJFMJZsk_Q7{bd^G+-ZGtf!FuDVb)))YzwI6_I*XYkZi1D=e%^7dTp1D)?W$`x? zNSHAik3Ls)R%+)Eu-xi63(4DTi~-fW)8_XK6TLmviaJW$xoqx^v0XL^a==orm6G#a zrEfW3m5NrfYaT= zmOmtdNR;7{T&nzmV`{#X`hJB0)!-k~bJwxMi;H8b0Iu`@fjjw(IldF@8-YMTHu{33 zcl3ILtQU|vI1PANr}n^utFe4vvV*B}x#MV#`H0WT^aYN&)OK{HTt{@lhW=jWmY==Jyb%E8c2ilBRaEhs%%ip%3y?T!HQaefFic&_l`Krf%NL91lJDQ>g=- zSyM@aU$U>vz(OALcK$k=KXVwTF<;Z~x#w2*#XXBvo7{b}c}ZD{`6`*abz#ZvJD2T4 zF%DH#+c91QAmMCZbsv$3z!swqIb^8n-fDbUgb`FY&e?>!-%IhM<*8u7ry88*C6I}@+|a2 zJ8XL3Y_wl_+Bw>!e=9=G3=eiRl;?O-(tZcQ9z_AVe2(@X@Xcq|I0Q!?Nf$N-jY&L= zo4v5$m&kGM1$WKCyd|lkihF@-^LlJ1-)pf6{a_@q%+j%(=SkhlG1T!vrvtYMQ_}U- zs>6BQ8Oeo*9!VSh+@1t`bFTsBhG^mVAH7-#py0^nK!DZUZ!5s?4)}H1VSGE+>nl)i zLFR6c#*<45Ty{#l-Gv^Upw0Bz%UHDe{^&+F!pt4PQomq#&cY-CoG9*yT#qpp|zlyxQQ52|l-y|EiXp5*J&M z=HDjx!4Fa-<0an-wn(ojwA9i7E4uElSznpYC8LjJCSA#ltiv-crp{c1s=*c%9iPrH zvvX#@nXC_ZqH#r1VS2J9`zs$ok{bH{RY!ez%twx<^?4aB++ba73|XMT#s>X@pAVt9 z)qpUdE30uyf#V8T@hNJdA!vK6*(Y*cQ;`IjJr6b*pe@ki|2tks<}6OZw*5n%{7Pg-OMRo zV{!B2bWD3s;Q18I%M5;HCM&s2e6mlaY9^Ae!pi<{ z%doIw_UFp-dNX9GqdcpciO|u2YhseB?ub6Up{EI+!q3YgrDL>NH{P%JL zjW`ax^+v|GiK}^|f(g)e9-~*A=~zoxw>;J8W1g-jb|vBah_ICB0wI%n(72#^T(egu zKaJGue)mI>O|5J6f7HWg&>(K^+bN?nJ*4U2)|gKdaF|wv3f7JLGluC^-xqnU6#>QE z)Lqs}d5Zhr7&3cCf~bj+GssbE;X)h`zn z0$j1{C<8lwmp)?oSTWnKy*K$0MGP`vqbl51B0k;HwK)VIwViuI=kIHaj*4B;5?%&q zB?g|x+_)wJzv-8XWZGQI*%=oPHAX$ic#myK)YZ+t(6qaBeG#4J6A&*zuB>yR&&cD$vEMP9N19uS-yz1iXYoF*C;~zlAT1} z!)+`&!B03q15c=mGzqWCxPHLxj2N{R;ilTe#1db7DUj)u=7NoJP%b3V^)Vz772w+= zN6IMFB&WCW($8#lVoC(1BX@NW2+ZUzKvg$q@}`KUFQ~VWW4Ml`WCOPo*jPL)R=WCP z2+>SwDfP_m6_sZ`G)BYuIa@m>qQqCtC_SC33L#9gQ^2};#DQoEIDZQLc*lr|cZuA) z*%rTO+4#$?ULv-;C{4KwS8VR0_tU&_>TlHnMrH#gb=x43Y(LF^kd6O?T!NaX-Tw_L z^XsU>xogdXn zx|g!Gfc0P>CgoZUHKB_USJ}&&!Ur!w1{8&MPRDGiiDw%?y%mgx=r;21k~{Yc=%mOA zXc+q|E|=UIqjV*18cjSq+q8JbUI`86%G5X=p(D=$8CW;@?{^DVR%YTn(g%t5_k4*Y z#??7jX{l;f?d~#6w+z9M3dL*y!# z-$t2=G6=CTkq9X&Aek@$dCE;+=5;XETxXhMkh?KxJS202ZlLJ}{$vIr*HoUxJV?`Y zj5=ST?R-H0U^*yh&d1-n2xGFxCXE5{Xje;Pnt^?`@btaQ#V_Dz`8i$+T`0h;j^R9^ zT6h`dA$avn=?~THB3746hz-Wy$z!7VSphv{>aXv*X(A43A1 z^my)O&mGU&u|7}tceG`$JEg}g%bs*T)C84aM8uX}wKo+a9G;&3`^OKE!iWth=pcaI z+{|o5n0HA)p!p0)A+r1{NK1-ao>Z;}?{K_2(F1k7>dAn+B2=yYHzDO%Dru=J@4lN} zTc4!po<)3t_DdMRIr}`Ef;T*rU#;fGu&b0Z6O;YE;S_v0IvhBT4`h&+alxYz$X|&S z?{fD8K2L2W@T^^j&1OVtGY4pd6Aq-$Ex?(V_9blItdz^yM>`$+O6Yd$8&D0&KtMlt zmcQ`BqZR)NZ<6W2&HPsnO!uF0h^PqC@~FE3Hbtc1I5x64$0KP$2?4dGq{lj_jpuX@ zcb;Dz!M8R?!RoGTT-fK7-20iuWh$+V%rxxRYIUY7vjp@TV%p1D^9#4nKuZkJaBl9W zEB)@3a?U0@P%$jy&ksS8BQ@o~hn1uc%{0c9Zg3W(1SpG1o<%Mj&9CT^vg2pLng?6e z*3d)Qf)_t`^RX#p6&S3eIEq5nDvY9jj7-ZCYSz!t6~7(W=)zl3woDiT%1NkGPTY9X z8jIvBr==B69UWFv3&xZGxH`30UyJ_w#DN=Ndn z=B7o3CgKGSU+*?fS{A>^d1c6KODDWJ2YV(QQ=Dxak)FCBsbG<|-aj!~GWnaPIq2eL zxu)v+Tn_6~MtJG9F-SU3;D_fMhPza1>UmU8pq%qQJ&)AeTeqF9{KHd*papSG1U1p;9Kc=vI&ai(96VQ@V ztg+QnR|l;7`Uu`R1VZT`kP8)uVVkd2RF3ktf=HD5mBF3H_jL{qDLlYCue!|tgGEgB z9oqzb40cSYiR};AZEX#s{|gMZT;?OeZ>3qFuv*DG0KQ1CvoQoufuoTfDxC1*(^x!i zy(2_LrTo1Iu0p<3Bo9hL-~SICmPR;n_{XhJ!D0@S--^R`sXY3>xv1sGme3nur`ikc zu*|zzH+`dkpQnc>BPOx!84UwJdSz&-up$Lt1U~n-#8AB*H+~Gf!kwlIaA|^p_ASZ> zaLknk@4TQTHr_t(v!L_@G0Ow=2YOYl{_3Bp5Tdw08cx;F?EsY|X5wwp8wW9%2%=hz z&F6z>eg+#y7h)XWh4Q61$o*{eX?OoQ8i`5oW~LJ5z(pni|r-JzhU2MeeQvGS@UF8 zw>;u?UV!Xci;(<HvYZ|sgGjyXP^2mz96w_Ge*M5fWv3e5 zG5DR3*wSDC@_ePKth5DFY1upv!;DlzF`!>)WHB>>bYHZT>FA3^Qi#$mB3vb$za0byLi|5uj=hw7B*rZdbF3wL!7-5ihN~jjZ;k zVoP;gM5Yq`H7v#uYGJ;C@AQs91Yyvkkel7W<=~4N!oAuH-!8AXHK2(HuuJpqGJb8# zWoh#{NGD<%qLR8Cjbva>*DqD<Oln#pHiFpK-M3@iOLxp=ksh*n0wbWP| z-?6{&uH{#x{cWAM1E(a8T(RnhHBTO{xm}+b9v&0}dQStbQh|SO+2Vd0x;FD}Em*+k zTfBO~smYq;W||!GZx3~UUH{@o(5w~Da3l?Fpp?KaaMxYvT}?H%0;U=-0z-{qV$~7+ zG))7Z*z%;25&4Tk$_@g#U=iss<wKZ50o^9fb(JKg69@*B%st>y(Y`H`*W+p|@^*nq*!;?{OwK6#;#ovW2etmc%GF>i<83eZe19 z&$CawL7J+s1sagtePqBY7ducJr4u`?DAB+04)^}T+vQe;@UN6|r8oC+0Ux=rr2C4T zk0&oNYTo&;$~fcUg~BM268gayH044XP?T`IzDt;;@3W?=cgt45blt!eXY&sU-D}V^ z;5r2V6xa`@EkQ3CfVHBOOqmmzuZ3ZT^;c3u1>p*uOi01`z{Th9s4$$`F(T5=kKjxK zhfIbXt(vmNv>De#LQ=T3U?pFI3^_6C*4AuVDS&&N2z3m*V6&CwOR#c;DRV6W8|#CXJLZ0}nC? zqokPABXScFGAYuB?J~Q#_Ggy?f8ia|Rp#6u;N-6UI`eja56U+m?Lh)zEb% zi8Dbex(G#!E=|^T#+GKgqK4nY1bh~_m!K(XK zzzP#zDW((XOk*@1Hr01)V^wlP7e9~Tx}qP}cRZPw>P}CXqk*OTb#HdMjY$6|?+uh0 zk2yh$23Spza4DBy1-y&n@hmMYtwp?uuW|3%&isrn?4_?!W#cEZd(uy!0JZO)>bxEP zJ2RWfZs;$(TFfhr0fhWIqkRjT1f;o|@3qC$gr;7v)^xHKiMa+h>s$2OcZc!6KaamX zQCgIVPhQz$P&kHE=k$&^!{s=4cT>NQ7DMdaz~j#@WGxpS!rsx5tbg=h866f~XZST0 zVnN$n`(j+``3XT* zWgb>AK{>~%(1&GX`RrC*Pg1tmRmp8)yPkq(5&0OslmJTzFpKkL$gQ|5)uvkv$_Eu#4YzXY}ut;&Fcf7%C3eh%t zt-0zO0<`Wt6uXk4|9}AneR-#mqYh;a$l(7^P)q;@aOnFN#-CqhBsP8jI{r7BZuw_| zumPM+?1$9bXAGdTEGy+zGvWe@+i>BV!ucRTh~l!zJ4qpBHvf|F`a`0}QB0uTk=iN< z!dHPr4J+$%jJ1dS7}IL!RvKtlXVpZ4^kWfW+>|2r2GZ zD=czX>H3zA^!%3GQdlc&yS6l;Krmg?Fk9s8e}W!bZ8ovp8F#!|z`QnoWNCJ+p%1MU z*;wsmjZWVQ214dy#rd22XZ=fz+n4F?cgmi)wI)UcNAKx>yJ$Ig+c@-LJl1>rYc46l zTe_*dSiK_aXUCK!M4;Z8(%2-iqam|Q%Kx8qJaPb7oV)*FA@#sQ-=j za{^;hn3NWGuUqI7Q6q{h{1ag084xJU#xZV0gJ3p&2-WE{TIb`(`;f!qF<{IcCMHZ z7jplJP8da+X>J$vFL^xmRIP8=HunLjn6g7dSN|-#e3pv=&?R-GCuG&F6s;5X+F>w6 z(72+7+;g29xqcQp$ICO&^`wmX!nH#!xd1}3VzV(_w+E@$?FGjYKt$yk7dsL%XB#4Z zabA24)&`#?`0|m;rJaUSD4>cfsE@wk!aHPYET=EV57KX%H<=(xe5E?Ie6K%P>_`L8 z-IxE=;C!`qs~F5d+StLRJd}>s*I5gfKs58AdfOz6~-2{n+4 zGtZ$Ah><+wF7YTBXX48eU63mT@~}1ZCbH*lcqUX@TGV(83wcg5izqdbw>ZTC<>`~7 zn(nM5Yq$_Dx+ylNt=c<_)oE&2)2@V6gxSh@9v?}=*6(iD|D1jcvX~K))Um4m!e6|L%{_yE9GjL5u(w@`W59KxYt_2I?3xi;Uo<*vH4S%s`| zBcolW*r1Exs-5FCK_s#}$)72(=pG%8jLnyqIhicQD@p0mV^+%1`q-cx#8-vSr?Ukj z`$qj_hCZB>JpJ6*kWKjJ4;EJ>6@9ACC|wdn=LFiEud5B6?X(iUe>)w+SH2ttAjzd| z6xCOt3Mh`5`ccp}NwT;XF>3-^o04yQfnXevCZI+O+{P^hjR*S4eAZpr*U#**MI~27 z6IILbBef`D^ubX~v94E(5$`Jf@2CE(&q~QXc-2hO_teNbF89T(EM*+%IQs)6@G3i;$Lw6{Ba4hFl%jW58XojiTq86!Ve--Eb=!QEhCce$da}>b;Z&hIb`z3O zmHq}DFvA(40Tcu;&!70kRs5aXYZ~MKE3U8JEO-aE_w7N`;;RZLf$}C&=vcfG&>2L0 zO`RjE7{~F5eyQ0ZO14M*`~ad8gP~s#Xf-6^3Ev}#wU(WJMCmWkM5};&$lpjb8HMpb%*NMj^?|!X1xpQYKs?bAkWZsQJ zK+~vhzdo7g{o`T1kaaBn-Xi1fUwA&0g}z=E!f?+`+J}<@wXWcSniTes7ewIu{H&E+ z9y~s!0k{`<`3r~pmr%FkM~PZ)Blpq=pXTxE$jA#iPzA90cP@vlr*O<{NgHUp=f2Xt z6_r0`IH?}uHmX~b!nM#zcOXi86M{gdbCdvoi9xRIdr5I+;?d`#9BW3Swg|p&EOA( zq7b!d4eXzk$$#@xa(6u0=ODIvX=}B@gb_T-sd(kp`!rZx)0APMP+hw9VcBD1_WKr* zns z3%Vme9{20t6Am=+4!ILJn(LiD6!eP!Lp{KP@-8nR#A;dU9clWGmL3TYZQV&7amTlE zrNx!;yJIDJ){K@-YYsY?=M%1i^rK@^J5jm$3%`sECqF!P9|NQkz#U4y7MZ$fnA`k^ z1et&M*(0S^eg~r-7;R|)`m4ta2bE~$%`2Sns?@$j7FwpF9xmC(Mp~%h@dIORYUX>l z4UYd4CI6!Z$h{R6I|AB~V=?9qLh{dj-(Tpke32?(cgVm3d6=9!G9Hy%(9vS32_agj z)D>@)?e)opQFHOL7IRdTrjALS`5N_I9+U(EPy(s|lxv&*kRLxu0M%JsiwQBclvA>* z-S;;O^bb7DU=K?}Fhsrg%&5MxAKvr_&L#hT=KAM_o?8lSD}jar5~e*PptVO_#>7FG zhjZT#SUO;<8tF@NYU<{?KyCb5DoZ_If27L=32C#*hXw{G+qCaN430I$^~VpJL4YQEDy;5_JXX<`}a^LP>ebim&3k9$4S0E}{7V7nZHBSrKhP6=V5;hO03^=oJ6qH0EijRjM zLdGCdZCKv>)9Tr4+i|#X?TCy9`2K635`RfJ*f{*OCw1rY51ay#xS%nrcXy8**pTtL22f-XH1x?jJgarnf!<+Y|Zi^2n{tFBh44GPAu;ko{}U zToA`Lmr`KmH8y>4+`biY4v+Sxb4MznA6rRzfz`?*C>O*M=W%t!u#F~gg!SJ?u-qeA z_D2+j1tf9c&hvZZEcF;6=2FH@PLFa`uGS9#MqRBxN-ybM%eLc`_*bO39zKtX?P+JUddw9owJ*z=jAzn$fpmwBDmdZCx1z(1 zj}8wft&3SJf=1@Qo@GutlHInZG2VR9?~5NDZW!TLU>)GO7;lW-FqwY(N!zWo%Pwp+ z`L!*ZK9#b}lIyfJPNK?md|u+NyNtMOX2N~>*Ft_Ovita;J9?DFLe?aKx7uvy-k{-X zvZ-jtNxeCyJfR6}C5ge)@7ZO>dfE{;IQA0>62H&g+AM>z3}@N;I5uy&ghx*V*2S1X;MpyIB5+E zDs>t-l4h5vvN$}d#yQZFXh8=)xX(&hoeAG_#xEjSu8JxO>-;q)%`(XQknqm= zlOYdeud~v#qXfFIPX4QkU1@`aK-Gqyr9r*PV@zRbOiM%w69dWg z3`#}5J5th;(wdVvQ!-!UTU7F(-UL6^}jQts-+qYrj+Cm*axxCPEFL?l7bWU_M zXE$|k7*2kEr(!A6Di*ct(rI1_VqAQAH~CbOHq=Y4`($ z%_ws0Y!z!0guE20Yd&CgUZ2P`14lKNBRRSEZZ-whr0eag- z;yz#E08AvGy?nqzlQ806xEybr5n+u;%$LTO#%JGDEvOG()PmapgZ!?v)IqXdU%h06 zW`dE;Wm_|cLm%E0YM|T$JDy?SJl)%1jm(X&X+7c6ZHRlSxdB(FJ2g}&&6=7t?ln0n zOEtkAcq|RTUT+1fCf{TST`6RmXIQi#u5cfzQ7S|1r? z?06za?dz}Zc6d^m;LfR+^kiaBZV0J+H&JC4Aw2o}h~O8>dgmpE53J1eA6{9pfkQ`} zuMc=s!05j@K(xK}lfb{QrJyzH46Z*ZQ83MOgrRY_Q{SjH3m?5qV@ji&a%z69Pc`8T z;*3&cXQM3%Q271UiL1KIskwRk7X$c(iq*i$O{{)E%}|co>x!k5I*I)Lngu9%%{>?gglai8`!N+lP2K8uYSHGN) zf8Ty&`L-ClDIvHRwp8|Yu>8I*qL-UsR9Mr<@ecW&9$Dt~&zr8BN81n7oF+R;Y*~w) zMJDKokU~*Uj=Cm@CxU}Ud>A#&+t!U#D?AF*Rc_gyX3>%=hZ0QRhJe%9E+zcm}iOgt(BL_pF24y#Gi~!H=Z$EE)v%GB{|h`|Fp@u zVh!8pH-m7}2%fxF$RqE+<$aTgoAcI>ZL!R{;MX(-Px+lb+I#Y)f(#tCXH8s}o(z+pOA=oV>#=a@wKwQ`YB$H}|CL2ie)8BO?!=dbq3BMbspuP?w&B4(Xhj zjsktE7d;-US{@c(Og|g--ce6HJ}CaWMJIks$YB@-J@uN>Kulm-Yu}V53#TyrSXY~P zn_^Sx%7=Z~NU*oyKK4S0N0h;Al@xF3#?7j&HoYUcr#sd)b9$d{_QJ}vINRTRf;qw^ ziR(JghFdyx%dc<4rad{o0+TH9$3{(q`+ht5xZoUtn0fxCcVRiV*%@G0As6e%NsCoReH7A%jSF2KJqY+ zNec)#f{k%DfxIifg9z}hsEwk1%xa#FZVr`f4>wX`JE(08 zT%;pbDe&4O|kJ^ zPq^XFg@hbJE7bz<{0rS_4a7VWP`Ts=-j&MgA6$67XrPo`$QEit+j}bhnVTI^>4`wB z|Df2-rr!GA(B|8c?Xd$v?3?+ljM3ybQUnnpH^KvsN6|uNHEB+lHe*5V!C96D-r9rT z)eV>A!bXt(B$V3)HD#?t(H|T}PYb67RCmyyWD`Ks z>`$02cs0~jJ&wt+%CV*`faIzo)j!-hG`VT6QjhXBj{HA>{3E@wAdh+XkA(k2 z;<0Q>;zkJ?s@VP?7FM`w#fsUz8kec=hHzM6)Gbcx%vohBWO{@ey;jf7(uezB5VbC) z0mG*1jhogLZ_!#rO6A|7U$M^Rp2=1L|1vec zEoWl-9syjg?Z(!E{Ca)<>aQUrWZx$1q!7wJG6PH-S^s=bIkuk zDG+$T4rv@0&oJ$KYP;-=j#F^hHv#}jSN;Hop^d#mn25_tp}e*5i+3LfH7UcpSIdY- z-q7?W;}c`?Mv|PwRu&q9pYUcqJP+!Y07wl1q-a(JTjdH&R;SS~6j1`gnV*;A-`_Rg z@4Vwh(NK?zu%xh0XJjH6S5rHKIPV&j&;hSz?>9Dbw0z(fwI2sH zv{PbFw+n?$R$QqL|5fhyC_B5EC zb26>4Ja4Zi7FW9S6@3&#+|_qzA}(S#JJBSt_6UC9Q$0ypK>vauVml;pb*tx#3x&zB z_r7zWCHLCwMU*9i435);@|ok^Iz*r&!tfNrLS~N>rz{6kjnFokrz-KF*3hS>R@(NJ zpF6}pRz>e>9t}gMy}j>lW`HoQ`PsBl8ObEXb$THMcL&V`!VnaD$?w0IDt<$d^iq_e zdC!AiK`g~28n%jNH8Pyo*jp4)6zI&ZsWdl9zGTug zA<5J7$;sd}yR#FA@4m{-Jl;w3k)!@kRYBi(f84x$!bib|Cn~m2vo**2HhUrQt1atC zDYtmTxlFRp<8qv>HJvH7Tcm&Vs;JVfjZSqqtN-5d%=k_zVvy@enYbOvdS{IR`6VVkT|ANttQ#F4Ct|7&E3s%P!MzUJxiZkm_O9r8tQgW!4rV$iNMUSz& zb;ulXv-IYog>)+~j{=Pg^i+)J)nIk|A6|9(1E|l4)LGSAt6K_90#@lRQi~c=}D(skJ*h#}tXCxIyIU#EcYtC9FNc^OJop%J72}p6kuMevaQ+G8W|Z>fLu! z&pe@M@8T(MqJi?cn_8I>%jWjfHs;P;NcQ&;q^4uW{-MtVoVINeE-+Hp?)LYx`MFk< z0YhDat%qAlh3bO zZT07_JFg(4jkbEt1+rDjT$BCk&V}I$i{P@=H0g%H_ANrv zmPG$uc+H=9l4v>b>cRPjZ*9iof2o9=jPCzKq;H1)ajgQWMMXi&vZ>`nljMMQyOrM> zr$l!~}QSZm#O#nCG#~gO%U)nFYJt~4W zURe8p=YPE3B$Qkk(C~SwZMt?<)U7|dGAqiwn=w;|0>h{IZ&d!!Kx4uU|E6&c&`1?U ziN8dwvEBV!jx*Yf6T(V1pzAlOFqrZBn$D(f(RPc*$YAePUVg`1Rf#!a{_lsOIR+GJ z(pwm&YeTT&ua;t>kG(8#KtYmnbppFx5sb@Ylc(y=PYy?aVzOVib%;SR+5yoSl2n-X zlGwVrzhl!uNvlto@sM>5XZPJ_{?^ zM!n$dP5gt;`wu<~I`H)${3y`dyEn{GS#R%DV^H4yoRR=U>wh6?A3m_3-g!BAO2wi7 zR2I9e?x3ZWtCs%yzjUR*u8aq7Wk*#T z*@=vEe@5fUZQjpYHGJiXaC8-=;%Q%RlDftHSCp9PD011U*yZF}Z?*PzkJg9SzwkaM zN+KdHp`5ndj92cb<#$G* zEws^hY-pV)?*zTsx!cpDt6g7SSTwQ%|@*VczfKL2npY4X8JT-J9qP~-Q51f!@gi`_mRqcMdsvy zrR15(IP1o{Xt???nY0G{4S3gcdZ{OS6(pdV#Hy^7P1Mbw!E;SCx|rZyTG`YunDMRM z+HBxMO5G=-k8zxI=&_QLYO7c!c6Lt6->oClHZZTMLM5D_=5yzd8kH(F%^1lTIwCGb ze?sP?-ozwb0>8QW1&dy5@+Jy1cC?Hs3nd*p|6h2OE1h(dtbm)WbWE*mGgy7-NeSB3 zrsfWJ#>6kf-`pmh;dw0vQ1cY7(#-v_R|{$b@tqeRLyFJ+aO5tT(Q5ItuCwI#TxKkF>ZKLa z3x`mzWq`9roD219F*n#WeG^qt35u5hZop+7@l#`rWss%qXOTAS*Klh!5r)N@=CVW^tg_gi8#h16CBwI$9O{KW^2QD$TSiy) zzl&$ImT(n*N+CE1>UBJtOh|ffcXUZJjpa1A?BTg(Cpx87d_DHs6HYoF{B@3TLu0F^ zn;{p!bo0+Mb=&FZ+1U>Tnz~*{=}A#*UX%p&M6jA&mfIyWHdiIJxw*^*KsmY4^2^}V z@7Ll9bb|p|=aNGI?S2=twu0RN8MdB}!*wp1e|iM!=b?;%YdVvglY8?4D71KY*}^goKwlA{Q3 zZ9dG=3jz;@jQa=(R8QU?5P9y_%LU&Ixd9gmeasfJAzq64{H*73@|J0%{UgBQsr@zG z>x3F;Nj=L?<5|D%Tm5#o;COP4tLL6QzpGzTIy*JrD3zi2wR~l!_5_WgrcGZz_7_pG zHl1z!_JG$qRIALJp~180GDOqdvXC1N`gghI643NPz8HqR8+1L&4ox?Znh!oFzbEAD zP!C=2(3}>zxjMAiT76A{)u+rU2WTDLYzA5>8SnWuGO4r;`d~MyvD1zWyvni4kubI> zIkikJJgq1A5%e`54#x$u8@}wlv9PpE&`oqEC{mh>L=UoC4_`+ex8%0#-_9gie%~jpdI&k&I63+LK1Bc}%^f zp<`+P={~Hb+!gRuN>B0Eu$&m>P+bna*DHfc7Ocm6#D|}ZS`%eytA4;L30>L34zKUj zWy#On^WriMP1B5>;NsGJkfR<=Ra+_7&w0$57r=%aTEx_;uSiwPXz2nAgjxN!W3<%L znlvC_oc$xx&3KBsq}++hN>Ax7RA5}7t|)0pucS~Ll>MGWPo#E^yAEhoL^0k=J_S8m zOE7?^m#ReI3FEB>9yoNyZ{5bG6*mdc`L^!#22#f{gQJZ4o|d>uj>$~YS>IsYx*CFj-d+J3sfKIiQHeD(cD)!kLsZ?5hcW@h#DeM2m! z>1+O6z)XKNix_>)J%O{aSLf%+C+->i0{T%&hglSG%b{hc15IyJ75RA3+*dLkXz$J&p)YNA^^(i>AXG)3t3hg53{K}q~Zw#%T8b^V?ZYyXD zX=JQ^k(`z@EEBA(`ulDKbL;_|>`GvEMI;(3Bc+68WS{c1z_Y#l#&(tW*BZn2h4kX@ zJ|`Da!NAl9A$>fM!8R9h7WPE}k7~FksgZcE?+43>!_{u_8OmJtakhKVSGz5<$f#MU zkd~DGB5JWzPgO>j!dX^@A@OyYlq(F zauS-tN9n2$`CV_rMN#ii+lrKbx~kZH4HPq1V>l-{J~uD$;%NKHaU`M+gnVP!f6B8uqR*5zHWe!SCBG&H%SRtL$qZak z+tMuy`?AEF{1hl(!s%pg^{BUGOJ~-Y6XKQD5j4=11BI27wGWEsv=ClSFAd>ofW8}i zjUM6BZdmmZfO$XJG}F(30D%+YDD+k8GjJaYcuSF8YF|Z^5TgExrWpxu9`!hBiSP&o zS<^H5wtDCToPk=y!Cd2{DSWB6p6E4=ewQls_28@F;3gEHPgdTZvK#V)WY+)7Wz^$a z$npE{V;5iS3uu}qOeh${-@=JHYs2_p&^kVv2C5bd{ID3^4QS+UN-jr5$n)Avn$|K4 zc`IE@r6;_0zF_Q&dHRC}D2uY5NnkVEqOriy{>RvN@v&Cml)qq3PHpxA#!ppvoiBgg zjsrIjI)^6BkUeyV*7o+4E8dl37Tb?nt0EK34BA4hBsh3jMLsLPQ@IAbe}mOq!vhew z6VS8*(lDb#x`jDr&yQm*(w98smJa7WTu)K_3XINAc)>4cdG1P=2JBd!%6oJ9y>F?` z@TUWu{4;>Uf+~U7 zHO6z|(7KV1Y-{sGBW&_xAZZP6rIh1+zvP}c@JRxZ0%G|W1*-hqRjp#yCfDP5d;F|x z8u(JQ2NZizf~rThIPiZ^gc{Q#nhvr67ODHgfi$dB&0Sd6f(=9Q!L4u1g@QpF5VOum zJ%_;`og)ZFoy$?q80G3gt&`5x6y|5^1fXnD=M!Xq(%DRq^KV0C1Z&PBvi=H8CRI(5 zhs~w2T&5F>Mp51NiynTty>K7PO33}jl9UQCN+r#KKd8Fv{S2&4_imWm+zi^qxL&IN z>0w0!NwfLUiVfWB6$i-$KUDx*3Uo!R&A^y4O^9y`6=%0rIq4 zU4g%w-OLOHl0kO0Pt%2(<3vzF`cYcZ-?&=gveo6fzp3s!kca7KbNP=~1xnHOuL=;y zk}AE?RngW7#N~n*go2KQ&>ox3FX6dj|gifKXcGhSW`Lu2Usq!d*Z{senL<9=B z?+FK)zuPc|Mde!{=;b4BF(cHDTB{Ov zYtWQ9yF%@$^7h>j&NviBb~~igL3_XuutnFzQlR>f1@(txcm-%{*KD?55Rx2qd7Wdb z7-sfvl%CZs+saEfQtNr$a~VXs8fE9~-gf|VRL0dY5E5f%4h_k%^U@vAdfteD11o!g zyKGqtq^$K4FzD6a2F<7&A7$n4!x{zCIhfdxU-a;{&Y=l&Opi0){Y_-IqmA}}D&Q7g z62Ac8Rsp!)TRHlcQ#x{^jpmoQ_=hXP-!kd@dZShJcZKIq8WXB0Y*47-lIH1JE)ESB z0iA*RB8Ono<|WL zfQBo%Y>8W0RXXU$`RS%ETj}X;uxlX&>Pg`mv^p3N>AHY=uB}J)ZljH^noGcD@Q(gFQD*?h)j^rLjawQ&2$a2A5$=p8sQet{8ocbI|EX&EeNi2!{SRv- zyI=bOZKbVPh3M9Nhl5P0Vk&6s+0>*z`h2b4M^$xh-V&=LWNF>Ni=bXoy0!$6@^=B7 zN1>EC3FnHuOFlIkaTP|cX5N1-&uv#7e&5LiE)qhGVEV0-rVsl5owfBAq=dU_NoMa- zZoep75Q}0o5Kp_+I2^B<#_!VO8_o+{Udp33k|C>}q^ZBkn_rez#J}*=70VO~?=a9@ zj+l%fk`Zd9F=0tD0lLtz95#gBBg`4O_{GsL1W~cU`O08jgsy$9!1@h}o`c_mzp_@w ze;5}LTjCpwR!M3JAZmZcbYd>*SyuIc6Z3kTKcYY8Y^?55z-3Z{*~;{{q&1I~e#y*B z*J5V6PJQ6YlJf0zNJ)mIzc|Cb(fpBEhGj(r`@U$7l7*MmtuV|JafBY2awzDM1V(n= zC7#gXefl5D`7v@J4yR*6F_UgB?liiU!!V>L*RP(nrIDh>(9o*@g4t#HYI`Nqgl_Hq zN#sMRqm*&iFZPXS5Yh1PI6_j`4Jnt+`*!DyjFwYR_X5g@Y%%T;Mk(!h}HJ#^T*3MP1D=osUMbs@ce`V&w= zXg&W`ak9zV^R>*x;0Fe5;@gyG7n3>F+unC(ajsb&3Gm)069W`Rp_CjQ?w+g37J+Z3 zFCNmjvMhG54hzbxCXiMW2^7~gA9Qo|cSkl>SfA%66yjwIf?Y(9g!p8e4st$4p|7<=4Cy zV-1{Nj+A!(f*A|{3#RnY64|*DgJ09bV-uv+3p?+Z)GyfH9{2m>@o)YMk)^LCh92q8 zOd^#>)0x83Ut>40cR@cQhgIdDvGg)D4Z7>Bf}KQCYjp2um#dcFmX$`fcaAk_MC)q+ zKS+?tk)U47bvY&?VD{4;R_vONx>g!swMsP7jwhb%cuV@IH=DALfQHeX6{Vw9vD2MAzL-4ep(tYX*`~3)zy@#z-L! zp5J_IupbbJ-5Y9}|4Gm|dB`7KS?wUd_S*PQ1QWRjpNPGSpHC-WK-#;=aLkExAz-^4 z-yx#O!glObs#I;gXf=EA?l@jj@Nq1WxcT*OQb&46+0+AWvwr59X1t;$nyG9CI{1}W znfBewj+z>Iv1_<Wzari&vYSIH$6FgK}MVr8@AngZEZT37$kVDUoJgy0gO#tYuB` zE=H(+1rTsS1=1~)gr6UyvUd0)wt(KlZ}9j*=%>ohB$ffYKI6KcWl*MFni5dFfFBD} zbR8$auQ3uH3e6PtFTqcSAhfymQm>MAa&a#QxBRH6lo05dZ8FHn;;O+042hNX zlp7$4Q;KbccW%|wecv2jY2MDoZ<|Xgu_{=QGyqNsTA?uq^70Q1yTM~Sj4g}>_T~4} zn(}XE*OM1f-8KuMLY#K3Nk8WWhKTAcS}{su3Cv1+85(eoU6PZ60&ZSqI*2YiXlZf( zJZY>*d)FL@85D&TbOu(Yl+%RcdreME4EeQG!$XuZbNI_SoaemrIUv8B=h~j>X4|{L3fk+1nQs~?35=ElD|R5dNBvm`F?!(yv9eX zyT*lzX2TCrwMS#UW>9iMz3iH(-BxlnbL3T$O>I#W6VHe%#?ur3&@rS6f%A9b;{Flb zGG_$aaEvZj%B?JzaU%o1!Ky@~umDJ28oqUMas33CCLfH5`ja39WS8xaf09UZLgxIB zh>Bk~+)X{4l6EQw)=7{BqA^^sMHQ|J&eJg`BQ(Vl_%#bSt4i21e}B}S z9<24hT9uHDIQc&@6DRie<3pU-FQ$Z&1qk$axDC(4TG{7N+fIr$fDHVTCW(%(o(F@0_n*6oLi;PDCSU8*)rrTRZ!9fN&du(+sc&<)CJ$K+<7&+*Vc~oOi3&%dgY*{7=2Z1Ce~tVswYLaDF>QM zsT*@!oE=$Q3sfOCr?j?DIf7n7tfYaSw|l88p{>tkN<6)Er)6ru_iU!L@;Cm|OqdKn z(ZE!kZiUE>FKJlQvt-4nF*y&FC%N6%W_%c&@jF`*)S6{bffbCBVMH6;T59(BMV&tC zwK6D5(d7B?4wsRkTHwVxH7~fJDvK>g-)n7#KrLlO_?!@Pirjh}bIrfql`f>`2~d^V1VvkFM)vj+fIG{* zDPFGQFJA+*Q+4y76cazQSg+);Y*W1bmm=yGbS81LOEl&t_D9LAHCv^JT}SDNm+VFq z*9jH8g?JSRnC5gq2kyATkBeX2O*>m}L+0mQt4*6l)6pt!>~BE&3{o*UA9q79zDz55 zn|1FxpWlB02F?@<0{eGjn~0~;sOPuo#k|EVslgiI38#6v0l{6yLY6NrVVNEJ?dv>L zmgGz`Z(pOi*Xi9iD0kP(NTy8VJQPwV*Y3Z)l%jQN{5Gy~&P?1w-h-OZuJc5`ntaVd zp8yVbBxTuzfcN5uOC2`R=;55ZIW1e$gU!jCc_%PJTi3X`BCN!>$vD{BOF; z8TPX5{ZtX`HBlfxfe}jXk%R71F%Mt8K&sh%-Mr*p{I|gf#Mq(LdG?E$H!3epMrX{L zzeUA~N8qPrqdH#@GnY^pQwY`oGvdydnrf~}Q$O(8FR;vvNt8+Kxm(yWA)^fn4Gx@F z{#qqOR@u7Op2Cq#tT=z9^|gJ>Y!lg%0RB@G$u#Ucgugl*0(FvW!sW%Bk?{~UH~W!o z6}0WWW=g!m*r~hg|Elpa(;C|mK`M_uYN^LG5-s!FBiXH#zH9b!B$=Ow{(Y0df>qeV z(dX-ddPhbw4!SCwbuyWQ3asMjI+%ezt`BC8hKtkFBavstHJkB?aZ8R2Hqko?;qVJJ zfo$D<>~4c)Spy9Na-Qx%ObveK}?Kuav6FO0&b405c7YO-i+})AkGxb z*N^jc_>0Hh)aaBs9qO;jg+NkbWgktR4q@N3lk{Vs0Hd zvnnVK9(P;4COnO`WAv~%Oh%h7nxqC@6l;egDWs{a`J?rK!@}_L^xq4VnD5i@ExaD_ z9z6PdQTJ&^ZM55X!1%VlX8VA-8Yqzuzr{|59q{-@P!Ho&G zm#vHFZD}C6wFPtE9#i4?uj8-0Tfl|J&5t!xkjlC1w$v21D7l`fq$*A1)0bx(X@R_B z6&hm8iA(+-?LD(m%GybfAc0Zke&M_!xw>nFQgIE0P>m&k_mPB(NAF%o3eB4$1STY4MzQS)8Mj~Z{MjZ0n^nb@a zaKstjjKzn$S{C1l!N{eOzw(xU(-yt!+T(jN#^<}ipIwHz5fg>p_>-W)#-rB>2FJ+A zP=!~=2tNkK32jWdc0vo;xlbVlO2NJbUVSF(Z*eQSX5pvF2HVBNC)_Xnd|wZMn8^R8 z^eH<_oeZsLT&{W*74!{WmEj$assZI^I_MF1a#TGqWD2nwiQyDR(&mF^05cK|ahE^w zZXL($*|Z46v0L!jerB;6PL&H@l;3|n^yf%XU@A86t;$1NHc%aOp$zRbq66T&;j`eL zp_>ZhXE2&BejXFw|HUAJMyo78mmryLidJU9q6!=>{;9G{3>4zi8t34zL{9|S)Q^m1 z$&GI@={dD}g@&F?Dyo30#6eZW0hJ;1&bo)Z1u1<^foIPuHg68|>yS1+oLA{N{#mDC zH_{1EImoYKB6*MWPm0b=0Zbqp4>ajQI1af{>{EyupwyD?UZm)oxUHA{uYtDJ9@(S` z)^#-VVFi4RA>B{Nc(0h&+2sCER0g-Kr;k0UlvBZ!vXki~(tD_C;m3W22mBVWg03^V zrY`l&CZ!|>si(iL7)VB**61~>A$F-xTKf=Wc{kGRKD0~S3hCA%WrOni z#_OR{en_Zqoq@M!6DQH;o61cg=>VfUIJE^}Ozwn7_&X=Rh~g-VTkV1WksRj64A$Vg zktk75#`W5HOg^2#BLSt?Wjam``+q<}1gw6!SLSoXK4Jrg zppLVak%g(zHJjKX;#d@+?OU)ohel&CKuMf5j$?k^h_SnRXgaCV>XKJAf#wK#b0^cL z4)SAG%U51b&z$fO^Dq-P12r0Y3P?@-PfD4%VskZak!jU|*FhT!%OJfjLfMQBjcAEU zan?S-?PucSWjOq_cGVO)#uF#AqF~3jJ0o!tV!nOIoJXn9Am-8rT8<-Dv6kPxQUz#Y zJgNAFu|^;>jWN`+sgbN&Lwbpm>!EVmj&;!-Ar-L8K)v1&#St|r0ZEcmVGfXTpp@Td zr*TFAjI!R2Cd|LRSLD_sdW3V!PaS@A^ z>m1))fSZTT2qFHGPAmn;%(okvB$nrp>f~msLLeJ8bEXBB2scM>-TX+?_{!72C(`Ot z@WBDRX?U+dU!@Uc#!ycIAgkv*#B>#NjzF?N%#!$RQCKbJL+hBz_#vXoIGV0OAT~F# z(3UlkckMvB-%DP}oYs zO3&H&J`ODrg1gcBa+>p3LiPJoZ1psSZ%249VROAhCrIF&SK@qHuE(pY#Q(?vPg4qE z|C7TCV3H-LielpHVjvVwkSuD8GPCC1cO5J7X7ANY!r7>5rCH~5qNb5H~z8+-Q zx>YH-Lg{7_hL!0aw_X=pPB35Oa|Ya8lDz2AI~?@?N-L}MR$LP-`W98^1nn)RujxRY zF2*6epfpXLLIjB<CT+{*Z0iSp(9joa%r;0<`A4lFZn9U4aaf%XEZ3k)UPj-BY zM5E4LP&Uh6E-h-$=T;nEK5shUwxq?@mo}idMN_7@$df(54$<_3OAO6k^uo&c$sZ)> ztXem}FrTy&veVs~w6I(jwp?o8<3U|0@RUfD{caFPh%Xk^ciSAKZDmW>hfGjmGwOU@ z@_}1RK||yJ)@??t1i%QH(yX50l>&;Rl5{|W|200Xm@>sY3w2Nss*X@F;F>8X$)FMK z%rNwRc}h(cV-RD|zgIS);EW_>QU;})k*6)Jl!ZBR%(xV0HT&zp#VcV3ny`iq(z;@PiO`gm}+&pXPsNu;N9 zotf>f79*qRn~LH-XOOVorJ^Jb&X40&rM|lJC6i&|o=L$Qmc@0<$#r1b4Jvg;k5)Tk zMhuH%l%?MY$5D1Ht`(*T*;fN*8J({>i=to?#sfo<_q%QL#uHZhQ76dd!I8?%RNM2` zGe{6GaU;rt&=B#6k~6MTCo~XTFfwV|9ijov54g&t*RD!H}m%IW&qz#KR`&3Gt8-+NAdSG$WrFl9Leg-XQVRthGPKjQV`Scbf9 zoDV~j(Y^Edt^3o6-l@uLyz*kW7hMlw=Mc-9_or01G4VSEZ3q4<@TK}$2V_F2`>V^l z2gfprRKuyubc;!ICe7-voFc~(2gz5j;m4PxyVIMnuOakya;eiii-~h4m<%kfkz?4v z@(n4_GG#Z*oKc!-96KuFINfyiQZG^S$iQ2oW;MK+mt>Hxraz0v0coo$&E;|Sq_NDD z>9F6&3}oaEA_j@Qh0&FZfWrs-!U_1d?2@NFlXO3OVL&pa1K za}xA@&GN+;37N8^a`c&xkxLzsp1d{)<1J{~xf2L;ee$X5fiTmA$Zr-(Y${Cp$EL5C z*rU+Qj#U-`c}e%A1T2`9e!p+`g$rr{I!Mg%e-<>*0x_BYiP=yT;e*DY>HaIm8MCqB ztydUK9nl)@@OZusUdpNc zk4N@wJTz`ggD(Vtmz|tEudHuJpdNv`SXL1WN}MQk=xT&HX^}Rov%D!c0Or2;O&I~M zP>bXy(Y0Z%84(efsIQQvpYK8qg2k@c(Jepmun)8U3&w~*u+*;CU+HoIT1NrBJ$wbk zWbk9{Cn5G>j*)DX{V6OTt1G|Xv$PcCbx%I=OpR3({a0)5?fa(80AF^XZvUs%dVm?$ zwVXirQwF%{X`IL_En3MQ0SXOVgRU>CD;F$=cH|5HDbno_JDV~R;cZX(14X7V&o_E( zvmxN0V> z=ecOEHK;PgGrG`aRp==U#*N(oV}eb*DVMx-=la5QB(5-I{byvh6N+kp`a(m|a-Am; zmngQ1ImHvDXxGAh)K9MfKvYLbKK)yzy2T{{t7Uy z&wmI0plKZ(fvo7Yo!}IhU913X4bgWd)pXKW9g9*IaqtR!UzRvZp#V)1FynZq=KDv1 zIE<9d4+d+taGb2sMm09_M`hBge@DpG%qW9K1(9zQ^@I`BaRqR>iV92h*{$O{D? z&0+^h)J4#?l|P)a5_?Tr)RNq?A}`wa(qP6h^>c=XW6eqTEF`TzC`OTTrrSv;(sbP` z0!1>>3w0y!ZqEeXxx2nS(Hi*KsP}!U#1#wFcFbpWFhF7$_+ARVcS9kH!^m3xptxp> z#LQVkRtLDjD6ap~9EJcd7v#bokeKz16g!)TE=3bg(}VU(QoVXd*o7Y=2fMAk{dpDh zX6LKOu5SIhkxsPsXoJq&Tp00`|!FZgTQ76l8=|YBw&y!1OEJTx* zuZ~M$M%_K&k6tGym2;)vcYCfS4^9ZvOPVsL+r6PQoxHc_EuffQ^FoJNlenB#!Ktt3 zS)?os)+cMAy5N@j;1L_ z4d?r8kB;G(%1BpR&msL(Rd-lQ3)OwcP|q>24CO8)o+2qu0)|AF#3zChQ)+idNHc?T zH?fmrlH-+rk-m?hqz?B~nT-4Qap2e=r9}eu_urf>>i^2YTdyZjXnL8*C+pt?0paYq zR3HX9t* z(^Ry$fs#g04JMvI4*$;A(xiMp&FE=a_KkWQL2f=iHx?ZbM$s|?*c4jmq2_*(pcfk}WkKpaJ)AvtJ!W5M3TIantTe32O zBMxo1(3+YYKO3tgB#OiD`1}E5%@&7P=79I|lZ%nFBlp^4i0FTDL@HjZ{d^NB+%x5- zY*IFV$nPLg&A*rFTQByd{md7^aXIYy%sTMzZs_KN8**0<+uI7<~|ydxDCfDH}f@^7x?bIHob!)ar+r@2%)U+v`)Oop^v+i+- z&wdH8qw<>5r0<~4>4qLg1>6l5R_r=>?COs%8zej zIzJx!nLRYdOvNr}&=#%PkAUC3_@2%(L=C=svCO>Fsa)mnx+8nZ888z|*B&7WbWiGO zD|6G`Up9T&P7FMzlDXJ14`=cL#<_K(bE}4E#hePMuWroA#%C$o=Kci}b4)Tj{4S_6 zy+f7wopW@*$?XRc&SuLwS@1(U z0rSt2cOLm{b}9B{_n8YqD-~N{Q{s^fX4x6eyt)seFnYkj}-dq?`q&`A*A`f z8^s0NPZ+}6(xR_@+6NRKM8=#hfffL+Mg!z@b+OA-2>FCxU=ux%E;76}b zo7LH3=#6lxzl&%&SKNPVrb8m|V`h>Q?onfd?RTF+qwJ!`!qqSXT&23?_)^y^L9R{|=D93Y%iME1DP+vyhQy-8 zc(;8&e*v?1l%kYQL7gT>A9<#Q1pfm5_cYaJ1b${R|CaK~^-5{6*7Uo7`qXT3`1B&}%$9i+3V&I`QRP4cIll$amxMa+&P>CE2w`p%c)( z+uglKhkUqfoqCR@blM>wy~YWNWq ziNpR;s=FUK;V=k=I)PnqukWNPiR32r^+JauX>VsaNA}jVYy6~mPZWjd)tNt61gxDF z*ZH7**l&*WB@qVrzrP$Q70E`MJW#C~;RVuv!6-uhg2{}(e>F<>>U-h)FE@V8cZ!!lb1TLr zZ=Ajl-$oAaxSy>^MbmkfnEf1&r%Pvc-)gBsNoI;B2C5w>dP~d6NJIJk2MW=RcuZ<0 zKHzF0wT#b@g6>k*oyRIfU!@UGDTGWDH$cV3{+nlX{T?#!x#_SW82GtH#5N8MR%jIy_9?6Na98$eo!aOE)N;>po=| z2uLoiG5~lq|G~pI(3eEZB3T_?Pgko>wIG%86&-A(7Cuq{7Ue}nK3oxh;^B717yCe8 zPiO*?-*akB2Im8?A`W+amE1*+vATc3T>XrtmYP#W0rvIT#svH+I~>{yl}!UaBO0z= z?JQf7m&z@GIIpUOTk;`Oy&^wCOCG9RuA1jeciK%dZ2OJAS9#H(izeGoiU@c(z(+Xw zZXId^|9@pa0J4MBB|%O&n1Y_)mvy6z(2K`ROWs%zn0w&UcNU{Vz~0X>;l%pm{srY+ z_%{?10M#}+bO=D9WpV94K}lE?l+qD<;U!e;kZ+nv1wcbO{(nMTl*9m|xCrvKdiGIA zW{{Zk<>Q75Urg8Skb6H*3QBO^=zMPqARCx*W#j_WW}O$*^Y2pifl;(!5QNZpk_%T| zdxe!(jeo%$cD!1r+%E=F=W5i#sK#FI(qTMF(_QkM_+L=Tkfa2cH~H;O(@`k`>Gw#9 ztcapPTR`%Fohwo&p=N?W02G4pE(f*^jo<6Kj8PTSA?lKmGF5+hRu{BQaiu3WsCt27 z>zuUZ63gUu`NVGVgj^*_y-H2&b^Iw~%I1DU^UGsm6=&P-+Fvkbt_CeOl8dn;kD)Rt za0Q|+UqbGx1j7jaBPc^2!se#s@G2*}WO;m^GfLx<5*bE zv(*gFZ$jM>n-UI7OoewbP&2lMQ*oSdAzsJ%oHpr!&sd7M)L+&`&@#P+61#2q-$6%Tg*cpIt#zl_eKTb6c9VFii2gTpNk#1@729887*3RtyQyerNIC>3})Qt zKKovbgS`R^gAilZDLbJjrcO6`7=?epM>$lY=+Twpp6P7`nuG?>P$75@&KkXI-k!eR zY*~>Fal+ssmsIvTdRyDnm##}-Q1*5csAc_h#7=`?bpUD$LHcPtI zeB8QKBPE2Waf@lM?F^@YLguhP7!3g|Mko{qmWoxQTdQ17)}YCh!J*`|NSm(fv@p?@ zg`xHr7TDb~z)&8WurJw%t<8C@`%zg%Ytc+ob2u?To!;EoDXQC*6M!$YMGVe=*NsCQORZx8@0TxF(c7@Wm* zF#zBy;AA|Hjc?&_vg9$O{RS0Fm*VaO3yFqb!7NOY&BfrVaz_?M5S+j&1qJzYu`#8D zukmV3YoqsrWf;119T-SD{_;$F3|$p;Fmz|Wza$h^0zuMh6Nn{aFjva9Jos`&Dh{%0 ziw+u!fkW*udHz~i;&MZjS-g{Q7c1w*>`@+t8kG-0>+wbSt?VySnpb*T+1^a8RkwcyT*Nsq&NODN zX9`MTNltJQV8}xuS){X3>0fGv&^TNAj#dGuo+PiJl7;GGx0Zh-5y+c^`=d5dsbh?< z_!+MOaQh$qjJ}F;#o=eiqJUF7&r!r2;p1@!%)xI$=l5p}SIQ(u+nctuY7RbliLgJc zYs^^BgcKm%uTne1gkZY(S(Ptc%A_}QFPB}BBswE+lR@O#D*0>V_$_%+iQkGL^9gg2 zm^SbKR$Bq*&vqmY3Ah^CT;qeb4m)~T0o|f7vkrtpe=Ue81>$E+L1hZCOdpn6ezmg( ztKrfzXs2Pi%*rAnHyQ9N5`kNRB~R++~K%HjoW#%qS; z@31vm%Fp@PLzYY2?hedvU^hN+Us?7(>R-*o?0RZt7f17b-HJ=x6x?7^;J=^4H3~oi$m9#nu7q+n&3$;ml|`z^?4U>p3Q9?QJ*n7bm^$!oXn25#?4jmbnTO`=GOlIANCqvsV>g45ruYPSMHxO0RprTt z=*q94gRJrv+Hvc2PUd7G07n*GjHWXinHcA-EES=!o0_V6;bXA`V@F!{m-rbUo9MO* z7H{B)oM6b!pS!N~CC*8eC7ztSRLsz{+iXda@fv|{UEO6haf5Idgzv(*Yk4lI-Gy-U zB_T{b;@)a4Hin6fj@y8oItyM0%0J~?-U{4K{0Vryqih|ejsXPZL3tP*i-Y?S5+R9k zzX6_Uio-%FfamA(GuI~`2J`oKUc9i>&q6SL_ZvC!6=W7O1MGdV2EJIOZ3EW}G#v)V z;uRQR7BBhEmsn{XTwL!s*A=iVr9n{EbWHd;Vx8UFFRBgP47E=1vVRC6s1{Sy|aWD$(<{lFwNu-|E5^aQ}F7}9{-R(rNQBy zHV?^TTS%HoDI=>4y{a0JW1+$BLBi{~k;cZnSXF_w-pH;YCn}!M_>i=(zG7^A@VF+L2!vRs97Mww>gp-nl*5R#RicS@~tS z`dOZZ3!0|BV_}fAi<8S+p$pIvS~{k^Ksgc8C%L7E;ZrmMEh=4;1d-N`syOadb z!M=wm^a>Z6m-hT05N9`~_9@`|Xh5ja832*xWcnjS?v7pwCD8~4zuD=G&gxcs^P~DH z0*{ryIiCTYDS^Mt9Y_tSy4VxS)wFKZJ&`NRP~Hs$<*)^6$)MUtz>>qIIezXDV3Ev~ zVG&p)JZy>j*CJmfu0Ic83e5!n6jX@oN3A0i1&{S}bABlxg#IM-awu9!ra$|Ru^CZe z$78f3JXB>5pnCQJ#?T z?>A!sJP8*p&fHzahLjX+#&<^l=vFg7T5hbZvBAA?F>;?D79ZNAP-fT$O2v|qfsg9@ zF84HW*zX)nFIBizOpz1cD3@Hb8k>+JA=_>4yq$us9XWJ>G%Sz^|DdeGqnxl|awKID z&o((09s1nnI=d-o@KpoH>~ILY8b-eD6AqCoRj=9%iE;!~9!B#n^7=vK43 z`q==mpkIIs_zx_Alk0hL@)`dV3wh!%w@mBalAQWx9>WsGp=ZKvv<#P|TU|)ltH#8Z zbo|`OK&gnhy6=TlB9h^uzfDBdA52yQyvYNtWnX$Y@UdU^-BShfGe*j z>Xbu0wk&G1qm`2{1gsonVwgVg|VS3qy=ddb7qx*af?4+QxykEGndLf zp;!SZ4W4WP016&yyDKyc|2gzePixI=iR$=fXsG_J(f-oNB?)ZtFhS0cEOgz4sG>tF zVjw~JuD}E1vWS?anejAosl|Zz{1Jr(h)Az@)9ogN&q7WXAzmV%b*-#5;Qbgti#a)x za9+DCK2*Tn{9$1C6v1T>Btz{(yjS(3qU-~_b$^cTw>eMTs>^Jra)`&*ENY}0QM3V2 z6!{&7w5pm)#^^4Rl(9!&8ArK;3t$c@Dxo7GGV+*G9){hwNwZEbM)a(JmYmEw{9pI) z%MS0Dn23Ozt2}=Y({$kyunIgqju1;>rT;09#R7s0^dCr zzl*Q5?o#FsWh<{uWB}Nvi_@KdmPr7<8Uwx}hi3T@1(Z9qs>1pE60qvd55(+`<0G;U zk+9Gehp^STI4M?`P@iP-%+LdhQ~w_cv3zEinps#0@qoOfXUb5^ff z%bXTBz*aT0Ai~g>1q?0tukPcMF8Z>DK%#pRV6EQl&n6YIt`wDKz%M?;kAp0FVlY zw%XvT;PNlKE|WrI_Ma*)9*f)m-OuaDxs-CBsKgy!TGrJx1V$C_wBa4NC#H{ijJn@t zZ9|{Ms&N!b`|crisWe}vhhyZT+94ZjoQhZQP+$tQX?$h1&^ZJ)yDgnQH!S*C*_ITD z+SGLWtF4MPT-KV^Rc-;6WLnyezW7TuuvMo}vuZ2%{Upx*_pNDM+6ieU^r#TIB^VFw zzBk7?oy7sg4ib1d90tt!H+HakR(9zRqeCN3p3*xxsBy7 zf`ywb1~Nz0iE_N5X-IEEg97fDf%E@VOd~c_gVA*B{+VESc`3<^3xo7?m(?ypUgYCy zP2kji1B+We-=zK_Z53Zw9gV7?lTR9ltsb(uiPiMs)vL;nA?Y&*dW=%TC#ZfUPH~eh zTYpeQ?`=nhg)_;BJF5-T7BBT~fln4Y>D(qgBs=atUZ}Nmxo+#%9Ai;EZ ziTD%fg%o?`z3b^ND}3Yj7=%S(vnTDYAFcL{xP=V~6ZU?8CIv%tL_CSVDe_flivAJ3s!}fp{ zzizFAjiy{wC6uob2}dr#6slp)+SA`6GWUk%fg)SigWh$^_yWCHs8<*o1P(uIm37dy zKmuX^6m0T^dUPt>U6wyHHOufi5AewKCE($`*f$SeWMTIu{#TV55ivtoEb?=XT38<$ zA0ZwCPecQreDI{4I^SpPriI^WL5X}?Mc6d%c@H;ec&X3@BU}^SY`k44jE_IPlU}Us zsQ+!`m&SYzSRlWfWjv#5v%7@%;(Mbz;Xn19c&`Ota$nmZG4ph%8(o=an@&7~Ldf;oavTZVyH?5-a+ z2*}B=2EfB^_C)UPvZ}19RF+a`fG#M7+8KGD7#3!g_;#*b?p0PsI#Y^aZ?)#(&?YLP zwgW%hKO`BsADM+V+B_bHRv<1!?2vc{#}X$LKm*y-_jW9o8E)!bh;(n#8i-hdur&1C z%G=Q16H)0zp=nzi3T5!YCLugw16>YO5J&!K7DNuMC6=d%zM{V)^AE z#*+LvKB$@>CJQj;(aM>~Bus}3=Mt?42X{ed4QCN~NAHd15v=z#2_;QcjF3kRwKPpW zPhpV-jADWzT{Y`#)5hR_TM|d^p$*)h?5A)0CU4Ix1x*@uKF4pD>?=wm0jRjAz6__p4-&DMP&^Iqr#Aq2<+{Q*ibIFkuIeIQ5RuvR3Kd zFm{*K{1~jY7=&^ceP0CghF->H$RNXPUK36#e(rUD_uX{w$q>5{yk_$95B3zOplLSg zS-CFc7Q)lw)C(>>UM2s#D|`4f+Kyyd#;3(b!;4_zY5F; zf%?(1wuhoBOqB)uD**3z{>M3UTNmhJgAuhKQsiyb2MCCO2vYO733HR$tisU`ZXv6q zl_bW=`$n-kZGScPpJL2?) zUu!O`tZ`s3TSM^VwyQwxp~tdJeibl($w+1JzQTtuT+`B=jW|~$VLQ9?QO(dX*m<)b z;za+v^Rw%#88_Q-ZcZ{@+n}0wYWYu%`9slDzjhN|6dScOxOFTeR`Iilscp+0O7D|@ z%jj8=7n_gL8f}rh#|LkcF1c`?gTHBR^KDRra>Kn>Tx|0Y1L1tlot&6*D^x0_Ig#D8}Ix zX+)*CZ?a6c%^ndC!(_kl<&QUWM1S5Tv2-!XdsR!Lu&R(!}xIgn^HQA zyP-wr9l6xi!7)G%nMS{?Yh=(;Wwb!Z9=njA5T93hjRvc{F>E*jeFw}^Fr*tQc@j1|lA^a~3P#5VE? z(5k?ovy^gY(V6^#u>wL-GZv4-ug00$YqN>1Qqb8iNP#^{QMp+Jls&_4d>}b^@~KVh?O3`0+I|sP zINNu{$KWIR_CTnO%+}wu*1yjpC)Y;byLjt_s)oDFHgR&#&dKIfW_ksamF93eYTS<_i=#iZnNZ0sg4`vjYigw;{z)ZUrg*5 zUx|T`g+0+x%b|R_N2Zjz`jQt*p-&xyG}10dx-VY@3V!IYh!wKl7+2o%}7mC zMEsU>PGtgulq{QSHy9Iq2EnYqe&qCNVc-#T@x|WpRG-psJy4b!TWi12c!SX-@$$O_=*Y=N`dZWeT*me) z>Cd=hrF?P4yl-{Rq{1O&5H(Y(9P+Lk-{9(g8ZyjlGGeMF`IA4y;zPXgdM(Swx2L~8 z95s6K@Nln}pdh(t8|&Csoq-!V8&6hzFLLr{<=KJru*26cd(XUeKAp~yw#4vq<2zRh zB_OC;IfBX3Wz0wDD?=P_2OCweIuJxN>vw9;%ULE#gxjby*l7|#wk7>H#|??r!5;MH zMq~IF^&ub4LSw#DaS~To$F5Yg2I%ZL<4Glr;Eh&35jlQRS5jPMaa+-~`BB4!j#2r| zHq+h;_sP#alexlm1l`3sWH)!QUp^x5uv3IYZ(xN+64aV(;G+Suv`Q+-x$vOZEBI^;_d%MIMTEg_p)kth*xD zI0XQ;tm@c-I`F}?0(49-DHG0;_zGs9I1by3Bv|PmA_@d@vj8Pt z*0{eZx!2lyN~jcC^x8J0gRl1DL3J6@*zlx-EVHmjudlxF*a#@HQ>d?VXn4Nvo}EW& z5Db&IeuQ}U$Qkl02KAYRV_SSBMx_tD6~7{bsSV)KV+@wp8T5ahu)I88^g^mGvr4%I zY-dyF3J`@<3e6-7F791>DX;*dq{5RM*9)Tbw9{j+J^V$BR^5FQErN9!^Hli@#+5uw zHfD(OM?t}4+IURkBed(EaCN^vcXtchw-PcAV^U1e&Kp-*%LSiOQ^-gt`Q2Hn%Pbt{ z=L28hwSKQ_CCl#N(z4Iphl8)g2_Ov2O?hs+>%;9CM%QI+V?quW7hL9?hBowTw6mKF zE>g7~(YQpH1?N74cYUXzl-0nMuPM3NgJN`Tav=OdW|_{VdCP9O57Y@XDoQVvHF0IA zNWP6FWJd-_w_QLkXeT-4S5CZ~gmS6N^4(r_hLD!x%ys?{3Y>|seg3vBtmkpoP4uS3 zQaP;en5^oRzWZLM^3k`D(2o4Ay|Hd+IpvEiYjW|LJ1dni2qGKMKER?=+Y`uY4 zcQVS;D{P-l;1YpGRyQb)(-yNfmu8$T8tkTVMPUE-4|^Bd4)!Ysb_}qeaq+R3bN-iB zY9x)r6Fpuad^*bgcFKh;B{&ls;`IP1gJbyND*g->}FWx<_r1*bVlxmdi#W|0l07fgqq z)Y-Zxw&h<6RBaW4g};H&^-jK+O zEg{pV*x+Yh%psZE1h_IBoC+Shw7fOAsymmpZOUxv+y!xKT`ic*stN^Qq>yNBtN?_P zqioI;DOj;H^+MNhn4q{bHZP@TMa~dA5fSxi`Vn*cy8-z?!dI?$p#iN6GO$T_;kt0K*7y zO86`ecITD%SdkXM)Bjq)H+;p%bZ|kuYJ%!kgmGV9b3sfZ1Chp}vRsR;@x5QXis;`x zJ?OWq<#PC1n+2$wa|uyrt3?Mt8>(%FN(6v?sEBmMA7O7IA#ja1VA#V+e#(Vgp*b%w zki&^3t%<8}?}>AUoYISHU!83k4+ADGUu&c=D17L-*O)iZhkIA%^jjLJ@n@;d!(Klf z;Qds2jCkUMDJIQYo($if3KJ_v6!aNB7u^McXeY#rR_4N#we4_(`0g5R5T+FD?-G>R z@>nVc3_*Ld%&PcqLC!-}Oa~a{Ab#BYP&%mxzxPH+PuZ47zjS-q#o6u{q$eo1;%Nqp zZ{_}%XN9qnjnj(W0{VCK`C0>xG;K(r^EzPYq#!H4c*MM(RWbO2xw=iU2)S2!t5o=H zK;DXE^%Ob|JZ#x&zC^^FofA*zDF_3!snMv};1+VCy=uG@ykN9c2>J-!%reUry_)a{ zit3+c6JAhe=Kq9Lfg@R3lC|0&T7% zD=S4~CP+W>N47@MW(v(k7PWhF7=z8vedso|J%1J(f$xMz>V}_RxT?{2Kq2<-0-?WD z(BY6DNz+s}<6-+>7^^w^pR!U6UJFGh^qoA1DPnwMlt)88-_in9(ZOr^k_GfYDYGb1kV3R+g+ZIQJUL%levLud^o^jayfVskNM zB;TK`@<`a}RL-iU|x@v6qa0R?t0cD=vk59oUF=gO0_5?*%%`|8-m+hsvRt>pwv z*>5J9rIP5YUJmuQCG*&@jgXM5DT5`y)n(}q)elzf{XShot@({v{@iU-bCCDVa=&kt z&)~LPUu7^WlrIOYSCVB&Rz<#cW86xcs~>)Nv?YC^DJO%o_I_72;$xy*y|r@{1=eAJ z3?wF+)W=rx$cEad{%h4n$eeDo!xbME|CKnP)_bdKJ)=5zwC_G!qSIZ~u%Q;iap-;V z(Pd-@Gxh5v=I<@gtdy(!p%ICao*llH=0dlI3A z2n${!Ww>_iiYyKzBubSBff4%y1A{sfaIz?__h z+Elu(m{nP$Q-hC%OsSy%@{5+Usq()tp1Xq8w1cms%!!{la`UjTaqE`#;76CiXq`AX zS@m(YI-B2%K_3Uzc;0(9fM61!BLM$)MHh8XXwzJ;^-*{abgQ4UzQET_$yI{Rseo0Y zOm>!_i)MtGF|3wv__VU4eyhQ%&9`2xlY*Qxx!{^W4igLO2vNARr6|T$_YVQQ7Z2R? zzxCa(oTLZuty^u;TNB4M*IZM^ZT2erfH`z7xYHF|DPq! z4r!bwtvI|)%G%F9#AZdyYOJ|y8h?}Cbe}o}G7qSV#u?gql-8%eN-^KADs{R1k&`7i zKAzPY?!Va)iy=bOJh1*{jArr{u|QDu7@Gtl3mX%{GmRlZ%>T7&%Tn4=tT_ne^zM8= zy+Tm^G@LA`i2iU}pPeC)p?;QmOLuAQEzN0Vqc`$aT9D$GzQOmg6Ro;RaJty98uF36 zmP%0&k_*nU7s|(m9hJ#(Epb0y`6RE3+K_nNDge9~RHp+ASU(FEmsGuaJHM4&Y;*nU zudfBPz&?W=xBtTUr2g}EvSZZ2h?Cz(3%^O-csWch^IRFqL<)QoXtGa=b!Ld=qzq?yS|&z zrIfQTqtX%W-v&o5Q~tuxk6I@dpK99t48A5vg8qe3|C(9J^HN6bVA|T?Lr3v_eS2bo z$)F%6d@#aA&-!DKyeF-$XLLGRE0YZI+K651f2Ct4sv3^{ps{+TeY2H1HXojfi`i1PHR=`xz^JWp#$?Dh!=T{x9g z(?9e2qGDi>ZfDk;qr~!sWTogu75%Hb-}tJJ`*wPtKq}+K5Yrqa^dY5mOHb`i#el#bqvs+k1 zTIVy%?lgbjC!mw?mwLnQk2^Ap1I)2@*zP)QW2KsI=YM=N3E*U+V@WbLjM=jw7zh5-D>%AjmqmzL;6SP;F95^rL?>0Z4}nNHB`K| zzmnzv8+Qkc3}LA<=Zt6u^6ZxNP|n-G|KQ?(A`8PbZ5djKT6}R)Z=j@DYJGb=<$c`c zdFo86^XT?f#V37#iVkj*yH4xaHs|FU?Nt}u@lx@_fC24U%n$xEUp=?dsKyIR*hb=D z4fXj(v3ZleQ>c8Cky3$tZ_bHnxA}6dv}~I4*1aR(SHLa6eaw#RVeF;7QkrXW&v$j9 z>ef>>4*y(?QU!F-<-X`zCF4oO(8U?959(Zc2+h4asr9;B%Ry>$mI$g9+KY0#;ccbp zv0NBZ%?dAdKz?7~tYP%r!p%WiqpinL_FPe>u=@8WBcE^n+q=T!W1TN}Q;kMiUKIC3 zi8Kgz)lroz#B2HB(IOlTtM5J9+4t&xUGBI-XaV^{qC(s}5~tV*Gng{mU)RUH#-7Zx z;tfO*Y+AZ5>PW)$l=^*rrqR7c0*)WK7`Yh5hsDZcDZ6%uyB$}!=ts(N_actPWiw* zsGC0B>LX_jI;ogFhS8B)qsh*)?Af~9u@4ain(T?%M0ZkWSg(9QL<95)EO9!UJGRGq z-15CsA|Jo8yv)WL!fL>i0-dFwwlTiDZ#R6ACZ!dyGJG!;n1Sld-^)G*_IfJHXDFj8 z+FP6gN5*c;{`&cwsC_2DsO!VYvRkoZC(p|3p-Jm~OcMk?2f@mInLAGynv4^(`8^&u2jhQiyzL!{rN zVKM{@|K_^5ohiMunL0o>()FhF(!?mjNgt(;6e?u(<&MufN;hb#J5Cp(>H z?$_n>td1IcT|%rpHLJ3EbNfblv>E|3{aZR41+im2G)fd2J@*g#`SH@!^W$h%nc_dU zUM05(kV;NcoojbE@Sw!U)LECbG47sgPS4jS;w_TWoKdhehDw%;(^(gP`}`pggR3F` zHMfDWm*5b9C+ysaB4Xy6g|5eZovSZ!-z^!z%QY2cIFB&RF5L%z5aCdr|Lgd%_4o2} zvGo%T(cQp72`w&@PdX+wY(wJU&Ee-|pB^wa<62fxLHxE-3y!18+dEXkmxi2$cv?&jC#5^^E)M;-=G2)Iv|^=FRtUf z{D3ua&gj@$-cGPrES|)dZ$4#Y)03$+@Gp#>WS8!$8rqWNe8fdJZpkqIV8r|uON7Uo zb3wtuO&y2H6!`u(0WFc6JJ+8;a;Or9v|}-3=O!{nRPK!oyuFLUw)rluM=wI zz5_BINtMCTPJJ5+WihWw;|er|?Rh=6+=8ipgC2a^*x0K{#qp^V( z`$8*jt>BVqFy_=Q7|CNsL^55M@v>p!7j4??1IhP?hb|M=Z%;(zl?mJ!bxlyu|4zGy z)fm6>W36ZLT2J#|7)oT6f5J(Kl2ma$k@_y_v50^E1yJ3Y;%CX@srZmx@h`L&KXVFK zA+BbZ7d~@0ANT1#n$Hq2IocD}YgUB5$CvO~w)FMo?!&HdObx$|_mfUWD{y?35W8tP zyRk9;5OcJq=Q;O34;Rc1;7j&DeIIbiP;yX5PsPl*p&m()PLV`%6&F&#stCovp);56 zRis`iD#|S@xjQLvNrF{??o?Kp3#P3djUn4E80E`VTAKR=!^3`i7Pk3v7AuWmN*^5} zs^Q(z_avVijiDwxbTUS-&Y2V^lvhZ6X~|FqV*zkmnD@6^VM19rJUho9i-bSbYD5vt zGk~=e1uDs@5JDUft&J!^LNEd&tZ4QI3RjNxrtz#yMJe=rI>;!O_K!hNIJPc9b8$y; zIg5mF_OI{#O3UvHY>2zgu-4a+A}|1yDJul0;6jj`25=cnyepptSF?e2Xz=TM-ERVy z?nOT*0*p9e-LV+>BJIu@CR`}z_#W;%F|3~Gto;*|R2L0;f{CdX_LyqM~Kf zz~MBX+F0+i_cB_)qByST?#un7zM?ddLQQ=Q2e)3bF%#5DS|#fGT1a=S;G$}MOUFc2 z6+vY`$0SU!+*on1lES|cis2;E5plefIjQ4LrK_P+6Y=!kZ^-#*JnR7Ri^t14Xy0+a zeT)6}t*1B8M1&MOa+;ry^!LxdjThL7(wT2h1SW^pXi$%{m7;>8@}Sr6kXX(1>|Y*I zMr2-(m7ycSUlUw?<+xE;ANH05Hr_5%CTp{og3HXiGmm)semb&6surEmnM8I|KDyMH zK9Es}mqY+asYkz&DeP2Wes!TGCLW{HKi}p5hg)3!%wsE>hs@CTL9=p?&k-xcNrbtq zw?xSP=dhlpf#t>ZIfy=_{P$+9bZrEs=*D($FB1t_(_7pM48z*(3MXyEoI-;$|8 zpY0Rf4V_OOa%9m{3hPJO$`z%%AGg&WKMge&g-k!`bHS9MySKOra{N^%`ZaBmvQ<}w zy}e4b6=#VJ{KE+g%k(_Su?{MgI(+BIv}BYUa0v!kd76{Q)~F;1j7S}II^vQg1mTKz zF*nas@@LebI#FP+_z{@1X}hR;oGlmT2V2qE4@>dTYI`CcQfG`(;o^V_ionb;amn|p zF=gO}71%1xY}Wp~pXw{q%H6CX!dR&ep~UR)`MFzyQr=^HvdHv~QqGW!a+4(rgchZc z+iux3qN=yi_*OutiX2wjd<@9OmEQ3|FmpNswN2=vYU^1PqaSq`?5!)1rR<@7dBR

#xXzT8`1 z>JECI{V*V~mN9x4`%m>^K)us9A|~EYBF|VQ(6!7#Mu-dy-L*w`1(Jt0saNOPEw$I7 zsy-nsx|bO>__Z77=}5=eA>4TMI|uzb4QUZASltapoct_x0+%+#a;WK@w!y>HWv&mk z`^~*i&V%n>;=2<)i9#XtjP<2DM?vMi42XBRnq|TI2Ge0%J-hr@@L!89-h>bC1N8?L zZ@yX>=v7%>W65Wef7GEJ&{M(y>%?A#>QZ&o_#A9zmwJoSKT}Ne)`ef|c6oR!6~w2$ zqDuk92fW5ypOQRT)&UV%!E?{DX*aRqgJCwc zpC#MzGfh@*>2XFYc$5k5owo3Ko{;bsomN(;*?|hRX+w<)&kbN?|B<1FlJO-Tk%fgd z`8`9?0u+zEZ69NH4h4put!GfZ{_qQ}^3W!(zc4;FmKZnMdvSg^d2*K(IyXls?Q5*N z6I#`-g}8YA#{uPn?Gqa_epx+8@Wm(nOeXJ-y*&;FY{!oE#@w|wQ=e59=Ms=nZweiA zGl71K>bAZ$cR+u74J0YxzWww|Qu9SN^AaCktm$j1ab73KT|s(eW7NBk1Q>noz-#iO zp4YXh=3!4EQGT_wJzvFIb}~Fz9OEOasF)(NOz-&CF)ZFe$+aH@QM7|~Yk)y;=e#DX z!vK|WH&CmzvE>LB8LfANOU1z#t=0>%X)Q>Uq{!Pg-{p+i*DSulP08ux`dGi$ZWr47 z#n@h6+AN6P8}N+|LGeYR`HKJM3%b7r6AH~%C744Q6lOlEFn`|6o>uVS=C#2gi?dUg ztsgYeZ>8CLILc40{#k)UEbo}_WZDVVTQb*QrmVWzuVM2A%Teo8|-lE@q_|{B*q})#3Lcah`XFYdE_rtZP?NmOC}O5l*BmaSM{~9`$2Ct|dE0 z>0J=jwcn%H@)ac%g}=q_VmVTGWI$iKz&{%FPVq6~5gN7PiVvx0zvVFBMfGK{71!cs zB57bSFPMa)fkn=e4Ia?DHuFk+b2V+`ET&&sygcY>JX~hHXHYZbuDUzVu>f07hq8Bj zd+lVC?7Kz;O^#GOq)UA`z5=rALK^S!8SZTfXblvCvO5OLUVLhO=r|pJ3+~wMH^GWO zYw9)q7a!-JLggRD){g6VC00K@Im|2btO!!R9KUXa$9`4#!z9pz*ZtOtQ?fk6QH;sa z3P1Kb_gJ+`#fw<1Ni7qU4I2SAdo0_`)d5rJ#IwgoPKP4!FCe?wzEq>~QOnu&jqy9o zi=~MdnLZ@E!BpG3bZUY)7wh56^6nh-pd#!j=F#2ZLX*^o(bOx+%bij>U+Hr8yM3L+ zS0_pKY#RqY547iRT^0SQUB8u(=Pw_)g2}{w>Xr_??&iFj;#&5Lstr1m#l7{sCs-}DauR}zT^AOL_0{No1zFe zGDSrhS2}J*^7+DM!Rjq-kvo#aZuVV8N0pynP<6pRdIre3e!N&rc0m?fgx18=6n30L zP}37iwn!c7pZ^&73wdw1v_vMwr}nvgs^K+|-2B7093aTgrtaFRl;pE~5AnW*^{smh zt!7gD^=66|C%%&zlz>3Gb49YEzHt9%P?s}!4yx5@#G9*2NY9oK9h=d$$H<=OD5iq~ zoqrBM5!b^NWV9Nz|H8OzNg|!^OND|}PVt#n$nr7NK-1yDMHzU?D~D+}bO)C;pY#mU zei|gXbiKudmPI=Wn!>;x8=t@c^V(jFC?LatJWVC7&Uw*b?Ck1CUurvy6jTf|(kise zg^)-*#aLh!!Ii3|Ke5pPH*FhWBgoXypzGlVAScXOib*O@95c{n!AIsK0Ug&HG8?*V3SqIzY3ypD6sZtD~io$oAc8p6qjvlbws<;XmGB{(*KYe ztAaN46FI59D@RgOyMzZ8uyP`@h?KCRqvO&$-h7UI{|jeccl<7mzJI97 zo`p91s+ssuLf68KMywFVzC{J_d?O<^$+IVH(6)sSpXiT4?h8${!x-ub2QM;jY?4Zw zdP83k1lLFRnZ{e(nH=8oVA8HV56#Vjq63L55nTa=fZXa5VggN$#d)4?E*5_cY>awh z8-&Jq?%5OZ9}ETR+ScV(c))I^T7)otF^K>bv z^sOM%k1WMlS@ zL$mY-8m_@vr}zX_3oaOwQ7>xy{-6{&3lU=iPn%E%QJRDyY(9Jt6RAT`k@9Ig=x^nRohhH4pzLu)MrxmnC=1F*v zv&-rSp*VkGJbNiun(J{VN2SsDfsw|=S_tRovXg0ax&6yf58(xdEi|a61x=~MssU@O zY$S}n?q>4I%_c~J@O>2vXBvsrh*g`vFm@B96B2Abf`?%i-3mnu?Uq#-J=s_jtE5e2 zpdX9&lQhPU3dC?7IVai62rT*6h_!6CqA=ZyqON* z#|_xB&K=q(B*ZwP5AAp|)_AL;{9EPa;dKM~GG7WEIO=y!?N)@oiW?s@BK6f-CU zw}T~d!j%{ZCyg!)7M!^u%qdtFcuvQq=)nrS|5`7 zKXzC)s2V;k7e^q};=)}r>c;Z~rn@PClpE*GPw`23bY6T1;q)~-n56`N0w%ExCMKhM zRYvL^Qle~4gH{iuAA$h93B13;;KF61#Z(2sc@^+X856?JkV(vVZnpz=i&^^rwR1fO z8K_h3YBcs*kN%^Q62L5>EM352L_Q7?_~Bo*7cv#9!Fl#*py$SF#j0&WM%0~i{aw@_ zwi}A@h1G;tW8f=E#K{VK>i*d0{S~>K^@H~XUF9h;K6B(L%-M(jxrrgjSt`U|7y@pnY0{#{ zQ03|42cqmZjV8%!?8d`BWL7wp_{o!16(;M(|eH-R0 z$EG$YkM8-c?J5bQaX`I2DznS`g+iR)wT-E|Qn`lA_ z8I4B#Mjf|hK%Sp~M^HTZM{&6cW+Q^1R8YN){pQ=riw+A8G<*0-ytvXlV-`rn4a6&d zH4F)VH9WuSSGnNPeAG4vnhkrJ z{9YxXYK);V-h;yM$E2*u3*TqIc`|u%V{;kj zI7lV7UnX?G0-2)(yVS~FCJ{mho}k+6S-HtQP|d!iOr`Yz;q#H|qb94hCR{gB1bnCK zD?uStFX_v*#v-t{Jr?Ru1joVUsP|POF;J7%%Kn!DylLjk&}>Q2iW&j~8^fcGgpAPn z)c4<(>^zB^rLrjI1qaD#72<_Lmt{B!)<~HmP}oXZ^@Xdql;4^=a;h3pklf4a1v5RI9V>49rm(j(7aM%$G|8e32#J|8vQQ$vav;JwQL$Ug0 zIIlGL;%utiw@yco>|=L1R!PP@rt;@pHsk|S7WvKd{81t!VV?%|OzMN@#N8EJMHp>z z^{?Z5#;_{<*dXySuZ|Z4%GgIej_l>-WF6EyITc%0L|nT4jF%pOB2zC1@9&=l1x#c_ z!^1;{oE8vgbwKhC+{9g!>tH8a|7#uBMQmIWimGbU>y`@hsnJqrH--Dk?iab~s3&NH z<(MJHvjR?}KE#jF?gUWo5a;f~_CV=Km$(4}MeIpn{lH8vq=tQ-GJCcG*6q5g6H*R1}1n}>2 zWZweAL<_V9z!p#HhFQq*vb4vl>};c4CMA8XcGN1L8Oht2C1^lZRCCNYagKhv6{#7P z=IX?Ktn;a!$;GJQo~O>PdJGaTZMbbU%H0#Mrz)^yQo@dKDXJdlhwwy=h|vP4DaBw72ooztwq7Ske9^E3no^|z zVFF=@-KPgNu7m0bZRXb0))0}1bPi`O5r%w>x*> z>axy*RqjS-vRHpj?s-bs@G08kKwl?Ub2!I%VqU76*&#B;R5J79%WkKdkKiE#hefW; z@$`|juTq^u>bo+9;PTM5@&V=!Go0u_=qa*m!zWf9$qv7Tf}}Co?k7MCwRmmX(vqOG znnn!Pw|r{X^Qn}BVJehH8*uCW_^}Xkyr?xO{BE(nY^j1%_=PA~(^KIbJS7qem4RFO z1U!c~g`guqZVG!iVdnXnjqKmYtDnj0m%;66UBZsF9?TInI^PJuibLs%Kb4dMMd5a> z5i$BY*i_`DEG9I5n~)WD#*l#usUZ!n5w702AZ^J+S7`q)oeYW_i04bnTnFdHoN$j%?14m;XMFTu!;`I%%pwZOPW3&smx)9A>81 zLnen6)D*4BYT7c0inAQb=gV84@tNrUd04lvlUtZj^_3b3eWligqh_32ohnDT7Db1T zeJW0OGW7;h6mK}DlF9pkR=TjJko+F$UR3yaC{u2yh8>%>Q8qU^$r5i74rP*t@jV z+{=DcJXaS&C8gv{JGs%c!SswVWZ;ZT|MZa`^WegcAEbEu7sRr)1!b8IkySs}7mH^V zgYFBpsS$Kk6gRyee&dT(DADZaqpPN(y<^FfDK@%0FCKu8L+|+muzk!Jb$$M^Pov{J zRXQp%Cd^JDP+*7@*!|k(wd+6V$Yzo_zW^nxvpoR>Yc!41_HqLr5 z&Q#^Fz4NgB4UL9jHG271gJ%5G}l9ZM+Qv%=Ak= zVQDV)^LwT|&AjozSMhIOU}(|j6fV}42;J9Ybd?lNmm7W>=bx$evak_h$n?}_CO|_Q z{H@pd`Rc1_C^w;Iw?1EC#f2qq6XK#vAp_mfc&Ln=dTf1vB05jQ1z74=L@zRMX)-hK ziY3}lltg-$ULa?acy^ikj(b6lM0dwfYUK1j4x=3AP}qN6?y9RN04Sng$GHe(;kl;) zPhkz01{dOv7d5CyD++ILMX%~sb>Cv0snZr7i+Jf|CfN6ahMRjiUsP0c1Io?<78I5M z3Bn&7Q?)4qazU+Q#Xn}x?_uBj96T}*e*3HHPFPS5MV%=m6JDyYdt`A|*PnxlT`!uG zgbJdGFGr<)kSVVQB0vueG=w@!=@~pg=VenE&$!v;9&J`gJQxkIf`9pZofWP7kg|}g z1)k(=FdLk!#CXr$+x3oZhl`PFgtmSh??}r04KJicL{uY5zDpy*pz_1@gaVi>HyEvO z!OAeSViLW9yia-LK2;y!1jeP&8XS6=%iCQ)U2_6t*IbddT^JGH3wi5Y7FYwOR5+qr zz@5+?_gIP@?kjKs@hCacER17YlMe60+MpJ4Ey6}3#e)9W8CQRHE>@-b=Tfz_bfvkf zDR;7u?0)IiD3wJAR6c$eSz&`P<#j^D0kAP993`KBtAaj7E3e*_%Fk zeaStn`odcAA_Z-c?CSw)?iLh+DTn|}nol~!v_f_&L<$YLOrdw0#6D+VDpjILdCs)Q zx*#meDT2?uf?dYGKBwZa!U}JoK{g1~ksBsNCRgl=q(ghnrWn9d_qzdMcw-ZuLe+h^ zPnfC^oLX~lJ2>pQXYpa$%A8zqCvnU)-EaLf#x+LfB>SnMd*u4Y?)7B{n$_>&a$e@5 z>x;&IOeC+Ts+Lagg_$x)4eUM)(b0W)dwRTyjgI*8#Y89S+g@PT(w!F;y$=uQ8{G43 zeKdc}tZZ*Tao4r+x;e#4FCgj{S-z^y!YS?i!p)*9{?nIxas$_GRPJAL{Hm`b^Qc|Q zj0}87+nCq=h-HfaV&RFYK=15*yX^+`Ul_XNh|pQX)t3D4v0cg<2DkZ-lyya|@H+BR zH=nK6*dt!I5U$j7zUY5sEq(&ggT`CoaNs27;e75L*dgTO&Fd(&tbH}LakFz- z=#5A5Swef1B2;WHf9&Y(Rok|oMa)js&;G(7HkFP!3 z$0+_!7X4-G^v~(rS#`y^6j=0c;Zl%(%9eQx5!uVQYSkeLx;9V)?Y0?wmEvIG6a5vD${IgqDL^XOyRpc6^L-JS1`Vm*alwUk;`InfuDQq0dZvW=t{ z7`IV~7{48={6c(+Mr^5nAast1$#~-!C1rsRjmVv6E`vg3MImOPsKS@jl=26&*l~y{ z3qcLcmK8J=uA&#c1D~e#W_d>EANcLT}w^3t;98ONN*I-BPkaDvdydgO*bp1gN?G z0Sh^eoAv+#laZeZ1v;>#?x>!xK$Lf1mV87}l@rjVAOpFYuo*M+vh<{o(RNsV3sLCR}62)m5 zQ6DotSaZ09QYrTVr9SrYfX0?BL;x3c#fhXR#K{nW0HT;j?7C0ijayX1{_|+R(;Oy%-A3r%j`Jis1XIFc@CKi zYKBWw4-RAC1`BLWl;7{JpNXNeORowl*Z>|@AFHut==w)dT)-dbhrIu2toBD4ig#Hx z8rjkW%Hj1|^p9U?v(azS#KF~|IxJPVR-p22(B6$mH1U2=r^3`@C`CL)NT7&ay8b+t zV9i1dYRq2UNP5;ij*4h*ygN(QY|i3=5;~+-Q;I;)sF58An09%Ii^dk*_2gAJ@(M#* z#b!<_F@J=?q^~mDseRB4*fq)b&S^ zcYyEiKO$x?5)8u4ZJ=+AkkC#&TSDctmK{xe{FmP{B!?Fg^2hW~+2f%=_J^wYV5od+ z{&`$BuX;g2Fb<*ubUFlGrN*?()a32B|e;TV~0r#TC#Wcz&VC3wIH>nSZ*T|ig|Ft}+lRAbr^Pn%3pb~`YL5~zH zC@-^Qn$;lP$PY)ZAD`o1aRZ^Y(zLwSCEt3^Xne2hU_gz);y%K9@G+>skN`l;om%%I zLc;4Gus{u|Yj$4ZkW(=Yhu3a1in6r&Y8WyJ131)jo z{evniwYpN4qzo_5DE|ZegU{fZDXMMZf7^y$zSDXgBv@soxR?Nt?)dR562I&69k^_8 zZi8!>uTK0^)?dygn~XDj$@r2&^ScX>xqEsaLDOgd|E4B7J6JFVrZ=aYAVa7;Kqdvr zUBI1}4ay?Nz?5~$@yqf%Ll>4`hDYaWYQa`YUxJGjG3kubWi3=5`u|u+N?+ayl8~1_ z)NC8t|LKA%$!hf6BGB!l5(_7oA_Vq)zr;jJ)^|n;3J*=$At`J=m@6z<GLs5d@5*lJqPUCV?snA2#J1}XI{@v1k7oe7l z)RRcV-Q?>Z2yXwvyfF0#lN~C;iS7kno_E@xsDKG@G=m;w{BOvm5Vwz3^YtKpmTVNEXDH6 z0hWJwCO!`XyMjudd1vfO0Wt>z$d! zx0#pF7%9K8B=QsbdxY?+xziEPx}d2g#Q=GhF5d=-lA!pIJ0wMMsdQ)O`AEnTON4~c z?%$?IDgPTTffWkq^oE2oB}Q+_-|cJxX=Yadlk-H@y>oY9rd-_+6C&HOqV~ID+v+W! zyO^G{v7DDMvKz$^L!}T*`p=Iqdj-%uBBdVNmqF9`9hMhvJ(pM-fx3Y$9Dx-E-ikGU zdc0F;Ke<gZcs*xwH7-Uckr-_#-|1yJYP0^pn`+3Xth4tz<0)UezP}7~orUc5rj@ ze;)rme9ak`e;gGcrWfq;zG4R4+A+Sz27kQuT#WhLK=nTcX!CiO?5MX)Dz~5&vx?on zfdc#@?T;t>7Y2o!(!ULrD1+SF6F~KRxZTsy5cr$7mv#;cAeksbW zM=%E}qO{85k5g0o6=!U^u8xpQ+ zK8!LNd0fa?|$qsJjnZZOI}j9lmq4eN7q}2Mb))o-$N*&gp`tkfH0JTg4ED8gVZoI zNOwqsw1k9GLy0spz>w0VbR(d2N{gg~bolK-@B4Y)=l$OQIF7aUx_;}tuC@1`&ED%I z4zWB?`||&4_;;cu$qp@R7^qqR%E~)C+BM*ZroRR~kpbUqPXCVXm6V=6l(vmH&I-EZ^(f<}@7UsCL}2K49Br??03H=i1lc@ie<*5{PK6 zi+we)cu@>J;o$oFtcHI^EXKOW7jqoAJ+i5G+& zewiI+FLTz(&ehcnh^1BlX0ZXHU&$Di@wWbZ?_AG=Ikx}5j@1VJ3((XwbM^JrsVstF2tq(b@Xo#b}k$d5S<8T_>p(o?DhhXo?+_m zImqF4uDVH`|4Vf+hlG3|n8X$CANF5IN32|4dzu5ry33IR`Koddu)a6Ao(-w|N9HF_ zb32@`#-|8~PWX5Hvx&nmDY@!qSK|^&$oIxWzCtey1LFW>{v@l_LlBr;T z7`&45Bnj-^*(AGIqbnIdO{f1^bxy9`<%p(cbOfQG4_bPb^lG+OLth)d*8^-`ft}0F zeic>nKfr&kM-IT)a)$GWj%##ch^*}}@pe3|ME_6G_SkE&X6FA5qy1+d|D2T;S2Ef^ z4>qG~sg8Y0@#-0WgQ)&UVRdeO)v{LWdetIy$>sLK`SS2zf#-G;{mD--hyHH|zsG}% z{zAv}Plz)}66TNO#XF)(c?`dGRsVC5xa9kPbcpWMIagT~$H`q7UfBD{@MHD3Y;>g$ z(C(i~c5xkCG_jm_oe}mC(+ZlUyTYyW2h=ATzTXYxB_#k5cm7BwW3lUx0RLQIo;*!q zda%P`6cBZnvt>IR!vy>T>d%2ad>C!<@fY^8NL-VK9?2SxF&FlU0|^M34F09>R2kwu_)kJcxXMx;Llq?{eG4B&#Ku@)_CpQ zLCFUXrg#e!Fy-n|7*K6LG9i^bYY7uo7$SBk^bN4$p7|>LZTV-p_T_4sjFibf4bEb{ z&j+ly7Y7*T?0~~BE5n>+HmcdVQmV)}Y5?iuX};SFGjDdHe^Tp=85}TBVfuG)gz(35 zNIbvplouC+bmX{10NFz|A|BkrnSI?T|8%6I)OC(1z&BA-^Cj=(Z_vW&va8-nbO(;_ zGv*A2D9_b_M{_iT8&U}wmkivEH@X(H^ml*#2BAB}4J@k?zq#ad*Do~G>8Zr!#qBg| zys_!vwWP7-9cO|gR#hp?Vp2gdDtZ3n@;$>n#|Au|5R4Y#_iS z&wu=xk;5IL&1A}qugTA)^?vB>lS3pSj;SPF6fh5*m%9$&)m_;Ot>RtxI4uw3!b@E)ok}U;VGAf>4>4m z19bL|0`*ce@Ma-kXd}GL{Rv*je`eo%__@cmr%WT1|3LNh-2>d0>7v+HH;bzEc&>?82}jl{i2_K~w*K95Q$$xgf;9%W1Q z2MMXTD2N0SPM{Sn9ckY0Yg+Of#H5)RmY$NArMzIj9@1Mf?VweSMy|z~G%(n%XB|8? zAixpz#9a_vLOQ$T6t@CWw)z~}QuOCQ?H<-~AoGM%UoJ~IV%*^XBhk7W9#DtmI_{C% zY;B>(aPFXp`C%E-c(CBo2m$bKG|w*6NaqSi2}^UuA`uJyuqffFoX<}Wa1Vy?RWO3B zvg{7-kGdFYx7$uH;xbk!&3^2Cf%6E}-ArR&CxLwo%N1XdhHnc)=J9xDkdaJFAd+U;O&RCAgpVM15b5hFwKn37*GT^!)}I+*%m!JvOz3ysLU-O^mS60K*ZDK7n<{f>?}8 zUH;?xg)&xwA;ka+KLZfRqCj2a%JfKM{@8S zw9m=jO0dyiB;n%eQH~Uq>bZcS744DmZEecZg6Te~R2ckGSAc>7V-6B3L<7~R<(!xt z-jJJ2y2m_FiG~kDB$-A+909jM($wV(mZQ!F!-kwH&6(VS8x07$kfX72Oh4Jh{4@z2wZgob)W{-?dN zP`}Rl2Mfizp_DDJ64JrC5{sHxs?e*r&#ZsW%S|3qHho1qn~GLH?XgPF$q|my7eA9> z77~X-fL#hsf6`mhu?JKJ2)OU1kJ+!s3B=hqVb!qejD`iO$g==4vWXX5Gs(p_h3v@U;`oV;z+&LsgwaO`Ks-lHc;SMJ#pCy5KV$>LNS)f8`gOZX6*!zuo+sl~ zm>eu&BK`RAtBSt?t<98#@?_He#~~jqV6uUM@Jhbfzf zl+QKnq*73QAemHixEV%N$MLP<`%Jp#hYg-$Rt0>}?jp7XV@_P$jBQnlbI#N0GiSv0 z)FgYH?`i3pjfFf#l#GlxU15t@*H}{1m!O;;!HKpy$Wci@H}&fj-VYaRJMCZK!-mKM zKM)2&RWFG7xi!C+n?ybSrP_2)Nu4l=VcAVs?Mq1uCzdE|h(Zdgx|iNHPHele3aB;x zn0gd4LYj?$9((vR@!Qx;)%~#I8)a3z0XPVjumftO16wjYGm^_o-dQ|Vce8OA!}&10 zZ-Yeb6N8(Jm;sBy-yppc+jx)BUH7!K7t`>St{C7-4&f#riAPy+a35O*o1rmsxF=p# z3yf6-2z;(n%K--oz_}>mQ40Un0nn@9?~+VUrj77buPG3-wYNWcsOPRU@*vs$g>GAs z;0HzH50qVD&D~%jpmd^c!otOgk8Ug$D@~7!5M9^JK^q&$7I8*A#P)ws;-6Tg>EM0c z`uzmI#ChntuD(L`Qoe3Ph&zD`!6J%@HTOI@R^Mf@{fNrpQ7fCRb+iM`C3) z)g&u@n=Jcw6Yf#>2-D~{{;gEU?BWWL-PVdw{`BbGN7d{sE%uX7VotXQIknwFipVDH zfE4|Mg)1B`PV$8OHz))RxJTV%`~EqBixb#I;Y!fPx=Y+(^hfyk&^$)M9%z zz0?ST^Mm4B6-uz^2P8r?s%s2Ibl{_ewtnV5QWv{SCFTWZLxW*4C@P@9_@J9AK339& zA8n_b2-&%sXQz7)^k~v8+tS)t`GFLDa%@gSvl<)7Q&0kDutOCvFl5qfxSJMWd8t{BBaQk14&Cb3k z2?gvlx0gIe(NqV8VHqiWhz}(Wz-O^<03W}D!M$;gCH4MekJ=odT31hqFsKCjPA!PsXpnuq#%1&v1X0w|3T#D2sx2WMY zuA~}41R!X@@@`(~7ghT7>)-gd)4YGg49OU!sH>`@X7l8EaGrP1+&rKM1XyOUf+!Rpdtjag+Ll<3Q%%wLAqhjf*&d$Q)Jb~HW0Ay;2rK8W66dS=tzXH$LX=a*o(TuA zpk!HAgy4<}`$cP#+_}!i29#^XJm1jfTX|69XCvWy0i4ATi;bNk5>@A(Vv|q2Ntali zhORLpU=wG_DjKoRbzdnYnxQ2U1mT|VLBwq%87rj-T4X1LOUNqI&t*i?I@~HfPx&s- zQa&~eAt|Jcc&M;nN~=5iRs=p8yd^@5k0cFNc{c*c70iirmWJW)uX}GK69+|IH0&6( zSl_$$2wZiIQrJJ6@{#Ry9J5mndNM+{Ew+v;tMM%^TN$198 zGjwf3y<@j&Ik{_wTL?DgR2W^hQ1RZ0-jr&nvYH$v18SG$BDg+x>y2$3VBI;ffqPW^ z8$WJs^_Vieql;G+H`=-_9$%rNo=1}5KLtW27^UWMD3i>owH)j>KCw~N3A;;wR07vR zC`_j06>Ixb71@{Hr{+*)sr^@hTyMXqH2f*bxWZ}VS%wE!vaTXEfV z$6F|G-PwFSli92)F1zg8Cjim@xFfO!X&a-&l>#JEI&{Y1xW#6 zO?btfSGGNet7oNU8H#eQE@3a&1M=MrdWL0?&6Go8&aRcmLjM=QXR z#s1;l2nt1jrT@xtD3HWoeGaa=EmSnx6?@k~A?=tZ4FT@qp^&^G`r#+Vf;OZR=KW)S z{baH+p}^~@+{8d7d<+4p@-TfqhSsdI$4-6)3u7x#pZox#4@HajX}NFl3o~!sd9;xd zf4QWdro#ciu;uGM&&9$Kf~qYO`?wEX6gq~bxUby(sz)n_V!YRC3r~z^x#mpW2E!Ly zJ{m7>s{Q^*hyM7?S!P$RMn8m~!!aA*aj)&8dI-ob3kkU8wTvE*SNL*XgWPAfdw{5N zBi>4z={qRUK&k7}liYZV(jp>Z-=?e}D8Up47MJXQ`gIlcGgg=zIGf@?p89 zlGe8gf@-*eFN}xc7bG~>fn25GyZP~N&cRw#pkdQDX8ARwQ#?G%?o}yP7MP*QpC*v1 zXoa;Ifruecp)(?_shG7*0rwU4g9we(J1>_N5ec`D^EgBSAVxZ~xQyygTY6bE5kKgQ zO>L6x=2OY5GSE6R;#=&VCWJFpq7i`7si$k_?%%BzIqBud4@ zUjCvnsD6S=c-oi0X`k$(21d@*+=56v?$L(3yo#nXB9;;5o?U^dVDqioas@1-b{NrJKJ~f4j0|NEcH2ABP;+DKG)+IAv3T{{$0sPjj0-6 zn!*nHQey|SJ-C7TO^>c>FxY2LN>^3#)F*BZPwv|cnO?xul6@T&*O2gX7@Vwz;w`;O zeke>mgbn%P=I+nFCciJC1Zi=G^_ql@aa+>_MZTZZVHcG4Z?5Nka^aIER?rXK1H!s>*^#P(vk%7z?pZZ@4P+hdZMN zg&spMz1FKcLfR_s=(#_-gRIOVD!x)q-t~m-%Q^@5@Rv8kN?$p=tY@9gDBTkM6u1Jt zKB^zQPa>a-26h}NB(B|j_j6iFUZaDU62C;OpG9tm0{RM$C zEePaT3Sc4JU2VJxiMmG$s(3o-^~$Fb%?cwBbap@${tS7+a`{eRV8!IoZ5zKpjiS1* zGcqSc{cvnuy>K-(1$%vw;3%1;rpCJJ2OEV;Gc~k!eJT6AbQZ8!qpYDQ6v|-d>r!BR zlx2;-FTM|{W0!$@0ap?>PdY-bKT^e5wvHx2GmpzeLxdM?0jotpohsj8f}Q|Djiq(e z2{Y^pdh5{Jb5N%ms>QK5dcYx27|7%vtUOcRh!=Hca#{Z=C0=ft?|U*Yoe3uB*Egsv zAZRBcTqu3^;=uIzHeNgF+i45gR9%m8KAJBz6pVY@UInm+Ip(4bJKH4w)u}h*C~`lY zc{u8TbZ`vRU1~Vo*Vh+xBy4)7713QTJ=g2@h;%Y2F1tB=C(UY17=p_A` zO^z&>TXF%?bEQT#KK(6DBjqwu^l*eikHZ12~;WNZTwO;9g*4Ei>PdY~)>Nv7^$( zB~;-UH1~=q&n04*@xrL`txr#xyQBEN2v`dXSOTj^Wd#1_b^e$cjk#dMNiCP}_Y|CB zN*4*#K)*o&V-az#uioDmX4inc%S#d?-6(pi!_3+me*tVz=DquKV@^k?{8J{HH`Fz9=%DuL> z6*Y^V?8dJM7%gAc7k`FWAB##MdJqm5j1480?CHL1PbK1&XZb!d{(5ozMc=`Ro2`n{ ztAutmokCkEBgT|3e#k+QmWsva;AUjU-ae%b)tu^edNmGs>U>;c&o_ zML8?Bww|2Qj%r-h_5bWpf>p|}r8RcexbrNOD!Hs=+fT~gw5Gt_i-IeY-!5<4u(YpG z*9&8;m$S=!_$TaZ?oa9!U&_p%jh3g^`_ zM+;y7=-uc(dlV>G*`Ma&vPW)v6>@cve@hp=k+%`5W2>SpV$%Zmj6IVEtoKN&>&!9G z^yhM)3N;|^2hLu70QI!$H4GF96ULlxr~ngmrVI$WK-8~Mt+P%X)XRcaoKG+>_XeEB zue6%1;eKRwcZR91pt=tt`Q?R70@#PFs9FWno@v|FuxdYNrTBDmoOiHWsi^uuc~H8u z^;02yYk0J0gWGzo%Ps(s&f@pwuhpG5kiM*aV=PREsvZ)c!-sEtS2|wV0a`2FUoNYV zY46YUcMJw(*!22G2Ez)m%%!e0iUwro*GJ^SFE853`8DUt0OGEFus&JoWCr3d(D#PM z5mw+zXPOa{qO-N0mYy^!hNFp^&a;hS&>bE&{%HP3fk2G?VCD3v2!xMP49ESd!(B`V z;DfL?qiJXS(6%D2B>y8!;*xWxZyw-DE}g`e5NK@<+T{R1?kUdNQ|IfJstPlV zN&@Y?BlLLD-{G~ah82)*&q1jvQ0N;r(8U00lOHgu)! z7=b5cEWVa+TQKf~y7udl>B)*|SPjom!wLPUgJPy?(s(osSWANsv49@L3F<(Jn+jow zZtdqzprSIlM7=3F12xVby^L|1_n-mSutt{W-FL$|3-(#72Ak&JAo1kT!Yw>B1GYlk z5sAck2ARI!@R0%#sT$nWtz_?hAU8-hlY$93w2`8SVPXQq;{{_6(v7eImFS(vpCdMZ zeRj6@cK@V^8h@NLR{3f|iWJ4}#JmBK2~d`3*>o+*aMqsY@M2RHuZ3G&a;e<~!kS)# z%_sPM4#2`=z;nvRbSU}L0p0YPLVg{)ZK6f(Z-=|_9yEYf)k+?o?20NicIy5y?{qQQ zOO675H;?eFY4kH)X%WnQrG*+JdCWs^da4GmJgfV*!;DXCZo3wIS7WlpswSJFLSY2W zk0I#31Bpsd2QuivbqlDO=7j!p?V2mHaNzN-FD`B%u{itoV+5e6huxnZpa1s2YA@P> zH3ni!;{6vI2Xc68nqm&f@igV(**W6;Gtw<6(b6`%QqRiWE;Ps zCl_t^=AYOk%)I-q_ooXnSUMapd7TB&mBbXK0sItS*uYiJjIAYG9_&z5I}P}-LkAng z&EH{UA$2;DcgoIl)}9eJnOUc%eaZ8b3iWnYCXpToL(gzc6ktn$bUr5XJhFSYy`!3# z;V_Z=8Q2_sOc3BN^ip}8+aWFoUz*1$TFYU zB=Lxo7}wpPJKz)QQ5OKzR@sc>vt#tQbMg89EvZc zY~5;X+`TM&W3dWlcqSyGMiPoxtEHh%Hm8J8!?E~4@0;X7X}7)I3oWyK>PTrSrMBX* zMc|qr5+~PKM>&6hS^ahYOd>%8sJ%K(Zsjb0N2WEY&t0!aU~k=+L&Z@XqJj%7x8?}8 z@wYXB>Z^0-8p!gyAnB#Dc>F9I(T1iIx&W&!v@qA*YYo3ygLF`hSIc~ZRwD` zM~zLj7u#n)9L+F;0;4Vq*cjO~gry$kdyS=Z118CagFFA@<8+lCq=BFm(C^g71dWEYK=Qw^BM9GJekpa8! zq|ZmKIINU?Ofvhte*5Rwdoa5 zVPRHm%w4XlSfDl!hh666(9IjA(%(*T&$Hq;75YJ>Q{C`b>dj}2#;>7nFi}9`5i(*& zpa$Z{w)JRx5}zsq($tZuo0xO}=@ksP)lGqtVUGI4cf#qx9l6;jz^2;dH2JSzUO4p~D0|-tphDohDN0 z-S-_3TU2(d4OoQ&qb4&7MKImt>aW&3X!(~=&elN50TJ>b6lFtV=b?G(i;)L&H5^iQ zlvHeLoW|{-fJ}G-X_O!o*Q3=tXt`u&Obd9D4dnNv4o(hHyXQt$O%*^&K?u*j)kVM< zb*$p(6ZGuCWT{8stDc7YP0;>lvst0L9M8U`XfFePfaC9l>tG7j;v9K!iWsqM{QcPT zgT0X>1-7l?&bit3%eM-3333Nj!yQ9Y%suMB!`Aqz012sZ0!hgJG_@Fi%m;Voq4@ga z!tgsUJ5Zm-z?Vl9tLOZ~a&$zFbT(zEa#>#Rt0D8eZ93^KrV;!{NgdXNbaA&5aFQNfRDiV0*U8sdzrWc^M=m)(qHR-}Pu-*Lt*h7n0Z*$U=CL!s)0S z(DAy{hKa8|gi9c{3pwHmW+}Xb(}?HSrF&zxPNQ;3Z?XVKQ|Ww(OFEj{cK+4akb#;O zHWG1VH#fiWsFKU9gs$GOIcHAlg>|Ay2Pd&WrTce6vTX98py?)uC9Qb}Th6uWueo2v zy+&5mxE-?&$f^+&1W+hUQ%cGaiGSuXnErsc(go&Q@}|PfqUu!Xr~8WXaHNx!5x?1Z z|A%(Ly|G4ojQ?IlEd?@@vYqbK5>NlrbOP`zr9UfRG)_wWW@{t{ZN{1>zwjlL1500W zFxSzw;QIISrWAWBd-9{A0XMQF!nIDpxbD;n;j#+Nw=Sfqe-9cF%xlRk_ulSQsIw(AZCeJC220= zXmW)v-Mgt{YlzDPnE8EIg+sCQ0jIjPr`&y}wQPFrDKZyJ0yqADLtZWeef-6~u}t0G zp8TMDS0CR%rWpATx&*WvKv#UQ)|%l4wV3#DZ1^Aa{40l&;7UjwNM79D!_ zSB;-*X}9&<^Xz2mYe{K87>Q6myy7N#oD}_sTjbOdX6&FxyLNQzzG{9(MVX9&tw=gtSBBAI$O^Rf^Uh`vO#72;{Nj^8?}o;$pl_8xswO zpA`UN)zR$EmI>4W=R6D6(ZTK~M$OLbL1en)Ed;)RjbJTT*oW`5&{OB+mF(g+loStYhwGm1l%K!HFA zucjo-8`-(nxky)kdmGqQfX={GEL(F>0r&k&7$TD_hRm7dIUAr=sfO4(a%L%doMmds zVPvbeM(ZAq%fyW^#`gHC9VtWW2Mp%dSmFcWfE2Qw-_X-e4%@-kSGx$q_jp;keMpn0 z6!VgyP*%qHsLNAz5@+q%Nqy-gsf#u$Lh08fp+H5i0UJkwVe!~-Y!%b#fv^;0=k@>q zg|~g@gSzb>D4BnufZZ8UNxv3U>T_xYmw>Iurk0)~wz|hX3>jyBtRci>!@;)mFPU=c zU&O})FLEgS{Fx2hzHChZ9YSk_g`bMYhO%NhWUhUO!{4`)#m>#aOn@t9p-7l>{@ogr z_Ub%NW8fU%#mGuW2uFc{F>G&2IM|uxl4VIFt9Il+3($%7 z0yi&j0K2{`t&WehOmJLGSsy0+#cIs@4ws51#izfVQorpyF*B_%ogj5lNJal}#Y{O{ z-!dE6_z9lC?xe8j?K$97(m7N8gle30MO7SFQg%XGxAT*zZwAm_b-@YWnz0ld>f3X} zVDYn7_B+j%@nh8TgN#lD%uMviuu6rn+OB1G%d75#ndHN80Ws{UroFOXzAkLRs*8=8 zVQszY&?BjHg@pt*3QCZ}#5~+tQEjs72(afYd_AHVd0pfQrq&m)lRU>=rC|oH{ja?} zovrRxnt16+tuG#sElEc8?*N4-h!r&5BGj2^7*`NK=ldcP$l-;vl701o?2X%6fjBPA zO!=Ilgi_MX;h!W3Wu zKi40j)PPn0siRWpG09{ZguA-F_LEHQ7t;zKps=uC#`t9bSa*OQ@<&u(mcNKwwEq$q zTF=H{MIZck7ac$40(IYm?*TQ;&&2iP^z1*W%XIY52h4PLo7{+5P|!WKM#Q7#W1)mh zOu(k$`2%&fH3e1^b)QISlPGUWI@5uP9{^;8YFA`ZWph2$yQrr?&D*9}0dka^c+r}> zsnv%&WpkW7?8swWJd0)g$h?oRG7aj3FylMK&LS~3Iycj{x? zLlhCWH%$kvYd6r5K*mu)iN~Y~>=YijyF%A=y0ZT%Gjrgadb<2>YHR(S!r@U*z)XIA zyF#2S23 zS7eyFcu1Q-$!jb^hO=R)!~o0+aqQ`uG;l^A+Uva;aeK8Zb=XM@_}!eOr-;Xmkq$1hjFANg5++h+>dR}+ z_i{~riMu+p+4|tI_SzxQ?mUX?)D*NToK?~@dN$sFQ&t$0)b6RuSKJnrL!Q;s+f3jy zP&Q~-=~WwF4T_me*Zwf#L>;#3VQ}WR__l&XxUDE|01a#mZQZ~ zk6&H(1`{{dp4;#TbGIhjr?XS5{hYT&`O`lSX@gRI<~rUjRvrzxRe$4b?JG^%v7>SB zy^koszN?TKc^s2X7N0+V3n^EA>R)NuZQWC4KPv7-)nA$Q<0d7ZK6knm9JuwRKoabD zQ{t>LDn3+<}UovdR-N^*}tfS+~^ixuquFIVQ>XDK}f}E*c0=d6h*tI zTxK;At}ZDNTn2+Zk>wbXxCzfOe7BFYXS%nJ_kBfJrU2JH*(=vQa{Kf?=O-aI_-Lhz z8ceByTjLN`>pyOY!0u}00c6iWAnXwkL)x!l3(i-2(;EcvE&21T zp_PDv;_E8|MP?w6_a67zRfpDai>NU1JYF6nt>iN2fI2Y-lEld8CaxupYY`1TE#c6j zE@A-h`CFy8&B>@e%OWzE5SW4o-m(?GV6TMY-{@zLkZLI^r!r|d8#H-CiO4f1I$IBHtO`&&60b|AYy4t|R zAIkuL$;cHCXYuGY0~3is6QQ80-HiEWw+i0XJoTKB&?GEls(874A+14~HZpAI@cPwT zd!ovjE@Uth6IkH}BM9$hE-gbnOU>5z2|kw2f>P(_eF+q(l@L~ZSXj$ak5MYABfqpe zXZm`b8Z55YwkzUebkU*MX`J<>LU9$`R7xYzqP$i`N}dN!>q0FBOuw8=5)>n`>DCSX zI&{2Q|CUB~7BWn3f+9FV0zmDjrqsXLC51iLuEBw$f^FniPjD~!6!2M1jf;v=af z?SakdIBYija-ttBTPZkIi;R+qAxR~3t+1KwE~@?wk_)pWh~y#v(&@rlBSO_h7xR7^ z7h5VoP>kQwV-kw|1zRm-Ku7D(LEk=b=6TY$&T0<4BAI@PmuGL@JdJDd8-%Lv2okCn z$-&=VbUC7N`lMqcu-9lJqF|kv*DXbB&jgnGXKrydmu2Gl!)ZH>xxbopq+)wpB^S#A zQ)^4Q=JdoC_9u-n#-OZ#S874)5Hny@GvMFfbrETCkfi_^Plgt1J^tlSi4)3jUN82- zvJ=94evm$<_hKNkdLspRcYLgvwf~skWMp!WrHZEi)m!O7u(h=)$xw{S5aiV>ZcL*Y zrjeg!K;$XY>PTgII^LQD+Zscg=AzuJ{TIGA+SU#Qxie_UpxbL$$9+*wWcrL?OeF z{#=lz-RF#Z(!6%>D_a?S6&1nre(~6jv73+ODv}<}j}|^+>yG)Xcc=g1G{9*Na0V!o zL0%P0M%KsGES1rEYF9A1{RRnl2(va~h2fbz^o-6SyLI^0kk*aud1o}xUdGh>8|6N{Ok{@gkEOF81+tiEvlagnP32Ft$1<4Kl?L)F7X0GBEE>Nu z$%CVfBlSun>qBrYn4jOEVi5u^XTZdlcqIgx2^aZd6y&F6UF}EMnRziNtP|JGyj&H1 zoFlMW?ug342?)-tejGf<3;nU*LMxWC9VOlvks~7HB1WuV#^)1$Q}#o|Fww`o#67((KjD6kR1JR~r6%VnM{+9$VDYOLhUm2{oQm$q z4d%HzT|~ihXra!RB+q!SVAB4tXpFjwNjqMLqIG*Lyi~3N6V~HExuiY-%7uXb<4v1c zGvD#!o0@|#}J9MW$JzP-CL7|Rq^VO-s&+=xQOU-7wsp>Zdd5 zK6MfqfK0EjbcF;w6I;h?yi!SS@RBVZ|BE#s(#=DCOE8EDjGQ0ycsHJmY8_{rsww2Md}TuX$P( zcTL3g6REGX$}1;DI;PIM-`RQGnGSGq-M`{O$sqEtxE?;5W88%TTp5hsKk}mMM->Tx zlIrC`J85uG!dV-Ii*6oui^7#Dq*P)cznmC~=XWAA&Q(My0W8Z112tPd!`8G(Dudvp z#dg0sF20R*UFzQSZYgN1%gZH(>s6I`w9zKzJ>r2Sk+LH}XZ$QEU+1#<3!MSq%BXi@ zn*fjL^Be3)R43xCh612ddFnsmQoYv`42WhTKaSi#!uc#@)%(3nX~g4AZdXDfUVz%7 z0$yG7$dF`}fq$n6S>!u)Ek~D6p6IG1&IOC@*Y{f0ce2Hwz5V?0H2#&OUL65cP+5Y3 zciuMsu)^GjW3~(e_UX9ymRTbpios7qS#?39Od*tvBFX@)<~D;jda$SA0ZwSWFMc^4|8q+|-b zg%I_6SyRW&>)PVy$-Qn#F(jKK>6|BlW%EY^E?XtTVDO9~$wb8a<9q9=Jb7$^u^s*o zHAU|}(;3q(h}f2!v!L}3Io{TLT<5fQ8nv4ke8izi0(##bLpd{V6ED1h@DVFdyX};t zQC;nI;Ity>Y@i0JeHk3|>vUD{a7_P;Mg3{_`U^+?_8aBQt<&)M$c~+Zun6%$AF|HtP27kQ7NhG2JW?*-RSDM6f`*^>8Ss}< zS9J=;Yee+!nSUf&1J}m%Nvbkyf9i*5#0asFlFzY?3+EAEYx+g}tyOFp`xBv6Z?T15 za8YfekQ04e>YJkhr}xc|no{LoNGL?kuGpdRZ#NO?1Zo^VilyJcRKvk}m#U|@oJ$%- z@QtQdZ(2AW56F3xq^94HaNMiOG@>!)TJ>zVgv4sR;7M}Mh+_imd$J>mFYx8oZ^d_K5U9vgL||*oPyFP ze*SRDQ1UX|S{QjC^0YQS$k2GY!(@CRNR6=RhEo#Ik1aQ=$B=h~0e@jt?A`HUH6?%b z+!wtUA8KfHORbpTws+#cYxV2PtKkqZKwQ!+pR!gBl&obZGjbh;jgGJT{svjTSsj+eTC(2hsc&s+ z)!#U1W{c=jlibdmJMom>+{H=sB|X*eOU_? zr4WuFaTEIus_1lC%Z}NpI<}fsGh9&Sk=JKQC%h;KnpKfL_>WvsCl_8iopgib{im^RIRV1hRQ;uhwB5y32|ixa2K{)v7M(B7X>S00JS0%py%5 zMB@iZQH0kzvC9>KzlZh|;k3D3Uvkx1BySeqgtL+hS?Uc(N~hB=4>NWL^>#C z2sAk5KEAI<3@tHHf9Zf-BCdO#{M1s21`wg)<6H;#ZJVaYfJcR1#j)$Gkam%NT^caa z{DTp?)oAguh1DasUQC<&EU$L4{^Tc2+j(nH>h9{HsOaT(*|cBvq_@C#d-tWM+IE$6 zar!{AXXr4~R2G{A7(M|EX;%zf0=nxxR}4}5ew8=b?wYv7W&yR((0{J+M+RG{L*K$8 z7}jAMmwOOowhM1Gmh|}>C}L5!;@D>vtX<;l^X-FOI)~P0_6O;rmtCP5vtuF-W77{T zXO)U)tY6`**Gt_z4&Wi=-A7b z(Pctge<02{5?@ytzGHh#rTp%0n8xP;Ukxr<-KCBP?B(AK&wJz?2?k>zZi*(8eb4&7 zInre?sZtjc-{Z(ov#z?Wo`7o!N}cE79jc9s$4d^!Om z8_TL#{ZS3w%=VVI2*=hc2j{~SL=w{@qa9b|M>17>9F|p~p9S9o=-6M4RH8)pbO=^Czls#NOxId_6IAK0Nlk_@tz-3PEs@?VH z7Ypf>PrWI^>=)!Ty%{-$k%+rTx)(C(Mr zA&0<;c0hymtZI)aV)e7Jr1t(9!=0;UGxu{5w70`)FT*OAK%&MyGlB0yV=>sZEA3HT zLVM8tQg7J1$tjC=`}P%S4<7EY4?|1g0~QBw#_Xk+x{^f`@X7CJtYxG1yVCfjTf*x<-d`}?g{!4A_iW>p#BO;_YYFtv z^nU6LQ=5E~x7=)^9`3Y^k`&h^e;Hla!#3DhY|mTUlzj-dKJp&jEnF&5D~*PX2uf(< z{u+`1C0Ghmf$&orjz{uexCCYI4}TtYG1C34IrojS?*p>naE-x@_r^LmtAS=?=9^u- zx}VYN?-wqLI#hoWu4qFwyx70F@hW24E&gpU^wxHGK^dF={KbTZA1hO5X4(Gp=oHtx zMd@q=bYXPSNR{blR-GH?_qSzympBMFQrs1H^vXO%QLN1g$N)z^jgyKwT_3MJ5)mq$ zd!Lm~eiWRGSG_BMEa(;zaFocB|HMZfP{A83#8u@ygJzDp(8Ceex*ehy=H}P6iFL)t z*T@ebBP!;mgPXe-vs}~4+b<9T!}HIfYUD(r_v?rnZU^SDAgNBKGDxM!(HXIr^28#+5DPDo-!J62$QQ*kPMniU%MspTR2 zLj^*Y;s9cZuy)shYj#P$iGO=Ut@_+KQT=2|1*vFBpvvbbA*|Gk!22B0c+lzt$nfK{ z5XTy9+oyutb$p+am6^t+ZSa|_l&^~cuc3>bR#lj8Qw+&GJhGb-95&++#oy|FK@juf zd^X1MB@&N$-R(69`@Z#fCu(T2$||fBy03xPHmy^Dnmy=jg_bDY3=M{#zGm{(Y%HN( zBypKz$kTR|q{d@5l*&=w=36|f6ZYwuD?hC&|yb;v?5GQ;yb*uEy;m2=K0?Ea){k`GhdMeu^uoN_ z^KAv;am|LWxax<=K4LRZ?BEXW%(FU`?KUAiBKCbwU~>bDP!^D5T%h-x7s72yN%wv7 zSO#K#p?T$+^Jy-=Mg<-yq*e%gQqw zyqmrq!@BTmiXkslc%U1PCOqy4b@^S2+NvBTq}{D$5kBSD{mx%@7@Mt*j&JC|6UGez zZ|3C^LnLRDM4E>pvMqS1V);zP+W`^&d^3%~bmJHuH%8V-yI>_7C^c`-ko0L7f|kNh zLWdOKl+A|Z$U3arNeA6su77FE~2f1`qg3W$Jo4$`QUq%^|N-6EYshk$?} zNY~IUFm%_@rAUW#3?eQIy<{zZv^-Hc0CaZ~ja;jMW@W`Eu zmgIXKw;Xl<=xAZuw_ko+h=eiwP~J|Nd*@NV`?L60_ZpmdU#gjfgwwB5qWVtPK0f-i z0${3FaWlUhL@Xr4c_(*FJIomcWcnPW}?bw^Lfv_eq zM_qcx+18WU2MLy=%E;jkhfl2$F!#KZy}a?y-%V-P0Eh@6lqV=X!Zo_h@|DFpXUlqq zGDkxi)|s*h^Ug4Ni>tsd0rT0;FC#5O%CIL5sSnN!MVP<318{3;=wT)&$B+7L2X9oc zZd5+4QWE#z+1; zR5qx_duc|xISnCQir1h>9H=i@K|za1CkXpP84#RFJQhl(Es_q`dcXce#?1<#*%jnSCGCnMPH`F1CR5*GeBTM8&;ubkm-RYx9E-lAJ?hti%Xk3C zt*FU0Q4Q`A&>C7m>r>}nEio}i^<(JV4ED~CMDNe6<1==|I8nVh?{VKRp+-*{dmd~5 zm@I1laPa|~sWzJZdHM?AjOVKRLj`{GV(dZ}`x{048^yPPVwO9_Cqi^cY{r;PS$v;^ z$jZo;J`4F{LNT51PuyhdaM`@)l*gn!V$r+bmA=&sJ{w& zuCNjgo~7(;U20#H9ihCj=a>8A?Xeng27a;vC`?oq!$ z?;Cw!g)EFl=`P2mII>eHE6apm(KBm}f6M!|`J3cw9T_ z^J<)P)-Z6YfB%x2YZq+M$$n9I?u&jGQ-LxsdeY@$J@-ppcB&*d zzCXI@?eV%E_z#IXcx!B!fJVD?DaaoxT6cs8T)8dfk0L#a{i_#p>T+(>oWRy=@-LAz zkrI6w(U6jeqs;56v!}Z|M;)Fo^b&f*P1ZDyQL$0+pf55N-o4BNUXKup@M-@ryl9#b z@drigH?tOY_wN=mdX2VJ>I4U!$U1v%H))Frak^LnDl!It9FQBy?*?OhkS|7OPP4z9 zT5VoxjSM2~?OYIrvQgs&PE)|VW{({yRUzYfaKuN+iiYAssS96YkB#TxatmED=QWuE z{dXeE9+4ub9^_6#!Za_)TC7M6b*IDJ)^n!3cRGbu#wjo8k0Rt zwG4hkanBfI!#$&i3aog9gqqT{HQvYBTFCRlV4y#X(rzbnaB>;PPcJHMBrx=i&DHEm zI`Z7wT5&O#6wCz&(#v!`5{HLyQ-o@#9CR+?_=0&@7(k(9jxMVUolP{M1 z>T_Sf{C-h`v5-LI1TjxJ)#LGC^9`bb4`iPIe+r&_G4I4#T1q(6=CR(=yHL;aj_jw{ zak!)6VycVNE+g*mpRmEaKi>d^C|aMd&$n|(H0XX*olT6rw~seOZD7g=zC^Ad;Ik0` zZ14CF-JxmSo@lQfJStX}7Q=7hc$+C-p-)=<85nSjt^(;LAZYz`#eMltcZX>14v(C$ z00zdtfbB1c#^7V9dv($a4}}9sX#Kp7nC3q#i(PlL!+yJS>Qr~p$xRaz{6Ptf3wrS2 zm)B*|Dc@ZvRVAYa&*HYVP5rI!;3}-#Do7I zImt6YCczmbO1zafE-RD_>*Wt!z_@1b;#8BZJT?o+u8GtP_VBa2kpcgsG*UODC*~+; z@5m&%;1c@+-%rT6H=KY+?`u@250;`LwSI2uJxLyln3aAR`EO=(SA|N=IN%7^I*ek% zZ0Gbq!ftFdLfn6}96i!vpY1siYqNq2g>Y4j1MaX;AuaKKbzE8W-SbhuV1Hjesn4`( zD}&65b?r};R9!~0_qf>(sLV%)na!V9(>7OC!&-OP-{C)#NM_?vT~kG)jkt?nBJA&# z=JbeY!CfVuv&wGjw8e5{&v6(wyDO$VWGSb=h*lx?F%A!jQM+xB^ zkm=e}4oZev0bL8fn(2K0VNL5ja6R}`gFSr)dnD(MaFi)9UEZ-fQj3bBv0b>OBLjiR zao5}NmViEO_9cILXFHfZ12uRRLt|r2mD9*)Ltb}y=+=&=7L&hHNiFF&Qx4Asq?UT; z2f{YpRXwV^{H5TmV;|0wK|Gk|&H}ExYZPy-0nfepUS+Tj+jJVnX3mtW9rjp9F7yal%m577NCtl~M->kw z!?EZ)RK^SS6ubP^6D*z>+-yg5q2nQ}&#O5nb2=A3(=lye!mC1g9@Pbph7a!}k;6-= zETMLSjK|fWpOt%FV|jDNHPQ{_!KxvSB)MxbzG9yku1f9a>(4(lU9QiG*GSjTBF6}C z#y;Ywm4;tUjI3N%7B%vbCKYbE0k6ngMx!z4+|G3G_`~}&@Y>p?Va|2QFtNU~cgN&#FD2#${ zms*^U&b(Q+lH21pTy@HWN9+t#KWs)y+1(`=+vPvimx(_ZsC>*|V_CYB4P59I;wlE{ z!!0_o;^JGzV)g}M2L}&$_cMVDv$qRypd~KFFLcBP5u8i@GBWdxL=9ywfVjT^Eem8uf}rSco4Dx^~9i7|c}nEAqk?Dr7i=hafX=|14H zRWm`3`gCX7{%dyj(&wJ{X_hwyqZKa^!|591E5!xeN#^nuAZF!ZPUwH3dDm4 zu5Y?L)ieVp9ya6dq?5Y7B3*yUoqNNHnpWy9I7*D{PP-*(QvWxLmpiRHmIj;wbF~np zE8(P!i~^$?Cnta_T#x!q7poFZ7F?=dB#8%{y)IZw%R(B{0bi z6&0L)2SqN3&=+`J-H4USP09G|yIQ@Xa7w5!eThlPV6pOQLZ9MiE6&(j+hD3m){mKS z8)n{88+5DaM=?_FD>Y${$; z+x^V)OOs&&El?6E9sRh!ln}W0G*6m_)(l)^GK5uM-iCffH&2P+gZ$dMy3F{45^8&0 zzuz09&Mxe77JhgrFeySI7g9Jl@2%A_pU?} z!r30p<9@W3chhnBKeQHNV6>Zb;!F2Ngdi5jHjd@i>6@t=@!wwv5f-S_ovo;Kupgd< zqJ2X~G}``_nFuj;H>9y}P@e|29CD|K+NAd@#n?JT(LeXQ9;1m)|5;hn_$R>Lck zVpR^QNvrOqgAf@k3nl?!;9Iq^PeO4#HD<>~m`haYdpY9iFk@!EW;1k&Uih!*9eAt> z9$?o+3elYfhHnX{cUUA+!jgdvgPXO;ZG$w<6+-i#z&$&TkUuDHBx=urXkH+4atsKp zWS`2goa6t%3Cs9qL_`Nxpo$)o$0R1Em?F_(7UDdSSK#uVP`QZfEZZX=Z!u(`wcdM0 zo5nG6hYTMZKd$cjN5o3#CS~AzR)|Sc?>^e_8+Pzv*Qvg2q2QU*Z?~hR0)F;pr^MlB zZ50mL^(QiW$O)Oe=C?Z!0xjK}SKM94TR2@IDgF;*_)%aX$u(ZLwa#|perS;x`b63!iNSkxQa93x&QA@+b z4nY?aH&(7lq(+*Apa!3W*73&vwdS~(!mCi?C{`VJXWt#vRTb969_1Kg?xK%bz0D3# zjMo^$f{=Lo%}Q7^H+CSfPqC^DSYzhMzXHSbV8F{E6W;CBe^81n8obaOgS<6q*FX}H_5 zQHRaIXlT?12EX@pONnH+zvhcK7fyV=%!E3oQ9vt`usxbD{W4kjJPylatri7)Ig+a# zb4YTaxBO*x!o)1^Jbm2MREZR5P!;VMt0AvuR8U~;yjie1bo`TBYchqCTeS5I+{Q!X zguXUARv?}H>`K`8<)@a2zcwJ^HZ;9NS{UXB7Mc#E{(z`bKv^vjMn zS7R2sESK9}^yqmhcpRIYy>9+tKi$cd)fdu!D?`($(lRTAyE`|ci_-1Rw&8*S?{||g zxm%-Z;|7q^trlmzYrkJx!6FyFE_u=ochQTh)`eKD==m7%v%F8>TG z|L`SWRdJgE6{EBQZq}%}6fw^gyYr8WfI$41zujSJXn^BnFsHJ!qXIGDj8>yNJKJ*(^Qg~Yf40UAc&m(ctO0fJ z5H_xOk>Vx#qG%1F8{(>(Xy?jTWQ1-sjCI@!Iu7-#&?1r-q9#&z+{q(CaBe0(v1P*G z#u>h*v34JDlv&CACH#hsjOSx|Z_4RFAi^@$ks7kncK@pcLpisg8E^LD(0eM{c3p+MBBLmuIh=3ulTkBMS!QZdy5$JKRn{h^;JD3Gh5}M z@rJ|Cnw*!@DqwWbuEks2U9Pv!rgL>``Q5ruCc)W+Oyyg={Wy#OkpdgpUAwf60eMFt z$}Ly7{;F{q#hPw7gh2B+Wi=q?FA|S}(;6sc{emlT;@jA1x4L%rbW5mP4yts-4aBI-NOt}kF= zjeWr{_!!@+24wBsCj+(w&4SLNHBNz?kc>NIwRV`TyD3oVfk1x1CT5qzGSQ-EDi6?* zP>3Gu`WRG)pRFJi2dFe?*|K@C0BO`b2vVR|_`B1_^1J7(Vxk68H^4yU*}Yi63qUvH zU){|5-8|WXncc|_v9kQ=JJSaWS_&DNKY-UuL{OP|cV;XbA63iORVTZxQ>#C*`0iWe zK7a;4K(J+F3TpuExfcV3)zV6MP3P%OH#HvN7GhQ{wy6u6-9@-T;+6frFbAMS-szDB zMMq7VU%bvw_3qt+hE>Z{dlT*SYnC(?o=vCz*aD@mz7-0~@RsZ9$i(^qHVv<8YwA4ns- zH(ykd;M+$jloe#Y?>48#PLjWT0iv`GEB!T0K`%n9bxDC0tv!PQ>tEkohE4=k`2L{8 zoGyn|D?}`j*A)`f#Ci)V)Kip)A|jcdpiSO1%%o~xol z5L{U4N;SqJ57G^k>Dj&&E!gMA`SZlkQR`ye6-H+SK|R8!Uw^lqxXf*#)3Hpa?BI7) zXSQYPJJkB8mAqP$Bo@3aBV9z)kxojl+6G zEv10ERF97zopQ1($o00tT9p*bv*8(r?iNV~uM4@^y)c2Qd~;FY5!4qtDl#CMc^8RC zaQ|zvO}Fn;zMdj^y#TspY1gf8>#ILDXNT zqfXs~0;SOD0!OA{b~-UI((~>B??hId6sxS)@SnU)a;PX0^ST2PsELfFzVS|3-VxDU zsJ;{Y$v~#Yxa~o@iTbk=z!e>~VnEgpkiGMl&8oV=@XH_!F`R);KQ)e7ShmGO*josW z@yh_LLyrq!1c7jkue}e$W4&J~V$o|ej3X^ylDld<*leb0CqJNNf8L2&SL)Wi&ti8I^ z-wV*OsS2N2;7U`kkqa}vk>lBobwCIDDxr6*6YVm$5@kRf&$w{e7zm0y4F7@~o@77E zw9Jo$D+1v7Yx3v-xEf#MIw7E~*erNA4SVxA4LF4@gij;c26m<~BR@r#P!nS-Z1FrL z|9)2oj7QK4v;T{hRiu99-Q#f}V)eFrg}h|CXiQ(N?iIvFi~Dh+L)ueOaXcxvPF##z zH1b14bIi`9eSG;Ve7C1nQLEZ)w8iY(&{+DM8vL@1&hqom=$?82+FhHOFNqkue2c`9 z%h#1Y_A`ofWq$ss_~EnyQ0eYA@+x(RyU#1gk3K)LRL6(S|JF>4`eOZy537}aLmRS`OzM@tA2 zV-nPen-k>|qf}OliUtk>)w!`I4$EC$^~VVg;k-7oGzwZ{S@9^t_A?B5#y}%A3a5`T z6X6Uul1oqZZY0Oj5+KwfXjHJjl64wzrY9U$LvnucrgLxD`1y+#bWI9x*+ryQxQ1;iE}LiY9WAGta%M4~++jNPt0uM>g$l8@ZU2^lN%bj1-I z?jpt413{1ZpWDBrIf&y7;hvOvBm@}GU>EC1=HVjPxOJx#HVo;p$r;K|MHPB(PnGW| zGeBn#9=#z%M>Wxf7Ola#QW=jrgqnNIOJnuTzXr|?&XmL64!@-7ewgujHbz+l@>St^ zZdqqUb67eytVjwlal3W;cI_&1v#Myiaj(i$U^Mzv!}o|TG-3;CoT3@^yJ+F0Va zJyWo1=I3(@urCIxF%a1(U?jmkl?U0$Rxm*kdS!>^Z0ei>&WvTqXiif8@(GCKrPHE# zSt4)8p>yFCZ}-6Vse>B)15X%)yq82`2joU5SA}@gzyR|Nz@*bxFbH3g90+(^oLy%m z)dUN_ik9R2KR6eg{oK=eklAb{6BMcBTHaeRXvGZ=HvX+xW;#Tb^JFBi@*_yDWtBW0 zKn{ro;)=7GFnMU{p=wb}B;hwWk#`Z_XJR4A!2A^)-$Z*zlV1=fTOi$WxS@IX#{P7| z#ab$KN3NejZU^MLtp$lguJRr-P^_n*4|&`1Sa4XS{5OPhP7g*j`|l#&=S7QZPmx*8 zU{yS?jOcEruI-nZxe%3356jUh5xg9I#`e0KniGFG(nE#Olr#}7^3R-(K1uV4qmP7h zY|Dk#T~Wl-h$MmJX{o)*iqD#26~Xd~KS6~X+ZekzC1HtpwEX&xFQ1)2`A=A=KjUs^ zMt5W5ft%A>!xzps`_-p&!%xQZD}Cu?n|1OX^tIXx7&Zx$k<%AamKN}>;D2adfKc5} zn?6>z6VG=o;R~QU23i)LVS#K}^f4&9i@C-ijBLTQ=H!Zs&`@F~)-xWDmelB0bDV|l zuO``F6DGKIr9vU8eeFnK%}!aDTO?PebC0|CMUF`-flrDoHo=*S7BC(5^xUw)&w2bM z?u>EH%P}fS3qV!f&|CnQDj_QE9@b-1ZFnnl0*5xpBFXN}29mmPb>v_=iQ^xC+^prt&j34+N&73xX`K*7yR*~RS2!)T zJ1KEydgBwDn~;KWBs)&tSkg|l0_IMQmIaDztQ+-7JVWCm0WqW7f0+DTT8W1A;rR=K zHO#D3n9!1CDGLXg0cxel_?TXkvRKsUZn`%K8rCTHGpI*yjCvJPP9OBAu)Q?P-B+ZP{;! zUo8K&4E(AaBf^Y*FB)hpN~E(P;dEYcR81iuHVlZF+{`~&?0XF1S5-V!)mVlbCRaWh zNI`Jt$rS|lm``G~?qP`tfX-q5zQT7B>Xl55usqOsYY%TA1!>;YSdHHHx>&b zjCXv6w1WPtswCcWCZ?u6J^jWu^bXZ#Kd8Pl3!>Hs_b2YJy7(%J6~s=;cC47=;VBM< zMInBiZ$-KEOQ;58ZE4atg(Nx<>-ruBs+#`TFhK(v6H9>h57;)OQb~p8bNx6*I?bx_ z=ciQ2E?4nsiC9yM&`Aj2dg{ujjm?g|v*@)a!!2Tg|Mc?OcXiqYG+yJk!F`OY=14rQ zX(;RKpKY?7=Rm|mgx*|e9jHVzwrdP-f*Ck|VSB>ppoChQ_X%klCWu_y$a+*&DxHHT#Q04!(Bs|O*mQ+{-lsCx43h2Zi;n>MU6X> z>u#n)Rv&{43J$%V z9Vz#ReD82IeVP=+1*L}g$<}-#?ysZUtyS(m`y7%go}V_aHJ}nc7cilRC}}T?ZnR!T zjEY>Ek|k`vd?q3mq&+7Z-Suw4fWEmtwOmQ+iiK2@rtQMwfR=GZV9AtUu3=c(np&73 zIuq2%f8{CpHAh|WZ4a=%Rs6@hgyIh(Kh3Kb7^_Q_AVL9jg8l~9 zF`-x}rFm%{{-ABqGX7Fc#zf2dcZny}xWxt!p6;-KBvg9bI{I9aU171@xLpllMIr-3Stcpwo-L-Rj&L6I=ErIq2MJRjC zwh6Dt<)Av+R^{G)f}PPQZeGQD>g+Tyn%0SI?^@yAK46gBFKZ-d3Xqy zx|H1>l05{SP7GvM9vtYJ6^zW_tBhLcMwZ%nN;Ze?PUInai$fq7T7pKVmt1_n@+#}K zar%1eLh~1-3*%4hCP+fINEVyD8L2x&fydHx;`gq#=K|rLuihw3cO;FHidO2U4>!PD zrW$guRfSHYszT)9hjMlLb@+>T)vq)OeKXRS&hnOMx9u`HPUxkbC*RglA~y@$oM?aa zdDK7}jBY`A5L(d7(G7v6F{$V)aI)lr7^^|h`@l1LI8!H+b&{>F>I^F~M z`>Jc(gP)>1N%Y|^#~*g!^snxktOPn6tShc&@<$=0x-{_o^ZOQ3K<<|Q$DBk6aKRjj zF?@SUSw}^Ih1nOk2=tzOfBF3Cb1mP4((!t@7+da+qh(Ln-DjJovY(+fTTg+v(E>Ea zt$zx6b<>|&aTJFS2h|iY?Zm$>X>+i8muP8Uh@bHsckxMQkn#TIwZjK1W-j}gxW%6@ zmftU5J>uC@lO{UW0D|%E*VoKw(BlZt{}d_4{_zLpn~m)mfBNaa5NF*Tf18tKlIU!#fZ$)No!7RPn@8;EKE7?RAEpNDz!#Fc5waz zhc478qNH$gCn*f(motX}*O_>(v0}?JA@@1Hy_gJN$lL*EtechsJA}MasVee`EvgRd zR(xTb&H&elLAE`!0vgUV*{5R%sm@QO94zkE@&o!Br7}D2#g~6j;^*lM2M$Wzslic3 zB>A#>EdoKHaQj(}=eZ~=MwIar5~(Y1k3{=Ih{hyBYPKRJW6;;m>7Gz9mA*H_CyneCspf z1u6iK5&@6Eug4&e^n;7#A|1W4J17(j8ffmh7&Zg%xI8Mj8OHs0SObnwy^v#1sqkg>-Hq2B)^qFl zW}U&P9LHxVukHqWc#{YWpU$1Oh+7H0V@Uvn6-RCjaFd!~fMby4Kx!~?7eG#G7-y#H z9WlY_Trhpxyc(cA(n%w6FAMoJtjZwDK~fHUKm5pCcr`aZMZA4G%?ca=P&4)hqOnxw zYEAAsaTq^2Bm=9{=r}xq=XhnO;N->(BlrunJhkD%M6ptyNk|O!1LaORX*wVznImcv znQk}sdPKIC2#zcxWcaNffz8gfE&btbU=71emDZ^19)VY;ZQmvy@g1pp^4Fs}!U75!jjL{gLOc(}vM5<@qRKTLW9KP-HVbu#*t z)H2H=$Leq)M3rgj*9qw@-0)(2+$dDzLfj|C$5)DPWnemQ+R*Co%l{Nj+!n#i%GyBx zc9o0VvXbQHJZidmQ0kWRLB#-2w?Evj`$rucXPnRC2Co4)Xr=TV;6#59p(?R{} z+bmcM(b2caIU@x{BM@pO@P5e=*^MV(Le$4>n3t*!f%Rm}9Z%Q@he2DW>1YK%V^W<= z*xXieyhBz|y|Fk2TH6I-W4&puwlcf@Boqho&$8pvv#hKMDBOdVySZsJM!lwAd|c?R zzUas%Lx<_?JFKG)@L}Nr?g&Ai#>aYe6XF7&hYiyiu6dH+qQxN7M+hA*v-2u%1K}tt zAk=n|XEWIi6{NKFKhhR#tj0*dVn$+~ZE19PNI&p96ve07MKe~d^9v;VGDo=x zY2a}-Ej@V<)yWW?@yX5F;~O)x1)f3C8J+?qmLdFF`SONZ$e4%OE9Kv{%O zC+zO)HT~R{=``8}*FV&9VXVNkY`a1+AaOlA+qLby6XlDbh!8}h5kbH&_awW|H(5Q_ zGT~*S=Nc|4G9kG_M;RIO9Gc<=qL_Z}@?Cz!=0mrgL?IZ6QSR$DEtb3!Bkf#&X9^aF} zN1BqQkEUL+ag1P!QW$f_#m=K+or``vMn|aaxqO!#vFxzU6CKJjp5ZByLi$1o-Hbu{ z^40gvhgB5lNv_xwM0yC$rTLD5nLb~ z$~`?b8i}e(B>XI|30c9RM_&~Kl4PVU^nTfu!WeKAJ*&g!PqyFMbdMMqe-|LN0248R zaq;lqG;>@Y7c@;DlPZPq9vD23^v1OWNgYrCrda~9&0yAiCZ=01a^spY4o=b>Ym5Tz zEZUIJ*pxhK3oMlM+5w@sn#@XbN%PlrMHUb(jwt&2!-_vBh;Z-TBB2w74T_WEcs%&}ywp{qw$St|CrVAoj)&PAAAzPSp0T&{48OH!l(7Ob zi}TRoDb<#9J%vw>_9lTznz z^|^*$IY9wSY-EW*H@hriDl)S^`My86H?LL-bZRod*>V{))hV-&_(Z5OK!;3Hmex*I z#s{L5`F%D;_A`+Oa)PURp&v_D_V2EnB1W-dx<&PzQGdL-BOhZXe4GK}#r+nGTth4sajL3z zcJjP{VZd*nYTM!~6$VU~?peU0v8BVslQTtna< zT{fd9tkEsMnob->L0h{I#SSU1RH`wU)hWR!503r*zcqMK0M$Sm(8Q4~lXcdAs9wc- zB+<>%a=*;@!baKM6DI>{j3bs|V&dVUM-!9iUwOL{ZzOXAS{_^=y8HRJ)05(5&A6=@x9tXL#HEPkw+c6v=inNd53$cg@p7peJ42x0&Gy~z%uBK0h73{ zOg}1&>a+$V&1)MAXOv1A63fE~$lm3Ub&F@@dg(PM)seXjNK<|RF5*nnKC{mDdyGn{pVJQmDYB$DS5)2xsvxi%(8_wz$V5C0A^vhF(2`nn6C)nn>4TeDDSoixik)kh4)^9|q08vmzuB^+s<+4433MwRr{9nOWaP|TB z%giq|Xpf&s(>>xdqRP+>=Qn1ELX0TtLXzAWCN!9Q>BU5;neZ6_CJ-_{Ss6bzjS81P z^yC&POAkOwe)Q<8E`vhjf~af^K^j0^*-j_yO1zUQNa}14`!HLMRv;+}nB-C4@cYz> zWwImGcNAYd5fsC@5$fyjz_X2^L|c>d-@|>VH^ZA*AS4kQ8Xmtp(6{N_tT1PXJQ{26 zX}?;^)mQ)-pHOONW3S-k!ZHmi*gxd^ObimpCgyuFfQlw9t@Pjq%Ky&s zLnuck@c+YbXI=dZ@h-V?v@Sb+fIFWWObz%{frneYWT6jBQ^&21s2mrg$ zEp7%5^qquXq(R&w3>IS@SYTkmU_AhlxqGa`8wwjE9BZb5C$5zw_v}r(=pNSAwn=Ny zhPR0re~Op|&YX~H1sRIf?Y1Y>uP7drEi@nc+));d5gqlXGp3@}JpU|BJOqK~Z)EA} zCD7Q2`H`+vnvVT~HBh>Ksi}zlO%lHPCr3T$uOTl;$-F4GT@Lht4 zJUprH!}!~7;uBU&%rB+K8crXSY;wV8Eju_KB}8fimspCu|4J8;xk+8D1GgFKLm)LI z<)Pn9L4=tDA7Uz&qUzAl0AsP4(J7Q)pBF>mmW)d}Z!ZI5(H_j89JS|?$ifcCz$!kzmV}Ibz;qKPbkV)7LO*c<^VN-cAVTh0kzgW<e74N9-Bw;^|2zjh1sKXkxbN`Flz<*oLOuoO6L3>7pn(9)*j`_$BgLk^ZjN^ z!||TtDBUSjx=_MZI^T-sAa&75%w1txxHOMo_hE^t&@hb^GddS zU1hNf+)e56Ar^O@pn6$qnwWsWKcGc=yKmVZEPI6&2B``_%GU+i2GHgIws6s+t{) ziFd$@U&{RnmH-#{#`GTGwR4oRp`ZJ$5Lhro( zxHh6<%UG@?Q?o$>HZ?3vvTM9R&9A5-=nYn|{wV0IVLbM|EZXAP_liq!*X$BOX! z=#PE`*vJHAs!t#7ME3G)OpLiV%x$c)o{_q+@{ou67n?)cnS&UZfw0CD0y59z0Eu$m zmK{j+yNo#On!PVw=@e|L(%aeb0rJCeFRVr|QRqA99l(SV5|iu=!O{&%Ce zXuQx>8f)`|KPZ;|4xJ;{xkQ8WT00hx|DcSR39T+&cT~E6v*jHnoON_{Wj5{D^Zr#O zDznq(bHWWrO`%w&F zt81gzp7rK5tEs|ou6LtTCC#Hc+{6ahyj`3?xUtj`#WYEEJpV#}2#0C?g_3nv#aA-N zNMtB-)Vg4GcdI+h>RzaKdFJjEj9gDhL^Y%#T|&iSNU?fK-2XS2RjgnmqX-udX5Xnu z731%)f00W(L1VKvq&f;yW$k6KYr&NG;;hF#-G&K{>LeHTRVL_i=^VCC)ioX0-TS02 z+n=V3VjId(u14*T+@q|8bvNY8c3pf6UluuBZ%cnzVa)^|( zB>(3*RtoFPg);;!0iLPwfAA}A=U%}Ed>jL;8qNd!&_|Yt!Cyy*uKPEn+Z5+ZUK!&2r*7$cl`tvTw5k{rZFQ!14!QNwOT-Ee@SD(j7*? z9WkWn&djZ7=6{J!P;2)l|8hAH=q_n&mo7sX)R6UP%RdFo0kWN?#?gV-unfbV#UjlC z@623q70F0|(<)1YejBO#j5$r4FH^CS+38|3fz`TPI+X2G2@p*uRta%Qv4UoLPkwbk zfQD8KbqQzcC;i4&P@kPF}_Oia;^w2s;RZI%*jxW3-YH@L=8-ZV`x*nC-#yPmqpaDma2F!^e< zSm1p;9-**6r(p_g2~<2Yl9(4^oWzUMUlMGkSp$wAvS^tFk8}pvQybswb7Aa}XLpX- zqIKAjf-vfTtb>1@v89K7QT*u!rr>qvh(7Iv2m9~OAmmB0^cS!p12(Ushqb}F&l$zq zG*o!$Cn%=B%?PS?p1l`PpMJy~uz$eA;%u|$ne_W$L^*eRi{_fFKLJ=D+UoDovcj=i znK15oO*6TI&F8&LjE!`^*Q+*%u%`DAMna*BqFv|-+bS_BuF&Rb-9f*G zIF`ggAwse|hVYSayf~;#>c^vBLf^E5P4f98%)~6fmuRz1-Q$NBbiVNqdK7;t2rQ@T zS~jEQ1NZ4ePno!lHQ3Spql;z^r*cCKlU~gyY7k9yB7HEaJQEATWKYiPuToK zGRvg@|2TWgfGE25e;gI1Bn4EuR#;$BP!y2vU6fdsE)fvv77>t;7KvpEr6iUvkp@vg zNd;+Xl=%*>gy*U0%XHIs30_`|>lT%yCFO+w29 z@oulhsco~+)1n*Nc72e51ba+rv{*#s?3he_VYWy}SsH9^A3OKUGpA$E_S-OgDLBF-%re8DbD$a_u zL1Q;eum;`^tQ=5_iHGR)AIjh>JUtpEm0rZ#);FfbH!j#|W!zL2Lg=1u^dP@AW~Cut z9KRg6%AR#dyovl2@ctm)`>0MdsF6Ib>p_AP{E2sQNmY?WxnRs=PDdmW7ZmWAw*TDu zGdHiEX}0HuS*;_NkZw$XlR8Kg?juerN1U!@z51II{0}ERL@oW3Z~KatT4Q#aLWVdi zB5EtOS3K9p<+$rEA2NLiD1Cfp)5?FtbYlokG9OFuWI36xHDLf0#u-~hofI72Y!u3~ zQC^8;s-pHmWnaIRe__>HCWkOAdV({{8%>=O?Erx8v+uV|U zMV7@TpcRpnb5ao*pz$G^vsQp6Yzw-GZQ0SOVHFeJekto%qi~eIRc}vq3DHf$O8Sk6 z%RPkE4IePbX>I7}`K9F5)T}Zkov9Kc*ANVIIBXTfC+bOh`dNND>7(q$=Cnv|4R))$ zkQ`Jk=1z)F4@e?lk1*0bGNSMdHz>UMXhz^P605CNmT^}*5Jh?6GOHL0KLUI57oAYX zXH?x!-v+Fja2=BEpFbP(UhD>y^x>TfQHHbA;({^QvS`k4k5~N`rbh~cvLyI$^TT_$ z#{?Pnf>CEI3M&aOok%pmKFk>EipzQ;c+C;gk|y0BXc>JOdx57>1LOvL5;F;3l9zsi zu=y+;5_C>oj!i%38Z7HUFmhMTx5foYB^`n&PRJH(Y7!?a@VAm{tiS(?+Kccpd-Aof zoEhI$F2~K06A*zMF-TLho&Q#%O{|vw_-e91Xc8Gqv`g!g_q(Fg4QUBHx6Q!}srLGu zNX2l&)kiyDdjk?Z9y}OVph>c=-}PQdIPa0HA|hGK(9_9Lo}8X6w9!^_?d_8C^iRAt z|DSl-i;tK=U1L5{itn_pdLp-n_APhFA5&yU&7jlklVVYn)R#pcWf8Gza{xKZ+pBr^ z%B$}Vn1%<$H=KRmE=NYpVy>h2#g>fI^WUh*p zX4t5D8IA>f-Pa9Jyku?AD~F6QXNoYeq^n_u6MRkzNzWVXx(EFpdM|(_64lDa8iD?>5>h zE66qY*pn9@SjXkhVQCj1!#oHi2=)8BS-l`aA}`}o9*Y1u57i(bR}MA+iE&BqZ&~`Y z-BR}$#^!uYoL2uKxje3Q9b3|PNWaV_aH(d3>yrZPN;#2?X_XU>g7SdLPdqYL%*Att z`dl63F>!j&L6f88Mq9X#Wvqh4!1Y_2o61jJC7AHf(;zF?vnq3r5rj{7`z8Hiuy0kO zSGlutr=rTgJyGGa{Zt_89DSA}Nj8on_mK}?bmb*B84@e=Zjz6!TK(y+5?lBSA?P7p zXo3u98xo7~{hK4I%rDly$%nMOFjh7rMb9>$>JkaZ)5UhQZ>5=jwA0`!cUqA4`#z^- zED~B#5rv#X#|_IQR7IevPX;3$)3^6_I^?R8?^1IzWEuDkNRLNe!uCM;s{(~xSUI>( zqtlNF_@n(8~UtUxHX$d)LVyJ8R4F6M91~}lvnYH zOr~F_h!)RDtFX`_qR>gxeGT~wgF4|J;v+80w_WT_>TPVUWC{c{yH*0p*Uj=8ADjW3 zkgRf_FrBlq6-f}Khm1^+pAr!|@h2k4a98n9ieX}^)fY>0U3So&I5RXHAs{A_ywRLh z_VD#_{nX+1*HrA`D82?W?%_x(T?3^0g{lJCs)(p&(Pq`91SZ9VUyIq*u%=)b@FUbfi_Jh0K9OXa<*H01)+B_BizTnwTVyp9({}R`M|xFy z2EU7bxCSdH+AT>2uw9aBD6oF2V{3hTQh%XO<3tdVL&ao!3bFc2G=aCWv$Z>DvN>)F zSs8a|O_ruMxXAJle_G*PsnY9}XR)&J>%;14!|mmC0u!i$HiMgCEy2)ZF6>}lok%i| zzzL3UNCnOf-Jg#_Rtq~XRde$KE!rEXoI%kC;p6 zSW}Sr?F+m*S&6cb8>@+)V|<{m19efPe3~;+kRz?a;j|m*JQg+d#W{&$^0ZG&#PT z`sNGAl-I1gP}*hnnuUrkdSqu%j`O;fHiQEz`d%A9jUd*M3?Efpxzb7!i_Fz=xqS0F zuU}O)VrpcV>XsURWvVBh>W*`P5r=Izu>OGWI zaZ1<+b7yTy>Rr6Co|0kbh(_*d|2xT&85~5VM-R|x}BqDPyH0-Lyug-$Vb$_qqt-_?Q*(Fh* zQS()7BRlh{`R%$)RZ46m7!`4*8WcjK+6Qy zi7F^UC?bW)B+V|cg6#vuV(nr(*^e~nxA}S$9o?zok)3g^_R&0fRA}ljnTU(>gkT2* zfu2i0FKS=-w)Bt^l9$7y$vSyJtXq*47sD~;+gCk}pf~OdmSNJR9<1GW7Eq=g1CQ>@r?=h4ZlD-mG3l&@<;>L)=NxEISNurnwkbg@QG1To{RG2wg#&O z-OEH|*OEV2Mmw<8D#cif#G*!}th6Ap(nz-(?ZgdgPX=Q>2wXI6*^E9s3Q{-Nr_7lJ zr4ohrIFjS6Pvh^bD3e8dh?l>}fsJb4tFeldWBo>-^~e}k^jQ4r(Drr@7Ck1YVtAf| zUMhV=v7Lrgc_m_AW|a=Bujm75z0RnVWB0N&7u}iy6^);M5}Nkb)?m*x6Yvs) zcbTJz%1(GLaj|E$U??plT;yGXPl6Y=L0H0gkU5)9DYM$@AihdsQU;U}p;s(@^{9D^ zbh_9}#{IT(mVi-)=e$tZrWz})8HU&2j8$i>Wp`pwv zm%A#IKI*O9s$;rFcT{Y5OCdpc-BwZYRFblgs`?r|)zwGM-J^oY;VxKlG+P5( zDqeY!E?ifWJUr_F?~z-3S@cOldtk5u%Iv193QeJ)RKt_kulL^VCqJ2Z?~{+29J{^q zrJS#@Xc*&p6e33dd$d`xJLW)K;!5vpN3M6WvI~>2vYx8+w1W%D*`QW2XE|nEh>1T9 z*Jk`0Q}!jl4+07cA1INLq21k-ulkJBt(U&lsFCh34qm^LuTDeJuzsBlLEE6N{a zCH`^O5k)HkU!0Tx1(u&3(OFy*Vjs{GxOeFR&K{_GrMYgZE)L93S>x@lNKDw%9APz_ z_+%6=932{!nR=IwPiXsfLS|J6j_y{=<7DPIE3GQ(J0)JJJbE7G)_$Otathc)3dn*eMc>paoM!6mkK&gQUnU4?(Xh4X zZH|fOhMxa+^;!85m&|n<2SjoIB4!K3A>_?xk)d0+qp6>+aMD{VAUnP|AKop1YU&AH zRIxi^g1J*Ny#ZIUOImOv;Rj3_f5TOE-zixgu+%eif7LC#_F*Cme`(4lsxNx-cVP;d0|>W7o9!&)IJpZvS{fFq5^C58b{}cLbqV< za1M$Vo0x|!!!CVH>ADbs@TKfju^Vo7I@REm<_cBSjmrm(rAr@KK_i^>Wl7R#byKy^ zxNt|o85Sev#-_2{7qZP&NA`f#wATd;Nl!tbn)R1IR>%Q=+!+wz)VW)x_`Xr$E#fJu zuN~Ve93S!CPd9=%dC|f?f>T^iKxDDp2hSB1p+fsXx$TSGE zOkDHrbbq|ewnPv*Ex(L>`C3fRSjpz&QgL9Yqf|Tmbo$o8h};nQ#kObQqPTM?u7K~P z_+02G-er(HnoBx;d|z*v$g%w(yG=XHm7_~S=JO#715AJto#tf5cuLNI)zAXNB3lr) zIffUoM{1}NXrSbG6arb;kMT=?65f)W#Vqn>EbVms=|z87rdHLCxCxpJ{P+t*ZjEp3 zVVkoZ?*6$eW(`>kJW29a0t`bdj#*fN{kI9}h2Pc3KbCU2wV;V(SMgQ-Lpw)eTf%&Z4@N@qSGzhp3;^3g;_ zCp}l8j*#5X-t}3}9kINk{mO6vIpF4=&L$P6C_MABBnnrOd2tNX&JMYvT?`suc)F)> zMVO#zl6;lmLZC+U(g@N2vPx^oqR)|+t~l+8ma?B5tn|v9!pS@=kC;FblqGyvrJEf6 z)=9ZK@@@|pY`r5fFcC`XvhMn924OR(&MamXj{k^r4&SwBS>po#LSGrCEZJ~c8drPS z{3W_mS;9H*4fwuy#~aL@h7!X;m!m>$*KBvON6t(z#l`Mn~I*XU>PV02kYOL|w=nv-T zA+;)XWz#@GX(K+8f0}=1JeUwTam#EviZ@@lEaV=6UjGeRG^cO`>S2#2+<;FIE=d9Q zX4QM=aqU`t zYL4-3MC+Rx8c?Wt�-s+{q4l8(}zZM)e7l*Y>F3f;TS3k|e&-NH(AwyL$#V7{l<7 zQ_S?6twmpPp7yJ>$cNp*@Zul$E%mI7Kj4M>f*jlV#z8p~)kHbuUpYO}Qhc}J* z^X=egq)Il{Lf(`Sz*q(xeGu=&djPqv!pSZhAb&R#=s7Zln95;BpYOq@rpxeFVdN9% zrBURIm^XEGX4$^dzJNGqxyN}NvBZD#8l^!4$Wg@Wa1;51ainR+yM_R4Ss8;QVG}El zs1S}87-<}^cJW<}1b4a{O9RoYS3hYZ0QLJP@O-IWgUYT_OiwDrU8+}&ASV|GPD8V; z-iAF7xfAiSz!#xDSWDvHHj>j;{g!4;W4qu>_U&5+8_u89@%>LbQz4UvR{-Zj-ai?} z(yJ`dlK3#s>SnE6P4$I78tyVnXG|Ldj_J#b>4rmy z;^*%qSoK3xuVB3Kh?w5tNyO9^5`W(DqBqxk7dL+edxO<+{CY9HLkE$WY-aZw^LXLY z>r&E+ETNCAGD3*(NhMb^&Dw7fo}X_=t(zD6cLjz-ANq(Ivvbzm2=J0hNWSA7t8(3F z&!PQ?OWZBset}8+Fd8hWbu{Kn7 zVREhKhGnrbz98V#UkTw-L|}W_2@VR+n@^^7-uAMhmRxhFGpuRMDU{VYuoh~#ip-%^ z8lc>+!iUpA8uTf1{?Pc-Ix^=l%}`wwu6nXVECQ4VoKe(0O77oBq@dfUQ-cqp=6^){8% zX%We1Rn+lutU1Dl8w|MHH($SWvajFy!9YcJ^HJA)U9^@(R-aI{tgi-*a5a6g^0Dea9d8$Vq{5rz*j<>0_icoG@* zV?BSzyD%za*sdr0RzJ7%<>X^nh|DiLW=30nh#2%9+{Ju;ksA)?KMaJ6+F*I$V26o*S(}PBRmFjYYals0-YBwpVmd5ROS}N=r+^n}jbcCv$jy z7TLA=Al&T;8`p-B<(#E~m0y72`PsAbus~QiCKy6p+e*QBDsmSNLBKk;}6>ef|4ODGrSB(}RpO>OSz$X={ahYS1Uf6idD$PCX19sSv2UBK)I&l3?j7xTu z^LbI%i>|_lWzJ+5jQ1bszG4|K&%d#-QtYsOZN9mWBBMjG^x2(^-kcqTo-Ka|uZNbRGlG?Zk!hf~bVX~e=lVfGGUzvUd_bm&2#dCV@Nw= zgTeZ8B7KKE?D}Hl2_?&+oY&Lc9Z(0zEsnnkbpblfjdl(6TfFh~F)lsNK0z@^-f``X zmid%_pcC8=)o6;b{0;we?(qTXfC8SUH(e>I1UMShC zl06N}b+i(9yG<2Kg{o6Zx*1v-x;HJquJ#O2l|&AdvlUKbj@YE**u=G0iV&Q=!pPHE z-og7q>zEI?JoiL@!0}1_dZsQnCHuZhm^TqF917H7oKWG5ZGyevRxF+;2uDb+h!mnx z)LkO-?hbzWdnq3F5QTG?gwScao@K~|?!jUlBi|Qqlk{W*sZdRP50?Z$J?GsU=uk_W z{!7F$J@0J`r(-%;KSW<6!LeTrqxDvUo(M-O7kyZB8hHPVFkJ&aZ36?KV7lgVojYN}MBu*RcP zj`}a?Jug^yjDOrwzy3e`R5#QZz#Co`1G$J$H z)xB^>L~Ci6<(Q6HE7!ti{!q;EAEc1e}DZMg`@gO-UkOE{#N20cCHvnZ_ zJ!wF>j(6i)XD%D`zDvXrWm)RbCzu3Zr)QSizR`5?F3o<}QhSpgkGVk(p(I7f$aqD| zj$=o4ch<&W&MiS$brdK8t=Z4|VGYi=&DfwxKZ#8VIE8(@oQmf#xEk2m!tSJ8URvhQ z9U{B)de9%EoQjmiTe6e5Ep6Rs?2hS=zvNu82u}GvFkI@luEWU@3Mb!(}u$8Yb>Sa3EL>m>M5g~@Z zWYJqrYj4`27*FK{lh;6*!T3sI$aFzyxuDFNaCj54m>{F@0aqh-Xe||LGyGyVIYG}f zkSf}7E+K538&{6Hqng^J)7C-_RkrlmMXL=~EE9|fsmf%pXn7*~HpaQ+O8+|IU{scr z({MCYyg)|^@Y2_jic(;+hJPxj(NM#b)Bs*~z7^S0io3-KW$EY$F?QDn@OT>&V$Yjs zRuzk^d-<`{gZTlQdig~0)2)M%l!!|Y_L0e2m*bY= zV+$6eS-0>_OZ*xwg*Z0!BI*AcJOeuJ)`C(l;CMI@pirx}!R?2gqi>1H3ci-}(93qn{@_dyqTCe%t zIrEW9H7s3kFqWg?2R~y;gC(?0TlywG!#2mGsIVUkq88$1Uew;#dz8SZZW$)^U0U1G z)6Cr44T7QF9ebMBl9m`LLA7KXW8r?D*=2*OT5c_Ru9okSX!|+$6LZdSKe|2#A0F5g z{zy04Yw8sHy)C%w0Bg=BFx6YGyP2nV{fUb79ZXd%CmQ8Tl}e2!+D|tTdn0?ZpjR&c zr2t!;Vo>MZtCX_n`uhtM@b}zpj*5)U3!(&iFuBC!H_Sn)Px0mNoKF9C-?Va1nmlsv zxybZ~A#MYbu<7Zc?66AN!@IRR+=t~%5|ag{;mr^3K92F02<;Pq4x9W_9GR1z-&1U| zyze7o+~lG@Qb3te<&OBS4%d`=l?eY8|*pR9d@} ztqzL}(4tu-1V}X62IPy)U+!OmlL--Y6B8nWRM~~Ud%9bacHKL8_T=^(N_$=J7Gr)= z)95P$SN*agh%^x)!KXNq-rXUHCpCbT*CdA)Tqk|6aN9x%smpVa0^e8+O_W=uShusP z`k_|zAlko$yB)%i#{x=4)7FXZn&>yvE`4I|oH?<7uQH+-Y=Q05cn|(|;9^2aXI9!% z@6>fUB)Y+hfaoG88E4UU=kT?GAtD>&-GbW7fIiH7C7d1S5L;U72#p-;N#CM8^8v z??{sco1Cx7T2AthJDkwwq@ou(#c?2m+I$doP;LOI^&P&-329i=66V|!1Hu5uO9B;_>y@P< z1`|W5BsqA5px_feWR34%Ra=juD>Pd39~Z!^3@#bhVxirRq8Kb1gHClnROJsFFkTs) zjjAv(jl9#tf&G9h8?C~YY$#P+WAZjp>5WG30KM=J1ag5PbQ_X4iMTJkCjeice`fqa zkNUF*6OT1>mxNdh|J%*r1Nnqy|IHiN-JNXFJPw|a0K(Rg1%**~{Qs&7?JWLnz5fnw zOtFDY-8pqtyvfu7g-^YBP51kFnLKt@8=OK-27k=OJkMxh@mZVl&3aQG{y7%1>9nss zzp;Ms$^HIqjMS;g&!>?|-x{Y{(Yuw0ye_+Lhf`vueBI@EAEF(eO;?({lcl|Etry_u z+*xPDdP1myf2+}kayw=+`~-#en^(4UlwC?h9)9@a7a9i{OK;Pvox@kSMuTtX3fT!0J+%Ti0kOe%1R#cc@sH1m5fpywb-)es1IWY~;menQ&5oPA) zRMNXGX%|rvi!DA?{Sx*PMjZTOPYLo`bwJN*HTL8 zSd8iqLLy+}KygqIn@E=B@I%h<&#-3WPOqmYRz|!k!)?y?%i0o#RS{jy0}3V`m!Ws&kD~F%w0z5+D6)>D#yY7YnYMQC6_}f6)+`0T$|^>v0xR z?bt~SHJ1737iOlOw3wT#7+%cPQ0~290uyY@%6E8@em&I#EfEu72h+}W%#XjQm~S7= zCt$!@dMO}#;Q z1$dN5)c9ElC&~k|5_%N-3&la*EOj&7NzRN4+zAV1*WLqB|+e>$?36u>`~s&nBl96+ER?7Ncyikme;0*Us%UG_5v zGlmGej_kb)#x2CzWZnv&swRrQ0Vu@PgCTcDI_vDmn=H(<(?Qq5ex;sFRYTDk;PgQP$!n>0)smOS zzs@`}ql_~KlF~(h*m-n);3$zNSNpcZf(wb9MTe^GCfEh!W<{4h*R&<@qVVBl(~Pqp z&n9!z{r`E?>Q!Fq)w5S7Pon}Q*{2K~we9!5kz+3&E{TN&m8o3}xajDcqx6z;ov)Ht z$a5vIGcl^ly;m#G@gL(<5`E_mh@l z;k_0;dsC{+IHhz}(;rRSJuL_074s=<3?!|Ds}xsa^d4ea9>2(@ZDFUV=lh}o|6?4* zu3F?lg6DcF3?t7PVDK^rJ%jJj+NMOt?7_N`R=(w>KNk~9eU#mH`Ei9&C*q+tb!bGA zF<(R=(R$=^fm}^#u=ato)y3PAUI*6BBGTu#oBFb1)Gh>EeBhg-Uco+P_{%rOx371n zWtF%>WpR?AUkHB$Cd4p^@5sz5*ex7Ze(}-8P371Zm9!scdYoQfk7>P1-I>5m5CMy( z9-C&aar(OZuzQs^m7hXj@iZ#)ioz$+iK6LwKXJ^1!*;L+^rr=neTwOCOw>1GJbtBX zTjdXJMVb@?4P1@sxNkx-lAwy4t2sprsR}_C9|RQW#YxPP3-bzjp_vgkz05b;f*z=` ze>nR_Mho^nc_VNrhC!m49D5`}?aO`HG|BTKG)*_#9s3$6$40(3-l3AQsg-tARp?bU! zr26G&ygHcy`JZ@~MvrNvSb5EN+&{VuoLL_#UG;l-ql_O^xR1AsNQjCW+!XFO<+h}| zQzuG4cwylr_$~blvSD@yk611x7355iPF7lt zZ?FIjrrC(G!H?`56AA8U%YDQXv zBvPAD7Hj5|1w44hV^~JC6-=|0xNN>hpwgOfM6&CCcl)$i%ylT{{Ad?xEoN(D*GqrK z-;7H1ZdbRU(|Pox_DWt}^M9KD1g`=J(ZUHS`Xz)VTQ*w(C@FY1qKAIt3#eT9MTHpS zh@*l^0k$!efE4_QR?*pp_=oNqmv<(Rt7LArRpT;gvK)k#_bP zy%u})pVXAY+==huVu-@>rj)lxA;aK}mBJkaZnoNIp>ZIH14vN0WfXcEAd9p z!SsgsI7B*xEP|1J%5uo5aT| zIYfX;^e;B1=1a={X{V2__WnQ$Z46Edy)LE{@<&Qe!;lugnjmHVrEAYKF%f}^WXV;v z)0KTeU~Ckpi^*v5o5=z1-!AIg!Cu-HJ~3KJAu}4?cnM7M+;FvYS2&%D9Yt zpc$BDnE^#`lYuCvKiNym*gZo~!MUW2(_c~|CZoZRP*ZgPsm#GV3=Fs;_Flr#*~j85OPWO(xvIu3B<3%9XUXkVh7t+Sn6mWDX>z+79Ehq! z=Ts(=U5C#W1J~S>>XG#$?lDvhw{8y7QhjgfrS}L!aB?Jf&tZ7DA_sI0Q*z?4Tv@RH z;1AN5u@rmE#1~AaNU4L4F3(d(UaFR6tRCIuXc;eywG#1NVqAPmGIk)nzoP^_vMN6K0>We=QHmS;)e z#G!6}hAOa0#^+cDF;0er-)|>6Kr%X4FoAfXQeS41DV;vG^Gii#Pc<@vbQ0FnGJig| zLzov*d`TXqCG2bOo1V*#e`oSk8(1WJ2+|e%4qUJ5u+gXg=Iq&4`A^TqfA{Q>?37ZF zj(74bw#r1q%|dl>CbuY>lst?N8%r$RSSvUOQgYTF%m7!i%_MQY{<2|W4>$gW{&$Nd ziyt1bZ%vP(Za3?O#@CjB*v9iReANEo9`|!c=mwSkcDXYkwaad5SwE_!U^c z`3PJ}h4Zq-Tp?j`htwOR3dRT4$(|HI-rOdT=cI#twDbpiw_kjhf-IfxjZ!oq%;;6O zU?w{s#E1l<{`T_uV;wTTbtH`rG;e?Lb^%k9p(W^#`Ui+DMXgYkj&o+* zc4Hzg7{s0$AQ;fqkDWOC-i{ik^vi}BURKpmnyQ79SMoXsu4HEHx_eoHXx!wA>|TB3 zTcGXWfd+T4v*h1YZf|TI_OUOgpQW(eyetU%Bl(a1a9&A|8h{XmfFvlMg==r`&37O7 z6!5zz(xaXxysRR(JIGqti62(WXz>ai;h#Ceca$h?+M7Lv&-~*EgiO2&m`}U&eb%fK z{c3r;12;Oxmq0cLl{cP8wPGPAqsxV=3#nH!pOy$Z)<2!V)J_!9G1n!<&o<%srb00C zw5#B804F7ODCU$5E?OMni;m*ElJ5x)l|BR7GKG~OChXy1eA%%G1n>rE!73G2|NEd} zs*bF&UG@N7rSV5c1h@|4#1M2U{(;#|Ov#O5(Bi;uL%hEnQm^1(XqY`&?mYR42bs!Q z>%>kE*#m)y@(%*qZPJ7!fPY0Nd3e^gVBgpYJZ~x6crvTYH%7| zPR&{C#cq$}0sOwk^O`v4gqOGb?gCAX-HW99=@kWVnKq>pDf`f|T{!aqxA-pGf3`*b z(|Vh=YcQMKzmF#@TgJKyI9oYV8n#SRZPaEF`Rc3Us1F?l$+*oYuCuY$$*$GZ#Q z@mC0E5+;_Wnai#Dj(~)}C^MXWPflS}7PN`{KiU*8aQ?(IYpHHUJSUgH$!aI#%g;n0 zaI(Nxk0!CV0+WF*n(4svnq9}bmJ2=>2h|tmgJ8iObP(Y2QHgy={rv`7q6Fo+A@^6C zk{y`FBe#wM*`c=Gd4}jGUcY0(T4#n*Cl9ZgoaeWXA4@w#DzzZb`h;D{_F z{fcG!*>gYfiYIXjV$(IjJ<(qM)39rQfmxcqxpV|}!J#1$ifnND4K@wHQ2z%Q68Wt~ z&#l>*H@x_tF!M$2^pcBwOPxW&$Cg*qENoxXVL4n}Q0rD2=zDv}F(3!QAgrWZ$qPv~UGd~T&I2p1 z(AvE))gDEeehgJR@G6=TH^{OiMWU$>Cvhp49o7QwDruEo1~OW7MqlO>YcKSqagB*qXN=V| z;pUB%A`|JtavLh+(qqA$>zSfwBbQ}5Ydxl99$lxi1(%ssI~q*v{j!!aztLj#V<_5- z5zL67!{0eKC{&;^qN{Q1fq_kER&gS}(7ZAx+f8`M(|sndrX=UZMAhI$HM5%$<+{%P zmpM5(`)aG1TG)}yzqIjIO%w%qqE&x|;ddJ`(Cr%oR=Q-wo4IfnBh-#Ed{C&6CKia$ zKN68CKs&D-ft{F`*xl~XakJ18Y>w^~NJF@a4qJ3m*CZqWZJRhWBbOs5fA3k!gU5)0eu z_|GEJu~AV`AZTFSG5d1WB&qt0y>GxV`D3V~rPSDA$AJ8;A(oUth9eh^5aHXHe3m=O z2d_gWZ6>j%)0e>W#WUE@CX>xyNIaZ3uh$+SWv$y%9Em1ClDF`*4y9UI9faRaJE5gZ5!(!8&w+X9RK=?b-`lyQ2B@fsor8`80Ch^sV7AFnOTGwyCG3e}{EAHs&(hHW=VcW4X zn(MYEey$8L^yWCAgr9gih%X;8@{_e|GnOMZpB%!#`m{Cpy#{vWb@Dt^SF&CR%;X>+ zPOkOVer#T`HscrkwC)K+y`JXmlO<`@-8;|u{uSm!-__4s!>pF=zcjVtG}R2ojWaev z0{U?VnM?E{OTcT1O1m0hYP=JJNyS9bpiw{6gLiuOPl!k-^GMF~vTC>7b$ULvXB$y! z-lkFzbR(vSH=)Si1RC6C9zXL-(QG`|vwy)1{2N9T2V+mhkMpkZzr2h4Uwt}D*OWXl zo%FkT@s_fa=%`FOOlLi69_+c0wKZwy^Ua=0z`G8N~Gu*@ASA{0| z&BkSKI*~g%?VG>Td!2Z#lQcf&%7WQdu}|w@h2YpYo>s-{R<8n0pwE}g6Z}MuBe(IZ zGs~mSz?-9gIwRGQm2FG{*^n=Ucgw7j_j+Fy!iYrpusC|Z#3#TWm)>rHZWhF!AMo=6 zB)4f+vR~f0#zf?wH=}A$zuK4NNCDE$V`6tcAJ<(nt~*}5xK^A&&LFwqpu2)nGs<&J zo+v@vlA@C)e>iaNwy1WVxd?6{%xR_|lj%3*`ek2XKKrh>NHZQso$@k_b%3u07Mfvw zJ_-2?Xxi{aaZqqUZ4O4NFr4he#yR_%yKe(! zeMTCjde2}imjpnptPeV6zPTNC25o%-Zvbz*Y^wHDbaoy~YaiwiKcBqF6>f7p7ln)r z)J>^IS@HispM1E~CIuphhX!*CV4j zuB1p5S`G;qlyHvNG4v`)J$i$A&H!iPwGwaOuhH$-+i-IK={*GdAVOB!@1$uZtf#6P zT6*;yUxD5#M>=(&jt;_GEU2k_u^;ef{>?ujw(Bqc!+^iTF@Gwy>}JpF$NYO_-7VWb zu-t0F@+qyGR$tOaP^gG@;lYp7{^e#xWUOxd%U%0P>g(E)6e*+aWH98;zFZ>svV z$0Fp=No-J%I-DSNHm~mHh1&>z;vjqq2dVStj4<38ip<{$3cHW;j)cqCE#kgqD}+H- zdZIQ$;sd!+HZG$qZc;UUgmq-xZDC0=eSAt&hwD4rdB}#(AKFOlSIEC-83WK0iDZDQ}?>~V(I+i)AsyE+%J zRY|q#5#}G`n&1y^X1Sd(fpQ~!0~}@}bG?FPf2^~w(A9>MNkF?}I|+7P_syB_?QC`I zU{sQSguk=g6&2dgMB?PN0~O2TAV)zEG0h~gupeZ8flRsy;FtUG=7u+YZP9xCl^Sb| z&mgZcBAp?q7Bbz?*c4XXZVNK$Ad;)cm@W@}bKSIv@ zzZy92mdQi!J-d2c?d(R={+T=h$`2pNlxENU)_MP^fm+ZwBJ2_i$e-lzoWCK#0DFy; z^HjqM>={FBV0;`r4N^_*JC>`(LFO5fDY<9;sN;N^J=wg1lHRIIq^>R&2JrIovzcg$i z#|_`}6?D+V5ytUCM^Ol0PEXsH1vz#=OHfvk%N6j5gP5+Q7=yv#Lzs^!&lHK3P*W00 zUNX}xE_!ak@0e#M#*O%iceNldTj58dW*0<9_G%=HY7Z8Zo{qDC3w(kC0*11j&teJy zn^2?{v@nB0`DM_%2#F7Huk7TGJMRBPE!vEtP1Z+7GX{x{qCO@RyZfA}_0*trUMjca zZ$c@6Q1W+v2JB1b7?g#<{30$umRa&*F)^WX?IhKHX3U;Xk+d`> zHO(6?)V!CdV}OxUmiZo#4}(B1ae>ipz7u?TQ$Q?+pv=Et7Bw|WEqLDo5JZB@OZzI7 z5ud3$FS(646~1haJy!7vurSFX7{&!F*Hc5F6|&foI$uAR#$;avi#DClnMb;YK&J9`iG%H@w=@r)m$M7gWm(PNg*5;zy~wo=1XvoLUyoW z%c8)TMOIfys?LWgP^8pGY*0xjhDyfbv0kg^yRRUQYHIH|5=;-;^7vZVGRk&Ra%JG~ zGu&X()!9QE@fVG94+;44|C8YWwsa`+nw!*{C@Va&MLKhEt;L6wArg5EEi8HtFri(i!-9k`485VeW zh$Lh$b4dEJoRzjbU*;XPw#;J%NvG+Y3h=SalW;}OFUc3T`s5WIN?rRGNyvjJUy!Yp zy1&SHYOVYz<72hOgUJ3fGOH71U9hV3)A*3mYgpd1-YVCoYw;T0mlozWMs5v4^}l)1 zvAQ9Zn)tidbjhGE`^Y5m5N?~Ip{vU|H?DtLy5RNHU~!%xr}){(Hc4ell>t^ zlX&IK!+haJpX{DWY(=o14|V5Hyz`H4G~cx9xpe*EnU-Bo@iq(|`654Bg=q(D)pgzO zS>322x%OFbKOa(9&t0K;L&?^9v%4W6lMRNj%c>+qektpHIOd(v?T%-!KH6tcyknw4 z)%O1p_SSJxZQcJk2#T~KARPkIC?L`xp}^1$LnUu@fZu@%Y2SyW~I1%P&KiC$5Bl8|BoobkN_Rl@elyt_V;S0!jy;5g5S4?H) zxT3sMI?c_O%nNkcR$~V577ye)!_zsr&fg-EA{qnSdA?^vzf@47L0AqC!xQ^^v_8f) zx!IEK_Zl&LPHVHuQ(Y@f6Y`r1k^a)noV~CqP;KT``SF*2TZZeT&X|BsaAEv_sHb|< zqZ}%1E&YaDP}#-ZAzu_F9%Lm>-==X|V1a{HlD#2xXgf zDfWW8o9*n2^hy?SJ4Z{QW-?mHk~Wg0!ZJgRG^za#eS3Ouy$*q;#5kV^6<;2{>lXZo zyfc3)Kj@!ALvXZ_ukW&`o!>!IelGC!hsO6@5q8NI`BS;5saY^{5yqr(y}+LsI1gfW zi8J|nUC(y0D87#h6b@nM*sRlp$T6HUaaBb$GVq3ZSWHFfR8ctgZX*Yk6{S;t14rg? znO5lJ?7d{$dU@Up#ZK-| zeA|EaL~B2@Wz+p=U2Gi0!mn$(sDbyPD-8Fbt{#;MzPhT&kV14jSyZH9@ zX~Ad0?4Esq^^R-~2cXRr>ugguvG*NM_!Vu=)!9Spa9%}f(aBomQ_!iRB@5r^Dl&+g zE+;Ea!#A*T%JlPj8?_(7RMil)IUUkoL)pt{I5CH)%oSl{{eIoypHzTlEnWY=?Gb29 zc7?F;>YA=!d&q>#?xLVfCHFcUvpZUJc6=kD#H^7N-~Z7n?^fNb@mMVFnVIo|s3Rwh zBY&K9O;$4mP+vV=T5eb@{O4(iSnrcohZoU8vJhN7+xGpT-X z2KkRU8Pdw~2f@6n>$IU#;+6~C@=d#Lm|-5F8(qcFt`dE`<%pZbaN(gBUbyt~yP_Ve zY(Kbu5H?dO{kmJk(lqsnFf0DOg9HEU!S`srXVeO@tKxrwWZhBK|BJJ%J4ByXHeu9- z_yt4SS7_XItm-=wEfeg3^9U_WdWybP-@K^Hiqp(-MoEdxfw2R!8+Yvy6hcbhTUB$ws=8e zradlB;pg7p0gm!TtzuCfkxfMzE=1io=bSt>)Otu1i-axZu(ggR0GCwaY^A_%2MNmTI>?PGGMwBL0UZ#Dz2Y)i? zGm){xB7S~|L-mDlD$02E7f;tStFIyEHN!7_f+Dhg#0j%8a~>H#_<=Sfi#9X30S-lE zRi)<A;W)qf95T9n5iM#EFoFUR3RBd7vdz7Twu z1JxDoboRGPN4ULFn4`@`k$!`x?T-yt1k>l4$}Zg*g&i40syWN==mW>-bMXJdl~z`} zHGD9Swhz1%KvLovbOC$^d&Hucw*KOonJgHh550WFVt8`*>_52mtpL}798!Sm_g>$4 z@;jjy+dv@mUtU4rm)}YJe?eTh#=4MDZ2gBUTDXX@VBMFD;R^+Sp&ADA_1{fZV&{*h z&4|b1;bNpb&;hdXfcZX;?0sEK7Zc{ecUsL(IX``Z!dp*%D-@uw8P9VN@${u6B`q?P zOxKo`#O|fU7W_hi~{K;6dMYD@N1^5ag5y@up5& zN1EOza?X#l2NVg3RBjpSNn`%yf}OYWl5R=w66NNKY0ZxpUfP{ygAM*Mm=)h#fqdch z+XvO*68K3$6MDgpVxfNmn0`Y$#t>;}$%l-Ti;Co(GJe_jRfY&1gO?foK)&EUlPCbD z*XiGYb_5~`8dfZEoq~XNEEuPSI9QTS85QrJ#+RyF7hCcfqvehSDTa1{hi~ul-4aD7 zDlcqb1P!e5zaT8W^nd?95cLUsR}eC2h|AqF2mT`wYI$HjIv`I%nz8(Lb|Im}&aUpW zC}xOYVlZPuXHsbV%h7T9*Cen7-na@sz`4*cH#FTpZK+>jNY)q85L^tv-*0q>oED~( z^Cq4CQTX%bP2?aIq+<&>^>0X)P>^9M;m%xpEQs2wYUD^X7EIU_nhU6K!en z0uCxg{--_owQS79B4~eX|JjTY!4N67*2i9HEd!|LAdPvAa-dP>K}nh$8!#MJd)5d4%7G=wNE+7mXn zJLUtRJNPB=K=K=M1+gE--_Z`hOI?fzBzF}O%f9vN@+Bt#aBaedfB)bL9_(&*vR73dJZoPH;w@Qhk<4DHPwI6Bh)c^M!e zp-3_oNpQ?IGFiBb+SnZcFBv)5G!jD*{&{ZLzT;<*8K)`^OQ~YVuJW-BI^Gx*sntBiEps0=e3Iy+8AZ5&x zAF~tqau_Ss$2_ukVZa7$ZdCInOSTVhp4o&-c~%uWmoC|w`hrd09>(o$d&s-0pOd6 zr;Fx`C+Xc;GekG=)*-tyl|3@6TdFE1CB3G3FE?KtZ~^(11c6Sh7`BY(fbO8cNC#1R z+q>+=mMk`bzKH(>ci!>J>c2mF<#weP*7y<gxTaO zoPB^TUrSoU6HGid{eMFM%|`z314y}m0W+Vmh(=bID^I0hllzwrg=8hu#l%U733j&L zQqM0A{=h>zLr?Eqnb!=2LZxUWZYBUK-?*Y$8uA~3fM%z~TO()>{%ZCpc3HCa94+Zq zklQdm(fEaXSzdj7p%OA?XQ;Sb>;eM$*c|o5-=;_E($nV;10zDM^e!;9;}D3{>|)uV z9T;oB)&f`~e8u{R_6tG!DC=(rjMt26ppO8u-0T;HvmAyDK380yL*jXG`oZgQ_s(=1 zw5p*O7Es`$&EH|~pT=;u0W)~2YII>bQ%+{Uz8SYC=~bSfFCP5)yMPOCN7NcZElT~a zJ#+iO*T+866fMMga}0~Pf01 zc&YcBPs^Bjz4z!=EDsIfme1;2=Ffza=mkQY;R&#W5&_MjUhs`Io0tJso)slTx3aiB$qsd{G~?$w4bQ<&oOy16 z7N3<)tB8J21csQ32M#L#f|Nr|*3fAsIjKv3nu^N~sPh6)Cs&iar1{{~UFD&y?=KSEB*Q+nx(9^W;6<*(*XlV?6%sjAK z$|FPp^^A?+5EB0b1Gs1#)wp#A7{sXv$*IrAYKAcTkN|7fkrftsyU}?8fg*Tq5W;EJ zfMaeRSc%7Qt``w?0`E5|L)h&yxs5)oSr{5B0)9+hqkKcwIqsF>RdJ=f4bRGChss9G zqcs7>a{XRQaW5+^Q{L|oLyaJMAk?MN*)bnCjM0)9RS>m&4qwPf%9|^GLFWC z#jGcsNJZil2zubGt9Fg~S`V$9thNyRj-;ER$LWcr7aC^o3Z{yx#AAT3ga`hYGd@PZ z&7FDm9u&CcgGRTHASi9P)63t}My!=SUe-?}dO(oaA(IFm1|nQfv^cjo=l&bGl53Cy zi^BUeJxCy0@xHLE57EABx%rI1qF^6cZs=AG-0})_&#xMUBFG0Po)L8(4585@1gT1z zQIujvGSIlpKb<@PZ?pvy15ru!k^%J3CC$Xy;*9VyVcjYL;&mPvt+y0in&(V=H)cNO z8E`4zT3fu>hrRz(w$lG$5iOhZ@`Cob*aGXPJYZKL=bFM;?U zM4zaB1lYYuHQ#Y2>;T97>C%zmMqe;b&X-0VJ9 zPX@5DkPKuRpJZCy4dtwIqdOK#YsH+-1IayjYSMTknNG8~porZOZhaMtP8!R%InxeH zHZnaot)@TBs%psC7sLXTiN*de<*4)hzhTyjQbay3aD&i^-gW7I6&;n3POdcAm;Poz zG8-&lJ)1tVh8URcidjW#3F;KY1|%z_D_EEYrZDsjb7d_NAidU?A~FSygFgil*W5>( zKoi{Mwrn;!F0#i;RQacpl=OqfYpFF++#^U~^L2Q*-R&NzqK>$BXAN)_MA?}uItzsB zi?jO}`0=G^j7DffH+?j-V_#O2{R)BT{&b7uSf_Q14m9{P&IA#tj3kKe?Eh#lbp|-c zp*g#2T;^VL&W&(7O_d|DNP_1-UL4>{>sGU9kEdzcR3TEi1=FDRHL^?^J^E<@?5i1@ z|3Xgv85gFL-~ZiyVR}n$Z>#`S!rbeiBW%8(i^CEGc%ny###n1ph_gwkXpI)?^wsH64Ht2pB}0b-x^*>AQsyAMW%_t^#m6Sr*W^PH+>IOPBn37^O(ORQvemZRtMNbHE_FR_672mU)g3qFzc(XcsId9!7vlIqP>JLGaz268eMgiK(pae^bZDdtcT{fS37qJc=|Nr8+@39z5OAOAnd zUU!&Xdy)4qFN~|Bgqw|*qQ4sc>BZ5)^n#K9M(>XqS+WUXVV^aUW~fRby@P0q#|jHD z|9;FbHioUc09)sg10rD$_(m%C7GTeSkxT__fh&HQC@gc7(c^gnq|;D34GbObYCFSk zrt=IX-QB&wUQQf-h_l|A@ASf~te~B78T3xP`QpJLlX##|>x6Q(NgAEt>98qj z?j|$^-aO(ero2{)P!n9oGTyH}F3j>7KQxoC=R0w@0v6NB+Vp>HEpl_k|7k1BQag7Z zPmzT6d@t9}kH$pX+@0o~(3v&b4}%V%sEZjsKX8cQ5+LQ4sHD#-B^`B=}-00{Dig06j` zP3Yk;2na_N@aQ4eOhDJPh8x4sf;Ud*y(bwucQR@rx)#Eez;lo8*Ebf}L(40$=JL^r z01b|_bi2Daz;7%@tc)IExn|L)kZHl<*)p(c-K%w!DBI&)($$^c(CLM%dGHE>NkCWJ z5sazAm(a@z)clkO<~y0U$P$nvzq1QIY#a|7(*Zbfz<#BZuANai_yJG13x1DI+^2vg zvn~cNIf@~&sd%oqX*sTV(|Wk|>uO^iF+ZFUXc+pkz6}ThO9`1VJm`MoXn)LBK9Xqv$$lz@PE^*|#fjc(^4 z95tg1fagIuoh;>OAJ1YI7$7aVdYy5rqdaCBjZ7gt#XK(i>H|JeBW3i6cuRk&Xx{GK z+qNvkY}~ECfyZVF-^4|AV>H1v>|Mp9gMS&4M;m(m9B^;!A8uHq-5``rX77s39TL$n z(Q8Q|W@DFGS8;Ua5`H>t^#{i1M$>(i9Q`=AjkD>yPCFC@`mT6J>p{|};}{v43tr5m z8#h{Wx#?U=ENS*)U2dqlu4%r!>J|MfR7eX-uQOqFj0XY~|NIC4v6u-Jr2vVd z588Z8T-8xW>>baB@RYL)pGFaBwGYB7%KQRj``g*x$Do9TnQa)&g!xEMtw6+iP)*+@ zN2YZZCt$r+H3~|CX!jawX^(Sg-0N1l-OIy_4(pSLNuwI0WCDO#{(G7?_`apPwlPe+=9)ir(!SQt2bYAMNR9~*GVo|m+y+{txEL8kJU+aN7p#`=)8VZN4 zi?zAcH35MYR0SRbOk*wp0P+3*AjJF=K^>pHJ2Ll&h?)nkX!3Bnq(9w7HVx`tQ+T)Zj|1|Fn_;hfmJcXI^#@h^raF(Ct zHBpBHPGL8C93stehyDG8;@x|e<)(xOo*b~kLDzpD{8GcUkY`FRKgBu3IIS0W1l9K%Yh$E4t$mlVFvT4@@Wv&t_G$-76z<^O_B&T_=EH z&CWuku9#Pi6_6UGaK&SR(gBL}fWecpG>eEh6U~S#YOl|!#!wQP+cke+2zI8+EX9v3 z94&+{%u;>YVV~vSqZj2|7TQ@oh#jQ2(;pCEc5`ujL&c?-P%{uy9)J_uFPnmm65jQ+ zUR4E2-a?!V#+>u`^zWd)1Js2sjscN7>d?5Jxxbt)?IR=tdmrU@Zgo-u@6au+R4S=J z?Rw*agL*;wZiXGiZ&AWyX1x{B;&@>XoPNX!F;~uytOjm}zLU&B&sN&A&BfkMOOp8M zd!BClfC?&-=z#5HZ9_~_PE=b^=d*NP#D6;mfw4?UT5pYQQA7S-n0*o}N z(v_ma3gqA|V1{6(EN?I+Vg%Ci+HUOMb}_kf6U>0vv7hY#12F7X(umSsLZkO_nti;x zy{n|xk;r@sOuq~Rv3nR$B6L8}!~IPzI|h`9d-U)(Du_BSFPd5Q9svcKSqm^|7Nl|zN^Nc0hC6`eI0B0UJnvuV=>-&P zMb$o-7|VMvk;59K*|Y3Ngy)p9)~m_2sA8+sow7gb-CrI^o=fEO))aL@cr){3|EO`S zvQ46OP(jT+7^d?NTO3KF{P*r^JGCLQ^SJug@}!qnU#`hRyw~}llv~2 zu9zYi1Zxg0n}5G64TXsx+$~Qre<+p8))3VuVyydEq6sBJ7_%g=^g%@3iz&qD+H|To z$X%-dP@qCq+sMy?n?=F2Ll64QjZvtZiVSe(bx1+YN=!v8%LmGr?U;-H3(PjW63r8~ zwGVr>(z^mhdY1Wr6FKESRH*o5K5x;J5WF*z6Qi|TQz_LFoq_@;Sk9Hn08OAc#DkI& z_x~~<{5qtz4!cK>L-7`0YY0|1ZioC>-13Bc(r|LDz=Z$)6g$+$A$lsr1JyVV8L4^t zC3o5Ekf8rH^r9RYuST5f4a{1=T{J-SZ%Zg2tW*l+9t?cQ6hevX1%E1k_WrZL z4>NJcJ15E98YDvSmfeshpmMUvfldCrQxUj^Q6sBZnfp<-PBt42=@Dx)l@9D80^9zA=q=DPO=gPH@ zn@jdXwmd$~i5tpsRY{*LTe;vi>yxhZ*{`2hbpL^I>y3UQx4i&Kk0=)-x}Am@@;$!J zbe(yew8aSa0vZFi3z)BIK7^^0r^MrA(AM#r z&HW0*FUV!YBO7>oRrIhLSH7Y?P^;A`8YPa1)G|1fpyknclvNU4@Nx8Ju;B>oOD~@M zdBX~`chavk(SqTJVhR`%`IC%a+va8(b zo&nxyp7GEaiz0vpVfhOS92jn7xCk(@T$t=l-L{zsc0}&+asg$9$vvK?ah$%$KQG>+ zCo+tg*>@gxR-2sTU5*d`+EEtf+*0KdK3v~ciLO{Y;s!&m-DQ=v z_GdRjgFqrT;Qhy}TgEh+pjOMv=tXeT2VPh7x z;afX--?bAew-nmfbDXQ9MdZD#fT3PqVrf&N^R7lCYgX<_H?*P-Gtm%wMA=H&*0o500g5VW|Lj?)9bMc zgg3_FPa-j-kNF&%Lp_4{rr{pU(-cy%#y0IC-5@f0LFZw==UjBz-=y)&4MK+poKfhw z^`)q@5%Oz);*Ck?lND&iH_SvSyBjN#iA=lR#&c2WRjH0*kYx!vpc5%sZIvq933 zczcXv^I`w7YVFJ3xT;sJ-oSfISrbM1>%Ny*=K@bClS|0k+*^p2Ed-(zxe}BVK-15h zBIPwOPN5%8>MjuDn(xew!XEU{p)3TxeB$PyCef(6H^PCt_@0=?^zsdTWd(ZH==|~3 z(CL|-K=n2lq@90%iUW&7cTROEwgKs5ImfQ=rbvg2x2qaT=#pqb{lR>!1Kfz=9Sd@+ zPXo%^S}bw7GIMrIh(fq^b*pElxLaQBaN(01CbTPnTNW#bM?OothVC#k=aHE;57ek@ zSEo#FNQJq^-F?*x%Nijo;!%{@W4n{aB&LANaZ_*GsYpDt+-Y@1ETSC}#$cK15ztcy zNJC~U6>!erdhxRMLh+3Pi*^t@B>udyEm$(n$pvdo%U*D3JmcS#hKP;xx zB4P`w;vfCe+3-K_r29a)x-SqolQblhqWpAtcr$eTXy*?MT+kG8v!$9rqO+`* zJbiSnhu;gYv(*{%{xSSl@?T1)`>ON_$|o~;89AYhEz)ibSe+DTCIMA5u504Is8%tl z>ZFHI(?ZmcJXkv=WV_&A8b#61 z3FK#hr)rO#Z}COU4S(k9c}+i2d)veisIyBfyi>ICW@G9;u)zLMGx7Yb^sp{rBD-c* zUM3D8`O)BVXJx+3s|)H|!*3ynH#M|o`=o5tH2rIf&{8qK!=XGH+DO(l8DQGL3~Jci zEz+C<#co%*O1YSoGzC@D^Gpt!-`M>FW6ihpiOZgaC+Ezzg`z>^JOwRzjCb7b?$?NI zs>Z4pTXr7_+%GzJK?%dtzB&r{Fn{wjNd@`sqMLVAL?Lx<22DdPc0@}ja;#4m${?U1 z!|W{Pm(yiml&1Re@^t>)#m1#w!=X5oX)NGy@DpSK+% z8pAx_8T8iy<94c8HqbRezEUwHSPx#rV=8}IJx7`=#Bf9I5tc|tf+8e|eiHfd7#!As z;J4mJ(%K-cEf@!rp0R#tDwf*3wq<$PUlD&vvn7O|v=BQ44mYd#I)PDJ@(0FXW!99l zK|p_XpPpc_|4f-fTd@Z6{$pk@LxsDd1n%&~}Cd;pmw{N_57}F)YQzJz? zNW+}{Zb0~M^nzi^cP#&9tF@3#8iiZ@hbbHJv^MswY>_b;y4*ybYu1u7HTuNPI3N7I z&I#S8)-=iK2{W~eEz@)jmSMPa+dOQdBXmU6o#|Blwv!v34+99=8kBe)jkLfA#V?&) zFTI5na0kN|9f22I1||xpd2EqcQr~3BH)_+_4dF!`R(B7eE%GX!@0eJtyyTwfs5FYR z!rmu@jKx5xN6u`JGIcl}V~OFy24rzcE-|HWjCzkhFeE13Drw!~FDakDhvQ9n*{4b? z%&qX;+0y*}i(+ri`o#~GjpwA~5J8HPd+vS>-$0BS)ij0SB+s@|9GO@<3N|J;x*tSw zzi3dR@6cKa!-VJ=j*cOhujAr9c*&&tKYm*54;Dt0_=LE%-;KUDQQI zPsw3ET=$c>B(Y?_CyCcFQ{m+y=&h6U3W%P6fhfxr2l|pETPyR&5|o{#8g00C@+WN! zX+(uZGQNWi)C;Jl;cwYLH*CVG$?8IN9==MIy^XJq7o+A_mcs0N;Bp$&y)Iy4H)bbS zfDhe@#+Vk`eue)D&>{D0LW{hr_XM-~;ZT##6$OQm=YL?}4gCD-WuWSfd;lKRC6{Dd zkM&}^xi{@yYlbk6Y&9VmF9Ekv+AExlWT)|z7HP~m(K&oqO~-18+aNzOdlE%aGX1KU z54q;Rz%9$65&3Txx|?zZT0uwMrGiBDag0KUo61nylnxSUj|*Xd#&=(X`tK2q{xD}+ zAH1KlUtKrC{=m?gMidl0ajae^BVy~llv^uEetCzWcns=N~CiGOJE0{_)#}Q{5jJ_%C?{AN>kRIqM1} zY`>Fl$D;2IC=pGoFtu{(+H} zY#W&UB5-@60A9>%(F3z&!QOEms3HsGMG-nQNd$`IRuXNW3ukvsHwF~E4NMNcI|}%j zz0~)T8Q2`>U?6j$Dy%Zy)HM}}D{X(Zu_*F`mloWTQyV^D79y|e)XAL7T9s4y8D^b0 zQ3dl0yHUH>TkuWJ@^`^;pjI1#Drkq!fWVRFZv2mFpYYtNM_pk!zv{FVp}g>3fJ(W; zTB=$s4nPHprji9j1zMmNfdj?!2YD?sN<%?-G4sS57%lP`Y`(E~aM#6b28Wr`4FqeUE$y!B3?`xp0%-5 zEU;^9g)=-PW~3I?26x@XGJH&&G4THDtyYW9sX{PhaY}_4p6Ocqg@O61*8%7)Khr67 zK`1$a&zQm#>V>Kc`$@xowuYY6;$-S;97&LA2X|eGy%P&gL>y?Gdy}J5jp%A=; zH8XrHTt*>g)+h!N;3sj<#&`!0b_3ccGu=#Ji9htK6MzG`HcU$DxvvP~DZ)vGST7i9 zJC9Qps_3K1OMmrn&_KC*Q(2c=&EKzm)AEa57@%Hw??vRSXf04XKl+u5e4eyBmT~<1 zya*hNeT06J`6V+3>9d|7N}*BE3qju8X^nZ4ulF*iV63ty8DsjOYPPse2glO>agXEC z_m8tZXHh}YiU_Lc9m$e>xOj39{ax$%KHa&#v0iAxFBw0dVQP$s9mxf_$Bmyhm780I zTJ1@PEA(!>yFvj6;M2ywKQO|-+2T}*dc5j*{&4XXsSxiNdzUpVtsF*vqtOymh~?Sh z;b?K*<+}Hm+{{g=-X}^TfxEd{QVG8|%O1lpy0JJI%on7`u{D0L8AOW6y9~Q?_z-U_ z7us*0A{6yYo>On#;@=NANW6wh-asw3WgdGcSjQGh_oc7-p~v&DUt-GRmJ%?%%3+Clr>QSNF%ngYc&j*FJIw=4m@@iZXX zchd3-;=I#V@yqMt=MWV?#r(pCzLqY!$jP;h^w^TPuSTqpua)H4GTx_PBLW!KC*mET zj`I7TkBM_)wI`~l8-w=0!wa`x6z0_SImls0F_zuFlwBbj3iG<4t&LR%USG8|<53FA zj}aMQVP;NC=%!EJbD_HwfLMvvOik%HvK>+1$&?CT%zf|>#uUVVq^_%EsZLJUMM8mc2TYRmK)=Lh?3m-NDHz#e=HqFECEfUEEb$2*_g!O*O zu5a@v6&oIz3YO6L>>zb%W~3)>zWikf>b9@REAGK6`p@#c6)1ZgW#A*2+_>_^H=DA_ z$XJ)N4sn=p#8gx~Zt%`BKCS(PBrdZTj~{IyL&{jj+JPGlTLm|T4YPQp4WPWo>@2o7 z-*K$w<5c-%&FZY?C;8ZFZX||2ojJEIHwmqO=k}&CP;anA126g_-OF>cpmNKh6}Bj0 z-w6-N$6Ks_Yk&>AcO)L%v^9Q#a0dx$RXbCM$R-k1?zamPslThG#{<5D(uKpiQNm;W zC~=@@%?K+XD74+flY8_VB39d0Q!9`&-bWnXK^OoizUZIx-+ci81vpE+XE}=98pjd*f3;V`D&($GM{Aeaom0JO61uuS&=)$j+sTrd#RqA zW{*1J_DH^0E>6?3BC2aS zZ85Zk443^%6xR61O+#rG8hvo8Jj1sRV_W4mamgE^)E~T|jY1fHHpqir48#7wkWEt& z!gFQR+vQE`tZc9^uIvtQnE@|0HQK?rP;F0Z3&FDSUryvm(a|9F2gxbAf4KkMedL$1 zNIpD?NY_XYG8)Wukv&Al7ya;eRz_>XsQbzftQKZaupgVxld_*Ybw^I>)@D}%m2y`Z zo2cI`^gvUHDTZpu3v#|+_bp!cnLeH9M3))9jWmO3Z&DpvzTLX_EFPY;`KZ{el);?T zi(&3BE(HJ=P5gHNm-2on@&D~ECJgCws_U2<8sJHi9qgHDR<}c z=7<~eFsmsd686OJF4meqS8Y2E&);|+lDXA?rfR^g04LC^PFP|3DE%dBUDS6Y`>mrz z%dwaA0e<)c%_BH*zMmU6P_~v~p+`k~s(A=uW|!18JPC2g@l8+Dq7j38PwECRttfPc zuL{vSm1xM$5O#l!WpcpN%~lrJtYNgF=Vb;&V;5pN4#yZwrNVOg?%A36JDg=KDE~P9 z?x@!{G`2V~@e-G`2P&>A|#F1w(f5g5nw+8*bbCf(FIaW+b?b>?@xadK`5Dr)%R1 zD&e$wz#G4tRn%`gnMtJY7)W&jb$q9qktT0O?Wjie*ad?#@ZyghM|Q`~+-NT&;H-@Y zXosn73LTI487;V?e&PupK?yED+*g{=n=D(hy2)X$%L9(ND^-Azs}bYZ9N{= zw&E2{1GdZzrT%wI-{o$6_MJVUwRAa8bhxBNHojQMi#wbnY{*f-)1i{G#-Y7Po<-lt;vK$`zW)D1$G1e&C&lLR(1x38)%X%+rz8141D8s)2@0q?4i+YH6^wY}* z_z-t=c-N_hhwp4u6Gr&O0T|2SiG3g4-Vlu<;;!Zn!+v<7OdWYaWiBU#+g50KP1BxY z(a&KR|MkWh{P_S-S@zqg9uIvx;jE?+Tb0%VKdsi=yG=!c)4)^yqLQhCX@Lm+BI5^v z7hJj`3%$nlksjMLQd{BY;TT<8vzi-0b(x>_D#MzRZbgYMP8;;76NA*wKZI!cuwm!T zVZ2cFvTQ0h;Fq7r7&s`jv?RR16`syI7KU&a3Lo?*STB#cUTp3Q+ zK-f3*4<>;hNNchSY>Dk6Wp>G8v5DAqR3u8Seo;tL<4QPop0My~VxoS6ySxr8q_9G?qazBV)-iYav+RMCPpm8{0DeL8&tGUM zbZB=SVw_NZe?sVV_uSKo{=vMn*?Ijgc(V6Cqo?sSnfC4{?ZL0?V_Bcy2M95xq*j`y5`I}hE{dbMrM%eEv83>oVV84N1p@3D!pv5b9qjoSZwL+i2V9Z`?h7_aLM zg>@TcnX9Mm#eP>fSMo?7x4P+?upvws6L}f>bh@ldypR-Zt^(T zCLE+iEX4ux@Lq8!t;!z=+x@C<;(9p?PDrR5{~edN2!FOulAQ}w0LD9WPg&!Z)2_~6 z=bm-kdGb8|2a#;94gx35WF#h>U0p|Rw3h;_hDn5NwTetUtZvizVNluWOW@EeGP{2F zGCLaz3MrQ;(QCqC4qapX3~4<+UY2-ks8ZxHCPY>+t9bsj_T=~v8 zbgo)=Y6PWc5>i}-yg(>9#4Kj0ut;D9RK)&)aVq-QX3%qx?X*;CD-%>Apa#^i=B>S{B2ad$Ym^15W8)_Ojn;I19@L zyYz;uU2#$86O3=9z^2V&t%-`~9#uH%={xkR`4`1a?ZESEo->iD%E|X27FVS6`8Rgk1ep68t}fJrb6BU?*b4O zOv9|n^A7PVf)YQOqKome7&?l+H{|F#L+ROuw-*v9SA1{@5mL^-I}?ZEi!GL8mm_77 zO*dR9JD_S*)~>dGvuXa#B}p>YnRiJE3IQ8IeKelU#gdtO>35nsMWs4;JpXEVYTcV~ zvfAnXoF9z3fiT$d`8aB)|D;gMdhs1bwRY6eK-g(xtBs&ol0|_) z$ok9RLVy(>7mKXPGhL;t`g0oL_HR-g*$Pw_)`v=TKxavAmODQfm!jwa* z05NVm^G4Ss)fi_k#iV)Uyy0KO=&p&GJ~j*7$>lLaS)d5yz*}eF;wxO)0_Oq&SP|pD zann6lZPwTyO9+=V(8QLtb@ZU*Ufj?+>Lz={bmqo7E7Cav%wLKI`Kmu3MlaDSlAweT z)$!zw`3w#~f)R5qlnHO7sw&=ptJYViS@&LDfNVd`42M;t z$?u`LGlr`qqk*MeKcsO(eQK+Q_?LKFZIw>nR{G1TR0~<5xZ@>;yVEyHcaW2{14_i< zCX7U&aT~}>r-dv`4v)o<_^6Be=&e*sCb^l%TXt`_sxa~#Ns_~OJPI_1%Zo^vv6*9U zJpK$Ff45PhDLX^TUrM?>M{4`rjXhBSqA|SiX)}eC1*FU1W=@R53U(kuILoQsqK@cN z&*{_TQyqp^%{sES)YUlKbLY=Ww9q=o-kAqCvtxwMkwL5ttT=Ewv894p-Ute0^ECUL zvZy8)rgWX{bxWKeEycWkken`i#m$kav~YLEny$uV7Zu(tyFmi-rBD-E7hQ`P5`0b; zusS_`BdWTTSt$jPZw+lflDf&R5!*$lkJa2g)Fd)GO8X4hpA4W79~Mp zhwE%tjHW|#O{e4bCZ-OeZq)MT)75w#gj}WOC@?B3fyXHtS#T9hpb~C$x}$Si1pV9g z#+r1q>YJKn?r%U}pdgL=z1M`W*=St1@;C~r2*pRIb4%~3yewvmH=RdTROddngKK1HeY=>m-kOV7Tc6O zdg_JNPb_a9Lbytr{arw_k6ZrIN2R3D;m6EOkNeJ(Whz*r3lTR&F`$o~VxCmD>&|EB z9I7%WFSwSSYfA@MDD~mk&O4b6&jN^kiXM(WP#VC2OL%^N=VL(n>=Z^!&C_>l+FRpgMxK( zMJuI1T5w0auBL70SG+UZr96vD(~TcJ6Ri2xbuxjk-+A{&V8e<6%uQXz_kGnBvz|<; z2H>(%kK(;c`BZk~UpuBVz2E;5AIO#pKCPlTAbGJr6sv53Gqmh#VtY03b3E5is#H)6 z0}AaXbV6fy25XRB)l)eVi&g0_tDifS-I;QHGp!L5z~Z{{{tirw^fpsf_-Uz;c6CA3 z&AzJAds=xf9~!}sh>Vxhq-G%sCR~1^u3J+Y55M}Zs3xEsm23t?TSn{-3dmlpCK@C7 z9dio$+ABbY_R(%m*gzp&nzK~u8k<1%+8o_trRn^TEM8lZ_`BWpb$&n}SLfzQB2V>2z&clwJD| z49b=9Fc#>TgqY^5Ng|Q(eZJ47o+;^0McH`8?Yh+^EZQdHNyX?-jLj1W@eK zG)GaNADTKcGo)kj5wuMl(KJu@r&zcZWqhp;WuXchHCB~4vm5Xz@TWRV+F_MxiK9FY zI>)C!W*yg34V;EJ`0$H4@nvp~2}6N$uzo;k0;FtttjP{6EMZue7AW>4dDj+)R?b~t zO}9Y>sQ5s3a8|4H2Zp2Yw0f4|wv2Vm{^#&Io0|%Ays>_wbHwTe)HHVbbvfqlVJ+=m z(VPBad%M!4yS_R?et0o*-c42wMOcDHlR}W6{=bAvkxPQDiq;O^?47S@5aoT{tG4@$ zMyVKu7=K^{kA>vMQ@`0-aUS5VyS3OU<(w6e5g>-*gNcUbJtf{9dTv$AJUOjL+f%TE z%O*Q@Ux5LmJnn~ob{;IV2txw(LuYPmbHd|7J$ycl3gt&FTLs5Rr&E(r-43obQ$0ozZu;I@%Ye*4}}C_CS9;4$xS@5#7ZqVAgSl4FU4-kkkJ zP{VCLDb}#IY9xIVK)lclOZzwA?-_h8uyUsa)yU{daUoyIo0w|My}1$PP!{>00&abRWv$t-ovPjv7tfYPq?#F(tXjXNb9# zfX6}1BEzo7`aMj!>_frN3O~Ln+XZeK@_D>rBF`8nD(G}o{rif0fHv0l*C?+`N{u} zt+$Sfs(btYK|n%D0TpQ&X%GaYyJkRQ=$2AyC4qU^C5vjB z3BlhPyg&End7j_*9~NuvYpwTdzpp)W=A5(lbs4+_3UyND7g6BgTwI7WM!GyVO&f^i z`u6rWlvs396WF*K=T#?}bga;61W7Xp-{ei8D=;e$Gk;#ZbN=11VRf0U5%)7hi@e*2PRWF!+ zW-qtQY^T0GSM?bTM?A8gczVrDz7vD(qnjidPmI5nbE~H8g_dMa&Z}a{q{!ccmJ_&! zM^OraL+ZyGPN2uBGRS`YM+8aRk%QbzW5i3i#Q3E^*)F_Glj_#RX~kAI^x!R~gwTGa z-2B{g$GeaY>AisNn>LIYYpO>NLsdO<1B)Fp4C~YjRAN2Nbb!B<5;IIc+JHOtcRhb_ zKE!gT|G|k4JO>^Lz~4D9EPkWL4a+0Hcc+5{*p-;-sT&L>Vt+QyChNYg4tlm`F@S$y z;3l|LcJa3bs!dIb5hk-&PoLTdLBJpa^apmXJ`UsP--$bmSmN4bb5`>!oo?6YN=m8z zTrglo0!-{0&{0>&l=;DT$KQvk>;z%GY&7u+#lCG20$rrfyzZ&i4o51Jl*r+mT z9VbdR!JP@>g?!* #fN3Mholy#^NlrPUW@Hk|jWp9X;UCqgssyoILx%6f}tR&{0M zk9AmhMWvEogAZbGp2L+iqeS`Z>5MURf+xZG+k7Rgkdz9Ja*8r;%QXWAH_;;B6#QT3 zHbxiH-`p$jG^!sb_e2#7Me=@zM5^ypFmu{olcEg1PQ*s1TxGwzJXQAvcqSV(-g4Et zmJOIFEAU@Fo1ud&#&iBBU*Hy9QN@czl_eZ`V$08ux=U^G`fb?QWS7gM)_@%>zQB9> z<(xk_O;zG2E)e?J_&#={T6LEGFxhw9E3Xk8XB~AP0;SpJtU6lw@dg#=U6l9>Y;L@u%KKL9oLOUSm;Jgy1Eu)+F<3{v z^yf6^wFkaWf?}T`s+bz%|5umZg}~*4z_pUg0*zmN=UYv(YeKTS6_CYe>oz}`d9aC; ziWU6E($V?@Z%g6Zt@#^J3TP@%X=MU2`^_`k%MxR3quZ&d!-ccl~iaIC~&ze%G=?ut(t_tGYzHI6ocnDiTFC$d|G zcl%^-ILnR;4@-C(uK}mN+0GTU9{o5!Xlh@B2JTj_IsizzNYjY{OwZpyBX$QDC7P&?Yinh(5n`k==HBR7GOq`UfW>+V{=o zB{${J6a)RT@%XY4Y+WK419#b7^(U#j#j)sj!rfG$H1Lov4RfQ`foFljgNTF0BFk^1 zB6Q%yQicT1IVz;rTZHV~Hi4Xg2$_RDXf7-zvSX{Y%LKj7@;TA6S|^FMTh&}IkQSt& z&mzd!?)LF!tt-K*wVNr9&z3mR;1XJuF8GJMv6+{mlus9vd&Z`Kf zAh{Khd&V!8`lmgo(&lvSCXfITF7q|D0rsp|M%D6`^@z*T(VgPbWv1=C($J0*8#@9+ z>e$ceT#=7!R-?g|_=PK+w-SI4P0FuP9pdD@!7n7coS1j~x>Olz<0QhNQUSBCyc{KTnhq^>8wC`Vv^qGB1L!)s0Ou|T1Ydi(ml9t;8VIRhS!BDa@ zijN6$F6m6=&3o=WzYmTF6`KLeY3VgwUJmesA>N<5#u(tP`T)XmJHOqhyYh>ZWQCfz zU%Jm`Ue|EvRq8+`=0`WQien=BmerIIpG3oL=(L;^$u&9r1TgzTP*8rm(*#`KVm^@) z(vYLaOB!MRq;7M>Owr+g1{L%l8Jg|=!I`83p3By*DuM|T_t0xpK%UY?l^jT~{GcY- zU-_%6Oivql;_6B4O${5$WPP|@hqa6P`3ez7?XD!_Sw^dq9$eqxO0lM#_Iek*#@N%I zpQRy}@oM3)C%LIykJ_n%mU&9PQUdJitu*5P8^rS$3yRB51&Jg2G}`^D0{${^GZr>6 ziIOViTo96>pX>BkiYp zvoqqzBx~ugnk-WPKTuzQBoYFP9yO=&cE4g|szHX)Qt=Av+na;GP<4xj%vv@_WPQp6 zzKV-GzaIfqsnQ#9|4{MM0L-%5+kTtFSBm~0xmi;ko|$l9Z7_0ofR3QG$*;Xmc@4%- zDhPfcY_MaJs$PuQ&*w5oOU-wzl-MGOpiSi>EyCI3#AT-c3oqU^Y5wFYhnTYDbA7K1 zK>2dv&1%m*XeC4V)=jKi;X`45LDKOPl1vh7-{20Cgzm~-Yq4bpWQy+L*u~ccc~K1* zb{1i?Zm{K51t}WaIn~jtP>1};*1N3hh+9h13kBiqas4aGgALLdfkYLdO{RV?l^mgN zdQ&GAvH-TK5#y}<%_3mfH&uZPefyS-mdiO zw1lKHNE{3}Q{XZsoE_G*Bh0}! z#4H8z<#7@QCtXwJmrL$nh(jx1Z^_r}Xn)H*sQmGHEd|hE%Jf-jQJ6c7Q;TWfyeFXB zdzk&6&YMk~wQC0ZB3>y&{}Fwz^0|Vb%al~odQ3k&ZP5}~W??t+)>USKY?8Zo1KE6M zz!P3f=hailL(13LCii|W1$ZZ8Y;O5jVDaK`MIkNP@fS#|f@!(x4iMah!V9)^X7E4%*r3WZ68Tajs;B;>tFtiDk!i^-S*L=OSd;K;rp2Hm0E^2YJqxIZ9+7n%x(+y!1 ztoBoRxT!kRT*a(j z#mrj&6T_IH4aCT*{S%`qWzhIO=dAo5CF|nUaXGAIExGm)uc`~@OsaLM9X3BCEG4vt z{kJf+cYrsEF3owh-oUW^J775MYFL`%-(izVG(uJoxly7F-L7U!PZg7?v96Lk91F$k z01sl*mmDnl}=F5_F-@HWaqQJ#n}DqIdokv!mrq&}h?dOTH>L#b(OiPS60 zI|9%_e4)&Y+;{PSwS~VBHyz%eAh-eEq@Z%#=9%$ZbXY*JC%jA&N=^t=tRfXSPX=x> z5Ap&xeT`R()Jzg;!wMJT{bfoVZp>g}d)R4^+YeIx>&(AiT>nUZ?6!G3JD*-bzPd~s zV<53R)EWSEdE8kWwCiynNl!D?F_BQ)Z!^Ygj5qgdG}+`vJDfAQ59x7Pqdwl`61vUH z)m~-G$QR1N5b=Y{VjA_LV4TBntHr*Wji$J;sVbS{={&eL;`SbX#o7|zH~z{zI|ov> z!P939A6{(~0OM>=Ee2{MZtdYzXT51uFgI2hiu}*`mWZP1V_91lamO%TlPP59IEzPT z<{3(UX-v>a?d+7CF)B%J ziT+{Va$((m1FY(9%60M1=YB7})+h11iL^g%8$j!pUSa3g=gQc^#m{5cUhfJr>Uv`@ z{w~qr$8E!&&cDOUm_B&DZvZkQU|`lGoy2kpu_M$V)BL3Ih&QCCCUm(5XzGNa6Fq_n zU!*pJ_^I1_oX`&4YGyU2XK7c!m=l1Hx_TvvbCyCP0Vvh%Ueo7t*h8N;D;#&6P|85l$Z z>YjiH*n_&dhi3-R)B6Ab#TV5C#m#pY`P!(=RTkIGWG1O66a{cu3~90)sSgE0`_D{? z@VzcU0I+z~t(v2YQhM`%R#Z~{67ofCo+oQBz3eB0&7vO9k#qyRa!&^KQ%im`-Kx{R);yI}s#)RQ7#(w0b)^t8*O z8fyV2NpYm;9^_=hlZr-w&tJW7Y+8=3@h6vM?kLiUt5P&zCE<`uWvoqz zQ7KIQBHeTOyFIr148(9l__@i<%>41|bfqm5NNW^^2Eea(`jaLVPXC)yY72dWWz7@C`K8;TorZ^L5MZApR#-xv%9rehfGg zZVSU42Ynezni&&GpjFIDXj%~KyaOe6!wnC{B_#ZnmbWbu=&C(l%!sl^Q58XEH6`#Ck8-=@ z+!}&I3x7hRf>V613BJbJeZl9XL2BqKU}$L@GQx#=_Eu2D9FaS$Z2ycJn{Q=yvW1`xl_cF! zJ^m8!T7LF)K1U;yQ4Zi%+b&^4txFy!B;amo=O1kY-=;B_1!t&sHJ znRD3}A>1T7D@31gaA&`hPX0XL7~X8kK%bsGww^_O17p(Sjk>CT)7qxn)|}@7mB0Ny zgbC;Ha3q59nwVcv=1UL5wzFHG9)(K$!RaU-@KPslp#Z9Y5B2MUFZMm4a=S!~p^jP7 z)w)JU4F289kJCN*6`39|nFeyA8F=&5Aez+qxkZd}F*rE;o+|m_g;PI>##td=WBCu` z9&xPgp`aUTEV-|rhioFqt-ed+sC$AL&QA2u>=90R+u4H8P5n7sja#kAKIm#D9j38M zb~rh>rY969pRcSG2F+4P6OBv`!+vMC+wO!){M3?AA2Uk$0hHtZV4;wq^&>ec>AD&) ziAvO1RY@JDHPOQgqMveyBx!ujf{w5>B}SNn%&i*a$k;V@M8F`aE!qjo<2H-!JSg3a zK+S}s4adQ9;La5N0oAwKpK!=IOn9*g_WOz+u3J!tKl&Xo9=_}3jh}IY! z%s62kQ2&Th$Yg5Mgs9zR269)v)6-Y;+-JJ=nHG_mQo`q_cABsf@v;?80`{z+(D#?Z zE#<)_tBs-Ji6BV_9m;jn)Pt8!NemENQhdBDCjC-h|1ucerY|=`ubo0lE2l_B+C+!| zDFGoxBCtNc(5zI(Z(h{fAvMhDW4EAN3X=z)%_kpDPwps(G>2A1iP8umrg@7nv`KpF z`gKw{g9_l)WrK3Y>SR2pMs9Q)Tc(%pyl`rptmDk{($sq3f=;}f#lazV9?OarIXu?$ zjbF=yn8(mffe_3?U0`i@;yd=t^otgd{>y6g1u009#usL=mo9jIN+wEAUe%uB!1Fzf znSD{6oBh3&BF;@83Cu@~!~D(@<=E7n!)n+%+w|}^FZj%aymB{}hRRdDHT3h0c^H@k zERIJk{oAp)7w*Ul8$K|kcE0QB`pFjfRSlWrZ%|D>Ra0oIrfZ0;&{bR2lPoRIxsUSw zDbaC+*dG>MSL4IwAh0twL{UG$=}RuBNueQ<>}`1g)-s+@NH~DDIbUxU-J~E_!;%Ay zr+NkX>YOuFS(XfqJo8xVKVPP_(lwVRHa;3Q)2XqWY{yLi?w@&HG>tN9t+J4ueXyUN z99D{N4scwG4dJdjxf#K00yZt`?cWdNB9b+XeVJca60g;0-Wb|k{cXTh-s-4vzY1jj zpb$Tc%T6h$l=BI0yu=5us>dW1`E$zpq0v0I7mpN&oL14WF9{~sG)V@|+H&(=Uzgg_ z6jIClzFywNiI=~*9=vLr0Mgs(uY+ynOUjG%fY+I=P*Gh$&WV>b$85v{Uu4d@cb)J} zT_n|MN3>_l_@z>En7Nc<&X-N1=GwI}t%G%c9Y{J5YI6p?f7}v^H%3G#W(f53`WeHI zC*5uj2VTlVa2 zIdkjaoaW`j95cGD!BQ@lvtm{ovo9(8?^9B$__&q|tB8IN_3vJj{|d8u)KhQR+PO3) z1TjhlxrmZm>ts;F~NZ5URT1QGWU-3A8c4 zI_Ua$9lgCS7i-EAmdriw4ca#)zOIqtVSIN->~LZvygwwy<2_KQd$WJaBGBP!gQOy` z1E#rsWjAo%#&|~t9m_I(9$%UOr{U)lKQuH>%sG(Q{)6LSCLFMku;r_{eWR)fY;jS@ zyc=s<0 zEf-@gS2|e(A+}c!&E~Fqa~w~Jv`#iuPAirR?yhK>Je9b2U$SC#K(@PvaP_YEDD1iE zN7MC_P>KAWmB>MjK1IKRmNv8G-|9F4I`a}ml1>vlDxI#@+>?Df-{AN%$X6N-ZJ_R- z(kKril0nt!jcmlGSHa5EKn_e}uUj@KH-FyED*!nWWn8`%nR&9}vJ~BQ1gCo!e z*+C4u9649~yyIyXJ#Z`KU0nF7s;6Hw$4MH_?}BCy<&VIyLj2N$&7s?M9Gn_29t}#C zuMUX+9>OxUm1&}nBz5WpSh*)%&7{qer%a{qn;23py=2@H$0x93+kT;i4L}4uA~mS+ zTHKttoO3>W=U}EDx!_y5LP3)fUpw){j@QrQ>?4!Yr0e?cwo{(8Um~rejYPE(2E_uF z@0G4xsNB~K6#AsK%JAid>8!yICCO-jH*;z|QE+6QbGMWc{B_NU7~uU<_Vr5d@=9-Y zb0PrHn{hDGEn84A_{XIjtV=HUnj8_j=>i4?$6L>ehajEV^hASSc4Nu2V7SQT&;T;$&02#V5JWElM0&#yv?5$~{)m6J#wj-|J>@HRJH@o+W%TfC9hQ z!l%m6_Alp@^vi$%RG&HQ#f)>DCfQYhxK{1xe}F1no&VsZ&x5?y)*4^292WO7SUo-Z z{s-rKTzKblb9@<)7J6CE-RJq(aaIMLE2Qn82XW!S%S}|`9J23hA^;CPHM^W9V8SvL zZ=(=A%^rvvOH*l4(>@NuYeMw{VuV}=(_3kh76lH)3UF)gsqCTDs^Bw#d79t~DanmY zcb$1tsE7A=VEkA-!qoH`Hf@cMXj(^ww1LDFRZKk#5gZxukPKo=dcOKsa;PF|cx15T{s8YGU=EhVWsQnE|<9h0;dUC;5j6_~#&IQin zoneQZ`>Q(kRDzC7)r2CcaDA{(gHc9{&tr3`(Wltv@pM-ep9TCC@R5`6-%_B`OY*CH z(XqU;e>^G4SFMA%#pU&r#*qfgRf3wj4zyCzw8OUxG?63xzU@I4eHFqt^fC$of$N(L zQlFt0uwb8aW2(2*aE^hH3TNn-{y#WOaQp97RrQyaeyM;Tb8GLa9@&sJAzn3a=v^tp zh`8}jjrcYejEkpjTTRhc>r0co-EiRVGqso3<{0d7W|UDgbfqh?102bH zyVD?IQaD>)o5FR3=qP02(QTH$Yq>*(Bi%|RKl+~#Ws}@lIur#KKPj|Ia}~gEz_Nh} zk+Jpal5D>Nn#;HJ5y-%yA4$!BrNb++(lq}sX+q`I9+v}+jUA&dIG@Gc9k@+iVJpL9 zmO6PEgBwqN?klvulqh)ye9&?;jvD@d(o`SB5^#5>lgMGTnHB|(#MLI<`V~)SQGGDi zDmW>qp{bEr9>1E59mm&;Jp`jNU6h@8DQh;0s&M+d{5_7>8h!5jc>%xk(%_$urvyjF z%;Sm^&~N^=hERED*kxbiBt%A=SL-5Ve9qOP5YCtY28N(XGHCInA$&q!w(UO- zR_Hf;zccSG889e35Eh=}^{~?jMhr1od#^^cQsf&}7^wH1hSc9yx;%R54bz+7!*5H{AaUf&u!<0sqelz2vQECrpT{MR*~B(KWvq3}c`iv~n*Q#;pmk=Gbh(AFUMQbAIZ z7mD0?Y{>lTcsi9y19SkoT)5vWGKB$=McY!pWzDf2Mq(NwNGH z{7M24^UHlT>DNQ*4tL0uC{g8248Fc`gS(3@mEU-cKgC*6kz_Qun34^<2}R7@EGlAz zTr6l4X(f@z^{Fhj0x>3ry)}`Url_P9uh_vMczik>4Jy$ZY@ zIhIcyR|vN9#Jq7@sDTg=;nBSFdLY))f5;@$sc{l-T=OwHS$gG%i9kT@S%k zm!x+sShd&#FlE5?mBI1ldZs0VqlW~E!C3lf6CaBllN*_A8X~`*^`ZRH@H2JCfviaB zb2hs_IF0ncRn;hPJ7-K<0!^BSNvB#;NZ!9S8S;zb8}lEWH-uo%+4D9+XjAL7j4$8& zc`rVAU%K4NhTDDCSND<4@z*om38bjS8D}GG;r(z7)??82S-EczIEAZL(t*A$$&h`mj^gsq=0Wn zY3yW;qkZqz>O0+~nu#Zo{K{9`0RxQ{mHnM;P*#!@ZpSfa&N z4lk<`^y!0t)p<+*t9~zPx8AF(r7XgViZz*Eveq(V!>XASIcTiI{V$;|R^3GUV@iKH zA|_I!mgFH;y*KGQbYcb)6|6@kb#o>f;m30;B*u957;O*NYgPu zD2;Rd#q#&FwfB{a`rhv51};sHQYp4sm7%sO9=wt8@Oug2@6@?LkY4ife!SU`v#reV z&3aGy4<&$u+)2OnyAwr5x;!Yxfji7Hi@!1D-lhg6&dSXVsWb+OrvBl%z5c665_1Rs z)gDo3zJh)q5?5U8a1{GN`)ryC7Swm3Y%FNJ4z!-Hfo_4l`MK&+sZuK9ov+D@9gY}% z?;AfFmNxEe?lEfzoO$5Nl{c*vQ}~fahyC%32eY9do$tQ%X~c%zU3vJ&b>h z{RW5iG$Ru!4cssuLd?oOM@X>`V-`bE&P7T^+{+l8#H?42(F|haTN%NqO4&YHZs5xI zbUnyNovf4Ij%7NW9k`(|NlG)udbds7$4u@am=9dNpUZzJjI<&XN?4IiN#+|FfEZ4RmKy-NF3K(c(S6c`)ouH)?sN>GYmY=vN_z24HoS?d3lHm|V3j(<&|5kP z*kSF3Cim6eWfMCbA03aCY0gEcb^U(65C1lc+BJHVlE}-_2Q?&LWgh~339Y&E#VU*D z=INbLpXa8{EEZ!*wGj+pJ%PV$csGkulZw3Vc4&W1jD=pQicEgMs-pAX2r>doPM{$@ z&>mP!5a`QeHuh(X8GOi(n%`o*U0GQxtwc-nZ>r{)+K~Sn1o5RzdRS6WLg(-Nk)T|K zX*nm=Yk#fLL*ZjVqz#!wQmG}^ebcvoU2Iu9l(XGx6yDUfetJ3z;d*TtU zf*{`c6G03qb#;31E-~)AfNNeDixStq*2Vy=sI^~=YK{pm6`gu}gRUJnhzlhBX5g*_ z7HmG=+vT^ik%E2q>796)hHLD?3}+L;sf_=GypnJ)K@E+V6#w}7b+Fm{xoK10yiN~b zh~kP87@EC-U|XFb72r0sdcO&%!sh@_IlU)zoULkdncpN8NscsC!bmzC{bknCFh|14-Id@e9h| zU2Amf`j{VWLmEtpD`*GtF8myy?ppkz$~@dd?Q51}Hhiiq*LrvHB&sHOB>YL2&rxn* zp(yt54RCm=_BVffrtd_X{!a2FQaD!;*!-!&qHgHL zTrGPbI|~s$inP7=NJu|v7(>lTA2Rp-SJJ+vsj@xtpK&=QV-Isuq0^XP^ghDt?h1ee zoY;a-mF8J*E^b8S;1(*HacaplkRPUa${=#X7;!kg*f{P^IUV=l1ybcsJdO~3>>mwN zi%I!RdYG_mc2d2;Z6W0aC3iL3>~ac_WhL`uzW=T`K)Ce!ly#ue?7NZ* zc)U&%95@~Gd8*%q_hpn1EYHauvRlL)X2m7Hrvj$)bV6)$&NMfBv6jd=ywy|;-P)4- zoRYc-c*TOty{Dr2Fpu;qeZ-qGB5Oyl+Wh_Iqx5x09ZZH{l7h?pO7FOAr90|^3qAT+ z62E_s<(}Dl7xa@~YQ~#7wkwunjrM1aQ!ucT+FiW!%@JO&N^t}Fh&pC=wv|%X3H|g| z!lK042uWdZC7(Tu5;MqxXrR~sg$e9clmXnuJiH9CBS<{$mhEp(098m0tHS3D#pmg` zEA|y$88^@I(VL5kRrEK3dHC}0@XcH=R1x{KRQGgUfctfcXs73#y03qNm)2DLb>q!N zLuA@qyU!eA(FXPAkO});a59KDqKUb%jJ~$t_SGw!ALXK%7Wt_a(Iu?hu;)69l4Q54 ztC-8xpfx}WDMIu93-+{XH|tGV>ac3)p%{N#LvS;T>J1Yj9U>ybU}7l`zqM<#%Q!D$ zquxoh8y=l%3%{8MwQMjT$`nxof}*^Mf><;QQ!EzS42Y=nPD0`aLh{7}3=I zgnO|gCGm zfCFNaYX;fs!CGv9pu0E9+;TQXva&B`GNKTr{ZGu$c=a=2;b-b6X#l<9KAJT*S2ahv zHrh-pI#_bymX0&M5bgS+vO=3wKYfiJ#C|O0zA0>GhMMha#3XLBG*l@ZV}=GJtzPeIQg4E%bHR`)=$chDNLJCt{vX;%{QV^Amn6)kVvE zyZ69CBm9ZNQzmn!)JYaGXp+B*AA|hf*2Qn&32Th&Wy|haNvw|3ovE;5`speS2Si1p zGw-u-zbq!*(qlZeKwq7PeFcmevNOq#GTW2#iQXRNF@I8p!=ps3M$>%pi`e=XEn=8Bx7UK8AVCAi_K#$vuJsn79rmRA~B9OnM=>CiP4@6UI*z}F=cV= zMqYHMPp#~H72*$$a5T`+h)%Uc64W61(Q8YSoeMFe0!i|h_hgQ}FOe}N4;%pbCRz1# zIQ=e~uSbNp6Pgc#@6bTBY$iJu=g zSyAfM0Ut>m8Dd1&kb`Lk#;Xa19@72z^ta~mpK-J`zy1BLO^Pq_w@-_zXVz$eN(-C_ z`1>Z?Iq=uKOW__1h!B=~(uQp$gI|hDyk@FMSTfNHxIUI6k;dgoUf|r2hxe+Ajh@g92$>I_D zdBD&mX#PS~%Zq)wG&WG5UtN&2kUv`B1Dv#|yOfuJr&GRH$j^av9~wP`&uqn&uzieDPv2JkfM%`lgYN|ip0yjMsXJV zHGe9ISn~I*GY6M#1(Uf)e8_|ppn8lN<7?h!Ls4@x;~!{99ZXB1;`PdE4Xw_UHdH%& zFv5)_O-R)bFNX1KiS4Js#&)aW0rYgY>W4#OnC7?QSWu*kL9dBrfW#@%0FmkriLk@X zVMErv8h1Hsa^Kq6sW3VHGsBCgs73C>&6GJ0)z`ZJ9nX}JZCSvi)s0upu-o??Y z10B?RvOh=L4|M+));r4mTh^2$;fHIF){l zrNqn8YvcWQZ@K04^JSG}R}!0@UY9Y%24}+e&U^04-15eUU@n{-jrC6wnLg?(ESvP0b03tiiBjO<%-2((aae8T=+8>zLAE?>>XElc zqsDIExY1<9LLJT1Zt~8=rwr0K-^7A-x-Oo8m*2naD{kuD_MwTHCNUD%XfD2`L}$4cYN2<6t?p}{RXu@_`U(Ebf-`J8xR!Zg{s4gzU@gP z?{pd0j0hQWlC3i^;?@m)xjK1tkQhs|%WFP12?AJ~5lQ>#uiL3Se*DLT(7m~02YAtQY+3R)~3|4Ko-W(|7!A>8GXnvhfH2D zcsqlp=)P{Jr|A_nvxFkCD?Ue(n713qOnyz$ zhIz}>XsKtF019MftJR9X2}s$8h9a>gYVm-+7GVY$`8?T4H;xmiV{ChC(V#_kG44x zj&NR35Q&+IP_rWaMZ6ZrFbmOx0=bC^iKRKOI_6oLz9ePYk~mSR&3y3vCQI9*)aa+x z?jq&CTtBMH+`;V_{l|Qkqf^Lf&))iT4slTgU>8uXS)QZm6CF2j=HdEuxgBsKOVt7s zG-dL2J8LDZ3^0twQ;1l)7iV;ru^cFn2LG3{iVE*mAeZx?e(($h8S@Ox&Ht7Vq;->J@r#)Pz`} z3q!TuQ1oTIY@;F^kuxJcaD%@oZ=s@;i2c_cJY~fwl|?i{L#)0r2h-7a1r~7w4p_GK z0KEI)dc50_u1qyylrWtk`SOaJG55~&InCboW8sL(3TwJG-jKovq27RFg#7HBuYH1o zvqIu7s^;QrEy=pa)dB|VSm0M&s&4P|#TeDqbZ`#v@NEIE@g{()M6WAa(&wU$9w_BJ z@J-Fw(-A1;9JhkSl9-6?YH)n(uIj3myNN9X{e!)-1rbkR*5~0HgIh4mqjC0uk^743 zsKd6x+h*IavsQSFsjf20X?ccF&5lSqc|sL$z<~sC>q$&V-0IV9|ELp#>lup2`tJJI zq?629Qkajmbm+-Jg#dY>_gzAUBW!``dopmzD9KmKw{_NlJo23Ei3vm-6vAVT{yxTZA2BRZ8?@Iml%nb0ZidnI@Fxs8 z{MRQ3hF zb z>y5Eb>pm#0b%uVwiKLkUP3%dfyjP7!CC=J1K`Xq};{S<()-{d(zhcZixrYohN$S|5 zgoU;Y%18m8MR|WaF)1pU^r5g1@p%Fvdf5m(g6a1Y<&{JJ#gT3s$mb8i8H|c_9-+{_nI?$^%q(7f1fq{w{ zx19Kz67Ub!la}WHNpZc$`xb~9BH~o?9c8J znw8AC_Q5MNT8ydINAqBcSl}svL31s<{=%%1EiFG=CUZNssHe+|bIt^%qr)-(NOF_k z2Feou`mwBhFY4+1;v`V(d2~3gJ@bm^-$CtWM6pwsC3T(h+7CF-a$p(9Y*w~xi5tf= z7tuij$XvKQa9HuSUk=_^=hHEoP=ZonNanzjR+6+xUJ-DV-dpzag}{ZD)7T#zSF$`G zG?Dua$*OQnV-TT}X}3r4lBq5f4H~lcxTokxt@oa7b>h}~J8NKC?b$ga#vS$Sw@45v zgyvR;)R67SwKqr{}FW?IY30Ipt21G6Ji~x`(<2i@_ak%^PK|Ntf3qxT_>>Mm(WaB$|G)d z-I36Sl+rRW;PR;r{xO^Me5WdI&Ru6)=AK-o`_l}2?<>*2#iA+WWrP7*y1=eCG%md3 zk2im#anG0tdO%+Q+8Cdod2SEYkF#|H@v%JFw32|>Crsl%Vxf)yS1cGTeu$@nZmJGy zgck&=?%LIh6T4`Hm*f#Ua2c{MUogmXj3jCL14r3Y@uFg__SQ&sqlFLj_R?MlM09w9 zpO;FzeUmyl$dBw%t^ta$mpT4sbeyM3NIsOgQLdh?)pif>YrMp5JmGeS$wzz80hiW# z*S&Df<}}_-ihsFf23b?CeHqm+S23ryh7v zTM=nub+sG+4M`x3buOJX1OLGxXP&6C3>o&4O9{;Zp1xqX_&sG#oH@P*%`3A-N6Id4 z)1_AIMaF}%P_pNCAghk8@J_!IhC}}A4gurkt_ulQMm=(#HJlnT@4FYUX7ezU6nq86 zERclF8<`{(n6MwOH@4Q@(o)fz9(MjNR?}JJzM}3(O2g|maNn84Yq4!GI}ST<~Cp0LwywKTpkn!_q8|EmZ(F3IC^!1YUxKlFUEjFY4$-H-Q4^ka{| zKLZ92u*%WN{H_23u!=8iUsHB;G9>02xMNq2@p^AwPgiygi*A*5xow#>lp-MF56&2M zY6M{^DgH7bOT*7ky%R$A&WT3%z6MoN$e~Pt6jScvyOs1f z#2kdppY}vWTmaE9vQ#IU=l4JVBdT^KdIy_5r|1w#YsEs7FtdSi{KDJ|@cGlueurpLc&{#oWuK=#JXYhv4<3pQ6CvVQ=U6mR3#q`-?gBZKRI_1N=fJEb_e ze_uCSR@$nT^A{q&v)DBhJ?$L!y0Y|1L7P8tCug>_@MXH@J8j|Q=bQ%+^=IGxB1sfN za@^8_ACSL(o{twDBx$2mV3KSs)M=lR_I2zh6$y2^%z`lGYd^f~8$px6g3{yTOL&YC zG`c|e(@ER?OjdUM4%d@==hNSI=>yBl;wR`{hj> zcU!X1brq>Vn#97anDNl{vL`u*Zpvj;64NGQU&& z!`s~#GJ5$^$EY^RD0og?zBZpjRr<4ksUVk&eE3h8aMsQ3dJMH@+`Z1DAHQe?=R-_n z)d#7>sH=DJ%&^6x(=zM{PQ_7W1a)AbOQ(%j)kjZH7zPGAFRS_-Q#!`r*n!L2phr!9 z$zWtSzLLdw5&m)1MF~N6`wtH5J85cHan>7U3GVb_KJI~}2@PQ!34LV0TNf6bFz)*G z$F}Almd4HbQI57n1&1H<*n%KGSFSfLFew7(D1c#SV0hG$ALU^Sx*CqBS?>Q^RojFN z$X=Pk*ogci=RWbUIE>-wOmx6uxw;bc z8ReYfa1X^tN@$EF?Nu;wd=wzF#~Psx0qH4B%N;<`?U&d}-zH>DmYR3-3uy=LZ(cU( zTOU^;U@s(Vh6yGnG~P7DH%$g#GqzKQ1nt~ew3IuG?D#SLN?o;?VwUrb@Izj@B7cwE zrguyyZuLXat9*+NE8;g_oUgZ$!+hho;Vxsb$XOF+NOQ(JV*3jLPT9U-z^I?EeV?qG zdp?Cf9gj!OLYW6!-lmTYbXsT!#uETWE#n+_d`Sz*2fhtb`09x6?}S)xJ*d-{tUbLc zbQCKb{cH;)DIGEM0-2__$fNxNpC?J2Ozv99dYhCo^2b})?fs%LQ{$n!n5|ouv4VU^ zG`)#|-mMYKTPZ%=Y+qr{ImYGb2%DXvG78(d&x(u5haYnP;827uAK(Dxq@C$ElIrz& zocb_4P!{lqw-F&6xRZn@p#n^AvDh|kxzEkz1WK~D^n%C0kNnrI`$l(#S#KJ|-W7iluAmtEI8tX|KKzSk%)t$G-2HmL3-o~HBdsngG5 z5E7#peS@2LLm+ZcDwT2_S-XFO+yAK$JuEmNw5bMxyPHcz{Oi+&$T8QDh`Zlnad0KdRGrj|&7_c8!DLQ(XQna*h5L+0iREIeuJU?0^&OBK@p0 z*`<=T-6NRR2ZbM;l0XEV=8=*lsLH{rnley94_W#bP{D*43qwTI*FVV;7NkmWSEq44BEoWXuN2$>fClJoXG66RD-z4)Q|jbD@HkTU|Q3mWBa5-n7M3z?{#!_PbCyU&-sYLEzrTcbG;bZ?F zUvC{2Rok_3BO#%pAfR-PFud@UHHG~pU z_qT`J`+lDHeZTMf&x7kaX8qQ=*52;8_IbrcN8fgedf_06OeROm5Vh7E8H@xG$36LZ z6m5AlYA?u$z@>5@ZSd;`>50EmRTU$hSF>aEW*!fE^LD9T@I39y*|v4{1Wm7WP0pT9!NetYS$1Iwd_yr0$Q)N>@#F=THc zygT#rP5GSNRLx!^D#l4az1h4-6jd=322ui|4VoXfEqnUFtzAjR6oNOV1~pY0o7if~ z6D3nop9Q{Q4W$VyX$0?v=1PO7wlozSofIuic-{&teSlX-fqU%5$jToZO~??X)ERf` z5^Q)X!3`eY+c|a<(}A`+x4xR`_>PpFfO_o27GRL4w%iHa!U0^6xQ$=w3m@M2H7!)b z_Z^j3w(`YY`g!Ldzh*T+&)lS{ri6B|6RZszX0=)25$U!3iGs?=#Dn#p`;ND2t{k`D zT=p7w|igd;<$PjQsL8JFZykEXASB|_{ z84xYTYag>t{^94nu+piE@2T`kxoa5sQmos8$+mt+6C_Ac#Uo`yX_J9;sz*D^bKdB< zhx}p_m3wsFDMLSgQDpG|VD3}#FO54GMxLq`|0Gx<8GKzQkM{I2Eq%U<&?PtU&0rhH7OozvQC_@ga#sm_W-WW8;Uv&`=LX^Jx0f^JDGh&dW znNm1z%sWin0W$;))D;1P+8=YIJPC!+=`c-BM`xkIJmGdB=nZ(PyCP^9K($VONwCid zJPwq{eV{S|JhtRwzwP|V_Ek2JdWW{y42ahlNS&301gKRXF+Mh0Hp7<3oTdhVyF`+4 zQl&|Wp0b$5FW>;wizX-9_KBIb)^9R0eymAZtCOemebbQV!#e&F5d?6MXG;`y6Pggz z49l~!Iw~3;n4MFySfN{trhNt+WE`A4E_-SlIYuLQ9!C95q$)YszFZX}XgEQV8WyU5 zkH`pkNf{dq2*9(*wz>xh#6~uG!P@ZcuJb4R#=d$~jBVhTbA68BjW<;oTvauKwW{c7 zd9z=UD+ZrNmkX2AKb6#0t)vtxe>6)a$5Q~1&H|y1_5N>FXiK{_pS<`!Zt%f*2%Yy_ z%kiBr8c@k+1D3k87_*aObn|Ho_ML?;?t?#2u7(pguuxP#9NsP$%E&80E#{fo+5Hcu z6cku-uu%7$KRK)(VZi2wb*oEO$Yl`&O&+@uD?RXx8tCN!nmTG`v<~3uJR=%ejo2ON6Ti1=1 zlize?Z6R^kr{N{3@bSaJ>KUvMGjV}MhQS+_$_?aq9NZp4;sg92NpiH)u-ev_rKQh( z;tq3}ONEe+0^p`?(eL8g-EDH&$oziG5sg>73KhMwNt@o%ye-<;38SQ2T;vM`O!qOs zdZBq@hG{|1(7abea}~9Z&YC|wVb&NX#pBS#ie-={w5M?Nt?W9J>A6=Vi4Ykd0{a*> zaVDi4xl#2oQOVTuHHgPQ*x?<#ZW?&*E>4*~we3I{9s$d32z@CD3#Fx{se!7zyUFtW zxkXogXebhCpUd%rtX<+d(c$#&Jxb;7lx9<_m(tA%5Ctu>cT)l2x?xGDQq@#I4eRFq zZcX_bPn%N2s%WG7Xo8%O_y=e-7Q1jH3O@=?v-px=(Iv8|fy}+PH89r9xz*+Hoz5>b zB-z8t2K`wO5TYyJZx;|EKUASj+z+3TmA3S(w}iSLxMmt0(R_t8Z8Fd#1u-*V<=j`i zPgue9Zr$+Xc+s2jD0t#M2BqehTZ&p{fww0?3U>9FghWy?a`75F`CI(fxUS9{Ud<=V7C%&0N!Ab8u(T11 z-}{M0BWCjT#3hoDt2aC@pL}em!ZMcl{Xsvslv7O|9aKsTI4Hm!3anUqk-5#KyfCZF zX#`?Tv|+{yn!~`!_+tY`3s_TIbYc~u9eb4wsy>5v_r_zEEDNFGZUt|1P>Ob}$IwB* zkey-rN!veU4}m8(z_ZN$Crt~Ve7eItEU8KqkSP?E#Ax&+f|zLA?ctGykRtwTtR$tj zy}KrrFQ)-~a!_73z*bjB6%gPecJCL3DH~aO+bW~T&~~*N>9X7mz}DPAZ41juzhcvf z0oYz+Lt~Eh^8+1OZG{RB=2|Tpl_K2=B?0(aEL*v1HK7Ra16TMUHoTjrM!j{#O1b zoB!iUI-sDR#w{1;6lTge`FkJg*}_)RIn}nwb8}dal>)s#-kp&H!2I0V?Su+Oj6Rx@ zC(`Z1-o8k{H+NG6{I~r*t)2|=;$dH~Xw{529G_+P3pTSoZ+*=GsFCXq(-4yXgLNNJ z!~WWp8uYIO>6?%19kx*@4(G$y{*SwHaB$_kad50Ro9AAow;ZX?BQ)|Fo$0TFIdo`| z#Vi7D-frx56|IysrfFwyKJ-JMK?=KaUw}HMp}l}1mfFwpU#bKh*ULIL%%Er*^Y5yr z+|xI1%{F8w6nF*vS^{&5FMSldt$Oh#vtRsuoaOhyzi@1Y|H9#^_zP!BGS>s+y?|F} zl(x+%j!dzc&gejC3=}593D#q{pODR`ls2T#`Zqk>V6CL{nPgRtYi2WPwWb`5Lpr$w1aDAm-z=GuqCG>Zoi8tggcM)9>OuH=$XSM#vro$P7N zzN#?cqcx(h^pJ**lxxJx*?|{gIEn*~i>veq(JI-qqi=BVs$O^MPY0Pdq{!J9b-3i@ z*+CNNIBaW@X3@^&fNS}KatEaxgocf-1sUH2z3dGeBzbnUy#0+peTIBiqXnfWPYjcd zSwq8KHsAL*SSj|O;WXt0<6rq}Z#q2j{k{|gYynwkfSB1g>gbD@V!1eTL&l@IU#ht` zvTzeB*~&wF0|Gd*zU2E73#+v}`zmO5=J(GO=SD`ZYv_@1D)gP`Knmesd)L^($9*Cb zcBtg!v@d)y-Lh3iCoc_Sz7W)Db!fo=r)K+N*4>yK?~>6`+mQG&PEhi?^j*40H%L{% z1}yfU@k~ei&XWt>m-<3GTWY8n+RC%zon-?}h02f@TXyFqgi5ONkX&YF5)PA*HvH85 z1*RXslnttV?u}eM=?X;sB@7Q$&x(x2LOt9d0-$!+nYN5ukXA*4%G0kYsfHX)iNk=X z*+5iZ)&_zO2Z`S_f*nKJavLeiwX!uv4N_(RbPY-#8h?$xjpuHLR|HhWC z#DkoTLMuq&QmD7I0~HiMU!}LMuNu=;YkDwJssY#Kygke#yjT|xP_?l(3mEkiGoUeEk0t@^TSN zg#oB&^zSa|LHdU~&Kg%AuK~Ov*6pQyUd`rT;g{)7nx~iE)> zcBu+YrQaM_A^vB`CPBkv7>0Qg)|W=ouJUj-OT^GYQkMDFo`%#JK2BWx@sY^59c58f zj?-|VLH11P^lmERT{|3%K;)|&Fge8_6%kJjNkV1 zh~+1}Z#wgL0ecvAxj)R=%_%hmnJTe3ap9s{pm%|m`31YPKtS=Z;wjMTZ_R*r@|agf z(iNx}5lX)|=ke@XGnJ=cB0K-kdy{NH-?i4&rnGnKjA9xOm9(q_dFuZS`A8m-coow2 zn9@+QX??5|QASa;aD_}+ksu7*w>GXbz>GL+tH>My+y}3gw zN<`RKO`8l|B%fVraL$GRh~Rk6t&RfAbf@}vUrai^{dzUwDSmys?2Wyct~K$q6X4pX zp=h&oLZY<0r$M9Scl~`as&9tGnje66`f#O@V`y2?oa5%Kk!J$QmlQ-?JNzG@@RiXO z*ob|b)9Js3W53|``3~%|_bZs+$#nkNRvOE_Yr0AH8c^1uOfA2H`BiJ_5GjT8|EL%ur<>wxW^lR_{or8$D!CJl+NQ0k`hE( zmz`N7gtX_4ePk#evb$Bx?jmj{F-@_lPrj%o4e<()htj{`odQ%W+n^={3*!T2b8cv$ zG|cO0w2E>nIF!=_RCqFk-}H>WM~5uc`{I{v{yp4cC%p-X1}1@~U;q)<-P4$vr~nXm zJU%_!cw_4OJAceB``WQJP4qu8ZHzfV8u2UaVG)kduw1fP z@(SPbi3Pb0guPY0Y=p;n_f!zfLI@Di_-XbA*05TD@(&ZrL+6z98WsvTH5EI5vNpB; zo2q7zF{s-q>pTA2L$7=7#%L110o7*`{9J!evEUAQ#LoX`iZtWq0CtKnUVLgGL+6NY zlaUrp=@+x-wY)B-+SEKXZVg}UIRL5JId27;?e69{2^BmMO&-7@ zYpjhc>aT_Dh-z(#7+zk|vQ^@^p?NQ_rJ&zAr@N;!@XxqEFkYJV{!6kh<`73{=cIFU zE%y1A2fAnY=71$R*Y}FgcAYsQ>OYy^AqS|`%L^sdg`f4onr}bzEIm`A<>1@MRVYvH zatx7E6^)Xr`+{<5Bl$p?{CZ*HCz0971jic%fTeGx4{s&gS1!s@7(j9nLCS zG;Y>?7?SH3r~3McT(O-UV=sDY1@~Qi?Wxg_Tl$P|hK(5n6~6!+c}AAAHBZ(&i*S^E z>O`wr*3glwXX#V_W!*ht3Vb6?xsLWkI;tIgO3tY@)7tS0;}CcwWgJ00oHCoD%MYnV zJSP$!hCRcNviEfxAABRy;K}7S?7KW&Wl44?(U47OgwyGe1XzS2b&d7w*_Pd-+2y)t zBATDr=MqWh41$a!4kCIj$@Ie&K}akw9{aE=;oE1q(^FSY-F6RaCbn3cxiCgxVfNYZ z*Lp)LjJ0Npm_MsG@2168j33UFaX_9?X~Y8`isuBuJg?1WnC4FZuZ7kBSaEyTLUeBl zP!{_hhKmZkQa?2 z*R^96h3LQdt*9PJhlbVE$4@G0x+wRWayS!)&RDA6S&o%QEHTBDOWw6_jCY{_3#YfQ z&~(cDH|#Q#qI`=YU@M9B!1zOYyaCQ+D<730u+nz30kGqyVX>>$p_v!;?x4sFyFb88 z%&E~?DDI05Y8b0w3>i8< zx~jUQHAhoQT=U-vP!jOCEobUQuOo_5(4{nedVHSizEe=IU5s@6VAEy9vB#QC;a02< zn}$!t5oH$xPQ?DYBe>MTUdS+z2Ty~PZwdudhO>qsU&wfdo@Fyedi0)BBb3&Cx z&u@12?n+Y)lTdW15rVyeLmgU?`IKp2GOqx`cyy6?u9R^aS<^}i+#k%vF2|!K$98)-g=c;_-n*xpfYBNSGWtCi)TRsQ*-$f8ujG3c83#Z#KzEt}^5_NV6lj}^Dh zMncarZZI41t<-CAzk~9MtajM{Svajt88KP$eWOv2|>8VcQu2E!APd2535F5{&~@an(wX@yOk{F*C)tZ9jnZ z-`3V7Z{+WqrC+2fZa?1SA9ODf{rJ#GLH$PhsV@wwFc^Ap+ul1e@hQ;ja)03rsa?n= z?M59>*uVX3{&r5M%DZ#DYVwGFr3rY=ms(iq8m`G3Qo?gHxKIO{4j=9YGm%rKQl!$| z5oM&wa5gA=`9dcf@9^uE0pu}_)W95pd~AFzLRCv`ipkS5sM91{XEC;)rQ->Aem2?f z*hOLj#mkCk`iv_Zh4oEiT$Ve;)l~W1>5+Sg?$pvA^hc(G^z}M>)rw_E=&M#QoyprP zPkO7m>n|%M3r5l~i>@9f4Zy3L*cBHel}uK#^OdS6{=Ppr|&>tL8SO8Kq$>)KrTzi?Di|H4U$ zI-?odf_z+8H+1fFb*QuDZCE)MC>Pb1n(^ z+|!e~8aCtmKf}u_RP~Vy&c@Sdn+3$~uR?G{FXPg5ZuTZ;K~u1$`c!-(oubKDAilr4 z#D)g_1wWM_EhFg!=$?kQ?5H&K{pVZ&_p$^G#?N%YB#T#w|`-zSxxz9@mc8XegoWh6ZC^*{GDw z`J?K9VyKLAA|yoR(ORxkxj)P?K4F!sbxr)DMhiP$^UpX0J6@6n79M~eP%az*>It0z z>bx0GHo9WzZD*=3Ow{ehQgLujttF56y+xR;DfTc7i|EIAu7cF+<3o zxSb#q+C)ArcfcGQD*>}nRqV&y zW5C5c8%ZL0Vu>KuiH{gedcgl)-vKS6qwZU#ulOA13Al|%O6;uEPd~{^oOS%BVTt8g z4dFJHJ-@}nT+dt!;Sq`bu1W$Ue!4Tq3BI`PeY3J5=UhAv6xPt{hw5VPgDWXT<~GM( zgF2{7#Kjln{}Mm+hy@~AJKu7-iiq{&#u8og!V-l85gQc=7|#Wo-#0_$)`nszkFZ2k zu*iSM|CoU>+{M zF?{1d3v97f$P?!aOO40tTDyWa&hhu#TRx!K0W=bj(VemwRQRC}6+QsU7Rv`%gmYvv zc?o~I0vh(=`iuqUM$!GY0J$qt(?{O+rt_ZZwZueNqK@f)Y2{Qmd^qvuQTA+T^=F1> zO=1)1z^^m8(7hj(iS z4=BP?zhgp=&5sSbigM4>h)7q^+FFnaaVev7U5yfxXiYJv1v^*>oXbngsDa)klMM zF<)!1k8V7F_8cb9Jh_;91emv%{TJB#E3gd>EZFEY!{1O=q9br%$nhKJCo*V z`9ZymI&vsZ^%GU8Du+0@=2-t35m-S;QqcffVNdG$v9PZ6T-p*tXk%J4M<NU4@9 zVPwO>Ca{nYxauv<hH{Agy{*CqS3Td+$fGQy$Mh)=(mWtoNKH#jmH!=Bw7pMkO~Q*s zy5sWaxbObdKnC8TS^w2`S%0raH^U(~jnYAwd zC?0~?>zdJg4o?Xck4E_$E03jBr(p7NuU>MB-qx>}jv~}T`6qBwERwBLe@#lF>DAlN z#(TrczpV`&cfCtXGGN42P>^Ts>qF~+u5Yy}6I%RF&`Me3#<6;uAd;mIB~w{r-6e*h zq=QiTiIENx%~XbN#WjB%;oV&uU6d%JW48-BB1wP|e6S;KNl&7yG6tMIF2sX>hM!t~ zl0{i2RrAbO1q^z+XV3Nxcr&kotF)rgVh#7A`BMGTS8<%&TX;Lo53?gI$(mEk6L10d z+jpe|Po1_edem#KzpMD2o0-6XSs@hvT;f5YWXYV4wb8+h>IYtA~-kL;XXUd}Y zus9YL5K7JTb<`?OA$70Ue&5*-R8+(C*l2vFoU6|3^ zX!?$NL|PN;CrgFSqgxfhJD)7+YdL^W=9H1*&Bs4Ca$dJfdrCUVd!S>2wrNZo{xbn= z7G=AbA(6bgf;ri4s?8tq$X8@U)K@`3<8!jFQ1O8Z!6BBKllm*aaX96?9eY`Kvm+%| z?98W?N}EE5l)xl0WaQ}lit6429Ify(0Cp2I9Ps|={g22C6zlCt{z1fy2U^rhLpq?C zS&2?g)Zgp$%~VD7{I|KAl33cCHKQ)`HIdWtxkAt?ib}soER4`uizussw_uT$#xbqu zgK=YvQ5~x!1+Cyerwvg-JK+01?a1WFnemtzSbPw%jui3{@9f?65xLI+GlptT6U-O0P^0V;mW_@Q@4ZR`@x>XtFn#5p@l_?xbR*7>5 ziBo}dfaG&+qBcNMr!g!eGpOhm$RM5ql<*8&A>%3g6l*IClCdV&bYolK^FKK|M(bcn zCZlKsj2=u+&!7?kRu502SE6SKtA=!r;!^W<%Ed-Q0G#ZdCaK4(hDf8WL_=d&IuU-+&5{_LBnBlM~hs9=MeyN+@57gsIY{-9D_=c>d90n&Cd?~M#9WvxR&cwD!29*LS? z=_2!BYQ_*%NEIJ0D#8&(e;ccdf${ES$qN^Ng}x_BVmH7{E$>hX&f73j13`@fc^eE` zOp(dtCI++nF;|epWi&1m9f}By&_>Y8%^S;(>W!7uJ&&P)D!PU5u?bv*IUb8WW60Na zkr9}h@%$%LWCsJbFKe0?D)riSqSaoCMu`YuVVeKUU>|(+hc^5OC=$NEC)tX8hHD2e za1f#D^k&~o3mj}$?M*|wWXWqrL84A92KNeyWVq%IX-)9`q*yrPZmN*l2UlbLf6MWCtrzh3GG zU{8+*=*2HDTRL$7V6itpF(-2Tr*>K{owOmes`JL;KYWmV*#J7C4VjWla z@y&p(;H@hg;%a$7BgV23&j)3H^UBm5#y@V0o+MCYmr%PSCwelr6GePe9fMq*hCN!H zl;a}uK#Ne+1`%eNnEEQPSN{4|xx^yQuwko$VkK1xcv%5xlUdrftmcn}$(cN*|I@(7 zMKf|#EByVk#xs;91|lmOIbzGPg^^6A-eSzpB&6KQeO0fkin`Ar1i8KIT@H=A-XK^7 z@-4c4=N=AIwk=gAupRfZc9CtZs1&lbyGNw2hCzQ6&DecR3U+`#GY~LeX*z9oG(4%`F|iX+0tor0g3JZA)@c0|K#JNz7L z-iEk39+0N7Y#0Gjn?+dzB5r{rMSLr~kZsl5M1ht5+)5?uHsbP5{McNjUUyFMD}L8h zm^N?M&4>8`9BknM2O3Y={Nf_VUHB>F3g$TCEEPJwPn59|16NBVv!rY%T-%Rgr9LvY zl#WPVmod)yNtN*JUOk)G15iJh;0@0qtj=CdR}!Wmr}}iqpR{I~nDzedVYfvobLLi= z2WrLuSZZ&S$240F3nQG3?9wL%b0IgcQ_*%%kjqIyAKW0^T~QlxG;RRFw?KMQzi{+P;Oxx- z;khVbCchbcdaaq_SM76KFPlk%-_!>&e;9&RnkJHr9#C9^#*=um9gEk-E-yK$jitc5U^Rg|TY<)@N#r!~dzKanAB~|iikRif`1pKw zA)t^!Jl3vUqt&fSeIueyhaR&av0Tb(vt<=Wkwzmoy@;UnWsW!guO=M zTPPl{wD%FVrb$j2M#fobjhqjdE5$u9$Q_i?=a9OyK>ii}RXzKe)VMu~+Ss}Zive2u zL9_Lz*HkKZt1{F+*Zr`U#kz3!e+hTdJ%qMQFB`$zs3#6XC*M@EP4K1_s+fCU1_RD0 zIX3*~jW@FrhD__}WBP)mP32=WblyIUTQLzsj(mo?7g`#yF#>cCLZlsao;9fcdsyj6Yz$ zEuY2o8rY}o9=Yw%DRw-I&JNKi)I{8PP{&YF6A5(q>m4p_s*IG8uZN^YcTV5Irn?VL72x-c#TSKoP@0w zFK&CAIX9kI(&vr1v#3NUYbh4R+{zuOut+HEra;hXWj+-W-;YpvSW%oszLzz1)Aosu zL0@K52yvdCQPRyK1LHbG4n+Eb~g4&%?bmdK|x5ri%j8EJN?tn}VddMPzGu$LLw>U+`Gt=L1M+&yCkC3nd&b5Q6o8xQB9Ce zJn0%_@ek1C{xNMdgbfQ6J#!=aObHpiiT0aCeWd&w$Os$o!Sf@4TDq(V=I^3bKkn0N#uqWL;n zZlNiR_*PZp?V3hQ(ozok0mF73&r6$YyE!j=XKg!cV(Ky39ZEK3GVfE7(OZ6aY*#qn z+Zaw8_clqm*5}HwINDyp3c#}#H9?BI|Kc1j1U=F)F?DLJiw|ekK48uSp8tr=s;e;w zn>b}G1Gw(TatuB(f7CIgl34Ko<(&C!asU8{J$i>L!=DIY^{uCf-(NYrP?YQv_5AS@6E1cETxC8MTyH{EvSI{R zrdqM7FApqu*IVR@EI#dL{z!Qj%aSA43HZzKzPbdYyAh`3eKhyCGVk}a)ZPyHokkd+ z*bz5v8M?9q!J=YfZh;0B79rhih<}4&$7ifx|1-|%fEK!eP^5Fa_yhZ&LjA@R+6bLR zDhb%_0BsR!VECnOyC%qph?-5%Kil*2h2)2C6*(AT$dn`&7HnxJ5p)H+s$fSTb9~3UEjDrvXRs(J_ZS7L=4ErVe16Cj{Nz<114P-Kq_;U`)9(`V;X_G zw2?5I4B!Yh<>s%?kVePSJ25$2;U1|oIL-o<(o-3q({GeO$GqiE2pJ?z zM&mL*^NIiR;t!aE&&5>(_a^X1t1={4>L^^Pql)M=ci){+Vg9#ue$K%rxow4C_U{14 z6?vz%^Dl8Q^Mn*3i?kajd#lB@b@85vcfe*;0&3XfDs`iD;_I*6AY&pm7HM-zj^pi2 zvGs=C;?`Tt_4=MKx6hz*w93L{?mR$23{w6(q>dEsCoprb)ZM=5DFUeYFa;nK{Vy9TLAvhAPuRja z)zvd99mLW(F^l!ziq^`RU3%&wj1k@3?G#cOH~He>jbgpU!WR57(UOj!6n-J*(^@FL ze;@GO z!+1%|jIe~Qz-ybHk*8~pH=)y|JL@}~*+YKtpMkv(>ZqL)>H-R~+MqhQ@wap7qRXb* zM>dr>1X{^=A9e@YK-Udo=&Sjxl_3_&`-(&c{=w|@`%x`<(HUB|i~}4K^k(uIzPn3( zwz)1yF|o~n?H-A|@s$l91K3JCF&CS_GgwqA2WhW(ueaOO%VQMZa0`$EgFBf~9K`x6 z1z+;O*b?&tCj2P2*UgOY?PGKs*;-A3mWIg2(3QHxw86%|5d%k{G#jZjtNKJmS7||7 z(_xyH{#SSadL_>AX4Ak7ltTRnh!X}pnI~1ywOQO!W%)*v>0Azq9>Gcb1RiqmZ+6sH zsdX}{R>l?M(0V+ZRW>{JxYYO_HyX^RNg{nPtD*Fz zm=j7!!JS~nxfXtHHYOcYPucBqVC$uTypshk&U#WiA z4&}TU(v%om=+V!GvE@;OkpBmvP;a2o49As|8ly3Ru?jgVyW|^Ui2*&zRX{Gs2W9Av zVsn92COGuqU=+T%dPaV4cB?fdp*vAWj&-~eSe*!P5^J}BfS*CzR!Od{tOmLe;=h?q zd@|ErOr*Zv@xHE%j5q(mN7k$(5wIncM2C<`{Wc>TSA`JkQ^k*_#jbIkrcz&dg!aq* zdfzB=Y}G$eK6~AI|5c1ipRzEYMFWa?ee4i~7fPj7VqsM2D;oQFp~iV8J+EVGw}%>~ z>2Q}^Ims5R;C#rS_;fiifs0y~`vvK0__1>%k9B>Rz$4R1srPlI-~K-khoBjovz+_7lsE7tGmU zL=_T__PI*QM#(iW`y|%zsR&0(y2sU4uZzwb$mj z*PHdk`g!uF)z8H@pmMC;R?^l8otQo7ictK%*kx9~A~iMMgp^90;8drvB|3DnAS6V~ z#ct)}>zVeCR(T?+(hol)YvY?XhhgEM8=&W^2wy%$>fMY_K>{ty=7!Hr#AX7-w8~@( zj)%P+Q`aX%#&s(O&w!VDhiS^zL`NLSiFB!7O~*%l0})PKelP{XNQY-Tsq`EtL2#Na z*Wn}rSp?v(ZSOC9<*&Wa^7zy`Q=*B)r)7fuwycBs(U7)rHk}}klU6|aD!Z!z^u2@% zMj9t_)g14Ky*yz7;<}W zd2f#sFFaB~B)uRy3 z9&}9b{+$COprj|U4H%G^nq+a4uh6i#lh9VT8nS-qs!mAVTNcG+5Zsiy@r`CgF=b3G=re}PFflc&DU8&~7bR5afKK@2``;0B zut&-G#^KjF*&vCh8VJ}LipvwHB%P2`f7>%4m9gI2@Z|OLSxgHRV3j6yrq|B(VUOcdSa!cK9=+Cs*vjdn${}&gm$6oP zV5jZFSlv)swqQ&NRBzRRhX*Uu3(AblwJQyPFF~%Qbz8fg{wT}ttcdArXatDGeRX`^ zC2${!cc_ZjS}&D)Sh>!Eb*SQPdO}7wMT!;9)gI{lS5-PaevR(-!Ac+;QxSJc8a8U8 zx(+NX>He*&J3~R|kO;?kvhsY<_5(r6(qDlZK=v0`KEg}zV70P1+KUsQ*n;hV{rk~P`|F9 z9nCSRuNj&mSt{?jXI}@DDcpW@7CD0GSu zncFctu{x(olng)u+%(eXeUCeNGOCA+0xLRC!O;Hu7*+C2tyYE*p`)>(Kmy8EvX`HM zd$3Wxvcg^lftZs!x!iy(6b@H`Y=k&UPa>$yXP|P~vd*dv`!!K*C`COwH8GB3YCNIC zuPG}x1FBpAO+K7OesNMfX8=g#wQ`%b|B&chMcP@>fS30snA*V^c8V4fg;GqxN*o|) z8$Rd;C)){~TKLfjB!rl9fow$?i=t2tp1`6|6msE(tOqiz0Qc)nKdI}qr5gpdklGNH z1W?kq^vBb@v&5VP1dG|eC0s)6BQ$pnUWiO2z=nm&zK+<|?1sd!7)b{?RiDY{DnBL1 z4SJO8Pi#--|0pgziYCAM{;Z2Oi zNx9+6xio9-1m#Lf2lGL=@2*N@k^ycXCxP@=x1RXzV3mn;XapZ{ORd2w@f@*Y$><05 zuk1G3%Q?nl^Vn2Ve%#KDc0n~e?yngbPFK2&Ibi3D)FZXeab`NF4}`Z}rs zt(p}?qDHc(Gn^Aw;I$mv30(a(M=l#Ca_fpy5<6JLVZd-OPfX`QmaNy=3;Ya*58ueK zXrdti+VfvJ=+!}X;QM|UJ*(fTdUng}``6fe^Y}Lp=9>;E*KUdusWh-oWb+r^zOEl# z&<~@dmwj>bCx~rD%)6)2)y~+R^uQk!@L=}0)%TSvx*OpMAS@kW5}2KO1@nY$scJ{7 z+yE3)?tQvYK z*vq5md#)3^-7J_2n}X3ZFYEEhX=pXkEWulMHeBUN&1dLf`lbZ{I+vYI`1`WTDdZ^cf&cMRhn%+)7)oC3xdl zeIZIN!jTj*GW!;t@gY7>%7~hPS(*nIM~IlsWt)E-8<}!^0obCM)C=`@W2 zQZMcGhYEU@tL7c<8ah)!24=a1&I>K=iWHfADflYmPk^Yv@u+br*1Q$=pe)7z7n6_2 zfYhkrHKoOC#8RP+TsUlOC&SnIsCV=@g7!uDrv&07I-~fZhTnnHlz){^f@4S294nj0 zRs<>|Zmxz$Z;VAY?(w2>0jg5vXL(ME%Fo=hoPu^*zpQf%XuY3Xgs5CUGR~%{L zB3%{e$$al2@m;!3)UWd7=g{}Cnv6;Y(c53Gy#47#$D@G_toD%$ltQt&?=sYh*w5uk z&t)pZM6eduGVe2`UQv4!f)NU;6fnTzn$h^bJ+6P;{xy-Ih|zB^rTT33jXY0JTmOmH z=mU4fo#=)+fgHl#rd>#@S zjVj(yg=Ifu!_^F5jj)`bJwGsH^3nXnJy)@vH7}Nu*p*}(@aZS93IE5ynJzhai3X95hm4C*v>>2lc5|nYAKLzqjtOne>=p2HGYoSv^FL@f9-~;5ru?l z3TSPHUEd-j_FqjZOK_UDIqL9-WtXJlM8_)r+KDl7m8}YMS!#?;axjrHQI6*>e3&J@ zVW5b!&pLv4zvnt{UW$m(YYstr)&sMym=_~l;oCuYDqwg)Y#>CBxQ`t_nvXYqMdSQw zMFgj|vXEUp=t=F4G~y_spHzsLbJ-h4QgQoT;*S&YS2b-hwZJY;&IO>n`LO=4(hl_!2c ztXf{?+_!DLMor|wwjd%oKJhC4 z|Gg-Cm)Z3N9hmEPkT69!t~(42zD~LR^B7x&bL8wO!?ikDO@W}WD zJvW^v>a0gRPG`es-r9w9(1M%>i4KkaDPN_P>icwCjtKvb-1V3(jZbU6THdX?^haz( zWgq3;kEp1o(=@{nih%bs{!d_w2&R>~s?!mkFCD|Nm-L+2rOQf0K+gsP|9TTV)?_QU zOo)qnY5I|z@7k(Zgx1)!PdW9O<6jOSs@g?T4pc`3*KS!w${K&XQF$7mkPYJn21=pJT?YaKTH0FgD^0y_}*hN z(?Gsp#Zgn@LaX+%j6?ckbd94fCO00zc0`STG~9^$mLgn~Cl?R(&god)PUtAO;-&G& zqwN+%d{b9XypnDG$_4=#+#602?p>QPUhOd$nHEncC68-&bI~XG zdWDCdZm}2VG=~ByV{@&m$P3I!i|X?A2x(_!?Hhrt($RMO63YdD6Th^Qg2L1^^qI)* z#jD;L^Y{U)$|C?Hj*Qa?{sqR}vc=1k+bU=jP@URw^p= z&S=_zzvU=41o9r`JV00efV6BZzn{~9#tfM>#cFmHw+*Yka27a7q;I;_tnm56L9TAi zX09mx*DX_3u4pM8Q7*iGd>}=h2a|c$hu!c@J>kwB^O?VJ^fE>*hmT2bt@|*-sD4z$ z3@Io=p-rLvIB|YEai?kQb{8)tYxsA4{=#V$4ZE~pzuc^?{-2Aux%@#PfqimG2XD6? zkC>?S2z!6@*lH_JHaqMR28BCpIwkZndac|a?ZAvm{g#=YB=T}|!bkl&0hVdgtuRb} zO=&jo{LK&iJ=M*tA-*Mpu^#*9ac=|Ug~*x|*Y^(>2vS8ex+XsqqIW^4ho9tko}`g< ztX?lqrlk?$c$harIi*>vupdZBFg5C>{`)FuVhFG{?l|u9?--5 z-kn~d-a7t6rs`U$Gnq_dp94zI=y^m9m74%q%8^39I{lr#wr11&MPe_R(4a(q^!S}Mm90` zYu^8&&Yzv?{WIL^)h@&^fJG4gBG}9A`dzSTi=?e^k9cKPbMvcgBKY(q3zOQN4vT*? z5s20w2QK!2AC>`rn0oViyk=AjB7&$qxlZIq5J=ff{3$vVD{^6SbFJ0=;IUGF7W{bZ z4_8S1ji!q%mANxrS0SSw>qie{f_EkI6O~d0?k(W@^?w7t`M<%G$L6ZlyW~o3$nLNQ zn*u-4J9AY&E6mh~m#jKtdV>j+WyJ&)4(yysmRy z*O_y!JhH3X-jqkyo3pA4)SRuuSyyb%mqhuPcAxCmMG8aC2(#$&j;r&UE=Y6EPu|M| zf|h8~!gw5wI@}}?ZE$dDeUe@>tEldR!=c#G;nR!)Ilqop6&Ua1J{p%3ab}-~;fX41 z_<~S>9x^$3#iLV==|1}4BsngENw}%{<}@HTWK~DFz#G+7eA8Q1nD&@S^hL^6C|*?o z#RIp43mTt=r^L) zIE0%-zg(8R8S8>^GqM`Y^sIM}^}Qbn^O#c7tjtckQPccy;DuN>zkP&rei40q;_ zaD(_WM8;2kXS@*W0zy6Vz4v>lT!$~CifXL4&Q157eXm=Hv?~1MSqkcKjeUaCirBB<*3|{Nfz!JREv#pH{RBX04G3}UREmdW1LKJ+-GqTCw0^)e5G~7`%(n(}ye*lAySu(3|v*huh z8)wqv*fr+9n4p#;{YzgKAD&@4KRSGpiC=_i%vR1qN{FAF&5yvD?DC%1ARg)b?TATB9pN^M)@}E5o#zgH0#ZJNTqV;w zUFUPdn_pz+YEd!i#@(@i!T54#}d;uJ?YsT#)jWRZi5W=jtr%{xSmm&JSd~+ zUguJ-=|UjTY5`iPWZbz;PPOJ*jxVEP#vJhCn@qv{+5LHpu{2+@haqOX9k7s_>T4Z|l&0p+SMc{-A@NQ~c3wT*i|N8AK7&f8XY=bYOUNF6OL-i72 zUITD}U*PyGt{*#~bgmTl&SqVxcmKR*6$xv8ew$7hyvzm^zPDNWc))=(g=1G*8ZY=m zwK@0J6%4b`ZDxSlNF*1xdn3U+8~(OZr$Jg5eUP6PN+j&c%IeMAbj3(u2pX9cJLkeC zIdPdEF0M#O2-=~p!1N-<=_f-=S$JVc2x)Yk%bhTnWCGI^?e41rU3G=cEw$#TYQNs8 zL$Bc;{LSn;zo9~Q5c@ZV^hrCJ^(T)sunTt05x5+%tKyOV*W$%l%}iON!`iVYw~sr) z4}G1TqvSYdJEjrK-&j=^&*{9b*KW=9zNe2~`cxI~<6=nO(fM+E-C`gwDsh+B1!%J9 zYZ(a}{HckIv~Hb?cl}we;;^ebf0HEyIi)&MR;F^MMYp zARQ!u4jY`keK-aGF9#z;Kh_T!NBP)Z6u6{;H2M!*_@TCyVa_YBnZJx43Jq2r2V=e{126|-4NumQ$JlZWO= z<7wlSUH~@$04oRpi@fF0Zq1BX_M%t#6nzClhwW5AANq_nMPESmVht06z26(6XhhgV zKeq03gK$aW`FUL&>OCSlVu8!fC74FwG7l|F9nAetgv4y1`YBzBMGyW8gfRxx4FS?< zHb}=S?Ex19EmZ|r`S3yq6l3=Yn*$v(nW+={aHQN?szkySM8ZkT*<(OSYycJ@M5Xxk zj=(jl%HsNP`;4)wvTbkr)02P_j=aACD@j3hYgI!7KLqQDfVxpGCJ>E=5zYsG7)8az zu;VHP5~maBr7lCd_uYd8!VBeRr5f-FWC#R%C*~Cp8P|srfYC_y(q3)lI8u6_kHSL( zJ5S16KqsuqL)ar+nG3ZySEPs#uoS2fFQ+3>V!RCfV1okE7?l7j`UmhnJugU4hB2bV zVXUAH=2KGWSK>)-jO;n0?>@w=N~l1GSR^QAqSf3yA*b|b)bQWOA< zb!0^_cpL@V8nDZ6H}mMoQvjg=q(+o z?Zaqte^@f794EUufAFc~zoW_$`wRg%6oT)M5Kt|tXf#1{X|;yf!%30KKyu}+Ye}n% z|4Uz%`!W$6^q{?oP06FEvKuXA-Hha&|WU)yDYC1VU;l=8Ee;=BuJ9etIccaKfVFIG!6;03bFg2F+q z23y(i^SG`mDjw_gOLbM_czWF|!I)e7&}Sz#%e}>aq`Af*D5~Y*k8Zw)iM-ej8Q!V- z0@}4eu|%;4Kg>WRF%aw5Z9o>l4sxT~OX|S}32!2@ zoY1Bqx#RZSyh&dc=>ADwSMGr3;z0FDA0`=EnoRC#0 zS)jxp-rG}_3EX`n$$?W|zG5wXDD3j_;fywA?Z&`9Y0j-bVW2y~Y;Pp&9c4_7G~OH3 zcNi4Yymu=@(B1xMes1hv>uSsJh=3y3_U~a2 zZLadQT?v^ip%b<-9RA=Zf28!@-z3Uma^l~>Uyc8v{e%$NzX|y5n6cKr##LT2UVeCU zeER{!drjG3utCM_jOS%2XwX$C=)MVqGSoR!u5ycf=u2t>H9HTDkybuwV84>Rvf)70 zWR$=7>?;lNvxE2zSe%xZN{(~eSFe~MXGcPtRQe*Wc`z-};BdQFoHD-QFSudM++!X=Bh zmOzj<{iS$lP}ANB?f^zti*6z<4zJ5{#YOB#>$~~N{-;Ryz#vY2F52Cm^GS&(8_0WL z!<^yLm&+hxRGu00V1t~m&A3>*wOrAcN8GdHbMuDk;UM><2+#mCmn=2@KcLUZ=O+`G z`j?6HSobhKfzc$BK_Q^+t4NGV;kI1_JR*NBu@@SSLfP90DVj6>rgee+T^}2Gy67QS zWFG7%<@T-;3DI#@L5L5vhYAI_pJoFw&>- zw|QWKN)DZ@pXY`A?Ox|nqF4ha2JjglUwx!CP2`tss1}gF2Lgt0lVF(s>XcVm1TH%f z)U#5?P6kZF{*0&(D2m4ajy|!S|5KQnl{*-ZBi{dso?Q8uUN^>L0yyK0;Abxd7q@!% z4sWqW?Bsn}P-Yl(%*_SNeQf+&0Y%y6T6su-#tmc2+MUbVb%dCy?lVBKmZY`;8R4eC z7~X1f&#n>2CtzlUj@pyBF9L^zB<=74jtvj znZh>Guop2HaNqEI{lLFnBruQ~asFJYfbd;u z5o8O^Zsk|X!EDiYs%@ZJ*wuts)Gg4Eka5xq2UbGGTpySo2#>gLAu!FT243pXX~`En zYh8M8Ar8baCv>ynDzj06FZSf=QTz<#vAw$Ni)ptuh2+5o+0ufUx&JJfS4%Abc|1{l zc|d&5L@0*A0GR38dN;|?BL{&N$w2)YG6Gnpcrtan>W^d4dV)fa0+{xvoK<{)2Giv< zAhJ(TdW87m!>22+7d_bhr`m>&;wlRu-2^v@cMGsCQ6f8LG+o?G(~1>V93Us zkky91ody?n)y!KvvRH7pju5xfqYX5kjkEQx)%`E<6q}#aGiLJ&?2zG4HcM)zFdEqE zqq5ehzG%aF$uY1dWb55L8VUYWKH{p2gsJ4WVDw(St-SK?8d&l$RPgF*{RWC>FpNrnsGcHvW=Wd57CrEUA{v{JvozV-aBQ%g>btMxhla*ON$K# ztV8_@*xzY8Cb8X94j6v@lOgTfF-gAJ;i@v%jW}ChqF#gh)aM}TEjF$YQqTh`*E5J6 zEd^+SD6Zy>*L3k9crz5Nw@T`Ks99f{w{|;z!($}LY zB}dZzTz_QwfG;xy&WWQpTb~sWvm8M7C+}y~ zt&LGZ#&M%ZOYco}OOMNm`abUlb2vlEiW(MvAgnG3 z+XlkkfrQx)3(W$u>)qqwKpB&NlxgjSA`*b?1`k(&jS5uq!9LYsc{WwN?ZV*8+7sss zmtk=GB{w~`w8Q~GQ_3&v}FIoICR9{ud6gH%7_ZmkX!Of(?x~j}m zHJM=6q?QPt!mYQ@T;Rr&Yl(f(gM)gaz^d){>4XaS zzi3HGAFp@&1AT*^og)=IB$%lcu0xX}FO%0SJBi7bDN%>C@svb-S-hV-oxAA4B>eK# zMetnW7LP-wk2dkn#4^-{o+paFM_qaPJpb9@NQd!y$tgX(+_I;qMjNBHxf}V{h6ul4 zUNBcD3fsSmFEFNP(w!l1_0L8}ax^J^+**b!{rG zhmWJ)yt!snCBKQvtqh+7d#T1 zj!kYvPE&&K!>N|u1MkM!x1A91fkz~@LIUOLzpa3kSi?OI!(Bh*H32a)pc)dw-i{r! zp2-Gk45m{DAT7NS+pNw4*ImKz0z8*FkxVWJTa7zY)>liq(R?y<|HwBvHYn2jJ=hB( z)|mf*J??Kt23?eb>9Km(fHJk^v=$(kx zoo4}6O1ti?T1Pm{DrP-Qmu3>R1tmSpJ<=<_7}h8OP@VRVdhU}IVX~8M1$tfs zdafpQKoGCL2fX10^cRTq#R8XNr%Y(->?Jzc2nVe8NsT36iud^RmtTYZUAPB%LplX` zG;U{YQjq5&zimF3?A?@83hJua``7yVzV@e`QvMwQRFnQThDGlz#9fG{_g)Q|9j#Rx)CS z17^8-Bv*9jy?43yYWV*Hdh!2&_G@-Y{SKre|F#)_&(0*CSl+pLi@vNM$`?B?D;pTv z#MvtEXRpF=e}yzYF>#3hR~=9LHJ`hS@lf^>3=V=g@70m+ZTa2fM?oE_KJaYj$%p)^ z<6%2#9oi#4*QR~w_FdQO{xO>LCOrtG2HedA8Ai`@G4`dz=rDVTBH7<0()F2!d$!vT zM*AY2lxRD_ecORf`F}w!tEELFTqR-04dn2oc#8EkGWOkTxVK~M(SR>)Q(kaDyPeTK z!qK|(k|*hkLy~dd7*ciT$#+5_<)OizXG57y#aOvhA!@lI=~Q-AV7FxA)<#q4cG?s4m458BGSP&=uVeyVK> zXW4aVD!*uSZ!_!L%SZubZQc=An<&sMAStNMHDY;;T0JUDG(iKLcd{K5ad0YxaFNWL zxcW@QDs{K_;sfeBf@zbk8K4}7gW_rCY?4~Fa*w>}&x6E>?1O-_5`F>yaU^6P7zP1@ z|GNO-Y*aw-qiKdc#>W6-RydWg?nhKdG-WGwwrfGDStRG&uS-g0w1n{0IV9x|r8d5SK8s>HXeP%hCEY;`mzKX~wB`R`E;$C(LDSha2Ol!?DiQ z58$55U3FO=(Q(IHgEn5U|436je`IRx$Wp1~YuQ%H4U_)%H9CG(=96l};cJO#Tel{w zg!2{ANzyKEm(=*zqih%n?^?!%aEag}pN@s*6PaUucjMMrZr3#Sp~ZMF$G0c>)}BvL z4E~y7d;XZ2r&f01t6MsY-FGZH8|Il{!+d<4HQy#$VzfPNz~`ffELa{)o$xrxe#Lmp ztyFh$kgORs!Cz*saaHKW4}3rr`=nOIy55j-$;TV?G#-~p^|k^o9VvZKb2aOX(l>gU zRl6wjH-1%htJ2X9N}}FqT80$$T~|>jfhXo#rltrvN`mCvqlWdSX^fLyO3}a}`G^`B zIQU}cHibN zkw@zx3O~W9cJ9Ys>IhLz#lWw$q%F*R;lC90Z4H%zVKPOSc-Pz3>dSrye{4t&7Al5F9al1^EsNkNp|`u^xsiGd`p0;a;H87@yre?-N&g*Tg&_dM{Me*fm!(zVYm zcUZ=j3?>2%CgFyY7JiE@Q3A*dXAC>S1nFv86l)I8CEv7Nnw9V9UHq8w-DgJXwGCWQ z?D=Tw2E!O+0MkNhEfJB&^HCX;=Z#p*p;J6IO;~dB{k+fIhw$TD>&3c z`ij>+z-+8JRdPo%m2m!*{+mOXRxX{j+6m!dmo0UyMo{9MEW*;5NdTHf5oWSlLe5^DIVAA#<>z|A;rS;0jPu8zr5?J*?D(AB zNGC81OB2m`^?XGcb!a>@pzs%|OxGt47cqRNd6N%g;FUGKCngz5-nCA>H%5LD7*_j9 z?Oy~63dfjF++6#3n8uYF!#w8wxi(!+ol5B2^%K$CWbY67^$%cDPiJkd(1llqpNhU+ z^G)124y^{`!L8dJUZ<=VK33I@Jot95zX)VW3?c#L|9gL$)PF;t7%8SPBEiv2|y8($g@*j_H zcZid%w_6JJY%G1vR`SrcrySoS(tTR2<|mPwf#mi6fyHQxm-8#s@}uhaH3{R_#hDK$ z_ad}sR5FM{oa&reO#S(TvH$%6%N^@knCcrt1HARGFjSk)N0V(R7jb3A8DFQyU2db9 zKG|=an1A?0O+{?Mr{m_Bu)R*tM)?=%X14B%lmm)k3UtgcsamFU#cs?3((#>@B-mI# zS!$GNi6F^(m*0B-(5a@P>E~zdwM`J#Oz>*%oh&{%3_0%V9!tg=^V(XYHyTdn|92#< z;;2=L?UXg+x4aq2zO_y-0lOUM?coiM^EA#@dLitq5Qoa@Np){GhBgdOstYNMJCYQM zOltbTJyJ3_dhg?KNEOdc$gPCWVq<)Nm(p=h5}sR_-3%mppXq zbq7AOI7ufFQeC{ij_wuW=H~Yd!u3zYA9Oy0eqaXu08_KENJZ7*B9K?3k`YhvMX;9m zn9|j~DX_Q&i9LI9{-OL&v4!k)+I9z(r`_+kJ1EgAF7B8*dx4$h#w$Low;w@C1y#k@ zOE(|vF28?JbnzKo?ct-|pS;qnZ!Raqcjk~_<28cu0!5TjN{?+HRLLxsOKma?-8I%# zbI~IVZY;9CQhAJkDYblETJO&CD!$~Cl^fAs3NroH*!}*0G7VhHhI?pFL_T<47z@eM zwJ*=}_2)KjjS3eo)m?C!KU=yfgwLFEO%8vy4PIsa+=npq-u@BzxQKOIzWMT@Qo`!- z_je;XBdLa1c#?6n`Gf<=K>7nX>?3a8_0}4y!jRn1c01;M24}APD~wAtn(2E8&?g(1 zQG5k5BhcsTPU#RwIS)oZ$fNU_#@a`gvl-II9oYWK?{AWLAhJW9vt@S(o5n?T%x09g zSJsoso6CJ6No+3=hFLFASnzqu>y9?$17vz3PAC2eQyY52_Tx;7iXN~5l;w!%f}|yKuIvsi+-6*G(23%{wpGF(sq*P-wOEbHy(1`vze(KJnv-3p^-JZ=#~=8O zfiJ!b((={}1A*5>$<|$Ah_62a0w3qkn-MAI2V|Os_ABn&{b5SOg{d7{LjCGbJ1^@reH9OKZD7)(ZoauG;en!8in|0R$I}9zN%CJc_Bgx?^p(QHwSY+b+ z?+t;ELF+M+e$!W^4kSKdwy;&>d1dG3Vu$GBm)~PQvnZXg(Bs63b{~EO-`LeW}vMEv&mS?UUZ35m7A4pf6*zOJ=UOuE>3kh@u4Vw zD+imiu`6+By*s?23iRU0A}GJN#28q4kY8zaorkc}Kg#p_o_$^z@lofK@_0r4yIM@R zAhG3k-57eHau>c`fec=WiL^8%)2CORu2TzlTy0@jkCXp$Q#(JJO5v2LEWF;c^y~_Hew@2hfbNh z6PgyNsWxb#)KtBjJ1hT+nrYcVAyC)1@&$O*FPkq$53;cRMLd5of66U6bcwU(Z<0cU zDA$fD^Pz7B;V<+d=?fuu%lQI#yOYP=0@BN_b$AHNDrZw)3>I zYAHe6BVMjF=3G>C~Fls?7w86xnX0djLkPcCLQWBc!li8zdV9%kl5+1$AW~c>@{sGOcFi0@~tA zffjW3nnV_4L-4Z74_kp&+aX21+tv-f@T7;HFEm&`iTdL^wCzZ33_oL$EkS1FH$NHV z;73i0v$PWWqa-_9INc6QK_n^}9-R)mGsf%W#G4q5_-TVI$OiM?kP_6qQrkZ5t=yS} zhBr6o)||f_i~=Mi^0+}M%CgA}tHmCL%+q+lWtCIqwnK7d6qFm@5x3S<&uFhbkA zzFckV8K<)@96S7I&I5k_*;#%y$EOQ!$#La67TjF|NpaIxjC7PEQK&_^!QQD>Pt7Cm zPfd=5^{22Tby^=ZPyU8ID0R>rC8bK9_Ce>c8QBFTkyyZj9rf;?bPH6k#oY%5$<?6FK5lLbiO}JY~ZhDdy zFd%KgMLRPMBV(Ptgx_(U0&4g$jMFiK4%12Z+EyV)XpF|iYOw;<8{g)DF}4$Kd~kbv zW1#E-+7;{pj(PGid1a4=n!rIdQLdgOl8hks6%)dRQBzAR?HkV|Ka>^F6KXMQG3ucP z9ORF#@IMJQd>(rX;t<+i42f=DU0Rq`ARMgmw&0?N(2SBa5uVYG0QM9C zD;K6e>zag%DM(i-?d7cTA4J?uh6W%aVMK5I605`lqs!h4cgkvoAFoP!#+TxhseFKiIg_3I z5od;o4v>5cKblyaB`M5&u1PZ`)+HJTzKCfMf(`RVkoEdNEPB%5v}P5qa8rBpri9V{ zwKY>2*cm?1XD9uuD5riS2qPM!{N@)m&|xHvp_(rrwbHR+OSJ5W*KrzgVF(T<&j-fn zHpC_XV`vl}lBRIHB?;Hd0x`l17Pj)8M1&6|j8~Xbex<)pb3}QXr-lP`SP79VAifmH zQ^(Uvlx!nXKRlLb%roe-7g`=)m1pFAwTYFT%~(WVj8A{Ra4CIPa^a4y`6)cf!NWp8 zM7~!*L|XhAKB=S0$d;u)v1x#Y?WU;1e6@~`f*9w@_UM=FlDU4jIeH?|uC?oGOWcOQ zgeO^Oh8(3zfcv<9HF$sevQ}6%D{A!Z{qVeXVKqK&xvlb>BXUa7TvY-N!M1b8ptt9v z{|nqsarrsNHGJAEclmz$1R7;9PXL3lBV*JZPm3kRPwrV+xzYB=!JcpV=0V4wC){0% zYaCAuuc4<7nC2PcIM3tgNp%Q$Ka%rO!nAqs^!LT$A+!Z+)*+J+UEWxG-q||4sPjXEe_0%^&s|~AxZk?!#1c6`4d<(1u-50Oy zf1Y`4CJ!GHkg*o>Ca|CLr2|NtcBpHD2*`y8Nb|n># z3;9{0`j+>UV>X~As(L*Ov|tErM}fF2JWcW*C(1Wot`8J)mCJu&ne%#As$n!$q8sIB zO@cTS65zw!J z(bzXDq-MlSdgiXc>agAUuQwO7AzJUM)@+n%gmn_eANTV#rQfId9QZA;$|v4kmk<{1 zU~@?FZNf2$+Bjj-ji}F~vUp2ng`yZOEuEn!53-yc#lDM^(G+VGC3GzJrbOyZxPpFL zN9l1(e0}%}J)Aot$_=_p#+6!cmGDsD@=E@R5mdYvp6l#hcthJ-=X{lDrBi)q%|=|jC6hX+1sYO!taoz^mB z3lUWgSep6@Wj{@KH{u;MT;VSotS^du=_I^REw)CvB$(T<9pp|q2KiV1nfrWA02?a3 z&ZoyHi20hwiR$&Tz$*8P82_QAk1AAKtH(q|16@Jz3FI&IQd6{p*;Bp~A3vzYR=;6N zIbM@Pna`yDvd<;#DQNC0Vr&MF43(XkCDU8MQA>q&m3Fi?`i z&;6FF{|s%!n^z)VFP=yZI(>i^wdHW?^AH>E$#R5->txcEmVh*YYj^NjaX0$fnBXlc)X+4E zi0edI}(I{wf+{x`*!2UG9xMq|-L} zPB&(8{&35o)W4+oeLzfLgc ziK^q)jI+H`naM9jEiM|RD^IC^IIcg^y$TwD=cU);P^kKpi-nU12VRK;);h|oL@OTI z3l)`A&V!!wg9Nfcf#I9R!|#bN!x>d7nFFhwJr<+KVi6MDr59($&_#ODE*fDl#dx-5 z8z_j1kIctNPo0{m+Mv@1$u;Yv3U0U!;%b&7P@`92+Q|nIyaEgYj&4};=$=u~lbcPN zHwCuz5w)nn8=fX=ag&-i$VFfq(qe;0w|c-^!)-F@>39Vf@CmI@$WCVBVy?t`;LUDb zy`c%ST7Gj}sxCyCe35|@HoLDC9&w%Pz6L87bx@vyG8REwuoQnJ3jfVslVOoO1H<(1bKiCa zex9?;9;<*))`FtEh)B6}MCV==p2q(ij*w1eJQIu%AP@e%`1q!^n2#5`s=u>@!Sg~*k!4if2UNLQTf6edlwV!c)7;21%ND~m zq95BBsb^SR25hYag3Z#r$!huer4wikE)DsR@*b5dxxxIYs+`An6|@Idco7x4t3&u| z5c5@uY|8aeLqgQ==e$48;GQbaeQ1c{8KqJlb+)J|{tV9@qENjp&SO$Io_aegNZmhO zh`cy3(gAm8gWt@re&j)|t@o3SqA?-$LCsVbj3Xa~^DTdI(K4kztn&1g{>tIwzJ{X& z+7M^!Vz4aLT_1U|a0*ssFRnOVkrMfqXjV1BF-_~?c*QNyW@3{E!fIB0+RyS_{#hQY z(d`SKGya&N=VwO~!XHJSJo@h_udCMk-?nd!mfm<)1*5TIzHn-^Rh_yAvh%s?c!9vy zuQ57@kAKK?m85%S4&uyN43V{wx6=N7{I()jOT@%@Xa_+$O@%qBph;HVE;tt{KKyOs=ZZBf}H3xyer+zGDz_@p$0sO_% z>BRNCo76_cvd5&8KE9VfcUjtmTQlyA;`0HiYVX*sZ_R-x(|2Wb%|)4UBuDff0+Qeh zvs;DoM`d+Os>SeMLA{V<+fXgthU1mDJ?+f2HJqt@L2l20z`yt(u9mLShE&9h8Z$d( zRWafK|Ji%nWSc1M_gvk#iwMr1{%2xli^eRG1JS54S4|j&bIi%Ez>psW{9of{t;7#F zj(6g;0d@QABPR!wnRCLn98y)^@DdP9FmXHSZx^{V60*eST`VH z7jVOpMyraSGBsXsP~d|kjsp^}6n6++f6KR@t9$w0Ij|HR(MshHbWr+|5W$kEQi*#Y zT{OJG_Sdp&Xwj5GoGGVfYH?<|kmwdO6*@S_kp-qv^Mx|dWc2z4zfX7hEtJl_2@|%P zL-qE>vm|i~^~kJKF6V564TT+?&5?U83p{+NuygI0&;R5RobtfaO5@!el|7Q@9nv6b zt(*(HZD40s_KQR^OV-YGp1EK8$b%=x4-EhnCa6^a{%cu;@nr0Z-MJtU!+L3q{$qv9 z`b_TWrLGMuL4EkFY%lD?SLFMrWe}hWnI4dpA*JizqzvfsRUF{nQyLa76yz@qJ%S<9 zA{_MyjvW7umM*f0*x3}&a9#72&x)iPK#xI zy&P7CXZQ+}KLQ+*H|n!h95Kerw{bMtXyeHNOH||r_JOXh>Q0U;c0h!a`g^$WHJevr zr;>ywgtwUS%z=qxTuZsEb=>c6Ox@8*8q!W{h%p!F!mu~^hlXJDNDU4NA?p~g)6oP! z&2&92BjNzk%y7Lj;Bjh%lLtHkW4NBVCqVBj4{ayqzORMDn5SNW&AHGJf)Y}Xz>gEYYFYd(-a)gCK<<(#<=SV0c!#=Ek>B}Dl%HR7hZ{IkRXp#YnbFSe9#7!D})eh7K zhfs0M2ykoV=UA z6RH;~dD~K8uh>w3#idg-<3*FzjvyAtr*t(~DuNb@PyF8@Xa}2o(48e)#mQr2v5`lU z(O0(l#8_j4tc2g_9OZuJIpcF%+(J^O;-I5Grt%TXGBSZ``U8K>ha`XY=6o~E9Ggm% zY=Oi?V@P~zim)!-DP&DfAQdWF5PW;=dtdJ>v5c(+WANCwEncc_E7#iNKq`%=73Tft zurhPW9mhNknR3p%E27VfTytLtClF?m->&QEQk>@*L|*QrZ>dhLwa`itO}!hc(0Xso z)si1;8VgLNutR11Md~1kzR5;ihRSJ-WPZ+bQXG}c;gRI61GNdma|aBU{}p|na1TTm zg`zwDjBZx`m*hu_K=U{H!6q%O!RrEvx0*qDvb>UtMFIj>d8t+Y4Kf zRzT{eYe^)2jpahnIHGmO+p~3(Iy)(3O;*qtdJ0;+{`9gJ)B8`zc<%)1%5k%bp!p;j zG}9$+`zr$&>0oNdVqmdAEU*~=R-3_-0gp4C{^53Jm3<__*q;KNi(i28oBOQ~KM3?I z%r~^ChKXNpOM=se`e^sD_@8JAgK0Nw2ObUbFsOfOW-3@ThE0*zY)_6*ljEPGR!o^{ zV178oU!NQyVx_WXcCR?9wxp~GXxEC>sZQq@Kx$^`Sm3;qx4cwq|Mx65o>nDZ}8QDEJq!D7v~G@mClai>iUkG zR!U)i(QCoLX;DzaGy53=^DBhvxl)x;9k7+;PiCX)YI;f4VuXopfrHd5J2@d=i=-7C zd}jdqGYI{)NFtmzr$``>PaC@(e+U^LH!9@UxzI6S+Ia0*E#JFn(*hSKm=!Vk@vG{l z0e(>N|0Y>7yZ?WO$a=D${64cSko-?(4~4_Ea4YnT=6(I6F#(R8oIw#KnEA#_{s*hX zBlPmHyTc&Q>_HZ$WQ1GHrWgvF(v^Kq^+Dd$%Sph^hMH!Z zW|L4M1Tv10-|}^`_463;eli?$Z;HCU5R;Q5gf#Kl(p7070ZJB<5F_aCML-cFz%nLC zpa>+_7rGWT3w0?p?!OV8OktctPDe6k;fe+OnLaa&li|0hqbbx?CDa`OMIu1f|6<4N zht)vn7~yhfR+EFmNM4=|G9~?re*(54bUBxLjBqTs805$IpBJYQ=+;miNPjA%ryaui zyDBZ~?3ya~R!kfzp{}G@Hw&+i?LRkRD9$-}xPzU&`BLm{RNtv0ox6H>&`c)DFY606 z5Qcoh`W(x0!sc90oN6j3w6 z;G9X)g+Z4@LY{*Sl*B5Y?ML7Qp*+TwPhQ7BPGjg1X&g_z$*1{gg?l+k&iR1 zV!Zy2N&}@v0p#5|&)b%x_^i+iq7LjIRi*~)!If6Ou8h6D5K0j2xeK1Rn?jJAS87H(y|zHY zfu(ds+~KrqQ}Pg?c@O~no8-jzH9PId6Zhvj=A3_qeEr?SFk>^QrEp78F5ta^o$fz? zg_c^Ia?9sgFNxLUZk}g<+3fwJ$}=Kae>~-WEWrvBf!ki@G1m0}f5S8;NxM#hSmnST zyAZSR_(J}VQ-VD|o=*+z)5m8_>?f{0QLY>`^EU~1(Wh@SD}i!>pkdL=bLss}a*2Cu zW>GW7RsSSkGdJd=318$?enItpi}0KTdIhFXQgTMszC~knOjwBeVUrpdMlP(W6B}s_7 z7|utk(PvBbH|liIxmRmejt}o7A5H0_{I2s`Twnz)0&n`=$*o5fMy5^?GpixH%pmFA zsFwOCg~OrO&fl7^Xj3zWu0;HECfUtJFQ9*Tsi7t%O*f!C%`qfnrSBB5G<)zxE)WFB(qyuq_VH_D*fhkT;2bC(4MN zM_+7!HDBo55k9H^L~z{ZjSr+Qj!JEfJ5ku*jx}{UIJ24%6c*ZIA~-@0HBe$ju?r5z zeU1QPu7KE0pDi6CvBb}zN~_|8W6q~u)EA+V2xDCG-o-C2K^M>8q+7GYJe|51dTG6_ z`O(`KGFMJ6bcDQN)`@BxtnsTDxA@x^o<$tdZ zVqfy0na5Y=V4YyKfdV|`6v0n39GSkBviM4QItM&b?~^4|Kk%LL#v~_5RkL~NAhjnb2)mX5=U&xTiCxoxNuva|2zMk?MpWl_5YRr6l zGRU5x7wwZP3CrcIhNvJQDp-}UiL;{@4~#ywHuH2ER@c0G84>zfgO6{Ck2d)#?9sBY z5{`|M^Dy?%psS2@{Et&97}nJ6KIvRb@0N6sKi2G}Fd11jM935(J<;mVC0T$=i}lks3kjF}(??63jk41T(9t-PPUWuH zZs?TQ*avDVrl8nvBXLMX>WAgDnX62LbbIw(WI?^jkuZcMV@j zG4n7V^{9FYcgA8L;nmKud`L@B8+0u!MDWnfjy7NLs=9StL0opF4W52(`2#K_WNf3t zx7n>gAV9XPDz)1!av-#ZA{g>NKKM3>l&lypU8Hi$v!k=-^_%s~$UYJXy`kt$)1`pLbz2t`qPzJHdO6$41qdld6OmbGrY z!;Y^3q;~=!R*S2<>jv?^vGSj1otF&{qAPtUf;oxG(}~%p1rDY`F?ALRn*`^?#^Y7N zhIx|}qct{0U$n*ePIC|*NK9)*a~DsniIT7(%|+g>{Sr0-8U)XR61Ps8SmO&e>iFq z^^xS$)y&hLUzODBG-yjF1}EYQD!Y*BKeVSn4YdYyGHc9m1uwu}<0GovI}x>NB=M2g z<3wgnPK&trzK!chUSh1mS4^Bm*;0U=)RRIl4RfJxTL4mTtI_}|7sFQ=BB@GI$U4<; zK#eY0Rk?BcrN`(ph`j5on{E2AL>gWI)A8V6E>J{C83yD}flVzgat13MIF;i$fBwlc z3yckX{o@o=qWSqSgk%yQ>9-YE)B(mpf4ImrmLZl)?`z?= zdhB`Rc4|^ruABbNvIlXEgx5!{v0(Rj$Y(7A>*fqM8g0e~=6M)4q@B9)o_e$P;tJyE zCiTIiCU}430}9z`1yQiYyf2c=NuV@x$Xx8CELzp?7|RqC*-VU^iX@2g>*4I*&c5(F zX8PyZ;=1kX>hCR{Ze#+7L#*!=xlOoMRnsI(+;d2;o55?DhEd)u#v`aS{HM#FhO#7} z6sBXj_{Vx<)(_6QUUIccbeI-AU$$6jJ_zln4iSH0^!d@@PcleWCxPdH6YXPJ^b4PV zN6lu%K#cwV_bks_AQhIR>Zvbf0ned6ms5V>1%-r?A0}>|lwy3+ucR4LQn*lz-1Gz% z=H#&+!2`=+-vFpYbKGwuEXuBE=-x+m-v5hFjw=$AU0HQ-a1!i5{=y_UYs6Bp*KN^! zAkX_UOU1CSU$s$ZP8S0szp!d@FQal2L*BgL^Gmn=N?rR?T!cQB%@=>kPCejomRAcE z0?*_P6yX6?-jMBwWnWnpSmR{%X!arzQSf=Daqdf0#{=YeYDZZ9=emnbRga)u<5@ld z9exb=u9JuXXsZ#|cf- z<3n<+qQEzm^0%x_fp31OJT)ZL9;m?DH7;}x~A9@aE)FG zdGmsfP}vhQAW~SCXuaFR?=~sM-wFU{WB-oJ-&<77n5|%&PR-|y-!h07?fhkxULQ6) zHRf*V5B<6NL2d(hU{c^tp3u{2Wg=pZlW4=3xKUZeO5o|Fz`Or&g z8A+x58rE>EBwe_yfFqA`Nt1VNQ(T&tT3NA4pS<{K>ZXcI@Yu(+);aG8h|;#GMx*r4 z3g7!tsPNf&LL1^@*V-eNr8(gk8;7`^8Ig%{gAYD)xk-;US+Gyx`@$9^_7U~ga%w^f&+8$l=hvUQ68&w0a;Ed*am5%yS2>}2f4(x9sF+#Ms@MHAd!)N##RnYUKcv5U`tjo?rEYBs z0XS-|;E86B$4Gvcl_@-8U_5I}1B1hvbcuEW$aPAp-8kdb3tcev)YF534vXxSID#F{ z`k?5+fq)@@QJaEUINl!=IrhEWroHs5G)3e9k{!-m0676w)t^s5g3c;0Bb+PQbJ)@@ z;w?sngjMO13r>gzv#O4fKZC<|ezVVLCL z0DXkLA}#x>8E_+EJqFU;GYDzRP4F0*_Zax)$jqaDBp)7}ID#aCC=BOEM3tydoqANy zid5*E3T~4y6Oe{|cCHHq^2QGI+)g2$eqQk{!%UKH+?ZrWXGZx5lP8Yoqrl|{?O*1gs=PtO1R(A&!$mbB|&k1juedo`M|2^XK z(T}rQ+3}UpO_yLnZ0c&9uZ#^$L?vV*201U6$m)p}-gTU9rYZ-CsxzQBrPB^~`jvd7=fN{1DdzE}55dT&rzw z@Uu#0Cx}$=+`oC6R<`(`$03~vmoBzMXY$ZTW(Si`d(SQ`B%*0Uq?%7y{WPw%vHh`8Jx{Ywzt+I#wus6+89S9cD{`27it0{hR-i{OIE0XZ;NRNNGdMd&O=aL$b0)i=9hAeaf?-0>XTr*FuUA} z#^~Mf3s+i8g1RG#FsQJ;R3KniZDHCa#fTxin4TTdx-C*|ZQTD~T%$RFp&-MO)g_`) zZ62#1izipQW(eImrP=e44$>!E`3kOvJhV!xZzt&0vQ@Iu8nj43QupYhSJA085$ui* z#(Ph{6-9973eM@|&GeYr>3eZt+;?UZ3)s)PcPhN@F;N&;tax3x-=FTzd`@`nM2a0I z4TBakd1!Dbh7W(h4127TgSutEzxw5g`*^{$^j?cP13i8sC@XH}RViz%FA8SH=YVqa zB89hu^_ia%{6F2#R%$}T2`2}C`%qZQ4AguQ6+Y7{?ps1`99%(#Q#;Tc3^-6@VjBj2@Eeh+=)A}nEAskd;nJQ##QK;-bM$UeJt)(RKmc$pWd12k zlCe4>kyKvn1KQ#%&JqoSCYy&Y^9ceQhDSe60Qf@i=%^O42y2n#tB=ztVrNPLJmN3H zuR-4g`bnoUgLfP`8@Cotl$#0*>mp8E4CAdI1s7Sa6*3`nTFJr=+`F$G?u>hA{qT;i z&reml8i5tyS)2f0JfFFW5BWuf?6U3^3b*5UsD^qhQk`!!_)eGxyb?f$*F38Dl^P0T zC6?Spp}ArJ8>GO;C0n9T8KL-?g%$MBs-Z9oz&Nbdy!z{R;!NJ!W0*gB z-o00voOfx)deL&iRm|b>W6_SowRDvm&Z)*M$$XbEAvywo&FM;IpWD%7-lET1P4)DZ z)b(M3t6y}6P`ExuGR5Yerg@0-J914F6&ou*?%N+sc6ol;=UxBl4f|uayo3)TY>GOn z1g}vpCMdsrQ9Jf+V(Q6nbkQK&RR8HsADKO#MHnk&je7 z`l%4NM}%ojdDzAR^kN7$1CFdS+J^Ns|3-+7{cVXiV*iEt3*Q;Pc`aG+>wYVCW__UA zT-56F@{rzEEA*pyoa7-?t0BWq`(*M~u4S*MggD`65DIj`phzbCSIZ`OF<@iFsn&OG z@cLYjkMgHq_j+E#vjnoV8N>*HU6Y1#pyRvht4nnfecLCm64zQ2wH_IE zk2eIxC*eF&P()2Y4wK&zIODeWluVhM;$o-o^TrQ_Pz*X|qXY#E3hEXyBDBsEESb}3 zmU;BkO7yg&zuEd*gxph#%JZjlWx0PQc>bgP%*^CP3D&gC>GHMePh-cSVLt<;ADmf( zfBDhfP>%qC)ay~>XPDMLh@EN+;cKf-MxUj>eNkxDV8uKW6)?)NjYUZ>SGH7ucMP=X_vD1TJg13 zOQXPSx; zyJZ#v32q?eAy$<~TQn7p9ms91OXEz)T1uQ#3V)dcQIx+xj{+CkY<-61#JQ7gshKDm zVrx2)|JJT83FXGJF^@Dyv2-jbsenGnZFN z1sZBy>7GwrzW{cX4ut+b7KpQ*oCkB1&%q?t$pRGi<=&@6zRY~{Hpv)cC;1Obq?1_E z*m>eonUmAr_G4QIhE~n!q(mK@pexh0!GTA+ne-;&2_#!3XNXj%z5W6JP1BUM9dDjR z!aM=Pp9!3`+220Ks>4grXxtM+t5cJ!?Vp+VyEryK%jFS7J6CxqZ0jP{VqG;{MC-32 zT{T^s*6}2Wcve?$HD!iyoIQ>*dc|=lzi`-r2l}3h zf!XK$y3-1AT!Q(#^J z)Km7gvkl#2{1MB0bN1w4o#H3(2G!3nZiAH*V7+E7`4J<_dWYFpkyO6h8{=RuxjDaf zQFbE(XZeFk3m@-eU;8XPO76#Rfuri-tsrXfZ_3KV?%mLhi5^E8<^BQub zhlT;tf-g0ID=1OfyS|dVx&V*44Qs9ePPgM(0~1Ak3@R6BKp*froS(0~fvMSCP4-2w z=khKIuFO@wYW`~>-6xOzmAt|?wi}Lc2EVkoUxkhxl;4O1z8+}R>L34x#lh6+ROCb? zIeCGP$WsOoAlJ;R_A`OXGd37<^dab}W?rw)|U+GM*Q392ZZ}oIPHdrs`h01)IBo zy@!I2y(C7$Qqp5R4W&F6#t7W-y$^3YA{=~;H(L(G9jmECp0F_RSWfpw!`Zq61KRCz zAJOEQi#H8BC>ASu)#ItXaouH{YGZ>jufgIvqj_S5NEQ!(ewp~ikQM%Bod>GMK|UAj ze0cz~;JwH^{8ty(s|bxHp8~X@-(j75QP!||?SoLlRw@74jp6+01f9N+!1b0_C+?Dh zID>8Kf;XL87bAx&5Bv1yxg4%+wzS`dg#-6V)Lv+jbg^*V0*`43ul&#C%(Ov9&mF#h zBuRSRg$2lo{##DAy*RJIY9Wi_78W_#;z6YEmWuCF)mdlO`I?eYf$A9$%`;USfqWh4I=F!pw5*`EE~z23i(lv` zUYyscl1i?uYP4bYk{L!1q^zATGSZcW1xOh!L2(h64Al1ti;z&E+TY0XjEq#_{Xojp z19CtA{vo6`Tl0(IeM5%5ikunw)C&Ig7v+KJ*e5ld`45^g8O!YSsKi6b6Fje1X|7a^ z21z{9gjpPlmxs#gd5i%jzB-*C)LKC#lia!_(`KbG?C`u8@^eP6=8ao?O3+ORG!&>_ z^gv{808zQlffOZ}UT@7C`*_2Un-DETzY=4hB+^FzqrF0jk#Fy9s0#L2j$iGtq?4ya zcQ99Usf_p}!>jDqYuNI&dD_Ev44|<@r-HR5ZHp8aCqQ?I2id==y)D*$osJ-s*@(P> zJFWDpY-nL>d*WGczv7|S=fb&%`^Atg52CV1DQlCHp}lsLI8&KZmBG`&;_ zS4MLP0Qg<^q&w-;|CWxHrV1bOciGEb{;tA|ILVo<;qMQNxCXvcLPA2(EnES&+;)Jk z9dtBBon-_veAWnxQ{H)#OLKz5y-uN-ldas^Fjq#hMkhiH!TjH`@#9wkeOGr*M8iX1 zdrxd=s*1zKG1`VWRfjG3RsnWU>`x7;aYzjCdl7dRAHVoUuqdxNPJpw7*C_FGMQcBJ zEjzpY5mm_FhPh}ec(Pk4=~y*#J~#D;Ao``HKYHIqoyFiLuN!;Su>x2Iq5@GU`!^Ud z^5z645ZxrX9htb4sb6>@MKSrp#?kq)GkP3!WK8uLFFyml#IZo5Gt%(OOmClXcvXp! zl{8)>moL#coGpWm9-ot)F3qzC*mpf?xco(%d9KlgQ$J;`itHkpvjxg0_@WPKiCi`s zP+vYNN{x^lLtdeNDc%Df18-IvXNSVS!+LI%KbAkNz49=y9}E-BIGNWkH)}Lh_|kF~ zY@~1qZgo-g@TE_BWN0e|4V8)@2fEqA*qM-Q2DtXD9+{+$&kR$IDXSd%%mx-2?M;viHNS*5;SZLMC!+b-hT=nr-Hw#n>Q^WW-5mtbuLPw`hGR@Oos>xK&GIO zxYJ-_sb;i~1-^+3&0hRw_~@4!IuoN-^lr4u7C@hNJT@~Wz}zgFzs%LI2$|4I@*wD} zvvPwdd(KA4ENc zPA8nnBNtUIyoL%1^2|)gj^S`}^*wR+8IyY9CNWcmbK>2`Z?d5NXmtp(x^a4)pD(tG zY(4Cxcv9nW(;c*Jv&%~(&Eev4gsPa>F`B_rau%qCsTnIK-#$?yR-_4k^-Gs%z9!}} z#eSuhhSLnAaywl^%{7Y@8n7zFGG?+}7eyknKj@^NCFb{csM7H8m$G zth&ZQX=P(8ag+>xW4a_9vdr>IwHJ+s^#wZFVf4Ub3aM4;~ukq&pM;Q^#wLquF40J1B@oCdzmar5;8;_)RTTb^W zd67!&zyj)xc~pega5o1dYht8*>x%LNu2@AB!fm>>g`XEsJkC;{G8NKqGL+Rrf}DxQ zw-tMYh@bzII3ML~2Keln-+L1E_Zf}1Tk#xA9kU1%{Hfjjk&?IJER)#^KamFT#%YF(EtI7k>CK zvxWAP8L&8sK!~TG5{@QEj`rcD^Tc8ZH=fBw z=#w7zr(Q?u$Hwj+M}q4Pg3u%S#fqj6S8g#z_TSxbJT24^Ttg*qMo0`l&lpEKcNVfO zm5M(c8}M6AbXg*PUYz-$gpKPUxx5Jg5)V}}eh3NaCD&;ysb`!Q53;#5*H`fL>vl)* zrUNz`{KMnlNV9dysKUI@a(B@!Yq(eL<~lJx-BES<^;5nBhkjAmOti&d%C|S06v0_p zp`;0{WaeKFWnJ=KKA@v4JHb?m+}1nF!ICa7Utpmv3WkZwd+zLKi33NEQNj*;sv-Ng z5j%C(!n;9De`(gjZ;kIXo1HPdsEHxV%7MRT&fH+7V2^2Z)`uBPI4 zV!th>w(F0wJLtqc({?H(cCZF&nNXM->Cmlm8QY3#e&d+nbNs@-Cri60`w4O62-p{AO%p_Vb8wHgy?`Mt_O|MN$Xz_iv;f{3#m~;towRgouHGlo>^5+28TbB8lEV%chJ$ zF-Q{*`$<&Z^ulwyT(>q8ZhM?Bg zxtR4+M&JXoNhxZm+j5LIU2|Ixi4@Qc=h)fn`)w-4PlW;(RAc=~l)q1XRZ>YQovL^M zZWbu}#KH{cPvGi#DLMWDly}xK=#8>TRdaK?pZ}Jv?co~(elvr4Z8sGets-9iXzH!* zEw_I>qM07z|9t79tKib4U)uD>4R*%K`IbhJP%vBZ>e}^X(vK)weBjs4g(knJ^3dB> zIIR3*zZ53nKL$pl7|e_2W=S|MFBX3}JSQr@497S6HU3Q|a%FO7us*yK7yQ~q-Shsw ztQSy0Q}hDCd;ab9)ag3kKaD1*bWFYJl^l-@1Uz&gBugW+t`pr(>TGIK@}HbA9wSNL zDYLnoe-P^}kS`iwg?Vc$fSV0U8sE5m@gxuV2slTf7&A3pcu9jjGNM0zZ^`mrCs{#B zI=)l)w2lgp2VH})=ek_VljfnqF48i#_-yRz>{t|7b-Ynern%b)F5`%ewoU=u<209b z!>;9Spxe6^b;nI)&{~X@hF}A!nYlX5cF|E>rf#Xx2Qq*JAV#t_Ry|!!DCg@}U9NXD1C5sv}6|3b(fNn<~G1S>1%AEV| zuy$fBTjjDwUn~`OTxX)XOV_eL<=flFG4p(#*cFHlZs`6;1f>dD-Gofz`AJRjOgfhm ziMb#WIH>4#UJC$xe+V~VFGd(vX;;MgBHms1sj54Hcz*H&Q7F@E)?053q%Bdw+6vY! zh+-f`h2Cd;eP(OfjQ314>Ef5X7_|Zhyoa?ggVJ?~8_}YuA+FKYCPc;*u;#mT+wa%P(=7uHU8%eIzaKj1hY07bw3gN|cQTJ;^A1 z;1aDdV(U~^QogkCqoXn?smo_gF7|rMESWtr0q7;Kk3*XVg~)oHAM;72<63tc=sQg7 zK55PVJhG6)VE_C_E8Y>ioQ49&qv3TVu)dE$@#Jeqj!*I>$;9yURbE2T{HqcdB{WE= zGclB8-=#nRxbF=$GE#^>oz15R*_97onK8%HKZ#k&lKMwAY&zt*K3j4#JHUh+oJEiH_J4yyZa1()Qn|f8x>Fw#t!9 zKH(BC@k$f6RBXrHUY6U3uEnVH@PS!PS&pQh_G=cUgmo<@BWae$*Z~^dI~t#W^k;>I z}6g?lb00i&7g;OOv>95Yw8Z%5PO zwlSm1?yrlp$|OH==XIV=V`}(SAO2N9M^&n+LE}2lB1WCBH9x2E^L66v0MB|yu{Y67 z7&Ygak&7M9TGbpn3|Fir&39{DtfGG82(`G$UI%~5AV=g#eQZk&WvO2&C{UheTKozX zU6yFThcW0|l-G?J{LKZQwrq29|8z7A=(h5ZToXhL(vrVQ1$_{o8LIFT{)6(K_5JGO zKPct#`qg3QZjWpT5o(+`=$n-qXexDR^1i+rwM)$R6Q}8j@p&((&wjk`J#4TQTet3w zvO6ygVFLU2%N7ykDyJV0%Niv?1|8X8ko@tLK1Bt^aH=r$f=B(713OCalGo)K`}?!P z>)mA!vWY-jPNh4=Y*bK@pgd-|`uKp0$xL$lnlhoXspIr@r6b~wr-MK%_e@7cjwN0^ zr#f%??mQY9mT`+ETCB0U>ZTE=2%8CV4QCA)4S>Q-wnLj8kQH*2hkXhq}W_Ng?dNXUkI(l^X_{TXkTk_{|$NS>j24E$rMrY8tlxEygVL+y_Oly9x zmxgYSe=>VXnIq#cPpge)OClV-&;z77d{4Tnoh~lWZ+H7MDBIXzwzi0feOf7#D+yP4 zh|>rJ$(|!%TGeo3FNE(;434gs7*tfsw6t2~BHqMs)bJj0 zZhFM^ep}eL>WQqfO1JJ4>E}i@f%;}sb9nXRih&(kH8XUpkC+HrV;7kij=R}ziei_j zPp*4a&W)9Y`syodr51v93Hvp%^(DP!B-zyH=N@DLrxV8X8a=f8ZD2mH(8}Da1^$BU z)ZVCT!q|F(kM)?VsJj7_5IumifhkFswv=uO&spGip~nqBi{kt&P}!Bo4NpkaBG2Qb zigCRsD=8kTKMzjw%iuM!r&RilgkdCkym)e+B&YO16CTecevmioqY0N#LF0 zEyzQcd+dircpn#OTlh7M7G55>FW$KQLAfBy7s0piFm4H8J2!vFrnf6#>TQONY{?#6 zc&@0W(N%cYlReR~i}}lhYmfyquZevB@avjwcQ?xknW}ipC;c3*coq*?gh$D`S|k-g z3v$l5iD_|5Xyptq$sD5xt1`bUZ8kN2vFue5KonTL@_sfYs~5ZG3EDBU*Vjca6H=@s zfI@h8N)u}l9mS+JT6J(Rm#By=>E>Wj$EJ*}h9ZR2H!dzN-O9a;dXc3}hc79rGl$P+ zq^y)}>HTW%`;CI%xhgMuuXh?=Zt%R^FHy`!vFgQYcVWEgNp|96E?f4Qy@&nkVw6W= zmrDKEJs#QSytndzdg3-%n9utmH>`Es%vSI8Nv6AzdZ-pC&rLTd4|>Ed7UJrBPdL?U zFnVDD@wQAp=7}<9x>j(n`!j(A!E(uawm|Q`W03rCd67fY=SjDxv{i~zn05DS7Hn}> z3L0KBxBQ}l<^{?DC9l$Km;GE{$wg}*_f@s6MUQ6iP<)s!8A|Tl$IaHtpLHxRZp*6Q zKZ^QNQ2o9BcNOg{rDxmw#dKf|^2Gl^aW{~S-e)E`> zP+riU^QVaOCoZ@ZayD)3@9WIsO`wTT%7|1xBef6>$M2K=j*bONGZT_qKNq__m1xSc zHkU8(!B~k5jiaBz_RjjStr^L}dh_Lm=J(Z9kGs@HYiMD1Jrx2~YI=AVn$xcD6+?BE z-srs-ML4hCP?EjPaV5AvO-$bN-U3dJJAOnXbSC0@f}lJR`)ZJnILtgV2|;x@Y^DG< zWye-DWtsn@mKwVoB>F?bovnui`p)Dx!FzwQDXpgt-h2lVE&7lhr!VyNDcJZh!4B7$ z!NvB2gR-|+TAbT9(h7Hs$V83n{@|0L}Tdawx^{ zZX%5PU*U^;cBxJs?NJr^DDR0%ov{t;b()l#Jh$qJs#@IL?F=<%VedwG$I zLNnr&vmv*h3Eb8Cf5l{!B4ifkvu!7+8(AEgFZ70Y(?KZ)lgFWftyw*4E?syu5^$CJ z<(J!0m>JJw&h;rvQm_$R?n*zNJv@o7-5IVsk;HMSbS8m%iMCLslj-si)mdC6WtlwN zq_UmL1aAZqu6ln})mS>-$5(|ol6N?I^~S?#U_wl^H0!Tl9!aX}?< zI%P-2`URo&v?;gVVsEYW1n_3S&>jSMqy5dCrC|lj!RDXBp~Mq4r_mA5-v|`=URmse zv6?Bo%GGiWq$>3B`iHdK_Vq;};XRd}#ZNU3b{PRan?ntQF|CO2==9)Y0BD-V_$0o} zUplyXzD8=WSj0nTQR(1$=8PyT+s zk{Vwvroj!o8}Y#AfuZUt56s8tm#&tGlwp9$sENI_h>*GuO^S+M%7@Y<(47VRzW9=K+%7JNWL z4P`9Q*RfC%(l%KP*)0<*1QJN_S@&k3W-|{q&(#uOmc8VnWEc;SDWe!suAW%>b8VW*A$rI8Z&r!F<5ca8M7dOuwJ7dc=r8 zdYS#F77kXvL*zAWn)S)@lavuV4HfJ`En06!47VVNv) zO~&m6d1JVfS1rZGurxV8kD@X(Fu!TN%)+PKkDk*Jh*$`DGE!Tfgn6_+O-}YiT8)n| zm=DG#>iY46V)G`8QC<@w_8bm3JS?Bn4tU+VqgIQ0UlA1F%et3ge3A)v*do_!n69m& z(#Xm*f+<=jQpSJdD6Gc{l*MwJ7o_N^o*;)<+;GhQF!H}2N;G@;6_1_?btgj$h5b-8 zzKn#o#XY*6?$h_q+Tz+ILsy!qx{^5Dy>317`}?)m5_Gk203><_SmWmt5#Kk-dC6So zDj;WHIF#PpU;aw@w{fm6f%oXFjbZR4j%C%oVES>25naH(QnK${DF76f>znK-WL`81lWB`@qs&d{@PjQ#RHoT$9~!=+nShrj<8N&` z_+To1YyF{k-=1BKDr=P~ZBrPo+hX1mrOQ7sqh>HFQ1@E?J~bh}*F#wzMQ@LGl=Gno z5kQH|@$yb7>n(&*N`7!)=Cseay7ET*%`tC%5iNH}ui-fdcjrR3<+p`t_|%kgR*pLg3k5teE=W>ct_DUB(O z-PRf-OUyIDi?P{INK|%13VlZbbqGk>j*WtAlN}A`1i@t8%KI@-3Fp2#SVkTTN8^kp z)PU0Tf(7_ayeahuW&FIkd8V__h?2hR>3%(F^AMk20x`308^c04yYj=>CAgLS;R2_&dVyC)_u2{}ke@wjWW=)A7b6NStKFY87%Xsz!9P2?9*!>I-O90XMOqwb zFujiPSA&-^%U{~Iyhdv5_WX|qzTB_)Tss5BxI={3SMPC(s+mYb30oYxBvsceA=(Yq z#s&gyF5n&ld?xR6*{@&f8V7<$Z?s+tpoi;>o4seagIE{Sh|FMTiX=M7iTCdPL=5{? z$)s49yLtQ!_T%wYINSC=5PGv7kjzV`me;~a2sup~pgxJWhP1F4Z~8}S%a~stu6liS z2Ow5^|1ySwip2x*bf{en+@C(~nBElKb?RrLPp?^?1)7S4!slVxs>`49hWTUce*$zSt2hhs1 zx?{)^1U}3XOLC>HOSr!LmJZxhR>}o@pj3!h%uFx=RNnAwo)ZEpFwIXvS5)`f%4DRA zxfND&{y(gm5kwU2hXwm;y=&``4 z<|2V-f^T$I*BeGf@V#189M9G}kH(!*C%FFQ1E69)(PnPSU<_lQcP07WK)j%n(>5DP zETyAB!8jCQyh;7}EM|JDFUeN9-Z<#Q5xqW%R5w%-JvIR%i+xh^m}dx(s{c#svHicL zMyhw$MGpU=&CVn;XyrllT596>#*~ z0nmaO9oO{f#{*w_)!#hFxi5uggHC+lSBR|50uhkXVeXAkunGJLMoINcLNE(9q`WCM zui>Q^b7dOZs?gcEGfEug(X?7mEqa_y`mZUU;n4$34az2&bU=@Es0FFVTxq0XLQTQ+ zp<0Vu4#KDD1Po~XYrui%&5M-(8o*y%?5-Uz!0*l*L8imYod1UKUAQ15f53>gg?L)^ z-cj1s&r;+7G2{RbUaKencfbx0UdMIg(4M`8ph~X$wcokE0eES^{A--8@e|8nkzx6e z6IJxO`w<+Sx#+RviXqs?vW;pjtFV-dvMl*zbM=!^df~AE*1=C3(piCuQvK@fH1dzry%FKA8<^iS;p@qSeLaKQ7MP8?OLQvL2QXSCGc4m5Sq}mj_XWBdH!?Gm+2XsAZ zc83$O0s2V?iT%sQ3wy;l)Q6o73DKO~dra~I*peL zm3lHSsoSzzM3~VSz+iDzM4%M1$`zE$Rg$cP%){^ONN+|N6X4f^l+QMLc>H$W7p}*V zO~jE+<(6E5IBfU#pMRW-QmHIser9vPzAhXS?+9~bzL$sA*A%_(wdQxpLMeck2ip@{ zH7d|J29I-gW$}IReyA~5K@}4}oo2Iy{kkm{w6q*=2cLd@|iKli&W6$#e_e|8U7m36PJ3o85Cs(^w1@$@Rqd+ZCG zT?TC0xnY-Pz&JA)ck&ZRQK2wVd;4`e=PJrMRn1O1&o-q(Du`y@UA`g{%uhTza%JHX zH-^`EqoCyVRjjr2YE!*y2K5)!vw6`8^zIzT3O(T2=IrG4yK?5yhp1c0ubSna&R~jkZ)o*L!ul4D@1NTq==`PLA1vQ6l9X z2r8(;_I*jBlqA14@tX%uK=7g(%&!#AHT> zFC~s$HcbTJtqUbFZy%x_OQsX`DQP`v6t2$5la>2k|GTS~Q0u8{KDs;s@T+#mmqyMMF><-3<)aVGtVvH@G=8Kt|vpXcXzEdjp?!2`mR=agSjrzi+ zVuF}KP4BKtea!Oe$IRE*tR)F>`*r`)d$zqr;g0>EM>&oqpATJ*%YY3O_m-HRe!!{( zb{%sbt&{Yoto?eLKELdX7=kt3_9b9I)@`J`vK3Ko#@*%uP9xFgmaNhGQS!&;;lIDn z(;jCdZye_tY(LWzZ*Ms_*lTQQ!!RbGfK6tF&L)mR1^X>0jzMBA4`>^(bajs?-!k{| z;SfTSD^z_`!3_mQhH0VhOweUPH3w>8guDBNA+6I?4zJXq`04b{ijbnTli8%&5e=<6 z^+{LM^VwQwnW*DZ!!k#)321R$!V{$jiIfAq8hK?B5Gu}?ERxf>oeGh;uL=w~@9Z~o zb|)JmDBoSX>wj#^m762XpVBF7;1px(3t0_N@J^b$Z}?8c^Uxn#;dF{-K}$2M@;lt< zB8jF$`8;+mh`#=Q2?w_6*dSV^AfvFawj`y_Xh}{yQU1kKTZpMc&^k!?nTvN!Qw*V2 z+)mfFVF{KkdkL_&CLC`#xiw?3l#9{P{Rb`Gi+Le5>JaRn>ZeKuBjpJjaDvc>)HhRu zPGBZuW7V3^a^IA^)t(XnhvE~Mt^Tt64ZLzJHzz6CIbQ67_R&sn(jNYU3R;b;m)yOXG_&=Gt&@7;jrP)ogx{YQC<@E35B>y7y=t+?rTm5y zp7{AXx>h*g!I8i7*(_h9YM*+l_r@U*y5b}Tijpm5N`-_|nrx%d5Fs-3 zf;Lgt-a41uWzM`ju6;OHmb9@}f!4o6`LQx()7!<|-_tK0wa)!F7IM*?4p_eh-+i zLqtLT-9{sR)+^U?&0;wfs)#^|;X5_}ps|x$Tb9`2pwqRW=D-7ZG5RA?qULW2*k2Mt zcLY<-J|R6F5&&T7U{wkd(AE|u0Wb*PHFwT*~%&`d=YulODE!mMJbN2KVa9>^QB&$LBy18A~!!!@uS}GQ&+V54=zA5 z+HPDXOl$wC3;_oOhch`Br0?7G_p=y4TQ$bI_jCuFUl|~dT%57;PE#HxO zst(9V)_@CO6hxYZR)Z=u24z)Oo&k7NIz_F0y%Nc5{qAvsN;)0SM15X|*p#;DhJR3ckr01f zpw#YU>Nj%8=3-_V2024#`@mpmVa3n=Y>4k`JNCg@ooq2R1`KHN+d<{aA_6+`P*kr% z)}SL+kW1RVUy_vOhgL(HFNP&-$Dc4B!Z^DC&++KQ0ceyU_q$!>Ks*8 z7K;D7Sa*K>gCI}8y-s`70p*L2Mbg}J5@5WtoCN}=_*jCpRk`+51!YIFJFz3?zr>=RbW;FrOoGz@3wD+9?`4!`Mc~S{S!4?Ua{hX->TA(p1$J|>H z&Bh5O=qV2X~GT0a`od#(eUV+~wRlzKUj=c0|Z(%QY^W zp2LfN?7o9;#UZ(mk+hU!DU|Zl3wih$7J{)jh!LN>1^z!MV+tbC3wR$-lE#&uz5%TeOh{NR7;;4EjJS-khoVFkFEPNDJ@bc4mD?o1J{I93PI?)=$Yly(sRJ9&Tt;Kw{}$XX z6FxG2>-oZ`tY*J%V{xwV-$JB!LhrxH_wYGfmo?U3us_ug_GHWZC}Z0OlR2fpe?=e8_OHz% z%|J4Womnp>lr}UEp|kF1KZnu(myldL2SAbT_g8TL|4^9TQE22l9JK4qTo}4J?OT;5 z2Yb?SlQPzt+Ox#lNi{A5?d+a2QjGj%)t){t?!Lrpj`i7R@V?{!g{(PLoHR1tGR74t zRJK*{EryJeYKQF;1q0VMV58%qDiGW;y$eeIMM2>l$r`NyBn(Gd^A^Y}$sk%h0W$wscE|CDV@T-?fcQK!$){0=l2kvMB+Eq8NE$Hqw!W4Nf=^;tePWWTP^at zS;=`6aQVlr8*M_=iolv>vyJ;6So8uS3|hg=|76{Fr&;yDal|oyj}qyVLiC7#`KzLT zAbOr3l)MC%bJwCXeXl!C44Z1`9mL?@5d4cD69K|$?$xHCUTD4aU3J<5YaItk==BMi zkHRsJ8#3b}&w85+Lyt14=GaHf8c4Sj=oRitVlUG7=WM;NM~nxg>o6%L!z?7JyGV2^el2A~7X(fDM`0VCGksq4)qpBD3&Mcji3SLd;1d!|zu% zmvXl3v|aLX=1oCWY@5#qp z((p!epZNz+3m>HVI>MM=_C?X+lrc*KJ87RH+2mcg0!K-;P|1B+12u2LMmlb%4|IH% ziuOvre^F^6a-8ERfDBwk-pS+Qt_OKiUz^kXn)-iyeRWt=-}f~LN{C1+-6AP1-7&Ou zqX5DAV}9xDvdLA$54XOB`6&O5<^H!E1~Zl^z*If_q>0f{mfo_t$ps?xwG#& z-V?YZ#Vm|gS=0JY+zxjQZ zv@nayJ^$At{s(VPF?47la!>SFW?#9*y&_vfW3%eBWrecs{rlYCb|p`GZZAlPuC9G8 z`U-0Nli%nn|G^675e6RKUY5lVV+_T8Bkv@_gt+uZzwzcN%Uw?jCsBW%L?c6GrXId8 zD(pL)cS}93uKA{e?j!7A0^Gu%q6G?vqRh4k zZHq@Y_V5S)9BBaV#k3EVJ2B|Ap|9;LrdVnR6OBjaeC}e{X9aCUhb@RLruVcJf>F`G zv65=N)5ekLxb&pMTkpd;!WPL9`#Fog4PRZJrHmZA}t*xUZ8SMCPyzK#6kw)i?jT7#=sCccH*LFadecJk4TzZ z3DwhqsSxMJDGEq)$`Up^a|qEp-&}2pI{Rr<2`X{2(QHPD!3WF?a`V;1II(QnDqJ{{ z8U05k7Txp6zU0$_weO!EsmrsSVQA9~B~^Zmx!l5qaMb$J0iYl(IH)x62NfAK&j z4gAKm9c^~2(&ZCq66#HAt6pBKfKwEkoBjwn(SK8(DK>s_#RqpwM#|Z~HrwOFv)yy` z)3oj~uP(=iSzV3TIy9VD?a{8Ff*$Bd?07O~XP6s$QRt_33LSZ0r&#o)CyqWvIes8s zomTE6?&Zb08nos|ehRHq6Bm|dsyY2nle_Gr#0Ejb*b+UP4wrQ%qXCUxz(k-(eHhxV zIqhNgSE#k!tr-%$p{RB^2`i-Ui z#-Q`yb4jLDgvfJ~7r-zCy(e3+MlHkrI_!&QO-b`Mbq*sHrP091U;e#w@vhqU_vuYc zHM|AV6iAHnaf+p3iE3A6)aZUnkNgZR?dz?`a7j2_aDDy>c(VIdB~{}$R`-X?`sY*n z=U0<|W95Zj(IYlDZ0!-oGeTd2kQ6vC@i_H>x)>YB%`4_b$5`?rcU8i#2{NnLb$ghZ z%X4tcl(4}9{3Lv;D2nQ6n|o+d<}*zkzDc|cuS@n7u zo1da(t;Ei*irtm{(KdNoGK=@WhE_@C{i{~zf$yGca4}W3l$=k2FXw_IG`&+txw5ku zH0{~ts^fuUdJ)zgFnL1x_OlSI_}RRF&$NzSi0dZcL3Lu)UGj}6dmtP)9a7<(jxu3v z+321ovK!Hjd{x?MuTRxZ?V5R36rS?!qQHck*R}yqsbAG4(Ls~Tmh*RrnRwVe86Mq~SEw=y zgujqKVU@^moV%AFn0Nsl2$v9CQnRavn4zqQ^@1oUQj$=8Q*r2Dr@)8w%KpZJm_feJ z9a+xln}}6~Yo6~@$O<{Hgr$>T+U996o%tP2kut`hYj$uqavw{NUe0*TM^?Th78-Hh zGX2Iswz;y@zk=X-aYIe!B}k-hp@Pfq##r_1dU1O$nqSrvndM*7@fA`6lN0pUOcm2tde@1V!RH z2Hw8#a=RD@#tj^^fBeP@^1-|4QN5gS=9rTS;7zdpDp>*62+?`+8_P7jKSER}5y2l0 z9BSo>wJ#Hmdri8haNd~rvr}_3k^SCpaL8$Nc#g`B|LU*I~rvYT)Lz4vQRSIbK4A7ku|m)n723li-hf19Qf# z=ZZQH_s$O+Kdk9J-!1+3a4HDc!N71T=jQY8`#~ck7Y5$#tAp=O_hX%<%UNX4T-Gn< zo=;CY{FtQm8_q>;WwbPzBdvEUzrmieOiDa$A{tb-Hu;HAUWHX3*%v12Fa&+%h=0Yr z`>rmTw8dm2dPR|!GTde@^-h}l*pYn!h$BH}k-V4w=tLUfTNmCG7e-1MjZFa248!~N zgx_@IRqdxx_bb2QZ&CJEJX@y%RiP}sxg?pD&X?m2OHL%}neXn#gOK)DeWO=rd#QGxJdSTW1~up1%}$bd2I7yDW}_ zfJHk_{FhhyuF^Hd`eT`Jyd){+!`a)1Lc zd-iMle;j~MhoiS7_8s*B)8^}>PahSKR|7nEegk}+iPiyM=iFSe#q6Inl@O}pzaZlr z5S|;^g|9l2L--)i(O1i&P=bLgf5Tfcye_RvMA>s};2NFg`3ZjV z=n8^HC_k+9hY;vXUb^W>3TnG%oRSc4zYb*yHb7H9Uw zOI2{g@2Bd>w=61k@pJ!1(0A|;f_M`)wsp3Oh-SmO5i$|D_wom7k2hs{y+e|;Nh@_h z)VE+1zK3*G`DC83lbX>F`(~D(n!cMy0Zz$ZG3~~vs9f>Z^s`{9_dhu85YLy#Gv4Sg zHjv$-v2Fzj4|Hyh<-Yuid%wat)`%xRp$*mx*c1R7d4bCM5h-dnC%4jI=_FZfT{|l$ZsDCys zp`z(+uTrP{e?ahDfk?oBkY}#Zd)NDVHJl_l6IQ{nVKkuxLMEZ&?g_z6$^lNL8D;fQ zQUv(yTvC38X%q_D9*+%Yr%IMzdh!KQV&invz@&)cSIwCbrnUa`G7y$S|V;bOD8yL??4^^- zcQN^nw(LJadnM)0Q*OvpuJw**ykJn=y(_lXzQA#rF-Q}c)i9*CPdNc$U}VEpnBAj4 zVeFHm@UZ_18`%{pri5%%;&qtnnI69Xnc)29Kt5^%!k7z^L} zS(~VR_Q+@0G@5kfkrdnRN$afasnF~F)Yd0!_Ew6R#61`T)S5$jVZz+F0_EbW{5kBC zS#vdm0YIsh24Fmluc&lrV$38DVg+!H=!30RE2idRatL16Lq9y=!j$t5&NA*qCO9cz z>AWf3Q@8p7v-RcRbfW>^rxOhnF)(`on6Jm~LcdK60x)M7GwXcm*cLCP#s&#Hv;$JU zpXwoNA$g{Nb6Ah1zlg`A%riySOKXSkVruPYK$B9w@SMNYst-tOG4|jKyvH(O?8Oe) zBeSnG&l)6#3G0Z2d)(#3_3OVY!38dztDnsPUiTNED)^AccZITNG?(O6)LK=A;&rA3 zalj}-Z1PQINUwv+3l`#C{TzbpQh=Uia}GvHGjRgxmT?yKA@}|#f7vM~rZb+Q6>0&` zwX)`Ir`0k7K^n%bo2nrl+PBAsq^ioU+qax$IGyr}W}_{BXet+njHFZRk)*@Wj*tFO z$sC%f3Upn5!E7r^3(=S^Lrz-4bcE=^RMI&8C&)^LP@cg|-)>-mj{EF^Pw5y5|&P-rm|oU$RBBb~j}-Gsa@YTjP+{ zjjfoeP67C{qhDSXFH*W@@(MuS9{|i$e*lP08(opq29Oj2csg&TQcdj6Y+rUYeSgPa*NcI~O~ zkYxWE`ELYrnG8%P{Ytz0v_WB0GsgVmYC)L~gArgRbXOCKSc1<1X&wAx+24 zja%(&8wl4s5@_BsyV^p5zEnpMc03x9n);mB4r21b2+mvjwRR>W+;d4aPJ<#J6U3l^ zTJ7eo(-Apb!TO7m_LBA04}eX=$Z`ADc_9x|h5rQ5H?jMF0dN{qo_F1;6CxayBr*Z4 zI6j)aNaDn@8&3G*8+r}1%|o$_+^sMu?P^Z1P^JkeO>ZWKNu@UQg&{F0nXV3-EF?F}9KiG-3pB)RMr41}ZOv(fD_NcnSyamjwP#@b##pwrm!Yc<#NfcaH{U#-k zb;+!@I)~*MdXhcadVVXl@s13I!%-}$sKg5MZFVhKZrUsd?B@!W9zOkHEWJneWSi1u za&Xgk8`XEVQPoq-_Z)92lIm36$a`OGf$eBfy+AiE7{CEIj0^=Cz3OS*kfhr1 z=6_c$H$!gftw8dfx2T*M*}t+b6>{_vFyxSMb9LwXR>|o->**Ve`?0LHJa&9HKfm2u zl6|9{TCefi2x0s1wG_-J)`1HjhU7mnoSE!#^3m0O(n_CQxBEXK1M#D6215zHD?bG8 z=Bu}POTtJZ^d8mJy+Uy$XVzdX6H7z#qk)jtzafM_r*}aL^g7qmfddk+t39(U9-*?i5~cVQR87K!O0oz1&d+3}yve29Q@9m1ciW2@7jb4Z8| zW_%o};o9DMS})c3w8LLg+Hp<>;SOw!mzme^`)p_a7~|p=BSW|ZG;n;393n9u?)6Y0 z+4L2&0D)^1GFLN+G1kwVJd2v?HGJvTH&}(0pSk$3F%uWYgm)cb(AOrw=@`YnWMH-g z8k?_im^M(s97*@}MpBZoy*Xv^Y9|%>$js*Oq96AQUXn7pMNY*JwwHC_h=&o2<%EM0 z({bARA9x9VY#-ZGFlgWd$U?QRrAVGIf`tldD>EM0&hvE;sW^-;Wzf&ygU9#18nZKO z4?NQ#SBA`-+gs}zGsfywFG=5uG(M}^4inv!gK8HR0KV0$g9)R=w=*5IekFBmq2t65 zQ`DVq8$2jtHyrgZfTEG(tC9y_7UDk}LF8AeUYId0X1A;3NJpSlrUB83rh$-GbF0m) ziouf7rrmh34s3^)p@E!o_EqPOS;|>~KLhwyjYysffzTyyJ4Z=S#n=-PSJ)Db8R2xK z3p89VTifj0s8kdA$RXBBF6Y}IMOQdp%I1}$w7f-dqPuFKgVw>w|Da=RM^Pf7RjAAc zC11B*`%)2*R2s=mB|7q@zv!&?FX*o!hmo|NX`42kiJi4o5wi@06jC&!#lV;BEtUbD-@^z|64P44kd@vj=2wcf2Fd+h zxl}2{6oH&-)Y~$%mB{J&`0*m++3v9Um`eP824>;`2rKcC%*&6zHkd*EI}1HoMy69U z8Z#$r9YmszwjhfhtHf7x>i(O4*XzhA{z)A@C~+=su7KLd=buF+Gka2FYTy*4IJoJ+ z#yR|ly&!@%l{2?^esaj`=7wJ|-coX}Xt@c?GG88T$cjqjS%+21vVMewxcqV;TQ-my z<^?v%KnS;TwU~UTe!ErP6T@uHHvr-649U#hmm$+(vpwl&)p2&&+F=LNx^*#iI;9z; zezN}1Gz6qaYivqKms{79_vVM588C!F|#GzLZW_azC{g zHJf*iL)_euAazMi<%>^+^iQQsHR~td)-h-^)4et3A;X)@6?!2Vd*chwqPfPbcYX)a zy<$Yahs}dNMQ!#Q3ONcH@=Q&A-0@@txIQC}AqZ$`a$Lrk^*+)ZK_6YyRb)j-b7#~Vu?P*shtr5pe} z;)E=!D5zb>$|QpEv(4i82x-4^Dl6TZfo)Zzd(s9iO-tohmPz{&9_>s)P6Yi}K6h_f z&wOlpQ(sOl1E5?}_b}IEV=lZ#d1dRIXt6?}k3rCbFR^Vdc1K^dKHt7QY(i>ATf<2y zOt>l>r2ox~1Ot?lnJG~f5=xxM_VwZDw%92sCbk;|(eUnU>6%J10HJEf zrv>3ij+Eo&X1X78Oi{>&J@{7!~8aToy>P6nQ0c5KX1N4>6K>UEk+hrXNk{4!1a=M_iXnh#g zF8{5X-FwJ*tq<^YaDB@iQZ(TtuR{*|pM7~!cwc{HpbAu>?yC$~o%=JWJsdm+{8^9mGj-B(G z#$wSZ&@osY*0^2EYt5a+%z9G;Ae6_Jtr8$~HEHHBt^ORQOtEI)5gI+H_Mji5XYS}% zK13J0(j5Zo>-Vpl9_@-ul{=quA9=pXAtdAm+kRkg5+oUT$?e;mPLfJu!DdU5OKCsW zI1Y0o1-1~$$CEcP$c3C)tvcP7cSf8gD4MgL$3cByA(6CZ*gPJ$BfHl9OcLxrM3L*pD)Kg*2(^e{$t#A;D6C0mmcv&O{x9%#)rCTGYE^eiPr1jFO|P=U`(Sg$_;&KC z?=3YV)g$@H1PLDz)^5x>B!eF}Wf#2e`tgX=5`0hT)hbAli>Q2g(?Y>O-n2(v9or~K zGL9|Bz3t5MToGsX86PV6xg+14vkzw!Usqj>@gZ!ogC?~b0fJ!@YOsdfD)Uw|GN)$p z*g@f+y9%Di$)qx`+($S*YF7_w$6Js%D=T%n9Ntmt;plkr_S{d9jl}?9ZUDm^LWwf- z4<~JH&}NPX=O(brT^%Yrb?C}9e&1rH*mJb`2$`0Wt-^Z2wfoQByJgQAocrV~q0&Qi z7(4N#;xB?-A0`B%f7+{gor0g&`lwzM0squEQnlP1SOW}JKHKHRPNL%;E85+anNw2J z9LEp;=;9%w^Q2OH(dAXlYs5GK?UIdqA&q&8h-f|XA zJ@)WE`HDIjomL{ymMEmt>pi0g`_Nx#Aan#j#glC=J97+wwt_nM@K}JIkI_{%By%3M z`yn2F;WAfKeDiq}@Q7|$u%J7|kvHpQoU15z2EiOFbEXEm2Gd*Y3JTpp-U_3|(LbqQ zz5wnj331U3x0!B_^FQy^-jeX21sYLg-=eiU_m1)cKI=3{8^+J0n?II*JZugF=-{&6BhO%N&1`pqx6t1 zDsz3U;$?UviQ$kReJ?HyFp93{XHr@!l}_t?s@c!zx7=pR{NSEqaSEO0iyAuOP%6so zCArgK&&<=|EU+0IJw%V9d@i^>)f{07F zvdwOYW6i<}LDKKzWzM>sA>T(I;(qP-x3lnGG3bx{l59sGUOqtq0jbXs?!BCg4cOcW z3Ky37j+pgq@G9~i<18zAheYuWq@+fMOpytEy3v@<9}xUawJi?u&_^Q%%=dPnM1o|n z299{j1nr9Tz^-8TmHyzxx&V0I9xEg@Qu2W%u?L#?QJW~h?AWlAK-+Sc`1ZI=PLR*i z_;rQU;NoP*>6>NAx9H8U{G^vS|K%sGQ^PBF$M4MY&Am@UKX;qz3U)V=$`PY8hP?_j z-UcaUO2myx@p2+vm3R%iVZgkJkdIg2NzI1KCK|yj$pvx;))VoVXqJs%qB<0$j~5~y zQ4-o66lfJ%a|8LVqy8t~yQQw{@)`aB9yG$R#yYnJeC1!wF7COB$M`x(=1k^#(Y5V| zm#l0vZ$3y*iH6P3i^Q0EA)%1bw5(({F%~?d|44TPCTIbSjcSSkIAL|$Zr8YC4@L(wE!osfcizBxqXJN+Yil;Wz?st*&EZ{nEwL@ zi!Rh`**H{Si5ZxL37nr7r-Bvpl%SUy*!qd_qaaVP``7`sSQ*HGqaYvO%H_JAIIm!yZHw+MKX3WQH-|-%7xI$o~>7LFbC5;$t)wiQ2mtapx!dnv6yqN* z47Xkgg+8$`tMHoj{YJv3Oz1t!qL8VlN|M=hjB}yL30Qj%6I3?Wc>wD>k%BDYl3>a! zJ7zUNPQcoGAn2T)@!B{xl=H&|walAd>8Y!fT*J!rSr&Hg9!l{=e<4K!{UOWc>$kUV zG2`h2jox0-otfx)jK>DrjEsalVe%kwfLC5;3O4wDn7nTFi&|df)Z0}%Lx;YuJ?Ty| zazu|}IZ-SOwmwe$oc}5-(UZ65gB%=Mh4Hi2pqPG?Lop!)M5mwzbhYdoah(q~?-tEo z@F9S{<`@%OZ{Dw2+ugduv!ZJ{(POFzV}mLwiB*w^L;jsL^V^KR4>TF6cfV{CEvAP) z0O+DK!KZ7i(=(C>;cV#K78eKj5(snNE3?QF&6acsXL;}toDb*het7f;nV?5baIb17 zzDnD#_WnahG!o<6+;T3Yj^VzQOXBUnW z%dKPw?v2QE+gIhYoEh~cf!bxWM;_6We67ovB84^Yec{#m0ahLqmXY#EQhtrjlt z-P&a7Gj55-N=|OSgKv=$RAdRIFYVZEDPn^-(d%qJQN&jcayRtrG;4Yu3FG?ax=kgzUeTE_XY+0 zu)c2C@>XMPZLHU>sD`x|Ku5rBuEd7XObrL-A${@fhc&igOV(*2a3LAgwdF@Nfl1iP zT@wGGBPwKF7{*+=nlpbTrM3QxIJIP}{Myp!GgyPw1N^wgw*SdX3lLYAAu!9$)mTH7 z+PvYb1n$OdNOgC)(mhWqaGm~mZz=4$(QbNn4$yJDDv2GL9C0bIunF6SL3 zZ0d}ly0*OB&Cn%F|YFW~w)F%g&8`o%P}P2E39pUd2ET zk5ECsoH zdAxZS!3vTpB`mvg{EvCF46q}N~3i zz+Bn_ijewS1CxCd{n4mu3D(J2mIT+J)tiiwn!;U_Gc_M@HBnAkHbO`HDmgkW&u}fy zsF|M0Gb%vV#de{@L(jeD&DDd`hMT3*Gq`-ER-U~KsiRyK=o^T{S^~-U2BVwC7l=yJ z&ELOGvKGyj^4;zO_W~!@lJ`UA)G-A1>#67`3e926i#@h{-jTW78&kcqjz*{LU-RP= zio!I3sU8ed$BzksLK~E}*S9#_nK!M$xL+9qfcx^a4gxx$-%3Ha6c+?$9KK4^yfflNjRa8r-o>u>!MYx7+ zhU2B3)aOzBGcwhM@y!n%MtWcOqO9*(dYQPeDA0LWoUmq=NJeRsEqA2Cas{y&gLJ<4 zG(j`Ivc(Y8jR0=J8_)?<7Xik4dSCi+DZ5;dcyEPBuNv9r&8Eq$J7Bs_pNj3M^X9er z_4_U*`JW(MdXwKHNBcBvvu~vw%7R$GsWCp+e`l*R-yphM@oJ!ir&kZb>4qQ2v+t7J zUXyLVfg41?y0-~zSIWV%1(KENp@IYkE(Q&ZRWn_MWRwp1L`RJQ-WYIhJYdGWIQ`C@>0&mvgc zU88ejz%K_*dc>CSp?IWveRr!g{f?rYF_m*}v4*dboobBjt@?H-Z@L0#m!@!DMxpIIH_j$yq4LtK^wEg?F z3)XvfJf9%zJ#W$2Ov}|+Yl&Ozh96tBFE6Y3SEZm!?YJZ$z*4N48_+Qex41V<#lSZ= zC+{y=GQc#C=TeZz=IJ;Al@QR1k#xk(Exwtm_ox7gEV%!eaRXM`7R{}v*R*119rl;; zBrtSh_orgEFX3P3Yni@!EKBX@Ae6zIRv+8X^9{zXe9;3HJnQmYkbTlrhWi}fH&5pnwu8H`JwiJutyKasHroyD{+h=t-06*paTRs=mM&yp@ zo@fg`3_p1H(#X3a+$&asEr34*_B#EKCokl}xjMj7z9R<9Syza)csK}&mn{1(nt&#! zx7EbFC z_wWF831E*?HVK3F`2_s@+Ye8xlD)`B2%QWa24TZ;Z44YNeP@E@iVEOmDhxZ8KcLu# z3#7~gz&DzdL#f$31`bxiBzd=`1$Pr;I$#YRUu$x0?>;ZkKtov%0XQ7buh*2uJzZKZ zd`wEs5v{WVh&9c442Xfb{da4kzn~hZ5J`@Ro)1*A&HfKKTOV#SakVV-i0c=ORnrK? zm{;=6a$r%+cKDW-0xy;vtYeJIPp*~zVpU3&085g-6RU4N*vWUt2AR+qj(5C|4Z}o? zm;k?za_fgY9e~@k^VEg*vJ$kZm@n^Cmx|i*Z44Nc?B=M>g36bSmhw}f4=+s(durXk zn^#80CU?MVzEeKlXJ9KHbDWN$o@m?}L`HqQ&in)d*zX<)l4Ho3 zCIKQ?*otd05vyWX5$tLvcmG7dq#=3HAMZR#U3faYK)GVe!RC)v=?r}ms2;`TGVA@cCrG>bpgiB5K+os~<#X}!5k28+=N|H#P4i_8h-nvnJEXoxW(eShk#0_)JIdTNx1DlGN=st>$bb& znBJo|0hyTPO_(h;?_YL!s20(PYLeqEdztF3jnz^~!Ojy(W*=xWTU04Dzt%km%)lQB z7hKyW`7DCQq-v>NF#vwsBlZ5TR3r5TyC}=q11^^mG>TIm@%~5Tu(~<`SKHu9C1XgA zR=~_7%?D8XaaA8{fk0s8qi0JFw%!#--Dx2&0{{z z^OmXRRGTJtIx;K*!0Gbt>M+81&tK*2Rze%^T!!Z-Gg>E0wo9fPxQU9_yHo`A5H_I( zi3XlPdvWap9L^@Zq?=tEk|RUxEQYG@vBt|Zj-cEr84C;Kh)=0MD#W`(G96|U zT#H+J)VaV+^&W@q4QyK8z@dt|I1vVv4Cqcrj$SvK>UyRUj_$iPD?^2@tmI+oj6g%b zb}3s7hw{HW1@$xJI?Ps4k}t=a!k&#akVbWATu~%y1iGSVR1oO0Yn<{P@wi&2WogSf zGC}VK>Y)t?;r!7w6v%~)0I!BD5i=p&QYDcy<|Gp$=d*?aPT2sC5{fgJ+c&1#wSV}u zncmNpSr;L~%+9WqP+Wii_L%s@Uc}?Q)!P>~&yOd2FTC0zqkVp04r{o5#x=j zhJ?i0;M~3C?UKfTYI&R5Pm0#a9^;N}y3L+8Sum*v%>Ju;5UaA>P?4G4-zW5PBr9R= z4l0(7Fcu-5dShZnwaCRq@Mt?%+=jJ!FMf7S?IJz+gO?fUk6~wZ_mY8sPpxZ<+RJXF zEo@Lk$dbl=EV8vP$+SmFm~zVsp9CHnCroL1tDf&Q$gV+vXVvP6-&o5Fzh*yd6<-e8 zO#8%dd>wo`@2%5^NE;`o4i{(9Uq9ikUp&U6^uS$&ESKeI&*#pUn>Khg@WJm{MBU0e zSwU84y&sMEf;=Z;#ld=FbN6Epj%5;;L~#SJV$I#SdmVx@mTt{y8G2tTEC~PFP45*o z%r))?<2EN$S%!mnnc&sn+u)E9*5b+AR0*=XEyS5>SNN8d zKtCus?1Yt0grZacB z;A$58g#@O!(T=Nee9Tk3;H?_f^DFOsd4#?4WL>O%SY+Mz zH&*M^^L=1$dd}#L3$-rF`vf|Z z*_4wo5j!>X$uR2Zj=Cd6opVTp=sS3qGu=29@)4p#A{j5G|8fIPGv($eFrtAhp-E!U zjQ%!AMi;+n3FbB%XuJ+jDaHDU8)pmk@_}sD!asxpbpn3TdG_lz`#t_qJxh9+9e9;_vwk@Vr_qHrw_Z5 z%EU6BzNws|M27qrc0V^|dtRn3gVy%xmE8a~ngP7&M_9Zv4i50-i2CTC(R}UG|KDi7 zqwIyW`GT=*w}PxdkA(Psru_o-WPlF2sMz%2%LztNmzS4Pbl*21tANK6==hI&^ir0c z3#BgTw9{v2sX@%Nnzv}T_>{bJQ(FfY?t2;VO@tyL@L`hMt)`A)DdfB6!a1GW#o|(A zN$BIfVy3TPq_<}D!*}!PaH%M5iSXJki4-&eu6=wkU^?B3a@l@E;nv&oKn+S}0ljG- z3D`iB;eV#PJl{$#H@dgqkvtCtztyxbcpj!F{r#)fdnIiu$Z9S9gw(RXpPriW9{`jX z0I6=I007|vo94ny9UU$;uEmrD`qqF=_49dTPk)liML^9Fa5m0Xk-3y&u{M9AitozV z>4;@O!`&G{hlQu#S~(|Inm4IFlPr^|U?AjJok>hQb)(~y{$dL6?pAplt@?|0upu(4 zV^p}n1gayJooxU!Y-jS_*;=sBQf38?!C6IhDeZN$s#`}G97b(i%B8x47$p5q$Lpsu zz;UVfl1})>j|$BbF%b0rWFgb31njOeTxAARLFadz{PoNGpyRyRoDi&7HqvYknbsp{ zN!M2~y@1?WwV3EApwJ7k3@|162Z|W>S9JQr9EHcBe=#URF^gIMELvPGz8nmd+eb~c zA^`W*#I76A;kk+UVxpAy@m^j@v>GF^ zJxWChcoFL7uj}Yno9Um`V&?~)!73x}_YKyPmjC$`5Kb3!$ZegG~t_S+zY2!={JguRO zVv*$ITqhqp`{}WmC=~y-^+-rxjdf`vwaixM!iX+%NDR{rTdUawIAUi(0h?wmLk+a{ zT8PB%*{ru{o#riP`)H#D9!#DC42nH)_sn529D|~9aJX@HW;wNC5L=vj+0XxUWU^KF zVLV0lH=fb0=&0zoYO=&-JFG0vm#Y?H$1t^ia2%8(y8$^mJDp-3A65I|2wtbYL0J5P}N#*P005-noLmJ^YNOd65hchFG=J3#>;4#s~9hW1Suz}gs4 zroFDum%ms=&yH_JZ3KTQW5CeyJV4)I9$b{6-t%9H3Yz|vi1LoP)4j3kA`Dwq=ETit zfGvX&U2LY<#lyWEzlq~bnBs%g>efhG3ONdD7`Wd_X`}^rmfP{^GUjA zr3Ed7>U&PhTokGbV1Z(|FP{ZlwTX596w|* z!@trJq))G#FF}H?{*Af&}7;aMKOX?Fp%3e3Pq5);eONGuYP=oNDN#t-&^E zty9BSNgb#(84I7TTq!*L`>%s;xXy)DD{#K$GN4WVcybqZ4#DxrwF=nd&htU4B-wrc zV`W197#-D%R9;5diKURcO{~)!#fndB`n%!QC;+u588e6R2$KhPm zX@EEJbX|G&5DLcADa*gb?HsM)%thx%box1PGx2O#`hu-${_QiWhdLXx>PT<2`@u?t zb=Z;;@#@@)uZ(n{BjJxjW1V!OY^nF?F$t)#proYH8FxikU*d|Pa4cOJQ`6vu`0f2E z`-%4w;q57$_v()(ggsA0juxYUb8V*bQa#M0h~k|&&*Z43BPwcWX27MbO_s=VZhHZb zhV3u2kIip7u+vc(h}qh=1+43}%+&$=W>D#?SfD>QDWcy)m(GttJPK zojmQoRhKH9d?J1EW$w_;3dOzBKt@eBseklBFyOYOT7#SDg!fluMLNTdt0|&R0-4r_ zk8i5yyW+QZWy^pnN1aTJqUXy8h4YBZ5jEGy!c&3n$-X?Sa0R~RCg=*AzoCR*>yC`; z>CD_Y^!FTU4FErY&4Fw^O&LKL(npka)vRmW){fRQ{7>F`!ZJa&9)Fj{GdvE#lRM}B zKOwtw9z*xR6Lm;Ag-3unci-VlApQIrsyw%$62R8WGnzJU4m2Dj(KqyYPwkv*__Qa-Cf)v2UeD2H2i%ZPA3$8n;rP^EXJLrfN``Da(qi zuzgJFLC5z{?@`bc(c=U3d}E6+dxH_M`v}wrl6zT)rPfvcEPiM2ZH9O|XlP$mo|?*5 z$T}>L`3<;&XFZCmRSRcWD1ukd1cW}#$OdmsD+0TpIjP?lqg~sX=%q4y{HHmx@u;=0 ztzi-rg#hj834kJ~y<*XcHB?!CLkO2NDyCM>u^UsiUJX2D#ji&wi`MB7JTWpSpUSY) zJ(DZAJZsLxOkk>~?jePy{(SXqT0)9+|!InlSTXN(A7}iLLsGlET+~icloV86Ek)oOh4&ob?iFQ3tDNQU4)T50c@aW z3cl6OKDkco|D*kTJ|HaL6JSsUlfE8EU+06Ht}BL`>b{Lo?s}zSfrVLP_zCFd9%gP7HapZ~QA zw7oY*0A(Z~0J2~y@qmNYfs`n$v?%f8**^drW{)Y-0*u|;4mdI#NIw17qP7ShrbZDT z0o!Vz#y0|K1(SXFZm;#O^2@vx?OsC_mo>$($28or?Z_|IDo-R?;D=u^5F`vJpe{Oe z?}0AZKUzb&vhlGW`A{9cin`%A=t+tcO9Y zGH=(WTToCE*SmjK8~?1D8Q}L_EPy^2U~cbKW~iP$SXHK)D2CUfGD4+@ zQJ`%+7WYc4S&E045EFy1vXG@bUfjkGmN$CWXG_;tsX+nn6beye{>~7U#-A%uAm}s1dwK7?q=c~ zCQUyW(+QoC{{n%qWY4;>Xz*%1!$D+N6KtvU3hxd{(loKsQgCa%=yWs6kkpkXD5inZ zhO$oy2`J%YWS-5rUVCaQDwL=FZ+n;vG+n z(4B+A*%-%(ky%~W>uQ*C>u2H;>;9dokVZ7ik{v;{Xpo{K`o-Du^~_Ds69|NW{>6&U z)F!0ZyL))1=;_k!YVXZ$7IEA(pd%eM6hd1PhK_E#uB%Kpp+tx*m{0iT4Z~EKVBs~_ zX!O41R-g}qa8PZf<shhGie)v6 z;uI{cQ{8cSVE~!xzG;K^wux@>>!#e|m8PZ|S!}C6`N~(5(6A_uU(I7+vt)0Z z9qSX9j~`B@lj)mhjUP7|l&v;@gfA)i{4DBhlZqvuJ~=+P0o$mjD6nat!w>ox-d{;n zhc9dP%Pt&vVA!vaa4?$n|M>dOfF`!*Z4?nfrGrREKnzVqK#G9U3DwY>fP&N@p$bS5 z=}HStdNCmsL3$Mx5NQI^yL9OwNN@K&3F`IU`~SUP@*%S`&oj@=IeT`KJ?nJg^~-{r zDXmr)%row_ynYwXVPjCVM=^k3%^N3bW$$58*pbcbsUlsNP?}uv>Y|-cmfd@bwgjJH?hW*ZnG3^xaX6ZsK)Huw(v#m6HBw zTxawLwY|*eNfhH-9S>4r0(Ej-!=(kf?qA_K%FiL!$fj6}0-mW-5xgdk+GZioXWSY%i{_d)LyKB%O)(^7Nbhm^ z`ZDH(>f^nbr~#(Y(vZHgKnLwoC6cPWbMY!Vtv4yIS&-k}~ECSIqAp^EHIA2)^wDK$9hvS@PAP{6eLZmav?-{zGM>*BRU z;-!ko^Aydc=X*(%%jpXp+M+I6-R9Pt&g@Se;ban$;RBu@o#4I~@PmxD*lPW z!#dHRiv!6-pUDs}qsP|?BvD(Yameh&NwRg!BLMKYd!Xp@`ei!@j)%_G5%IUK%l{(6 zjTAzw4oc4=iVh~L0noXcu0Mb*qe{74>WsA~&38wZM{+Is*K9Ha)|yx9EBB(Xa(o3D z$8}ekHpl#h_c_kGqg6P1q9G}})ZwuH>C}7p`BjBjtBB-o66N$Aqd$gdZC10h=8`^<;wTxa z8T$Gd3WB&zAe3)haa%3yu!PfHreqhj5(J*Ya*^vyjnRj}CVhzEhVSitQW^IxPa|W? z)VM3td5%U4kBp(yHQ9H|PL-~lHcpAM%PFJi9_70Ng`q|5#gcf1)xt$NmZ6~qmqol< ze|&-WYS-y|pc{ywnixg=%DS$TPuzM1Kmk&Pk~@i&{rH-Ou&O`}SqOyOye5dSWX{i% zbk9;&u_{Ik$70DKAXn^tVt$+P6%U6wV@AHjdfN@mZsaQs#n79mI2^_;k?$1c3@E8N zRis~m_RO<2mgo<3dmE)6(Hz@ZT!r;-1G1SnQA!%u#Zsu^&x@GB zFYfA4AOne|GzVw){Wv7Vw!UD^f?^p|af1%6YjQGLXbuu8zwF}HZDLp|<54nRm$ysv zUwHJDIZA@?FmLE|8yLzGZo}V<3m&aK&|^IRR)5kjom&I-bi}6w546O>D~qo3>Nl3W z5}vzv={=hk;Z{nrR~{ju)ear=!W<97A5cR)Iw5-05}{@WaqFe`HL4oju2WpicE zqUY6f9m+C^C+@ZK-qIgGd2+-laym@$gk*k_>QIcBVY}C6+|tDZjqd|(W!nmVejE*= z3XDx>Zxel2b;>N;3k@RaQ3*B&PxoY}k_Cci^JmFoI6Nz&vrf%2Hj?Lp)+y7R285Zy zmVJs%Wb|Q~Ba>w6Zf;V@=-GbAcHgLFMY8dmw{;(iPQg6-7x`amF73%}$Fvb(I^JKp z0sd42&x6>ac^wsv?eq@CtZvqageWx^u?}ByM;}yo+~`C=EbVQx9F|)}n37Aq!rl6A zR=qAiKVox%6G~DRn~_~GWS}qXIy1(0AgE*U6<$;Ac**Mi@^tKoY4i^>rn8TNxtDhX z6qkqfLOGD!Lh^KnyzaawFL5HDPt?eAOm9zMfu2;a6yty_e*sNB($5Gksk2ViG5y2tOZ#aDD@T!fWjw(wo$*O(3 zyE@A6A28@$e#fogfqEQUAL1-SGEKmKYKJ}9rO~Qq+0>M4K5ps3S@!-0D^jO$iUDWU zEYMddpIa2F*&;QU4Ea0^5*Xs{i;D>HoC#xxU{0PC_B0A1K6z)evtCQC+EUByGNmMGZ>EbKCv3T^hZjh(9{5^NfFfT@<)ZZ^R)41>j z&)t(hIpsLZkg{?q;McM*_G*90u<=qG?n@1lm{k7}som8T&~nOI6!~tXc(}VPORVO; zVSa618;MfHSVHj9Wwzk6=2o}mNplPD+{W9R5n*!X5L$n&x)xW-_ewYaL9&d%XW24( zB^$HSXH6C?%xY!F{d`iSC2ek2>k#zw5xrKI(eRlI=Q|AJ=sayV459iA7xf$YM&4q& zI z?z=HG)9#Dwi;$*l_ivpaqKXD=zTkdT2byylMC)ZSXQ-J1(g-z1R3*CVjK;g2UZ^O)9c-Zhh zSihw2Z`}4IlaMq4!sjg^UYe#->__q!o)XE)4^$ zf5J4Rk~yreacwzvDGne0QbV6u@?%AQI@@lxB6A1Sxp-xV5gNcln$oIMp36-;v|dgy zqVuqXBZKm~`kD=U7*tRF)E4cB&F*Ryv_=_ySwX4!;uSd5T0jWD0a;QpWb_SvVw&Uj zgPvKv<@X*Z5=1o13unX)m;98ZGO{6va*Av6y~81a5B98Hhc4614K9k8DK)E2jtR?He}TEN5;gZ|XD$^l_3BvPl{ z6zQ}o$#JQ_NuzE1-hv=-@FD$)m5?N*yg)aIB4ZHLr!l)dTk&h5U1$lsfkbVh*w|_- z^1Ky^JTHnBLSPhh1&_H~lzmmVT<$C1L?Gw)<~IWS3IUVSk*!u|pm%ic3*(W_gHwmi z;BfM;UosQo4TlR>g4lf9*YRHM_@o6rLinT9!f^&9>-s)RQktj5Rh{&}g0!T!EjjAy z;BE77^nM>aGB6a2reDxmBp*3+w?%p(Vtu?_c~f?@4&LVy7S#lTY!n8C0*P>pv3r^<`!bOwI z0NnGdgF4{V2@~?9G@6t5Y(=R`PYj^B8Y?@^OBP%fb%bKiy*D>(jqD^Aeq4m1_+k1* zJ57uVt-CFXW^?~oq4m~a$3gOJ!jx*s$iLt+%Ya zp;=vc-#p~wy8$QataHB6Ngk1)gI+5+4>!CEWc!|-YYx8&swFqi&Pt?+tH#cpxBJI3 zqmKpy4w6I(W!l{cnuuw;5Gi^ht|fWA6JVQ5HpOm#k+GMGowLlu%VFKw!^y8MU! zHfLY3$}c)ak9!u(ajRb>fCz81$p&EUqxx#*`^0_Jv{G0yANH}*@C&1+MnYlU;H3Ez zEGbj-zhI=Ry0PtsvTp&|;1+Y0o8+Li=1{B!$o$4RJm$47fn;Hr*Q3wub3elUUFxlR zzFb=9^0+#nU2s3>?%pVeFte>FanEUaDv)@-E*zS0$_Q}T-HiNf9EIgl%UUoujpY)# zBA5LhEDb9WG%F0Lb(|32ZUlpZgQV<<^L{ZdlDBI?;-p};mOmm}4ca)k0oV=ywEtv+ zQ)MQgCp%rG_w_g9R6XVyFV&j{D9ovV`d3Jwrb(oHB^{3tT7}py&w~G8ui`H}F4Ip~ z)glJ$9n>!}X#8R#j-XkfO0Aux5mk{zh$|eP^1Jylw{Y(?>4K9aAc{3J0J9U$tozF> z2h5?Is#K|oT~2O|b?@~`<|x;w6O>Ne-%!l5+L6Ujqz+bes1uUhh)i{@~+cdy3r4SVKDRg1j8j2)EO=|cF_g`aR zwL^DYhUW>UbYGBRZ#TZ7*U@)mQa415!*%MRUQoQF7T5mz&P5ZQL zLN27llsBE>q_);_I8$X$VBf=)QFD$&i32V%k_=?GTSn$gWvg^YcBYIgMfBFpZu7+r zCIMT0aK~~kBr#x?w;V;IM?M187>=6-FG+aZQ|~f6{BOgUuZmUYjD)-S2;(jDQe-XSSn>xN5ieZ#7w_ejJE{-%UdV!2+vq>5 z$Xk9;&Byql?Gtz{BX67`0*cSg_<`t-#4H^`(pnRCbREtc_f50$K7HY(%_?jAW&%ZG z-HQxTT6+@Ab`zvCbrJ|fDHoXMuk?wXJKbGegoHtthuD8Q1;x0 z_%Grbc3mpg&Xuat45DP&`r`K}Dz6vf!D^4VQ?_&A%qQph|LC{&Qt;TS}g&K}; z!?|CBs=>YD?yE$+f^8|XpOI;=ULaPkhwXhS&9_q@ycC(cn(+LgCQNM%l2u{n5bt8# zTEr$C9sXIqPoe#KIOB%xP>ACGyx@g1NtulC>q|lH9Be-#H<349JZSV(QgSO>yqUpQ zf5A?mwN6RJ(IV0@l8aclk!gx{H6sE1e6=cb?=)Xu_tjt(!R8d%cA2azQ|sHbjh+N~ zj;;ds@cUQwl!WdwwHTZ?6Y6f2a&R2D@-%b!1gfScYsfKN8`rp^6#DTa;scjJ-%6-7iu3gR7bTeYdY@0C`U zI-es|tXxXXE^zVXFTZrq6zwVUYmlyyD9+D9vt+sA;h|lA_y{I+AC@}OoD+k#Y8$)f zH=hsmGP=W8hTQ;Ko^NpF4q>OfzSCePV!A}hn>7*b@pYqferbx9z?)5o+mTi&kV{Hi z5+YH-vfbyQS`HtmDTepy@yLYLUHW7NZC0|Ry(sB8JBRk9ll4ydrSqY(w)XLyFdF&; z&j}x|lvG1=C^yS>XxjK>L_Z~dG!r(sDm)niBM!7bO(eY4ilZcUu32m;Yv2k*99d35n*p$#iRHRkVno!mkSX zYIx~H*De=v!~)U*S#;;fJ)y6$V3n~i(4t2=j^tZcZ|QrIJim$u>2-r{);d(EELZ$y zc5B`#4Z6yOX02uf8PCKe8x`Svs0D|j#*-R*R1)*uP)F;p*R@BZxlbE;l{Ndc&YRyB zMv}m=8SWo$(kxWkebp3@F}KzE5E6tiKp4i-tEzF34@YvbPpvM$CR_Bl(XRaz{_N&a zHB{-9T&R;_B={t!1BD~cG%!8U)}iWe5t3OD<$I?VA@QoBYxLUio6-yRy$TTp0eZ2m zVONe8U?oWJ50=l{7NoB7P$W(8GvKpF?S^M}!{hIgxWh3+tai1zL1uZ;SD(7c-hs$p zy{)+7lrqyJi4ggw)m+Yuuu|V7ka?CVrn;6B$XO^7$edsB;(?+x^n|I%DKLvnpCNo# z*}vAcV`Sz99py5b(xM=pxRFbb$fAk(m^p}e(#mxfMiX`~jf#P}u+LR>TdP&5yDbckt3EM4cq2;{j!zh+D5q{{J}O-TS0On zmmW4#WmWXCO#6VS)6gG+;tqLkQ`@4r+ysHJNx~iQjzo8E(pwxY1+(;@nOln(`eztQCqHW_wJWfB3p^vZdbfcfx-%h*;7?m zu}O~?qk=O(f(F*t)sVt#3nTTNl-~{I{|ZOvO}G!;XrLTZn9g|RGa2aMk=iqFCd)xF zpx;grN1?cXZa!cM`FXV0k&F9j(`uVrU*GAINDS4T)g|Ys6=reVuH{$-=-SP;UrUEP zH6R*XEdzI!zq~kAMv4Jf7!uD!6J5abCI%{xG`k}w1_g@O7ag6Je?V9Ct~4(Gb-tB?nM9hQ-rbhy z*DlSHaPz*rpz7_7lCI&?j}GOX{NHZ|AIc@Ix^(qzyoWPXT&k0j=b&aFq@GTh-4s=R z=8sCn3l;iG5uaRbH}lb6jB&T4s_O#_gbN|V^cSAJbMfQdr6Tdbsj9o6DYX111VZV? zk`5eRa$`DXl)^UO7l)-X8Gmhj=LZwW!5ZSZCVm^h^Ozn?d*8Q4%kC>(|LQx*_!2qp%mh>Qqb9Fh{-4SkhQ)kC_g8V`zldyBqxb2RfC3hB?gG5G>$+IN#*Wiz4 z&Cd9L;e|Q&I#Y#ml_=kgZq_03<}!56J4|xgncW}Q{xZs@lt+1fhF_~bwI#dJeQdB% zEzG+n+*9?a{r&xd0kL}$n%9D5GL*RW^>qojdC}&koNSc}UFQwH5eLvy^h{LA#pz$B zZ!x>_3g=(HS24fVv0b>De^a4rQKsHa*`LaBS4((R*IS2CLgZ*me3Ok{dw%cR>}7h( z7mKprTD#({?1WBmSi)`2!aNwrkR)Ojcdx>SGcao$QkOUtB#%mq#w~a3OItx=qO)zZoHRYNGl_kD~(*k<6S zJ^fVb>Vq+j_r=@zG#a^IbKNLe8h5jKV_t2+O}JAWeXq&;I*ApR;|n?3i~^(SH4X*a zJmz9cpR~8Lr%b|60U6Wn|H3Oeow_$Gu6eFYV8$}`5hU^M9pjd~Mt6|+d0gJ<33ADK z6m2s%tgmqIYE@wKK2ekes=2#Rt=Y%d`QBkfHYYC*R*&QtMq7VRvXGp~jw#QJxG;wlX3~V@;q->OMrAT`|AqI zu-&QQeg(lt5yT$%^%Dyfx=ScS>Te;Is!JFLqO4^fN9VRYq=IR! zw^z(Pe$ag^f(%p+r4tN&n`tV`Va)d+PAp($L8Avbi z!gP`s?j}MSQHOcQy4n$a6qQ4Lpono(VU(dJ^bD&OUW_zwb>(Lbq9MQKawA@rKVgY{! z=Jym^jIg4M!Bf!^bI5<%h&6kbXcIAIC7BjP;Bmx z@7*aFoWzC)c_YWV-$h$~c^2}tE?Q+ACdhXNncHHSBXZ~$2*mT?C=%Oq?KD>CX*!NK zy9NA2uP?>^W~x2`y}Y>*s_cgq;Zm5lDMzF9E2d`Ku%qM+oi0IsvIr2*5BM4W6OT|R zT4ixwKmLpYkU)CuPkYFocBT{swVMjr_Mu-KBZn8f|DJ}7 z_+NNfyyTkW{NA9f%_Lu9;~_=)4V^^(%rCwE)Yo^B*%3#kple7J=9&>-W*?d1bmN|< zizm$oGy~b4%qr#_%kwFQ0&#jO2&XyP1DbU4l>fE=g*TA_B1WEFc$*n?hwT-Ph<$9& zHBYg>@B*SOyFf8UaAak!0&Q4Ng}ngDFt|_fW|{1{f@cA!fovhhfUXpkyqr>4Ac^?@ z=`DMe3wRSl<`ofNCK|Jd;L?QM?{_ToL9(!QP-L=-MyZcH(7@awu*bfsF;!nZyi~08< z+}U)A7ge!YLH`-KE0pd|125Rbswy(OuxA0#qsqcyI2vKa;p!Aa0pOt*Y5*LD0Rw^z zEh{dI{_`y#MMXdfT(3bY+GCj2V{P7xezUIPwRo(M4JW_~MNmi}yhOmV}Ckm@IF%g8aT zJzxwg+^>IbO)CM008CIYrULYq|Jf@OK!$(9#s&~IIJ0T!BdkG<)QiHv11?3T)LlQgZf9?NB<5gnFZz+oW_$tjy&N~z-t`1CjHBG80)Hf_R19GCu;8icr`@# zG361;5YQWClf7;#l)f#(Er_0M-NT87QWuGxLjf%3aw@<%iRSJnjWAR$Hr@QjF~Z?L zozojYTXGUaBLFq-##PSe)=Qq>Jxg0a-1Of6%T)48E&`z>eF{rE%NhDMGq~2A!CZI& zy0+guIaJO3gcIJe&fR))9KW5tDkO%mdc|R9J*PhPQy50Y zdy;Oq40Im#6AnWKuw?>c5pY9yfy9ypqfY=?bi%)?(ew^))^1_jqvtJXO3KWM+dxW= z$A{BvaHIEz%y4XL!MedLkgcDTYR>h@h&DieG(h?CNW`~@PgY@ zY(no+|3n5;nsX(n)O>}ygjJ(P5B_#kL#d}^K4}?twmUWnt4o;w!-SnfAXXJ%e$7)} zTn9OK*{3~e4Z~#PcyAnGlLk|0{|w-bnz+3i$Ec?6P4T$w4F;riJQB}=2}nEh!Y&s2 zXME<5DZIJ!hxVQ&w^7!nPq3v@tn)FeSa47619C)T!~U8AKA^1crxL%-d=8K=@`<}m-dIG&)hwdK}5)pGx zL-yPoAI(5U1+4R66E@*HC~E^G1*lYd%y;65sci70m>z?H2s6m%m)78{8$0a>M7d)A z1iV&hLHd24JWabiw#?_bVWUWJ+L8NbT*RK$ubJy~WVULv)EmgF(>fpcq{GnQhdijJl{pHnk#3v08O)klicI3OiSVNejD* zde8C7O@)C!E#eQmB?uT#p?@>Fv!08HL;|F_|LQzae&KY~9Ilf&$>!;zE-A^aCkTUm zof=1+SjU`9K`OVgD?Wbq62K%tlY+ssQHpU}jvku==ke%My#y1yEE5|#BVga+D;-`j zC;e`Y^|zzhKOFD;0};E%ysUKj7_lFRNQO&OKh<9%9u zNWdDDWIrvSnQaqaMqdsEMK-_9G~vJf=l8$n#-Nf=g&2+~H{}mzK8$YIOpfCofB)=1 z-~W{6@|qQ0B%ji0fY*$7>ql6?=pTM@9LIi4ZjI7*KY9F`)Ef1lky zp{#b1x*-36Gbd(~oP<`Z&e%pBeCVW$#C%f>djyF;7lGd)JO>|hA{*q~efqoEtmRVU zFN?g*G~>TbDms;3?p+{lmip~9_QFI++0jk8$;^k@8PJ^F!NvQ%AQ0qMYl~v|s=sKn~tVaIAJ8>Qf5@G8q*_gi` zI&%YJLF?JicwQ`ZoV7^p2mY}z*Hosu1paGR75~u56obJi{wE@0?`wd4l!QXoy^L>ZR-83X>O4CXm5NV8xS6 z+APWAX*$jT`P=XfYe4?Q{OOr;py8(6rH>a(B0OjHd5LYis|IEk>Q zq@^x_Y_{hiMSD8T2q=?i(VgPAGd6}uU#*js%{M#xN8yH zMa7=iD9ybE=vr(leEDTyC} z0S6e_MWP3)Dc4%eIL=_xsGOSw|HNrM@4%OYC6z`!d zWqh4~R2U}4`ZJj2D7g3xJ2{TWjW7xSw zBz52bSm!wy0xWF5FOb4@{BGJ#L5Q}Q^U#&=H@I*V};r9`lh!T)3n zMmSX{{SDH!7L$noB0e<5a;2aBA=~KAxmP*AK6CgF!~^B}1Bb+*N2zVjjB}|AvzXSn zZN%!U@7nJvul8IM+d*i1{D8`LAk7mwpEQHCw;`UkBh&cY$;j8@mVncfSUB2Z~D=zW=E$ zxRe5Ke?Dcib{(YpAJjW2OT8d0C@&5a+)*TPe^Tk~%Cv`FzU5k)BqqrDzXa-DR|M)V zh;=gec7P5Au}?>)KYR&1siGaRbjc45vF%l{{p%z#(j~yt4Iu9$fw~N?8In0_$Bx7R zGLv~Kx`Bh?$WnL}Y|E08%JUcAPe|;=YR<3F*#!S}y@Yk;x?|i%$T)}DL;VwUR5SE) zGa0r35EwBwx~ya`C$_sA-}%D?64|A}ooB^=TYfDU7g1J1Dxj>tj}SiW0^<}Ee$I$Z zvB(Ypw?Mz>{J7KT4ryG$j`0=n-}PVp+po}Nn}{8%$P(&!MbP1heH^)}3x60cLjEw| z7RX>Ktdff~8v)Z&bWB=Svr^c`-SANyXHf=}6^)9_1uUwj8M@K^N@F@0Z-*34KZo0B zi(KUW9-JrYr>B(rlOj)~lZZkWkABcqNNmh6gDg`jPpVn+&x>o75fGXegl+}DBJ;zh zYN#G`%41qN2RXI!ayt#z>1AIKO-SFG$g_reh6}LI?plX|)uP`E>`y*gFO~tW#jybCyO8&Re2}g-g7@C;*mCZhaIZll}WKylyT?&xB4z&dLBJJGs$hbJ)x?K<)C`AcufooF|>ywh70upu9x7zuYA)AGAk zvAj5xHEu{JIcgI#5 zSh7E(MWCD+oOz8t{dgV2w?M}vKS``P(5}eEc=G{=YFf* zzN&c=VbC5EAFChxdQT!y1@-93h_9MNxA0cvA921ba8mZYhG`D@p=rgeDoN{LKy1MH zwpT+;NTz(ZMjs{m7oN~Nb*MdIrDMATlH%(VPtk;w^(qUwqAoKhaV_=w2xg6EL7!>5 zelQe=cZ@NABq{z<7NZnEz?K!Yvous_#zAO5Yz;dbY!e`Mh-VH2LR0m;c_Nbdh*s?Y z#uH2H`WkimsqU?xOmze^zcf}}dfe@Bz1^1Vw8@5nu`oIaiC@cjJ9C@ih0Qc6kMKetAsL#2aG29r>S;-ip_V6G8_?g`q==%v0*;0dd=#u_ zJo4dxy(`KB=fY4M$?dTpiAWUaW~#fMF9Ey4oVN$%IhQM;TE@^P9F-6?W7_8UfxZe) z)IU00B9i)PTvoEnqm$>-O;EmXq`N1=XOhWhyDk;*A5!MGjo*ppp^DI&bANLEpg1#6 zqwD?mmAWC}0_@fmOVxca{M&Eh`0!lW%A?W~LiCtPmf)|vSa(o2r%EQ=6wZ4-DgHn* z?I}?;=xF0PjtJ+*6PE3_$k1Z)yu^)vwNrHIEBi&MT1A3;ftY&cR#7%Jl&p#Q9_PKp zkI$~?LRfOYZ0tPPwNTN`LPdUGX&JgX$sAJebwl+66yLaHCI!IGZ+2UcVqgmk+3c4T ztBdjv?(hRmVjvs}Hkk0-c{OK?mYPzAZ^7~JOE$FfoG{T?s_`$!5=k;Wzj7dMM4bqHbVOX z%-hpr;>1S*5^1oMSqar@gA(f`BXRZ;#RI!Ou#*JLs2k=a7= z633y-7B|Mfk%P={Fv*#lO?IH{N^V>Z?*E2hX{I5*&H3f~xcqv1P}Dqq-nr%s=iMX) zep%lLqK#X^6FGxv-2_QZljB*2Q#3<*_J6t#kHuDIDB^5|KIMpK~~k<3B!Q*M;Dv zO4mPSd^gxh;>o5}8?UL_^w016@^h^;t1Po;GVWAV1)1N3@J*06pDObOX|3Ua?^0la zs|#&)&xXuiN^j4JxX37*#~H-fF|+xlvh>~2TJP=t+X79|`3!qF>2s9h>o)l`sizLM@}N` z@wNzU2mDIlT@_A~(E%YIf;NXqv}pqizvy!q*o6w0vW=IrGZwfFR-rLZmw&D`!$syZ znb~s!p&N6L?b*r~GpGQcdj7fO$g8J7^QpYpV>)rl<&h-YxtE*;?9cjhmY{@Rjj9xp!+!@ zFkOQ8=;CCS;vcg#(Gc5OeEEI`i+K)<37Z3d>^*hyCye~^=& z?_-sKwzufIagQ$kKd`6vEsA7^3sY*`bEQ(?1>`TlKryVra1jqp0To=U_deD7)DG*<%u zAXWGy*He4i0Ms%$<|^SKtI%%^P(!XdBx1wbdm4EJ3SrZlC2y0`c)*qrkHf$Bjo_V1 zPH4YAYMOs}S*h*Gp0>&9%uX?`d!&0(wp0UKdneB<@6EkQRrrk8GlT{F>^A^OU*0%H zKD^llx0zpna4vZa&L7+!2D?ZwnX^W=nM*1#c9<@{`fyVDha83S8K}){S23QTXz-vz z^*0dn%g>nksnCyjJqw)XEvxZF1sc-BbJ%ia_VjFkZ6h|;cAl2Mk>sHqa8&g5(tRD z^LaM4*_ZR&y1S}x=uM$ajNS@M#^-?1$)L)+N^WuzZ?jxit_cnqVPJR5ZQuq-xGUkc z?&azRa)}H-bK$-9G{+GWYmw!=-NXuNX(POD;n!%VJ#Cf%%v~`)9q-}HS{2eqX)HU3 zPC9Dn`=n53%Ay(9!>Z%-NyGb9A#?NSrNerTTFJG9PhZdkkP{I~{^Et8y}F6dzfoQC zEG2HH(0Nc%8Osd+dL=}JN(hSBoRNNyc$)5L<0aEQfp2)}etb@A|F7`V+kb?A7c#%` zLTbJ}!^JQu^=~pB^S$EKxAHI*V@_%Z5A#a0W)#nzG=waN&4O)8M&Fk~MncOb^)jGK zuH?pdwniskzKWM3QUzKtY}3+R5GwyxvU9ut%*%G}>qZihK}I4ys;yB``%a)E!qre9 zS&zB=RE3XxNua9D%{V%ZX_I76ylOTUG6GUm`$qC>e*A?OswG6_fC@OVK(*D_1GWQ^ zFVCL0b#XpuIM^+J6BZYs2{twOemy*E>qqk0M2&lvbmO-(G_Zi?l~}+SrXnCC-VTEl z&=HrYG;aq;^hH)+lu4!!;RhVzX6Ep)b@G6Rop=s=zSpG2)4&ARkozc}GGC2n9muC0 zh^INF^j;})!y~PcKfZlKXS~{Ezn=1jX<#q>(Yr85nXv>m{V8(hlCUyQHnLX7x#T!D zF4pM*NZN_-!hX!mU`w*%dDlQWd?Fb8mSWS+$qAwo`?^vFKHWl zRIwX;V9-TFI_t^1Kj6j}@+D9Krw#EQFy9sak*p(<@z64q`rNsXPezM(Z z05k}(^Q0_h=DJIIxmE>_^yx>Io&DK&C5f(f8u=t6)}xiGDkFTNv5ZzR*Eql0U^{(Ah&e^s$X|8)x5wuONL7yaE4X(aH z<~}W1NK1HpGYGk{AFtME)n_#RG8%%CcEn2!zXiYF^d_L|r>)+0kj9pG5v#y0 zZKxqopGXZ19>?(a`5}WFFXZ((JH@U~7QrTMsrsq*NLUGl_xI*A`?Am_*sUPITU>;` zu<*gIsngQx!z5p;Qjc$e5nVptU^jMC1?sM{zamgK=;0Fr9yp_sBjwjrvm3x4(~R|% zb=*_O$LqQ=W04CaV8lrP{#zSdpkb&nmx4o?%S%y`)}42wa=QJQ2{U^<1d_3a1J%h4ik0O{xTAP6C1n-EV* zA5fIdw6x)k`J8lzp8Z}`BnSM!MZg8e1sU>C=) zeq95unQW}38+$Knzd@_lgqH;PY#SWcpXLW=G=t%08b^smr$)wrX&a%IVGmS)hZUWF z(gKKHR|G2=faF6qR8Nv1w!8O6%hftZBQO^iDlx&V(^SzMz6Q=`2zP>Sy?xwoc?Hs` zrf@h6yXU?R9WX1}R+g30s?WkI?hR=)MQ0{rwB1;35}&}_cn(2&7jfxL=t1;xW#v2p z%8Jul^)eXe46tUY?!N`mCtfa9rynh_i2nl`afNUv%I9V1q>P2QZrUuv&KqgFbJ_Hdnx6p4!7dQ(g{pp zPfhpcue$+WB#|e*JFi-5#yqHp#B*`f{064O^HuR+FzUx@`hz*h{tvl?=6(i-{T+Dz zvr#OEZBFYq4v6`D>Q#tO%2HZoEg$K953h>-g`T!#9W6cqvEXilC{xxx)9lzU>O&Os zaBf#lVa|7y^T{TaQPhd03;O4sR9G#rzBL4Xb;nj_3xGfQ$HBI|c z4ja}FEM}I&tDB6@G7L|qdXbo#gVGc*?Sd(ST3B-sh&7MFB>-9(`oUush^RTCVGc%c zW~$8AosPvkLDiltmCSieiRR`|Pca0ZUF27gkMtpytcgmR`jp+N(JeP@&+CfMZ*~@v zNv~-7HC2|VkyGtKlW)4;RxgQFm9t}|RKL&;YQ2U7i{TA0;@j#ncfdeR@T43hj9bK> zHyFKBGh8fg5+_cXWL8;jsF^W=XH2ZW4mlgZ!B5|c22s4K24dR%t<}dnEsU>=f1(_| z$0d|3YF94Hn}Nx1&(5}nt3-3eIE9@IUp3op3{`7tx?IS}HgB``?ta|vckO%s3x>LT zUU%_eld@Q(LUW9sK(Jda!-Hl0(8H>YKwQAHoMh-Qo?pXMxX%2Xc=sHd#nEZ&RuC7h zn(e&5Tqj0lioP!Xw4yFPxTz2`|eq7%RREwP?Q< z4+ky9jyhQm)D4p+T28(Z2_DYuGRs9|D1XQ`?=7NrMb}=b)o3hi1{*+ZZT4d4PI?!A zJSUYK64=sXx(c$H#buTX%83(*{sf}_F|Vun46OW3?Hnw@T;bGxARgfcHUP?v1Wz}9 ziO%~NeB(};kpXL$PgxA7ZRSpV6dy*CR8oCYiGu5u9paQ>)LJYs6P_5R)v_iWd-%G2;a4U~Sk z4w%M1?aA@RK0~=D(Ojz_7K;FjflLidPgvD?SiC!C5N1cEm=N9&qg|)#ih@O>ximhG z&z`^?@O0^>iq~6zC5EdZo;xD`(Qi_rEz=iER9m6_&1qnqb*X(%!WP>SXNW&Gpup+H zDe8dzn8OTs1hf=vXh$>M2KF-eg~5G{aYDR!OePPbQTEPx^h59s1MKDsN+cUWQ1zJX zNqa}^W(W872n$99_l8Rzsu$MnV8))k)PwGBysl(#%W%=Bd8fhwh8rq%f*nT#Tr$S7 z0~#$-{muWP0i*ylR!%7<^-pFNiC%O?Nl8(Z-clv8r}3c#PY*u?Pg_dfS{av#Z#!#T z&z2tNF2l}xOw;m&1V%J{>89#~rqAgQ{MX{YJD!D{^yKDizG0ah?f)hULh~;UW;vi7 z5v4QH&v6Qpgt!cJ6`1ksG$Ea3LH#LS8InX`shL!Z1+Vo^hz4|0JE^31-sMNe_v zKp{nXwZANga$e6Jnt4|YQu>A`O&9VG>FpQstaWoa&u|o@Ri?bX8hP3AD>_+5iA{O z^Z7q0>?t1oq;TWk6udqjH^VHPE3fd!`c;D{3E^Vu&P4OCkyG6ykX{Dx;&v9!o~Sp@ zVxlqhef^Jy@@xAC;InMJNWU@^8aWB=_|{RdoaOYw*T!j`@RPYD{WpkrWNKGaZ7Q^N zU5h_>keAoZ9NhQ-m(|Cu@C>xVbdEV?k-mOW3xRLqJm-?aoteF@Zr&O_%};{|E_=6v zZEHjXmkL@(d6*db=Cw)eiEpcAb1q|q=%Kl`UaXhbDsN0(ZV+5Euv!U*@CH&XgpvAX zV`=75d{M}MVAmP1PDn2B89OkZNkn3%bBu6kt@r<+;V%)HO`*ky<)>#}Xp*_7xKDxW zhTMW7Edvu>=vzA#Kh1%RQ`$qW8BirttllFWir9L1!isE4wTk8Hky-F7`3UwtUOPzdq=J9t#(&(0VpbhPTP7W?kk< zZ?OJwwA7)Y|0E+89!m9;Q5E%im#{oDH>vM)8=->8VOC#dCwaUc?QfKpp0odkkNOw9 zI<63m)jHbk{q9Gbfip!9FP%Mx=Xjk<_<`lbf@<6<*WL1M_Ld%DBdd%Ufzor-tct{{ zp5L9{sN{Xjpy&xE1*v?z;c5x0xJ6lj>IZ*iTu_1^G2|L~^si{)UQ zZNyk?ojKStA>JcHGeZPot}Ai++VzoS(V`(i19O`0<<3i=DESjY$z#9HWq+&~a7~Cl zQ4979XmkYYz_bSJ7=x#ORJp!TWIx*_$OW6ZE5;f~b(Z%mfsh{a{WLI^Km3!ie{#p;k-mu8 zcLbLU9Vo2kGlsXwiFH!Uqq5@Ni>LZlKCHEKOUvzsSQIsZTgN?>s?ZD%%(u-%cjILs4m7?hX6VM)wEjn`GoNO15S4 zWE@~21y4xv8QYTw0m+iyhR_^#-4CW)dI2p=bJ;yr1Fp#5N?2B=McxxDTfP_*TMD#T zY&O57hPE@ruy=|cxSruD;-T_w+NZX^_5Ooputa1fGAT-CaqV9;@;v1_($zlR6xvLT zRb!prG|fZ$p;dB3+{C4)8BAO@={lBMTKcw1mg`*^=U?#eBlJUBM=46P9Jsh@Kj3#W zZt~uQ*C(IUbLQ($Zt+vx$om{Uoxo`vHbD>z?%ji0uHA?nwB zqNW9b?%d8-%NP}5KEe>G!l_C+gNGxHqAyy?7>k)}S|K!^+>YiVmxBAJgym(QnxJUp z^N*{Y!Lz453w4pDeDldZ?D@%B1)jt8cUWbtXP3G1-aW^1NY!IR_z97%%Gw1Elc2J1 zGawWj!=^1$OLDTv9WCw$-&kbJxrXETW#6$p0s>06Fq9(Q9W(UM42YEEfJ2H%hk)cTgrp)vcZYx= zjda5xNH>CX`5y3l@9)0%{k{MBnf=Up*0Y|qx6Ik+>?J_51O9IS>p5DkRxdCgMiIcd z2BzHm@vG4j2r<-+4$_p@+Y0fM)jY~OIsfDb9KdV$uJRB5SN`EOSo**6o4?1QuRQ8L z2HL})pI)(U9WAc8=HeewLA7CvoiL|s<&{W?p7@rOHs4dFbQoP4o$nw z9JMKPPp0)SQF^hncDVvuh9O^E{#BwEpg_fRNa&uicj$NqCm{*}$fEJY1Y76wrpg*< z!w@)Mx!Q6~V`J8VS2M{yGh4TkgW@?M76iOItH!#;o}}ImXJu5GO5AESQd)D*toAk) z8zTKejZo6{bZ3$|40onpC+VrOD(eQayvO5i0+=Vqgnpjgvl@q#+|+FIM;c0Gr{B##zg;_2|BH~i z_sl!fOjN}|?>CI@SV7a{d$8UP2VRH$k>8PAQkJiUZm?;J*B_2n%+zL6T+!cU{$Neh zoM9Z;Kc*b|zj6e#4rR2<_G^l4@@L-uOn}2&<)tn`p?5X;;37%Rj&L55m)eSEOoQeu zKaysiNrNB>0g(*Ao63#1L#NfUQl?=lavxlFCqnl1yWF#?RZ>uB<>n5eI1C!3AgXwN1UGl1ZHRdPog!yPoeuErCuw4p&^3&k+AFX_rH!+5e|P+`-A1DC9bO+ zU=d9BZV-9{55Zz&#MC%MC& z2^*VwXSHYO1p9uA(hvJNWnL@RsZIa^|Cwdr`>D2p#P15V+Iu!PYFYpVq-*sD>zux@ zgAv#aWc%pp^y?r$8Zx~56s+wlV?;6kQ~d08C#ABMs?jhEInvnu{HQxfra+-IN^Q9NNeVWG`a*rxGNUOeQk;Laf7X-N?KXoI6!gpCmq9{g0A5(kYn^h+< zH=XDQ%TP-*<1nW!R8=tc7HtqRKjH}gUoR<{#m6rZC!j92^`J-wiskUM1PUPoKy+R3 zWY!JA-_)p$%oxKeHbZoBZ5VmgTt?1-6AGBp?h|1_qa3C9m9W%Zy>ttP4s>$&L(4o? z*ixNHqOW<>Co~asJEG)PF6NJSmIFApOxvE4mi(ld}%Pgr+urv4DZF}A2 zr_{nwlF}3KLGvvugA2-=fsuJa@rj@wt+fXh8-1E0H4v#g5y$?>|KDYC@GrUFKJ!tr z5r}{>G3VN6bMCY#%tze~0<6LbFKF1L}T+WpXS#M0n3ocG86elkt(bFaP} zyfXB-wu=FS)btrVmqASFD?Yi|VSY;Nzck`2zN~YKO0nL`Va6;hUKk2JG7R zv!b*F9#mea$CQL%+DU#cX0?>z;~g~PHWkCIhG;BJG~s#dtLaLd7yxwMKcJz-^XspG z9({VShLaq{9Xcw*hNoiEN{X*@Zmb5d%a^QBX<-qUY$+^vD*bYyC&N`E3|s5rE)I5_ z&qJ-NzT5$>IJTFQs?F$&YCD&fLYuUW{ER&{2UplwSfHx3Q0kfFb{^VldBjo>Gk~Ul zXXIVO$(Yr7kms*KlGqf&Mw)+ImjV!P%au#Mia`Mu6?26~VC`A_&ZA}d0ik|Y_Z}F)N1e0`*dfWRZ@^6{s)gCZ~Dk3-T!I2f)N zPG5?^_IffO^jmH%V0fO{g$3t{1>%$eTW1K?BP2SL_!1Wo zExN4W{Nl-cgU{F06WVk-6mY$jtI{s@2Y>H3g&q9C!ggPL^VVBy7so1n*Hy^s#9X^5 zN%rA`50+vu_z@8*N{Up9(y`oTUZ22b%(U%mewangu-#m?nheypfZ8Hmq(4(&+^KTC z(#kgf|93^j{-H~b@pHV{n6=##^;WX9e|XUUL8eJhnOhm^S_7O8QI+KSc4Tll6F8x) zJP2@8t6?(O()CrGie#p>=>mw%@%eSdNym~Tk*>!-lu-aR;I=(zVj7+|1DM?VhpNA8 zK*2QV>U$sGYKqYB9|Kb5VEHHUZJ+_6S04xeUmrgnM8_a?sNfq0By)-$=$T2>P+Y>Kgg;joMxdin0e~oS> z17|$wgumzh1f22E)&Hpyq4fmft-tEL;K}!F%%E069mXlS<_Ls?MoQLCa^ukQ z?CP9%!y`K=d)F(lS?jL))(v1*n3aE!>yIL;0oIB*jzK_tK=s^SpmO-4rbr@Kn&3Z+ z1XtKjQn0+7R5MD4*iU(os%4RA$jo2TXXqBoy9!x&Ck`y0>KIh)v8Adof4s(2`25{9 z*0@%P9#bBKDaCYG6|n5-WYAZqX0U2GbcZ1FbGO!$T@!WToagmLt^^!1H91aS7saL9%k1CX zKAJsB)HdYe&R&;@6J#A?@6Arma3w+_A6}7O`Gz+8ZqzVkKH_nsa;J{5)5bJjOLQ*F zrQ!}UCTdt)<5@+(Tujz<3&&G;I7TTV_;ac7VUiScAex))#_Z>Yp*!g{lb!mbY;O&(u0O zcSw4lR(xMUpHr6F{G?_SPrjoF;f0e3(S6s5*7YeUNcXy{_C~Kc|8W{|3)5stpvz~z zK_mbX7BJ7qDmc+rG4HNwZUaGKOnx zv1Xp6pR~qT;X!PgutdXwE%P|~EoGJEAizkt1rwU03Z?kq1QqCCeql-CwJ)2ppB~`0 zS;rKpSP~9UA}|y(U;I6jnJnEE7=1-sxKKTQ%cdT&{W-MZMahV8&wCIojK%$DK@DkI zSTY`)a@fVTDQ+aLxvwjZWi+0qbLbuyNxJyT@C^xD~tm6e4VxWiqI?>yv9XjHZu zNfl*Ec0~x9xXt8)k`jl+I`i2^w3RsP+|n?2$j_SJ^PgzgdMh%X{wIz+I~wVA&CFrM z?!XhGqXKfOAmPrkxSCITmy|tz;MKwse2w{c%gaQs=iG#FjRG1>pJFKb+K>$$_)Wi- zjR@4Gt+CMD97nZ*`PW#P@%q8XqHf&?MC zxmOsEL6S(+%OuT5Cd*Kud5-MNXRC9w+7T}hf3PM7ullogieIR+JQY#?a;O`qT(~{m zwf)9l*=|*^(<> z1SmC!!iOPD8-NsK;ypbyS!az3fd&Oq`CVfv8M?-D{s#--4cc!yBbQ2zoBw)?8q`eb zfbq;51u}6Dq+e&+uv{UZGMJAO1pM5D=>fO5+_@cn;$dvhQkEyL^qTp1)Aa*d3F17Y83B*8uZXk$BwsWgq!y$# zBK?e}$U-?8op(b3sD$gxt8?O7A>$!8KPe=CCX8Eu$Z=G`wpx^SZoq-j`K5Aa18~u@ z#tIbra$$u0n{L$Bv!VQOnJH3DNvuB&@chbi-t#7Lu-?uB znv}!Pc-4k3=ieX@zQLwwPHdq(&l+Y6UNiUy>$kTTqXi`D57t!l{6HnwQWTCU7>n&l z9qTsF=Q+cy#<4il$Aa!b34RS53;2^ofGnC}cbmgLR_yEg-jNxT6l6xry>JtvIq1IF zy)DA=xGRFiGpvdth{DunwI+q=-NW>Jz!2^0<}1++9hEnFRC*52GTio0HO{ld1XdH zl9vrB$=o+md=*rChCz}l;F|l*8YbEEI##%C;4f7du-_a?{Dp}tWQWe^|I)=*Aoa`+ zx%p^JH^XxX*c9&!J27juA-mugq{td8C>)F{{D;g-kt`b9qz)M9mR*e-N_XeA=5$^v zJ7yqhY$RTs(BBblr%R*sqa%3f}N`};L0K&GPa-vJ%nNl(nwTpMuyPh zqQBm(NU7?J^YkEC8Aon7W#okqHs)orLHA5QKbk@L7tpy&5l{hL?5}(`c?3^@=4|mC zEPU8b7*@MjkqPp~b$w7M{LwJ0^n1WafxnHh!D@rvjx}+t4*x1L!BdMu(=-PJpusRW zcpotb(S9ray3MZ}xdE4*ig)D=np!f5CqIk04S{B%(1d{SfA6H~qf5NiTMDPiTHEh- zylL`t;8iVHa#%qQMWc^tM%2P!xQlPuXG)D!UW~ZscM~9z;8xtiY040pggI|B7iX!; zFLtc~BOf0pC)iatxM*r|9hVt3=tnWJ>>M-8o$JalIDQf?Ue@%L@T5s5E+ippWf51ws`{5ng!WGQ%%f`GX=e>!yBH z^%lyNx|x)7g4!x5T11qAivcUY@$Vw2G5j^`2J>XEwzQs zKOyQw(&EFd=8p#Z$fn+?pXO1@pT0Ah`mVcUc$}M^z{s4ptjWXHUV*5u^zH(p79q?SD=)+C1t(vW$e&yDSw)Cwx%9rXlZkJ^NaTVks z7M+{{!@QDD(9i0}KJvgzV0+3TtrJ%b>hAU*nfsLyedE@VI&rwUL1B~dcq65wkX*aA z^&c!|m?0D2)M$dv%s*;D6eJeh^XV2Dx6LqLsN~Hxeb|vy=kYVPBO%3e?Eo-h?Zd#+ zjN&(+r7*`#)TgB1>@QBG9}7#k=)(NLIvHp>5HH#}{I&itHKAa;O4Q*uTn}Ck>A8i; zQ_Y}M?ta1BM#E1=NF51yiWj&wHdmA9lr_>q+k~=f;RXbXY0 z=LsZQRTXdBoRFEfF}LEF^6vX&rd$a3)v7jplz)koPqBG219d(j`((3LkJL^p^1NVY zKKxy%Fk{jMIrdb>>2n!p@CgwBu&9x;)rfI~{ez{kDJJgMX;MR<_Bf67i;44C4@_^Lv6)TIR6@DQM!X*kyj#IX&U;Ro-I6<6=cHjVs)I zq!p`nkSlHwLh6>)-pQjuxzEPDFNXD=@EvZvRtH$|t;-r6p(Sg>B2K4O2&`Cds`yoV zhiNA74^~<}L)8)C4?jgm!Vx3kXES)R8$h?kbahaB!ptj;uKW^vd4Z$PmgV9Pf+$nY z`N2?ZY6wc(cUAl{j`~9Q%uY+`v+)zt;jvWF}^@{JS2gIboS zKMI^C-b1L7YvX$mfzMWqruFJy<|WPFYMlX1E1VK=-F_9w0@$m?A`#)IR)(jRNnM`g z8KpkwSu-biX#n}S#;VbC7jvi^;m3UnVXjHFo|M`f>{801(6Awzejl`4dbR|jSkxJ@b*!VO z0(6U^)SUxkr7Yw?FLMvTKeG@^ zC@w!yd2(mJ04cQ6Mftnho$Id1S%uMi*Zx|#s)TuP4gEvmC9z2Gg=;~fL3Tnp`#QP2 zWx=F%+LRAx33F}{;r${+o6smnJq8eS&7>@CPPAd4T)Vg#U+{e|Meb{!CU(1)aX z)wJzyycbA5n|Im6RORT_CU4mawyA@~+b6bGQM3?$2Qgb5>0x?na;ysN4kWWS5Pw*@g&H2cCpapjJAib$Ll#-v+x(s zDj+Z7j1n?iv^bPxQ{@kYgwu{8d(sq}8T>1b4z_Hij`s$tjLx#+dzOXl{IOFAx;?04 zr^nUZTHo2x+uw=H2fcS?RGe2*Uy7HVkw^|WLI#@SiN9lfo3g%d>5U_3qX*^8svBxI zV`Y$x*H`f$fH$9Y$-e&jHzb+Yf?)Aglj|%yw%MSd_MrhJVqBA4T+4Z0&1*_=#;py&+IW9W*{f>Y3zU1+!cIQN$Sd9QCTDL|{h4SQ zFlzn6-&{{S=hcHEA@5P+a*ry|PYe&6abb^8&%3jyeI%1tHf^5yYZUuCx$N#bV)~`c?`k&NKkVtlqKUx7#)`P<7G z;mPAWIAxm-iEg6pPk~loASbLq)k=@V>(|B0T`SiEROUB zL|^X|-kwHY=t#7^ER?^CQGTnBmSFigFkv%z)&;q5krI`Y;yz49x4M~Hjq6w(NX6k1 zp$d`Y%tT*C+w?EUXm~O&ddZ!xn%Vn#39;k7SJZiZ8LyV_s-+MLqitb6`h$gwTsX*s zL?h?5JzReD`;zjafLVp4Jq%(Yn!z9}I+L;O%FWnCkR(45Mm-Kr+awP$#IgPgAG9R* zklsvMvcyeu#4S-jHyS+Y{tKtFD)n`MZ(ZK2ce7trx}w%|UR!VQ$I?RGyZPbLH<;rL5ayi$QLD z^&Jjqi6&7W0uByE%s#iJCCWNi%nD?@q;9hx8dP~%7Z4u&l}01Yl8O06PUN?0_+30d z7vMNd>(7=0cwY`Km`7k45$_z)vjjR5Gf&U08uj^yr4X$Jxnhw{LVi&+2T=(FCkYbj zdFH^K;T-DSwG_AhwPG~Dy~(&zevcX=z7|#I`8|{zuR*5U_rX?rsEse&1;&}LW>NXN?3%z;+M8O zJ5e{Wj>H?pVlwMItC}Y3KVND~9>r9=Hr;?ODx8H zou9)o0yxJWlOg){PVqgCrtMLVIe`~a)Q9+#2~G+@vaVo49Jt-?)?+bCmI=6!ZY=ZX z%peep>aFaOHB`)s@Hn>N^IN(qR$=SRPmwl-+;;Q!F($N}@u^-3OAxmq_P8@VxI{rN zy;WJTcbo_KCT(4_{-a>$l`Z^rpW!&HYV|ec$ELP{sGs?|BST;s3-gD(sV?z$M zS-JFM+mFi99d4C#v-T8%meuf+O`gm!6E3hCaCy-tx#ZDARa*>ksY;sKRxOkIoV7ru zlz{{0{P9ljo2OGsln4Y`;yqp!CnMdl7Eq0;HwQ=0bEcHPXfuS8R^}s<^q$;drD<0h zNZrEj!l$a@OBj34$~nQKi`p|B?Na4P2z(H^z-*`awshP(eSzr| zO&Xupa1x=EfQ%E9!Q05xoS&BWx4}HmxqOab@IJQ4rg;TCYBlNc)SxWR_uEU~p!;8h zs|qRJAD%TH7c>xVXTKafoPPDVw}-<;$)@=c|FDvW6jB&Ch1PFDLkff99Ou=uqHI1r z)>7%jVTW^b2=zF;!BV0bjxF@sYs$F0I~7T;ak&&rHV?eM;aY{6581e6ei74pDDouk zg2*}|=cnnDZG&q#RPfhwu0AABmF7KZC}lG`8?lZfAAW9rV5i;x{n!A}@X_GYU_BGq zOQyF+K|;qyO}9Lb4{#q-69qPpwg`7}%$E1&F9hsLt5kx=5@#fmpu1g6F}O?vuiGn* zx-Ub@npQuj-fF7NYww8tNc^?;T2oXj*V@r`7Z_+hXaat*3Ulf=?!^O+xm~MA9<;3e8NfE z-m+_+FC9IL^b1=YHBc<|uHxKD_5YYPw8o5;h1rQ@|GJ%$sxLZ)^D#PgoKpFdu5E?+ zl5VujXe1z+ZQv=7ooU0=RzNdQvPyFEgd^VF=bV>k)(b5njjLjD`)M7Nf+S5DTwS^& z2H_@{et8d-^zT#H5T^9H`z&6pr5#lfuUv?p)Sr9Tu+72f*VC8gb`8uMJ{sbIW)K#2 zaRzyVQE`US1R6K4(h)ttxNm)eP2ptsvbwe-=O4a=KRVILB&x(B9lSD16Yubz8rkNj z$-tnubk57tF@0^WDu;_hB*?^-ZGY{8>}DWedwB&cae36FJNM%Irk-}cgDS%v(2I)! zNCN6u=x`7XNO)edW5ZuWZc0$)4^~1zn`ImT=zPds+kl(F?d87#(VEoAX#E4IxHsEX z9=YIPkbEU891akkmLh-`b$mt7KN$_es;hZpjJ0UWhBSg$0*<8FpKHx6Oe-uJOmX$O z+ZMDTF22_kCzd@4`GZAQbZ1VktKFxkdA_XsM+v+UA)3XR zKK4-DQ9J>3#iQbuf{2^?BXOe!8il}r0en5+xT7wxoED@bG4ZRvfeg% zpPug;O5uZs>gs#wKCz*ga|S6-JHcOWcD+**-;|;T-0GksIuw<{(X*d}#D+w@H9m@0 z;8ZF5*4#}0gO#J*`*FyT**FCf>N$3=G$~@3Tsgef@;I4}Ei;IrB|7NJFb|@+Py;#| zr~Wa(6~|AN#~D|$l`wDrHgAaZolqd?+Qcr3rdho)>sXY3d25p)Q*l+)7-Y*HK9$mG zH`tP9L({~jK|A%kniY~UL2PeB3wyBi{B6NILm_CAtP+%h^_WyUL+huJf^&p@Wg)`) zku%Q(CoTzdiU;sI$&~6EbZoT(^RQR{L;1~KmYfEOB#n8Y6HQ#es!gWx(qG&&j{NRq zg9c`#Kez;@+}y{&A=CbPR|9VsLwdGhRXcj|z@b}V%pmyeENbbwkxynYvYR|!)O#LP ziA={0!EKM|D_%`@gSwS{7rwtO8RvnxL{ci>G1T8W3xke9-qO<3QGQe6!Tu$xhzEPc zXyxd!TGsDqEwG;QV=aP3Gqr?V^HS3WAO2Q`z$(Ekhy~Oq>Ywg)A6UiziFjT@&T}1M zdIP7tr}WCv?uxBSQMAdRz$O}QndoGKk>Tix+DBDAlJ$$6twD2HWH-;>*!}Fvar$@^ z)lg7QsC*VEe}ydm+nrZDF#xjozsUG1TJK6mjo*63XtD5Z^r+1;{Rd!|DBLhi2@X5( zw>Lk}81AYJyhYy6-G;BErgSA9eu0BrN4I{Ad$0amOk_U1{9*R6vYq`$Y;EaI+~KW4 z+I7i6fr6zi%S3281}}VX;ev%Lm}t(tdK(1M1pRvL`__8K0xn>`40lb0&SLI_|Bh)4 zVkxB|y!}j!K^YpV9?*JoLBrsc?-Szo&TS!v3U<}eKUfEI1r`L|w>i?XQhGm+bS8!l z>Jr#^ao_p{CFSi13l^jHk2xBxr5YpaE}+EhS|mEEs|L`qRs6Owz0vq#$yXyYaPg%3 zt@~KfC#C%3W@iJV5rv;3t#P^79P&zHZDGCXvl!eC3oF8#)KcGik8@kw9sS1;3Ap5HLu`cVsto8BJ&U|?M z{^vv`+N*QS5tG3&M4|WaCfJ&b%ffvgZ4q;=Zd-R7Dk%S#t)lYVcH?kGkIq?{WXGkU z9XaBk_y*V1dfua^#IvvmZnB96+Atf6o)&0RZ8cGr$#X6j%%D#)Rl}EI%B!zf!;qiS z#Iz+km}pN;wHnxo8<@B4#_Vs!JtXQOR<^9D%F-UVl@kz6wzfh!>@Xxy01G`ceR+4h z=!5ABlNY{CQ-W**kzydo0vR*0i*-mT=pay_{NXBOrO3c^h4BL4=C%mU8aB{RW>jPc z7VS6afLneCOhTpvw);H)!R<50_JUYt{(40lGL{ESweiCYgtvB~O8vC#bmlP;tTPX} z9SGLk9I7avK7}NBiozsu#0BP zs(8Cin$qy;qE>PKr*Ti;X-`2AZR`fjeiI9{xA~iwsv@3VN*X=Xy=kF$pcD6@ksfo& zLFJ@?44Xt!Mt=>dIADq&_>a`Nw(f-x!Eg0xTcK+nEJ_iyfC7ViSUw_wc?;g(Q>dt~ zxmRjjV}Cf+>-9dK80I?hE-PnE>yE2xW;QhxjZ~tEin>WD=q=FeFke1x$A74%7WU3K zkz>i0@a+Wd%UDWF^ z@u@w4t!nNg@$!yaZok*^W8J?{#W+4hv?FJX#*;h%4?Au}u8#t!$k(?>vGC5qPd9Yx zcdP6KX|gZ|MP*L*aw40uLq--wA~aAEtw<2GtMeM#@=p6?J|?~%@v^SBD~4pF^gbBj zzwl|40f2@qbHa60ze!n@WUD~!C22&`HR7Z5opVO_AV=ZE*?ERXoRI!k1SQD+)4hFV zz($FFk(E{~mHVBCG-Z(&HOjD7o}qnFU}rOyp&I(s(<<`L)(XscCZbZW7VfqV9BfwZ zWW-musXod5)W}7of*Bx8S50bbZO&X_iwUl?4TIN}8(S|X+5n_x)--pnx>|$Pg#7;j zW$5sTFlKB&Ad+=KB;GdvjkaK;UTu+izs!^vV|y5kO;#E?1kK1GltCx1Wn_c!I-oy-SgaVj?I8*$lhdbb0cc0QQ6|PJ37&lRj zlnotyp>k}Sp9MN3H%Q}SCaT1>?A0E zqk`G#tE3dx+0j_GOa7^-UabS$!(*@VD5>>^hlh6WM+t)i}i&&VwHPC5uUb{sOmOhFbg8aQCmohVLo<&_ho zKz{9ecX9}5Ii3In;4yb0y2iuyNV3Q^2h)9yUG9t-6y77>X0OlNcbqH|)D@w2VZN$= zmhP=xC5hzyHdz)m0Se;hw8uhIFAZ`n-${>}Ui}#BHdoI6mQARaq2IVFf_CGa|jl-*X{bgQNOf!VNn4`7t zZxpI6YNCrU38;+|4s@x0HeJ*if5#L0+##eS2o1 z;4U_h_}S_mk)r9}v0>VTJ`XKkT&wwEVTNHFG7J>nVOgOFRUT}88;k;I_zA&1dp^Yi zEC}}vTdUr)kZMsxep52F+2GkbSz~iL1v6X+#Qv-p&v>g7Hq9uk61pzaQ=055WoZ(p z3}zEQ&-8cE>U1lLdW+HhQgb-Cq-dJU#qmC78Zj=Mi%&D8t$|v6Ln7{~WIdXLh!)eq zDR2-g<2DNVk|&up=vXk3hOyl*!;mwP_YX|WV|Rz_Um4&f3Em@6t`DvPbOvu8hrGA( zN{BAY%%wSwIRJ_G2YK3=*XSIpy8Ud*GwFWLO=dWDMhBR)p7-i2#YDe+6*+?S?1>;VmC z&nJ1rYbtXsiS#33lCtJlLfVQkz)Q(;+mz%f^&wdRB**`TB*fa%P+5v$KWDE4Y?pKj zS{sb;+X@dQ-aUE}cMy-1WJhTPB1JFcybmM3`VbU0V#wZ~z?SsJxByT>&2NkI=9SL# zG$ABPI|p?0L$KifB<~d@maF4&ANqI1%Ra*A-n&blrqhj_ zsCI9?Wbk$$fpGSj!#4FD^2&sJjdl6Vf}`55%pyb6ddys6^>i%Ebn>1ySi6PseXg$4 zvTjCFuJ$l_VgoZ}$o!&<&`$y=sc2>j3kA)u3~|@$wxs2XP=qem3)Yo z?uE?timB}{62AQEaua+%erNy^91jOmVxw+VXTjhqMdIx}ZZBzA&uWuMZ3ZCR`JO`*xbFg)h+Y^(ny#pJAWDA(t> z`VUrB@#(sc)5IaoLY;GEvAGVf4PkG#Q9;>@XMeEdeCO{7Lxy{*r1vGg6zd$4YGUw+ zk`Q^T#F~Wc)EXRYU{+-5G%_qyXls^cH`@~{5#?Dl#)x{agdpDQr~he>QyeztFN)UR ziosavTrvbUTu_%Fq_sBL4P&{C%QjNR(()SDHI#s=R%%6-m8#vh?^~AKUl7iW{8eVi zXFaadcI~MLJVi-NX<0>1g&En{;{6G=#xT@Z4nm77` zHR6gscD;-sa53l*v;U~~kg+EHdv{oi_PlzAKe(Bezq4bZh@jGki)TC5AvudHrTAq- zwP9g#SYixv-OoS?iqb7`TST*^{g?t5`n2M_9WgGoWO%!oJ&Inlll(A>Yb0Z&c>qPC z06R5`gE3i{eqAxa^Fk{=y-<&Oybi%-sW=9xb?J;UJ)L|6rYk4g0(kvfTRiVi4QQOLWhSW3(DOIxWMeATSq# zJ8-l=PD~e8<7WIZmH$cFn)m9L2#NXCNdOCq`$V%G3S%>#~kax2fducfe>KP41 zcfHN5nMXOHIil=W25kReeGB|VQk>07Cu*X}X!J}$*&#cG@csrp2&mlGi(lZxFdLql z59e_=8oTzRtJeS(D(7 zJ_}iDb|WDbX3m_eDW<Lhlgr{Pu5f-6dlf)7n4iR%XtpxhyMs-gxRo zsqNVMV!QZ5IQ!)EJjwJ1YvS9d2CMtOdum(C!(Xhvd;GMdct(=i_d1-Sr4UgmWE;gHxrAlu z*+ozT7X6)rIHx_YeZA_szG6A<7c)D@ckA*n^7-{7KCHiH*6H1@fMa2-K(}!9PfDNH9fw8#26f9Wu>eVr4fMEM z&sK{ZT|Zj~FK|aodqgFxzS)>(m)&9oZR}nV+R|O?#_Y9!*a+~&?hcNn;73?Lz#BX5 zB2Zp^Q8{>TC8n#zul=cu9wg#8LSgNh`QsuMY*VpW0|slayYY3>U-pEBG=$m-qulEP zf{#~)wiRrPym&``DdlU{4OYl77X4>ZdelKpJXlwvfGt14OmSA;OwiCRT25y$i{Sg) zAv;WQa4rd@G-w0CiTvWFuL)p}YD^pJ4!5^C(!2u3riHiM(?uY=YEe1#7ud~5A{;CV z0@~C0%K$JM64Nh}9@oI${{=>Gj;mP51rSDqOVin_)61Isvw^WdVMA@`PDmYJvsZeO zs%PAL7(>d47qOq?p%!iDAi6@G};}WFCsqrnzpiD1;Yk|40F8-#uPY2p8aj3G~9ok}ZEelUwP*xQDGTko58C>MRq*t`MD3om_W zTu&*JJXBC)>I)-x#M4FIe~^LyL58*0f+{kOoI_r_rVj?+y2e%vM6g{)$i!Urd#Q_= zh$qPUKe1)UetRRU@nfAzKZ`PFkWfqVSt6mHtkzzVeaz^57FZuQo)qo0iP#~tFrH{A zK((e))buO5PVABmlZ?|PY!R?V@l_}ZL$sq`6=$wAMrIjVaaC=vUBMe4e$0t_h$Nrx z{iL*Z%j$3PfQ+jMx8*ewb3r|0jl?nyfI4-7Af`;>G{ppZX+VzRgSG*|f3R*w4t#di z<6C3(?;Vw!37d+@pkD25a}zC63@MxKt)-K!;LOP^fsZlS^Sb6|w-p zq7K*2L2}qhHPgyr5MY>yE|cztRs@+EM|!T=TDH3l!yVE;gwGhml_Eemi$N6|*3dVm z6pJ2Cw}^OV<>hMnrr@aq%&0SKy)Er{Q~Iwb)O2W);7T1@O)xrie3E zg|oZ`I7d}fCkpeX82Z97dWrwv#63!5>uRHSeCy<7QG;GYG{qsc52)-UD_TCV^a< zs5Q{rY>hb9tSj;ufPkIPKkTGGL|+eYafrC3&hsFFry(u)K9DAfrAM8uQPv z0FV`}Tp`ne4a8rOg24NSwMSn&yiY;P3f?_7+tzCoA%{r8fD)wJO2*+i$erpU$>H|5i=!o>Bw$5~Pr76?8 zp=}+?Q?^H6Z+uU^SJptRMZ1d|aayA7Jh5Pi?-7$;HU&#m3Lmvp^-(Y*=z>fkZv02i z)$9)xXI!6a14A~)Mx@qMq&+||4k?Yfe}h_36gj<`rr+sDakrO}a^Ba|OtYPU24RtB z>fD?&}=TkwZOvhS#Zo>#$mBnwld)Da4tI!-3#nm;8K@ zAE}K!$&q;4c z(WiB=>Q-%t=D^d)dNLiDTS2NVF($;0eaa@9^jvWdg^rkFfQh1931S6L!16Okjtj;#J z{F5zl>yNjHNo?91A9juu*|H0DM|wwNT}ru8dZ~|GzH^XZppht$u!v3PUZ7jaVx;HboXv% z`v+LzwEKS{!sp#7&}|GYvtbo4byrvAktv`IXGA+w$fU9%Z z&B)7qYM7+5R8GAOy9e#~d!={RSMvMyN;BqYP-P5Al%?j!6>Rf4Gi}4tkzVLEN7gGN zI|)MkXA-C8Wvf>C7TXoK+6dL)feMhUr*T3W>$P{u!p&zX1$0Oc>>I{>6F+tJKE+t% znd51N$>uFS)#ZtLYZr?V*R$QMtIQsLV+^^fX8C_l!n>0ZQ#eUy`90O-8bf~FB&?H= z7Jto+n?D^Fhl0-Q*(9G)J)8wMc3%w#LFZRNGQkOI?)p~V{fTR zExh(M1?!nMCu8%`CB1wuaU|%n>S*%U9RD-kV?L;++cy(}7e<}ZqpAq-fSiwB`&+7~ z^~AnbT=|iij2XJCfN#VFAc7kJD1}`b?m6dG;rT9aOQ}6wbq)T&vrM8lS)>YfceouA z4~g&op~%~8%;~>i@V6N5l8{ccoaMB`OJ-&KGu6U*O#&i@Sf zU~dTOu-P8j4U6jy4`u?y2S!-C>|p__pjErEKUm6^O_O^KU*$hhy8z)M22Ias=4 zFOGz8hZSqy6pe)2?Ei@0T0Sxtm2o+(wdd8OV0Ve*imQuM^zSjiX%GDcd2R6~mCChY zqHi!&q6l_(L;;ejX*dbXS$xe|8Es^rek(gzF?X2joubedd@_c}tAOH9^IekFZUwzf&$Nz#)uSk#sh9|fJ zLI{ao!bBHD@0}sq=q-p|MlVr=1QESU$mqTI-We@=HwcF4Bx*w9JbUDvbD#TppZD+U z`uKg_awZ*$Gsj^#5C&Nx*uNRjs9!H`#$=*w#ktMgO^60^0RAofNj&}24nAQST^ zA8I%xtB$pwQ2(Ifi?b^C0-qNA%Stx*eW*%^1@|MKx2e=BxvXfF3&8osGe=6+jc~8f z$82O=_&HU77K{JTtX_#DXT9?Ei3+Z#4%-Bs&)mkwN}lVolM^I$O#W=hJ!5^Ii|tsn ziJ?IphvObxDQZ}*VHEf`Ya|~D=7+K{f-!mbvZXF zR>1MKb{_YXb+|VLU#R`0VQ*Aw7h0m68G*O`LXl^PjX)+tW9v<5fOwcV|1q@E^Ij{| zN}JWNT$bH9r-@q|=r}h;j70-=jsPaC3-Yts(O_9|zUwMZVvS;*k$2<5K(cl`DU^nP z$G)a%+fT;`^R@T3;ggq6Hr!P30g~kut_kp56?Vp~dH@%gEq$8Z51l>PjS2^%fq*}9 z3hWm7Zu3i$PiB9T7HIYrC*POa42lX8y`U5*TH!b>1)u$e_O{V*#`BBY|AnTF@&Is%gV?0yXAaxlkB#QFV-|Sqz$m*l z-p)PPji}G8dw9v?O*8KTYv53neas3~)x_fB%+R}j51>Im<%SAW3_?CQM`*EiVcZVvxm!EaBt3*2k5R6-F@9T;JnaAbp4%j8c`b zF5ZEF|zR!?boXuu5n8<*V<=+ zekDd4PALtCWqahp^}RPHh_)T}JkV3~DTH16Bdz zovK2G(WmvII`L09Y;2iDe}ovvy*-OF-owFpU3MS$%FT^`rImO;UG)-rk2pQt?v4eI zZ^8%1rj0L9usRvbeI>AX7wuIHQ0A4%%btwr@l312pT8*!d=%79BUCE>gz}IJ)!MHr7KTP{sm&N#sd1-;@Cl@|PlOv{D|r1M(># zR+32ERIl~o=KvW)oqq>Ya;l3G8@8n0bOzFx8hD9d0v5Q!>%zov!H)iy>o{3*LHTM5 znU3?Uz{y5$0`gl@s)208D_Rv6tk7};TJG1XRvaKn5%-h)Z@jbyMxpmWRoebARy3l` zI~|KuE{B(rxalbiajlU!`!KD{+IM>yp!~LpZWg9Dn-&KOp5Gnxrx+H&vHVKfDa#D~ z4Cdy_<8Gv))YtYqFyp;Wcv z;f6HXzzffpznpkW9#>aXUL+4F9ubI_R}5IV2htX@axiqi-%QHR%;3D4Qj>s%^OZ(6 zNq!Pj8oa81KR?N;uX^)2JCsn{_IP%od{O#xYW_}=OiTOVRupY7vliIXNtNd0X-RSI z#!&-u@Rxvx{TW4YUL+w*kHgZuB1flx9T~Tw=v=BW9@&Dh2A6-LY`N$`KIe|G@8 zvk6>6xwOI2<1CK7yDzt(L2LQ8u%WLym!^(3iy3JhP?*iZzZJlP~J97}SZ z+ipm#CGt#M4>?2T~kYj#48eLL|Lh{|&xUhxLz4QMOwO!)zwopoQ z*oFs5xbVIv=7hPyU8wdz#xH1Yvio8;=HBmV2OJ>604U&n;Z2sKE@CH!ecq(FC@OUq zUca4|WGX*_<8=}X#h(Gaj~#-y6XqD3vSe2V$Am61nLC0vuY(>us5$t>_>oHBc^~|X zcW}RrK$OYclr{MSq!gVHh293Jc1(W^GeEAC=pQRu6}S#;Rk@jOsXF^q?6)~=&^vN; z!oyz|nW3nTw4r;59+;f%R`egy`2%VVhNDdzYQNybFD7jO6vKD*C(p2>dw$Jhj)N)} zgcN}Mx%O@Taf+Bnl1`D)*Rw6`xuutQIAF z^x^rSMHRYRx-XVe)6*mM%8gNI&FzkQ0OrsoZrZ0*A2CwS$f$oj$}?FQE=+SrO7->C ztk-ZBahdvKd6JZgZ{w3Q{s@aMe&og5ib?2iOP-cuA|PLnJ#yT~Sd?sjETqv**T z!N#cqCUIOnhwem-Y5`b_%Uo)D2Ag%HJqQ`!uA->52EV&7q|}Bp+Hr;=d2WF14Ok@_I-i=ch&T{J3AD>&#jjbDRdBRkr9XT5&=db~| z+6BvXS@~aS0Q~|$-$=epkb$D-46R!d0qDMSW(0r1r^HcR)5gnR1#HWchwt9Jz#)xv zY;5_EZhgSa%4Px#d}2ARDcukt7$M(b-~VLBY$PHfiCmp0l2U(=qw#bYhF#fxyfp!t>^O%x8~Zn zHp$5yi^c{gh2>RzZm8qZ1xo?HUZXMnEE9x<5tt48x)j;6_`$w_Ss|ouSQesZYh?I{ zohgejN0*>8EfftG>$j}VwaAuKBN35$dV4lUpl;@T${l{apfVRjVZ7DJB#Y3Q{CY!btR-p@h0Nqob zS5IztbX(m^mDWW2t`#V@E=!4%_^S8EPcZ?YNm16J=r&d7K40tbqv(`x>7W&AU@7#z zvPZ9Z@G%VilTdY_>4$rvyR5BDl9UqDCku&?f)C@Vnnn8l$`z< zTwa+p6Z7^%N)-1-dGMH>)9VjQJ(HNMY@4(^oFIKb27RqU+p^wR|BCh3iIHW8B6=g61K7xMCnu z{DO2#(3Bjs(q(L+JL0UUoysJz0O`-P;&AYGt(>}X#IS8HB$&prTbCF?d>|!ms^Qs-K z=#cHlh-~8|Ze`u1gl7vuYE>te?Yy!HRwBspmYn*gjrU3QbWDkx`};}1oI1D%TY{S4 z6{7$0s6zknpat(&CN4-N8n9|wXX6H-xhWf~1ikiQ0**G$MNjSZ_jU$V7dQ%}C5_me z{<0g31M3Z{{~Exgdvfs__AJ)ljtl14d4bG4*(ds)c%@h|u<}hLd1$r!bkV*+Rxq@9 zd{*@hKE8@=+~Ip8W2>hrM%Y{ocI;zHVs4_B6vw_FY4@$C4%O76??Ky=^u?X0J(JsX zlH3SEc97E*Hn?J(f?v98CHw+wSV)aK^2vcFx4=Gd6BUNV4fE4J}ej5{y^m| z(^irfPvN$dNi##%mXZjn;|@!z%D+!Yn(=cC7v3b^Cp67h`K;I6L?1i;=w?Cpeqef8`9@i8oGZI>Dr{F5k5-!ENUL|nacQM^aWcCJQLGv{_gm1w zDBnPlenS^{ztL0~iCD<9FRIO76;>uH+3c7U-HfzyTS6KWrYy7XGURix%3+2wOSp&d z5s}soVgp7YhtAP%GqA{m;zJ_40Q! z^y|Z2UClwE;d9lw{?R?yCrYaFrldtlMar5CgWTJ2bG-#d5IK@(L?VWW&r1`Kn*0rbEG-wJqhaWNJ>HAGE~uV^D*VQ zjkVz8$LWw&6>w5YnhLuH$~mz3xN9`#7`aQndst73f@q+FdM7)-)&tLKPv6Eug|K}m zbj#n>(7A`}x>}$A*rGask<**C?Hd*JoLUy0kVJ`~ zCsVo4f0>*f0?3x%Oao+gDHP@$OBh7lQ%;PYSB>CV_1}7?G<3x0gs0iaaj#om^S?WK@$7m~ z84qS;ZlW|o)!NEBN0isE^^021YHtg{%Mo9H+scOQA4!oifF1cPr0xXDQ#oq*>JKi- zXOa$`7W`5+)W`ICtKY2|k5CEddkEBffq{F$!A&A3p+QNgtsqtrB86~0rznwPXtV@J z)d8@~RQhe*I=&{_x>S7P@;$d3ZRik=%cS;D)aE8R4S(@wLqxzGlIgdsa)iTJQLr^T z+ckcdd~e5v_(tb3s*5}wmKBXlQ2HoWOo=7okZRP7hv$+xP+`q7R)$d5w`f2 z948gQbQ2omLFzzji}P;?y97U`yf7uOhF%i-h_Hy?Yc>I7Xp^nICEU$TlIUK!I;c)% zhdU($xVCu-quhA9rLe5gc<I8B$Wu1e+^8CYP*?-q@BL!=d-^jg)EDA9jo`Z?C72Rzj{iO#l5@Y&r%erFYs zZ)7ZD2|;C1Cov>5@5+L53b^ZR%67u1Eau68y`2x6D@<4dc~IPI4lN2^xTFy8nhanQ zSPyMtVatQN`4Sta+-`VCxqG`I17(%tnnbb5DrrR9;ZFdH9(W>k675Gxat1kCE~G3+ zW#tH=QdpKj`kQ&0eDV(0frHx%&fV|1Ls0sKp=!=%3a}=%aA>}g1~axK_zcdU+mTG= z(z8rT=4K%*es3OBkIIjNsEHtV{e95~@rhq3pXMtp-*3dmHclH;m(>}9+WT2q4}zvZMZ*$ zMpi0=0c?mcB{b+-yQ3hEV{@5cVJZu7C0GcXrJesHtDLYQGb434&dA*PAm>EeVZr;_ zx8b=B#{g$^Y2LKF-0-M#q2>qB*zT-!3I*ip93B<5mh^9OC3JW& zF)TiSRlW-|!1_&qs`#9WVI}Mr9iobq61xgp^_%C~IB917r$_xU%lv%#gdkg$0R9tA zEE3>cg!h=oBFNQ?b9y6<;xmEiJ!^l105`}lXLk>3cM(dIhMHZ5);j$u0A<^ zOzr#=P56RIZP#PvEuo%8AA+Nd>@LU#(S0dAp{_1+$^dUR{$=*jW|Jq+QdlDlQN{lj zZ0u6C0VMQ~Zxubtj)CkN!uJ-&2J)>LNj6JlZKYV$NTng+3~`E%J2X`$!%tUA9x4?| zW(bx=v!^F`tv4pEM0tII;;Tj#|01Ib=Z;GSJ}I$5(hRH{E>d0OgY8BJZJWn-)eCVw zhcG-R8365rPyMBu#u}?D4q6b2Yj6-Zzg5ZZorm8MBRqz+uKdVR+7azCsm9DhI5h2OhYNSn#Oz|a_Fk(NU7=%tNq2r{z#u$c!EfrN zH&oe?7GVcvbDeF8A!6u)Xe$XzGye3M?F=_P-Xi*C@%nsT!$y%2yH|-}lkC&n8|!C| z%?Zmzi4Pf7xdUByv+<)Snb2sIr}B!(T+v7~OR-)JjuTNXI37K_=-AFNcg%s?M*XsE z*)SsVNXe;l(?p|Yj~hDf+gInFs#82yJInRvw;w$V_TTk&tE$=2U^P^_QszO#@C2cu zd3AlyyPEr@oYFg2KWWi|*sH9xW*lp%0Bc3y#No``MT!jB+kUR&uoEBr`|vjG^|VRr z6duHt(b5Y1hdD|h>U6anW z9-FBN|9t|fSnvqNZ_m$}dkPkp@>!Av?xCV(DZm-vS=Zb1zesEMn-9>NII#P7@@0&e zJHEy6fZF_o+EaC#asF4oJ?1T6cU-&Q*q~0Mmzdsg{)Ki}def4*+#nwQ>gbar9J*2+ z;88;!J#B8Ea2A(H!|_8;hfO&l54Q4N-QVl5$lFgh+N5k%>;s80l#t=;Ag9oz`h?L2 zhOEB}2^t!D6cCyhRrz4y^30HH!`B4`#Szbk_o*R|26N--F%*}P4Ou^ePNgPvv*DlO z_4Jp)>~`jMgr|nX7~wA;4Bm}d9OFlY=6RX3KsPPiD@ni#oZR*_MoqybzCFlYU}Tzl z21_sOtK8N{j=+5*-~n}wsse@A^I+h+BD$Lm5BYnSPX{=Bkg1=AGhU4|mR|FudqZbR zm=RI?j^)l`f1%O7rx=)>#m5GbpWxE}uK!=BxU+xwpQ_0(dxGW`ldaHdDbzR^*bH^a zx{5BSgBUx3Z;U3#`sbKcjQ#TchDvphWGb2XL6;Oz$F^>Dc}FBJCst5g)Lk?*O!BTN zaR=|y=sNgv$sXT9$?#fVa$QwjIO%?j3qDQk{VGvv8=wkzMjv{>j%bL1@Sx`Zb5Olm zb>#ayYMzm=RB(TrxLI+NJm8;b^RN4Wi4c+e4}c{rj3vXvtL8=u@$TE*R&E5Ob+jOa^l0SW`aDbT#t&670M?qdyevW*o%6e z+vK|5Wv#@_BT33Q z1JWNeucDuQp*yZv1O8<`_!nABx#e~ExK;Im=z!<8ZLRJ3DP6D#dD`3Zo|AX?8WzCp zS|6}Her(6lhw$PGcUQM9HadA&Ef2-A5^^V!?F2s-w)H~K%Vt%E5c*-o+BJX}VF8KjkQTGDNnkX6A39vN(K`0bdGZCJ9RozRR<{RC+EWRx+4za& z227py>7Jv0U3ABDdkgyJ%0|d$-6H)jH2sVKc*b$e>uHsnA|?0lc+??;3cl~hp6%uV zBVo!EFT!BXUoN*@Uw;NNx}w`c=koKQ3e|b>zyW?8b6b+(*FXFlPqrodWq4-vs6E_& zx(`VF7%%XI%|&qK0x#H&bYL$2QS?G6!y-3ULNcXxX~bE0=HZIV%5r7)vpTjEi)r?+ zopgbv-=&#^M1Wset=WX}1_u9Z_L1OITq0BRFBf^+Ik2e{$ijq!1IEf~qF{lmPe=+r zWrkXT3Xg_h^28%Q3C5fiLVvfy#K^_dfEt;OCG(C$Ew^_H< zVA)}109EY@|Jh*XUO`By40n!=tYxotgt#AOZl&oyKEyASfP8vsiaaR^&9VHWnWD^- zct*IlwfSTIF%-WrQ2A5$)9<^UGLyWRZ}LJkj8#D7LsvMSZYTcsxQHoCRe4y^)YwL} z!4D&QY#MAPrUn{jvF5kb7UTzn9`dVk6!uK#@Dy)q!|@&jiU}Q5*OCh}?jhufqQ?BB zcMoJCIMBbCZ1gfQz3`_s>9>netxk~ITI!FpmTc<}=-pF}#n^o{no*fq-oROM_&Xz+ z;^{k|CfUF5Cchj-AuU1(A}n($B&CijUa0XDJ$6>QTTkeVQ~|ytH27hGVxnit<|^&v zGRGl@6QYm3^P9rt?5%R+t9KGg?hND0(ws2FP$t=HJ^6ayHOg#_dS^Ya%=*WVR^I4p z@aXifTKrciO^gqdfDQG4rfd-3znVh;6Zs^Lt&WJpE{vp;HeHt^kx2wom5jbAOj&62 zHyX(;6axSyh~GUpHQTg4cSAW9tSD^<43{oB9s$N_2XVU)D!enA!o&?VJFv4~cG>T| zXD5}LRrfXtH0uqd?|T=y5Due=kR3ZkhlF@@67;>A6OcZwE}&#eIzD^{yqL|5c%tNS z=OY`~kb(u7c!s9jOt?*cm~&^M)Kh0>JVNFwz-9xSK!aZJK0!C^J&@tM$!;{WQC>6m zz4Pu6-|5~#q3H$wztB9SSL5{MsBm&sbT{6Z{BUOjEX~7sD^l^R8H+szt$Tw|!NX-r z_nE)f=Ukw&JT5=8u0in|^^8>Mm4EpLIB&52s|z@SH>Af9QfATc{&%+S-oBkBo@uPU z?#<%}R*yR$0D4LmWO4v2YELB&WyqbgkRCJrc1puWQcB?W9Up)K5{kpu*sJkz!_(zP z$9X4AF^TEhZO(u36rR%Fj{ywB*2WhhWWq=iX@f~iv%%5mzyIT$sI_JTYX)f` z+lpIFic<2A!)WIX+=NsqyM(-ChMX8-y{U|3H*z*OA)nqMexq?|egcR9!FJq74B`r! z21ym)BXu3FN!l!p>(+Y{Ee$A}=`D0p8eWp(19S`Yxmg=htg@v;o1`RVW*9{G-Ja## zIS=WosE!Brkogn+uPsTXG(CI3(z} zu0CQRzy#|cTrovg*uZUjIt~l;KYKea)>Ca%ySHK@&zH^eVoB=f=B+S&@x3I#lm{!u zVb1_GCjiZp^rSD_&jD-HNyY@d01sQWL34m@P~FpweEdzcUghdHapGoDBbxg@h>#1{rDO3wtzP`^;8&kF^>glGn` zd%^mn-w{BEK3{(}&RsTlaMk!oU7bVuQA$-5d=oT58KVSh7#7+xz&omtrTFHW(`Yl> zRATS*QlqWmt+gNnSI~@`H0K{K_dyE@!cslwSH%6=KQ*_Wy6W_DBa@OmOq8$~`ZrJT zl{A4w1uy%0wfae$^J{G>Bz;{keOKg~lo0bK3*-LAi4Qgt%LQtSjpE;a5s;2O5Ie>1 zw;nHb)vi0m%Jy--JA!hfXfwpfF*kNL4z?W!_ouckTReASOUN-xddl^fLUm9ji=R49 z1)Ah~Rr6r^1hA{*ymg5HuQ-#9uTb!ww!B{ zWJ;~{kOH=u$eDNURuA^s5x0eo4YYqJZmk--%T62z9jbw_N+{%x< ziSHHwc2Pwsf$B(IuWARHH+eE~AIN2UyOTkT;!>rYc<56mGza#^X;IuX(njrB z1FCXAUGtlp*j|=!=rHAG?R4WBy@g5T0ak2dHjTe*^plQx?{k7wc6ZTM(6-G7(L7SX zm04yw!4``(BXkjsx&Wv$EE=q^qYYYYVCL$2wR_7tWOC~)CMycsVR?U3u~G-NeLwQK zYtub>yW!q*V1o%<>rhEHOOQO{Y$DI~>hu=dM6Z1H8)LyokHM3SWisMfT2`>4{5mk# zo7V>e_K#QTt5_b>svl?puV5m%eSlYO+^;x4!=wu1575Ve;+yRS8zYHFcthZ2E2z@a z0ZNNZT1!azk)G?!HRS%zJvg&C-z`;eXiBXlXN7=Vu@cT86k!E5{G) zk5fMYDyyZ^pnXkIm1D7bOSp-3L5mn)S>&nD+AWma8fFF|QvChsA%=+ElhIh#kt=KM z&oT)yk*j|*3Eg^W5th&lXG{T{GNmr?`AYV_JX5!}aj!5!X74;%WMs$V&PF2aqjrqs z3uPB|hN!EZk|i<+(ZG@}0J^LgZVEU>nsGJ-ICY|c2PD`;uXMG_A}7IPVQQr#-%3>u zu%UfBBm>9|=pUx`eeAH^Cu7l^h&|n;8Qxna)@2~SrGJ=g75>AdeQqRC{Ce`Lm6*l& z3tu5c03q744t3byii7X~&!tbF^lM03(_NaoYfCO()Mje5z-HkANNE)6NPR4W2eKNpewqhk$!rwtJ#NP5ER$SS7(_lA&6U#X~BQsA8YYHKdR{iKkX z#FgPV6Q&btZSVnMK%JJe67k2JWGhOed2Q*JBypNkeoV#KQ|b);a{PolN)}j`KxcV4}oO;4q-XDv)+bP#fH%ai?s)GA0%8%zj_>W8L!#RU+l)!pb*%(X{W_GV&k2x=hXB%So*|HV8|W zF`ja5o9Eo3XDWu~qsY*@WE9Zth8Z#{;0Asg+z`#VZ?8w=M){FtSA0~yi)LtWqek7# zjgU|KSm|D)>XTKz#`59}&p~_J+=5H~R5Wj9#`i;vXq(iw3W+bA-)*t}3#5!qO%>0o z>mAcJ%~i9e{P?YqAsC`0_LGx&#>^JDEOEXU5_}9PtEBZQptkDECzhe+1Sx}YGC_G4 zgIzOZqEndA>7gco$I#}57lq|Na`Yg}_@G-JAzUYz{AW97!|Arus+l20)guQktk%Rh zk{YEhVH`^0y!rGEVdak4!ym`uN#{l~`Ptw*JIXrAh-2Q~sHUVG7TM6eVlXR;s6hd3 zQm>B9fV9f#ZT_N3$2Eu0NW?9&a#BL#c*2yQrP)1cowhYlOT;6d{B}FF({WiX5RG6< zLKo80N|I{f z$#6mLno5!+f3L~#KbmB%G6j-r6BT{=3oA+iVbtb;Q3;5@xjAST$uTu_j+M~Kc)5@& zzGK;!nPCPjyR9<@E}+jDLCFcyKw^AtOIPEBW|i6iD@7uRB=1&fPJ0*CY~u)1-|n~& zI-BDAc;nOOmUQ;odEko`zt==bl->FZJe8{EgzLLPy}>L|Da)K7doXx=$lRoc8>a)f zW!E8{?rDFXzhFERC`!n^Cc_A7Bmf&GKzvU0^&F$nkBb}x^DsU(KZ?(9x6)$Ry+6|} z1zpV<$)MhJ!_Wm|(_d%;4<(JhQW~Z4ew0RYI=nC9?^bD0{f7(~WzB<7nsWGg_{Xrz zMRiPD9XbB{m0GMrm%N!Vy-`9*k9mz;>K>vxFNFvtn?pEW5>}F?HYzl zSvG7daiS7^YuI>zv`ITXhptiwU8F{7F_S5j>20*HbXLQ$vSXRTUp?~-f z_@bY5!X{Vl0mXlPP#96Wm&H~>b7dteuxjz$z)dvbk8nS#|J#6eqxIW~tlcY})g$@M z`zamD^CPN4G#C%a`YsMG;M-G4H3hWOFB-t(pXo0Vh4pC8-SdRif`*3N*>~UP-{kHWxsr!q)XtLZMRX+^x#x;z=ddrTiqf)gO2`wx?012guK@l zJ~Mk6o*Fu8wDyfj@RW(?qcS?PRX@%sXp-f@@W=&-EaHKLp$dceg0y>x@g>&9Z`V@Z z3o9$jrb#XiQ2i7CRQ|6(fSiQcFi zlvf6l7fW12&iFa(eEy{#$Bu-@O^@^aYF1l47(2Oy~~TVX@03G!6n*{GiVS@%&zN z5ptD*7GOvEXJ@W(HRXt7B;B}yxPyS|3O1E4d%ZwOvH(@pD>yXgZN7hCmUfkLI2}3s zBe>?}xre5s>PRcs$ArCmJ=PUn2691X@Pq{^n;m3SMzT$3)N64nwv>cDvX&>nqI}R< z!|fs*cpU}ohUeEg*Gvn7RNb&bregjy{zb@wEXM`ZKQK2HXZGv|P5b#uv3CQDX`OMX zN%LtuEM!6D-(^JC;c2Rh!O`;0elVs&Vsu{=2ZeOF@fGjAO z{swuRl5oUX!_3vC4-fep1oNLo)w-jL{=1QiOl*ha=}~7-LJVwb9R_CH)~;G#(X^jI z+1sn7fj8OCdTo!wy&gz&)GpQ|_k>*nWNC4n0~D78a-0gTeludqe~A34btqfCYsZB& zY!vsx=;3>mUzgH@DJexh9KkmJn*7FBfS(hDd>B1$5>yq7x2p=u3L2ld!<*w{!wi>% z6)frby$sPwuPiz2W)rRYh-U>GEh8#6_*;oe`PdQq(@R~Vv=OW5sMi*h;wMlgk#E&~ ze)kmx?Ulr2{R2O%Foy3}7%O?_06M$gQ;Yo*9GrVgY{rOxSM?8^ts)4f&~aLj?gts$6uy2ihHY40d@ zMCbZ6c%300ebJc?ktOW41f{&5EkhpyIHle!So-Z;E6k|Qd@;>4v#sTM%`qHlJ_T8A zv>XjNz`9^)M}bgYk>CzTY-MDk93ca7?l~G%n*_o71oP@rLNHBbq^XnsV9vD4oH`!v z&OPlYEyN0=2^&-ariGX4VG1` zokT5m-hVmdh2hRan=oie&;OQ*ct~+?ECB7sH`Vq9^i#X}tyJoQ7b62l4UwuHfU^A+ zM?4I0B@=MvFzV;ilHI%~<3HrFKm&Z$id(Rwqa5MMZM)&~dbY6lE0{J2;!2j_8 zYYr*S@E_7~GoWY}=TTy#w_@d@C^4)Cl$d)>@VI$AvLpHv;LBFtqBGlWU(v}CtM+D^POX|Z( zFN~LrV%H2oz-o+aLhNkD75cApfw4A(sN0-nW3tDFvE9OFCf-31fg*M3A1yKO$=XVR zvE_zTo-GrR(7FR_5(-O_(A*fER8bZZzq_#kbhb;if?5HA@j(PYjx>IW<>zT$hx?j$@IVrdVzK8qs!fgXh;p zgg1$GTWO<)k(=l(2Vz6HX4?uw-8??m#^f-%(fzS|NvkJzBV$$E2z?S~O~U^lheuuG z>5FjtR`jP?r=P+M^x$&zi*D(Wm(0T$K&O5dMhcL}R5Yc|| zh3D!5!drdJQ_m^40C^Xc8g|FLTR#$m;}Htutq3Zo!`-8j!F!|6VlCtK7 z5OCMvVeTQ7t~IKWd9n=B+NHl8eN4IO9@HJKB8emt88o98Jmbs%t<22W^bP&SP=ZDa z@@1joUQHQRKJRJSyLI%=?EI&D0LkqcMK+XHa?NSftyc0sTDj}9;+(&;gB?rJK~OQ|V$tq&h)w~nio+R!a7uH46k=?r1Tt{1rjFRv} zAjN_=sI zuJmwZ=pYBJxCDA+IYP3KY>vq{)78{Nhv($^-V3$^O(A=sK z5W3?xE2jV;MJ)m7bE=X_fgcy41fMD!E^%u;y`36{jiGPP46vo)+KcSJ3ttK+@MKbs z=szK`V$tP-Y@i8IuqYefm@~zm&UFi1NFh!0!_mke(Bw?LXmTZB$J}IQ-!Y7HON(Xk z#-g_Lro|l;#)^J2U7odJ)aUwp_2=>f9`az>SbU_3gG5EFzOSszQ zpC_myY6(vQ9J~LDw;okT0OY&`)%27TLCSuGo>}UuY>A~JF0Rp>#N%BG3z;eKyp3k`TSisI!>gD=}eBBXyF76-M!*nL2QK1 zJbRVOcz(}d6hK;cTk$7Xn8gjDWAg~3_=hoEhjY21`6wfemmoy{NKMurd37u;M=uGr zHX6n}OhKWz+bIEOIP8LB`^x}?A#WNGPR;;!wV~$%A zWfY0z(<~7Q%IT>i0yuj`s#$8LN@zAYJ^gpP+nU}w!vQ{;P(icnMw%c-74cQ@c53jO z>+)z{*L4j%R(OU~7;j#>c5th=tzT)IG0W=lMQklXSk>Qq--k3@~XUT{i*&%ENAX8mTd5k<-v08qZDk%WTF@m6q z{bvCB3_=3wa9BP(S~Bl-$`kSikE4e4ZyiYi6vhM!!=2y0ex>EJll0pZ1AM(FGjGN( z(&*9|DFBKhlp9KO?@fTFY6n{Qnye9ks65@pMPk)0n4TaF2iPTcT}=J@=l5YtJ+yB0i2JFMtMydmnR4fj5?t|285v++!xSHM*n~ zSaePflQWB9eoy)@!!*#;-X8Uis6gFRSaxbibMjc(wy&QPjUp%}>$w`>t1GIAay_S7 z>V#ntj!>3jeC2-_Jac&j-7BZ~@KG*o@q@l@+E;9O;Ta7Z8W4vF!B`+2f83rAq|<`D zaI!8r(lbjItIv-oXN=egWe2Xebg2_GD)mk%5hzNenmU;`CXzv!O(vlND2ZPyRcg`Y zo(%#w2Ttqut)B1p*sN$C$|Pxrf`D0&cELYe%|6lCepX%HKP$pWeUbCywTg;&Goqx~ z1egFbmvS4bcHkd~$tqSmr%PE+J%TE*Pk%Owp+`Hg{y{tvg#g^(-#J8SxQ3DH{)v-K zxjAqeEx-gos0N-i1RcEDbr4b9ISrbVmaOt%4Gq0RZk$;J)}F{@T6%L9N`HZ`uF)vJ zB|UV3scj=}Fp9WuolVj($xX}`GuIq4wc?bgz0B1uZljHO%&kC(5j&Sp9gEo|lJ2;2 z_?~7tGP&dsPOhh!ij_Z~G>r;gIM9=2^A#=7iEhV^Q_iF&oTxuPR*I^?d={45 z=$hbomy?ao0;5m9%P%E+Ys^UEdB#0W7yZ%**{$w^E^kOlaaOM?8^7HFfBMKW$LJYmY=0Nzz zA(dk~$gU&w^O>1PMks+pg~P!E5hgxV?-{UdqAG9MEJQtHC#My`Pc``{QfCTT_3aOU zB@B$JeAf^>5nsT3TM{P zYAR`hj?jPeLGcZZIFA58{W~V9CkMZ(q{n9z=d}IqqAz1!+54)L*Xp$!wLLj>UG!Im zq9i)xirIb_VE6%Pm{j#{u)i$zF40-at+}!iK$&FvSXt-#Io2gPePUL9h11u!_xV%i7HYeV8czv)9G&2jNZ-!D7LgpL%sIFy$h5i{s`ft`z* ze)*O)Ej2UP;WID~CrC5awAUA^ezc)gv6f`b(DTk`CvDK|BNHlm#wf@osS%QwZE=zy z7AH+Hbx(9<{#|>G)!-;+nr3behxMGa1{4#ml%~cnF`8*I(Yll(#v&c<}^}|v@jm$-2qrgf}i%ZAXZa=a_!Mwyzf+L zT^=EIAOmcySf=|5F3%7Oi)|4`_)9H|L=_c7c?`2W14UC46-tIO?{J#wLli9zi!Px^ zZ}*pJ(VRv1k&l_Qg{1XVg|CuhmLr%l0zZBKWVX~;yI*#qZBU)Qy97}MCN<}5{+ZM~ z27T&`eLq4LkRXe~(x!c*pEsOcg|kfclfOJO|05aI{BMaJ5E&*=Z;A-zkpN z2y<4>iT7zM;!S4BE;J_j--=}A*O6)`3n;;rwG*BN$47fRynvUyoFdD0=BgPAn(mJg zR~S|U<}2RE!3xVE|J#IQ(u_wWqQpR`M|_RX8(Mn(U>_XD zNk`2UF~#3RoK2GI72R7|U;xQIkqvUw)ciFtBtE|9F>f<1SM#tI_?LgM0qKxuLlQ}& znhYc;hmco2gND6B!({7y;!hoh9#M>&c!-st$W?;-skMS&z^nWeq_}@xH)m{@~W=U2L}ebiW=^Fa-`%P(wNxHe0m>b ztm;YBn8H?;vM#GGTU=wG`T1f&unN>b54(;V9LdNP_GR!-pHP+1deShhApXpiwdz1F z@AnSRw<(!R-OYENJTCw$1qyaIp!~$M4dmX&18NbalX83?X;uw(DtUV2G`0GX2YI$m_IEp z_H33`3{@_h#2IPUmv09h)plfOt%bMjt;QZv$F!e)cJcd?uvQVmplbGn;y0pR63Zy+ zvCUT*!C9(cOJk6`rW9RPGl4Ka+(lSSzUbN4vzX4WuPyP-IFt7z4O;spP|`KgiX7t@ z)i^2UD<)A>!cm7N%9ZiGYv10WE^r#{^a~KK7-@WaY|Sw)=FS%Z+Ao+#xan>gm6&Yw zQ>=#eRR&u+cz=Eg*OH?F86BDEvgXXcoE=C}mpqzFdi7*WX3nJzpAQ0MJRwmZaXz~F z`Q(iu7xVNnhp5cjJ0h&;%(T)I@4*~v;`S_tA}zW3{A!X?^;eeR_jyIR(u)N}mI`3S z$rc?lf{jZO?lbuoZ<)W`Ms~8kpta9t_)5ZyI%DPs2lu=*vS~*$UcN4lm(*8soP|>t zDrw++IJ2YyPjOHbQ4R0p*rIW)qf@HwJ!qs*uO%W@WD5WA!Hr?YQ0()@h^hOT?-Oi2 zFXZyx^|JngWp#RWG#`ZB-)uA>ucX%OIWKVdAir%d(G|>xU+-NtOD8fwq0epwhqwN? zc5f^v1pOg_Q1U*H3THUM1{V>&`Cco0|4YQ>kvk_>5}e5~&$ext@pB3V(}fw^GvL%I z%bZbPGst&rU%UBf_2$byV#h(M`?ey1ESS!oP z6Vd5C$e4&v@cc=mi5KkmxFtU`oHNd_g)gxdM;{6{n?0s|Wec`sGZ~SWI;CL%exD=$ zTtR_Hd{Bd9Qbs?#<;OLPzMN1>h9(g*gOEN1tIy9?DM|gq(qOT@uBM5K@3|eC+=bK& z_{w=%EY;{sRGDW(q6<8~v)$ffS!;7Go6n8WCEoDO($*$_{P8?7@~JFBN8A-t>_Pv6 zyuh!Dl>d>+PiWP|=fU?w}$(CL-$@i{IILc<~#aY0-I z1UoXn{F_$^ZP1HnyGb&cUtp-307 zR|Iw-nt=hPZxMtHqq;yd+2v96QQsm^0!u9Z^3RDl`D86K6Su?#y3v`*pR^QvbOCil z*J%0EIb|N%BS!!l-r4HiLH_{WZTf!2Ue1JyPi%%UFFUO6{tb@oQ8c)DXHY`LD6sc* zSQ+2=3+`X`@SZ5ECA6!&KdCKGPI#SWO>R-k52b27XL2dlR$p2ynz$=177EBN;|bFw zD=u%lN$b_D??3PZSWFQnxPEJVr;y3I6OxKBMy@GX)76bC_tH^%lk%R9d&W0F|KvA8 ze&Z*VdRFd zTlZ8%kOOstV=82Y^~TC@gf*^z;Mo8!HJ-IpBuq@R5hu*$IoJI$E87YMoynKkq^;nD zxPPATxR-yS>ZuOTa6%KO)TpYyO_e|AoPuV6f&d?tE}ENUq_2X_*{T0IrIz{sqTWfA)Mvj;yE(h`K!#t&2QG}NPm7g{2t zm+F@ASqWNQy)PFO$_&9D^G!r*J6_QAT~-e0k5xjz^_n&d#sHQUUq%%o2y9@!FV$cF|DN)nNY}ie*T;xj?!xf{37& z26$xhD6`%FZSQ-AZTGZ7oPuGQ&Qi`w*GI&OcTCO6sjks49mXew^jTjwOkD>b7_P_N zpiGZ-EES_(8}4M`w7XG$aP=AlcgFkJoKaF~TgE+ zciEEtmt8mb?{>O(w(44b?}TjBEol);0h@?R7haCsc$6xRTj4X1*hE0Yqo;u!+CjR8 zGIC8{4z(`JRd(l#tTaK_(CW#y6yCLu%?xlrc{y_gG3~06hnn2E8dk%9Z|Iq_GGW9A z3$+y*exaB{r;5*NLcPUWX%Y+vwMh;P^HqTcOmffP2_P$aVhuIJGQE;GoiYlEbaN2i zq8qczXS%C8QS13mg(n2~mrpHfK`|q+F)hp-5H3(bWTLfWo+wUwyzevo)Sg4?Y8*=`-ZLIx6{@R)}ktM!7TRj#QfX#cOxFFA+>tUT!Tozxqx?U)>;X zhZ9EjI6H{-{Gj!N4%F8twXMwLT8&;9zN&GZ1eCtBG&h9CXE(U%RnJ3}c7>BvmOfQK zP+adxsLRgn_KpSI93uvcatP`tZ|7J3fm`!WxZfT-aL{y3UmbPt>Lua1cg4wZFmhS; zukDcsA6fAjM58bc_Ath&8zgrE@0%b;hMq|I50sWJ@Y*lNq@4en>@`=^iC1yW`P5Sd z{ELGd)+)|mH@p2-o}dsKZ(pU&yQuHnrC*- z_72xULoa!3n&@UxG*PkDeJ7AaJ168&q@yT{Hpz(2yEH3Uo!~8~64V777zo#Rb??gr ztBlSpn4?s2{u=1s%H2aH*hbzTz`~fJcf7AI0Ow}JS zqJqA)0F9(49YAyC7i<|_^DG>|IRRuL!3c|rP@x7r!irPhKIfH_XLuD!<=wjumgP`s z^>D*};Gsn?zbG>ut$Eo>ULzM&*mBq%zdT9$Fi|_5)AHF07dMvV_q&Nir*wCMo3p}_ zE`xd62f|Nf)cY@ zLLI}V7}9G52Wk5-TOClnh)|&wFezH0Um&-5+H1v%KtxezR4G2(fq;I=1Ur=D+pSg> z2C%@{bSfF-_hz}XSd%o8_5KE>7LY;*K^cF4IgsmkpHs>&ksRGLr{Et zumvg!>lKu>Q`=TFdCkRwr@-o$?xV>SHNd) zg29Dj9&t59i)IQe@yuHo;3dwW<_L@dN!aCPA+e)qI6OzuoIK5?9vvm#6c%>*!%ETu zi9%S9-3gr-!a}xQr5782z`oi_DE(9l59-!78l!MvK;)%gAHX-N%E?VfIDUptxxiq8 zI%{KYA4^RnwXJOwKRyC|`UQ1rhw1dJV6HCzD4$^%4D?Qyb#<`XZIgU?U*t{9>(9C5 zHGD$>nNmE8PM9z2U(~LiIq(6=8x6mrzuo@HzCUQ%6k@VL1Gn2^*pdEr_irqx zg4IL1oiIy}Yd{(6ebWh2dugCL(I~ruIz4B~#D;<=8)<3vuwDO_f?EOWF|4&+W^K7g zoNr*I!1ahdi)AmEBN7o356>2(oVt6Lg#HD8xw8h;q?_M)lw^s#$vom^AdUhgzUG#T z<>in#h|!jo*d%{6p_L|*Hhlt_NK9^UCTc)FPRcEW{wFn=*r@dHP(+26xmYOHOYp>K zY>`4a-oAZ{m5d36zOOX|#g*pSAG-rV-w_mWyXz!`?sYStMTa%%Zx4J@2SuRL%6*H) zk^``)haX`%X_7xii^UQWP({U3M&G5)aEoY(e0-amb*!Y98}Opn9(y-_FaZ<8Hf04y z4;Tj|0;J94A$Ia4YzWe?iGTqBV~5ZR^Z{M4bj21$ZVODJ&*qQw=V_p!RemCQu|>%E zV^=ko)6KdSmCVs+P&6cHdqprDC_?8dTMm19)@-K2aqbu(&yS31K0ZD`F^0fi#r`rw5rO`8_0mv3 zB8UwyH{ErXG#hZYng@oRMLBYB(mU+LZt^9Mp;uMJn(kNPB;-DL$^>IkKl9|hs`&;R zCkK&eX}X6~i{uRl)o~vwi2!q3zT8xpOf=geM&D8VIhXue@}!2CY8~oMO_4Qvni*jk z&G+t`LP!e+l;XLi(IhQ#Vuv9OMmd}l zL0@ZW{(cv1o$D&W`Lvr#tp;LomfpPWwVS_({33UA_{5V)ExQCO*RL;e?2*BQnh(xd z->a)sPbWeQ&R8L%&}WEPONMGD#%v9OIeo2Zl%sP=N#7ag=LC=;h&1IFkC@E=(N10} zGVox%qWgw;Ds8Wg_1?}Ns}wB>smbV@M;U{l9^!Z z*~Q0fmoG496E+x1yx2xG+f+9G*eB7w6eT#Y;gzg}vr2LTWyl?qPW1~G)L+s=HY8t| z(5oIgq=oV*Aux$oZmVeJCS3pb*a zGORz~nO`R+v2Y1Iq;>Ra8UF>VYkDs6bTzWZr-PO{!B6&lqZW)Hw2Gs7BsuAB02Adb3o7~7I-s#b(UbCW@0#;P_ z?o#R4FaSf@kEklff_dS@Pk!~Q^H1_ootk@5t-oNbzI&qELhk$|1*zR$4lP07B?xl* ztlzQbcWU@bDsF_G8y;DyJvggwAzq!)=vsj@-)D_OKCmP2KIU?!F?ted1!>Fqr`|14)VU(y$e#m}GF0h9ErbXV; zU@=6E=V$n-#dAMK+TVa+=Ec>fGT2~NbgcPn5xM$mMS^yxZRS&M=u0No&3UqBmXNZe zV{iVkE7h)q7C^?q4QO`K!23c%89W<+*iPan38U!GqO)#{4Q1P|T_Qm>=P>Z*RuSGhFVP zAi$KS7+H)9k8~*N465I)uf83$XoK65ffwB@?!e8@Kaqp3=~au5U2 zKZLi3I+j30gOp2IQ?LJt=YqKUyEmKKYft0L#c~|&?>LFoz2d8nJ83@!#H1tOnf4bm zxF6^PQ_Qv1Tv7Kxw}#(pa4)T6Z9i>Cq`l$?|LMsx_ zS$%%TqkBK;zTx9@0MQu;k$qYKDONUvUlU$4aMvRGZkX>rqC3~K!yx46_-S;BJkiUc zh&9jR^d_dyO4)0Lq8uY?FE)A&m|aL$oe4YZtHIBg1y4#VT9#)#0r;^K5N4?7|(QsrA|aA70Kw6t}#YC+xSG6#i~!4 z>=Ppg6~4LpOj$2b*Cx-GkMPST#I&F;-T*wjOTX|TEI@&T_)+3j^jFS*oI#T zRP(bfxUEz&aW!I)uZ3e^FQdRl*in9|-Bov9{rGWHebK;t;iz!Jw34-4hDJf9jN>e< z`KB;rub#BeA5cCooN&r$O5-Z1SQNeN35yERIMR~-!soZ8qRT06GBCN)?0#@rs48;P ze3^9u3R-6zJBu%jLFfijq@D$O0l)NdZe8s{8U4&QQJ(#CM%AA)QgJQ_i;z$T?2AYE zeK-@7<>|glcdqxEf@+Ud=lx;zV6Oem56(8;#5AXw-@e@k9|8{s^|?txo5Nx8%KVBA zr%d$0-o2U%34D^16jOIS(3!b15d=XDC+i;Es$S!njNY_NjN@v2z0)o*?p;Zti1<+z z11nK{=M8=xwbOIw=#)o)PVp~nOw4?21Q>;XmM{gUKy@4$YnTp1^mV;?RGg{xB=7Lz zxc1O160*d5N+I&Cf|;q$z;~(Xz${tUTBd}%^~G;?)`e?cQ3#gU+reB)JR!Z~tMpzm z?=WTI?@P6C4$T#;DsOdYHP1^$GA=M8qh|xBn$e5g=XD2{s8R{xWP}79w+bg>2OR0* zZd;qQI5HJdUs}geqo9l}@s^V$dJ86?7k?y~h8i+nT`!)qw0ByL-mp`Sl>PS2P*Ws} zch-oVwU_qi=jgJtG+pUh>57bR8qI|Vvz`4{IS2c;8_4Fxo%$ad-Gv!EnCty27{_;Nc*7wV*P_VDwL z7Ns-w8@!dw{JeAl(N!^LBo>I9MwE;$M(D8A?Sm4~I8e7pWVWU19~_y>p0h za`U*9`WUb^S?|I9oNc!>DT;644f7mz)pVy;CL=t_`Lxg~-Na|q#k0Jj{ktmLclJ?T zyUu}!EeEUvqmSlGtunyIMp}=^f5f1E!3P8LSgHhQpD)z0ot}Ak)xK$;;p(4LH3yOB2 zD70Eg+RxNpHf~`QY;!;^HGD*(m$WdckLgU^te=+2R3$EbtGR-}H4$0v@VMxlc|)h( z-oUn$Nv~GyirsZOygU(I`ZKv@GwYd3984}N1U^M}joH?^cC;!LGN;aQ$l5ludI!iM zX3_?P5ozJUxi}s2$?fSE=b=Gd& z0zo<0Wo_-`aEL==nx*rkP`i@)*KsR-rYt6MRR;^kUB*ySbuBF@vYD6OQt6qf?xpTT zPjwwNW1lH-uPadLK%F&e;e1B#V&yygvyZPuDUW~|A)Sjv_^h1~5EYaeA0jvFzyv4h z-p}DzgK*#cjT;RyW+BMGIGT`?0-@U4EF6wrb?a!ctt2cibJA;Zi>zLiQ3WKA1L z6aJZ6ko+FE?`==b373J}vsGiW5@pUmB%uPzo@tgYV*7K(PzUt7>OVpj%u+Kq=ED7^ zW?1`VZgrt(Y(^{+2HdQwTXtg&(Sb3Ez8S(Xa6psGX1B$yzVd4tYVG4&pX#Ej-;O!E zW9EIN@bilr&fEYDT+|VvM{zaJ;hS!s-*=_Cc8S)Z*ukhfN21*MBfY!{z_SG5IsY?F ziKC=B5frZMPe4zrYQ_Hw*i?JYaa=A*$bfz8PJ9v4(=2wDdFF3`no_WGqTIN4+vV#W zh4HGf@`Tu>04b7JMG{L`LY=lCc-1Rvt_GCG4EEb)CYHurv ze&1=TuD)Ek{P5}kjX%#rl84tIa+c+l!u@B~*Y&?f)Lq>O8cUwPxqF1=xwt@^1KIaZ zNhBQtV-I#+Tnr=M5p938aX;&Uj!fTk@k?zF(q5I-F><`Q*hp);bRg*{-y26jWZTYC0w744$)9TN0%Kp?>*V3WF-%iF;S+Bz zXMcXE+CL%lv#{&d@*-^%)zz`s^++k|_rZlc&fa7>6ZfUVzctUz)(h{?$jy8pahZLc z$wK`;=*aqEzPh%VF%IM1DfVNiV`lAXEH8V9@~4d8`X4FJ%qqKg9z!WhHsI3Nx|vAR zVsS=6I1f4IFW7F1PO?VXu_*{MnN&Vdw)}A`CB!bf*HdQ!wDGX!5bo6$cV(FyfizGo zikuJI)is)c5((UhIzhXe8bfPJqxYD{g*)!WZot?yp036mo2OZa?p!l*GJ0hqWS`I< zd9Caii%Qi|)-T6s&QF}<9d1u#--5TFj{-fy?r$GiqpTINP)#a;Qo24|(_B<*g<4W% z)l2z1RbMSX20B#mP*py|;SRkcshKJKGZUdez}P5X6!swmanS6cDsv;Ixd8d;E?(Yc z4`R($RdFsP295eDgo?jiKf0_N+#gnThMRC_Kxpt`c+8M69b~l!MnJ4(K8bog} zv1zm|B9oD*T|{)WUj)cr=9~;;sFU24OTGE*EcIMGL45)Id__u}+=_e{Rkbr5b#-=~ zU#hKIO}}5uWxqSa3VP|xJ!lC{kUz}FCmi5$53J=+o48@uo~3(#$+!JE*|3KtYlFN7 z@#lpd)Z#YH3mM0n)zQB7P{;7Cv>y*H57$%QE9+(DqTt{ypGeJbqKPO4#zhNh`a238sZI5u=hPy4|sf;{0GocfyZP35rH=v@d zmJxcOsHPO6JwB%hOF`OS{rslKrhjK%a7FGDdQx$drA|QK`Fhvj#|K$O2kS^x_uT=J zYYw<6?lC{ZfOu>hLfj4-s<=Nsb*a*Rs@d)xBY$z@A|EK2ED>JBw73VYA2s#P{*!{B z%BOyM3vTs9}m26laXj0xw^Uy9S!*RCtdALkvD#k8HS^9yLU9ZHgg zUDP=#xR(Fk#C5yz*mL*G+3s9sp(V}5bLCuOmOOu0BnoD3HEQ@G@BSQ|cry02!r&2S zacFF^;&o=*lY7={I4z3TVY>rARXcaXMk_hy?B1l*Emk&e8_14d;FKa~v2~}&$YjD9 zKze50b>-;H`6==X=EdnXL;DL>6g8@+RGzrfM$rE=PF1V?uBSK7FsbpdmF=7Rmc>jX zY^mQv)^Cx-pyvE$goS^>NNfb3=o{T$mP3A?G2}~}UUrFHs`37Of0QYtje^V`eCP1p z*)m2c3Br$SCxW&HZ|LUPX7%4(M$;os6~-n>hcVAjNPhibTfjwp0XF65i?e>UlE}uK zeJ=g;?x}LVbB*)yX9r4qqtlg_=Ghd9U}gijEi$BMUrwQvYUBzv}G#F+e(npsKQS*bz4FZL%K zZg{IrYWzglmMdo^`3FZWUx?ue!c71hC8I^PU>s`>9?prmHxEBiVF0y z9n&tNy9AlGBDsb$lwf$lrB7Gj!}`wvZ{i>#7>@S|2^=QyE)BLhB=FvD(5|+o+x4Zn zNdAZxSVmaGuK@lACD}ymOxk(1Pyd2_l8XT!EBa7>Q0_IP+1q*bq4qYHjfimzluU%! zDdL&rADx#It@87iM9OFAqO~I`oLNdM2utLg3sc^&8~3i|yJm-FoDbSHm!CI}|B-9C zPv_E=rJDa!3PFDuK3w1@(v+Jk&=13JzFsIQ9q~zB0lt@*0$&!_v=&O2`c&*HRGwN^ zR#+wyNcK3>LXS&*nZ;#+bN1V6cayaT)bT{pmcQ`o#pR*+I;LWtMJ?hNxiNt zR618B>Aq*%AzRmhyn$Mv^xWq)cezWv*2_;{>h5=N7Qm}gUcwR?RZLglKWBFZ5U%GE zH4_~ZO&2a4y2WSp+RD^FFY-!Xl(f~C(uv6krOGA7=O$Q@r1^l+H@~-NLBdvuEE6Xa z?`5@3xHiz*^sextl0I%!zETmBaB)p^bT-G(g{?t(UA{tg!}i_$b2Y{GN+yH|is+2H zY+MRN2#Ienm{oE<9^t3bb)u}!AW*WhQfMVObQBc!k1c{@v;2R-T00_`I3mp&tDA*8 z2|+XB(^7sIu|EMO>gj&L4n9~`zE6!!9zV-Bvyh+15JQG0vhB{`$Pw+1$(3G_5R2~| z>k!iEGe5g`UuoGjmG1j&Ix6Lk2zNo2R;Nts!czeXs$?T%2~v(&E9OKD+*cBh%tNmF zNlLT1k;|=9fwSd#?uBv(>}Cvu712d5j`uFk-_o6T_Y2@MsGIe^k-R|DWVQ9CZ0r%C z=ZC4KZtu|(hSC;N1pb+XI9v>Gaq>h8Y$Iv=-F*ayL5kK&#IB4#zD(}s{(|N7seC`& zTO-{Kjo8oYb)j1n8++D;k8kqTFG;^P*_PjY`0RT*qd-Q!0Ef>VvU*)MGh)t!Te$V5 z!z?YdwS}bbqnRm+&Ap=pYSLvs`g^-Q;0QVc^H8VK5^Ka=%-v}XU9BuHoae2!yd0;= zJonJB;nX{w7fYxyj_N_3qNZC~^YglOdgxCQ>Npa($Z{-5n3zDB01vP6L{E&F!1pPr*;_dhB_eo*7Ho81y-K zet}|MGuCITaOoh$+dz`)AA0#tTD$A6xw}h{LRzbA-VkWWwE*6^YkiE{7neG z=_vwlJ^h=t+pTh_BH5Lp376B4s!lhfOM{{mc>Jt$ORiLz%;RHlAJ-j-JwdH@?S7Go zm%L4TOT{2LKh=5laPzXa1 zVg+Yw(2b!>D=dJ+{%1F7S&>5U`W_yw8c%L=1TE}i~|{mnU0%vBVS+Sco*I5YguLa|8>NAtXxH0^eiCVveE`#OVc9#c!BR+~p z?P7)dqA3&HN|m)GLm4(6l0d-zovH3AoVo5VY(eXml(1_oSq&|(6)vW?5XxVa?)yRs zXS{McMkkd0nie#Pn4T^4VT?n4Ro#K4hVL4A1W-x;q@Yu_{7= z;u8q&qp*Q4Y>Emr2yf5*+)S+UE@JynY*ct*ij9_nLEf}cns-+^;pcix{aM8Vv-E%# z>yv|eEy-cm*s~f&60y+aJV=#GR7I=3L^=4Gc!CWcdAypGMBx}A{-O;kz~>ic{cRV8-4d(6m0(!8Zk)EcN(l}&)_*O0_rUA z_GC^Ac*8q4Rw`}Lm9`j5 zHiiB~*{J_@4LKW10zu}~-2876AaFkgkE-yWsp1CpC(1wxQ7qXo#QDV~{FN&I;V5%!h7411 zj1XyCLtDoPbD4SfW*f11Tb!5e|G=ignJ5iXm89ghVFcddOQjXM(x&t@e!(_t_bme1 zBdh-1WO*GbW-s+N$8jN6R3YX*UnIDA)$~bZidt$3*oS`c;dT$!#Gy5;@Ei8_ zaTpGMX6|Vnbn#1(Q$YwwA^@2gj$k_KB;<@kTTdZw66t0lHVT&i7r!FIeW}7-(0&UHDE@6mc6s$nL9CA~?uQd#{~lan|+^zO@drG-H^Q zG?xDb>;1Z#emY+6v%hLyNhD9*ZL5~kFUG#`a{hwt-8v{stn$wfi9JS^Ye~FMnfY7- zlt3a%Vf<3?mJS8m!?uDE=4f{h`~b#ZFs1s6APP&`C*)#z?_`R8!OHLdcDH>v1S+Zt zu;p43tf(GglRWk&3B0LN<`uvdzwYZ4pj)x&JXi&s0R~XQ5Ht>s?Lz&dI1H1cUsp5c z8rh7r%KWxDq!OjH1NfK@f_vLj*`rkq*;=g!T3^yYMP>e3(7ZE>bEm4nZ0}>0Rj7EAq6ugw=)nj zPrx%o=e_43j;Sg7kI8j^9pt%$BGRGyXPzG=REZji1jCxRKr-y|EYhJMzRwroSn8Rt zZATRd)dUq#`WbxYis=(1LF%-gE^22EsH6WFQGl?2KCuB*0kn4_B~-M~jIO*A%&rD= zlMT96Vv|mZxP|fY&OQkly!RTtB8bGco{o*=zjuZ$z%-)H{oqMdZX^8jZ{B_%l#)^U zqE3La^+WmG;f4!$U@j=A0SGz@`wR8M7CxYfE-CLN5M89Eh`RvFK=fBEpb*7(v1rf6 zFUJSq5yOEu4agown)eSYy5>Yz{mtL3`HCRmu8;V)znE7bUCJ-SSnQ$yj_)X48e_#2 zO=Gs{bYulcSIPrN(O94LYh+4E55TU>cV)>2{#py6a}U9?OPs9oY zl;h|iR{e-RD^YVlkodH#QTay=?! z{ewP`Amwgs8{j^m zsL!`)gYLp}gU6RO~?2LNwWi)m#~sq{_qFHy1QKJITHK+-z$02w!e2KW(Wb{{gzl#bj(#VTZVa)>Tgst97+&Z ze|+Izg z<3U=|YW{-V&^Bh~zHLCF&zwxO&%?_TxwGe`0=h0j+3lnGL`2B-Ztzf#=2$6!kS+e< z>qpD)Dn2$nYKp*{;k>Q3zklu{3($2@vfSs4rT(~oAf5#UIyRXC)6>69{|3!J$`|Sf zSwHT`D?tZb2Pf>c~AasWfam%Wm%r^~u_!s}RL zVglf){+mCnGG=>)R{R9=8n*ijN@M@RW>2{X^&cxuFU07OR%Dt*m;aTicM7&0L7E7K z8q!f|v68(m7*@;Fo>$^g&cmDeS32W(hw;pyq9Z5GwEb3d_09P7nbSu-TiF5}#HKj@ zNNon{zo1$yFMN;6j0U`&QDJ?ca0T>jEfH;8b}b}l`?fWplH!0WU@U3fB%f>E-ACx? zE4e6GRHT#!8yj_1{ReSq(5^tv*jBD68003E**`4S8xyNOam>yYh#k3r48<;`pW>@DebPU?&m{}Cc9HLEuw|eCO#@r$si*}dWa)~PaUG-raR}YN}3!8!#7=N4Ogg>?Tn?HmT7$_Y1d;Eo; znTeW@i4iYFM4!lFDp3xwjywm(*~3e$>Ak#wb%r!0Ue1fVY$9MhFOz#JO8f4L8F`Q8 zS%{0?TKS$W^2YE&>i%~#JUWto9(3i5OaG;oCwphYD>j)=#5Xs>j zOJ2ip>v5#lv3ks2EnK}0(=fb3ET&UIFbW_)ipPtlY%hFK3?QYCMj`nGCY<3<6-uSf z4sXqtHk>+CR0UHP4mq0fBk!Pgcu z-*Z1PNzj~zX~0K-n)@Y`snpp1`W=aYUodz!7_j|D1cs9EV{A~@2+W-bU;$9;eJKU= zDz*o^7gMEv!7!TXH{)g6(bd#CXFlkN@Pc_2LoZLIFJ$$z(TiY|%M_D=3(5&5FMc0k zA3R`U=L(!}FQ4FEKK)q@Q-8ktdbUk0Z;-_lvas;{&7un!1p|6C0@B(Bm~HrdgzX)H z>8R(WXAiXfBB{flast{WCoy|?JfNnKrMM7s#(Cy%mS3i~H+6;ue|j!5hblq{7Fn$!<~12+IL3Hcl>jnei*_oHddgcK0n z9*T|tfhwys(vtG}0t1*Eyb3@0Oa(&$;uw7)OIvO9tWhqvBYZ%Qp9X_#17;x&sF#$IPEd(fMGQgQ z0mc-+IYNa^FY`|!0Iih+?=y7G^1ojL!4n;#KY}+5!Q<(V6T#zjM==&br3EJ5}^U?ut97cd_ULTL^2lPi#vB*57$baVNg2-UZz#czSx z6*y-hGe<)wm@|_K>7{r~hi$I*axWa1G=aRTtxie7d@HnC0Y}nQ}Ios@_vGU?2r7fVo0eKxm)}O zIwV?FN&y}}P);)-CvI{D!n^v+JP0UVNo+MJEb;xq`Li0-LnNe6wBR&i;gPStJ+7^5 ziqSTh3I$b$j*f))U)QVwJ;+z$>_M*#?pB`DyqhD-#0*|$Ol$7|?pVjX53p@Ez3jVK z^(rWBvaIFI&hK2w+0lA@ANm0{34o1BIL4zb=>*~Sl=v;eC|LEjg{j2wX>N%YODNlM{7YD5$`CSY&AY}_ zuvqYxBUpF5y1Rj4oM0Ku*x;@6s4><-to`nre z+u~}+G+)sw(jh6+-c$I(c?r09FNnfHip2YIBImJurR0R)tj>;@6fJWmZ;liEzQ0g` z9ZW)bWP?2JMjK!L0HN~CK0@Wg9`&7C*s9<(MJORQM!hB!1I!yQu}1J?`KFga&2`-L z++BsbboTIGuyH7fwvVrd594<@!HK#9Rlx4&pPNL2DM4|-;P?K7__V?jzQ|3l_|pL%p-)bUDI~u}dOK@kg-zfZpSX-c`H{J(sGu>+I^#pk?|U54}IzhD&d>KA|1B%L-H3*6}0fMAIeL#AD?#>oDK|I=3{ zc#1+-Z2j96Uv}fw8r@O?AYhN{L5_}x{Mpjyq2&LKcQ68MZtwME?f=RBe%94w4g#i` z^4(eFd~+q~!i#xJaq=ZkYUA~rm)D{EbGwc)|EnOtAn>FL$24=-y8?o5+6d{VkF6xS%Hgnjh4=;syzFp|0wjAe1Byw?P~IxuQE4iqSZrN63uF zeZ5rDKEFHg|LcqSrF3v!37Hh3mZPcfXWg_jPL~KOareGx#Y^7{qy%{V$#Ujf@ZS|n z(S5l~YDe(z4H0ykKO-$keCbrQy?x1~UL?TJ5x*gHO!F44VjPmn=%vLIj?c%zV+v>% zQsC#h?SH-dEyFnJGI(3{yVbn-(i@UBgfk}(bA>RSd@Bkv=i`duQh6|OZSJjfL$DW$}nEkjEQj*opBD6G0QrK8U{SlLx z!<6w*H<3h5-0MSGkt|=7W{d)lNfSbya^Rkl0&Y%3K0aRfB1hFey?Nv>Sf9E0{LEp~ zEBU6XFxp$Jr^6{~J>`W6hmzY`L$sqQ1mr_^2H!i}>b46$qi^8JMe%)G0v*&LG(##J zjTeZc8H@xQ-UXP{T`4TMo6{v&*|TIh13#UaJUhN-+7&XA&4EXAufI(gnH zx2T2NtnFI$ez6LuprBp%^Q&pfO}3ew_M(Fs-Z~xwQAN$MxpxS7yJyJB*9XbRq@IV4 zS*uUE7b?OE_H&}rNGO$p7*F2Jqq8J<@bTcHLmj-9-SkK2HL#8(DN;a*a9U*QO&gK^ zTsYWEoD}JvL|sp25Fm1sndn8OVKH^pb*!h0$bR&;^{ zFRAAFgKLe(&Cv}`b!y-7Lvg=QhMBV}YZd!dbQC@dCeLoNJ$3(zZJ~5X?Qb;Tok_(d zx;ArS@z;g9_i>f^_|m+mY5C$F1;mh#qlF`sBeS=BxXLm|rTpG9)8YC_2FikLH5A2gT%rp!damF~Zp`&GV<|BsYn0uTi){CgG*}QhO!&|=P36H`W2sz1n=gAv)&+k7R#L$%^en>j?js!*0IB7A1 zZ>yK*7~g`*0qt5Pb0H+=o9Alf`5+$}8=2o$k7MgCqbW%|BZ(OXU9yi%en?WUQ-Vz^ zufq&@&R4~bGx_>l;5WXh78y}d%jo>6sX)d0>;|nbnL2A`J8SUzr#~qEkoRnT{v^8TISC=^Sw}^=Usp{(nz-u$ zH+-3`BWiI_(cExMOJNv_@Bgv&)&Ws9ZQSr8B`63;NViBV3ew%(DJ9ambV`Uc(v5U2 z-LXqccQ-7}3Ic+Pgp_^j;?ECBpoiVu*nNk3f+S}t4;Qwt_zWqD6$;44GzYz?HLiv7V*2=e2L zmTk_Tg&W&wS8|=&etWPp+^5pIZ)4l^nG>+)M*HS{-RFHdXR24Q{f)`@C8n#5!7nSVPsKzuQJjZoMysE z_t$VT+N0J*?d}%Fwtf?|q)@z_$3aN5i-SEb8$}*LUI;9hOi}-+VZJF!PJcSTnDAW$ z!$WEndcC(F%i_Pcdh}_kT{^!GAa^gRgl!#f2PA`OfCO7ROcFaEPYx(e#Ckx>bIUq! zsSetM3|Y4fMlJE=#2IOW`GYqufa6~#HB}6ALNe-J zMoB7|2^x1nWFWY#?0k>73(=ozJbwhigvsdykhCSQ6Z4NR=@r4Z(f>oXtW}4q4Ud&7^OOM?bch z;hjXQwV>Yoio4fTA$5>hf$uo#-lL-*WL2(Gm+oiDY{WV4J#Ff~Tet`9)zw7bD|a{^ zq5os%0?pCL@E5G=5NCEFCA>SW*~?SvqrkU-hv&f(E1WBu4~l*|XGlhl43lbf{)75Z zCbg!7R02mBL0V%MPABga2Yt3Z3__z`?VK8i_z7Go=z)1x1iLRrapwGtU(<-g(t2s` zf62Sk*{XYRP>OwcPXOEu^oqkO-J{m!#d~Pv-tn6o z0V57T|M9WmfoHt7Mf&ot;p_Br*w;64WPO zRh_{=LHE|oz0znn5oxOLgd2x%mb~@QU(gsTMw4_rfs8VJ%3hv^*p$bA-}aI=!0V=O zB$ac<&yXxk)y`Hy88RNtvOb%-knNz>kd+|Pew$4GbXc4~VP36DmbxkK{+0JU)dm(Z z5RjUOsJzcJbsa{A2btgB+cLCn|K8(ZB7A+eUN_PIE$E;!ss zip|gkJsAWcyI31kp5M}3b*)dNQ;5q+cc%(1ekWqVaj8;L+M(y zI4YQIWU}6TNsdllGX#wle#CH3WT2xkAFDF4)`k|1U)v2X9jG8q(B-b2Elb@32&B4< z@iC9&%}(4Dn?@V!_NvZUaA;i~G-fn*(039o-PfG&Sx9CpT-74b1zEu>V{sp!}ApaAwZdA4E*%;TM(y?Z4U08CG z;flp@aR@x9XVB(`m$)YHk?6Wy?bXQ3(WxDjRsc$K?}_y8%JXb*K)~mQp?PUPUQse> zlGf~hvX`CRph)7_AaE}E>#gK{8vP+Xmcu1)-N(pWz*?pttbo1Z)%nm*b4BirD*NXnqmnsfP;*(Y2rK3~Xs* ziABF=DOvWE-E?3wfslEzpu}ih{>BsJ6ZOic!g{RqMlIiLH`9{Oe$vZmBvmCkm@wop zQC+fn8XX!+65MJX1~Q&PMi~;3+w1y9@nDs&kZl|#DV%zAR>g>@MIc?$^*G9q!1>C0!k-FSpby8-iEYQ@! zD?5m&n-U@n!ICE+9F(XN45qnjv6&_KtB)de42B6YjN9hsO$W((L#CyR)R@M&$X^TX+Dv2u&FP_|c9Zdv#lxH*Ub z&LjSkM`9}6il(EQ8G>Ftn)m_`om7I2s6{UzDN}Qdx#w4`&dKJ&$5v=H;`ip8^vZzf zYCq|#Zb~HzDOCi+cJ3wlX8Ig7wpN{0aTe$zMx(mtp(zMgP{{?~RhENC>qvR^V%$dX zlZEO}zY-Mg1!oFR@0HVc<8j_e!e+wj^Xz3QA`LZ_4n3EjBJHT{*#nU{kG?-+BI6%> zyf+XZJIx(DP>yRyek*sIg&UAN3bxgQz+Yg;CSGQ_+>T^fE!(RIXFccJV!n`Px6n2` z6n*>+=TVFtkBU|`RultYnryu-Io{!qU+?0ZQLek(FXv&iMnR7pdi0wiAt3J=W{^pv zxxZF%=T!x8Y&brH-k2|2136Do@&tEy)oN<8`W#uumZ6TJeu@EP6#Wi^=vKAB_5z@K zB$8Ew+!aMZM0MZ&#A7bDS{NBgQ_PzEr}{l}?RY z%Wq{@hSUNR*-I6+O1V2XL`xT@wSpn3XV=&-f^f%|4PLs$Ri{Z%?=dFt@++wCovVwq zXsW?B2Y*E<5~W!Z+U|uEqgh_AD}{EVuej3O^RXi9gT=`?2&DqsM-|Hp^E#aW1&7St zf^QKPAb%H2I~=r^S=a-ff0!v}XU1s`fKoG^3LqduxSEig^z_++=+OmDlO%NCZprsG z)5n5^4B6}MkE^*^9SA>#C&lZ9zUi+@*LVG#ap3nH1_F!$Jw^aOG|n(q5vsmauXrl_ z$~8F>2zaA1I34Iz2JRMpw0E6Kw5rvhrMhg%x$M9uKEv6f4+_7Jt$wW90n6}^OhPzX zeV8pEdAuqesz&q^3l|MTJI*DW;p}&dry<=G+?w$C~Q4 zrV+tF_hVXreYSAL>ju0Rhy6b0iMR96w~SN(ro#f@oQKeV8yB&5C|ABHx&%D~UzLJwUaCfvovskl+z z6s`+Q%)S_hHC(-}duUyG-))9Qx~5iXn~v2{F`hKJ#H66NNegt-{le!U@+8VSfdko6 zFSBbmWK4I*xn&jLJm_vQ2Zg@?qL<@Glu>qB30MD1!Tnzf@+;3YBQ@oj?GrSxhJXY| zuick>RG_`hoxR1{w>RMCw=A2R3)JoR{G;Slz1fq<$K|oMm2eXCU$=zkD}3VD*X2(0 zmZ^V1#{PhqTz_AY#n_2GB{FfQQ3$-XByV=XKq)HKkiCiAl_JGA@mrM+uuv*&Nk8z8 z*Z3JS7rHXQox^ao#{+-&aHpbgrRD+Nh;iVsXNeil>%nK8`)1jKzs9+|?DUODu?iT+ zagwttfoG|_JNNugI_U0$DvZDPf4M95=AJ*^3d_91ng_5dse4GvInEjG^>Qw3OlR#s z8B49>d*jYAL3wROCPFf%XXPx$CJ839Q8|5RIkH6YLLhB7=J(5J6C}?<(WbC3xQ0Zp za#vW#1@8WO4l@Lp2J_DU7gD!Td=nUw&-}U%vUoYhtbl+|U9+dxt!)Or3f1R2{s(d6 zAH;9nL*>jXc#3!=L9QlF1)LM2{A;bzi zPaZWHxfbp4R{)*Q@ODZxa*S(ACE1~1Z=P|D1)RGXZ}^10Q}J6Etrka_EJEd!=&o6i zD@DNBIJfY_g|pv%MOo^7oE6}tUZ@lHK2KaWTW0LAH-T(bX{3%BuBG6!r-N?Wg9^jC zz+$Q6)8^Unwr@|!!dnNk^oe9@KO#igS#|XoGT1$ zY@8fQC(u9jO9m-6#%Hr~->xJ^c7P>=W13UTH|0@Ry;ET90ETgVjXN+M6=f$jK8gz^Eha);C%SNJ3cB*pep6I7ioUW|Kz?l&mxg)FdAjfKj#M9 z5s19X@w4$KP|_VU=w_4DRIEtabXL#M%niov>gGrJip%9opH`g-3w{+^l&Z(vy;ZM*6_is?Oud2>!HHd&EzJ;7?*&bxno#Y%)d2V!QTF8#mHaMKHtG`M4_S%OVUQ?W8()7kldFuB)*UlKAcbpv%OI)KimvC>INf7Cmj|G^AsnJD|2 zUCwRX^39Hf)(NsBqm?QUOT03m{?7GW;1<*tS@nN{8V3{1vf1Ym(=ivb7B(3gTF!o< z{e%JtMRf8_efQT7$%>-umFD{FTl^q0YB>vycY{xsM$ikBOel2uWQD0Z*qXh5ziqc{ zObi&KAbXGagP}b=Ek7qO9E$2!peKWCgpm^JI;VT_b_6E>IpMGIz{3W&(v6e74NJ_Tw=!`qK5~FP5m=J(2KjL zuer`yZU7@^!MqiF&Crwa`G0U1Xo2MdP>j1}XvO$EV^}hc9ms#Rt8aYUbhFz3yXl2! zIL9SU;8>$Xj|b&jV<5gXz#O|<*nUTd4g5K8l!WM9)U_I_StM-S7r7kH@g`i+R51&X zOfJf<-FGWXB;b9;K-bU*nGFwoQ?li^6wp!!8HhvFi>iMusfEB4EB3P+knlHQD0Z*k zRv;-Cd<;)g^9)8~zCuIV8u$aZI(CoT2Yu>}n=hXCHl=1Hvr--NiJ% zDfK}f4n!C@i3Cp11DAPX{7)!RU-PUCd=a58TFWyjXXeEUJ?Nq-;YGt;h+>b`F%S9a zl~H%rA@vd8fpOriM&O#h;os1I1<(0mD3#(J7QMKJqIUp6*}Tf>Xdt&Vm=(ocYc4fb z7(0-XGS~x^;$U?DdQ_t>{2Opr(f?^zq;Y%2tH5P4^OKc58WV7-GLV#jDv06iWWJr} zYroBB^Dw0^&k|J_WKnez z!msir&4j^&`Hb#6aMPAj%zw3<-{`e^G+l?CxZy?r%J=T6ZZibAosd~YAG@!0C9`N5 zct^=4a@#TanL^^Ur5d2F={&YTQqZa@+k^Ca*T#7Te12^M=KMo(K zhME&Gb$*wL4SJk1e;o8E52wEn_=hKVD`{g>rmWKqs~U^y`;BO7oW{K-RecwkKvOud zx!UsW%}6o}xUJt@K~3|Bag^jy9@E0DU~I!uXUNV=I*q^_cc4G{VTrU%`jP;WGVlA{ zN_zCupf0>NTDv$$$Y>I*~qE~W*e$d+#=iplJ^bcSjFQ>^OIR$TI%T>rH; z|77FEeE#*Y*v^Qd_ZzDL`rU8Qb~dwPR{gLI$uJcBod#<6Mk$DbgaqC8$CO1&oJONs(BcCz%Esjz$C-;AFitm4yKb<0RU+ezJVs7UhHIyXoH`^S- zCmWSxWG(V=+(2-xgT|CRdguVX^j4Tt&wpj}^4ot)|MfoHft#U)xY&$mJEDi38fuF! z|6K^bQh;0}BlxtKG3CzgTinrqaA`XB4ZAbx`&FyLffp)@B~3G6zgH{&!4Y(nxm(Em z@<8&thwak36CDO^(@L_yKP|J0O3Y#>Zcj#gZd}jRe|ppVP|~>>+YPMJ59uq!NbwIT zA)+71Bl{Ot>?v!dl_{`g?P{SM?Fjy;5&j%7yZHW(#DjdE{q`^(t;PADq{+_$uZCQ) zonlEvTBdwy(_x<1&j3BVv<@M+Y8wKkCng*36?&b}8~u{yw)YheA>_d6NAi8q&yGSw z$^owm57by>V^`_bd$E9L??D1cNmqYdW>vRlS0RIH&5RR@XY9>xqGEO{7FfeE>}eJN z-wX^ZNY)h7G}8bwmDJsOQjJu;EU$_CW zgsQ|ka+JE%F8oWSAqV>@I5xayD->`zY(*jI#BxPn4EQM^T@se;x!lzEBGbiRu>>!G zxDNuEXNn7Wwx;iqToC3eh_=WCzZc2UJRPFhuC&~~ZT&OQI{1HE4+oZ53>TW)w?Z@B z{}Ecr)ZP5SY)eXR=>ONK?mvyjh9nXW^p?aY^zxF&GxnCW-?~8XX%Ko({brhr0qbNx zr!Xj{F(bC+o9RTNaHMy%Vq#1^5IR>XPT(_`XRXyxc3)e6kvvG~80cIBQCT)3BuZNE zBAm?_0b}Vjrt|MKKDhp%#z?(NFWY_bA@&C+n_DdqP6d6!*HMTMawzZO6#!eCCV_9% zMw%ya?pby`{a@Q7M3jSg36@hCKtDG}nMb{brf$Fa$OoOpuq z``ebG+%EId}M?Xu#o|ux`sfEyFGVpFOUM?80{He zH9CV!69@=y9eCq~b|5eJGg&KRe!tm|Rc^XqzykSxSkQrQmz(LUDq69qssH7)G1_$3 z3VCP=9rtkFJX_PU7)LS{v>bg}PD3kAhfM_^f%s)5W17e0Cjz|~Nw1#88i9F# zH>Yi~ykfelCjhj!E}lJKtak)AR~OPQ0NSU8?z#8FEs%C3Uk!jyz6;ZMUjuds8)89O zests|AwTROrQtQ+%k}sd@-Dy@%WdWtiUhC6$fS(}LACv|{qw#RbO2hx@-K8%^O()m z2p>jE+=m@*Vqo!BKtPR8xlWIt7r{YUMfS*$ryRPqKC)KY^oHsvWMau-V z&24@f$@B9uKN5}a((p1Re&g}kg8r)R=u*4sTtlylHwv5@*Uwh&JJyV2L`6e}?UJaYM!-om( zx<2#TU{!Zauhn$5p?AU^rLp6mI~OV=e&0Z)Zh>jHh+@j}vR|`_)U}+zZXn2}YddB3bf^Qn=-Hqv{Mi>l~l+N+~AuHj(tXQCk(*!Y~2z``3=nOpfD22)0`012~JS>fkc+my` z(33lvKuCCMA49awGi(C8ltYtg_)nAk>Ti3=YUoBY!h?DGuG;dh`Xnl*cwYT%0wK1l z+dZday8Na_(~q9M^fc)m?tjffI+SnPmUBQ}ykhQ%kDt9977Y`Hpt>7B(6j^>J-D|n zHwfsZ?#Y2AT2J>lDLVA=lb8L{&)|T({P91P?YzzB_m0qmJQ9;F8!%9Z^w^pCzs8^6 za3w196kjdK{{c}`|K4(|Ss_5wYL>gM=Wpt;Hl}CL#C*tMrR|KrDg^#L-H1GDowA1D zwAG3hPjkM_F@Cf;fzbB+n=xnoE@RFc*@)&!J@U@jNVo+1fRZ$+g<4S<$5-C!_slB`$M#^$e(s*&v!ucVDp;)%BH&a zp?oZ?l8X6SqQ6F%Eda&Y#=RF4S6J)cR5|+AHYBEk&$h%nehESOeSNm4%a@e)U+%IZg~cN3e;kWe7v&zG#z;kM${75Yn$UwNp6}q_x}DOAYb0_ z?y%+Cuar-x(FV6eg~`WV#`SKB@&> z+NIT-uGku!k}PJ~=&gEI>A@`_pUd9j8At)L2z&$lm-o-Lj}OV~i~wEB53J)1FQ*fc zsAn@-m;NrPc8DQb9nCGaAy*IykaJgIE>;6G$Hbt8ew)$%-fv`U zz@w-52}}=(d{zm^M+faji8?BX(U%{^B^d6^0^Kw`cVBBaA4{nGT;(eF%t2O@ML{+0 z5kgsn0v0^dR z6qof&aiRG27AIfNlR1q4J0Fli-K5k*AMaNQ`tpDXY2YMW8xv~{qd%a{{Q-JLF9 zA3u*2Y{zg!z1_XA6RxBay|mxGUU|1T@2Cga6$nIBk+&u{dma5Uz#+)N;Z|xWVs#{J zFa|&0#|eq8;PL%2NVQBU*g@PQRU8D?WM=+=_zWf)QM?SYd3D)iH9_(Iu!vjGW$qmo zTigo$Y&`!*2vbRb&ZPZkuZh^7Q|fTA;V*gf&`pBommgE6--e?@FvfMGegQ}1Ik~2T zmDFOKkyVE_C%r4KQ(B5wMfGpO7K~J)JN7eF4{7@Kv(!~5>rax2%+6!|?!R-Jw+CGw zlup4{G;MAQpNwdhF$)p`{@wq$)t1@nd z&M=uHLaDeUz|flJ^Bb$qnYW+ezmjG4_BqpEW%#{VyUBM!44OCSZD%6O_bFRLtYn+s zR()zX&3{3yeC`>OtQT0h`oJV2@?oQb27SYYqV(^?;POC{iym|2k3q_7yA?Et^)I$) zo^;`sEF;f!Rd*l0Ha1p@pWSo$yh!0aw=CRh{jJ+4xbgnaRCb{v4GJ+O3r5+6<6;s_2HXL{-*e8%yC>L5oIn1K`XB8El%hZ>Zo6@ zot+i*nEDpNYh#JO%V}uC#=sX~2%*(e`?g`Fyg$FxSg=Ydqp64y@d8Pe?=mxJqnzTk z%g;h933sa47w(yJzbURHvKGlW`bNo8?>@9zY|Zr9Fr2RJcO|GW)cICvwi9re*KsXP)0VxeYh8$W99{>MEV(w&pOo(pq;=>K z`Sm8k%-pkIa`h}&HEYbks)-{-Pzy!{`cLkjq_asQD_@osmH4zoX&E~{4Yy)4Pp zC|{!sr4T68R4+ZJ97|O2svq=-vy#nPllbx*G^ahlIvi*3L!@+Pl?Q!f92 zSiU~%yHIXWJ@g_xekIS+{mIa_o@I6NjB^*t)~FOf>L8IY<$d2PGq2H8Urml5^nQI^ z|3jF*$AY~>FyAnnRPWoO=agnp|71`ciwnwrsf=I?Zm;1ih5^)uHWUd4GoR3Ixr8pP z+KAI2?_s|4)5{mkYR@ke50gG7Am6{PpDbXJYT!~mU^)R&glHXc!C?2QSkgvx`Hb{R_Iqj0Yq zrups$dGT_z4fNded%ZUEYWZ^Mi_Flp5sS|<5b->=+MMMRPX5qqB*Xh%4YhJtctcV+ z-j^sW@Trtlo{UyRxSePNmWDUq<77Xh8~40o@8Yj#**lQ_jH2?2FQdXzF+t%9@9m5E z8KotkP+nLU0rjw$yZW-K+xpm4XDqclLM3LXpTM#LmzK4Y&MV~xZq%(Qwt0e$K6+0d z$IVbegi1=(tQFaI&)Ofxn0(^LqVb;1@H5D2FD%-S%zwcEGs4daAyplf)Vs-5NE{mH z*Y*GPtrF+un5abq?@I@+Rc`Hf3MTsuzAcl44=nhHSdbj=*Hb=NrN>*;pR?Z9E8!+h z8_Hd{=RPAIc1_S8G(+uhbFD72qr@b%a|k+j8S9L1VLjt&9@Ck5`rab$IO{!;#j(~~ z^S}h?n_aYDKU9}sAa`nuGir+}<`6g3+q$w?Yn zj1QGcSMbg>Q@rl?#DbzxzYf);C{ut?Eb}l7mAL2@MU! z8HxiDiV8;!j7D9cSf+24+AMLOV@6g`<}=C)L-n)sw`4~uYjV{zGZWeZfFUz2TndLU z`UKng$a*)t-xs0(JY6h1bvXL48o_QxG`kgWqH&xZ zMA|2sj2BpOtM|y)mQQhYibpAuSffX4H0HjaoOR3eYay)^?Tg986+DhzU5}zAxaa2C zfuvJ};r9Ij;4#=b<8I+Kbb@BR>|?pQ9(Gj=?q+n25>36W>Y7X5W}38Zg3q~cXL<_} zi@85=mPOK&n=OS$L7pQPwB|dO=c)v6CU^3##9q~eH2+|{%SxGXNC(~ z?J{o4y|B%zgtK})z$fr=tiX&0VXL6oI^%psMc$kIKIz^dO66pNgiK^I5+#;@8j=UE zWVEMTYyxr$Nl8nK0dp_G{)gHGp?lm9!b;LUwYin$6`@~HrjB#{&TZlG-@Y&LKzh-4 zR!1Vt)A@bO@8%DS3679owo&z;$SK*dG* zh@9yKo?R9=Eikc|;p1Wi2Xb5)rwk%7Ud9D-=Od1Id31U|ne=}wFHrb|HxfY&?y@j9 z;}?1ldgD0-&vbCh3iIuWs@Ihv`V|U{6$f0RjVRl$YJ3SK}N~Mk_88@8?J!;q-h?;ub!lqby3fMfNr7K0jP82eN@zr=#Fhyq`l(eIA@;)^~*O8 z0G55-pGZlO382nz1zEn)zRx4pS5Zy3IXqSoDL#;QikXO&{mkI-Ba$d7zLcO{_@Tc6 zecU0Ep6q9%daDXTb(6hU$%3Rq{kdC*iEJ!T8;2D1-jZo>W9K!aH+$XhoMLA^yIF(Y zTl~4HrC1Q)aSK&7#kZOi9qUch|FN>rps14>sIJ-1JbJmFAcsn&661c18M) z*6M%^vIZ2xwrowOeKT_#FrdH-OEH?C0tn2g0=xi$y%D0*yU(;*pxq9FkzRd}*@o2N z+AH-Z&szj>;lX0|3xy zmY2-7C3$!54lt$#02CKW$i)zr;nD=R>XCEqlSefgDO`uBBDXY;x2uZ9Y2vfx)ljJl z$oOYC@7xhvY#KF!dwkAW29lsHFcSj?*b2t9nZow<6<2;-sS`ePksmO{kM^{B2k==_ zR^Iyy6`ke(q$SuKNWLlJ6>JgCK5sFRI%#$K`ImQAq zn>CY`K)~bct7!Q~v`^~Ht8N`km5(b93}>)P*TQsEkA6@cCuIEiQ%miwL%$Qih3%6z z4C!e}a?xNF9{ogYUJVoZodz0aekX>Ukqr;j{^;8)%>TK9>)$J2lZ2T~j}d#{1yPC{ zSsk`yCYl1b!D7&4X4eb8r0W)?5FtWwdRpr{7g$ytc2p+e0o%%AMXCS2fcWkL%61tp z_71ThzAC@>@SW3kFZB*9Z@?~DeY0f`*uc9Tdhobuqx~N@B_9Ym6QfXjsM3EnsJFgD z)7@m3mPFr`G9TVMo&83=d=2LqWPS2^fGT}zzOuDhP-YD^s_|aBIdtMSDtnxS-}TU< zLh{5sPUXp1GaZgqreW32MY%J|NzE&n3jRh=Ms)^z_w={4BF@#)rgU94;@EtsUPoYg$O0b$qi}{VmfNY=Rb}%R#=8L zR&mafc~7SbCPv7PKs5-D4Qb++5NjC8g;P` z%$7no+xyOec+HazH#m(ER4F@qHaqXVHo{8tAvYsyY(*#fi`79GxaA>Rg4pn0SbAZvEgxCvF{%`))N_hJCS@3}XJJ zo!Vkm*lY^i915)rL%FY?{yCY}@4JGNkB{Bjkk04prxJUM&@UsbAG8Iy+y%KZ!ODy( z45bsGALBwcu%(KRt|lFa<#{_eNm%xMx?D_UjdBoi8UkE7c>(6!cAjDzuHkf(VXO)N zry(s#*G=r0sKuY0H0}>hxNWO7k#E%sKK~SVp$`U^n|FyatE}sMcSxD;ZVa!~m10-a zPZ^<+dDTr=q#?9H=%#H||RhY0CV9|rio33-c z&gO}1HRhp}(hBNB49LXug((rL!wk29RofTg2U>E$YldPw=jsKWo#0v;lkN3j=bx2fQ#!EPiKId9f{z%fgn9xSO@T(nOqG#WXNx4HJW%ZJ#Q z%@VYMMUkxU)xN^3)@64i^Om&ftjK$kb#!fuvNT67o1~XXu9-2v3zWC|UAAxsKdKeQ zlVzgtcW5P?}0!YkQdz=AnFnAQ`>p2 zG!G54i6<$DU;)lYbJ;foj^F2u-WuT1dgt&&6{x~W-DFpc81lqsn@EPF`Be3?w`+&S z1wBr`ol92YPW}P)I5=Ji=A19izH2BiI7iQ(-!;b>@1x9YdB*)AV8v6Z7}*=Mm9*es zJ=~>EC6YtdWA{ZAyVRZ`|F;=Ov+Ub^C7pSl__1mA;D?#JwexO8PZV0n+R%4xgj;kC z94%xGl+yf2w3+8RBLmkRAOV`$A|i1d1=j5?y2cO-S)@#LHQ@9KSY3Wq zk<#nL@`U|d8PwwUV>Q^W0|V0-+;*GU2$-eEre@6t4!x&TT3eWhu@v+ZImc7qMKuSg}x+_xe zlOwY)29T5ukoY#Ov9_|bnx$yUzCk}+SrhPpd+n++Om?#Niv~uO?(9h2vb)>VrTwc} z1Mtuo-@fLwM4;0ie;3x4Yc%lJ^X&F@pXKAa7u@yw*$CWY1&P_ldvPcGMICrh;v_eice zRasYUSpN}Lqzjr!Cy2iRMMc8LUyY;mpp0?xkY!&-z+O)aQMpvfx38i9FBS2AH-v+% z$N3h<&$fyfB0X^F80R@dA=s8aoRojK4cIOPb_c^FW39KMFai?aecYs&_py*)iH(?H zrk|9NJaQvMhC65AGMn8<`X=sUA}Jf6PQU)5c;+zIn;+aLWZF`yR=`EL75dQ4MYT$l z=CHKf_US9g6T&ErrTWq)%^6bX*+STILZ*Ok*HBxY44`D^xz{`qdOaORmp$o6cQqts9P|E_Q46i_u?`HDO-(H zQhqg+K4N+Jh9Da?fdJcuKr^=Rp{Kyq;SNRnujE$t1JVZ-ex*^skF*#HnQg^7N%_C+ z0$EDB+gt6(o%GqI=oT#r(;_u8#kTe{(C8-;;}1&=*_zTaXikfz?K8yWBl!1A?IV05 zPRFxk`p~pmcBLKV!blsEEBU_gXl1ViTt^%tFZYd#+9ziZWbNqG#k_=%C)l-~je)iX zr~>(!U}ASy9IR?{Eg{6yiQ^E&b8s1@BESNT>17GY=JEUT;+UlUGFc9ouJ z6ZS1C2k{f9yD@pDv0YG9j|$%)Z%&B^-?agjzH&e2h8%|s^y&jMIQVzN06>7kuf=Tme zGJ8$6s%W{&A=Dm1SrsPz`pV(DbzC8Yv=G`CBaBAG1S&y2VX8gybKkE@w_nYT?wGN* zTx;3gLDs-E637hX;w3c7d z%*G2+j)yPmVjdO(eeJ8$(NbZZZSuEaj5k^Z7!K)(skM(DB5KgV6 zik2VmiD+wQgc9O3PXaT~UF$z#K~e0Y(Y%Q!Z~d0VrQ=o~?;E^Us6$?QbRJHdabYWr*m8(5gIxBC{VU`x^Pf z>G$`o_-Gm4Y@7kX{j!CSy5Hn}_;v?1_p!jG`LnZL<^98~&fpP8Axh1};FE?e)XKmP z3wEmmR6aGT_Lw$%UQheIdV6cLuEb}lM*jA|B-ik{PUl`Gx+L>p=U3NCX;zlDUtMOd zI?JysY~b5vYWflizqRG4RDH{z+{naTvm$h+c|hHO5Ad;Q1kqBi7y?gMYR z9W{n*EvEJp`YsQ2ja3mB>>m*}@ZxLcRiB0&u zU37F<`nj_>S&O06NMh)3-~YtfX2iS#oew)yGyeVJu8u!3B*Qvm6>cF8rlaT7_f~X9 zErRwtF?gS{$Q_Q^$k86QI{JSY9B6>Qd2F*Y@(1Jq zA@Ys) zc!j<0xpH(;1i}>d@i~-*#Ro~32L>%639$V$i}|rAe^!fPloiRZsoRs9z1Yr|pmeKb zzRwb~r6HK3kt>>A>C1xP`F{RYm$92IpWG383ho6h8Cu;F|8^JACL1~Qb06OszN+OH zCh)cLud)DG#!pxugwt3~U2~n52+JB{f(GdGpZN#nzGYwX&|eh|PhB8h18fSPm41u+ z5L}091-x%9X|U5-Ieu@kKReS7}5>X<{@vrBxjA;kQ2U92#f8A4Kc7>BmNzV zY?4lrlpOL?bO!P0Qg+7qTqZxF_EV;{Zp8OYw<6M~l+T#+3;(@W*Z9_90a%X(2Wp)U zN=xXW)W_X%nZREcJ|!Nl>+tt2SFrRrA(&LBj-Ob7o>4h4S5eA&uwNW?VO^WYC7N z+{N&`65q2mF zkdkeDUSqU8 zf_iYWdDJ6;IgJ;s@1h?r>0%(o0+!9>{vk7UOQ!#p%*6bRs;9*&1R(SF>C5MzwYKhM z*+rag9i189Et9~ga&)lu> zUNrTmmBwKxZ}_UFrn0&^)imb^%BN^rjDZBMy@WUXDn5mt_g7tGauv`?%jJYTI~0>Fs9WwYN~jFu^G=U-GME_Mqh%kL2{4CJbRM{?>Hv^@*5;Mnc=YZ_O;!PQg6s+M}I@ooxjv_6su(UPN>7o4W zqP$4!;f?*M`z4BK4CgAE!APqXS>JC*GlIk5iRIwtD9WP`W01x@Rt_9%X<# zuiN29N>6h)Rjz~0850OxG=PyCwHyBJpahl`a&@k0Z~H1Y^u*~w9q{4fkr=O3$X=9v)V^=h_PlCt27 zdu{E4iOF|3NMR+*UoY3>UY!i`Xja##c9U8qR+Wj6G!h}fr;`d-Pq*b4ey{MstFSyZ z{-VAVc0fM(4J*H>EHA@rAIn|lp%!CeZ)P!bC-UVgFrxQ5Hk{iBFrB9~`9>qT{HZ{S z5%+Y(gBv#GnJ}@x?RJj0YsnfF_rH2c!`O_^vK&jMRahy+pZ^S^FeE%cBO~K$tDl$K z3RhO>pUEEMO=kI)i>$?BkV21qU^fUbD8{h0L>$^T{?LBjzv-a9C7me>7jhqR87EFy-%TcL6BOSp(vC4o46Khd(J&BK> zd)nCg>(trg%TXRp&%^h2Z+A0af!*#vxxqLr*or4b8O^PY-jk0-J;=rYMo0budMD-aP82`_KgS zV#;$WNL}zvipPv@Sug%|=IL^SE?Vg{xgv zssKG2znbk?xeEqua?pWpg&^I;y1Uxfw}G zYtb)-%k7!o`l5^@vHkJ*npLvT<2?DP^$+G}q=_F<)<0?wG>{}$Sh|fVf@R?+F5^cf zlzKh!n+3yF9a2-uG~yBqBdOukMJrj$EHTqNh@28W zpadYs{ua6R z->-kft~#eT4?hzAx}`5*wOSyYQjLjO1pS5gL*%i1Oa8jp12&0PBv8{MOZ!~n>|Ur+ z274obowbhF$iQRq`;4FSc=mf8rq`76Y+6+2kPM}pGfA!=*E@p-1iX61#58`F@$UE3 zmaj@X{4}r?jJV-+HERmb8_x=l++vDjxY?e}lhuV7x}z4!r7C{FLM8iYlWERWu;#+i zV~VG|%V6`0wz#TJ+qP!8zr=s9#cT|wh*2U9k5lO87B6S+)@aD&8LhZSPdPLQa+Qmu z2P!p87zxf$9SvkX@Wrw!?_%FOJ zIL$)@JJ+3!q@8`wqr-1eR=^dtk__jPzz^d_Uu55D+J|+@X{-7b)abp@yzc4|YgKf? z-ZGr7ML?K`@06^WDjUx0sy zZv~{8F?7DEfpZO8F$5dr!V|r8->48?lc|@@8R;=TF075(GjKcEKmn)&fZM|c`OjDE z^;*wN|H8unRaT1~9bJwQ!$ylwkx*@*r6oZs)!8(6c@jAvlxVkrA3s0=U!w~? z9EC1JGh0o2NRy2X#*7t7NQiyX#!S2SK6BofWE(Z>xyZLQ_u~yZ7s?R&RRi=y{M#o= zpfr$@-Uda|xmL)_0rFTkTB3F(-h6uq)gpV@1!bLRTDqFR6phxa3DYPqCj&2isG#7Qygk}|pkj2l7`XaU8EZV^ zSMRxvyYkVI=<-@iAZ%~P8=d8s2=PcREI(d#C0>!r)El4CI-K)4Q?oefEa7ITD*KTh zya@q*TKORdTDh|35fT)C7G5x&JGD@EL;_UClY(?V`pQ*2C>{emTh3TVJ!1afwX#dJ z=LbH*qM*Uz@9}zd_+gR*q(nVC-7JL%EWTTB1#&YplOYe(2$?st4r!ML61?lT`iPHh z6u<4RR%#~W-Rjz(@H3S@c>;!3YaYv0S4LKIaA_N=m$!ciRxTnm8gNvy`RH4m|J(KZ zrEh6zw6DEoZ(fGUH(GFBvEw7ZT93TB(83y=vzX<8CXVIULh`}#H%M$rx)kQxakY==GWi##$IoTTc)IdKUb*{Q41-HM} z(LN%Yc!Na`!5Cp43yvCTnV&k-^A(1Kb?)hs!Wz3_skUREaanNf)CbSk~_b&PTWuk|}V5)wL5U@rZIL{Y^i zYZLKCL>{}Zep-%Yw7%9iYG!`SP1hnL-Ht5(p%>Fxy&@-`eLF1@1;CC zIX9kvYzK8sJ~2-=+KDhi-zRV5D>GsJl<(gt7`$Z6x!hg)rpNH%6&5!cPo;UUfjddy z7xuH1C1EBv0nl+QW4RVKA9f(nPAgtKKS4qgHZgu)rqU5_J9X0 zA3|cJTvZ6;cJf*|tVFxI(1Ov`ssp2EwWRSP=2o3FRx#=f!RAItg&>tZjM^v zA4G}I%ZZ4U`^xZXM|P9@;ydPsr-|av41G>_11a~RNWfov<1hWt*2XKMctAJF3TULc zJ}~iusg0!eSLas%qANa}W0fCAC^!xVh@|U$D>>K@_PEw7HNsWC>`VZOpZ8&rm{XzG z*zoU3DpKJp!wazLY|lW(UXd>kM74k*Q}mVxxPs>0pS5P19;G|uRIb2~!uiy@AWk|E z2_DTAfYCNns@Y!RflJdP(Y?|@D(L6ptCD6ggK)jzEF4L>$5%gyW!$QeoA=rV5v2F` z8!U#H9y!_(%feUBfKK)taS$m^=VC%P1E}sd zcS*jufaoF3!dujmUJz4Ra6Zl(NTe?8L)8^+MonUN@{OnMTV)F`o}=+e7CO@8A^%c9 zgTsj<#T3-cJ>}jweE5Rf)o}7PYF7O+`s5096~PXz3C7qP_eh; zTFHgLz`>p30QU97(~;%~2Q0ggfZ+j9ix^Q8iXe!1L%`|f2wH(rRhw=sjs>&dC84&8i3mT?*Q|LSbA!0{}oP#e7!V2d|s4;)t_ zTcKe^d?6dvoPbx7h(2-Pb$!nmF`i)P0u_kuw+0J$N4yA3hG za`9$_K~04!7YR!~hgp?O{>qZ%u7Qxh_s?>JsRGy3^%TX|Jrx07G_cI1^erPLM{aQ3 z9V?b3j0p)Cu1Dqm0lCb!AtsLX?yY>)>)WHHu5nN%B#?+uArpvGgO(F;fI-CK+9oeo zyv4*t(jYLZ~Lu7r(FgI_$aEXhf6 z%Hfi;JiE%^hGQL<2M;YxA{@*32V?LTq0k|z_CMAgdR){sut*`^|I4}o1sI$7bPrxv zGoO-a$Yz;t0E765RRv z{;Rdyj^6rygAjv0-{<^5^KV^J@Yg%B{~@#lVBG26E@Mn=0yVF5ZrL;m+)k#{=LpNO zBkS5$v%|60|GX+^zZ^ep^ataHlT9tJ4{}GJCKL#D_qKvFuZ*4>$9^sc z2F?KTPv7~y?`Ycm>|vuMol~Frs<4eRj-jCBP2j-uhkW?%fAn#Vm|%~ZAZr!=nCy%? zfr+|DPkX-(+k*|R2vQklJ$22nYMW^GnwL20@6By%65g#eaB5s1m$TsA2;Tf5ksY(_ z`1rYu+y=sq3uM8=7u% zbh$_Rhri%kiw{$d49#Jp!?P_wQ|tE-h_nBR@A|zkriz94b$li30}aQ0x=d=i`rGB? zv`Gl>`|{wuzQJ3u)uWT<%au|6Mc1A=W7k%n5|B#`#QQkC6B@TSD{50O4*%LsQI>yh z21gv7vN4}H8rE#F2P!YBdmA-0+h}&W)v*ZN9Rm7Q=NJ3GZDUo%h4rT^U(BdP7%7Wi2@-ZWSv@!eMS#q%GWX}SV8;cR&Q z8E^z>^^IW03bVOU7yMN}CmyBO?7Bs}{?+h;r+rOGDkoXma)I`gOCq$FM9%I$v06~y zM44L$p%(`LWi9raN;qYV8Q~po0j&2Psz88sYalt2i~8`cuAtWYgq_FIXl{_q18+E1QThwXWYp4C-kREfRJX_xcw}E zIgOlnY1||j*U{6){jol+v?`IrB71YHK09jd8lU(K%54fAj8#Mmit`li?LrcPn~U<8PqLj&u`}3aA9F#Q zuBXG~=$^DggQQ?uJ)(Z#CHvhwfE*O|5bM0~u_BdkxzcWiVP(MYQV!wAKNC(f8O=AN z<9l^3#lUH3O_g@LdjT@#B8#@UGjxney2r;{VC=b`)q)}ifnw6g#~Q&h*<0oI=xfrn zU%OXYWC1i43*$=C2QnBmrmpGrZi{tOOmm){W5T2Da$bC%BIaEx{rHWb*oI+L`5_ic zu$AM$UW%IL)#0%<6~#_obhDPBD;Z^8EJTnBd$#`}wI7{fMQ+ zvYf9&ib$aY{#YPR+~`7Ts~?^^+Iq%VNem6R>I_l`m!SKON_vgh!J+fsUy2GCEW{X` zde?;S;$K=D83e4U{$njFiY#C#li|gJ^MuaR#{isiyAfl8v_rk5U;{mxd3o@=JKdk! z4rDytd`d)=JsnLqQna=e$N^V?nW9^C5NykjSPAH zM^FY%kSK$1P0TL-v=5V`66_PtWKBMjs^yRSfS|j&>q^rALeJ#57k%|xCW3b^1+^P? za|6+$5{M59+5AH&)Xt^AQcg}z$G**U>9WQqRG{EAR%&2 zpiwZLv|G(A1^t0^Nu zIJuq}|8IK?zQF~PPEQBD^>%Dc8D)|nefGAEN3oUhkfytgx*?lhGL!X~alOg70-W~( zyMF}9|FQO%PV+kPu785#EOfKPuiL|B)~o!^@iSadp{)MFP2H+GEM$e(@Q-Cwzswg+ z6MbD!Zx3<)syBm8pC0V3qV=k7vE)Gj5m_g`YjF?ZEhAP)2%Nt|0+JmL9RbOiPA(~G zTsFws<96lA?qc)U7_P3_&45ZbbV&(6Yk#t8lhJ}f z{Q{cwbzf0gHw9z!J*a6*r?sK1mU00rS9AXsjmv6(HWO(fx*q+*RRWC)Omo_P=@nbk z+iO(4#!#LM*Z9Ol8t&?yFF+qx2KQi~Yw6Q|U;Q_=6>qH(@R}Lpvu|wNAdsQea-`dD z8I(wK%Up5E$M=FZZQg_8k+5+;5P3du6W+%+sw_U5*M(NT&m5k57u4+X^duAXiFMvn z)88%<$-0!JN$9y~C8HU`cc9$UNw`&)4`No3;G(Ngx<$<)jQU=Fn_d}*%tEo|HaL|&Mp zZSm^bgKLeh0@)9gCV{l3nT#(`uje!VqW5IKG*ufa)gFA>O(&-HKLrJX0xI?V42qBR zNE+Pj68*QzKMRb7=1OQ+TyZ8~PZsA#-_&^nb9cwvGp;LT4rz!N(c5v+VCqs(u|ZaW z8Hs=vBYJve>tc)9*nqX-IqIFMJjF;JChxG{X2B-VDh^hMwVOW-Pi44&!uPs$?ran9 zDbyFIy0}Su+#eRISgvm$r6<*7%`LooOu)5I^RkN>C_^gR@``RXtJKdy651||AW%gm z(C?MjtpE>0IWr^ssy${p^0fQ4`i_kSf&159BPT&uWWG&% zF2dU|hWKLA`Ov!#HCGh&@^eEniFwAOb}euN>?Tko!2dBQA@sMVr0pJ)hocF0=54Z5 zU#cr&TEUDOV8%+!4gQ4jCa{g?45&YI3x^)F||hs`5Uhh)d^nT_tx;(bq}z8u@I za>c;8BXmCH!#(qmMBJ~5r$NTQL8LXtp3czJIY(OX#i9OU&!0p}ow7m-;@SqB?bw(rGg zerPCgT2}QeA=v>cdBD49e&7OINAzoKq{Mq)zpm^=X%YQ{9~w~j!zt?>6Dq-PIyz^6 z;lWvDXRz~~6G#kldoI@ulgQUeTK9d!P*9!Lld^%D3cnA(tu&`BAD;=&pzy9%U}_fO|!$FYhMpc_cS zH8jMKS+tLfm~tectEeg;R^5G}tuuAEwADq@WYl@I*881@vtH93zR5gQ&md_*ZhYt6Q?|&Iq<8^1{El5{|g)y6p%2{l;e7M1WAW0=KMdju>vgvc8 zjYrRycJ8CgW<6<0q~R=Tb2fHL_)K6oQXcXN8X&mY4gNbZ&lXgZ_+zVuwFt z(OFnTSs(G88{k(iJU8%)5`wS`8cYobwiLSsNuPaRiw`;VwxYFfTQsP0Gjd;j1Aa?* z4tn@AmaR2pE9ARyvLRqH;jpa_&CgD+?@c>cVpU4s zYc`qQz6VCjeph+&coT2+3&_jHo>SHE7s8flXQPnDg2Dvm$Yx}s^3L8;SPRql)UN`!Zu<2~X3gt4nD)x_e!6P@UF!(pvB$oE_FXmd+@|0qvO=XTh^QSc~ zsD43#Rpley6XM(s4<|Dw&m0ugC+@`T*G?E6n0#*YQRF;~mYIo; zVEe&SY!#;Vo>5OXVZ!>^a`(^0!=1wsCYhO-oTAg%q7PYKe5~RJhQof4oiedntefvlkiI`#thJd$=%REKLe34)Lc%y-AZvXpZ~1#2P8v4m6=jJuB^`E$hC+b{B3PXsWY1Un-^>@H!r+r(!dpt7VXmj078uaul3#)Mx8B&F z4xNK&43cVlE>ZAX+kLjLY2=ea$A(e7#&HjoWI4MpP|=w#XuJEyO(2_&V0FVBVTMZE z-7>QOj>qyH7`LZy?MLAmr?fN`AAXporv5!JEh?fP;$bE4tE(Z{7r^$3%>6%lJglK7 z0iV+EulHunPW_sPk2+N?Xqe%#{Q-y*G=Ro5y@n=?AZEo`x(+y@k%AWSz0Dt_zmv&S8Gz=j-*9&{AoULERg6&bp zE(I09_!rLX70UB(#fLU6bC|tti$uO}W36;k(Cb^%J>XA_T44yFc|axLR6OK1mDR-YuM| ztoA;a`R48+B4~>iNQqUkN6`Twh`U#o;3_{)bMDU5i!jd@&hlwIZ&yD=)Y5E_i*%6h zkKN3O(d2p(b9^E%`|**L4F-#Cwv|vX_Mym``tjLX^l3K-w+aC3t}4J{1*c0N(1l8{ z#?fK~bSZdk2j`cwcos#qAvUZ2!IL@}FJp$9?#hZcDf7)SIU=;|vSYY>=Uu zl1>~Jqy8A)z8Q#oG#4hPTc4fE+^HV|NsHPKH?_pgh_TR(G*I?GB$94@>8n*!A#ylZ zmk!cviqKxB_=3|00uRSH^vC9hWaqmx%T%04CjwZp$Q}rQb!N(&o3-&!4q_1XkGO4_ ziX*~g-?DES2PaBbHd0!OvQHfB(4gA2$k)rSIAz!U|nj5xi-yjYGG$|G_QHW+JT2NtUT z*&axRZXccMACeOQ+V1m4rEd2)OAgh{dYf*P28#!1av3wV0pN7O+I2<~ z4weXwCOqxXo(P}pduuWaNlLs54`X-3qtaioYKkn6H7K8RL}LqvsGf`LMfoGWJDfSl z_rUNvPWWGV)+|7!y1iGxz%9KsSWlm_qj6U1j&&Vf{zv^!tkCkB4KU;bJWI}jrLb-2 zh2Cjz>4~jX{ZE=_eKn9h-p+S)XyD4@qpJpmID|;J@#Dk{g=!^#BMuOA=a6_hgM_g$yF! zZi{GFkSCr4h_&1nS=N6@^K6#}G@<#KGVj}T3|!BQ8PPH8=B5zQ{TH1fXQxsqFvl$W z9x#Wa(8!*%!kQ+6f%B3wNIC)_IOOp6f>q-IHrr-{DQfD|$SW3CQAGb6*ErHnDtKl*;YvXcCl88BK4wKyX zKh4trZFZ0lXBJq~(7A@!rNp=RnC;0W`cxG?Sk)+m^W6=sE!k;oui+A)?v-2J<)$l) zi!SO@PSLD)I8A;kRz;UzY=o_9TE1Qwaf!t9h{)jea`$@234Op9I~IUi-=_UK{U7!4 z*5WVRTtBj}kPOY*-W%+z!!&ugzGlTS5y6xp;f8|LRm)%{{nR_E1je6MGhWecJ2h!E zp+#?2$BTShOM_0Nfw@yjT*Z3Rdu!Q^2v=_DH0S5fW|oNULi=vcDyTtvUvb%}$#+o~ z-qn;$Sg~s6omTPwv6yURw#5n~b#_}GAW?y6N>dPFe~%i83OkLcpJkB{f{P`E?rz%v^ zJQ%(yww&b_lJSZ@+hztjhi*u%eQnd%-=+4}o~yzJBTLrVC0n-&ksz$&5_G2 ze|+9JqkhQrijOt{Ck+Bf;{~4t^5@cIyRfxVd@ugZG3$%5*PU|PuQz#Oz`&)W=3p^a z$GvgZ^41{W<7Q_s64T`P|C(hsjt^Z<@}se3P7~1w#GB?y=k4)XJm)m}4>Y;e)H|Bu zw$%o18+kn z?~wM$*j~U0s``KG@>E6wq1sj?CFgk5kI;07sz?DVwu|Qwh?FpHQKNpV`zZrZKR-1W zE@*%k4{8(>CIL)Wv%VO26~wa7)Z$`EkN58Vcwhulp8<9u4Fz!OVls&p@Q>YoQe;lflrLny7xY7X41@p@PZLs!jr`1$6aPKiUi1J1~A4M zdp`T6X_W72EFIX?Uc-Y+H%tYhf?E@-7y{$N;XiLDv$=~UoYw_O znz?1xYw!)C2cyAdv3Hw6K;2w1@EGV5B6>r;-dETw5fwTqm{_luc=gW=mQtA+vBv5M zi4RwkpMIDcdvqI<)A-)lfb77`gq|`LYF3X>-ZX)W9qr4vCN)%l=lY4(^%5B=tQ~iQ zBH13!G(6)xSA#^{V18@3J04vMJTUpZ|JzhD11=u3AaD>#f7AoUrkncNPzI9)=V6!o z&QDWax9zt0L_#NP{)ZS@%ObVXJ02?6NXG+W(HlQSb-ie7fX&$piUeH$uQ7uFq*HXO zmQQ1PAu>@qv~e@tPSLW?{gV!mU=++!q1?v~oxqDpq+d^ZGGbPeUNUTAB<&D_CJDY2 zL$@sloQ=l4xp+8r$u3(?${;KHsha}}g#$SFF){o3 z0~tw&3E&5SvGn26LcknN1nEG|qiuvU4Z&4lm!`U|Lq}>g_$lz+suknMzmNW zNe<;F^8Rg2TBFGYUHrQVxHzodo$ZEyhds@9SoxDuE^Wbwae@!!0LPh8=6z}Tu=xMjf7`P@eFduN=@^Pv3=?z^M40bc~$2bP|gYj+0 zUwDF{IMKP9kiZd~q7V96=Mg1* zY0c}v4hy-64+SpLz6u0HSQ~?#DgQCBoWREz`8kM-2uDcq3H%eJY-9_>sK#2f zrQqDM9K*$_Vgk$0$4lhQdeDjYGu}CJ!Fl|rw+myc>xuz8vC5?=5c`L}@bWF$>f3|F zPs!bG!^;aUn!Czo3(=6fz-^?QBX?tVX%Xy*mTGV(b$&*VmWH>!Uwt2+oMY)?|gr|71N$f z*Wfnxow1!(lEVlaKWl9zYq_j~{QdH&=&R@LOUPyITxDl=&w4py=(U=}m}%*rYhpVI z>ne400{Ri3N5%G(qUj$YLf5T7NH%+KIdH3(!>wUq)I~O9F`s=1XYEBYwv%s89AGNy zKO_y?(1CUKuZ+PwQ#KvV3D0a_{>a9rsnl*&@bUs*1il?av#L2rj6^WIIV^<|6oZJF z-mxRh!vz-D27lx`Bv}iQcbNrAaC@*NyA5y}yiTN?ij$+myS9Gq(*det=~HEW8Bz_X zsxn4~mQQVfXDvT-!)U1x?3_L4YvsEPjo8xSczlsLXME10h&z%o4)Puc+)Tmm z*cYh?qcW@)XVA6CS1U!eR-&WGH7aSKL^*c_DCV_$*D~R63g>gae-ytNWe6cz%0ElV+kDo!mB9!%^Pbu zFInhk67vYI=12NShI{J{MLmWD%=ii{r5#$Vs;#L7gH&{M=FaK$k2RU?(|jyBy)@>DpyYa?I#Y9D&X$aqU}}l@>ldIj^=pXH1hPAWX%oOAM`g~PyO*B?XRxvs?5s(Ly#$xHoYVqcoj2GV7ud1th% z0!8MYX7QJ$=0F`iWr3;Xm4N}xV7;paRWOJO8{dOArncWBbAlLBHNUJ(M^?@!6V$l@ zcy8?9BPGW|pBk}KJ&s%KjnP_@(W*$nN~lZ}Ba^Ms2^OZ*J+FAE5nYm@2x1UEJ51S~ zLT1L?KfhwP%}yMgFD7>yqpa?oIqQ%=qgbQRTTv5fB~yUMCpyc;t)g?~$xTTrq2H4d z7ZMhv<+8laiR)gv%4KJK`tI3}CUkD`hPFV=Ts9%pVbpJ^<>UO`2ntNu(`GrRryOR7 zD^;j%RFuC>iMrjc5EB44N%{bjSA`4#*N|S<%4C~J7cl?$$lb_aL&PoePaebVhVz~P z87=(8VNURs*<)4qYpm6Au7MAKdFdK#z$x9XfW^SpBC4kp3i>qVQ*Y)s8XkjKg_!Y* z6`y>w?UC;JJ$9;@`u^3e*(|hfycv$mAf zmTLaU%?VNsK6ywo6C|-m4FXZoRNcNB>tfC_kt$%`swSb5u}}`=`9u=+*YFozOsDnn zQ_ExaNqdhSUp_^_aw(_Yzr$;=R&$$) z^0!UD4^mB_>DN6xefrtS|5MM7qMuL3=9kOSQc;nN>E-?H;eBP>TPM-t^FLUz8?Tqa zBv5ZE>ckt`W;%qXGT-*5t#L<0IDoSYCG8_@z`2gWz=5vw=ohikc95sQKDIkL>azM5 z9t~l(P+zig7o*jkgQ4AniNL>`Haq`V4G0%xI0Ijw*r+>Vz<8>gyu4Ao)aKdRN7rrC zm3@tVMVM`e9$Vg)r*tuQi;R*m2vXy+%Io7ck73v`lRyDAMx6` zRG3(dF@XQVlT|pMRVIt?i9>^=2j zyqy#Cw!nUI$Hb0Pd5Ol)G(;&zX->UM8UNgcD|jO?Nhq#j-k;2_QX%lI9@d$hUR=^k zh>7vm!N&qp#D&qId=tg_o6cH3@utOXkyWV<4c%ho7YCEC3ueexpzHF*>(tYiU(ure zOyRS@3$zmVndfzY(8>`&C~yaitom>4a!aiBfa2NKU|#T}Vd?=XW^N6$@GsFy6N|%n z3FriY;Wk<1EA`uIXVn>D-b|-gqG^hpj7G7~!lN0>TT){wh|lx-tQ##>qgFWGm`?GR zjT8T9kpQ$LjnWi5Dg?Yv$kv9Eo}CLVpkq!*(_5|sov`l!VuEN=FGoX*M8Ym!6SlfA z?fbnL|DRfn!bfd1>O!FO;(h@_%#62Am6LirTl4{I_%Y|fZWX{U^wT5IXBco^^5fLl z!r(xM-DzFKQkgC5V|Jfy;G)^aOM7U*p7T{9K_Cp@3W*IhNYBoQd%9vy4)A^eEudwL z1!Qzr4d_4{XY0MYCnvAY3)PbRz4!7kA|?EL02zTvUEwXl$Ps;3%A`dQjX9FDI%-d!%UXYk}#;V)o2O6#U!EQo3$!VgOE1S-aWX zR}p%f;_$QrBFfYhr068M7`V~SVtr9lQ_AOSgs4CH+f;{`QN6aSLPE5cVx@)o_d;(a z#Qsk>ei`Y(o6gb6}HxAal(2ijCWI@BL_KO zj2FF4w-)}z^f(HU90|k{kMMOHi=(KCkITOFT~JCkC!5$E!2h5B@jscL>Erh@N%RSG zY@)hW;<2p+fw^qvG0-7fO%@4s$j>1sldV?Zv*@In zCd?OF2_VYqKzsZi|4#-zjP_T2^zxTMZVoC9h4I5_@}@3M}3t!UU~*ffVyG2;=++q!{%Z$wOlLzM8ckLDjE)Rsl^!e>CNwRizj# z)`{2|gMP~f_>};Z?!_jkYb8<69lN(=5Bj45?T3f362^mWm^}x863_)*Mwx(qt2oUo z7>UFp$1A30usMhkqtSPQ5%?E#<2hiygs4>x7K-!wXz$E|ROHO5B~rLi4HSP*dof|s z%?|QDe_32rvHr(8o)L&&zyyTi`DS54x>S#_H% z+Rgr7=D23;)1`}13<{bM#Axpsdz9LR;frAwwSCvdipcBI{g86(-&cBBbqj-FJ`h87(%wkO< zs=Yj5Y(R>pxWK-E=P+s7o?Kkj-gqKKjQy7VX5eUJ&op_M}Ea@~ERRI~Jhrj!1_Ah{Pq?vu8gZ;DhSQiPdnC**qc`LfN zRzq(1124MPCGMWjhre!N_UJ-qGWTr?NlQ8@H9o&?KiN2{D?H}M3#1R=`OM_O3ktyV zI>eKDO(FQs-%5%OO(mhi;TZ^2(0Cf4w0(kFEp%C2ixLN>R=ChR@CoLa`m;a>zkjhu z;a*Ve#ZLuUiyPw0KPzv}0@Of-Xr_XVR27@l7?J5$NGTOAd)rN7G4Za21!cjL_b2^c zjFgr2K?juFy6U4k+uqJIIJcpM#lo(t$6;mMe7B-jrIa4}xzTf(I6R8!$2jE_+LwR3 zeIJODAR^4hdYPs0EgK=4vTT5LE_?WifADkvdYhk#e4ZDsLVw}SeYf5Ewk{QLutb{P zc)e5aFb^5&eQV@}V>Ifi{S8KHWbFZdX^Y$M;r^D~i&~~vECHWy6umP^Gf0cJszG5m z?Pna{+IR%XYxNgf8XJpsb1e}85psl=*U@)aV^P|p$Vmb4`qj|vRLC(-OCH{3!u-N* zf`aRHO!>N1SqZv~(3(A!mphlP8@jsvo@``mlFcnTlNOLM6aL+MTOv@5{x7_r{w=Rt z#D@4}PQ;T_Zy&dMhTcfQdoowEg)ZmihJLAX_QN;jMw`Jdv}cd~Q}_FkHVFH{}K-*S%S z&j{dk8moC**Mg!4z^2RHPhdt-*mHj-W$C85JwG!CPtmz5VZ9iTz7{%x*=!GkmbD{Y zXT*4=0c)Ans4bke0^%$Zs@K+KMKlRf!EdhIk*>~-M=fbgVZ1_xv5FY>Ax2=d9IUD% z)(zBmhhXRjuzO8P6I$PT-tD%bTeKTSkE`Zo9e0mUj+TL($#Y?vKBv+8R{YlL`uwr{ zy4G69%k#><^{wTo_1Kme=&sU>^EniY=VzjHl)3josENEmKEl!-|4q(m2hdlX|1_cD zFTA~+z)e5nwqLZKLmo-rJMP2#n_qox2dR@ z0s@`!6$76Q3-&pdHan$LQ(xO7aO7eK_~H?DMLDj}&*|Yl^9yYiV`l7^*~3>G!~4o2 zZqQuUd!4^tFE%0EZc_2Kjavi#b(YJNB3Odnw`2!&rogFsT;a&cp5eWO$3}>X{usX4 zGvz7pb#&Y?$l|RHvFxLP_F&a6XO^o1%f@LoKaM21ria;(X?8u*T+TT)%d8z=-$A5Ge1;1gt&(jV42NysLoUs_wx31nFEQ8C93wBN_3qs#f` z6IBsjb6H=90HTf*7TCLfRxKVYiQ2TUm~D7dmafiDLKpLgIyYEt`%VZX2d0WvO8 z1a$Cje9Z%N6ze#f7CF^_a@{dhRNmC|XSBA%cGfnNRwMD}CChV>LsVa)1sM8hu{m$#>RXmgeRiJOB>$>+s_S2mkLu4;L8! zasb5!v4b&$p%N^(hK&*h$~UaHDef>qIAx6@+0sFx2UxNb%^NZyCh z(|e;fCP!sC!7bLe;SAJdqI2fMKfmb>#y(^Hp&2I6hb!!C^V8hb~a4d<{Bl-RVpsv?`ng>N;sZk*Y& z_VZnBzSf%#i;yp*ZdCIM4yKGl1W7;|CTvAByc$@zW!s(o^k1U{w!wWM!!ejvhMlQ@ zd?3SjA1!u@^x{h_4pfn;41#GS^xlnY>hK{Pmb(uNf>p)O-m0)M7fOJrBHuBHWC7zX zYkF`k+_*~Izs3ik>!SV|+4lnc$mw5xoO^@KGt=jz+!fuN7ivFN=0XCT_KmW@Bl#`?8@u! zaZyostFkk9%gfz45Fa)O-^j<3MD7c(2J|O(M@#Fkhi7)At*RTD2kF=gL zd^lVbJ+RUnKwj)b!%WIkYynY~aXTDFGtTXJN0pMS(d$2PcDb+n9SXJtOVJubTJmB3 z%h((z^>{RNU$Tj#xc?03#0N$-7VwsCZKzRNR|pct&6SY2F6> zgaMKQi6tmb*4km0bt*Uy{RO77XhyIaeA^%4f%I$En zJfnG{L=lwn#LRs!oGWo;%d4KEu+QV9!L5r=W(H9$DEI#G=iD@}#-9y`{fVd?x5K!8 zP9e*zR?@rKxJ3VUU6z`p9+pG!b+_L-GL75TqUcqqLnBgnh5OwuCKDGvRzXrOoT?AD zcfD?Hl?`GHe!Z_-8?20)DOtMB?%yB&6`^b_MOzRFJn$b5%FL`yd-y2NjPh_>AsdUD zRqyGAiqt)<4dvCK@wsGGWO_U9`FRrs)- zR8(5TVr5LT#|)#~m$4Pwdrh*$9>4NG^Ac0|SEr6{5guKPt~Q*T?ZlV`)Tuq+6L>xQ zz}=({3v5O$*ew+d6x(C!P=g>BrbJN2Mm-?wyj%?0 zT=&3vQa7iNb!NKH!G)b8@L{Ozh#Hukxkn42u!TUO`lDMdG{cjrCPqw!Ua@j-p(0LH z?Vp59bM2oNWxnus_-(RjP!gM9dR=~;PM6f&B8BUu-#MT!rSs+g$JkfLMfrSfuSrP? zN(xA)N`rK#z*1782$D;83IZYx5)y*Q(kUGZN_Y1XQqmyZ_|5|Q^%u|cK7TErIh-@s zxz3ro@4098HqKbOVf(YVUd5BGCWhU`U6~G?qJ4a{^B`zreMWTn^Q+sdwT?8|8c>T7 zm)^|M(zQB9;IK=;HAZ3`?T60t^0Pkq zd82@#C_L=mn~9S)kBUePwQIR1lK86G&GP(oqBHU0McW-OeJ?3i8)sT$4>|c#GH=FP z6^nSA!$eiQs`JG5Ml4I|1x4k0)(MWQsqc`GCMz(~rfxd49p}pBa+Zw4U&;E#+-jXr zxygG$fT8%SZJ+tFvA4;)aig8kTDX{8u%R`>8@I5i9fa68M`6x|Jg0Qf){(u8?yNv1x;?ucQ+3NtfsZE#UwXtj-OGSqkNE?Ev4;@f;)?;;1el0oj~>Md$%_GI{hj; z?OK1^JN#u@mep2ag^<%HoT&)(3ETx{I*>fA`-Uxn;S9yhO;+wq+J?Hl^PZ0YGk(^l z)(tET1T5m2vUJpMXP497!1)fk47+5%OZ3ong(brBcP$owL87ovW?^^x-hb95IC=~B zVW2HWL63$)lj1_hZjdHy5b~_ZbeZFiuH4h3$b_J@Hm|=)M8b^de12teNb!jN%SSF4D6|&9(8&T z5p3MbsZ1HUH}8rvYO|60zt$jlB4Z*;B-7=YJ_J?a{*tCPD4+TI!9qzd*;`^U1#dB* zxmv|2msyXLC+<`-aSg|7->W`#Ut`Y?XsA?5zojK3X8=CajX+7WpI2u#%(L5@Tm_Y47oS!dTncceFPNhW#t|avvo7{91TK|-@o4BgK5L#YI4|_VmL;9mI zkztvA>?vu&OqnHJjXB{jh>2Fuk+(#C3RK?FawQ85yvj{qS&8niO{+cZfWIW-MOmas zoH#t_EF)n$eB41gVi&IIP)NrR-~hftW@fokiI|&uPF2313HN_%WBlVOi$ou6C9QX2 zTufH{J=nL~AdO{D1nXpYI!m5@_0?XF9m18Nn#3NHfz9#8yHEm3)_p7jBPu7m_ z*4l$Bri7o##?5Soy}t4&z0IF#jdXq1v8O1eszbqN$$wSmWo;B^(;Q{lU(%rjuC8*> z7%ES%xyY@$iYp-QZSt^i_L7V!M6UL8z?;XQ`uwUs`SC3I0gr)O-*}G}s>ECwN`fo> z{KL!PbxxURdR*F1@V+$*Jwof6ByL}sTia55#`p0d^l4$Lg8ia2>}y}<<}~lVZB6*3 zWXF?rHN!J7-n~(rL#fGSczu~ftW-&_A0CixV-~GZG#pvfc!?f8>ACp;94bTQ-KU%U zB@*$tZOiPm7?Y%aT{KRdAw~t!=k_ETC&S-GE>4xVx~Hj80|MEX8|`T zPxLqs)9tDWuQM!D$)@kN3cC?+VEsWcH@oWIABP-j0%qzTA@aYgvX-pgZns4SX&JUs zI-6~goYOpJS!WkX<7`Ok^l6M7zR7zuBe6*`0&7(t7ZV0Tu`}T%8fHh7Mg9(%N(VnUmJnWU3>ct3vLeMPf~FPeoI| z_47MQ^LlE$;Pee~kTEC$x3zWyfAAiV-ikXw9WjpnQt9WNG-gp}6-ndyNfatZ_U%wc zt7xw=aGT2TC?q}t)cs0Yx^h(dm1>PW~MGsjzChw9(jykQ%`$uJIueFI; z&|rNq$^gVbKvI~4N5Jn&RTySU*cjC_EvrBbefYfE&cwS^)zQpH{%|BSPN~^Xdfb|X zK+5PPlRUVogUB#p^0cnNtW}CSZ9o-$1$M~F4kT5hAS4cPi*R|zEBzwM_DI6U`0+lo z`E`G2vU0^MFO^v|oCjlf>T@-ZzPlG&Tt&)H$Hk=WPwJ6!ja$$@vm1^mg@FNGlm16$oP}fK zTZBci0MT>%8<|*gViuHGA8eMe07bi0HAqO~58`xSr&2F6*$Vyu$CybB}(oeJg99(r=Q(=}^D7OsLOD=T~*b&q2y zgygiC>w{ZtTua2T`LlnZ(b1Gs$hpskbvbgnXm46@v;#!OZZPMEN>lb?l$S>dM>B-P;V_LO%|v`a+hR{F(zNc@7dV9}$FcDCkRuapWpE`G;O>8OyY_Cgs9K)^+iN~5ith|^%0eC#E@n(c zG!`a#k6ZF}=tk{#$DADu^CIAQE`|b+#`QXa92@Pqh94GmmMx^+joG4St07q2J~gBB z<9lCO6HmAvv@~2KY7sg!lh|Ko`aw^q_45G>?GM%#b1fcA~tU951ZDksK>_2WZzOr9cIXc{0A>jS~-`_OB z*Z9F)HC&i3=2Zi9@&2Wpz0F%mwxtstFFGy8#N=DTo%{nS!OMy~Z{3BU`Af3ObR!fl z#^ab}MViI$%W(SG;JP0f`*EV|;udIno38@Y;zxhkN$SVt2BfzrdWxHh%we)V3_uN;c2qH!=A{q zKCk`_pLSvOd$JR^S>n!*TV-|}gWZ9)&&!nhokO*X$Yf>lTri_?7L6~1MxT=O6eX=7 z=_Z9_?!@4O@1=h41i1O62{EHeqwqruS_F#cVGq8*wZ_tzsoR|@brRpKCc{z(zvX$E5*g{ZJ?{buG&tsVeyg+xnY`eiz&e9H3qAkF(ngL_E!lg(S(?N@4r?Z z6(NLVN*zE1I79c{No-~08+TV|Y3ntHC@U07XD&%!dnSIbjN;Na@J^nyW89vtRYBDu zr;kS0B#94U!KVIF9bfdw214rT_j&!!N0~Zbeu5!McM7Ssk{`Fy?TQC`U%8u=h4pK$ zbq0+62VE$xXj}im;^2C>oC^Duh$g&4rcXEQN(hLKu}# zAK!^HngS7%+tt=y972`z>oWWw$5_t^u zJ~DV-wr@NVVzE533uR0xG1)R*YQL!sYgC1M7o=X>Z3Y*sbQiX_;J=wu=;w{ZewTV; zrn{pR+}o!Ot+E<}ZFAy%Yf;8i^`Sn_(s{<3i+1(t<&U1xC~3jn2v>FRAKd^ptBiky zl21~UToBDbGDQ$XRdMs{_MjeU3;E{Ea5X85z8?eq?`TV36G0=;Ya?$XsK{#SBs**! zpA3&kXN3$TN9V!6ae_}ZBg0hjKU9wpt|lAEhfA;iMr1uvLK0iLTjDTPnJlKvtm{EY zgDP_%ykhr<0%C3^*s9BFoxP~vv?kv+g)E@RYk1MV9vRy=r@AFEBY76f2qwS~qKFL% zh7yY{^+pE4IRiaK3FAYh4uGJt7n!E>_vJ-N4P}Xx7%^7ELndJucMZTz4Hn5tg8E5D zWXp;bB$nnH79^F_oOmrmPiK?Sgy_jaVsyY`MMzH4971vi_N7R-+>$=5Uz<+nRRo~! zgA1RoHNzQoF|Ze0uJM(-gB^z%t0Q?@6&*Km055*3=x4D)NOl8Sv^8tg$f`KoG#E0Y z!A+}?Y#&sSq*agJ5^o_chsI~9VZTdX2T;o6EnymKOsyQ8w>pL>3;+~{!3!uENwd}| z)?5>a{4tG4e^ZwDK(BGY+LIzQ`>$b=*Ht~g&S<{>_+$NZS&>WDL-j|p7nS+9dMW8y z46p9?6zh!d#c)}wHJP@I$hP-`Ss>d-K_rsWhwjCnZc>|0$yy`}9|V{mG`aLyP;-}l zw|F=z4v;ix?1|!eXynxDT2bSAMUnsH=_yy581pJ$H&N<0ypPQ3kT2#cRh6<*joMvpDnm&2**Z?>jtXdyWz(fHop7>+ zjgBajHBo1mSqM}Q_twjYXZtc#`d(Q}>q>A02akxkI$+IyNflGh8QQmQ#Yx(JQiWy@ z+S7HlQz>HZ%Or>bN17cr;$Y)XIyOFZC%5yyT2D~y5@{Tenz0v;jqf=|hYSFx7s2ie z1$j6UiFFI(p{w=kZewu9mq|xg<5hrDFT$D=?+i)bZ)x;hgJ2y7g?>hqafKwFbuHLe zzuj%JCU<$i6fK^(8Swe}!WRdzkd3QP4Rve8f=Rqefw;l*OdK$0h^lzV;GUQAS%i>C zsc8$4qTDg*=zdX|Q-?T!LJ+bz8|5xgzI*gsa^T5&RZX!?-a~aZ>O^*B8q8eZ=atSh zdWwIu;!kGJa1fvyPCGpwehZWqOox7> z;Ok*CwHA8C!w2q#Wgw@CD6q-D6dJU-78@wawP6(U9M=ufB&zj-bWEJGq z0E!g0)fzFJ^UjE}*)4RXq-{fK+5V-72VTt|&i9neuLj2 zCzLW8eJ@oItHHMB6;*3{oN?KNrnTDpre;u_(P0X5I0Ik~-PQe5YH*4Mx{CE$bm>_$ znn2){DYUum+CTF+?&&u+)p%sAEW5BQY*Y#)RJ3WSBEp=#UT@sWu$YU`-G z=E|vFFyPZv&_0+XX7)7wAFOCG#*P{3v%Ca%qO6rvOva4CaK=LnNlu znwu$w1N}rSTz>E0UwUJi41thpd8ByF2-?d{4ILeb{eloqp4gS1njswmOqcfuv@4S+x-WxC?+JpZ&X;DiS>8?M zj#ho#B$9zErk7OxUqDDg|~gIRB`9gj_tI8gR+@6OEG0x`p_pLH8s-hHWSV?kt^PCm_eTF3?GeqfQdmYwuIapT;j8(?^&a5c4~6_bdw|W5tpX* z!!Aq01<{FH$^kefku5z=e!d-b-f=!KYe{T#fb;Ump86W3X7WKU8-G&-8C9V8C zqY%FUJMTLC;@iD`PdrVtd6Rh>dhvMTK|>i?JUk3|2EliyxtE?gOVQ2X+9!Y6V?qh~ z2LoIBM%d8{yImSdeN8-#?$%jH^$3!^UywTx`RRnK&(r&wdirJ%e#nEeE5c7?I@dV0 zP!5fFGz!6)4Z-$0%E%3|e8XTLK=s%!NNmF|$md|jm|u`U&m-iv-dOYL?-Tgfd?+n3 z2piC}0-&kjxM{F25geFJ{(MG`#^}eBX2_PhmF;ro$@!khX9bWq3YDycXk7R2rp!e{ z(TsE5RKKJ2V~y(%-CQxy+wuVrU|B?8tE{|n;n zKYv2z$wBk;z$0|s-uZ;z&3UKs=@_rf!<=@V@AjaNBYhW~(B^J%1M8{}AM*|b8_#Rqw4WT$_hG)>;U z5ZE~N3t~CqSzq-FlCdoHbCp4#cCG}*pt`iQxdMfQtNNnx)GzHwQ!jJ6s9LOGyph$| z!m>f*g=`99UHANI65YZzJ*Ve&J#d_0$0tPKPKaQ2{=IZR{`u01a6X>l%61bYOMB00 zh`b-yc(&JN`zT`<4Sb(1EnVwNwJ2E;;m3{k;eD&i`(-np$3NM~7g9bF&h#wIEG$|d zIz4ZEXo8g&ib&`&l3APTs|23q=1_qgybJ#NNTp#6PWZN;++gusCQi+^n-iVBB#f@t z&RtLc{buuXIu!D$tbiZ?eq^){*U65*>}}S<)J1F22ziaK!!AEZ*5&BaQW{`GuYZc`UbnT}j~5E|pV>t_cy{}B+x8be z9l=DQw4%|$AQz_{6}h#k9^{tYAx?hmV>`>^{$G%OleB$ss<|V|aii49fQc&e@rnlp zf&I;wk5IzX9@dvTx=*>IiZ!~88?qX&=3n2<@4vE`O|o#jJC3*wb&BWBsf@)yLu38<1g{V!@1c>5 zgLMgsx6pS=T1$dia7uMq{SMzhr&M!SlpX9(2Kj#@yL$ZLb=_R$llQFTilp;Rc?DA^ z!nXNB80JEKymdlMf|G~!p2j8U&9l8k0t0J^4(8!}7j_LG*GWW4J)90_3`SxB1-jnqd4Ri}5ZWbraKy=}h+6$Zm)s z?0TpA!~LOlr;@f>UQgzQ>7je5bH_z_Mw0S_o0!9r# z^8xTqeHGzLF~q36kJo1;SP^BmQ)F3Mc}`iXgESlvBI^&YqC!qNx9+gm{0z}+9hSfdXmMDC^Lk7O6^8c&0kM84I~l-YwGF_|-(wb;H{F1hEse5zpv4UcAXGsczt8h#p0Xpmh?p&cT?TVjq zb1WMC8jJ?c%rCF&nA2!JUjJ^=@Jyy8b^MY-S^(MQXVuluG5P&ed*4xY-A!q};fRi+ zN6cUrV}%5~-qW?`?6lW2dUrARl=6BcYU+fcJKJj*Lg44el?PfIY;%nfUje4C>Z$^M z0hlEg^R@B)B{~~A70L!|!2e?3O{MkkW`4+y^%NN}7R6 z-6g+p06*T8tP_9K_C>S3aOJB=ND{K$bET8x^ekxsn0WfldJp_` z#Y{zGsd#5qMXr$5dJQ$6hBzMrsNGJsEi-LR)hWg7oHgsMn9Qclp%{yZnV?*u&Gxb;>jFx4aJ_vPWC80jLc;L#kgu!TUla5$IZT zV^lGlotZsvIX}Oui{WnS!E}JNNM88R1oa(B%^F(0V!N1aAavB-E7l!V1Fj%(Z zDe;=2RF3Nj@4`Jvv@5$AGIkjuCtt66hE$X~G_)mQ?g@j6UsPRd{(dP2>dUV#0MAC*vE#eWk%0;gyo zh1KR~S@&h*{WTVwHQcsIqV7+PX3p1Fs4VJHo@hZ zD6j7;-qD)}cgl3w>d|w2k_J&+>F?w^iS8Q9$t+!1%C1x)XxC@!#z!hw+`xV!CS6*J zD0};J-ky>3dA*_yMmRLVqlaGGS3=0@67j*OsrH*qF$c@OEClW%q`(2adNUMu##3`i z{o5mp(z?;@V+>ljiV7$x0*1nlm>bAncZfiP|BJ0Jci$fg>Z8%x9B-OF0_jtst+J;vmQSje`8uQ$7uTD9f*@C znWiS$$t9w`Rvq5wh4*@k?|zJ6F#QH9ZL^G_rZ;NcgxvY;SIq`5R@=F1v)5?fR~OcG zN33a5$~X|-#S-?R_mXtS^5R293vIc5=c?PcKJ~_5L9+(Vc2f>SSs#7JO)-H5##EDA z^cQJuMsd^~SV7u8lW9JAc!cW_4ARHip8F@C+l~C&E{Ts3eGcSRLxe9`yTVW@_Gbsd#xel0lY%N>CjYg3U|3 zKQeXB#fP7>>`h@CNqjBcou6YjlOn|>P9g)9p#AU^Zy9Q8kA)*MF}c&(HP7P(y~D&o z^Gu5G;YmX(Wt=~r3lWqB5W0P+oN#4M=eIqy5t_-%GcUc~DNfM-uwTbMqh#AXxQqq9 zA~2Y-v(Bt&dOt{*ymWfH!Wuk=KKKL``392obLQ_*NEKHU;D!_$idZQA~ z=X`0hPmc|%#dzq=z8;J&C!wb#>AsOtd}foJ{>6GUrR%!JgJBe0?v{o$<6pT zTCx;cGdI(^ITH9~2Ae~NGfkFr&9FAYE4$fZa0aTH@K-5MSF0Sk64w`p_~pwpo}JmW zf`^<+VpZ#(<(ttYF@AJF^@pIN87bqIxXGf`>tU#=ZHtI2FhoTOx3fjXv|)qXjqf+g ziy!(@J8s^$Gsi5m_%S#>S2_PC3g><&p6napBD5(373wf@Ye9dC!4>4=dk2JSh?G|P7mByI@L|A+YO=V{w;KC zkTDZNh9~*rH>KFHPkYxr#SR(wNBf?ot3#z_&Jwout-hNp3D~1NF;djPP3(Q+eRm)$ z<3`F_Uj30_A!W!|X|pGXO;QZ@hSfvPvqK*^1&xg>ua4Xes8R z;~uufPi$!^9Tq5@>T{gAeA_vjt~SCtsZx4-s6{mzY_10ME|nYD%!JPf#*q=x8gUY? zD)DO;5jE?nkJ{hNeQsHWzO<)ytCcCkAO4arYxz~Z>vuA>eb>_54%&67*^~Bwxd!9! z9zESlHeTm6xwSki%+H|`-0y~$BxQi#{$oj06jc@vC24B2sGT@M#r8LaI!`I#tBY(m zjq!`q=R9HkKXQ`L70pk2rP6W>i!B&j^*a+v->KbV8%vO__0U8QACL>U8k#D-a|91n zaI@B7CDP_Tp>CJ0SL~&x)`lw%bcgfno}85M z`y2C+Ymckfyg0jI9z~Dqv3XJs#aRpN>c>rTGuaOk=1bw!I{q3q&DnaWSl98%b`$YQ z&+ju||0d;!@9viNS|{(;=NC2hO?L#`V*3#a{!`3VVo%qnjkS8;;@`e}nB8*xS!8`r zhWJ1T3v+YvS|hu>$M=XTnR1V zO9Pp^tx$pHt1~-8ZF7w-q%qII74Cw)0qVZaGI!!~3Pyw;iwN!y<;f_Tdu26ha`#es zn{Y%o3`97kADNxRR|?I6Cm_xw(j`=EV?nRG`x=UyE#V6&TPYE0uU1>ogqlt9;Gxvm z^SLhzIFM8@m`0O}7mWV{TV%^p ze@8CRHppRI<#p=3D-WjOrK97R%fd6k&i6aFoUo=UU3 zE4(US)Y_zblSgDi^}WX$$0+*~9MoO+S5Jwv^Lb^h*icHn&*0>J74~fyVEbYwRxI=r z5r6t<{$!Ukfl+!>_|zqlzeyjZx2~b!NFqj9=>1i1sC!|UXLO2>znUK@dZ3=jzKWC~ z1NRnHt#$Lds!Ral6`?jf1@+EJNVSt{_?)n(fc3LNn*wA{SlTBiSz`C1?Nv52rTTeskxZiU#W7=69e@5Rn}5+pwM61B3?V zV~cCNI-5>|vVJmGPONAAjt_>~BcrQqPzpHm69$hU-Jx8YOF4t1n&9HYJ&@Wpj$1Y z0Q+sFjK>ypr&%YnC zpX7q_)7_YX$tOAtOY`BqUbJ^xt}NPpzzG$6Waq{N1bW(;7XqYB`m=k;|5u<_p)*X2 zljvZmzP;P(QZZ}yw8g*hgJ6v8CDg6iS>t`R($(RyTiNH5fGH(%xzqwt{sUg}ng5Gf zFc~2U=&{t`4+&y;pu>1&(O$tD#}%oaGW7^i_S{C3<#0Z{+n1IpK#5!|%aRhM<}Fyi z{BJCPVUVr*{m&E_qG_y@$)jTSI%P}1bR11YKNIb#I9p=r4V1 z;Bfk|w`n8PBvl(+Vo7cc zdDT2(Pa#e--DK$Bh3A{r*pquAV2@0uMBuo%aR+)P$su#|4OQ838FPpIC3l57s7Pho zZNewV_K#*wZr8pqvLtchpN$)e$4!xWHb9R(k}J;?py)|Qb!@-I>DP%~i;du$ju=Ft z2y!tZPV)I?%7f{EQi$eU(xR zDoY7o+L9mjk2f{#Wg&Kh8Ovxg>$9_9Icp3r@oJ{J6N?-Zu|x>BJ2`bzY}3m8t31ZD zSzN`DS?Gyg+E5Q$B7Lj1rO$+?>HLp@DC~_PK>}EyKVlrKCD*yQUSCd;K^QA;i0Er% z;;D=hd6yn1RO{<3Zm9eW7-G)R=l$-8`prh~#t`Yrf?Q^OZt0f*?3?(vM<*iTv$A_p z>}|?M2qk_Vgg$N^VdFw5M~=^GtIE0Djl?VLjYq@S8lw&Yw@-_$=f`KVJhee>O0&)j zo5aDsBlvRR@w7n#=TjqmY>4>t&cK!Jo`kCXF4~FI(092Zh@P?N2_Ayu2*lP}&ZWKP zp%!MQxq((yIl|>oa1S=jLozdm%Sz+xNm1S$+LcP-?VdQcK93JPijf`>!xe%fo~unl zbA#kZblag&$>>=rmroNcJW8bpSLT>xL|=nr5`_|z!FT}fsIHVSGP0d}8f%Q<{_{ne zdG0)(n^U%q^g`I{Cxp}98EaR)-CJLtx|IA(H`l43?9qwR+LG}@EV|1<>{@4d@UT6h zQv1t4+AtFcHiXQ<+;Jn&3>eK=wHcL<#}!ORl?42_Um8=Ncv?Yx z5c}I2gPDJ`qyT#`R&b-4Q()QZX#OnWy)-v2ga)n$ow!cie7wBWt+M_C6nU1C4Z4~< z$*P|-FdfPLBwSD<>;ak9Bxr0Lx#zNK1LzQL7_x&oL*3NZnA}s|VJ|Uha&R4Eu?EXw z;Im}_?rqwNAAPt3Jcp4GaFvc8p6lUm&(C$3cH@4^xzRf+p_!l$Q1?Yvcy2R9Fp&el zq~3rkG(8wA=)KFw_2T1KV6O1G%5;Lefj;o94ruv@;KE~wU2k#z8*oXvn;^nrYpZ3kgyBHtGt8C}g$H(40_`ykFaILyk_4CI1B&qkO-3wBIxglwD zs;g9?h(588v|F#Kc(M;9F;?Qeq^rT5$c*@7@dghbNB9kbPcu;I?WpHb0wS^Zz!BsG z2%#X=b&ZlO1=XTk{3DoQO1`OyjMU()RNN$(n~4s(M;?vfDF;25@LYYU{1WC31>(Ldt77o$CeC^aBj9NSxJ&m; z)#RgCmJ)dp@}6Iy%3;1*V$s!*5eSC$2n0s0$Uqmg+`{~ap_@tIYuFrw5sch0Og zU=R5huFa1u*|A+svBZ4M=n=hSR+$XzV=s&I$qkZ98S<-3!sR zp_2GAySzlZLrwRGCGUsO9Rqfuyw+5Ge3-bzTev+MCSqx^vEXQ|rIDz3Z!-tngpip~ zmU1 z$3Kyvp~kXyeXy92)Q=~h$tl?_(klu2a0a2|CaOjJ z(4#n#sH8+eBBuV;w+S;1`S7K%57@)AE@l+6vaXSlNj1R`IQ7$)?+prd&A3IAe>TS_izfvxo0Hz?RU=T8O&%+o z-vsyW4sy=-A7wia@#Z!VFo++}wG4SfRmR}ylZ~JBH4XBjlXn}Lo^3$#v zSCWj)12bM#=TuCBWgWYQb2XJ_GOn2ic#9NGs}xC0P=K3`7R2Pusvqt1T$*KVp{4Tw8PnOj$^NK{$nW zh>fa+CUwChzX##JAog0auXz}lL|-!SUL{9?%0?!1SDTO4v2M7tq|8fw3SXvH zB|C}s+72rb!qS03*O?9|@p7^Z!~#@5Tz;>CN7C|B9S=MUxl@_sjvbDu(vMR@EAnZ} z3Y!pN-g*M{eLh6Ckt4&vz)O)X%z(B6#S@(1jA*fPus_f;n<{-y%YP3Bn3dY~OSGKa zo459C2YipKhxvN#T*I2E=3XH-Xx25l?tbNrB3t5+s3_hkKou=<;h&wOsb&|&S!|AF8cj3$zGW^l0}f)1%2vss}2d9VY>^Y zP+~Cgt#dV^aSd~zmY#WE6ysUmTcbt6*qNlQ?#87m{S5UI0U2)`ZA`m(AsL`{c(QHW z{V5%aQm&%}Sf14B~Qc6qNSMYJ)# z7F4KAVz1knP0+3grM!xN{k%ekuKY=H*nlH(j>ZE4X&)S=^9l{p1#8@iIhaj8Q@Hvz z;FhV4X?@bE-?@t;BYa+AL;~Us!27d*D&$rhll`W9$0Zz< z`U7XL`K}=F z3|$8cuPfK41_So{`p5pI_z%k0m3dR@0sBQrc^GixkJ`T%uc;(${lg}Py>4wT!G=+0 z`7;{IYT(?~Sh~>s$6L!)ue6w^yHu=dWyRcSyPnTiJo4<448|a~M7=X*EzUCFc)eKo zjetTO8pGmtyg+E;9MX3jN3}8Hp3Brb+&3;KCLuxmYi%g@x?l5f?kcKSef<|Tz!dt_ z|EzZ9OpUTjMH%vMYUA!!URW-1{+ARL;Ug<_9^F4XA$3V_C1cIDE>b^IMpoXdRYzRLhgs_b zJ6MA%{#9AtxQ2bn^bMjVQ;O!r?XYw4aui6C($6BV1Qf)HPLgEMx8^H=trSV$U~V65 zD}V00Wx%S2|9zv(j8{TMi(L7Fu90s~U6>rz#tkl^-`HWtH`;v$XX;9vLn24E)d?Jd z+UbDt0Y^=U=qmyFhQG{yL>d!5pl9!I2dAbLVNyN;gwXv7nuNttRnDtTC8NxDU%C|G zqo08XU`7o{qBu?UsbGt%82rB>6sm$XWtUjp5vu_5@?nAol#~N z(yvHqD*p9&4O`$c9}_olhzjrO4m)8K{Ra+TN2H%f8u%g*e#Pn**_F+b)M!gDc%hL> z#4uf?zY95l(Xe*uoBi!Jsb@MG$kLuvzxOh*IxVC{9Zm@^0r@6y0^`d*(>0{C5-*mj zvi&m(=rZ3eL8E~wO}dsA89ok^XX#LFtHFSd0Y?p7<)?}&5BgJ?0S<#CI-2vEtO5%XvFH3x7|{QP7vkQiYF1SDqbw+1b!HQx1AXA)ESu_oAkN@@~4!=vyT$ z(wJO18OY+ZURchefgnC>Est>q(C}ERzl54&v@iu}^Q@Q+S*-bYF{o+`5Ao>?eNg#N zU{k$tIs%|q-n4-}Izvrl{asOoIoGh^+}7T2ryS^!JXsIcqb(Um<&?wy;?-5%5*N=H z*Ks5S{1lhO;D_~@wuZhPN5bR2TDqdFS(&#qZ0&!uzwHt8zv^gXv0rwHHEH;tbl&|p z9af|c?0?W1vCaTG7R=?ve`C{T(D&%^0A&7X zTa@Vu$R`hBWM4`fh$5$}Jfm72k&&O6rnKxk0J7>oSnFP5n$L`^oU(s<7SlbM9we84 zmHM9H{TrFFGv`)+tFBdL0kLQW{eeKQof_z3ia*C4EE(S8(S3}`l_&cb0XoQlnjm$W zookn0a`@UPZ(exwr}Ui(`fSQ9s60gu^V>y^7VHDqVx6~j>ZCPj{y0O9LzfwLeqm?sBzUs?jwrI@VY`90Wb}jdLj0xI`nXsfuuw+<+JgLRjJzp0Q$%RK z-9K8oxqt>g&~?hkV%^rQQ^<~}KKZRRAgxNYWYBDzwMGoniP~Fx8#?zJBC;RO3d>;c zZwZ@M>ji`}t&h`@w@ElGRpshLi6o|}QFdm-IYwslYyPV2LJayeH5#P&Rg0d-BG0K7 zQ<>VhS%k)QmpIiJzPzwLqYDsVf@Xzm<%q1ciWVkc0R=|c!;r9EY#R3?_{@QEJ^;}v zV}rlLQNgstFQ$-adbB6gwD~()$Ps4VpaR_`PA6*T4ki4~xp;_aPi@?`@I5fcDtj1; z(~He{Zti!=BE`K(F)xF=l)ni|+!-S!qhLULvYq}+QRR`Wp7J!Y`Ig3H{)?obWG zf^C0aVNA!|^?3e)Goq2^ULL0>cd0yT)#Hx*Z?ysO6jH3D{f|{~vH{1-;{R4IQ1b^Q z)>4(%U6l>G%ydI~7G!N*la;QXEXWxJ8e0;WX$UZgSTcbvp(jV_Wq+6y0h1+z)^I$R zco(Smgpx_og&0gUzP)7>msx@>%3?U{(sr>4xc;Rk3e*f`f2(Q86t#!y;C~0Tm8p#p zLE-g@X_Jv3%A$JBx2T4$@sPLurUcBEzC|(0Yc9bKWYN#fTB&#)7bESZ%D%nj67K=^ zPSiu%(@((ulat7~nOqbt^A6W>D%f2A)+qK)1F$MCFyM%;lc{W!SvE({Ft;7g-@ME4 z^;8RtR^>Eh`u?t2Yxot=k6kJ@G=GaJ!OU5OMmA7Dp*d3YzgN~C#mKzFeODRX8O&eU z1OlvtqLJ1}Tc9(Fz#2|^4AQmX^eYF(7rAxUBD>tyP%c;@BJDSVt5a#|}5G^0m3`Fz4_UKu1Y)eAXKEq6!tL%MjW!f4a9{r$sQ*3QUXl4wG9>cfX?!8x`ZqCh9!`Cr^1KU5 zBm2#{GXk662??V3qKV>y1k&70Yf6O6Muil;r@rH~appI2RzEEJ9?Z@e)%iN@!klN2 zAZ9uKQqx9LNdZ<{n>7De_47w$t+DuI_RMGE%{|YVmqAJ7cK|UH`<)?Rb<$7}#rtMS zyn+Y&j}Ku(&jG)Kct&7(5!`ZEjnRW^6cXIU!K9oyy#lR-NCA-QGS;$6L0oqjLssL^QDq`<0Y1+MtQC7exERM!?hGW%DBBhrcOJ9iCye1IZK z*qw)R+kYCVX5)YdN0V6J7C=8}D~aPb*Z zBGLXrdjvm2@mp0(w{*qK5k6@bDsSC%U~FlxTV^~LK$oFleW|3X*RoP7 zjc4XhTR_@eB`p-+LCd4yDsxfTyI^Eb4dD<1b`|;z;gG2OM^(Y#8AM97bYe1Z{q_EJ znd-$m?`A?byFqcF6mz(MsI5O{=EPg~-ZOW9r-|fJl|8(jwIsIQk6nhj?WHSt2u}6BGtL6-^ovBubVcYt`977_p<(0 zF?(gN8)&HcX6fMX(%=2#^`)v?twlEnwpuOWx{KTg=g_#-hD*8hU8>#6kao@se^-Jz z;J_06E-^oeWjpZ8*<&K4t4KSIUGoE5bJgLF@X)qhWJ+Fi0x5@Tj{BdA4tCrQEK>&- zsWwkOfdo1$Et~cf%ufOjoW4+d=#~BzQu5yHnueO2K<5`k@&Bp>M#uPPMq5hkJL7ZdD}!^G5joju`II7ScnUC zc8EGCN?*Gu?4Dcu-F|HCbt{YqBIYwXOs=7V_I-h$bX>zii&MX1iCs%X6iMs3x4#i~ z3^=T&aIq>X$MwqMfTr3aD2WUd^v93d#ccr->SlYi=_q^}9`f-klEF%if!?>vC!i<9 z9Hu$>zeWr#3~cRe44=W!!C#j8rs(Vx_bHIS{QMO6Bu&ii3~j)#`7=91al_}928I;( zR>+g#Ch`jbG6Wi^o$GpVN_>T#D##qnX&>N>&&#pech^@+pLLDih% z=e8WQuEt67-adUzPmjamdhE&Si93QDbIU^X;#OAzZ{i4j_;Bw_Jpb5(-VLXDsbhv1 z%6A;=C3r=-;)FyNF5izt_ZcZYgwYi9%U*}>(=+GmS?Fs-;XIAeNKi35Uf$W-6qs+c z&P&?Z)ET}VLOyMI;y*-IgYwzjX>DHszMg#~>HDe4^*wJ;$mWJ1x3eIrrCZ!ha?U-| zC!g~bjxA0|6XzBa0}JiGr148_xP7q*S!QSJNSZ~R7Lz=IV4(@cGok)j9YU zu6rQiOp3O6igbeTls??dC*j?IQw#jN2RCbGP%|JPuXb1;2rhD6psR&*z5C2LSK`dgeS?_un_9e)kHs9v)J9~n zhoV`o*hcHzx^i22jY+lyxOF`CW>q1^t6<)zc(0zg*$Icp-L!Gy=lSto-J)>;>fgGs zLvK0*&AcCQWu_M2qj65)$v|P35B_PI&Lz$$YzAV#+R5orbrY^kQuZe!76wYsG2h{+ zML?nL+UgIA1j|1V++yemkaFGZeHz>3$%U>k`T7Ak*n<5>VPwS}kEynk{Ib+K>W^ly znYbIY@-s&|;jOPCEV{q5E zF=hUV>K9=dhxfvGkl#kQNxRg~WTB7CHK(xoKy&_R?g0YZltP>K|(O7Ez&&^rNCP&!hjBSjGqL7D{t6$Ecc zAn*J7edFA5#<}C(bH@GQAC9cO_iB5tx#pVBGv`j2!)vuQ(OH%$WqNsdC7JJ;_j1s; z2Sk!H8J=z(QhXB&JFq^Z?Pt#x<}7Pw3VaD-oWz#D{V*5=s@Lx=u2#Bb(=rLR&`h=_ zQ^jf9>E~KG^Ug|_-zM=Nw+>$ve5lX)<$RuOgNRF(?+btig`tbLhXlmMS@lW#HUEcC zxy^UV$hsbd(-qgm8d8NmRLb@FeZD~Hn=8MB_z&Ws%EyWiHWs`_BIr_CZl#cw(JUM9KJKG)5;|d7p6oYZ~j;i$zJy@ z;up-js}-C(5XrH})QB%LXf3r|<#d}qMsDSjd*qN(#dg@WrXN{g|LJ!#966tO1K6Wl zY4{YrapIZJ?Y-WVySD96#Jvf~(7wBUZN|PM*@3^ZRE_GW(BMj#9UPrDi2Kb&e+w|v zmy6+BS9i7-&7ZwVyzMkIbkSvJju1voe&L;JS#`;)Ngc5jNM3wued5Z>u_pwP?Tq zg4|O`DPT~&)dBcr?}>J(gT>284L+**bSN>?7{KV`>VfEJTsnTD*&SM|CGl+UWgx&T zA{5wos0>yAdgqqegQx%%gq3P3*@q$i2>Q`|z|}p2Z)g3ThzD=131t zJNJvn+UeW6-wRSCBQd$!cCO3*R4ONN?Rm*4+UYASZ14Ewd}Eg+S;f5^3WHY`-^}hu z-|Q`_-gti$uAw9PK_ZSe8TkG1srli-0DurukFsqDGF-#IwId?lM|S%n?LcC2`-=^& zDSu^UbZ*<6`3vy8s~oK6YH3n^y@7^h*XKh9*_;O67aDuphDu?p)r*u!m9-2GWC(Z5Al zr9{P8rDerftyqQiZM@JovWm%KZ-hB`yZd_CIe4?4?CEO$J{pET==~h?OGAWJ{N!mE zdMiio^XMip#p>wdleW>#sEe|Si{kFk_j0#0bU=5)65Y%-byi^`2Y(+{VF<$JmV?Ua z|Ej0|Lr(f)rf2KyV27>MOoUbPtfrIZi~>B+_JltA(Gx@B)c!cR|u}XPr6guCOWG9&O~vib>-P9w})lNwI%eJiljtF9Oa( z)gkHtAP@imqW=MY&jVBeLFJp+ zaj`Hlb6ljSXBA}S;O61u=VN36i-37W&^{mDlS+WZ#Ka&H5Df@K!^=d^#QQ(}_q!cH zMFOnGe~b@g2jEcw@u`5ny8)Nboy5ZjqW=J=e|P|VbUz7zB&6ue&gTI@JbXM75D`8J zIVp$`pAh{MKtM%EO+>@SE^1)oMJy(+tOD~)?iiyrOvs#0a)Fae z>Y9;>PfFUPs-3rQSV4UQih)~N&D7p6vam=--7)-2M0#;&7tiunbXyE3z4*HyC*1+! z;}M{*2vVUt2?V02k?^dSKs+jZYBo`20~>aZq%Q=7ck?@FXg%w`{+7U!lwc# z0zSP@Gx_*6l8zwPxnU~LdA|Nq*CySkozVN9x?g`}CU|MR6=8-v^PVc&cs)xsnG5?i z>2>LbK7Gec#^;~$sh9R*zXvE;=&anT&>hyWC#==ruNr%QT1+Z4b*n;Ysu^8uvHbA; zS5YArvzotBiN@V>_uz7k`%2PJxjtnY7?#N(*GgC9TJzs1)ZO^ZOY%be_5u;D5h|x| z#N=W2gTBAcRhqU8;87Y|7WUE_QG1q;nB1*!J1ds#x$<(^R=!`@ryaq)K4OwmanoJ{ z=BYJe(B~VVWJ!3(@p~(|R@Ah>+Ydmvbkd`$E0UKI={qi#H*3UWivgVPjUQFvo4iFA zyTtyL(B1tc$oBbyK5pEAg_VqFHfp*>%NdUf6E}9EJPvwpx8||`82;?fU;rC;=a9GX zbH2iM+J9MNw*&?QeMoPGyaiEJ%USVj+RrR8(cd|rPXJLlCGr-!RY6IYAU(qJ8f;S^ zfUb03a~8gwP7z_zf_floYtm22lEAC{?d8*AK+1iSKoo@~x|pz zV?B~iE+zIx-~-i)fzx*x_g?z>qK%psq&0pIK3lqV+JDv$#?P+Ws44R>Rqi=4HJuf6 zTu0M+xH;Y=f7iyns%ySHw5@v=qfAo?YfXFIZ?~UL5q(Mk05h=5_C)#|DTZIrwCzax}Rsor+`9s=?#_sDs$=3Jw>*^^ieQZzg_Ygzp zo%keGd>$d{E+K%(#E9vrY`htPlQnK@J2t6Tk2kNj;>e?0bq{Zb<7DN;#Az5P^Z`+J zMnc@F7vI*NX*o>2MDxm!a+;!Vh*0+vU0+aQsRXxYlgwX@!aQ}RjGM*+7-J?XY)(s0 zRUCq0iYx|6EbV&LLM~=sNgcD+HBPiTMN?Rc5f5Z_o@#oO32pm21>}LlFp8ZUeCgUD zD;RY{-PUJg#u^-ksVfuZOS2WqtS+gt3|AhWKhqo9n0gT?+U$HeJ*gR@6YUA&_eBw< ztI$|ncJjwOb*A1B7m_j`$~7@yOHY-?f2I+we*ZI#|B=T3vZ#4cYskt$1;@akl{!A5 zxre?zPEe&XQQI@^A-hIK9n-vBN!~tZmC>|K{j@eW(rahES0W33 z#CreX1-$DLNevFhF~I?K`L8pI*o-AK$0fXZclj<8|4>LySEaB{OGWW02UI#IIH$pa z>Yj_uF~TXtBAUjjsZ%-iImttTLu^W3IU#q+nSGrfb-r;LVGz~(%bq=^s8uVhpb12}R{uNixky#G*IEB7CkvS_i zni)lfG0%3fYV#?gH_9{6lv%@SeDD8#)KF(^4uX)oR5xX~=`uzu0?c|#$1I4iZAZm3 zrM(p;J~5_2c*WCrx@vHFnre;;LS(Ff^2bcX-^Mu&gQUPSyNB8~FKAyaMLxAzY{KWC zkrK<=jk;es*`%Rw6z&UOqZ`$YM?FYjLZ?jI9qep7Mt^LY^2s@tiClD$?yTu=Kp*l> z;bPMdy%)xZ#_NC(67@*6rzO!M(rYYFjEd??)x-8qu&3^_^*`4wwApuj!9B+vf1@usX| zC!bYNQcB_yUbn54IK3%JJ$;<-AQ-Vc2fL>oV{tx`bsJ_ndkLRFYff^xF1i(yq>R9xwOBCUS!9*AS8=!kt&}}y4OR@A1PC;KoQhNzNK0frkWCj*AusCmR!?rgosqg`^~lz!HNv>zWheH55|{ z5VSLLs=_bu>%ks~fTH2BmSTJtAP+n0QOxVp4Y-}ygiMRpBz#Qd>&az|#m)Pl{-NK> zf9CJx2>BoR`yZ~4QIQ=Rshgy&jPnVr16m_Pnok=QU-Ac~|sd zsk41LbEBgx_r3S^US#--7)OVZzkRAGz}_jRW;R)wC`EAly&VcH8*o*)Q^3#fXJW_T zMJ@-ta>BnJ`va@r!`2XXxn@w*4@hVK9qFbImlA6^v-E3Szefuge@%C=wkNpHV#5S9 zu5zq<;Wcv8$FSt|`93^lzHt|w zT9(3#=(@qBX!alg?j z;_A8v7JwRFFNmN$s%zG@CZeo}xS~qHrH~2VXYA!3^|NVaf^8_CVSm6Cc)Qtcp?8 za*E=lQM(MYabXKH)2`N{0HQRx{m1cpv7GOSx6hrk_g<_0nRSP^ebaF`BLG?__%z@` zPGC$g9}sRePTHq3OgdQ4`cl`7{@O9w-1IBT@&MBM$MC23R;MH1Gkc`wcc&tyb<~Zr z*C#Hm?aNh~XVO_)Aeh*lM+1j)@RgzE#i@SC0Z!KdgN`-uA-gK!whJ?{p#o~I2DQ?a z;-n&qXo4orsTfuCmhj(~aC)%Re*J}X*w)1q2)~y%DgGj5tT}M%@_@MR6z~?U5|jhp25MXtrg%DVy6C2{owW^~{(7esyJco812k_2ZM2jkrx>gyLQ8(i?lf;$_T z;6gewjYHMOs{`vH&^l-6Zm0@W$BVy^VdkSul{sr#q%xQ_ zbqdO*l#>?3Vf@*5!?M1a*VXbEEoAN?vNG44|B! zT6NlThEZ{n&^}xcQHMR@hZ?xwq&q&}}5kK8RdT+QXQt&{C zd3J<9OEoic<#ncE#@l-QJLLyupYP;Jnfi?JjR#uZ=CH7JTnLcNXc9CXm+cPgmoHM( z`)iiIz?&qCcGrdb7T?u|`02Kf)%eyvHMGx=68Y0hLa=&A+_0pocI(WxPQ&yQV0z!g*+qGLd-l|ee|r1hwa5L0jj2IyG{Go{uYVGzX1{`X25v37vBWJKp3Q0 zk;lE+B&;*P9eX>z!(Teo4|$Ny_24kfxI-y%3ky4gXD1ia0LHS3=^4M%>Bf5V|JOE? z(E66XVckbfUFgO!W5>fNKz-m1N%OKQVy$L-EJ7lJ-Frf3ttPIOK-LjxnZb^C)B12agBTYg9x`n~-Pvca>C&kbV||E9M!1#BhFwW#NAQ79*<5mZTJH85(63tM zhS19y1I&NpB>$fX?8UbgZiBUL_II8-dv>Log;UI(DQcYMiT^@H1IpX@| zrB&kD8KL1U$;iyBV+{*|26+`acLVOdg_-NW8X&Ww`iTyHx0-Q}v+Ze43@dXG{iw_9 z1Px0?h0oExSWg%cVR?dZ74Nw9LuiS#$42rVLcpd4(yc|NoV?u2r!9h~QVz|5ms7~X z8LKJLU!ri)zA&t#5S_O68$kUq>4%Vt!Ox%T0kTq)TSHwj@Zt$1)F2OkTl9#uR`6Z^ zmm9+kcwx^$I!~P++>R#w5?VqIJuaP3g9d*MK^}uGId-^7mI#m!?dPZE%jZ`|8s`uK ze%A?Q#^N?JC1!NO)Y|=35 zDC{aCft52?Su^_^3!Lr}@gVl2%vTplUC*XjcS$kR8Z80qp zgft7B2GLh;VNhR7UvgO*lq```D!`r1&ruT6zwGj}`{ioXZsFolg1BjHaGhu({`dSN z-f$K5i=@nCc;@xVI|wpTZ)g7}NpZeHPw0H~C&RMC^(A^cBJOa^q~{LSi%t7%n#oJr zi{6rZ<>Z@IzdSvclp0B}fEN+F761L$Bks0b?O>-6r~=Ra)nQ)KO&TW6!_nhZV(HB0 zt@$eiJeAANlfzXC9ZFpcgEqm)4UpzX2t=-T#%y=&*KCCT}Wjvv6$3OenQ}ic#92Dp7zR_QD z?A=vq6ix7^w*vC>J$YsX3Wd+J)MEA5@{e=vt-WMR4mmDQ5t3qA4yEZSLNH?^UU;kT zOXpaAoGLk8URY;5&=99euxg76gJOd4^3H%wRSfcKaJK5skmA4LN2$Ld22uJ#6=6f)7&Wn3Di#p8xifaIaOh#(4B~IUsDxjQ(2Uvoo|y# zl&gT(5US{+ChW4LYsJ=uNC^j!=rlUx(|X9E;u6gvZE(G0{~PHJzW&J8&x8yDXVqx%7c=}x`8q?(<;m$Dp-tA3o}j_wWW$u-fB}u7#fOQq+{Kx{0c>*L%q1Nw?Pm+{L@c1& zmf4LtlB~x+6`pN}Q;9j`|t={>?;y8Rspw-#zZONT$=kV^8=sr>j><>;SD{gYdhp2arqE4D zh9zxZ;5uzwR;LT_gVik(*Ozp~(K$|!;{%L2B6MiSgZnefmJgWY55JcGu%8F6-(mHX zzSMv=r74`Bu2G*mkV(;VB?>g(cQh#!mWWatb)HMEy!Whd469YtGVAIpj%M%r`E)S8 z^ERY}PrM`@{0&&utoWFvzEgsCi_362W7nRl>Hc$zZ9M!t|5ftU?=dyZGwx)X3MRsR zn{TBi`U1wI57jk)l$CKOD>Z&ehDc0^3@#d4-EduDI%*94c;Vm61ATOGGk7j@hx$cP z=gNfcmoX*6fDjt&;eH~a&yl}wGBhL+XPq~inptA7wTB)^L!wU2i-(bdl8_j@A01*=BA z5u2!ynqj+Bnh#4&$AwN<8Mvo($>fNN--<9@O#k1N8-J zckxo{TyY|{pUWVQrdSrLg#E zdwF6Q=MUAcB0A8;pn{Bh!@RU#L+7x16G!M#kAg401~IUvNZMPBXTonar+FE=7F#%f zUIEL}-uBuc5_>`sMeoQkSThFOMI!lIQqu2?yD18lQ>k%&GlKuPn8<95C1~MzP)#H! zI>(v|Ta0^^xP!&N$v_wa5(p1@#xOeFaW9;ZdOAZ(aXb@jzt7G98n@-pX!_`?~ynqWFWac6w67k>Idf;wi91 z?$B)8H(yU96HEMi&VYY% z;LeUyI3fKDquj8JGbJOOkYbCmj5At7WgJE2tJ4P0EDiZO2w$^`sZ+6%`SqDD0p zHr_**x2uhhA+-=T#q|y8KC~pqcr`;Chp zT~GDxk(C%F>_sATGYx&|E_A_-=i&=crSY|A2yjB~xoUGWra8-JVA>ZSQ629OtiHty ztPLhVPVvj)1FqHca3UZCI{gE*3E$^>W-Hr=SKQ6uoE3Z}3F>~MhK7HW*dfkljE{5`h8_MXW zL&#oK{ZvB4tR&sRyBqGEwU_Ye9~1;7;yd-0Uf1E3ZLw3WO8X6%zC386VA5Z9eBm`Z zomJ>Z1`I6+_qy_@2Yv%K&pA}E0PEo?n?Z`-%qaHGXhHeQCzK`GgZn9}w>N`iH+L(8 z&Z@+}W9ify-@QIn+n(cPqH8>x)mY!%0uk_hO#;0hvVMeOb`EKOWT|ZwT zS)kuo#C7c(KqsJrneqgM=V0rkNw*MTpD}Lnm|ZnD?HETIif}wS+H8iW@A0L}{EBEJ zyAck)kE*>c8>h3l)$qZ3)Z{B8>1*x8%dK)U(9icfdDTrgoPh%tgGHSl5l1ysS+MsORu~=V`%L&B7Kq)L5mEMyW_KDWx zuL$}(c>`rJ_UxV%_g#3Gqvu5INGmT2q-Zak8_{|tMyD15;v8l3;(G)p&ls=J(5boP2D)6+5Iz^MB@ySJa;lJN$+zSIJs#bYbK}p?KIS&(oESV3@#6yPzVwv zx_29N^MzhS@G_05M=6JXkqz^gTON3AJ4StLd0v#r0`AZg_6p!BPAhjB$uSe>G zBJN&CO?Y(Oy+i9x2#(_9Dxr~iQ&=rxDz}k*5lX6~Y)n$hWLE&0YpAqjAL?WlnQeH5 zvdREIPjIy1lkFe_F!z_1LzVMOOUsBUdFB$^2Ls`A5#sCz!^(68l$z&8o)Gfzgqs<+ zf1;kfJ1I14u~Gth3+sGi%s5(@NL}wFhIfTyKO$J7Vb`d$5qA77UCRZZHVaR2oJxYQBPZ@7^cU^@jRtZq^lkX^t9yLKyC7 z%`;w=)fL@fuP-cQ^FGm@(Jf4wrxwnRe=hWg+O4Hva)_l=Aa(jX-P?|lIx=E>#7+uh zsw0fGbE4%JXrPpCGb=J&?djy@9qG5pU8m+4l#XuZ-oCs;Bx+w;M8M0#1-Ed>7fruN z`sJ@X2^9lK;;&C1wq*dDwVom@CsL{whpIAT_;|F)9zGJ_^BsGs>ZCM4?L|k^TM8IW zTBSdztblCtkgi;`oj}M;GBW;EoSo^E`+yZmPy&X*^7S=jSkpqM zA_e)}(0Cj;775@opCx5ANxb}0Bzu6@c=k37C2{mI`{=rG<-Xp|ZM{QwmpxBtkw+-! zV`OD(_*cWWnuHg3-H)Xj6zd-z-N84ZnKey;+=itM9*#*?{K^eF7_t8i;AvE>=Wa8m zW0sodlHShJhm^e8`oI}dxuo#paM!Bt$9CJ+ZvYxU1Cn#sf6msjh+@q<;I=pVF#VP- z$UfzlrE{=#8*iz(UxxvtX_S!2sL$ay!1==HbkzHwZNp4Q4ChKaWnGH62OH_1WuH@l zxnx+B%76Xpuaq1~FymJRPx%Bs&b^}(r;z_Rf~MUlwwb?A(T11fEtMbbs7iFFmUEtW z1Nb1CcrWx~bS>CeAk#KmCwRP8z8F;1A7-e{(C9>Y{in{&4~3sc#T8#^xg2DjS~hE}T4c1^ZA(`0PrM zxa)X$@x!(x;P-X3-!^-{InZ!#)DWSYloRe9YP3_M6<%W7_r2?&Rq^7y{O)nY9d$~v zeA6lcn;xUs187R}vt&T1Koie^CWFimPJ4yKk#&uC#bEp-8M(!)J>Fp8{%Fdlgo=)* z`jJ$Mt|nQEzAa=MlUaRF2OS`5m$P?V_QTHAwi_X?n5B+)Wb}C*Z?ojH1gAE(o!1Hu zR8(QAwH+#V`cPLQ{JChMW+&E`|e-IAnJJD`9ob1+Pp~#MEg6gc@qh?M;Z)TGcg4p=p5Gr0)tw9q`y2K_daaB zBR>6=4|(4%mZeu0EeZ2I?@R>S%w0p^!^icYe|Ep{SPZXCueptS1}$8=A%7)2dgy+G z=cDE2)Un-^$8VQ^1IqWMAu)}Yefi;gG;`2$yTy*%%nTvkL$L54UGpLQcs2igI_6Px z=%DS)8Xr1aXYTRm3rD7PAt~C&9^cnBx~-qz_nE$72RRnmfBq3OHiN8p={E6a^vV@Hx;@lz2 zm4r8bGWmP2(4&JX9O$(DdeD3PbYwKnIBc451vX%(d%df)^PmDvwTsO>u51rDVt?1F zmp^kLVTZ1}1n-NK2IUtoRZ@>eZ|`$@w66xB10#w;L&=IA5%;EZ28&@0=|y*y014I| zF8B;J3&niOqgU*P9kpz@K@wZ(q|Y6P%r-1?9bx0DWnvE3O7k08nTIEnsnrbgsCfZ_ zf)I@@SN6s)UXBj^`&>-6UNA=+(*^R@5*V|m6?>Z4Gu{t;Fqc$n@9Hzlm?8dh!{y}Q zQ4|=`Gcr#fe%DYOm^_E4FO=UjmcBtPpahYG#c*a0KC87bD?nY23B_{CaV+=~dZq~d z8IzFs4}v|0&WGc2o<4hK4%cF?;<$i79ETrksmPtSh&_D(5d}NqG$kfo7;_^L*CK|y z2*8=)HW(us*W&53O`|a9i1n-U*sHh}u?Es<@Xwz>B9I9asdX70@MQfrp!zpBc^3GE z#kq0d+*zc-A3!25pz04~n-v4|@|NKMRV>X!3F}@i_!FEX!O$_stF)-qN4s($-XsBJ z2MQ)T$kmJ1)z#YM%aLTW)I=5^@Au)*R`phwz3GWoz|rT_QI}(CN4y2fS$I4_?fF3` z1UCeEK1m6p$+@2l;W5YhgRMPCYBtpFUMosavV))#LQAP5aD5BywaC=7nKe|m7;7v- zt}1~Hb+0BCh36#|;WvphH*Dch0U0eG^lW}g7gm@^Dfuv9q3+QjIm@3N?!N)( zLX8ed-a0*GZMHSMQ0#N^6;A~IcIZ1y2%Cn2Vf|k^>$$>6vE$W8N7sX1LPPjEe*=a~ z+Dw6M7`*nbOqTD!)vWe$B$UKQ4yddqwonZ<)Ui_)>Yp^ZMYehU@l*88mu1@uSF3U_ zr#%iKxnMtJ!RzcRzO5rQkf`5kVRBtlhxUtu1P^$_yWQTEbCG>J#%MNW$50%c-H$5U zyBZ-NWTKBu4I_#RXB|tH?%V$oF6?mSu1WKR1GrCAs3b1!S+UVwqbg!eGgjK9bkqYi zHu=uE6^GOh8DY(nbI`h)t7+3=&7pmiwX9OQwD`BeFY=%B41SQ!5x87^xXx^fPio=M z)&6CX?WUSm?z1@S1YeHOFOT70BBkvii_a@~!iNP&R#R9<^5r((mYMNOm}nKU@?;8Z zCrnOj$4NP4@URK;c31G))RpmRYJMj#^T1R)EUx?p zFU!-(@Z_gn-oQ(y1RlYrgdTyqFYD(tGt$+B`OIJOet=D-@NpN5<>80{`05=}RfFOY zR~TQNM`%g;MwtiYNcJ*-*~%KcLSJ+3NnIqp@*bJtwp6z+dUrj|?_gUtrdTa^p3v-< zhZ&d7+gBG1?j*}eH;%q_f;2ewx9}7-R)uqt}h-DPBNF3LV1|@wTb!f}k{|(sNIC6D&`-4J& zuOtR*q^kuV$trxRneIN2vlCmfIn@l=(7rU;PJ8* z+R+`o{10>k)-<0sdSj&dW&_@9zWbXZfMqG*E742bWt5R$+oM*jimm`@7nR8X`BU$+ zO@9FX1{gyx|9Hpz-|*WgXf}Q|e*=wtg7#F+3?SG9tr4kcnphgRD0`m^O|=a8gRBxd z5ge6y3^!+a&9Ud{?H5#@B#$W zA>(%(LE&_ss?t5;Y2%HPQYX^AcdZwDYF9}t_v^n@2@Yz`l_OS5vRa!gJV{8vPYT5O zsgskvsEq_H@arni$Tz2|+1bo*D}l7Z#39RGN4K~?F~Bf|zA|}G(wn|%bVApsBHqA& z4!{YTe95<@8on|(WF{kTXUU)^E055zo#rl?-UJWk8TXXJviM1e4H8BHI5|D-z z)6_-B&2_a{rz`iJdJPulh{Lsbz%QWLc+xjW4CWyg=evUe~Jzk z6^Kp$H?b%0{|MV53F*;*nDg_E99J*i5Q1q>u6PDf~KVWzp; zwDqKicKIG5clX`!7rU$C>^VLm)~@c{yVF$?zx=8}uU6lQs;=E*cHdvWeRWDwUchlF zC^fch;#Se%D>gZ3_$^7xva%~2jvcPt4I)kL^vL+HURFx^a0|C8MT!=f4F?K4%SUzwm&fYq`{nU|fgcGb&<$;BfV#EFo z-2E$F^bBQ*#=$^j*oc}KY_L5Jv^g_1vBkKw1$d`0(jVy3nWc%#T!6*3&Q{?cpbZd1 zJYiaHyEmQj^O@n%%8)A#6}s;qCNe!y9)mV1Cyrr`_+z1p?RQv?Lth@^iJw3~qIcUl zQ|{6e1x9@$k_@b?7k4{L7Z5szh~s0isQS=9JSXL8{HVJtY$VsqOlHZbT|i$MP#&$> z>NQF-E209sp+zL1SLV(NY(qdx~G(D(m=IiVYYYK;uY3&?eOQ;%@w_vUKCOwNfCYX|)~RiSo- zg1bYa>y&ebmC)2D-K|@P6XZ?#mgOWC(OeRJI-0A4%;bM&Yrxm+Jfu@QYhpPyNhv@h=?;@N7lMZ%2=UZbXWG-iZ)zulVM@w;Bxip=5fE~;>hhTrR?&W%!{+Q8;@_)pS; z|K!#9|6yK@`($Jw4T|e@?~lLt&Pi6s-ztoIjIayDt!wyou-SCPhj2a@Gt=CrExsZP zSah)|RAzXE(VhFLY#;t3{5qXigZP9S(X7ad|j{9pjCPn>XHGjflUvtU9Os{ynsrbBe zyt6=TMvZKhvp~&5Zn^5|tJ-_-q2QIZOGmqhO~1gN2*dK#Lk*_iPL38LsOn+cDfH#xFBQx7hCj%xTS$t$X%t)+Wc_GYSoc6- zt+*w=*Y;~#aU5#i;+wkeNd1@T+xW#IX*q#TPzn0lfs%*kWadCB)2Sc%ASQ-4?9g8g z6GI6KScWqi*y%v(Wa0Cr4}+EUxVVj-Z8UGFI5W5M(|Q5&FE@J(5(~PD*SoGVW#!aw z_1ie`JCscZiVs&BtdaTG8^a2`1Wi6hOKOQ}QGl8P(w|alCvDeNUKK@c!L9~GDg(NF2;C(Dy6GGIXI^p zh41<^Y&E9AHD9&yMe=)s*>+{u#fmMqH3_CbMC)?E{v!1`FgcSu5prvjdZwXEXokMU zRZ>)H-Wl%xWMJOG0Se?FP&Z6pms^xIF83&g_NkTkQftpiS>$$SWkFuY>OV8t7_TUY zaF`8V`fFd@!h1jU{tE=-Y*~F2BZ=^{5}`>UR9XMUQbmFA+)I{z*Sfcg-j=y4bdV3U zW=sg(OEnJAg7B4brxL6@NIIkkiZQ6EQGGX&FoZ2$ssHaY0Tuj@QWn{$>73a-f8^u& zHw)rl9=SP?j{ZZQ99NCAEFGbz6#h&MwtD8D48?MSu<1YmxGWuKd6+~`*cHGt_n`Hu zGw$DrJRX+4Pj{B61M5V@!;DC>CxoI(_?u73VSnZf&IxIEgO9Y!QuibN_ZNof4>vQ4 z13$L2EGnG)4N$T>y36?TBrQn$BK3I>_I55lo>7Kz#h&P49EeL)#QV_WdKqn(v;GsAQUm>5rO#AR2ICu(LRW%$!2?%xugc|CCoq-1#%h=MN9;e^Q)u zpAd8YlPTsunPQ0k-%7Z%#vpCmuXWr<7Kr}Z0&;8q7GZ|u6~Vb^#OpLMW+7Uq=Y9pK zbpi7SH61JO8)j(iWF_2nnuWMl&;3|^vATBf(J0vJDACN+eNh-RPTxc}d_d5(&+lOL z(V-bHCBY&!8_WqOkwn@(G;fvlh;u}3!pu}-5wCMIErLL1VejJv%m)^rW!FaS%S2eJ z5$jQ}KwoOyp{?(Qi%lF&4E9rDC~ga*Sfna1+XqDz33Z;ZE9~n1ivwL^)a!7=Wb)x5 zK?lR?Cv!$f-gWMhEn2FdYIj6!=Dq~`bsM)>ig^m>?*~yOJVSq7b=%l$&&Z@u-z9@H z_{HM!^}3E}il$8)p=JjyE~WT<<zKHq}-Ng?T0)la$+juv1it}#35|!v=B{F{% z`?GZAskzF_5`St^)K$Fk?~G0OJJQ?oQ6bT7-(7=q_2xn-%QZ#=)Nj6+Ig&XF_(R9B zB(=e}uKYrOd$YxEcbSs-%g6Zi%On4|6_(y}Kcj$`!ZU98o=Q|)* z;Pk&T3ixe$Q%8IA2iplvV+(aSw0&`#%W1MFY|NR_+2(Ruios+I^l_WZKT|;QmYr=b zr^pmxyz_m!JRjW|3~+@D7qI4BF-aMdTRsE5{ux(?NtuB+EzH4=+S4sO#htK;G;l!I z8B^1a2>F*b(OH@lT$oxVeL8Oyae>YIlgpU2RQh!PW&)kX{^9!fIyS6!dIA|jJOHQt z4ImX~#`wDIuA6?D8~+WEhK96eg*F4yi3rT_Vh=kW#O&FMyPY;;NAZV8K!ho+NToLW zEqhy-11i>MwNNxXj>Xi~HMXDs^|gaKhB(6?I&NsZ-JxwhJN^}A2(hdC$KjBc(0)vR zaik>rUa83@!A-7q1miTv*Yi?*SG$rDo)1dKjS)L07W6vZgQ$58E8(lA{`PS(pJjrk+=Acv*5IR-2Qhi*NDy$g&pYZq8B=HMInJ7O}+b z5B}^OKjG<*-TdAPz-{0lR4!|xOR9G?xiBI9ITABODE*D|g%LY-(T?)D2!_2l%q?gWfPDEa-oQSQwlmWCP1(i~5AH_Z1r3qI&t~lnK*{Hp!Fp;#R zN04o_d2gVLSsw4((4d%uVPhkWTw`TbnA^kodZIPs|OrKN~Ut}wiaND z3=gG0wg2(K!;3tT?y8G}l$CFUZ3G;9o2JXI+^~wErv0IkI?=DWp3Qs74lZ&DlOV_% zC*U26!3^dM7DEG-8CH}7mF%`w`*FG`U4sA~Fv+OhyxUw%y+d4?O@CH~(LF9?&ifY| zF{DaUl@9@Uy2`-he~X*VwnlAnccL-UWtQG0-CN>%Ut+H3>rD5e+T?Nfi1;Er3Lxtx zDoX|{TfV$DZJ4F85qR3;ml=+fhSS>sm(JNyehLGrh+$5VhAr^c#>%ueerqoJwSNmVF1yzU{4Z zH$V4GRH`yOV_fJ>rtP+`;9kz$AeILYan$PD%RK)fS#e(^G`_3ozEG&1Vy+}i-Ltttw-{53kuQk4{aBoh;-!z@c1 z#!>z6paA?>51sa)GG~i;3kDnBt;p1Yup`FFqiOr_9=`F^&FULAmBBTh5b`oU5Z*e# ziv5365y!q`3=IVxX!w5{NXmhW@22=WA_YIglAgBrg2zS<#THxhRt)_Y4?Up$2tBEX z_M5@PFy1QTKC#}hLrtyU0L34w$FnRI3762+rEc`M%)i|I4Y*JB7sZUFllhFKyp#Db z^tnTyjx_J4I4LYiZv8#d)F|0fJxn0CJUoe$SH#eaBHUG`NU~Fdv|&S;@8(!af*t`? z5pQkhr-%1AtML{mJ|QW;By_rlO{*C0=Dr`7?jNPTX>;L0$HljwXD{4SxEBML6#%J` zd*cBP`+9{PCsp z%yzg*{+|n?=eQwxunAuP1b-iJlKh7`g-+|7kNw+Ch69Iv0Eh@$ETF5tN5=& z)k0u`ATG{8d?vjgCm;%rCjggWj2ItYL_~L|79Hh_yh-7MIb^Z{B0%xBpPCKI_w3$D z%eoEKF24&LsdE5uL2HU+Xe!H0^~3iC(Ytk8aD!!YDDlTE1krQZ(t?go*mk%>v%UF* zNo-EK(**j;Musg&jUNkX53VFGRrVS&5xhalgl)Tw znDsAiCpf>FkurGH7({Oc+a8M{>NNIS>?<*a-G-g_YY%GVUW26yEi^MXF#DQXrZlVv zbxl(8(mnCD9S^S;sCT&O{Iz5267_%w-qS&dKl0f*$n{&PmDy-YhMiM=rGQvJEH^P3 zUNQ~v+!2;rJ&Y|+n}fuMV&c3cQP#V=)0Vc=L1VAFW5n(s8k!0mKa^5e@2hh?6y!4r zdd%My%gHxc@+od`+?c<5+)eHjbG&O55fz2EYoHz^_@Md<+&2m;a(=_R3u9zf~6BOFmdq$#~6bR?9}5eT7* z3MjpIDJm)mC@3nTqToa#@f_c~X07|KnYnk?%zqFPo+rt#fA{`u+p6wo0nW~_#h0?8 zX+W>1k3-yIN8LoxDev7l_oN zGuQBhjUOqnR1D?xKE6zE$N-*J+y;H@!G4UatJ>tQ<6m?V1G7)N6AW*Oc+a#60f1t1 zjcUSHYlFHDCS3`wmVOJDb-MY1=ANT3#&f(tpRGNRd~#amX?i9DPwNjk8HT_GCY8n!O zM0D=T+-V^K?1b!go39PlvAL$2StI{}__8;>XK<5zbn>LY^j1-{Z@-Y|U}l5xcugh! zs2D~02Xp_(tGZm8evCh>tg!`MSA|?Y4Y!q*PAa9cF}A zShFw(-jy-yo)fy3Z(s8o_LfEDEvxpGx6B7(4L<<5CkO9XMy+=rmAI6XvF*fvw3w>U zFiLytGdd_Mo84y())TL-;VcL=*o|2ei`%JsfzR7fZWv~X3cCFot(>B}$Z(Nyc|Ue5 zu!d$;q^kTwHekQ(T#A)ix3Vy4P2a5v|N8d7(ghR*q7(NJF14}dE~5&XvROzvBT;ef zB@UKg!6%k0y;?)je*LbGJ#(Ppmef*s z7>w@rA5@*+x6eEXpWpv#^(>2EES-qCv+s8df~D6|z+)0lPMzQxT@=_uhGS*B_=GN) zySVHyZaew*U$`OsJ^kWd!zxL2qdNjqZ`Dml(>tOIFGUsyzG1xaHbwn(H`N00E}{ov z2rC}-Px*3dh>m&iQl+nTx~R2D6-qqP@IrsF7sYnibgl2>5cu4sgh8ZtVKh|+SG4dKK85S1e>8T)pI$OyL~0EQBf0( zR?t^)&vYef874|vt1mr_I#-Z9y#j05+htJei?*|i6DomwK0)ga zhBPy_pV_N)4N~wVsX#QUUhjxZWNCy!#TOQg_I+gPzL!$yHElKVLHFoym>50iTUX!n zTrf1Zf}~ZR7!cm9QvLieh(c_9;a13O1^EHqx$k_YD)aK-%`Ioq?0t|_Ram@#$|VZg z7Q9O%yiO%`?p;|^NcUc!@>rB?ZHTg{(dThg6!UXk((u_qkI!#%lOJESXtI%Q z`2oMI49n@ANaAd*dfd= z_aM-QXJ+uAj1=c^?1R?%nuT`gs!Q+>K<2Fu!C+l@cvO=bf4*ioQqcbUJUQNvw39Y4 z_~r*d;P_=_S)@p!U^AE^RN>;Zs!=V?salk$Z0&_)%XbEv*DP3uok0C>ooJaH6XLyoleb1~sas&EwD?KZ0X<=8kcHzwUSt{w&{Q{fjmVd9b6T zO8>g`S$q7upo%nlx1UhDQRsKkTSwvnRe~pv(c^Z8xZUqB zkd4uvtUcD8x~uv7?D1~Ylj$|)X{nY!HHFGNwh~w0?EF`Z*upF>?=FD^YrB{ zHiLVf^9NpGO?|g|#Vcta`KbCOkIEYGMPZ2;o>cQw4SD$51HxvzGm*8*Ehg5PbNegHfa_Mei@ID{}>(0TVMsr+jY-n4enX8Zd4 zPe0#jz?zCRiSC|M|DeYg=-9N*Vk`7ZGrO&D znKXET)|!JPau-~@&;el5vK+MvUUo)bhD8-vi}d8`X}mx9a-)o}lxhHK zgB7C&C1*nG^6uV15_w=HWDaA()DqaGZjh|m6V%x=qCke!FQGE!`PmnUoY{0B0U9%r+QMnp3C+b9k-a~m5OZ2r>z+A36+fgT3H$B!!9kj)<+ zqsf+YM;MKa4u^q6T25J;j^24wfnI|r0RSP?QJ?O!wO1;MM#ox1jnj}?P2yB)+Y6bb z!EQsCuqxr(GRZt!!rtM`UnFlkss~O4)L}pnp@?!z3mXpf>srH3r@(^7XZe|dWBHz| zmC+f{>^#?^3rRvxI!n{R436+b6nkGB>+y)^eg`?1Mex>v2hS&1?B}`-N{y?Q+1S_D zkUIHSyhVN87$vH7sJ2A;pVW)J7;3DGdlbv6$YttrtOzP|*OC+kV?JR(-lzU^^^h3c z=3F+d*_br^Kv-Gim7;}DB6MqB_`F9qzYM0a;$698T*VZ;?3xjsawGm>D9x2C)iRA2J`8)a#iV%HBxHHfZno&!-v-nPEYu&uSqTGpAC>Azu{tIVOZ<-1AQ;eFR- zQvWSyqg04=v&z!_TY=4IiihJe%j?}oNQ`vt@-cS}EN3Ll-z8Rdy0QnTkA+`|pp{eR zpYCRs`dG^6wq>0doaQK-FWQ7?@=gHKX(EesO3)BmiXeQ4*P8Sw5x;@#ydtdCBq?s= zMk^MlRk&T#Z?19w!_9WdVJkz-^gTHrtLEtLz?*KRvtOaJsg(&8_^Fus!?IZ9@km+C zncSWXUs#oSjnf(FNjvqobOU;o1~gQ~4Tufnq~{O^=&N*1%ga2Rg|WaZ~!V(mba?b;dDhE5cG;VHqDVj@7((@zi6f}JJK&)%hWQLD-4z=I^NqUxyvpoZK1+%|ncdgOB zZSTGQWc=^3)8RE%*7*Hob@o2>{K9W@mgKBfl*{7*xcAlbhHBuKG}a*tRL!q7uVxJq7XKtuuyISxwp1Cw{LcQ0AmLA1^K4qH_D+Z$aGUXN-3iBHc5QO;Z?TYWdos{ z0d1sS2r}0Ug}rnEu6BR^JC0d8dYiXlZ$+Ai^L4wx?$sAGSgcu7Ck4d z=&J}66rx7%T1K8@h~1gNb2oD=VtA*0PT%G4IYgrUmr_)ckCeuLub&dWU?O^^eH2Upg%cq9E(H2>tJL>p$AUQ6Y$M|HR0%BPcE5VPb|HG4tUliRu@4>DNN& zkFdL=x-?PV{_Fmaaw(1?qXhn+1^rOwL~YRQXXw!Y7^|psb|y|6KCNHfik8My>KjVJ z9}U^Fwjj)mBUv)k@P~rvH9)dWj1>hanjQ9}{mI?v5ar@HHDDsv_1>vUG`9VOe@1@r z6z@Q~L34W%7-dV;*Dd|h@Oj<#s|GyNpia_R}aR&Xdld5uB)9orE)E0 zkue%!e0>hQPt7poWKC~fG-vT9!}Q(Mq|j5$XAt684s)8RW;}`MDD>RJm`=uH`7ER) zJogu_mlR80Z@T+z_hz@;?%)G;v4+bES!vx~;!pCsy}`{wHIv&}TGdJ$BHEG^v=|c; z69Xz;PPAO#kxY=F*Wf*7A5=pv^7eX?dv=K%_~`#jE?zPPHF; zX;pgld%KTHluj4Gw0OZE>N^jp)bzXHFr^BGM2PlPGQ4z{N^ot)tzKE&#Hxj!DfhxF zE6z+7S^X+_^rDhkiw zwSh+zbqYqT{@O`iOdjdN}zIe+QG^2saL2lSd!YIddrL|IZmwmcpVKV>0hBcDWJJ2RE4eS$Jg+%6kVHtSR2 zwx(gqLUNW@|89oC9AHqqTHb{pMH{jH<)^MPk(rRoH?(2Q)>39({&d`|sb z=HreWi&z8U96)rrP+*lixQeP+dn~Jo$J4y5v!Q17iO6KuZNuo*N#v<=MCVX0IwWNA zS3+BS&DYSY=b>>>?dez!RrM2>@}sQRltN$39tmGa760pzIH_z4X9Oe_gS`o;9io5- zKtOuQCVIv^nvBY8CbTj-_8Cr5)%AjUg1?=M7>9J&27P|%gO&wq(b8C^-0_e2qdI&R z-am750DnkSk0e6`pZjn1D?y+{yduG*FMFh@brkkC5x320Fk32lRc#{|zWja?mM&kV z5Hytq(KQDo-u)NU7-b@SVatxeid}V0D#GUMrPF;elzo8Ly%#P#Wga_wCR%Ewza3+z zKWMPwW*BkiHh)~1XC-o+RXcDTpM~XO2~if$i9Ly7kkzdizvL{C{ZYFOzu%kpsbqE- zavU~puT;pe9KY=!Q!Nl#z?yn#t$>Nk^)Mug2rueIIkh)4HQD0^Erx_rtWRX6#R(VZ zCTtc!dCPJEwN6frka_3^Mz2B%a*l}>!-H?woVpnGSd;v8?Z?ON9R4%n`zK(`7CNE* zwZg^0oquS+{=Jcd08Ka=E(nSS05Qxj`3S~v{9n`!B!@hM-wdb2)_~|)akjW!Ic-k> zB@jObg35!a#2~zu$Z#V3m8g&+CnB8)H+Q7>AT-C{+_=Ns!J{kwy7S-MID!)INc%x} zEs+~{>j)G#LDYdH{~CCI-8!+s9tyoEjs{-Bo&T-D{!}VO9DRJhUi<&QFoHnm|9@dW zNl*Xt9!B`ie(Q-#$=7y~%g^=Wfw7*25rt;?9NP zJr$-IlzS%L3PEG82IYi=H2UVq(yYA>25Z^v{qGewx0Rl5UHrPBjKd1VO@&}92v z3j0Z;x8cX-O$&8u8!u)T_}w3F&v=)jmDjb)FgDyGp3Hn%H!~N1#uN8VZ9vXeG5ux^ z47E!}GD&c-I$7+O;$bvfWYI=jMSHDtVtPV;WM904C?9uoU72g-?s``W^9dcklD?7C zGb6N)ZkGuvNIflixPLMGPuX0(;t#kG7hi1{ea?`q|LvrmOA2pa_$z|v-iKWJ>)raD zS0t#uFycidG*#%v<~}R((t4Pk`7^ zO;#o(#vG1KEv8lbZ0$5|8y-ZNVCo}+X;~=@fZ90lPEdmf=TD|AO@mCwDH71jrqGcU>Rv>ihLZBKElNOcfEt81F3qMtK+T5cp zr4Zwn@H(_UhSj#QB`=f=k!MVM8dvI4br{TX6kz+uNi)IeOZzYSBI@7C9_&|*XuQ2x zryIR(*vz7aC_FTmUU0%Q;9$~Q6%`6jov*l;b9^-37&5vmLS#FZWwS+pM({e(@x^4) z7r(G++#2Ha=;107Gm^y`R*`W86B8$X?GOo`{ohCE5x>-e1k*kVF?QrAeVo`A31{L* z1|4Eb%0Iod7AH8#iv;Hi&Z(Pn_56vt@MP29{N7O| zB<8WRxa*?}Ik((boD0voB$9N}w&)Hj>G8`J#^BJ-Own=DJZWMTRrYe`Ti$au(gCKA~QsW3sSporsl4_OwMV z%t{a8<==E*{V6x>i-6nH)bw$LpvbPF=a4k%Z$2{a199$CYKFf@uT=&CGI-m55s%%RZ#TYjY2@xNLzo;w%=kvkF{j zY|^K^6~vdJLh&>RHP8ZB0oRKl02-2h=X?^a`hSbwI&#SWH}d`yV&jRNlHX}5M+wz` z)RT?^?g@IG-&BwzJ3oQ8@f&<{lyHBPAM`6N=il$;zx&zmc%LIv9pT~>|GPVGk`Ckc zz$TAv49aOhXsY|F$>mBKmYUVs7b8-9iiRfWRTe?Y7X-Tn#`-&h;bNu!Ga7ay4}_^6 zt69wL4OE8L2USD!soK=OhNpmf3Emuv#gJ=b+Kz~+OY%|J_X1By8h>-3`_48!>%L7> zW_98CST*%FWKBbR%H}WEQkIguB#d9l2i){WXXyICWfhUr&do2y`S&bhra^*cOdd!$ z*#yO5FZgdn8<8k3BQ~~Q5jih4{c)QvphF^oIIly#m5{ihVA(le+V zoxL~Y-d$0uK-tYj8nBRN>Y6iX{H1fw^vpLt|JYz;zm0{~O%IqN8g+AZ8Wt1UX+HHN z(ExMSZE)MN>qRXWP&V5%V}RP`4lfY+{k1LcQw0!QTO+l%I@SU&{O>+DlOgmXKPxNO zkIl?e-HaJcGkeB#^OlN_Rgh=n7Nyv#!4Uf_ zw9w|+cY_jq4hG|wDUR|2v)bg>98jUY?lzB5(;1DA^gvZ_m{2~RUd(AkGy8IvYV%fB zZYCBYYVFl!hO=s1qzm93sd>t~6KIONRZ?+S6G?Wy&+Nk=V+pA5(>Ygs?xpaJMwMn+ z=8=KLS-VP?`DW)vqdciFK8#`QjC?94I{(!;mqI%&DoZuuDFH^4lFQ?0%d_{Zko}}fF=>B)#>ia#H zCU|(gmAS(CZOhNMcK!WsumSHyvV|}?h&5U~sq>bIn=8yc8D-hCJmeu54Lo^gJSfu8 zif;Swt33F0er9Uw702LR%wzSS`~WpxOKsCrdkzN6&8#-;68WG#sh)J7xH#$dms+#w zyHYh&r|+4SpEOA?5+!XTiNDa~onyW6m&qL{SP2jpkJaA5n+2E3N#zk*R z580CDGEVDJ8ex}}m_oVufRDj_;TsUs1Pr`@<(_)rtK{pl$L6PGAKe`>lI3hz#FhI= z8<@+70bgnvuXuC1=2!8@#`V|11l?Tf2S=_<6z-H4G`>1`HRaH3Lo(niGFVa$5?t@6 zyfwJ&X*B+N)OdgU_DsfSy>Tw4ei3Q4L(Iz`6@yf^UQ#zoj9uvbUFEr&fjJfoWF7{1 zeg}n)j43F+Fs!6*_5^ z1KOwbn630wT<%}SHpj;!8}CXBl(Z8$iO@1d@-kPLbYg8@SU|Kk}k)MG7aQmZ7N>*5#(X0 zIQcl(+M@Iv({s3)XEBQQso>Kg-DBsOpP#!)X|aZO2y1?mdFiFqoAVy8%w8666fazW zaCn%dR=JQzAQf4SOhkhbXkRW zm37B6g(NnN)>c|SrSjomRZvoJUp_YVxVSANF{IzRKerB+BU5$(qAC`q&|9(b3n;xi zCr*jqiM$58l-Jq%z%YOYZX;@>!N=<|=&{?oE$`a-W@XQ(1buzx?bi2O40!~JGUoxM zHT2=4;B)e=N{<%T5qaGF2W$o%s&$g3^rE#E3#O(t_k?CbF^arU@Y&R5x#&78c(v~= z%7!$=dSjz_suo@KI@d%G_CSj^hLaN)tmZt5vWFbwr)A5eUzGtQW z(Jr!fxjHKgdUf;PZj(6?z+5sJo{P?<`wUMtESX zUaB|dY2UGKA9YmJ&&$PFNKkROgNjU1vu2MKf-CvS_`K((*h&PZR&9cPNNs}D7o{gr z@gQM$e#vK+Yrq(>Ax{_3DT{JrA>*{g+~?mcoZe(x+P|2wakZsARb@=JB|X6S#+3md zZ(BHK{W0Rb0UpHAp}$(-yr7?vnF7Mr9W1049dv{(7qJDW#meqv?CQzNV9v!BWVmBW zUuLU|)JI0Dwci~SFknm9zV%6zP9pFFUhI_~_yYj(64GdvoXy{iWjmM0-;#gz0*NLDuHx{ zm{tT@(U)1iOy)s#KJdDV7+%?ZDYW-06^B8T2N$#FL)WgHY_%MBJrIMWjE2?|D;N~v znHwX>c^r1b1V_jQVF1NyMC1J0}s0&1G!=uE2zC9l`D0SN2qG9nRRqSPmi$hYxewwe| zO-%kE>R5t@llu4-Fl+y{W0c~AR)MnbqfTd6*tmT5=IqPB-K`ASO0l3ymG(RqNQW*t zEk?cR+@tK*^h_IcK5y3H%%zorOlU84h6=jn!}XyPy`0CGvbXp^y`ZIs5pMj7eeDW% zxZNyBeJ)u0Lxrv`RGL+qMJTqmPY`m#^|=O3L%CVxN3d--+oNt#EypEvRl06RI?2f! ztd#X!WQRFhAI?fWT54ZH=J`6XD2&vCxhJ@P4RD0%Jf5gmAmoXt{@;ZPqx~}p?H{y` zf2q(K{Q9SzJFGdjS1p8k833T{LgUy3DGnYNx3-J zrS!(>n${dy)FtxQ9cZt+7lOFrj}q7fZ1)r1lKZRixmF8~WXZ`1OWdx$@u?;$hmBY9 zk?!Ql*fIILhGUH@w4KxkjLC{;JK1 zIA0fEHn^9qRRB~?W(@?`Zy2aI2rulOqs`19-;*kHUg=`tZGA{V$KxPbYUp(4^oBHU z_VUAGLSWjdmYX%8=6b_^Y+=3IaAV#5Qh7atJ7}SMg4wIGM%3qo5SvPp!k&Ud66jOe zp*a=^_2dW(T=blaV_SF?!R7fKzlvB40JCDH1N5Er-)>4kKlVy;X7E8|Le==9{~5hb7t6715Wk<};oURt47z(I zXJZKFlH!vSa}9zBl$ye3tV?AaQSkkz=e84^*|I0dsoPX;N+6I1Iu~8Ek%l z|8(Y$ojt4MNh0>Oed(bXtYfnO6`eZF3)_@j)XBzXlWh(1zVo#3EI7*s>K<#OHIA-& z4;MwN2FD)eHi}dpaokeh9xf8ee}M#lmjw^2QUs15;Vj{(`bjLSjB{S3ob+Be2i|@N zl+K&{2(eCVmBGO8kSfQ@7|qk2*|24sHyRa5@a)SqK*=hg%*?8Cvl4tBy@vHqSuK4L z0Qe7dw*pAMO_l5^zASd&rf=}7Jpp0M#&H3Fa~upskK!j7n%&vbO!d+6d8KC4Dm1#! zYwroT_Ur16%B=^|!dG(x&CAI=;dQKZ0}3;OQKe>+Y4MsXk5-%G2Fg!m=LT=Tm~ArG zGch-S&hg-CuW`71R>QR_HkKDoY_K^G8N}Qet^U$Pzx3Km(P_$g8QY*$El0lT&Fy3M z;H*^UtFk~(m>N_OSx&E5u1Qsl7_`pEidmZ7EVIrvZ}Duuk$Vb`EE^ZC?WVoX7j?mX z2J-GeXaavUO!eI(xzX7=aMui*n@IlOcoLtKXrwi$>^IdxCb69`)oUdWOtM!RmnEqf~bbSlk zrxl!^Acobq#XwbX5>I+27UPmECUx-n~B7Eo)Dj%g1x# zs#OgjEp~{ADgWpv8~_9H2uj^ugZbv3+ml0Q$`+ADy?P@+`ukc^PY|l=EoNzmRa@t6 zbKEHoY@9lD$KvY)L2c*}8wstjpSqM_WykxZASFxPS*WhR6&A++5{RW7V>%5TQPj@O z-z6Q9lyuRT9jdTNLH=h|`yCBg}aM<_&n zwmTcdX5Z#LU}W&jrORI*>-x0VND?~Q-qB*lxGH#4k1*`KpEpg1YJp2pS~a-}FPfw_ z4$-qRWv!)}Tn++30xCzeY$XVjwo@%#cz@&VM}`aV#9??VN-^JIQ#)gqdbXBFBirP} z)QQ==TR?DD8tlD?vN^CO;yO~eS=r(ms%%QWTIf+K7KnQi=0QvKJx{fKKVY8ZZ4fQ+ z6kM6Xa5|TFP72!FBN7-R&z!N#{w*pn^A4xnS@#6P@J{Sv=zw*IOJ0`k!vqgcpBZ^f z(W4t-U|rairaK7Qkrc0XxKQnMGY!Vsd2)W@;&#kfbXeDW-(|nj!G0;o8*zu#;nH&- z*u!pG_=!2|s;6RDMb&k|ifaAbbT-u4ok~6-uADad9HFuAXRm!5ps!sa(`tTUOzKyf z>X}MH%Rxd3-fyZu`E|tYa|+Uh3;PN(6zKT4yA4Wl-&&6u3)Kx}PP!ntRzWvpQe*-+ zHhlwO_U)kV@w0fbcOz-5B*okK+$D?S2mO7oHWgmExuiu_dl*W4l0JHEpd3%NmHdt8 zb~&a#ibCFwT_aMS^GTiHYOFKcqNxuQD9(|N^(;b0AmdF74Rz-kX>9o-pvxt^L*E6m z@G7B)oLRJwt$HbzA(dW=t3n!Dm9l*t^D(4cx}1i#_%gq6t~6#lu3MuL($IBP>a8fh z-IZq!?rO7eWTcL>lXne$(^kF!vikxvgyyQ@be2qdDhjHfpEl@;%&)RYd|Bav=9TzD z(>asE=U~?>m!=|cm07VFTw6;dHE{BrkNxD9k~;nawQhOVRH*B| z$1*fITt5kIpCo0Y0ic*MX6ev=5z3Ln!uoG+O>_{2H^I?Fb?e~Rf=E-{2+_pN<-747Hr9||#>`4K!_$v!^-9ojvAfPmO}N+fQ+zn8I} zjkgei8n(0%0E`QrW`%rSOt#GIwN9E6|ZPSZ{&%OG`w2V z%HaJ_E(Ea_ru!G}crVv(N#le3){FZ!bt3aVRBICW*6v2TCN?KFKW+kX$JA-VxOKr0 zd6p(xWDH9M6a6H0t*GB@KKu8pjt7_L@~O^r4t?qB;+UX$1`)0D+dOF^baG}N}xLFFfX7T8_7 zZ_W&}rgd}BIeJ();9Os&&SxSxsVzA%KBd*_?m^mi#|s+dxsVx+K%lFC9?j5jee{`$ zT2|R7szuZWQo=%NRdV0ix)xO^y*c!RM%-yaFO7q&&6-Y_gLLxKp~?*z#3?gk#-DYb z`J0J>@=9vJ?b(Uh?lWO<{2ASt!114%}~TGBLY^<9+rmP zd4UJ^MxL8)#3epy)>Nftc7jbkSiNb-=hEe+rulZC+>8rNyh%UlBu`=KgKOjv&+u`P&ED*(h0PK zsS}H#8KSRDQzy&3)(uOZyeV#44>IP`XZ@)vmyGbE^;Sja^wH;IJ)pa+;-VEGd*DR} z$y`I=G_JY9%SXEiT10OAvGd}gPg_#*FgBC8m_90^Wgo&Ji2*e91QEoMOzBsi<_WRXu-s$^9%m~MoX)!KfM-U_&_o&FKSWtW!EURqMM&uo!{I--WfZ6lWoBDj%9u(G79 z(aq!X^4)m#;Qk4@kprMV7X!@#^Ax*-$35OxQe(}VUzJ>~2ahKLftXyLe$5A0o!ulR zr|gm@yca^*j7=u$4hMbXK1`N&-Wk`q=FmIkDJoi zRWbdPBAfP^_hZ#KGcEL+)Hvs(<=Yo~YIMd&IAK-wA2%ao%E5^zdMf92#y>DOD8SRf>yqi1jCk|l%>`gOAL>|lNX8cxmhp6(W>6XQDHsb1#hJzp7PIB*s#fdzVk%-q3RV36-Ne>6#YxuAEl>`YSk9F6OkOIi9T6S2CW|g2UZfoD zaj6fKi>RKFF(GUNx1(0bmiBQ+a~9$@onN3;qzNG5r50DZRtC|yQkl=g^>}j1PNmm zBO_sWopGvZo++$PeLw!$WrverEzZ*=^WneOy5+-rD(MLCO#p|CrM2{6_$0+FUIIxS zPDt5LjTTJQavm;_;)z^70>g(ec951G$&&@DDKPP(YU|m5GgWZ1Hgr9z zIXHm`S-H*p+$BlQ{lz^Xo7uaPqRTjPAvAwkL{LWQn-s-X`D-jw(dUl`=0A72}J z2_-)zKL^%^^KDwX&zj1@cri8hb|mf8g9WT*rg!AB3Asvuf9OLGnW`})@P8#OJAet_&Yz^l6LcW#LsFw=tI=62Gx0b~EzO6A(OhMXhBHm3 zFjh4?GjbQ*L`=hXNvsOm@OnpPqAbHAM)vBYg(kMVJP#+_5S^EZ4Ff(^LMj9%PREF9 zsdCsWdmHiVaQNU*O=2CH$hOIO-J-w(XLx)$hrsQ)6!opPZ&n!&Qoxnzv~SPl=Zj-) zYnxK5LoKxbb#rgw&!AVLeSNqYP_$*H0V0B4|QRYqpn^6~XXZ*6+q zr!>!VZ)UChPV))s>VbW62sTRdB|#Hm+1KeJxL=IILNG{A7;erKASS zl<9CMK#gb`JaYuOxQpvHLz@bwmI^*CqP>*5pt}Y&--?x4v=&p525xQSRA0FkQRF<( zqOJN0?9OSY_|(MU5*&Zb<Os!A*_@eyTBtpAPiXds*2?Hj4iAzna5oHt{A zcBVk{EcK%4)R2S4N(?5z6f%J22)${XSL6dJ@Nm|o&WFgFAb7&Pv;;9ZxM*_iiB!cN^ck$Jd-L}rcS52s|*&mWx1FN2J zOQ5B>j3PT|aVsX^)#yie`5n$wz<9B?pbk|Vg+ z-&~&x4{hoELl)x@{vjBl;+^5an+vq-PN9urU+MS_;*`J!ISSgNUixPP1o&Q&={xft zX2TGg>Hnby$-ePMcC?9u8n*&`HO`{TSbwVQf@fk{!e5lxE@1O4cLbIe<6HFNwUT%{ z8?&rXTElN;Qm5xz*mH8ME^PCS0TvYO(*|z$5nxC0GzefNyc~x!{o7<57G_VZOy3iX zDFQ1_R|dj$zQTNt|BAqgnDQPNSQ|HYcrI3e=lKuhdN8D6KWd%4K1nP2POHshNZz2t zp%s@(DK!>$M(|jhu3b-99Bm?|++p6LxOzSU`Iq$3`RxHku(Hk~lB-tMjxqoOlLjY>e!vhS2% z{xQ|`X7MRW%7oqJqIGfWXE6;jkbSQ`*2dPaG^6-ZB=5p}*0;BPo-P8w4Gy$L$dW%V z!`Fz>+JA&xOHk3)tbn+0=iEIPmG8Pb8#|S{5fby@QwhXvA&@P@Wk@l^!iNl&9W2i1 zE^(5`2mNmY=#@>3Kb;xo-c$C@&{R9xAzo%xI$@2ou)d{X-sLNC*K<+>vzL2_rc(GNnWUktoQ18#S3 zs)SmT)$_?b#baV-A}4!T(L4H~Vst{<53yE_H94-U&e>Hq9u2Beg&wnprrc{kk@o~7 zC^5P77q6f2DCyCZLx>}hAh23xkEWa>c*Z}X%?~AP-4T^XvkqacMcBPXRQwZtdSt*o z@-7`(q8tyEz<2;D?fa?`19wHcyL>ZYW}oky9Ie-gg1X=8&A<6|sf3o_k(Np9x*c=d z(m(qU1cW-UtVD^;@0Ni|aS0e+!P2QjZ5S;{y6mTIVQ@66E}EMu9T|_}atFwM2>7_G zEFW8Qz?2`XMqff#%L;-?0{~B;iJ2!C1En6Hk&IzC6|1b4Hn9jxZa10UphHlPT9fXx zP^)W4po=whuaea(m(x%&52@FBuIV(1Z4oG?ofFvyl*vxz~_Z-|COuzB7-l8RqNS$>}Sz z$;!g5&fT(YLqz(OVPL+fA+6l_s0>}di@3xG`)L2TeVmFVJ2%7Xn)d~!?a!2!-iS3!Pr+0FWdSr81qq!#wKHJofa|+ZFI*Nq+>_y1$Dsm7)}DDnb3#8ix=mGt)fkSJ zK5h(vYX&Zz`T;2SWxf8P?u#i&$M2NY*N4*epQ%!K1g5p!ifg%%jl=ve_96ktP4PRq zRmKMPz`Ay^lMG(~iMo(K?Gq(XC9E~^lGA&5spHl0#W%>X^6@-zYYKx35wdVMppG}? zRSMWa&Ab`RW4(6gfuQ#dADbFoh4mXvBSx*c#c&&iZbseN8#Gh-#Z)p*R$1BEhR5c; zntaTxE&MY*D(tNLXBajrg7D8Z#SPw zgq{|rHeQ29h=fdEr}tj-(EyK|7s@4MI#%^0Iyd>rdt6>0N8TtA&n~B2f!Jun9U3L# zxXx~HOjxj5-|Q>BYx_dv@uZZwjxI>@^&XqW&_hv~-h?^>Wj?v&oUp%^0+aRN<*FP8 z%&E*^cXAj1v)htC0P2D$l*Iz9Kf{ z5jX_f@UV{8AG!T8UYPxW|R9YFED-M{_9w*G*AUue4?35^zf#%DJNT|dCY24zg$vq8uAe$+X?6T-P!7HD@ruCq;+{83^PzADT zS5ZMDnVW^JP0%G@NZ}tr0^o*f4--MtEfv(8s?gI;9N&Zn)3Cq3H z6i5e*!lgUS9_}a@fjMq^)`3q`+y*7ZM_}S< zhw0;JgK&gnBINE7`3poG)32|GP+h0Z`wwDG|F#EbCYTL~4jBkRkVZ<7>XQ&zOur_n zUr#4C^aO$-%bx7tgv9=*W*_oD(pHc5Tm-2;z%t3g(@Sk~YyODB@JH}8|F4ulMv7{e z0fc^%oL7&tFKUlmaT)e$yzwF9ZF&4kb?y1mt?DA|`Qe7UhpX=xlF_<( ztZQXXw-Sd3huOPQwzLZK&gS6t#F#u4Wtodb^<|^D$=kBZu}>|VP`r!|Ny9%k3X(5y z-d^HUybBiI$mbk7r4m`7ElM(`C*^)a@%q+MXF;6i5P5jh=^ix6GYM4mAdE%W+snd? zDey(q!7JFXv^^#_RllknLvKLd@upIBX!>5zzSWo2nDgB%Z8qUPn#XSCI%F{^RjS{s z`U#D5`26Uj)J?P14W}P~3S{4X_*4c?(laeDBZsv@>^_^cccOKAw@_eQf>6*0Jwv@P zRL5F#{x_+YZkq!+F=U?01v%%SlT#xdc)nkZy3|I*lRrlmX_XQe_Cb76rg3VxQbso3 zhvX)dyFYtK8O0ozD2lRr33@ap#xVK9tl%ocQYzQ}p>OE7IfIjD3Z8WR<3gVCcpL^M zEbQO|{^rH~RY`r~5_!J^Fh!cC-%>PD;Zne9-MabBh()_UVpqL9uTpoz^ky}_Dr+QJ z?gyJbJBEST(|{Q(%*Oq`l6xaYCh92Nx{_Kx5kMLp(2R_i=F_QV$X%J34)onFNj)?ga)X+bm2Tu#pf3AF^F>(STPvyfTYJ2VN} z<}KNI2wQ~1L6PJ29s(Xc%Ws)Rt+aiZ*B!oE_r5cbMcAGPiOG^G38*IUiN*gNLQ|lY*`$O20q^cIISJBLA z-yUr0NQ>h<{Ow6heV|p9!wUsyxk<3fhOKF}@vGhvTMv1K9{|H8@DIT1#qBbYzPgwk z(iq0-R;QBN1Lp$@J5~E=OxaLH$~!nr2Jd!;=V-7mw7opQJ;1OX&m1hCWKQ=;t%hjH z_Wj%i%|fO;tgRg)|vW7R~0O?v?X6>zq-dV>*)&2W^=n{~Wl8_v_Ly@i-x=WB6dZZChLQ;|LlJ1h0 zQbIslT0$CBLQxcu^o|TOj}O0d{y67#?!D)p``{nQp4oe^z4qE`t-ZdV@B1?-K&+R( zOL#<6*9>V-gy2G6=;Y@>CWyjx8W!%w3BWP`5nVMQR@O(A_b8-iU+To2%B%{k^UfD9p7BOMj%oE!HD6;C#mxk%_O?dd%IYe$y{5o`L5ofFOTrHCtOI;C47J z&1h6TtBpuw|Sm)!TXQU>4iqj}fDdW1{cPCVWy=NH)2YDXUPoygFN`t!ok_>rVHT5-z;eZ36 z5T(x}nPp62wpc4RT90fIP={g3jx*t*Ga}_~{BQI6 z{tKXD(fAcm!+j;G%vA7ow|EGB#XFI!F*?tyCKt>iF-!A)==ft3TgU;Nx&I>I-l&tttM zumR`afvJ?*ZruDUta*hMb(C))WE|K?jpD`!E zv=0GEQPE8e0-AG)I%9d1?|yfSS9BADc9YyTQ}KMPeeIf?ngYo;L$mGVigvY7JrzK9 zBC(kjw}h_8I-ctRc>kfyJ^D{h9MJzKQ|2@yeY$E{iJyaG5_}4zR+&di*Jl}l@RTZ) zNB7abx(nx~6Ayv|n1IBQ`B9xDnsjQ|2Cw6jU9xt0xXPQqD-FC*u{j4SNI8^t+!7~^ zR%+l+^CL^0@b6JvEET@L`_%H-$YC!w>tg9uE44$n&xp98l0Z#G#IcvS@XE>D_?6~F zRPwRT1lvRn8&){`BlUdh@Qpn$kbQ`C(y@CPdv-VL_b)ah zENd=lWsqQ^{{SXPC~JBX$Qrg}d$ef#kSg*GPL+Xr^-U&C?p1-#_^F5eNl7d}Agvh{ z&*`tV3sK^#$z&QbJTfr1P@hwSWdPyUw&pV%-c4 zOCSvA&dvcmgARDNKTKPCheo{4@{_yaX&wWAe240@k{b|~XC+uxR-Bc1ju6#;6@zK| zi0e8nB_1TTwep8a6LHwu(1PyVdP>|0TRR;NIWNDkWs$Q zBf8+lV;$IARKt4f>SRC)m!!Ed`DyLFxnbj^`l>>{og-pMz?J+Q%3>zHFzZKewPc-< zIHwMC8RB$V%zmvHzZktozW^R75nBko0qnC!b-a#vi@{|1L??O{fTeydC?`Xy^y#iz z>@R@3g)rxDJ-R|m5IgEvC)K;Jaqeg*v5%*#f5(ggNYGSInH>`=PQ^$J;E2Uo;ba0T zjUYe?87qaD3pLC8qYv1TZVX9T^Zq;f@jU9lGBixb^6=xyS5Gz{_aU730@{uGz|K8= z%`uYBYPNK%%sFQE#Eu@s32{)I%{7?l^Cjk#h91R?;PVBNG-Y>|A44~0t>5Weoq=ckj$@FvA=($fJ#L@hh7u*$K zM`-$S|9JhnT3_xj!0}S!uIlvuDzOuHf5RyYgh3uQjrN>KjLjyVmL_{QM6K|5Dx09- zY>Jd){B1VQW0~c=nho>5`^NO6cEDFKcA1-d<~{qhb(4k)N~YUftmebuW_EJc_b7%w zjX^Tl1swSbGVf}yZP?e9Ct?;AqYaf`o#42j5-F7Bf!q|?msnjS8cnV|DX zt^F`A*D~Y{!eVx})YOdU+SEc#<_sHFbv>l1tH2LL*TS8|6YNmG7L#K0OwmyPgIDHDDVGKz`6X{qQIN_^3j5h53@|BoxTG2wu{Ce0eE2C`|3k7J+c=63> z(Fy4stdsytMq;$@A5fM(o&_~neIYO}sAk}?H*kAe8#K;cfC=)$1nGT@I$OL7=a)R zS+>IWO*B`Q-r_iJ)5Ipi%%L5Grshf#5|oTpCcz@aI_!3Lp>}a-#YPQz`~t3HTDt<| zkp=vug;wiV16ShGObt1-v=YJ}>9XO*2*xn>&bRS!+)U-9ul>MDS#-6?P&L;O$D2O< zy-R%sdU$x@-m(#F9(*kTNP!;`U)=fhp0#g_o=MU=6!aq)ovU8V(1Sz9Ap%#`&TD~6 zs!}~T-9j6iW%~gmmddj-Tk!A=?{zHp!CE_+o(E6Bl_mEpgU9m8uA`!DHEIWS*W)|@ ztJ%2=%B8(z@SWN;6T7FPVpUVlI*%n=8w_sn>%f?=h)Ke3_N`AlpmWmDM9PL0&Mw?Ba@IaZQySuP*l+_03M~=@d{O6%r zl;_~3^3)6x;g+b`ai!dml4kN*F|SC#)y!Ek2Nq$P^Te8e4|0g4`aMQK!MYH!ML3@k z1)94nKvJal8V*lHnx(?^mq@Gc3m{%~q-Jg%!IQa*eUYB8=U6+W9qT_-$_e!vBnR_9 zMy34chW$T=5&fTf!{Cfy`&+?vL!W6!r%Gi>_AXuiPg|O(eDk+4~77{d21FiK(E1xthyyc1#Bh$sl3< zAJ)GBGeq&{P8NE&iKm7&#R=o1#v6W0GnA~z;4T`PqyH7h=uaeBJIpytHcOUgE}6do zPkcD)pZmJhI01go`(OwN94FIu^A|6=UbCv1{OP~1&aJY^cr0;S| zUEJFlCzYByxG7{-Pp~4E&sIseD=0w364i8~&IF@RSmOnt%TV7=R+R{7B>m82N#NXc@=~f8ueuUy=8S^fezO{bTkoYk&`#j=zIb?<+G2m2J zm<1)M%aLcW!$pT;vd1JQCz#c&gA*;-}25-1XJY390cE{y=43E-iUJlhG z60k4H3bOyq!2UP%K>yI(coP0kVi^BZeWRxKrHFh0++l? z0^nNea~Tq{ef{6V4~{h&HhjKyvooQVle**uS6{>SjF9Qt#4CX`eiO2RK_y;NqiGl0 zx64dZgwDQ}r(zBRqXKROJlB9M_O7C@;=*(w<4M_%_k|vb0|&Ej358BE#g~qC)h9#iX>5dNO&Eh}L@-s56pSTxNhh&{a|>Ub>9X69y}mYG=V z{HkAGXQOru^6~03X6f3riiwG5v9)FmB!(%*W_VgXUQOKD8g&&SdSmVVAw{XOAK0<^ z#uypkPpy8bG zVsTlMfdThz;e+=b_(&BH<~jC={gUn01tWy*atIfdK-W00hxD={P=n|q&jTq0f(L@L z;eK55muxit)@dLX$K;R>B8arGODnM`jzs6V#qiBY8x52T@#Cc!0=#?U71cdB!-wJB zbYYNAKFSve5{d#IF0p)0kcU?EPGH^mA!irXAjJuDFx^Kcn0FT+HI0K8KMu^XF`V(B z?HnUHIXSMdMJ#0Yzo^(gwV=fN5Cr^+dG^T>EzlH))()!SBeocN%l z>xTVwuSjNV{5E{hEa1uhmliaUvmocA?gy>e!U(hs%DFft{25}Y^n#)TS77B9hwb}0^rpj#tu-bHxs52`N;f&-=0Z3b*9M|u!Te1 z^CA|clXe~AGXlhxZtfM>DH{&Nsf>mDa+@g&OZ7brxk^wvUt~wLW`n)`!kWukn;*5j z+Q+t7gGnP!`Xg#MmL|GF2-I9RtP=}8o_s!GmsTZ>vTiX^Im^?Mc*&(_0;QOl&B)=c zQIzdMVh}mwHYmGKxS`-t*GINM+`!1~rln#G8@(d#l;Jt=ugjZbrwwn@>oceKPAs=F zwV9pMhSIEiT$0IE%L{H8VhCyi!3kxr(>{T)H2bKoZRfF_7I3|!jg3s~a%kJY|1_sO z`b;&}=4?)NP?X*}8|s`x|hYn#0BS9cx*F8>SGqrROA=6WUbZFDeB5uVX}h;rvJiXwhfT$+i2DoE^CI`Y)wsAnut=vv z=k*=9hRVWqjZ0p2nRKA0UzwmGK~U?fBd0FBeL42i}- zF5e&!(D2lGL^e5M7G^ODThjZ>r~}b~ou}U;6XMOzwRmREWjiO-Fjacl8M%ePJw!q~ z1t*(~wyoI=Sc`BBcSQ0_?NvHn%dbgS{WIcWyxlHGmx_NoMg`~N^%U9r<5VnuS9x*l zrdby{8mZBkrs3v!IY9MqE>JYUxE-2oAc^orku_KV3gH-pS+`wL9c-XgROQhmIAExH zi{;1QN}|h~J6u2|DW#G|YE@M`U2Py{rUUng8^&GN*PX%4Pu{zYN3?JzHct$wiPk5w zJShfheMxvmSy=crqK9zquC{drCAAjVcYxd4uCEW&1XN_HOvYcYTcH9c#gXgCRL%qG zb%>I$+qXC@o05X(gk7~EhP$rA{otnxs`j3iRQX)pNjo{JMPIlA$THkYF~MPNTaf6J zoSh+)Qwm1h?S~f8`M1G@E0v#5Ql5VrWH@oM`US8SJ6(~$6Tx;$IOVZg1DsuPJMO~x z1u*9HUnlgl-}%(xguCq=Zx~jWwNkk?AAT0mN!PQlzHgbez_{Xg?%%wR@NdSbTsDa^ zHXtF?2|CeT91Yp4d2^<5@S*Z7LZd|lTPuWP;{nr%{@35T?u@3${#@ug8~lB?r=2Y{ zC8Q}27|y_7@(%fQzEDlq#Fcrg4K`{^C5^Iw{z$4yoG^J4M30{@r<)#3pYO{9^Kw3+ z`0#v#Xz2wf4O2!8O1fU3@wWKj92-A@F!>gD!30woO(|+V6Nzk0Mhs;l*u2)2WkeVf zRd4Y+bVzRO+r+rDsJ8R-ZJbK*_)UIt)$Hyv>w&jmpnTW}d3xt&Xq6%#W_Fatm52J+ z+mts#%c;HfCb^$rD2XP72~mbyaGz-y_T?5ax%HW1R4G7Xg@Qf7tXw6Dz{59lX};LT z1I)_JsO>l<^j0J2m@n+iLoye!MWsV=jPBGpatO|FHfH2{y4)jMncG6i9#?oq)aI;c zK4|t4V~ROdJnl*1`o!vEy#!!4urt2yZqW=~8uUFz?RGj3ZVIu%oIWO(@Pd2Asj`FW|NR2$XtrkL8n7_T?p>!J2eCRF?bI6WY!W1 zMmk9M$?afr!IA#twa=_P`e`}$A5k+J)-SxK#d{_@tyQ-yfsKJ)IgxC3!(N3Zp!HEK z=p@HUx&)5l6TqmBL?X8c6aH5fV6dA;xDp=i(uZgJ9H* z^JBq#Cu#*DnH4+fp*h4mlgfDz2$`IJ@h*rAm7gc;BPXVRCn8-;_mDAf__{CpA34tm zj7ar$1XlvF7?fuTLPe zNi-*)lS-blDO;{B8nc_cODbS0VbZaoAFs7?rF4{dO{r7CKW!L%rNBWu9Di1w31}~* z6-ky52V%`=_nYfvrYuKWww?wF`kDIZhTt@T+x$@P2gqb2q zK-jVMb$32`@*Qm;yHQF0Giyom457|Eo0s&?Npt)>%yymzZ(Cq!K5%EePcZv#nTe3l zI9+2Et-LD*h8cLUj!1yVTDfjTsXvi9Kk#-g+U{Fi^&=kKsfiw7QMGo?pm9lS$XIAN z&C>VBUwxfCo`CipTVP>gGKs$A6i;35MPsYLe7rAgOjNid*r$pP&UYW}zbxRBv^DrS z(-d;o#V>BxiMG88YnrjE66-4|khJPY8r{6preyL}KmUYfiOHl+Oz2{sV;D48nCi}4 zM5EqU?4}IVPx!z@dYXh$O$txv&JlZkjA>>50t)e?56mENX+3acnZO1@rZlROIejX0 ztZv4-!gC6PdlaENO9nJ{6K3xM2p63`pEME<)%-N)T}_c1Jmx$izdBUUXKN7y9wGkb z99mj>rIhhz>x@Fm%M-D52VAaWi{WH*LL=!OBaex=wKDJ!N;CT-X?ooC(Ktzkv+-%1 zpHF`QJbwW;I-DMzU;u@x4ttM3pFa3XmpmRko`*48l@xl7yX$R%a%*&|l4@fwvUv&I_2d z{MzT+0a=gR4xG+1u-0GjMtKa9>ISSB-6<^^$}Q3%HQ`SBa#ht13>h{0-tnR;(0(qV zCiR)!(|z}cHR{1Kh8?;;SxV$1xRKPK>s=_OSBmkJu^sMqf<_2;6&Njg@WEeF-c|iMe{?28 zp7mlGdASVa4w#2##rJXtFAqNiRMkZ zvfdWx>ErlBnd_;=U@`_jH;XOrDwoc@omn8ZQm#yB42HbClEwDMv0i&xN=H+=nBLQU z3u^2%^Dg1!R1+6_pk{9=Q-Q3!mQt@&wCm>qkdl@H;ov&y@p{%+IJ5ITi;-u~>OXIM z9Je1Xwj`lHnuFxiYvQv?Yp7{^1%R|7F>G&hn{_oCYm)5O!y3yw@g>&rhUD*lHs6!{ z!MGIzN(otI-^K9#4sG;)819)GANp1te$RB)4I*AvLiL;Kw>#KMi<-vy@wwn+G4%v? z(m<{O@S*<|w2wbr zQ7)(_l625P^*ePNzJ@$&yIz^=7&GhU$*}C*SB8@>X^o9>p+KOx1+VY6Tht5gUROv} z+*X+~G~_A>P+^BYGNM>*z{gD*!1~#-Fw3v0_;d8_l1FjhYm_16&q~KTKrYF;{6%PL zInvO|%^K=bu&!(=8hzTxCZ-0b9Dwvm|<9$oE$73iIiF0;6}410j6}Sp?jkRuWfxa`BzWO>_306 zT)ydQTIlXwosxCdsU_lTFRafY4q>?9^@ z*;`nqJKp89WTMX#Ea)yk1#$8{+os#Z*A7q(#7&thGTg{m!CJeWzJWp8QHGAEr0?*4 zN=q=Ulh`ax5pt>1^QSlNu~moxWx%>Geeel{c45F8oJ${V2UYNzW|!urg9;PV)E~c6iW${G!1EO(o&%=SY9&yT{z|WJQ=)>Z;@_>K*v0 z6`7C|wwv41Vd#-II0YA%u@wf^%wWjRDzPSy2xGk5Wi09Z*P-cA0 z;tRPJDiA==^%Znbr(d92ItX3}zXMtIY;9tt8k6MoB1x7nP6vDew59L{5;svLf$ z7Dt;gs}h|d)Qevg^Qxf`%|g;*hUO;&h1p&bJ-Hz^zXO->cc(&c-kTD$vgQ~Poaq-f zF3zZcU-44nIQaE?KCvHX(oZtywpUHE?JdB`9oaaTt97fKbBr52b z$!#|)7*#=x3rDW*IZSh09q1F5a(&yGYHl=dcbI$2b}rbf-Rb9VeIF9S(i0XDqsYt` zG8ieOEwBaHVz!ewiIs>;f-0mKIw%wKuckTbAN8m=qxqkA?nwVq+v0}xz)pkLGd6ne z%X1bG|06lRu|uI)fRZ?{Xv?F zWI0J+?*{@Su;fZ+vc@lf;Y7O)5@i8D(L?hq?an2GwDlu_fC|Xu^HlEmFTu_^320HZ zT%H@rJMv=jr+5oPyp#?9LI>*<3GuW=C;zOOke1GFi+}T*%ibVoHJ<}+ma?sdIK{yctW|U_DCw>P&Ous4!ZX=96J`Pwf4c`A z7Or|0J9&dOpO-aV+Djw7Z;bwqM767&`>rAsa^3I<8!yV=YVz@Ud=0e#;oW*Ji0MKI z#u36eIBx^KGL4~Hj-C-d=EHhN5y5==1MCBz79gtLV*1=m@M5xnQ1ey8BW3^N%ZH}6 z%!=wbc)R+VjgdjmvwKm>DmmyCiR)xVqT;Jr=78wFl)D`)WM*C4c>=`cgSEGW-zSv6 z=3!#~m~8ww_pt^`7!ZK(a{TyQR52{-@C&fUb7IKw3vfU$_69uz?Ip84pTlwk=h4mA z5i_5um&$2Ex>3Mrqv-E4#u-k@5geXBm?!Ax4&OINqdCm+$5MPia0Y;<-;Lj`yco>-+ff10eIuAOxUzQj>H9Ma=UyuO)(f}a9 zG|2FB9zMiCD+Lm)*IBVtl<#OW%E7~wtdnp}r}Mh@X0!aN$5L=mjOLw>Ea$$cd;)q_ zCoij7jIp5P+lL3n%Gx-7cLtRQnT1+>tMaM)T5a=-si>&J0ThEwRl}Ie7%3T~+A82V z0xm+(V9C9PFpW_S56VeZt@M1o3{^@8|9o@0iWbOu>E|fJ7}nZLLFOlpfje0m(x*8QTU-r3WNrGIcH3ZpW3xIC=|CGqc`uI zvN3NnGP6=!SqZJd{@C-?=y?8N4@Szrn}^}W7<3<&njli{E7_Yq;m?AarPL{DY~`=@ zaYLHW{Q62cG&TXHx9Lc8^N&(t!%o~&>}y)RA$}0x)_3Up~!-G7p{P5o|R2G9MogOR`pfpKa?d6<&_6fYZW2DgPF%q^;41FD*CDOJ)g>Bm!2YqoYe z>dY-Axl?yzM+g`vmhl`n-f;lKDKM9;}Bx5pn3>6 zg8C;%aHEs$fVy+K7-!k-f{kG%1N_B|l$R#l#pS?$|bpp4_5j31dreB$`R5Q^T2FjjtVfcX;jny_YYP z%Ku7zv%9M8F`&tm5w_u-H|S9rD^Z~xSNB<==Q+6TYz!26;jDu1w0ZJ*H#S(W7;q&S z65U!&@`+lLCb3Ph(j^*_2$}P!TRZq1c|QK|W(!5<@rH+yIm{F(l}-6fNdE%JPpmyI zp<#kDrOsU+!EpE#^+NqrUQbx>k9A-efvDsc0L`*R{o9up4^HK^7s(`suikRuG1zAe zY~nHErlK2Kb%1kP&=mc8JVuXe(Hx65?X$zE+_+nRu+9}(d3Qf$ngJ>R?idVs2ZM^# z3M^6HmEI&hSKGAPN-7MzD8k_Kwm(Wvzt-a7MCU*AmJW^Bj`YVB4(qVT?+2%qwj0+M zt=uW#BvgD9g+Ux<_Rb&A?n8yNw>22&NyZ??{aoT~$$4>Q|`!K$!-}?Ae*~dBNa6ojw!MSrzr|zR!k-AyyyKe>@vni z{9I8((n9Ix;p+5IiLIzoQl|saieO0pVv)x(wd6@6`&(`d#%MC6)qVw=nLkbs~#rowq_TEqYDld3%xc>(B zE^Q{6u@?qu%vQp1jm${A*`@addubYKWp)~EM7N@P7J(u0EEsggVO5#25VPXsUu?H? zY`Idw$-vC|=_xD2^JNhsf5m9^Ne-+$$UvT^6p*ndjCBUZ3uqN`W7Q2B=QT9^Dk%GV zND#{1F)#U_xqWBjZpW=e4s-YWUj}XT)Wc>8wFeL` z+f}r!(qoM$HM{a)!QM@pdU_8=je4BHirvi7?o;7a%j!4y*}bTn-=px6%ZgQh zjF4lYfMh2yg3^A2Yu2;HzJ%;YZh7KFwj72-CvcqsbX4mAAzg>~o8WEH9DKK)JZsk9 z8_DRXXRarL8tnmM4|M#3eUDm%PSzSE%MwpjFl=z>N?npLN<+|$Gz;7uz`X~ZN5cyG zjt_L}wz?J$nBs{HZVQvf@WxH|+x7Kt{sJ)4`8^*l;_y=t>^{+-3m?56}{ueA39ZRoLftUb?Ee2-{nPQ2dE-H=p6WyD>B ziJ|KS<9~8H-92QBGQaakCiKQcKlaHrk)vW@&F3Wnt|zGM-o|3!oBqseT_)+lK$*lJ z_3<(1fjD~3qZx)xF3Ihtwn=F{vR>k>Mx#`Ge~h6`$(6j1=Rvyu^B~t97(g&Rf&pLlWgC zb;iBF*c*XgZDmZuEMPTkz`yG_qo-Oqand@m`bE%sYG_GDgPKW1OMXQfwxX_)Fyf^hUB(e)?MQ;8I9H_oLt;HNnrBapNSeyK1e2bM)!qYMktw0oht)NzLro zyw|2ZGrDF!GJRa@N-SYo?@M)re&vCHN6K<{Nd?)1q|s5aBjS-$V2X5xne@BZ6^;xk zi9V{Sg(>lg=HEQtD>HXvRNN*m5N{n%0Hlq?VM2Z{x?aqB|LZ2nIg{s@#f!N=61R0R zs=`gXa4#oQz=dK`I!N5s1={O8BG(yEe2F1}cw6{amD``w|Nn+b)PLzj|HRh8#ePUl z8iGs)FFy(^Rr#JRRRb&u9R8XD-;DIhLxRaJDabCkibz@7t#f(>5(BL!WVksZ1f3yT zyJ=(qnX61lFOa%QD5OwqnW*>aTL?-o7W#hhWWq7Yw3NPx!_aEQVV#g7OPV@a($Qlo ziFuiA50D*;73YTnW|HtE^Xzfed;oDmIDp?aJ6idUcB>!DdA9lgXdrJ$55Xl79|9$HNo|N^aU)c(jL19s2R-a}223c7Bm-UGgn{*@&2=c>mg zr8WW@c}Wl}e*rkZB;S-rteYWKp`N_QGD*7*7*O;Lk;f%E}-T9zh)r!tlZpPA?8qA*#Dd?>~V$ZdFg-u78j@I zQLuA#hq%IiJDR&gu;EGa@*Qatwdi`PDPp%){Vk z_p;P1$deMZR7LK@;}y1-Jzm>)Im&eNe%2;)#yjtK>-M~9rqb5&m425>7eVZ1aBF5$ zl{$^nm@aC47>BUzCz}#U({AmZ{xRF0^p{^h=~Z5RA!QzP+nF)9aL{@?o}51=yupv z^*lZ6?t}YyS~b#ql*T0+^dP|?k1L^*T#;N#&2^w~RPFkz1%v5hw-zULt2pcc>&|dP zZj&+hSNsc#GCiv=_uVPnY`Pc&^3%XWA<(U$p%90z&z~0dK({LN>=rx(#L=Pbw>!*wKke}zk?qgE z)|eGjQo+YuEkX6-fP~%TcM?6-f1I~%%s7Al$D57MxeOq{$ooiIq5H-d+g#eCR_vPL z_QKC-qsG{^!qKL2t>;5EeP8pwR3dAhRw}OFS7|TS{dsfkUNuS=x>#Ae0wIYVM!8+e zSl+$KcMP7d$8HMJj#jQcY}%Tnr3<4mpL$1oHpVT)lD%LjMA}(TI{)a+kWpe;Ey0;B zMjsbRUPI;^?n68yw*?%%zJ)+>fp-~_nnoBC5?C!Yivu+*a!Ui}q*q8+ zSm>pbdW!N03>t)%y{Xg^e_LJdGK`npsCByln8KvXDg5B%)U`v zzUWiBQW+UPB{C^pmea;;o-A8aPc{=$?-@<$yDo}l9QRTvhd$UkN?+R^sh0+AtK(j~ zpC%CMv3NUNg~tdR1$~36pR-+WGQ<9Z2#1w~a^8ImoJ@vBY1)UiJ$;YJ#p(LmcO6Zu zHR%;}MnM%XwHTZ0wl(P%0XZ7DDLO%^sF7Scv$Wr(p6lq#pw8m=Xy+}8*L`|uX7xDY zJG-5aSeH9datz0WxQI`=q9xwSNt^5)8P@<&Z46Y8_toQ$zc5tQlnAi9gt98@-=p3> z=BU?*q4xG;AmV0pSPwVjIelxPz30^EInG{MOZDpN>0#!>dKckvPSrOD2aF5b_TPzi z(l$A3bwqU@n2Zw&6nds5&L0o#@$%U>S(_3_R93%F+WY#dr8!P5uZl)6I5Q+6T8ui{ z_FBFZ$JOqd7Go2fTQs}m-+1s`)m+&FbK7}pww4Ob^iZ3yht#<2g(DbVxiK)l@Pc|cHe8;H!sZ`q6Apx*^B zP`9v$Si%owz)LR*FNXmi{Y8lk`04qOXZ?K!9dBpYaHat}-tTejV)*;L8)EpAv2%CR zfVj#!L7koM{k}L+dLCIPM<-WpXLC!~u5u7hJ4=X`BCI&)n{`~x@3~n!xk6#bK#rYq zvO0*7Qwd^cW9v>YC?Z79qwQhget!P*3qlkIaSc5Bc2=-4Rz!#oIo=8h2@3H4G2s51 z{02J6iz^^%gEC3T70}}%s6B7dy3kwq)_bM(fj15RiKzQZqHBt(S zYoz4lRM(m5sHhof$jRwB=^0sAf$Ts^Ixb!=HeO~nAlvVQpkQHP;bP;G;Np_7QIS)z z{fB>kwF8K-QRYy0&`@pwP>E2`h){lY0j|ReiHeH$ySx`ar~oufEOZQP6dc&c)>i>2 zsA#BIxLDZuxajB@=xDG%0q8^+n8YLue46I2SU30uq-C_?Iz~ygU1D>pm$AvnujwU@ zjSC8i=;&IwxqCc{PpD;NViuN_vrMX~qtN#ZWXa8+5S6zI3TDk)S%nmSg`I~2<@^Ux zhTp$Y&`{A~pRg0b>Jb$c2Mq)HA*={Qn&`x&d;%^x)uSYjVj1|QwX}cD0<7iqatoFR$2Xc2OUai5CU+q1UL7fDPiPOmGOS0XuuQK5|%^nj%44W zz?SMX)wS8Uj>;7nMUrk3h-%T3=n;YXC{n4kmeysGyhGgHQ{4*wT>`|hjWHImXKAZZ z_ARYh{MzV{#KVF&QN6sE^02~@Py=oW#tyM zLbq6JNHNq9hySPO|I|9_KMe-{m;#)6&~-t>V$CO2pI*iJyqb>ssxY$mSOfFv25Z^p zfDuz5sTM3rXurG4a3!{ep849Cy8avrikI>ttE8gFJMxb6XAXt!d|z}Ps%N_nvO~KL z5jO5yD^H(#&^9D9mAuEgI$|aEv_!K|S7UsLqN(jx&T_~@tyx19I!CO@b|@83e&+KA zv`1byO4VG3-mOPMzHYfo9)j#1E=g2Mu%CPh%~20GRu+|X#LVhLd>vjAs^V~lWw&av<&OoWe-4xX5kI-#Qz?HqkIx47140?4)f><@ zSDW5XovADwZh5|~D`zcN2KTx&EGD~r-MPY^>Z~opO8|C#d}R`OsRZXW^7yz)V(&&o99=>*h*A)+kzA&_XxCkY$kXuT%Ht`bLm^{NbZ&I z_!#HBWbIO>#0PzEM<;~3t28^^9IB+i=li1I^%OmXy|6z_TbX8!RO{OgNVp5Seb*5S z^{c#2#%lWW0rHOffx=s{3!;I7GvUiLzXjp|?(9z*nezn#9eqRNlNM9va zpx4B9X79zNBb#5f<25fvTc0lY_boJinf83Ea;0>TE%2fc0^N*Ou(JJgTmJvMEr69M z>;(?d(V_vuD?jUfZmnE2NE(5a$xk~0V^KirZkiy)hsGGcX9uX}S>(?ZAEL7@^LUjX z)#1B1k~(M1ZeOK}pO?zL4@@Z~m3&s4nWo|+EWYt2_c&qD&+~10&&dlYlOehG_e$Xx z%4WpUrQ5$a4%(ByEU<+qgL-3f(Kva=V}DG1d9)lU7Vl zFne%tjI1q)9)em}SZetE8Q1kW9@UbYTl-l-gMxXjNwVYop9KZcYj%0tcGzz&-FA!) zjd02k@$~Yl3oG#duKQNUliZ~F{lv$Bx8OFI_LbSf)DnG_SfHdnKcUF zd`1m-Os57l1T@r_n~OH;@^NSwhq~rVy>H$tjs@iVxnMWoIO-wb;qB@8aUem*h81uUQ6TFe9&r3JP% zs#`15oZpmYu9BR$iX{d`oCn=Dli3bW&GLdjyfX_(IX@MkcvZVq&oWQ1u>ogQyXFBs z3*|TaANSi`6w#f?GHl1!pPIG&?%*!AcfFS2GL8DFNzZPlNE*2kC7t(*_I!t~-@P5o z&iTK^m2i02K@IM)G2_UDC*(#zuV; zF{N)@&u8x!wF9raWx0To0vauma52oNpBGhrG1J!UH2r1>i<>XQ>t62sS;}T-7oFH4 zOZhZec~LotVNkP!y4!|I@X#Fob;a|(oek~$?tZ1x>}K@Ji?Q8#YE}#D&ZSh~4{!(# z^A1^--Xqu$0e@M5Ukhqpq}n&bs7NEkCPcLI|6IxMuI|6|o?y{P zE9H)7xNe0-D5^?$T}%tHD5BCHex-6j$w#f53WC-AThho_6u!qBMQ>3E7;zr__&8oH ze=&)%SNA|2tLV2z)roruOBptd9P-hlZGE98Z)kjHu9gFfzgmR{o$kg|2)->*;pxO( z*VO3YnZ1CQG&f3(}bTukD^%knGC=r5D72M^kk z+#yo1CRd2KafCAdRP7P1*Zbx#Cv30wp_wbZ1v1~pZ}KViOYg3!pN@Wtc9+3OcHePt z34L5YpHN3BxGWkt&Rw*}e1)dzYoq|hXiM5%V~O?Y{qIAyf-SFwr&u&68Un{}r1r72 z-oR&5_#sEhvbAp#z|QU2Prb>9;h@j$u87S2BHCI z8d;OsEi2zs=?1ZPa{jl-2GV+$6D)Xs%YChEcD&`^hPsBYiPBz z&IE?ge*s!_Z1HZKn9QZNaBskHpin~8uT4{YlD(oIXaR0`0r6mSR*96w&qc5AE4r+$ z-y5iXNQcMF7f--!ub#jh`edT6C%w^?*ln)nOZ9S1+;<9+nrYFg&ad{@E7@R-g z%JCsHOtz}z{OUmAQK@Ld&{#E8nZ;Fp;HGB6U|nH#B!5B$8EGd7je+t_99mWF3(l6P ze&NrbY73xSkggDwVYU30;8z;6I!aK$8jdOeU?XNp(yEnI-}Mp(s+h3Xv+u1>^O$1h z!YLwV%CKd{XEVi}49KpMv6GT1mZDdXhTNS4_a@4PY*kelrppHh|AZ!UEniX1M>)v` zOxDxtuvv*cp|qr{H=hr|!dt|eRG)lI_WjKwew*Y2ldL((AC{Y6604*L?mF0J$6(9VrKxiC zx`;76#7RH2@je=B(*%eMpPYX7^mqIP7!6Dv*i8ujDKy&)d?We8V)2oHKj)65k^Uy* z3b)Q|Oq|oR4<%&F;8Qu2uXEoI>Ss=Ce*qM*Q`?wIAGdJnOx63oRoLhHNg}d4{C%&$ zFIR$P?NvS7tq0Eq0;o_TlNISd)m#C*S$t0I>2L81Fdm(D^ntYaF@|EJ-i#=!6H+mFwxK2XYtlNcq{$VJl*N^q?61H%i@dQY;sfqgJt-QLfG6su%yr<9HLTwgcxR(w@i*jB+rr-F5zKY0`g zFm<>Qp#7P>?SSZ@!|8dy1LFr>|1USDzF=e&j;WS-+e=A0vAn9e)_t1(Q)~C+P-riP z#s%n$!n!OJoAB;@KXJ^T9PH2Uq^X5&2ap_0r=?CflZ#1@b)t*te0N^{0&p;&*(r`c9sa0)pCa~= zgGQj=`(gWE0P<_yL-U`E7R8589kEDf-Zh3(&+ufn*~k7^-FbP?wrM=%$Q( zUnW!JSo!ef2bWW(jb8(v@iUWp;kdQdQzyBd>P zo9z-2rm2W4fPMu`FlyZY;_j`(qVC>*-yuXo5D`#9rDI6xkd~OCTZT}&yA=TuRJwpo!wl&2`2Fp3_I38&=lWgekH_l)KC#yN#Jbo0e%C+`(3R9|uw8A?bqh zyIA?SJf5IHfb^=JKG|ag{S?_>=y9$(*Y&Mk5goYq?w&U{gBW~fC6(*mJBl7UtT#U= zKV%JM>$*w9Kj;86Qedo=6q5LWbebw-fl{_4zVS#qx6V{(Qtaa^BYL=Z6=k(Ndi5ml z*eZUEDZ;%11P4f56`XgUQV1?{$O3C@ZHCB4~&E?_u7ud7&~V}W*R&TohTpe&e+c1&l9lBzRnSK_xyzOmnmBTzewqK z0`Sr6=V8aUJ9DAw>x5|p$TsoJBNEP*MORrbR(r^Eqf^Qd9jx}gQ~4eGBYUMM!^?=z zlMdgNey@DKu($|V+6dK{V9S)%^JDDDq!$iyV2}e5K!l!?EN%%X;!T620-%ud6-F9R z>P?fuso?iD!f)p>&*kzZ_)Tlp*PNIK^>QBPCwzm`mhW(WJ5PS2Nt|Dsz+iIC5l(V(HmYHr*8+_f zi4}$O47{)ZHF~&~cVr(GuX1(pmiqm}Nzt;qey}XlO%_ozuw$(Wtl7%4Wh53vEa*w_ zac{Qz1;9?7Q$l;(W?A(?jtB)>PNi8yxoutVp0Kb`ydRE2Yx`z4jt7-Xu zCwD1zXv(X(ByWi!&QG4TS$PPjI}nroQ>zR?CSV5IcX_ufAK~gE&&)MO0(E4_R4LPP zvt{0b?>J75?eheL4F*OSqbVgb>O*1{N?5WgiNR1$V8#>t+0yPfnk=CeZ^r|?J@wlH z0;8JAgAo99@w^PR$PRKbXZsrVb)UDLM=9YFvZ?$6a#|ZTMOqDJolA61!e}sLK290e(!(~CQ>}`eSMyd3m_rBW+kl|zZaH^)FjZtAk z8q^Nfun-pvVX5)RvLh+8(y5GHlpE(u-hD^M#u!7?N)dRaRZoXU(l06--2zwX=^KiS z*=IuE$I3=!7J51+`Lc^xtK3s#UD>-jI6wGSQbH72{N%tAbcrUu3k)X;dDNh)(fF(& zKg@JY?-?47LPUL+o#|38@m%Mrm&S0)^9f7*45LlVG|U%)KAgV*)uK5{CwbHcH{D8u zzHyu~;dxUio`lq%>O3w953DbHl6!Kkc3jbet6GfXYGX<7EWi0aVk;V@lc@Bs{MOX( zh<*VEd@H}gX4|`ZhhvX^{;mrgU_l2m-{j{W0T#8 zit>N#RI|>PF5%3<=YTko&p%HDjBa2i*-0u-CP0Ln*=Y97mJN|{sRb-QzKQ;B=ZiV* z#4d2s<{@wNGKW+JUx%PgTde;LLQV!K5YZ*38N#-9Psnp`0 zobDk*sR3Wmzk7V|&tL@krySBtAzCk`blwuYKVyOUSC5Z(ChQkYm)Fk6+hn_kP}zUF zFzxN%JN>)I|2$DFqqiChVr&H{<$nRdw_ZF>t+(slKs5CFKSnutoiB!tBEd8NiU3!` z<9}Qk=I92XoHpW=8MWuTqtCt?^r7Vt)6!mFn71z+10pUBpSr=d;_$9dJ1lz#-Q%YS zYacLnbOT+khvG!LWeZ~H*#3+alhN)(Wz_NSL5|oi6W9}6)eWe36(ucaD z{ibmF?oMIOWCjCUQ#>WFj69wP8LxyVWnHaPILu#f9NU4%5}jTI;_)tiQK-#KpoS%e zGpA9)LakY<=w~V>pN`kN0q@k3#xzGeOWd@}X1hfR#1FaR>mY$s!p4I3{`uJl#K)S) z5uew}tmk#FMjwnw`CwPz?sN^A4tcl6?P}Pc7NIgze?z-;7Kq7R+e;8l*>dM8c_BTco zx2IXqCZE@+vQ5~I@AhKVl6_`8RR1K1uz*U8Oq>!KV6Ew;<^9@DN?*XRYG&7);y`ax z(3uA0x+`vl|Imm($7v6AoM}&zS2YxplFiFuF5fw!*uzRs0+I9u=QCOOQ=YscnVt%) zX%?|IxHGf}e`njJxU)s0b3kFIL#2+DtCA8hfhMQ#LZ`0ga8l@;QbXtfPoS0w9v+(z;Jp*X%B) zSN6uNne}73UmWJbVp?)+y3?z~1)i$PvyRe2PkExl-i|S?>9#qr)Zu^2`*^6V zDk>-;yqp)WpdzdP-c85446<(d0kf7Vr@bGc1TS=C8jI*J$@4PMLWyzc=sR)sO5S1V z#Ta?@{6^h%`xH<`*kl-(%~A)yFkJ$~i+O|m`*G?PALsR}sp*)fF|Jmjl_-6W+GA)t z8gkb@S`Q1cta!a1d{CEu+`r}5;C$MOgDKy;jIojVu7ko3)qptz?EL6tXV=3gyviqH zdXMWiug`?LDF5{S*zj!PUftc5Ngkw3hiVsw8vmBh$4*TRJxEM+$5g0qoy$+GT+AN42|y5`JIX zg~Sv;UCOq^1ywXs1-(2OF$0!DY*U6A)CLIsk2}XRq_5unSm{Dl;>B)u1M}HFH?EIs zLeL^-J#UVK+`Yb{WsOUsY6-PMLJ z;6DvGEyx#iX5F{EMm9)~_fw$5S(9pNrM&{Iu{~Lebk$m%5fhCnwhAxfmnahiem+Y& zftsHb{sIh%+uC1`KHhq~|FRw@HM;fP;Zy@64`;z^9jX&h)zG2k)7g9a(5rcBreW9& z`TtlWJBMQRaKtvkb2Va&eqt$IJFoX&%8inj;W_(6A&wcFdi3V-HDc%g*kRtoD|OcC z7XWtWTmJh>{zG8zq0TQrZs4CYVGUY&D-(w=JDuD(P1_Fmy6f}2>#WbcH4krUDkM%P zbr+F8QoZN!XJ6k5i`3{QXGF8{TJbUTI4(QGt8{ni7l1wik@*n&apT}0O~X$-{b0s* zgfSA5WRev@dL+vWcY`)glu2w;J}vzFLbN#JIQCa%(G?SMj|*)Zfkz{K~JqsF-=C z{cJ6b@80iiK3UCt_$GH2TlSOoxKnuH@js93XSEus>ST-SGqC+!1FQMqtnGx&{A@Su zCsSqNc@`2pe!@|&nr0pP{Lis7JT%Xn=7Vh6>+RFT`U?qxiz?_@FBQ~

zSVZsy=XUg-?gz*ljk5iso)41@L5XGAsh*36M5~|RVYXjBmbzOR8TC1t9;?kH-Rmg= z&Fek?GTCQcrz8ZKZ(I^>o11xgusX#PcE1)%lR-ABngF+_!TB z7S!t%Ej;TLFSrKOS~@(OYp?^y6DEL`izmma_ZOFeu_g|dR09B1&^qpsA;=aQ6mUR} z_n9V0kx8(Ai~u@Y?PglWnJ`T4X=sAd|5%G9kh{44oV? z>D+Q%eUu7~H#L&9{rVWs1bZnfy&q9{RPo9qBN$AtlyXiiZDS( zWo-TfCBa1HJc9nlVlEOJs5bnbln@5RNa62q$_NdWc6#_XN`iioxQY1-i$SxI`{xvi zj$}rH5`SM?7v%PsC|nTuo4!F2CQqo()nRJszX_kli}cywSj-b*B+7$o1D4-6xr}Hq zfqexUQcR|nR$`h9oi7U|_j5giwTWJTiv7kT!7zbOVH(XofB)8eY>WVRXa?c+*Ozik4&C3=?#8WXZt>>K=5ZV-IdpTs@<# zETI($wz0bm2_w;x@CF69wbzj?)8+j-_y9X7)^;^4J1Hu-v9t}U6i>4&#JdroY=lp#o zpe3Sq|JXthC%rwB!fZ>Ze0rTt9z2u{hJgbyqkXxSeK#&MGMWB$^JR#V>t>WtkB!W^ zsj8~BzVj$-lPPjQ4L8R1>6@DYUrzbNCcZS5)vpbTrR$yveYNw?u*FNzh&<)v9^BT6 zFBXrihIJDue`oP*VE=fn$MjWB_Oy{{mQZsZldiR#nnn~8B1;=8Y}PgLWlFepF<`xN z(KPEWOa~fcxb)4IuPclm8yw>|2cWwaM7)?~hZ3|y)y<#xaHKA4wS9Z*+siJJ!fd9` z0yL1+uOR1IamdE-&!!_`N?wct(ZTOe)1IRWVHF#>ClsZXLB}_W?w}P5u3W0_a~IUq z-zdZ7+Td^1AK~kW8kUP2>iiW)Ev?8jA6cu~&f|VLW+<3V``<-mAR9<*rY!uYfZAP_T`+A8@}HCZ6jk3Xn~$8xt(mxurb!CYL`bNL_lZ$jqx zByoveT|A4IlZ1w2-VDqA{sO%RDDDHr7O1KR3D9~JF36;^Ui@C=966;y_3=0BgLo2< zckKneBN;|?5Y$^BK6*Jvpc-~XmON#?><)Q3ViAYz;RPG?->N4RC^l4M;SDn}#5qjg z0jVhf($1Nfhe*W+>r#XGJwN^8hc}$aZiODkr0yhzcGyGS?#uI$nSAM0U?E{) zCGpdD@`pbd^2JfB0tn)y30XTeU9T2)F~X*8%-Zt?eHV z&J!1cKg%xOyLyZENiUAeqBtaC9K%#V+;c&8YsEaaIt5nqD6={Fn!r3E6Gf9@DaEKC zwxXwlb=RE*ikNY~-WsKeTjgBN&||hLX7&ORd0a@;%NMV1Nl3zi616^H_4v4TcW?6k z)aVYkmYWb)QS9P;HLDys#L5&ZOAW{3D{rk6JqGEtn%W6{PU{isO?HJ<MoMz!I^pB6ORc@>Xlh*C{tK zO^T292=R^5YKHZZ#!{^|YEuUZzFiahsEAk>ee;>-y^}J2Ry6)Nk@xJy?d@uULA!|q zKEx-=nVIdJ48VrWf&(O+9qA)1a_#JcZ+;4z+7Is2>NUKf5~f2UQyEzTA@tjWsY>a0 zOe<}HN=9lj-7%WkHcDSTc#`bsZ%feRLq?paG3Yhpm;vZ=gm*q5!x0%?3o)+^km-b0 z_X{%xosG1-@8jxQBAgT4u~;Jm=qp%HtkWp_o%wq<9(3NZ`}PQqC#MEVwlNV3^j+tF zcx>n_rWn3o#>u)}4eMRe`UMF4I5*4U)?Uu`EV(<$s$JgX=mayDIG4cYrxg$K~4FcD9z8zjDCs(BmRRiF1x*2d1b``>zT)I4Ug z@tK7jsro+JsyJKHu3Ms%3KhI>U4$q30vx69;fLLG4XdL@Y`BJTPo|-d_B}HCx*Zze zRa{#%QyR(Z$*QGA4*|(XchmV10=e=99NEC*71?$ zzee{gcUoh>00!QUYt`&LR5kJb{`O}onKlbtI`Vkn*&J5|GL`nayjrmfKaHZ_L<8*< zF0xb4WoOQ{J05m7*#1hv7vK}F7Ub2;ye_kP>mjpiCH?N(pzru9^<3<)zjk=%AE$9O zBPr^T2V0RYj)9_Q@&m6E>mcb~Ci?8Quz_A_{Er!4z6?1Stf&Y%M6kQRxJoYT_^|DO zEL51V{{B|O?DpBh{qIyTojt4$zm$Hv6}7b*UFO`gSIK>1O}<~Ayge_6LAaM&*9?}|o24A( z7IpV;O%Nbl-UDr!>A`#t<^U$WCox#&s2At$AHj^C+x{=LKBrj8Khk>GwL1OcZOF`!U2yiQH1EYY`>X{#H`|CB9y!GLfX(r80fF-ax6`)GdY_-pNO<*m%aF z7U270?BA0YEZrc6v9NWkFIl+&iBlO4_3W}i(XId9CN<9!QHFw z#ccWFXCpoDiQ6!l;+Kl)iVfm?PaLb^823+%<75#>*iG-|b5%hnn%HZVlH#RrW9mwi z;Nu-^%IReCrB=KKN^0Y0Dpt6ZE9*shL(}VS;2)qo_D4`(D}y05e6<+uL$XvFhxZy! zfmf5zN{z$`D#WQ<2%QPj?;*z(?IP5>l=qR+`OamY@Bgb2MBQb7(F2HYI3jO$)ZF$P zF!ZgUqdeycT2NONiPw_+miLj;`R|D0^4*E*72&tMagm6OjNjJ-Ne|3j)Li^e&8v2( zau zoMHq!rKQ^ISMSwG&m)fh3oyw`;f_9gOWt`rxW;`W_)!^EXUbDAI-vF;L$0o>3Nzz# zHMF@m1JA13tIX`OZ9yWOW?q!?6|aezGR6#R0WJ~Agv!jAi-k`dv6`p976{h$n^Tr9 zKVYGp(2E!N!Z}$bAua^K>F9tssfmz$Bvi}V_>s1K!`(!s+6>6iP52;9yThNSEhKYX zIPSekCFKVbn`Pw&tz&6smup2i@wjfufwu3t7lEJ1M$rcCa&Qjll~|MMs#^-WQ<(H7 ztz^$Mu~%($W2?CR3b)}qSk2aYiVd_lO9uT1Hs zfEt3>RBx`048iDG3xtfk2jZI->Av1h3rh_U0pKmE)cPSY`ibDcG{0Qj>e}qicyseC6;9%F=p`{9LZYr z|GZGm6kRj8Z|pam_tdCo$0gT;`*;dyDj3RZ6{h($J~nQes|@;ZI5*maik@iKn^48E zt5cQ{D%{;~o*gh>rR6Osdb>dPUJ#j_jl2RQ_6i9PNiQ@Z6ll~J6EhvaHOP_vZfV0M z-M@h0z63ls%Q}rAG3*V&y?Qs<_5$N2sp&hWGZ4zD{2y#kZT5+`}4RiL2V{%L*xVS z$#JnG()wgGe)FSBlQWCaBTP4JBpJke(D3Gv#NK;;bTDvxjYau|+Yg`n)~l_}sPZ2C z=iBq5(z>%B%fEju_b{-CW^vlaW~BZEHEj4H#6p3NB8D)o{*<@$3a+iUd|VQ^106WL z<>@uh@R)2!?i#Uy^+R9ju3DH-ws$>a6N~<{5q8b5EQWr*7!N55f_)$Q^{xr&^#x&<1F6nuF~UWljqo9b>-_uVk1S&lZx191LQyU+^f$O5CAckVCdOALREbw z#Tk(4LN+?ufl(u$6PumN2JqS!2&kzU8G-n~*lYebZY!-z_Dk^OF+uCZ!7UUTB6rrf7-_2^>@f|XE6rVZLcA+?mO@>dZvg3N#CL+JtMtV z4kMkJy6^Y0w4iLzUf7}e!(+09n=@ej%dD5A?#r6X<91>;_~)VgNn_^$w* z;=4^ZahMYVK5+1}udfu}v~UHgSw;+DsG>bXE!I%cr2|`+5u`QFwj-u~y@Z3frM3p_ zx;!fBpyCf_@J#`rD*}8skfjYWTnOM-q5->-eR!^v?ka(kB@{aNbTr7(lvW2ophipo zB!F`qgh}j&4etQVA*Y%ERNWjp>N!$D0c$@j!F^e5)F72~OpO~Eyxq~j=`mQG%ey|x z59U%qrO>5AdaUdYP3>5!s1&A-?)x7W@@w~5iyBPW!4Aw+MFc!+_@rHdD$I^oBgNrY zf0!{~P*kfc>(@x!G8=M~kJNv@ob0ICEKagx8r>^C_tpt3Ccej5kAA7>lc0;e5uR3! z1)hB9a5|T-RUj1KiBO#GTAoUdYRn$%vo3yjt?t<8`d8>)!EN)OlyUdV*M`=>X9^-l z>9CxT`2h?;9f>kwbP57l2BZN?Z_f5$6kkY9#yStAfh+p%(pU5~!FD z^<1c2%-X239b&miDr6!GDOwYzp&qr1-F=aJ1Yn{bbkxg-7s~(VoJdZC#BEO8l@v>;;U;N!AFv&Xt_j|jt+3#C2rsmo0Q)MJdC zJ13XMe6$cY%{X>#)=>MxkP1vgrXPZU1ksUL=i_*{(X|ZI|z6zeCwuX!^z_VMOkCFq+Q;nlpM~0bE@25oP8ecbT{dPwoCLg>-btdSIYCDBaGGqY$R%9AG#Xl4#j= zXBeSw!Xb5|;87ESsmYQ2M;_EB)N}MXxEfhYKqVED81HBXQ^4$4pbuUYyk$XKN6O(~rh!&sF3Pk&>ya(zq|Ol<~khDY#) zN|qB|+P#_v9SR6ChDt@oP+^~t1A~>=W~cqrN_N@ZyHwQp&!!ou5;&d_VW);>H8J6u zACY@^svdvMyO%P3)ILp!da}r^|NJg~v-1}KU+!dUdqieA5H0)LWb7Gv? z{0WYlm;Xn9USN?j9R8S<4uGqL0@`WUl`3`}5*!H_VEJ-17>a)yc+c_o;X^wwF6QGu zjX4gRD%lwd@7X#vvC~GCmf_$3^E7`n$%np_10hrs7-84i=Zx1we- zIj#su2o*qNeum!r_pBR9^U!x_X3clD#kF29=eFJld+R2O?xXjaz57$4&86(IU9d`S z*htOUJNf}#!%@YBC#tSo7jw zu?R;x&Xh4b)^DSFRZ`-M1R1Uzvr79ztfoZUI8y^VOSWQt3uZ@lfSQ_&igi>>IQNu} zE`ilHAEa(S8b_)(Jtwh}G$!4@7FLRm`$q1wzY2iXaDv}^y3({3YtP`_GHa~kKxt`i z3^zvF`~W!UI+GHKt!HQJ9=^g)%}XC&D;qLsN(kuKP5x% zz(kwFK9XO6L^i3)k>0D=W6(ikc6SqfmA#KqUQL1}c8)Gur4=@UmW}=E7LJpw&xHOGCARontuU$0Xhx)UikyVD^W1Jy_}Z>E{5{CYtOI9trMrH zLc4@H_+Gt@_2l532g!$4`N!ghv`~KV7qghrCBd`%HfC9#B}disXq81kESx>lpUVY& zf`BLYHK)p_o<5zQWFP1D9bOzSz?RegInZ^RYE(px;3Iw}l0T;u$05^9b`Fbg(yb?r zeQ^@|y)4KyIs&rqM7&r>U;47FptLh~i?I(>ys&_~n`@-%H7HFWrBirRKu_`XcrP6b? zrLtH<)t$+5XA>Q%;Pqbsp7hbT_MntrqejPX{XQRU(yI1&x`JmFoOgh1yBGj|SA0!~hn_WM(EFO#lzo26J+P-kDx{~7#AghYbM&yvkLefm%Hgj?L&ik-RHPABh0V!E7e&{|*ogXa zQohi!agetR?&YMciwe9@bl_!)!~ILg2jpSn&ux9qy(=ybZ#aMM6olj&RY-mx{{MN- z|Eq%H(tqQ>YC78g8G{R+A2XE)YV{SNbNWMe8H}^ z__=#Fl%MiD4LU#AYP8Y%4IiLrJ#xF5%1YHL(tv5rb-PVra|mCs+7+%BO?BV8Vj>lM z;a$)KT4!+$-&*?Z=+w+y>kB6n6c&M=aVu2mkT-qlkoUrQ1BG~CkyR6F*WcpC_7gcK z3lOXR^4aP3WAZ@(y6Y>5va4SJI|TiwTycy0``9mlH8* zlBe`!FjM-?t63%{W;b6oO9YUPe6<+{LZBouFCY59P$(~PsmY^u-Wu9mtK#C)5=l3$ zFuP-<6ERdfN&D)xt~44?9&QgdnT3L*ZtYFsw+4*2cgTX3S}M&cU7_>QeiUA1~G_Z|dHf5tP z*9h7|$qb>udYKAyi`e&tEz#4T>mQDe5mf(@XUT~ zj=62N;f|Zwlk@V?z^A9#R9B0;0q+PAKL($nr^fOp9aNkZe76SFY()e)yL z_}vz->yO`q+VqoiY7x?m630(mZ;cuInaQJ%%pce2rM@aIAu2J|pI-gxK(eqs8&-4g zz0YbJ(Fkn&bCOy21VNQsOrRE}CrF-S$p0Poi-zGl;f?CMIeXswv%{ac`LEo>FV;Wq z_SEXiRnv-9X2;-3OZD)7=^r1)|OJ=lgUoW82PWgS{>**9jj`YI&{uGuhxsr%_ zafR=Bc?|cv`=U+l(?znu7IXm)&ia+KtA%s{X-!S{ho4qJDQ zJ0;GABR0Z_iDNTC=OGp%^()BasKk>TDBrFYr8WmZmzFGB6r_1w_oM#SE47hII+4u} zHgIOG=gEiQ8Q0-!M8`w)%o*UQ7<5a2kf1*n+qZ9yVt69Q!FBzjqU?-c%^MuutN9-L zf=*XCj_*(3Y@|E;9(NkQ<=a2$yY<0o3zogE*kD7(+l%l8>B{P?U7`S^2hC6o@)<+< zN=_uU40n@$0VH^TIF5~x-bpax{8?&}5OY(B?Db2dc)J_1vU+Y2w@3fE-SEV-5=dnP znMl{x#^PFX{_~%(M2*@cy?DtQcw~m}nqVeB>Lq}2?PDBoSIvE4iwU=&P3tzxe&>;w z9qFn7fIr$N@x?oh*IH4oNT?8?>bL-|f4_e&i}p|`m2qX*s?Nwp zvweLmc!CM^S-B&(jB~~?@(G+gRy9iogql)r;|0~ZTW=it_>UO*)OMut3yht(d9Zt` zNSr)cw^`?Fs-*ND`Z!Wu7%Y!lb{CsdO*_L#M}uV7zd+BHsf~lTuavDVlAp6HY9z@; z-pxNLAi*CuB;K-9CR@YGcQvWbZ8ONxJ-=0V$SS-_pBYCYlZ z^qii5WUU)ilOPXct$m6{e%FRgtOQ%!X6f(ucWDPAqql;!ZV;HK;!{>ul+8?wV1N<- z7TlSzk^jsQrE~p!_Z{|C|z=yUex6jfgW?u}f+uoG3z0O&phj@+jO%qe&VHsN`g5GMOdz>P8RXm23|>@$I1ftZ^NL?Ej=w7a zcsHjjijq>E>Q=dL8LESQHbr1rPjWn|a4X96!h&9u=u2pQMm|9Q;fofG62{O`v?=9p zDD~3v5apwWdH|UGeQ^Z+|HI6ScjIh5&Zs+4FKG~uz0~2BU$SqQ+`@^=v@+$k7XNs$ z>BwzczP(kpu;0(sh5uQZ(&jA<5=!SPMGv8LFVyxFykDx@qAe>^K|5oM9GC8Gmpcf< z^z}F$d-~7?MCG=MD^~fgz+o)`$wwg_S0sSMxi=VPt8RqdF*KH|PZ zN7WkqVQVj}=lt#KX0}e zn^{M(mE#v(5S1AasLBIY!_s7m0$?!Hp^;l(Y?{aLT#}Enw(I8J;QndWoOG;7(MUGu zi%%fCJkMR8AvT=8nA|Wj-@3;*Y_@wWaqqJUo-7y{Sp+Q$Z|It9@1_>;xMJzygkV&= zW`;K904r=+4DcV^ZOAVly$h^&^ZzouS}`=Aqbn6%lKXxwR8^bUL9PhMEluhgY6nq+ zPx5ucmd2DMtK29ZX@9(syY87aBhO@5UG>aO#T>tae#y7kvHtT%S0BcsJz00N-Y$rm zMPjt`?SVkDAg~5LaV$nG5R*`%6Pv1SnQQ88BqSSJm0((7krSwftMsAc?p92KnFOgw zoq2JEX)cs3MG^b9HI9_BdgLQ%w)`p!X%BP^%mbCvP=|&!L3_1K5fm}icse9ztjBQ_ zr8{IS>YMkQKt-`wDBC-Mit;>a;|`$HND4G67L@L0*f?RG@h(o|3jC6{x*!WzZRCfa z=IUQ4jRx4g56h#-jqVeAM$+3#b$%5`Q&Rp>HngFGOyzM`d* z>iOw@(J{T=LF3cHFL=URMchXu4GYB>+^AOm-$sxBH9ENv;O0}{ro0sDlP3EFsSsW` zgZ=OI6~emt9EZOcK1}BW5=-4_a+ihJOpD>4uah`2kdx&J@3jap^H zL(~;+W=&L(qzYvSs1tR6bT-c;3Co;cT6?$g?2c1IqCyRaG6S1YWIPfq_if%F(>435 zpiu6*s95wwq1r(I?a8?OZZ9Dxbh4PlFk2=oTP9s54Nk*e9_vw(wNaoo<;QAW2V7&g zMH4r0yjM*1l};eNPTo+05mO`g9UGP$Tv6A#cw$h?cJjk?1*7SXCYRKTX@VCOu3CA) zTNyoKKTAmq?2^`^)m0WXwca{DZm95JK2yxICc@+Ethn<#pK-Op5yX)Y@|%emwg0t6 z7rsu_VM%q<_n{l7faKR!5Rt2QpMMlPc{G#Shw}@d0egyztV6|}eznT$Wk-@t`x3NI zXqI(XNt&0_7mTY+uOyWl-+I7Xi&uMax4nWAq&~<>SF0|5KkzooyVH*QWwr&kZU|KGsm^`r1&1g1Sz)%0`S%0=)fLYo8 z@KTCYouniK`MWS1v0T2trcm9N#oR*2kd>7Oq|(a6^&Lw^-?n2R=g4HR z4+!D|Ke$^*ZfH2S>#$xdaNsoVJp@fai0xd^^3?ltWdmQu{RPl9aFv0?vGgDzV%}Dx znpt;MLkd%^>D28<)_1-Ne2jvS+3R4}2w@0o_Hkh|(3{LyC*SwL8+Q?AlVDEtxmaeF&@v=SQS!}1JXyEf2j@xpp z9*Ev33WRRbXia=34oV1636qip3eu8xyFO)qp6<30jNAYAsb(wNs|Pgtta;KPC0r8e zVJZw+EF(|jhN;_wE`hk{?)lwEnZ{e`_6Aj<-Nj#q!}1aWpUHGVie8h&$_G*_^80H^ zDzTb6Gi7tFggSoYm}ZbN)sL(XZ`pr4&@6W>vQR5x^{FhKLQA@E_R6@dd${*I`nQuV+m4<Se(Rkr87X~{B?bA!j-%gTMRL4n3qCk^ zFZlx&g$*RSqC0KlM;>QYLYt)Iv_7zq*gxnj4fB~GBVzU5-A|`Wk3SCI{Y2K6-NDVH z!TZ`kHJp3~&%kK9d=_R-)Oq(ACh_|*TO1rYIW$^M0QP=#)O9a?5qeJIV5J{*f$FmU z{3p|Jo+u9L+%29ClJH*N9z`MznPU)4|35}6035g{T zN6r@0M72|nhq#x7(b>8%#y3f14oe(!{ZMSL(U{*4JPxfa_S=+y-UWJT-N%zBlZd1J zQo%kyQg4`e^wvb_NKDV_I;m!1&3(%ceOj>huN_)NJel5G-s9jM_)th;x7@AcRLpg> z>7}EVqwnw}!SW@T`Jp)mtFFisor<$H0$!{4l@Y@s0aWzxO3BBMdi+?>#*PP{it32% zAxxl*-6-sMt6Vp24}!O;kM&txvgkghtq*HrNvK2pxVD3XOAcQC0yHQ*7ih5&bc6G= z*Oh*u%Z5HKpA-iIsi{YOJDrkW3i@sq;<4`mo63US>z4XVlbB`eHYjiSF##Vs2Sh$a zqkGJ_3qRK9m?qIb?Pc#8!B1R|;vgy3$!7X#J)f0zGlE?(Z)#~hmc8`)qL`0@#l3K} zwu7ASUZp-utKKVbzZ(R--03(9Nk!;anX!KyrZBghUB3n11)MVZG$>e|c>K%iicfll z=GCFtyT6^Ts;at2afl;)1Q5Pg@9Z$bw-r|oO@0Bo2TuD%R_pGurXE&5t`>ZJ>(=A# ztG@u=@kfrLe{8Y@@=7@*#nBJNB%;rctNhenwu|x1E^aGK>RIx%(*!8OJL~%P;60^V zpFfWtBNAt`Iikr}+?MSVyOje=#Y2_Jhz!c!Pquu`iuamx_NMvLN(wQ-=GnG_MMLJF zqixu~sM%QRaV5A-H=h|8C39LTRKZ#**vliI%nB!;#<4Ca6+hn}(&Su7n#J%K2vQ}R zAKm(q;K8p3yJ^i?8Py%ir0Ta9I#BXu)HhwP`N37wZRwRuzPFop5<)GEN|F6u6h3zEADF(<9^6Pr}L5UPiLM zV&Hq*gX9f&(KkC;f1lT-lXdHBQfzSP^IFsd-idwR1UVz!r0^YtsuUcT4 z1~`<4FD!C2I&lAiQ-=DLGeIzT+RMXn8KP)(oSF?j0iX&2bQv+ zr3q7$NXt=2d)cuy8yaocW~aHL9%KttON`0Kp=AaYt7fqO+>mV#o;;4L;9Ibew*XVf zfr$WMH6}nG?FLqau{+~botA6NaCc>wa%nc{O`xVgIUrMOviOik5mYQr@TN=jj|bTu zk?dc9&wR@bnPy@y@81pf?FUWYUnI%v?mdvOCCP&nN<9oRWqeNBX;avb*EyOh>L7I&<)@YqVCgT(+0d8*iRlN_8yJff@wq95${lHz(_`SUfdX#IEvc`Oi zj?^9boE4MUG5%)f_06PLGC%HW-av~*I*$n@eex)@#(gAg196J7pnLLKA5FeffVroH z3hREHwwLWu_Oj_#i(grWzNDF>lnmMJirxs0t~0} zz3>1ha2(ri+v4tVWhl%UcRoJGRR#S9mpDZ5RnCv1Jjw=^P|w zvil1_H-pQZ`x0#cLMk0h7sYX9r(7elNnsdf8s(K<`l90w_0Rk!HOC6CRV@G4`bka0 zkOI68D4%q!^VV?kq?ye2IM*70k^xSETLO&@J7oqF5&05DFad}G;>KsovNn;94B0mR z6%+7HP~)+M410hwvtlw48UO~#7H?lACae$S64r7tJWk*l14qv`{5I6K$pi~&YxC1F7PPV+t)C77*Pc>etWdIpfEV5LF zkap+$la43C^uR++1P&7_G3g|5YTgGq7-)Qa>tn`5ARSG9_bfw&7>ax3YMXg-1kLKQ+tEJ$1VZz zges%Y-1D8Ll~;;FKhF;b&du+B)nx9CiVZ=$wnN8{C{hmJJ)7fyAUAmT#ICn*YP(x_ zmXf)P!bNvtgyDxl6$?YRgX*|^ZD-#*^-M~H{skv{c(r@Po}+o)e12>F%ax9RY^~Fb zfy$^&dd(JkouDCUf*|#5g)QkkOF8PdIsUkttn0(ltx_yy2myVnK0meODY0wsW0 zAboMMyaj9uMcBN(by$(B>#~kr<(A!50&9<@N+@~#3#b_cW^ardXLFB)D|XsW6cndl93B?YjUCz3Nz&x0AiA6) zvbLYn)TP43rgFmtucso&vgaJ*UnFp#h=dpGpnfGA^g zgI2?Uw{cgu+Wu)7hdFz1TzL^z7HKTCT6+QnqNbTl{1Qx__@?Usi^?vN3Y7Lq+r$p> zZQ!wH^fEz-2OM0GcIM{ujYk zbd+@>%B}~K%8&zC_C4$c+RJBg^{ovJKj?aMW%|n(bKti3ylq^r=G^zlOW-QJ!84Yp zgd<2a58`2@#>^U|iO#G9)Vo`4QtYwWPePw3AIJF_w(xTusbn)!<(AM(gqD3Pa;Vq- zzu0^0fT-TR?RRJaK|~r5y(wN=ZQl z5y3Oen!%s$y`S^+`|fkL{vi%)&8(Vx-Rt|kuFo}*)|l0V0~^>pYi9hhUT3ImOS+B2 zDA8p+=WQJ5c@^ig>Pm8o`$gfC*5;WvYwKo6DRO#5YV=K$wQmipoeA{j`M%&{Kt1ld zW;!}c>*MJ7xTP02BSC+w-A!mam`4xSWt1S^GULZG%EjiP6J%4e52P;6;=c&CE z9VBOZyud@JGS`j+X~Bgq0u}$4vOzIr=i`Zrgwxb6Lhax zXojZe(}J+LO0;>X%C<_D#-vIvvv~2c_P!7>O!T~S>EOP6s($E*basc`U-l0lU9N;U zX@q|lCEeQV-!Rs6$tfas9jG*M{G|M%dMOP$60<}pvY3Ci28AoaM)|3O|4qOOtHo3cOpxVSB_;F=IM<)=N=0p8~@72XRY;wiw7$Bat5z}U{teevdWIU z*seN}=R?JXF| zq&R%)>Uu3~IG7JC!Og^tOB9+t%*MjwUj3dDh_Hzgo)e6^V+Cq_tf>= zsgn_mS)fW9*LG77D=L0xwm-Ar*gq+J`i|R2d5a|mxSTQmc0RX@Z0Jok=E*KQTXPS3 zSzTdI_ws0S=z_|6`5Y0=e(0_F7on~zMFj3n@R|pdpP0uxPaO!|xcP0ciE7%PX~X|5 z>row#n8UX=!o8^tIPPJQ{~D6`8^7?WacUol!a00JFgn-cAfJW}_MJ!i4f1w=TTwgt zE;-Lu)l@&hRL4=%m4nHCmjI2y>_1jJ#lRc!5Auw#x0cnS;rD~G9QGxux zD?Wc&@cJvgns*SQ!79&__@|yT>X93yS>qG_O17~ z;NO1mdp6no{{*>Q#7n+QsE%CILga7PR9&zB)YgPt6YHWSWc4SA?)Oi9Y56vsG0m?8 z$!0v>cI@dFONDimS=QYz!1OinNxRdk_)o2Sd#_~Y+`+PW2_=i+VvR{**1=OC@fv@+ zs!t8;ygOY#)>vwNhur0}m`8^26O|sW?&rarrc5nZTp1b6LGWj5e6fX6wHx_%-20Mz z^~~c5DsP)$u(hi~rSFHP5UbFb>>P+gIW;V4gihTZ@7oVVElInV_JguOh*!&gSOnf&5D?zJQqb>Uq9UH0N)oHpavmInSs8%-h zD$0i=G6xc%v3#qBgc6D2L4mFvIaxiPs^euPrFE|FdUVM-5vCt$;|=Ata!h&73h*>> zM4tM}iW@@CNdY*>dIK}DYX7$X$r@4-8qNa#e3Q}(-fAd02zKTk3 zMh%^|H7xxG69J!jiba@1&lX&{Giah>*CEeN<2t@T5jkg)k-bCsN!Ammrs#qLq^gOQ;aaPr+x`jC?Ss{T7tR*WTIgK9LM zjp5`}ulrzYr0}eHS#e+oLmlX^lT9^5h^gOSY!z59gtvERs?E<{r)0-!N$@MP>OS)t z6fPS2MdSMdxXjUSu8iTep69icipicX1;Z=asoRH^QV2}m9aeDW@>E>Y_uc6MiSCNY zT_d<|ZZI!{S;t`)?T(@*d{WFW%&LaX0#e4o9ZV$FjjdoSlw@_OUw7qCwqVq&O3Uo^ zN$NZ>VT>|VC`J6dM=wRX4Qs;7r&Zwk-U6c~dgj+MKia=gs$3B%A1Y_NL{&E)B^i!y za@j^{Qs+)m6$jhm5+W*S{pG#NCu6RP;@ctS(*9X&8$KG+g@}r$`j?iNIKI-nW@p>< z2)5;Dd%1h~UiO*tJb zad}VC(ssntbF9ps{jFg`Wo7wL&sK3o;QJX}+#IG`*=(%N5Y`bahn4c&J(+mhsn{x{ z554v3GsidamBys`L0~zi-EkH0N5*{CNKF{0qA^=*L)(0pjG0Lml90)5v!5s>SH%Sj zy7bONCEo%&HW;kc209BRmkTRx{1`cle{I(8S%yt#KNx$sGN}j5&qqO#&E^&JKA;s# zG?!A93u{2UdedCs{faZuzCJ-O1 z_x-wT01$=)%vMiw8L`lh6#!!z$Aodll&VQc{aA>>bV7lu#?sE$rlge~S3$!W8+ zT{XFuC9{b2mpyzE?{g5)5mkt!a5PdDQPuOB)t9=Q>+0d?rK;-?TgOq?q8_NNqUE?r zkn*Km!&A{7vS9Wg9FpAs3+?Kaof zovt)2R2z)0SaN`egv68gn7TgBrhGPtZ6gLguEK>3x{N0;f>(aTR{XGeG*x}e1Zl!w+*Vp%rJENtSUa?<_0LZG39|U2 zd~vhT?kG6=NV?azDZzwj(@05X=*IHJ!*kobDf|)22DtZ-o&1!W2gqLjAli=phY0Ex z=0ZOKd!pf+z|$id<9QONhxwiYdwYtw&3Iq(`=tjjhym#ku(P0F%)9fd`SyM6BdXE2 z^m50lw(soGcI3n@O|#!~EE>l>rw$_0$Vg9Tm(U5Wa?~}Ack&4AOS7z7r4t^Ceh<&p z(w2)TAX7)Unu26mwH~eC7IMk=dFz*L853oDS*&-JC$g4P*P)W)Bcc9z0=Y}CZcjfO z&>NypGgzx8I$c=qC_ty4?_~#p8YnHnLO>+!*pH&}cn|XGrd`Q9zj-l_5ku6#IzGyr z>9j8Jb}L}Ej72H;)vR>vnFy8F zX`_=y7jzfYW_Wt;km)uVWK4~vgmmWXNm?$F&3`DRHe|d7p1tzz^4*oW7u1wL?9{fv z^?JphVMQ0tDe1t$SDA2hhwmp(Qn?pL!MpXweB|;WI*1-6h7hInom7{$`Z2uJ+pM$| z>kx>SP0 zTxwfhuP?l@_*M?AGDSD!Rz22$d~Cw^mZsUf=Oo?M=a?F|i<2+fT4@P$O<(FBwv1hb zmBR@m9>?T!5kh2@C_o(Ixj6T3>5e&sSk-_nAcCBISX?$B)AQVtBOXZ!>zTQKs2xvm z#U}>=5RCt$kqJX1`$aGWmIrPi=rQ30Ux)!H0n)l(DV1n$F?yuYo1(-QP|y#uzLYT6BfJb^alr!(3v3=;tI3(HTivnCao- z7id4!To+jlUPuHLV_mbLGxs={X4#9WS&yDQ(aRl6>%=^A560RHmYy)~OvJ^vYG(*c zw(7P~DxXrH&zB9%Ih_xo$WVvLC(DmLhg+t^#7BySfA*s0XW@7ZBMp9b8uxq^yM*z9 z%)=XD^>)||G9OkoRi)XRLRTYH@AwT0iF-}flWxwAbSHAm#FO%c+R$(_J`+PA3Lx&vgY*)!LJHBoK;eyXBj*bbD>m%#ZEb2HAvjhyB%{bb58a97{UHCS zMQ;L@)woxEeT&O!lA}&~7QFc+Dy6~@(J*d*BS()S_gRqSl7oV-NLRm1fjH?(e5~fK zLrmWG9G-2ZNrj=`9Y+wi*2~ZpE=KZLE0|m{6dFUrQD+M?HVO;MrLoDO@8Bhr$A)ye zE4GK@pqtvymKveqdw@;>GYdM=Dgt6DO!esxK~9V5L8jmH+O*t`1HA;Q+q3#sUwHgG z48emjsu^zF2$|g1-hOMsl%3?_I*FMOq?tl|X&fv0bzVi&3iZI}Li29}ierDPjw6Zq zQ}%Xgx;*QXWA_}-x?-g}{)?3iOim(Z4hUQ!C$cysX${q(2?11O446p2Ti_4^)PBAP zR>03N-(>PaGK1}rH3KuT#>Cxf%^l9Kr$$fzy6uiuQ21@c9H|<@M6Ca?$+Wr}q|kv#9vd^@{1M_GFRuCcea0&KYaaa`K1l!tic;8UG2 z+cTVxal{q1^kHKWg)%nNnyv--+Bz|>MTHilw3$G-!53nAqNOd++t7{)EJU)~ol$C5 zG{g=~Z-+``J5jijod5I*kh)?@n3(f~#w}uI)0kL9bRy#kY87*y(9w$k+#JonJ5kVJ zW*L8~YSFU{V8hyPNXOZ(Wnon^L18hnAR=-|i8U$W`_yMD_b~D}W5Q}Mwe$sbPA$fv z0*|YiL8I3p=}X~`gE60ouk_aL5|`8niXq~2M)PdBh}yYh<4igB+1*w^ zu{_&xC9;e{Jj{L3W$RsbSNcrJcU(V^R89%AdOn4@MKipRK%H;&?xG>KCnq2MJ;cm` zF()5`!$i3l{stk6ErrTG{x6bTF@StvggCi|PoA^@6ynJ>j6~S}AKw#zjim8MiWK^K zLiJ})f*>(Bncq{G0$MNkDl5C*KD1-vR9#49O=(eAG_vZy5;0&OpWvdRe=~M@<~2^U zgC++}`58!c=ySJWs;DG^EjM9ELPd?d&9!;SJC+Eg0eMgt?X}0$?W66f9<20CALYcN z4wDe~c{e)Kzr?+3#dvIO5K7+suROQrCUg+b!P;o-^x? zkGsmBBOGF|+UjB}!KJFGo-Ta!?7rID>0(j0QkGqng^=601aw}^_g?qIHKPS_ZSXHk z)%Imu`VI8;vg&wma3Kf4P^`B?{;brMm)0)h7xd-tgH5qx z-r!Pl20J4_v?`_{GyHC=1=VgNB{x<|tfw9yxb5*8b%TlJU;2g+SJ_-itfd_{EL4>? zfHJ_x3Fo52pa|{AcW3oO$u{`e?m5g%3$D5L*MNDvVXADClf-hTsVO?UtAwftL&1zU z@wACDXbJHzee@eVEAY~(%(Ijw{6%CXSg1HMJ4*ffPSl*^J^!MbBAYO(HPvndov+z# z6Q+sR5v#OBG;Av|NkL4aC5E{%bY%v715KVDi5$$G^+b+(F+zy^hpR}^cgbfh0{or1 ztM&%*R}3e{UOgZw4S%gB5W_g6f9qBth3iu3s-Q=P{Xt({;0%>RmP~lrUqWHtb_t&*=G4CI=TbXHky4*cIM@qkCs)-A$pV{sw zY+6iR8t*!iLZ(ULM1T75Bd+0Xo%q*C)o(g9GI*uPM5c9}ul^wv^CovA#t-X}OI^A3 z*8AQ)j~_4gj=~8z-o4oedo{Z|tudDT;0z@$_NutQ%j50O_16_B-a1QA8~z0Cz5Oo0 zkoNHUV%75Do93dBlTVB4XlXj6FFm+@6s|(N^#&;-s`3BOVoIW>51E;odLA)R(;((T z6>4yWG@Phup<6-i!ozchN#>H#O@)@K58^$x_{iy@s%#o5XSx-I!bW{!-HVA^Sc@*= zBUFas<|Nle&8R91lBeL{B(G~0LaR*!0@L{l<5)ICpr%6w1#f}#+NF0?%X~N86g#T2 z1+3m0GpupRYyaqbCoBJ0x7u=AjBiyZFgVfX#)7?#1_XHxgvtoE6$A@+&<#@unJ6c3 zy|;I6dFsp3lHvm8{v=-U4#Wj*uV|Z+dNy$Iinp!QE63$2LshosML`t?GLMyjtv`+~ z@yStSiMa2#ijOzGv+(x2;2!qD7suue8lk|3k;juY>1$ z<@`m&c=Dd&Q91GLcMy5Gy78oRtX&>|y}zCrHu0GNXTBGuOnyH>Sy)})-0vzIJI&I6 z@8oJG!n;0SwcKroJevgHqSWyAKFs=0H-9moSKVXX;%mCG{rkxbbZUFv`VH*$4C|s< zP2mrvJj}KWe#So-IZa%;)Y1Iq78uds8L?IqeY=QD@_@>Y+%gwgPqrX`Ny!Cqpt;6n zt0QL^fJaBCz84q#s-|dPoV|!=(q>?a&jxg z4Bwds>Z*E~xsXW_B^g00t`6M%tD*V~&Yaj}E@61`m2=T@nzT#Jb?}Mu^>z2ud@g%6 zsm9o-3X`i_D!j``vugTKR^;hroWlCO$MREUIS%-z>6tZ`ptLd<>J6mlieC~NJJao zk2>Ob%drNk)1T&>d9G9i`k&|FIA!}Rp!*4`$t{{uv&FZvJCmANC%?2}pIG&tb&H}7 z$C^hn^1Co&k`=9VC98eFnuQkl!ksfEC=B9%>#vy@7o@YzDy`&YE^XWL0saBW&fa8 z9`Cv7f4LzJ5mQ-W>H&+p(^b>&dp1okQ!#ymU0-#vp;wQDmAexu&JNkZ-*y5K^V;QJ zr;y~l*B`!wB0ZnKJY2R^vhm>6eNBAR7Ud_s5(UM3TDCQmMF`(S0#?rwjA;CLigk=r z4nYapFy-u5_>n}z`lPxjiCVy;W34fhf|3i3^4q*Csqz3|5}gpB@Ov8PNkG8w!V)y_ z|M$>M%=BCEx8!VDbfFXVD(Hl3im7t~I86x**A!WbKq*&%m(f#QjEoKl-8@l2V9=(( zUZEePPGUVHw^5scsY3jo{Ee0E#=5;`N&)jaaeC6z9H{)@z3Tx+CW z*tNf>Pvl{O2OFu_dwE18~lR}KJnhDXU=SUYU!zY$)Z@Hi%B#C0gcPsx@bzX_z;=q6;^>sFI#fr- zWH73O@NePb$Np8(B5={;%)~hHQFh!{ngKMo?1{*9A6HFeJiU4*qD)<}3=ME4Wz7!H zj!qDQ6)6W}Yth*=*H#Qk0;yp{I3#~J>R>Zuw{7`u^2H6yTY7IjY|;jce}c|C(-!?M zUwJgL`-{u)cWMU*6^91p{vvjV*iyJC@-Y#LAjJd#*|5XQ;e~mSM+~PDz$&(kW2xVIxGDZn*5>lm!o3?Wm#l%#4W0vZ{jAlkQ3t=vK zXB%Nu(I{VJMB)XHM%&t+SuQH3oA@m}1#aeZ2JS9caR%71D4)Lx zLTa|dR39(Kk^7!qPk=fwF6BZyxIZV?iQh>2rfSJNc)ej~BC=4>?FGR_LBkOF=U&1? z%>_7iwENPw{>a3q8@u;)UKn5G*qdqIAn>(Kx!kvQr~@QQU7rE>9YHIObo^Ht#gZ#_ z?yw-knNImzi$pj#V5az^62B6tep|j2bHl>R-j2CR2%8QXPjmA>)~j!<;_x zySWM({Y|*keCFL7gagRrD1I6d5|=`OUl~zP5`KN!e?0H1y_z4l@+q^iMwPy2_*9td zkWhh~%X@N2zbsUilA9H4iSa_>%7#JR%j(#Cm#K%T3T&Az++v=By^W{5J~U zYSHpGlc;3-3>(SU!8o0A83d7!-b|;wmE@^4>o@9IbLdjm_oP^hvv!3qruT}4nvG$J zvf$qP9_*U%MZ}AJqLPm!b@Oqvqa$Ma2IR%CY7b=(*D|6(Q$ZUF)1sD>wHfM(k#*{V zOd2REB-Ro>u!I}6YB~u$ujIWc!NT-dgAp47I=$GDTq9h*RkRpUDqK67+xNuXs4`Xi zY5TJ=L3jgiuqgDIFiyOLWS@$~?WC>ErlpxG^{>li%lcY{8TQIOx9V1%NnrQGC^TsC zHDX%q6Ac`vtJN(X8>h9i;3GM;<0}U7SHFmG+iO5!uFj7@E0nZmN^@OeDG5;xOkyPY zoH5ind1(%!&=)-NZO?TASZdM44p9?ZnWt%M&?M08HBQOAhM_w@%)Qc;yjHB|#m zLJ{yJY&8M2=IqZdqaWl#DeUd=6)b^tvyHAuSbKYzB?fa(8|xSCGYZ=qxG5=%;k`+= z$quKewYd}`7M&SFqnvjb2)13D)@ciAq?&Xzv=9=zLQzK<{q&>jP<6?PqLgBP{gLNAdJl?jBraHkjQcWpoE+U#iun?tX zCdoiWC*Iqh+KFryN;MqtZecF&w|KegIq1*{IGU%8L-kvrRx5lyjdcI)Y z@mZ8f1fCVnhPg{+Isgk5ebVYT>c1SaU-lyHWYGodYXQTblT%EjS}&VRd2g*Wx$5{X zXy)JQWFsJCuO(7ie0JzkQ+6E84a~NPevgF)O!5?X3OIC8+LqD_1*j0sR{*l~w6HsC z&=j>m=wUr=m&`2E^^P0tSS+l6nBydvx4Ra0`|pM{oE|{wIJG9ZYPb0Wg+iR|^ur)ZSBiw;@Rum3${5}*yiY#lmM2tak6(A_Xu?kGi& z%gXw`fg3L{`t2kI!eJvvD76htSgik{fJfCyjH zv_e&K$sVm#{Gy|zeF1@aAy+n&R%*q&mi=baZ0cYyR} z=QGC)k$W+Uz5AV>7UVPQ^Zed7J$`kr-TaGcR}S9#9O)?k7to+ zoh+KX4-2jjKWW;VLk1ioeu6}@KDJm+9FxqjvayFqv=iLIO`rbdmmRMr#d8B|+FRV2 z`)-{XRlkvoBMyjZWZR6jtv;T|7HB@Po;~6X8zf}jmx<>;_CTF}ivq2kq{6oSBeT@$ z2RjpE@ItGzcI3mZt7mG2E`Uq)Y!(Ltj(SwtKG!f%!6fCM*08~5qg!#l5}qR8 z$sBBL!^MLmA3}G{)v+-|%3<@tQmpre$=#yDOLFycLZ#i64`#eN804WtQ3|*ZMyy>; zxi}&f2O7i`kx%aN_WNsB48<~jBu7-oNOadyO$8Kak*Z%K(29$ULGqhei9i&t*Jn@) zX-P}R-u8H&Er%L&V;;q!D3`A5E3Da(xh9%+Fo7ZG*S>Tqfk9CWrB9SEF1By&H(t~O z)!b5|E_Ka{2QS1T<1^2m{gANThcuI3Qk-iLC;X_4zb#_l0}sg&`H}>yY14@g7$lZZ z7D=b&(V#C~s53b-*$ny2`Mr~*hFp}R!?(GUWbnxHq8`@agoEUA&rLVCEzImMEUUJ29MTP?2eXvu!X9YzaQX!!mNl;1<|S4%y#pA&*NL4t@T>eH_CVF zueH52+|~9f#}^mmrXe!Mqox#6Cw90~wN$J+yprG*M;Q_OJR2I6g|trP5sJDP8z$~V z+R!svP|&7xz7iYeyb5WNM~b$yBSFt7u)(NH;W03H({wvNicU;$ZK(k#6XplU8^uSu zG(b}%$H*4!2sz^MWBXk@_%>#{h|lJw#C0@EilwiQEWXU?SftiP2dsB z+p+}BV=Dwx@OH6qpe(W%Em8W9?_K>UHrQW15fNo{Px}v2Ol10tpDbL)D&y-kX|3n+ zO)H~YK4Kha_;Qje>0C1K)v@cxo6;|*)=}L$^dA3`!n{Xcv4Eh1;6xdS&KN;24;&97 zR{XTzdep@U)LZts$Ok3Kx(6>tRetrUPvl`(C8c=L!tl+9x6j8XL&{e+t}h$LXnGkW zexjC-3%(hc&Yd0AMoGXG3Qopa>#Nf`O!%^zP#O>UM=@#d$Olp^%ErD^%Hc#66<`wJ%a$3Ez(L*lRA1N;`i;va}oVC zss2NEO9E8G8|wkTTQV-u&`3YQwAZXm+>>T%D*Q0b6|c7?;jO5W7xK~n*IK?#Zei8g ztOYPri_lm;Z#eV{%rHN^A2p4`XWDl1Y}&cK*Y%_*FtwlW4fm17=G7Y&>>_8jS%d+` zIu6;lntBs2se{FiF*&Ieqap!m!kP563U*Qd5ZV;f3{%!L!tm>CGNjOP5kH}FO3vn^ zO4m!pKXh=@L8OxSR+>+=J93A0#EsLbveXEIb;TD}o{1nE?E$O>wx z6?nvuTbsp*p5M;viYe=ykKnNE;@Ky(d}#w~M>_xZg8M9mZ1k&KoW^{qKHBp z+klbeSGqYmUJp$uJ7p{?wK(=Ld2t-ANJ9@)zd(PO`65avg;qr&ZxVkfqRRd^P7CP2 z1t|7!Lz8Ss`X^?U-3>0dPEbckQ=suB((D?%1Tu5@;AN=2Us;p(|#%&H56P-?<>u3Fn$8@|kEGuC?W6J&gc#8zB- z))$2TVax&P%6ITH^!wI0>wjdf*DPA~)g|hiU;9fo@golcFoXELUaXP=B&e*Gg*!%} zjDvS(HrAGXTdxO8u4dcD3oH^{d&-yPah zd=cNj0-fU-=dxFt7S7ePuVS9o!ojbVms_#OSbU1x$Rl9cT&%%t z5J~xaxMc2%iF-&6sBsO~Az!nBI_+u^^}1BUVU?37$B7Wo41+nC&=5(ozgP8H-mHwPfy}bMNiK*`VIT68;~yvvPch8 z+a#-gBt(#z9BEQHQzJsVleF%oU6G^3o1KMrB~^}E%t34lD;!63pu=jsJZ$2R>F{v6 z^lwJW+A{#^4J}NtrM^(;BlLs{kRP2$f6$t$06-FSg3lUW|8)=gO)IsaEx1S4@Dqfn z_Ky&xH|{+!;M%9~4#H>q=cSEdMhrkb2E@i5&sAbvl;$`W>7>wlCJg%dclFaYpxp_0 z_J0F6?O%HWfUN#I&k2aZ1&=vQ&84RV)oXhXh_7T(32`kpnC=`vkOX}5xR_8ls#XBH z`A7Q`nR$e>x ztUs1vQu3N}nzw#;vzqh*)<=l4QnAWn=HNrS``=r#okBvEoo4Ge_;fzF#rb|8B=$7O zS9i=;Qzx?~HB(b?-ML10WuT@sHus3Rzsl+K!Ydbhm4^2XEeY>yZRZT|ZT7EoY=nuK z6O$}!eJ(0J6=0h^LKi8QKYV_7Wq7x5Y8D&z%F?0BqTWuq=Z4su&SjhlHrAEgefgBC z9;(f+d%89L2Nzo$aqJEf!G(}ouLH%q-e$Hi%RyT;y9@7Axbz2c__U(?3GE*nn%T9U zMI2;wQm?rpR0*2azE;ujy(7}}d14ZuOzHJ7$*;7V5ik8Kf`xZaqp!Eq7~E&M$Qmmn z(wDtD6)XRVxSOVcm5%Oa7X>nLSTJA0Cg%)40(CwYVCUa#^$T1Kt^Gc@xc3T4ge9VW zt?(ULKJk3m&$5~>6)^^`ztodbyPA>&)e19V(05?#Ai}Nq$bCwQn~^fxfoDaNitUU3@TX-%ab|Y3b*xY})>= z01_8KcMmV-#-(mnmY{ULLwx%zz5xoL^cIVmCiyC!_6Jfs%SbE&^& zUBgv;IHNe8Tz%Un_U_%T#PSr|gp;=4nj7a_LeJ|sZius;phxqdQIlGNJPBVwmcd~QOYr;1A z@u%WWfij5f-^dp{QzLwnG9v43ax5R*-o7CcgUBNTbwkv<=;S|aR`^&tj;4Gb#~-g^ z(3Gzz{|ddBt0Evk>g}~qCf+vHxZ4g1S&^%8+9O#(<6S1J>5n>bv!&9 z)cD=);|LQ9%Jxe%fhAScK|Oq~LCs5V zs`=qz`U=<+<3~&E^ocXoW2Rq%D>sTog0q*oxMZ1y*N-c};nXwHd!m*8D!t#Mu$F9= zRE-Ya3S`&CbJ%}eB73LAq$aj>?(6Kf1WD2Lb%Y0pPO&dbqd}*(|uTO~Rx8OkhIB@$Mol^z2 zwn!Hb6iO%0(tx=R-ekLGcJ#r;;A^eYPtcFLLk9(V5Za6QyX97}Hu=(28TezE?FSjI zhteAFcHOsOx_%HWE)O0hZikjga_dv-*qNDSs>N1w2J;7}jmLQ>c?g4ZMklN73VmKu z#o}==ydO-RXJi1Sf$ki4JwRESf9X`&p?XNR$$!ZkC6IAzu5V047Qd>F zJ_IIVMts7R~UKk zbX@)7v_TNP)D>2Gm~9s@ar?l7r_@z@emCZ0VwJY+Y%*(#dv{l_z;*nFgljhuqBApN zAEvW-Lu%q(N*3<3))Td*`N@PgB-DNAyv`{YIWs#@aySG{sbJGu{Jv_GmY^eKI^>3E zf_|Vl8y$1nRzW-A^W$fyJ(mp)n%xrB%UH=nfsR~wsR@T+mFU1$kZeT^!;6+&WU3Jv)8ClKD1jKby46)5-W-7 znDz^x=j?y9e=#nUW4C$B39JVbAN~6gMatm*a%{qnJLH+Un72pqeNI$xn2;i1pZ~S# z|BOeS1gLm({}0(d%@uvp#Id;4McV}3L|o?& z6YJv^J+(67_C3hFYCZOhd>=m3$}xAfqZ{)&2&5BIdL?gjSzptU?&eMDM+ z#JDH0ulf^|p7j02*mUk@m`CZ{2l^yY4~H9P408&!K7G2a-$Dc)eh@P**q13*$4ksl z=>I0p)4x$8U14WeI;f({TVGKjUbEG}^&|9QFM{g}o5YKIVODK_rf-E4h_+E@|9>bU^%4EJZWZaPwx$1SO|W3!RXNMP2!jG1WP z54jS_4=0VQf8>V8j1igNA*% zvi|?qb{6AOJ&82|8kHEA>YvTPlR^EDRtX5DN4f?aa1rWI1&SnfH^1lTf&I^nAB@)& zI1Rtr3m6~VpN-9cYF0Yb)q^&4qh|C9s(A0C6xd@J-rA$1S>zc$q=Yx4?X6hZ)doF-4_oK3tR*2#iumdjJNf^A`QG~=@3xF2Az~* zF{XK-vimDv0{P_w-l0wWdUL45N+)px$;CKvZL@BCO%#-$fgvT1dCDLTs0>HubX&cCXorzTJ}eY)JgcC!EMS6?^q)VfPD zY0&QXXzXzSvbD|mj{i>4_ev6HbR!L@dk37f4Df*G*|UHl7bjGLUHrf$7WA0$4Z59Zk9EwYar_RVHH{f zpNYql{Rz69)axON^+944Y~U3_o59BSsy)MoOTrAMh5bBfm=LN#C_9$Pa}^q;G{1TO z&V~9KS2Ht(>_+QiQbNno);48Z{g~2rsCo{=XgX#7*=2g3S&}=v`FP6RlT^@DJw8c+ z4=&0cFH`L@gCV1`cp&5-ZwIHh;(NWF6XZ6F$`xu&nWAqh13ZZKO~oaB*f{g2nS0Z$ z;Wq=+xJdWOAHvA}4MLu~iJgYN1B}*`) z_qcAd&e9S0u#WDNni`~^y{kMSC01MgvuSreLC{n|(X*SJLs%4yG+&yQ#GhJzo1y2M zdkT+Zx7>c=P+^D_usX;EQ?qzPa(UD2AX@pzd+U3U!^FsiWzlTM8+=3oS7K97wO|20qSRe4k~?IrLl#(oY~d;ryX~3Oj~$ek@5YI>R?gY*G3&pfdH!Ap z3!3aa$i-?YNw|0x;TZRxbcMPIFSqGS9}>?U_+p(ecKCUz<3ju;==P-R@VZYA$9-gG z>cvhLZY}YyORZ$*pLcUJq9k-ICaR8Bz9ow1>XWAfhs&d5bUnMDKfkV!=c=hL!2%KB zbr@M6cfc~Ao0Lp0cEV2To?^kPTIzr3%63&wq?GNJn1~XgR}L!|A7gfCAO+_&Ki~>S z)d&A58n&3m|NX3~eLsrhd%>w=ewEBIzbckZsz86@+8Ja-)yi=e)gZcNbn*=W+-?|r z6{d3RynXBzLr?pDuPb6GI|-<-6sGLTh?y>+UOg#W%A!N>P!-%yCv89EZNTOD1Av#& z6ZPRoB#e}~l?=~OpM8P~!rb@jpbiS~G8*mids!V95bblHT${jNp$igxn8H0!u0EM}V|Gv4%KV2Z8_y%@E~qaW@G^S7{aac6 z6WWZHk7+yr1!!DA=>F`)w+NK0PXYD-$`juraI!d$y$ol855brgZ_xvDGHO(`J0~H^ zL;zr1@bG|HAIkN>h&DO^g>^i%^MDw&GM3_Bhi{v|{x4nlV6&O1a!VQ6bzG`3wYwf? z(Lj0h=8B)o;%o`J7Klrs#r zai#MnJ42J8+!a`2a8y3`n+w9VPrniy7ED!f6lF7&=dv#jYE{oZ%aQGYnp*bg%UV)@ z?=82V=Ju#?cCf>D;D*9is6`ffpS=rxcdoHJh4}4oyTu6a=;dcGX%9dtFCw!wv(jAu)?iq zpDQ?Bf2ZWI0{KRYU-ZxDDXQ7E!7bnL;=y_Rw zxkI9cP>)(6p(-6~%y^83%|OXzyiK)a51*&a>^t2s2bZ>o0lFR$v z^PH~US#@x;czWhz*rn-ex|mdz;m1@lQ(c7IxO72L^gIiX=YM3yABHt6BKaQ?_spm0 z<9m8xh-M(%0T^4%uFe!+!%FE{a2ktOUP*pRh5|6H8r=V3KUu8&&VQ>9f;vglb+))hwl>}vIzMNVC{<(D(_01a1J~$B+7Qd%=gz=o zPhX3Ou9cZ7Up@*(9APsGJzM3!JXPkT`qaXSB$3A&x^l{olIs%8Eqe`@W@CY}St@-t z`3WCGgHD#vW|4NSg+J#(GB~_)JlPQcMaoRc=b5SkbJsQJMyvZl_Vh;Z*ZCQF!g-xG z#fpLkJ|2ayUd=>IT7Fc~i=Xl|CI4XN=bnef z6@m$3q;^gx6no{oT8wC-q{zN}R!)^TIb2oHM(_565rr*FQ(^hZWcP*|Dq(D%$eZ2n zW7s`1?!?|J#?iO;^ey`;Uap%jZV<}5@Zc~(@;RbqMHe&hh5RGz!gi7d)-HVb$P4a6 z!eL$)w$?e6@_SpsUNaj#gsZbnsd>+9;@5uWh^tkzubHPD*yp#Nj`*0F*~1&GF@q@O%T3aJLcCC?Y(DYA;rr&nC6>f z=+_Y?%t_dmOBVut6QqL>q9aq(e_8JtIkRl*-G8??L3<=2L8~`w%(wih5VtO#12}F- zi@vP@k$qoRLTYE=*AU2VatIWuJ>l zIGv8Vm8S!)Fsy&4SsNP|PXSjL2yjX<0VXtA&M0PCsyo^Ck5I@}CRqwVGM*K*Jbo(} z1Uzj6t}t2j=nXvNsgnc#vE$L6jED$@hgH`&W{}DPUaeqU)TR;vqc_@whK=N8W1%KD zz%2j^xM8AK2iEw%ZRfE5*KG~2OJ>hANtJIZLl2WhQ=&UZ93)2Tvkxb4v;Nq%8yUf$ znZ3}iUGG*bY2(~XdX-d?Q=^S^o)aV%7;`_H_tCbLL6QlFm2tG=R)1oyENqeyFDRuu zLo{PJDgCk1-WQMRz7Q6>2jSDUXDVR5FL^YbMAUpKvjchLH1z4<_&9A_n+A7vbI*@e zsd}G|{a#3z+P&4&*`_dF+495l`Hh63%b80NUUZojPEf76#5Kt?{9QUD6JKr``YGJE z@8iIpXc#886H2aF8s>T+LaA+cL5qtW=hcRzjqlS`Z`I`HON%{>Tw{Y+;BhfZQh6_| zZu1GLph@9fswJKCsdnA9T+;nAG(GoylbYTK`=;Ojz93Y-{!xls9XdI*(es>8hX~)} z94ylfWi(@WaYn)?VTg2bXN7dp%e^M9HvR)fKSAmd^&ppHYab4# zGltffp}I|{F#asGJQ|0777TK9@$S>!&2>ugg}yH&a8E9F+2gEjo2#N%c~{07%9?lt zGwpsJs)55<5-nFEbzs|XHc^(h-c?=(mf~Bi$iWY7)rYE*CegH)s4d5vEf-hm!LTM< zqV>8m&)*qJjD>O>4KiNpO75vc*7Dm_LwLiYZ`DSss%Ft%S-runkw`23P(Iv~`lg$5 zv*+^VPwkC12FkjcajEI=yuNa0W}j=_39o~MzX!fp*r>J}s(*N_wfwC=_8&Jh5~cjs z_QiNxP<=Q|YfsP~HC_PrLNZL$1t!SpcgeuN!;1K?P3Qdo$599UpY$(0a=cgjHTG`f zmRL`PYAv&lo?&4^)oE!4?v)RDeYD|9B=Qlho9`oIeO8>1;TuRr!UFMoy3M;3HO;=e zic^4G=b7e<%QI|O-%9x~H!paazYY`lcs zLfxwa0KXLWNk^ppIqK$(POAUqTEhs{f#rd=422R=5^+0os4Ayq(}X)}BhU)5KN>G( z7)9_eOf>-KeF!+3G*66cK-ByzV1^O^>=^zD85k{dL$h{Inty0_I3cj-zZz8lJ|_~r z>nGQd8M@#MEdL7CsWQD5kbh!@L2m?FZS_Y#4E?Z6^ytJ21Hk7Wp=gonl)rk6z!CY^ zY56BSEx)=D7f>A^%mpd&2R#-&C-|cuhH-16ajqww4$N)s4}k8;^$4ivfsW7dcT1z4 z?-I@1LnfmnSb6Zp1sIS2m6m4Ym$z|uQ-`}=b#icaa)dj&GfFV> zUv;u~a@BM;HHS0uUxRzvn8RV$<*&}Q2)`rVP*?AcW30kX=CAT%_w=iqzc^8 z3i-3Ru$Z6_6z1H)D$!{)D%=ybm!SF($TXpP*E}RGP1C9aB*|dUIYt(IR)4_xj2vC z1dD)xfany_IU=HSoOD!lod4lJKU+X&PvOhr1mR#YgRswH;he?#`3gi20^xvgjyLz@ z4;D5Ka(fAozf>dxVPoOoVBzE7p2EYy!NEiB67rX4@yMA3@y{^}$!SoSy2#&)`b5d{ z|M2wPQB8DTv=hKc3DP@a2oSo2NN7ht3A~h7Hg9u6|fYO^( zX;KtKq$r5r%kRClUjCSsl}Tpq~X*0Nbx`s>O zvql-xaX3K#@AdyrLHcw4cM{SrJ_gdR|2qIO(kTA#FhC4syppPnNE33Hnv*}%e~SQw z97Gy1IRkJPI1gn99TjWJi94bg^!1TYP%CdH0~cjUy}(PIPzW`Iig$}10w7TJvi|{4 zFfKBdH2lbhL~?)~U?foo4DJ9Z9d%5p-c3$_L=I|J2P4=a0LD=V4AG(Qra{p@bL^Li zbVML=*6d7bsEO{E-SvoJ1cW{Z7KbOs;ph=O015!%NE`BQn*Uu7Sr7#WpjHTpRR?aw z*LN>4I*cWf^{Dlzbvt@zTNO86zOw~ zLBJBuCyMjAS<$dgRVp*}D3Nwfyd>tktI2f+cnuS3qkK0h1zLK{>QsBXK;Wxxnqrt) zil8Cg)@0-6F>6n;L9%q^=e|61KGxr%r&^qg(lH(ng0h#}wKe+<`7FlTCdGtCCCiFi zu{~K~_cA|RN%LRXT9Y`_r|tvk7hc5|oTR0>&$7Q<*QPnfjsFJ}GZ`dP(ZNU9feAG* z&5U)NZ&C{d zP|-O+H&qfXSQV_9$D@e{^LHOZQO2}1&~Emg_;h1{mYEVsw-05FSxrM_>zKs3^da`s~!BH;W$9EyFWGuLX`yQMk4Ll^MrDZ{E)kzpZBw} zLs*1u=acr$B7`bOWNcS%F;se#eO`1h+*r+8Y+CQ!x{?3SvA++hgp2J)k^wyGFtSHn zOkgo4AFysf_h+Oug=ohuhUJj@A5UFE;5|e4iW`gSXtmIuowlAL4&0Z8&#p(3W<*Ab z(h&+c0%VR#&nYH_xP-Bf?Zd7HWl{`cGXlM8&ELM*tWne5$alS2|MxNuM%2?MhcYWY zXJ--b2I#Jy>s1nyy%fU}q(#o#Znp?`_99cbRsI89Fy*Dt>#{b4aP+5fql(IVDoi_+ z@D3gC=_wI=i|M_YuF4fFuL1HvULQ&TNe>3tDFKha&spi|NERy1)RQ4FTc=X+gzFkD z_W_?}N%eV3Lct>?xlc}oWU>7qT%$V$4hu8ELt@b&$t@eu77BjNQI$g&%lQ@qx?1ZEb1@x7-s;W20&XBa0HoktQfg&QoP{bF>#Tw{@~hA zl}<&8+!FiOA3HzqCWjFn!6d^IbDqQuMy0{f-UX@rjR~5i<6d-DF|%}&`^d7j)Vue8 zD_>JOu)A1yyGd504yABYXCo&b@s@#bno9#h{03p+sJN!;qfI~I)HJvx+A|*tcLM$I(wt46v3>#XurUDO~Tth117z)LTPC( zV5wclf2z%DH(0emkpWT}9u%K7VnSAVr8Ka?_{2cVrvFJt~O=veqwfnU{UnnKF zko-!Vcu1zqwURA++UKfB!LKsJLfeNao}V-YvX*)^4IZTK?3yBs-xxLrqCNFilu8k1 zJi5dsP5?#Aq)7wKNeIG%#XyK%463_!QJ;zFLG7t1+KPGCqK19GI7{WbSlub^OAZ!I zGw}r=vvqb{ATtKxgHq{FIkel?(j+{B?y=P`Ngux6vmJUet1}hrpR2^1DC&(c z5GG8UMHw>MnA%rZYP|%Ayf}A?Av_bngF*oa;0iE^WcJxL&D=p3IB$RTaB31xHt_Aw zGkSezmV+uivcB6-cEio(aN-SEaYL&S3O&K_CwepP&UhVbz z+MK%SeNQ9%H(`UCSft0d0UIP7T2(;1r2{3>0o`~PXEIy)b57~P*_qp(J?HqW?YNoU zdX)LoyKv)lE&zf9Fyy@eS@+R3s^=v#sGI~$WF^7lY%zvsf1kFm+GD-+!PIJDU8k^# zb>$6M?tQO)+oMclfRbdON!Nr27~R=(7GkNSTJ9lZD}DDY$MYp42G6K&Gj4eMtb4c_ zu4X+lv$NdEdcdp&P$DTHASxU!C26vt6vNDr;Om^3vkQB22uvqB%; zO$O$d0i}_VP!Iz@9T_OoFocdA6jfL|-C05uTEwHhr(AxBc)vYGB8L4+|Ph1SY8b4es7&tY8PY)Rx zJ+QjT*L#oAx*LpoS`c+LiMs)!badqBa3QAo$W zPT>XLR^U@-8!y5pKJMwF#g$ZUq>h(};K0040Luih=L1myjx1kL;7)O^+F@Oxj>j+K z*MHx94rhEM+Xrtgc&&0H{oPPR>BiE?aHAN69z!88@DfVhF|Uv1cQW`B1*6B?GC6&R zqlfP}Y_tU$%oJ-hUVS`<`5S#23(GF4EceVjrM;TrT>KfgA50sLX0F?q<@8T@+{q`$ z90lV9C&g+OpMev=Qv6Ev030AA9!GcQ7WjCXw@>ezn)?*G3On0xMm0~~7IDDjs<-49 zb_()uY-RMFAgNVM@sRXJoH4+74NP?lpgjOXnIT!mWzeaaZ}uil1VwcCetAy+z~`l! z(RZ?n`=n=i__dtD&1+X5(;TIS(lFQPpwKV%2v&9ek}Vq^3VSj}4nDwZ&AbLZS9`GD z@hZjT)+2AKP}JA6fU~b>bG~P5Z)>RJHrWksK2potz>y{q4iNDZI2vXI;6g?uJ%`-M zPt6GxcGdMIBA>GmMTnqUug4b2G|4G9zlR8oW+nQYCYtJ2&Pr#R4(VJx%zky}LbuE(jS&t&AjZ4mrnX-$fn~HfBo*@qjY$m}8SogPYs6I7K zR@v^q@Y|F>f58{WeT3Twz%-7|sGf}ap68DK8uyYKcoG z163a$c)DAjx1PP`eBp3Wli`mYHDON4LV4T3aF8fUkN7AE4nZM92H|~zCw7M?ZJW$7 zpT_SUm&i3YR;vUATW$V=I`Y0$@1_GZJ;joL$KZ!;>3S(anY&B$l1Q7{iO=Ful5@V2 zzDnyd8K<`)M_1D@rLS>S4l52;l_7fXqQm^7CU~DUBCq2`$(+?`gw%)Sf6_+F^aBVg z9IuWwc$moD^=R>UW92ce6;);SUbp0YqA0v5!>~(~6MgWt+B*BSLA@x9kp~4JDX*si zY~;>Fa%Lz>jFKr_4Y~61;lEggiNHjYP#@`Hm+Y6jrzeZED|RVo1sB@ln?K1ra;P9V zpc0TH36qfKNoN6vgnr<#x?HOg<6pviGgg&p_jy-%W-12QiI7TUo z(S?ZO0Ej@EP8K;)(vhD0A1?Z8%z4gT+cLH={yf=VfQO5`nw{QJ822QT(wr1JWB|ZW zWF#Vj`w3Xjl}e2v>nhD`?;ZD-QttiU%s@*|#69~WM%O{KiJ^pWZAH=rk9Hae+WEH| zCp4?iBmmZ{v#l8X%*d@Kq;C+5;y}_h3Nzb!rAEk0z=g7&OYqmLLWP-KTs7K7DX-zZ z9YdMGlwdJRE_UE!OuDOymiTa4w&g0LI@tUi8|z$Y%Jen!T=k+dgU^MW3$q0VQ&Rlx z@4BA$oSMri4FGcbjXKC)eGCXU@U(qV_Ty%m*U$(1_KVEH3oq~x z5+kE?tamO{W-{`ocF|;p*G;~~(m9z z<|PI2E7X$OaLQ`)ZK3LVk6sV7c#!+(|3YX`DrFQDZg~w)1TX+78naoP!z5Gsv54`j z(L%j-F0^(bVvdMzauB9WUmH(P2g1u=v=-8$XD9MKWHaZ@9*nHm+D^4aFK5DDk!%Kn zW1?T_IWvYF0OvU`>uX9#T4X$&q{NafvFduecC+tXmcyZPK@NnQE<@QK(_3k~CX}@Y zf@(eVxi&&vJYN{^OTLPNF>wk}#NwPC`{~~gR}ySRzu9J8E{D|0bkR;lOmK3t7MzS) zp)F+F#7DQfQqmn`pVffU5Z$zrTLt8fmZ}(aB9H@s_?+3V|4j*!3U}YX#l?KNs_>pk zL7tM-z5ow&(_;W696%6rj_5J^fN%-o{zNV^8Qoz)O3{Z%d)z*|dF$=_B(HvZodgh^ z1C$8bW~X}YjZy+|fI*V}%LnD}vpvv1S9*&U8^x;IF?1{j4P%`-qwj3RBt*wmz@ zMX5PoeDDuyx2b?Y` zzFSf`9C=r1C2yc4d#vD1&+aWeQ|^T>>|3$W^o{twz^Vmw>o~H55Jbo|9Evt6mkeak z{)@+dOnJ;O?I|`G8;CxPC7MOBcA3oobLssXcG+k=!OQzf80Q3VFEq5eaMg5uxhwuki&mePAlcY{tD*3Z=Tt%F{|-2MMrswPV%JiIK1U}Hk2;+C;mfK zDJ-3g!98+IN2%A97MTI#BgUIATEdJy#7S4AQ)SWyy8F?Y76_B|fJkGArAW}sk*GLVCZvdg-hNucL901n*`Ujxa zhF{v3xI8gjtmWS^84$jmC}@)-YO}O#9)oVqC{RFX%{(?SnoP?1t^Jj(9YpGAMmE71 z#mn4B28oeG03_q==zqFmTan9Y$a>gV&>*JdxW8onqi%0Dbt&chT{SmDrj1%Yfr1S^ zrQBEDEq^Yas}t3oS=FHkCJ3%45xQ_a$A&%Oh9PTHt-bX=>q&|C4%KE*%ASa5^05D5 z2U++M?5~Q^1eP9z8YUit@cO80H2fuzHb?1{w=%&WrN6Fg1t!VL4K!yH@Cc!;vk-Fv zVQ_t}Ehzjge^>4TG2J8umVUbKf`@l6;DAst66Ms52id4~lLI|>xEQp#jOJ$N8Z1XU zGVPiAi?z}gC8tZ#EH`zg6RPI8)3_(vpfjs{g#IH2U>Z=W~)_ z2BW+=)kuN+Gwx`d52dpshvZ1PoRgu4yb}K^7xIJf@XQI?HfEp;JDVw}xh*w}xoJ*X zo7nxu?+dqOEUXwR1^Azbu@qA!3b1iHY&5zMG1f2eOpH$HuNvWcX`~u@8-MAU`L}6$ zy?-qqp3?-6-o&?h}$(iJD zmL>lObnh61R+W#*hYEeKDBmWx()~e-$(R1x4uml8oXSK319&3DARa*>mz)R#9dXdp zD@7eYH#^=;zYsyHE1kC7v{Zh%W?A9A&Dy#X^F54N4TNwcC*lB)SgmyFiVyY^zZDG*eHnS&7!PK0^;3+aGA-*q=0z`MhrlY(#W z^>?Gczs($!s>`Gvl#eMdDHgkfp+sDJ;sFgWo(oeFpCAIAfE(&Q`z*1bRY3?pk_i?) z_w}U_k=*ZIa$tPrzQ?v!R_n1mctZrcanFIaUrhqgx#n-im6pmkT#=OnN8>koZECAk zGq_xQD4LkJ^m7`aa(P!Tw%0*d#nHQ(SN+RGn=#_M9#|(kg2mqz?n3PD ze1<8hmw+*nQF~*d$ZHt1MnPAYEMtT3T(}^Q-5)ZAKX7fqrunA!7USA;^SB!hyWij@ zBo4MtXiafMw>outV!v|loP8PzqtT~?L_27w!-m>v^lb!rNn3-Av=}lJtnM9RDSU%g;nBeG)qv9n?=8(Ihdk z?2>@GV>ALFQRdyT(Y<+DYZA9qndF7EA1~^LwMEP6qUBSyCoi*R?C2qp0KyUIgb4$* z@c^I;Afr2Z&!X$8{taJ~B!}S0o*u)MfMZ4qD5wmI2O$%(nRH+0xKcHOG_{0Pg~}%d zq7#TsZ9o2^ta(s2pkxHk3ll+Z}PjEUS&OO zS(FW7^<_1vPJW$ATk4`#8K^Z_aC_CW_G0H*%XIB_JB;`o&NyhY72ShKijTsS9HEXm z1o?=x1qZ&BffcjGqqpuno|_S>NmOY5Sy1_mD0h`H^i6(rFv9%=8E(Y(dE^64O^8Kh zThOC7hDMGH^`7=#taELd2Q}scHYe5!{@HPT(-T|wcIQGu@Na92!*7JG-+5V9%6*&h zoZRK%Ov=4Mf`{LL?N6hH#n!I2HwFq8j=!eq(jYz+N;&5m9(V^;Qp}J@B&t(az~OyR z4k_uxkt`W$(W$u5FO9GKF!2In1paAjk6-D;R7F#1MZJ>q^teC=2@#3RF(cO&kw;c3 zi~Bb+GI}%C1;2;Od$(0AGbYQ4k8?ZSx;wi+JL@ZGncQj4wDHjX1A!pzmUp4gU%u_} zCU}Ba_V_eI9zm-*Z~X@&e(9TqwwR3Kwi$#g=<04$JrS-(H8`QlV0yOx6;L%l11V)bpy;AeZLr$ zsLzEMDPzm?cw#+sjz!;rQ|0^z+%+!0nHC|hC*C6tT$)v^YJ!5TRj zYM3fslUqDcv!=?y&Z^Yv!MMzQ%@f7ZpBJaBPnWT*dvv^M7=~*=BM0@Z!&uel{8EFu zs-z4M@YU-g=v35UgXN~+TRoMptNFeMV(xaIeiX`Wn4aIMY@3Z$6Xi4N)dJ(LN7C^D zeZ1)puVIi-SBO3n4{Fn^!)M^cLv8N;5S4F)80MRC?1AzAxil-~--}9HyXhEP(TMGiJK6Hm4-B{yZ;}N+wF@!(G_GtUxu|G+VX?)l zRc_sDPtcaso_@UQTg>P1Z*a}HE$DVh<{WcFR=n8iRHu>ar5=`R#Bx6H2jSB}Qu*wi zU4J)HTezuNZ@<0-Ru^T!5~qoeUs4Tx2EcuOZ6$;lhC9`HAD=_W0#*o z;z^hx`ztx^fHrlYzm11X`u-@`X29?^lLHkz?_4{zMEESkvQWNfWYw?t=iptjZ;wK( z2vz29s83oA3))Y7+_(!pPlW8v4iAM1Vn2(j=7>_#KCGh_rK@Vp>!v0jJvE)~5;m8m zZ;a0ky0ZKFgbfsZ?VWm*zs^B0_3JxK?r0{=RqV}0yBVAsWeQ4se<&3(HPK#0SQgYO z9pwMpsLXR1SM=`tK#Ohu!M?Z6*gSXPqVXZ2xA<7~h0_%FyKo}d}wX3P-rW$`S=K}kN!;f`5fo;;2dIpu0Ck5%*a<@!&4m{Sr z1=lQVl{>h;4Q>ThN)k zS+d4I8~43;h&rD;mTezW_bcNsdX6S1tuFo zc)!dEa2qBVJz z&2E+(o>H5m87wbmMLR^g*z){J_tO1*JXV)FSmvB=zuy%T+p}J*34eU_dJ| zN_3G@NH`QbgqnT$qh&-N`u#$L`~2__tTJliw%p z!O@zI*YL2;mmlpC5)~GvMO?JRGIJ3a3U!xdgr$a9tQKuJDO2HR%KC#v=4egh6VJzq z4{gatQ?#cm+?O{kZPNlxwL*zDFtG;~X`#625uzSz&XZS6oOns+Ve$9|DoLyW(eAn5 z(do6kfsm(aQ}T5t60ZB7*cQ2Na~GsPcJsR~viI1bZrQ+%j%HzZc2a!%7WMtW9eAU2 z(C9snya8z{1EZVreLCNk%wjkZm3qT0(OA1=P>64N@?(p{lTdd{&e?%vH$79ESpN^R zYzn)lq&|bclfzgD%O)1WMnShk^fF}h_a4ByRL+vt$A!yNwE~00r5Dk`Uh;nF{tIhV zH|<|A+Lgjcs4kI5M}kkX6=}dakcKhHlo=>~*$AI`+y`QzbaR!fSQ8hMu{=F%mmJYf zc=usOn%1_QMtDRdzt`kcEKhkOYkliy)?!7C3;*jq`M$~oxu>LVS5HdXYD~=P^6oqq z5a_!c$hxt?kx-~9SIHBrL1bR18e(xQ>&hLR30G7*thmIU8_g*Oq%5%7b1u5RYi^1W z9uH5k-1MWsYNt~Z8^uzg@DJN8@A^`W_SNy3_(?aqR?FAlGzo3u)8Xwlp^6U_jx5~A z3f`I}CaRfKey+|xvGZARVPPU+oxi(936+veh+<$}`2^)_Gj^=ie?X z8Mz+p4_W_MhnV)6_V#MG3JMGS&wql*i8+pdZf25Xol)oEd8J9P?(zHYTlJb-NRNHl z%#%y`Wed~v6uE@0M{J~0FOT{1t-O&_4y{#G<`BM`NY${#i-5$EC6Vwdwe;=Rghi7= z+vz3B(@>(vIqCbewnjl*UMe3s=2{mpX%r6V;bh6yYcJtqE+oDR059O`f%t%>uX~4q z&2l1Sw0G=AL`;pi z)rQQp|6zH{&cux>8A$|>7WxJ$BPMB%QFqUTa&dv-^b?q^{fhx**>}-|v9f(VKbq*2 zLV3T0;*JqwuNXO0(m@T$v&oFH4B@In3e!pWCn};%$@%azN#W5sQ8KEHNUSy*q@U@#Hxo&}^G`;2zdEObD<$9I6M^I$bBM8irhTfK zpid`Vqr32p_4MenBfU?VFKhQh-ieg-JHLb`FOC8wlT)k&x_19_k6!M8Pu7!>EQIdF zl*jBF%i6sBkNGzrSMZRGE_h~2N z@bX=)+z>N#M>K4CSGLvoTT9Vc!TEsPl_9vs3oTh_-K)5>fqi1rReG;?e;zTic-DMT z@gU2qDi>|1?_TCAvN2-R>!NS>9Jz%=I}Xw;-_Vc|%eb}`Pej5^dK>0FE#?JXEn|7J zStRTWhS@J)}6 zh@i5L*T?{ApW88B*uM(s*T|+`>37n#A~Un)(@U1z+7i_Y=UF2gx4D+>F1;qRyAJ%f z!xdl8Hg;NaJ}V%+^-0$Hlc+r9{%5Y`mA&m?$epUPjQwK4<_X__5_)X}36)unCj;AX zZ}eV;QU-oF(uQJ~hylYnSW*1g14D({;F=K6t-9kPHm$CJFb3gZhg9;Cl#rkj|CJGc zgI}>nA1ABIx0S})K39hOE9QrNs4ccOn*Jeor)@5%dTGzF(^4|_T%#%J{Y{nBcDJPI zOYLymmM(eYq{_EirFz^veIb9tX%N=B!rF{BM%B!&?PUT?=t2dPepa^8XVdfy%w*1F z@!6Zlkf@)a-pZ1hICq{>&TlS0?Z1jkR-UF#Si@>tO$siY#kZdD4LxeJ3HBB7$igpg z#=%p3vuhmoOw6}wPqP05d|q2k?4DW9+CO^s@2i(6RqgBA(>B{DxFmi z5FcL~WP5mjGc)w*xgZ4Vf1h|;X)6*`QC2KmSwzRS_=z#A*uZ`=|8VQ9c}+M+$l77? z^VHkMf^w=MQEr#RJ8mbf?_=JwWc2TK9G0Lr3TYOx2EkrlmtEs#PT@332H={#NQvDaGPR=Q=-mYwyv5m>DsyX)Ta+{5z7 zL*634-?`{UuTrY_?pTQBn6gYu_O!h80K4#6`agfO7oCq>Bt91!C^nnlE^ll+^c0LO zJ5IhrCKeU@{WSkl)BeCeVfT}PdQ)pQBf&D`VbvV0i~jAG1GBtrN$hx=IxIYu#V;KD zYFUIOqp&0N@yVZ}#*wduE9@5K(gL@0?&QcEQ&dRxKq#UFDCQ1n!}Ykl&@V*nrcDZM z9{7ZnI(?ug@~BctzBKtt%1bP8Y=NZu=aSPaK<|uyzhA7XNGs3gch!O_bi0gE3#kCv8_Tr}{HD9zt^5Zf% zU4{|1F8a|@!_TI9^u66*9m9|uptlTKdF6sl$>ZX*4Vsar5q$Yod!8GWPqlnmROXvx zz0A!C9T^^KO0CWfA6mP{`(~1sdHA#6y7mu|?P$*U3Qz|U1ag__2O z+W(r(D&A&eHMFwGLkizv{Stt5kx^ye7#ZepSpQ$3Ey0_?nPziSdg@p#BTa_G*2UDV zXy$aMa^L*mvTnrsW5b||c7%#hW8_x@`=RUh6Dp#zGN~PJZn3fvMq1`t6uBol z$|~j!zA-AlEY~W$uPisZ-{=)4I^2a#4J3ed5F%Pq{{f6m>q1#fny zXFn>oR_dQA4Dt@&ovft#pHY)NYh7=cNvvUQYhJ~k4)2^8PW<(8Rcn>)JYC;9@y`0Z zyS}7&c~4jE-nOmjQP-&O<8d{O#~)0O^-z&uRVLLmQVvb%vzmy+gkX_}i3XDl7Ir=}B8h ziMY5~vQ9_g7A8I2r!Znv%YWjat=88lZvHxKts$|~aDUy9VNj-G={|OU{TjM}mxtLj zLF2>k5A27{m*E{xUsrBNuofXi?L;g)`xF=Ze72jtw{-F#fFmUsLeZTt%ZpChH`riE z%!nY)Ott7eF)Y+w>a0?JqokB?AuQ66SA>}3jHsHMmKuE`BhAt=_{TS6T#R z%uVl_7vDB9Qu(@x05w`4Gu(0G$!b#QI63(}(a-8HJ6o8&0hjX7DkZRMcY58h-f|yK zO9$*o`5w(phCj8le}nFNXL)q|NsRx)+a+NgYrvZk;Dn^d zE&%;F<~|rup=!gVNwEJE_VsXaFj&lkFuU$lyUu0n{cUV4`Y>y;F(Kfdyo_@vF@P70 zawqE)v(b_o%BV3Lw1~vS@K&}zo94zCs)8`}-YEEgfLlgPpt2!ni^{j#u}@e{R$P)= zBeOUz?~!0vJ(0tV^MO)|#6u1)&IeK>L=NUCxHRup=0^wB#sCh$`>UsI@TXZ#1uNh5 zd$1ygDL|=ZE}k$V@vmgo`m16`!4h>y2aB7j6nAFPXPNNMt2NcOck%rHHqA0Knn!+R zt<+Riet^l>uFQZK>PQ1%R62cmsKrEGy+HY zJiXFv?Y}zou16OH7pmxa6=a6}%>4AtcVa&7&&;|1q?nXm-(%U!a2DPQ59<}R{Z$sn zJkxu(Xt{KAx^8QklybUasGZW8gs!JK8YDu6jY}+zgFV#DQniJ)OI?1QAS(VhD|3`Y z8PyCvQ^cW^wCIoM!!_j8Z1TO6nawfFuv|(chDi{bQ~L&Ls1BpXjM%}} zPEP5S0&wfb2L_q@(gK!pp`$5`3eBf9iq$H@y|n@_;)A4p!|zruhprn;`DLw77L2A0 zpZ>OM8@CYJyv$2CNxV1Vfxh#0T0~Q-akI#3V)=W@+n>$ks3J*UTGb*^8;A3eCaNi=qjSP6-`%l3e?; zb1xiE7FTKy>sAzW-9!gwzs%Q9S=>YyeiTxpH^GiG23!P**QeAavv|3oGb8%VL(gSE ziGtD~JRS#D*S>B%`+CnSQ;cADSawt}wL>@Kc*3XghK_ugk@N50ZWMFhuM>L7=UB*d z4(9@`VNMhWQ^YIpO_8vI(-FT2v3ZG`Y?m)?eoTbIQ6o^t`()jX2sLLe`bm9Vss>ux zyR75^Qo-&PH7=Hgoy(qb>(aCA@07j#y()X|&8%6~JaJG)>RHTQb|cB%kA!14xzUOj zQ`5J_deW!=B=y(gv{V~K;hODn&;V#9@1Pb3anmbAw)JZH!0h3;oQ*r3Rt{&Dg@{F4 z^nR^DZL>E4lqOl>ylnXeiSbBFBhhv|`W~@gkObO0Jlg3dSPCN1H5?&AS_krdYmLoB zvh{h*_noxif^Z*Nb?cC{su`ZgDsL~6c%reA1U9_z-9^M~OIyuOIqTKWVLXj^MGsz;;OP= zoPGnTGEYc1sz$8X8ox^o@i#zoj2KP+O`36{HlGnz)nc&L4P*UWNShR^V+u*98IS(8 z(v*7jrZd%AkFXLTcU2kQoQJ=9U2HmB?)}K~!;`WJe{8S6o3q%jE>d>t^ZZY#FqUYKbtW*%>U>*`FCua zGDPNNZ+jGD9&pisDZ9s(CIhpBHc#68!F+ktxY3nW^!mV4Xe{5O;x|@-Q+m2K zS7b?RYk!s-1j1v|6TJ12Qr&5_!M)?}<>@DA5g@2Afa_qVB!l3giC!S<$*ZpG0{W#EK$Cs zB%i#F7&N}6dbclFXP|xHxRI?=^{zv)L;0c7e}JpR8wnrJcMDE~)`?4TQSA$a#PJ_s z9a7`RCRVgfO2Dj)7kbLmI^$?qCGLSlZ`V=iJ#EB6OlcxE(1>ubasCt;prtXwO9rji z*JmPW)5U;tOXkwtPKifHNe$`6l6y%z%bSnl(1hxRbLMKHPs*c#y$`;odMS#_#B|xb zHDu`XE)8PGXmF>@Exk(yZ)-mAN1I%fTGdM-@sddk#Pr8zdZ!SGEaK<`ND z&F^rt0j!ZqL)M)h!WzSYRxVXC2LwwGbgL7Y-~r+-m*L6IVUL+F2a1_eV_N2CzTai2 z(Kc)@#VWR&CcF`dgUU=ClXTfwR+PFXhJq5!4n_e0J>A_b?3M4iacPyUX){SJJC(6C zzkI??)=hTw^WP@_O9+kz&km5(zoe22u_P1Zae?9i^7xx=TYIv-@iQB=b+2{^&og2X z>_gm38ot{AmLB;8SRjcr8F|Q|>?D$=FGTx&8$nOp&w9kZ)JS7$z1*aLPgYP^UUoMq z3bt(42N0RG$6gJ!53pJqp%+Q%4QHZe^ql!XyP?{$L?TPOT}(U23+2I-nqbShv0(R} z;ejAoc5HjP_1WX+FDhT|v-s78EUT({tbf^udrce1(LdAsEXId)ZEF;7DCI#V5UJ8w zO&uN7`7u}l)>z^)7p|gzOV0^U75cuYlFoL@b9KeIM(efA2Sz`t$79psQ7ZJAXYzL!+v|i7pI?^yDUu~2PyZu;E6fYFaZu`Q^`Y4$AM=Uj4Z_i)6>*j zs)v&OwP{AY=_rBF)fri@MH-QxJ~Gq2q2q#Wl@jliiUixdPUl#ZEsdPq#OF@dUe9=? zabY=SB)fw#8D~JIdJJ?{rlREVCIbiTaSy^IIEqPIT!{CQlNY94jXHz z!$6zYqR81=8;9U=-UTyK5U!cf43z_`X8tJ1)un{_mOdZ~IrO@aBGxZ+6 zVe+v_8A*UQkxWdzV4bo}CSCWf?5_LXMPX}+NHLX(f$E0Q!sj|&i;BI`vJ9i?s2jyj zel8p})=r0Sq*%&&(U`wrB4oJL~C2bmhS=`1VZL1*afrSl&yrgA* zv|Z{e??&1j)`vmKt}82s(R)5a+J}#hMf9rwF*d4+!Yr(brVzZPDyhH%Eqs@9nisKr zZA(KQjC#c#NzyRPeck_5W78t_D@M$fN@o4%$bp5nVzv2Zfk2URKvvRx1KN%-W=*MQ$kaCD+%g(;>dgEyW2m<3Cr z=ITme>-ZTUFE|@`8y4`%;Eq-EjHr2~pIOjeYu+Gju7J?yTJ?$h#I#hRfyzc*_@fz; z+N$KXv!XnS1XW72VY(*q-D#OC@%nS0y{p$|QC@fY#vG&#m4=Q zXRR$EOVh;%ns*8B%^sAUw&v>e=3?cit?<*(R za-W3Vy4#op2IdgDev2;qINsafr5Jq%hcW<#umS&S=_R)u zN!6N>Uyu-lplSo}Rfgd&>Oq;}Br&kH^S>>$OVBNYt|) zDulkZkiIn}gPd5F+1X3PcrNByo|Kr(Br)cnX??VbgX=Wfm04=1BRRwpnk{ukA$SK( zR&}RzlML80IvhvYQMWu9EFeT~zyD;73$vqEyv-Vfj#(9|nvBf2RT#5#( zMMf50C&z1-Cfh|D8AoqMlx}yNePfSk-yt0MU@mifuQL4#_vAi$%QKfb_vmabPK)1R zMh{C{mRah{3+q4#sc@mj3`!zN&f?TgGpw7lob0~OI@R&p_e}ZUohD^nnVv}^CVEg+ zHxWk6;kn;te4ozG)cR=WNU^Y>YUkCWyi!+ZQ|^*T_z6k2*uekmSfatA;KbsxFyKe1=KM!>|=V5DMTv`+r`y;C@!F@_<|8x-GRUD0p_Zm@i?cY(f zfGed_wo5=i%X2@^@_2irP}6E;`ec1;=6$ImNjgI?!tAiINR#MVjMQisa;{5pzp-G4 ze>2xtm#yse1sFhWUX2%<)-C@BJZB0BHLYnYwlDe*5FBq=5RF(e&ikf-Pv4o@8w^T) zHIS6^#x%*|B@+O}g8Qk+sJQK}B37p@OPr)tj!X5z?8QzL4LDx}Eh)5R9(qm~c1b@U zRR%qfO@Hdn-bgBx8nW>%H4E%rJhqcumOeU_{Ug)0?Dp&@&#n4jD|HS&yVH-K7=?{f z{kt_ls-i3Kk6~fId~X!w5b;v@k1p6%RX^?3F5+RhuDW^M(b2SNYdl{%v zBL*L~YrXYP584s0`xvV;lA1IB_I+zhVsQ1KaKNA8F~7kYfrC2HMdcN1v8&v$3M{&@ zb5g{j@`mu}NU_{gzFAxQiFc)|i=PbhLk-wM{LuTUAvUfqsX@L0W7RfyD#!Qd-dMgW zoLMf;mG|#Cu@lKZ@VFaVtTKC0y?1_dz`^lPl#%e8@8S2zUe#1g6u`?`kEeIN{$__( z()rI2g_+Vy6H8%Eh5biJ$FdR07iku0kfHY4NcCZkqi2pLBepEUo(X5t0{v}r9|Eg# zra6%x@Y8TH1_msvM!gul`?r%qAJ#*L?SlY^KXQf7s!9zHvm&Ol)?B77Vt(i%vB)?Z$fy^+1FC^Cm*oq z!c;S0tm?cxe60_kR*1`N%L~N)8a@=yICOfuz4@j_$<23eG*RKtSK$vmMehuYE)~qK zst8Z{?Ekv9Cu%8W=Ei2_-gh5LPrJ6WMRCZ3s%j)vR~DPG>ZwBb5E$g`nbF7KOg*6Ug@Hn#lNA=Ss~wR1+q zf&W!Xp8T4+I{zyG;DlXqkZ}ymywgMvS++G6iZlju8tRcihpkm80ComDcBNb@9Gyqq zd+3%HyM8yWW^euJ#5}|1-M;?-+S9|e;HZ^|h+jQV_(TTHTzs&L(adshz{7Jn9#AtD3z_8CfGQ^kQOk2D|+gHUpB&9Y@J!H`~-c_Z{1vMh{qrE(i`UfcxKuA z`sq6CdL6r+<(zexmCd!6=2$16L=4D0+GN8$DekzulU?(K+xi{-jc(Ud2~;(T=Stx| zw>C_}0c2?}{zgM!;v!l>dsn~EG!5f2+wpp5j3nWtPxAQRRretbeiYjpfZJtKqrF6? zm`c0Yd~a5Mhn6d`!ZxV58m#rl(0fbX-(v3?H6Z>|pIo1e5V)Vj#a@xEf-|$uJi$`@ zlhTC<6nc@hU6V%x-{g0A*EzNq5TNMQ)%Dq`2I)(csPe^ap89bWWBKCEvA+Vi$c)=H z;G;>`%-OvMzcpLV4#wH;&*Mr;pQ;3X)HUWskL`|BOVoClRpI}`o2gW1O$&+yeBd-c>b<&g|!+fH6mq%F39u#l(96-$8S&_{8jERY3fJxbxmi-0^X zC3E03FEt1X@5sG1>@V(Q@;>+2|NH(q{ll&@Va8wriWaZyla*xm>DhFbuzcX;*+&CN zDX>+trwhSGH_1|?nZCWgH)L!V(Kl`^w>bZtSM>q#@Beq)YER@@T-OfX*^mE`dB6z^ zp2JD}boVLZ*BkjN6P1S6))F`EB(I~tRF)}4XQ*zWyzj~h@hMo0{{ zDRNi{nirLVK(>59OXyomBu2xL9|?pspk6;bLUA9gN$WnV;aR*km-2E!>A%ds(dUI?!bnUVE3-s z1{a0gE@F-1I+RLQcOY+Bu6RtzEQO=7&tScWf2i(9+rn5N1Ybm zA3eN8TAcIpvw5piH?AF zAbQ-6d;Zu`YUqC&UW^dccVtbP9qV*OcLTC|Su44$kHg#foxZnhAH0~|gitlSs)qP) zVy@z~NGLwk;km+DZEYejH-7Ej&64kH?(8sHm0CpVvCqu}p$cG}Z=0OI9UQ|8t2&vP zvr@n-5bSvMm4BZ_K%mbKqezNM8Xu5r^Ux@@6vmB6=JqqWL;-)UUW2sU0!&m0wkQaHRR;Wi=M21`i2=m=1`t;yjXy`Q2NZ7;yx=gaItg95ms03_ZP-O zu(2(nH$8vS139;s0C`^!(jom6H{VH|C^z=hOSRhzLSTP0 ztW@lG7SyP!&<&t!S^_u4@LMd%e`6^SwSXue2KdxDOA)`|)FMx6RfA{# zfdq>!^0M%Zbs~JH3s6#MoW|-MQCnLX9@y=ibOw< z9)oc~$b)LgvLSDKF^JzZppO2w&+1x#ufH)D+kL3%>wfD1zrn;KW*8HuTat}aAiWqg zeFM6nA!dyTp{k<-^_qjOL7>_2O$_g8%3CItVk1bRql%S+IplsFHod| zL5SUk%MFdv6cr~390^fxAlN^~9kpoKCHjzhQQl(FN)7Kd_NhE>c;%wN%?!RA5Ccwd|0jSY{sHR*a`zF?knw@A4}Qx_E4%R zHGqc=N%Mi7<%NHrl`4R-Xn>~lrz9C0f_)(ur}mB1L7g}(2j3gMr;qOE-1-U?>w*pr zU-qY(B)1zoqP}$a$R5XwEE`0iM@P&1kN68YUs{acMW*B7>)CD}b|RVKbAHUOvY(l& z($edjWV`B9 zm50`&?g}J{unKE^qm}r7Ao6&w*Y{&S>9w~PdG(jzl_legq*vM4Q%vQolAoylBk^sy zVtd&qo7A(hBt$8@r7_<}@TeGUm23;uv0nZ|PA@cBxl8JLF^FB9n^0TNdD)04Bv)ir zQUv}O3G_91)KWUqMDR?LFn{IP%e20PUrX8J%4QDM1Z*@5biQJjgmJ(04f{MNO_pFM zjf_1w#VcAb?QV{ne}%FV=Olh3yQ=}TB9b`o8^-bfsu(nLj1SvnSxCrMH1&jLuDDT1 zeuOSx(QhI3+A{%)E$lKCa%;PuJZ+L2q~5zcG1OH>rnrvgu@^y#E3E!5Wp{k$7z`xJ zU)I0 z^S(@BiFt`=2mUBgSU3Mka}X954=I`nPWk+i68nld80!oLf%DsGt)wa9yI>GqmA$83 zduoLJlkPiU6o`a`S_RrQ0ycq zm}$>0_A8!oXvPW81+k`^DNPK%9$5s8EttVhC(0#Y(xQD#m0e~QHHZiitCE0}>cwkEXh z+hVgH#e&#lxx0lUg^yG6T@0$Npa6pAl8=5HdmmtZzmQF-xFn~ptjT? z)$Y5*0_hi-U<+D~LS%$Q1qDh=2&pxLX6QpGJZrD`YBrsE`jj(?7C)1n<2zz$rH|~| zg@?!Nllni4~M6hdH^qFtjbk zl~c$oIR>Xku`MwX-wPAjXw;usK$*?j295)O>Ef2~2fMlX0C|aX@V2rl>JCtM2Wc2O zH;mn2`~#V9PvSE&Hg56EsYAG=4zz5?aB<{*;&TszM8-eH$|>k>zJHinyOK&gfZcuB z`3KTuQSEur;Z%c+Pz;U9!oCmT>>>oZf&(zH6|Q==--w-gLdkvY-?I*>`q(((H)KFR zl#dm?Qg*cq3kGF%U;EUtOf-1MDUypFd-V*_*N7uZn{LmDqae`$GZLpSJMx_k1ul*i zjMJ>DB3v;a=S&rH1r+ddK7xn#Sru8ZD(G0V*YO{%=+qMEG!B7b3AM27L#*_`7VGb} zIE$;D6#sSB_SShoH-6S9mN|O1K%ny}d%zhlrd4kTKDOMR`^UzK!hJi(_r~t`!nsu9 zItU3p7=-Xu_JiI`nf(IGfg2xzj9$Oq_{mG$z#GC(#Ai|Jhe>kp5`IGxsJ@wjTv6Dy zhygs@ASjra{^;l!jF@zEY=}XeF!}~*)UuWmA-LffsW+Gt1R_DUJJzYKYw@yfh#1t- z2JGjL5(_#p=ioXjRh48-&K3dXo!{-|Y?PyM~MXZ3TNx?%NEGesT2E%U0zRI%dxwnIiTBSdBQb*X{&CtYu zSh1BzD|m+@BM{HROtuwZlOC^Cy7T+U>8Od!7G4{4(|Gqf&TBsDp4%b}kw$-zk>*Au zmt&%g{C@QoSt?xIG_w)_ltC_IOq@ciRx_qp1w;e zp(83#?+NF`%^N(+Bx}i55*`ARMkTGIa=49(iJiq?LPMC1DsTYg-uVz-ou~w)Uo^dz z-Ev&zp*KLhjedC2t^C3JrV4$J<%2Wjo#Q-g_WNrx`>Xy)J0jN z2&Er=jtPY*bOJ|4%2-aCIRPR(?aU%{CQ5{3IP2@OK>vDBV!H%qSy+f2LanAJOouN~ zl)Gomp2lu>&Dsg@b!VUN<+aKB(@#HPdI<$8Y)^fWr)Lh}4mbp^sKw`;Qe$|ytaMto zmb;Y++z@NtS+xBPo&pIivyF)fjH^L1(=gmbabkqZH#PLHROW&r^lYz^RlnY0z3`be z+zCAH0(A+tSx3XU+Y?vxXA&VKognM8MUSR&v2Qj8&k+BcQOl5_vRYiTR$6HAcgt&| z3b>adW18p9xIwC(x$=m&fZ><7$;$pAMFcEQ+OMt3H5OF3;V7X0RPB!hyYjn2gRI2% zJ$eTII?q7va)8Oz>VnzEkx$Cwc?s?P?`xB-!Oh+^jhmQ7QRQmor@@_*<$~{i9E`ty z5C@k-l=hC>*PAmL6^(O{cV6O(xLt0dg^uBj>yn;F!j;1Gw=|=CTP(Ax>t86z zzXT#7FwcaBXyVs*Dw6MV)fk*M@}PD z3_TKH{eUphfz~w&;N>K~AjUVZkV&;Ytx{IODtBNg_uN7$gM5wo*soY19{LY76p=tE z9#r2A$&|8m6NzEKX6K}kPDDgZlp6E{S>O?>fwl)NQs4r~!-8p^yf|_L3YCl_>{%%y z^W&VqQ+RPO5D~tD2B@>Fu*2V)>S2$Pd^9%?`#65rpY#W&dbjg!L2+VB*v9V9`=RXu z!2m6yfk3^Q%d^U>E)khXbydX{x0jUe@T-d)m2qv2c}Vi)_QfV(rDN0I*(ybpRLUpi z_YIF!gcnbSBYrnU4$nx!-~`Mxie$#jpdAQV(nd?u-ZY(V-k0u3?dB$xJDy7w7TzxP z%}B@O!L(m1eJD5t_L3jp@=EiH)vL%7;{4`G6{47vfI|I94)*eb5^vCy6~IFW!Y?C< zXL}8)f&@DgD?9Y3Q%lz8-E8s&V!9)a-DR8LPOm%>LvKzJT(IfxnrTP%+1Y{4e<~bn zNw?fje3hzNu|{_<(>^S)^Kl#1w-6|r`XFUtLk?}IUIs6vzNL86S2Tc*mKUEtXHxWJ znbHcWis>ezpDlc*pBC~!om~VQO1m)(%h!1R11;aDX=yp=Ygqti#?GP3bDjg&qvJtA zq8KVlSx%~aT4baYQk)9yv<<;xm!wAOghIAz$Lh(gkkw#2r!>Bj%y;6Mh+vEK6@4+B z9~+V+7tVh>g>%F%HueDN$z}{B%k4`Q*TULBS4#!fN_6D)Yu}=G)@^{iCyc~moxj1O zn}YsgJYzPUyJaNJZLe|Q{{8sK{gT<0Jghb6d_x9xGtW6&YoJM7ox43z+5*o;fxUu&+pWyX{nJ+^ zRZQUQ^8PD`65}gU_QMeb{5ZUR@(n?hku(P(g88-?DJ-+rlXiL$5Y9C3V#D~zkSm8@_f7%YU;)r&lBi_ z^E46ksMcWl^2~GwD(-|VxA|66U9I^S4d2Na@PG$m6oj|(#)xvx_8aO`@F&u9_^f?vA@ZYTOA_c<-6$Ce3^B=7xg(Gj_iei2NFCE z`uRik2{OGTI@B*;Y{+C5SY5mnvjZx;lr@~BtJ%5U6-R@EJV-gEzJ`ZpSYmOl9y4ll zGd>Rc0(ONv#;wJfBbacjg4#h1!pe%sU4+q>VVXFT2S1%#K2NS3dF9WszdA`C@9Khb zNT?^(IiI?DIUHAc{j zMm`pH)Pae&4V7lasdka$bM$1l0=yz!bM>UnZpKAplMe!+5r-R#kdA!(?3k-`@Oyrf zNE4a(gMCXGM#!Y;&Yb#MSJvmuIBzR8W(<%rML-j`SJYgizEIZJq(AR@lb@~+{b_if z3cWlTg?5y|fA6X`H7M&bLu-5ACPe&wntoR@Hu5aqW_+&rr(b0Ck^*hfIsG9_WFoUo zddu_o=7;V0&)if+IAu!g>}a~|@P?Ku2muo$2Kp|ejrsRJPmFGOs$hdLkohMN4KH-qoeJ#*6n0h)-Qsv~OvYq#+%5=eXaQ&5) z!B$d|n-XNf&TbAe`$j06)JrKK_>#MKR^wx((;m=ixWlknTX=S6H=nasT9}f3kfhHQ ze1%kS<(|ki&OPlQ2)%wo^}}`)wV)XP3XwuSGWbpSFsUAGR|(g9>5dQ@t^OtfMeM9( zBM9(1-p54?Da)@7SDVr2!h~Pxz0hZ*(WyC^-+o{iLVi2?7ZHR+iKP4;TMPxE?~JZQ zdzcX)1_qaAf2Q%Nb=VljZ7GAkWka`rlEs zhbrx^()}%+C{0GecbrtUnR<4Bn0uEI5j0dld+iRX3TNJq^ z-`H*fVcw;F%Kq(m8c@`c;=a4BpcmNh|iu9m&UR=cQl3wQ2IHY0ijD3 zYq4b+iMHps47X(@l4NgTIC685>^8H*{7YHmKM>N0HzCxcTBjtB_CKAOdzJ^cKluai zrW>)~cXe#a^8n(a=Oo6&>n6oAG7ed4im&t+wQ#+;a3X%j_UPE;g4e1tV-uqft33Ru zSwJ!A_t{_(IZX-v&TM57HRTT)6ejvez7}B|8O@_F1lo(20+@5TUU{>Dz`GS-LAntv7~n*{u`-=x-WV za_^ysPGDn&&H`Xr@R+6eTlMR2KOVv(^0wQn-Sc_|A3Y}bR*wP3uJ z4eXeJX?=r7MYX?(yr(2=5^FBuTrcLh!?0d8{}IW%8tZX7LziL zj{&kvpyLLC2H73X5r~~THSKHGgFfLolihHf~2oRrg;B>J{nGmATXc*#qeW?qnHKLX3PN%1|*45K)Lj>H$x$+ z1^;kw!IASrd>ULRSkWAgw0*}a(U8TL<3snK}(sSFv;E3tuWxHv=Xb@!RKpS>%H z_cizC@BHM{7udEmhO?*12T1T@^9XA`&E1OdantNv9P7zP20XkkbQh1&1#0G27jj-!&|<7Lz~q2 z;(n8$drsLwkFsOlID8Usnm5%xFWq5|ij??=yA&kYG_Ix%2!3QLzjF z*7pV1Tup=v&W#39ni@G9q%nSuOIQJltNE`V)`emT=Cdb?_&&7W zDqX#Nn?E^vG?Mjtr%+p17 zM|Vj?AG%C7dliCT3MJsb${PP$I1F+W*Zs`hBYF@#mo|Ng)+uPMp=%;F9rW?w(U|e> zvG^sYIP3Nzmw)rt>IMS?v_}fmW86xK2Rzv<<~#cJFgqQq9AleEGiI-`f}>9$Y!EjF z0xmBxYAD^Xt%GO9!n{NO{PUVyM8wyz7PRHmg-)xuSCYfUb9Y0=eq%l8ji^SkZvVYn zZiz!wBhvwg`yQ|V+g~rv<3eXHe4jj;6w|uH+g$sV1DLYnQu+A%I+-u(-JV1$b>QdK zb_*?@1lLJBu^ju*iJ= z4>VTu?rMsZFI87@eDZ+->0_@1DFz}lN+puGcoumurxE#?hI|3cCkT6kie-#V!#|ft zff0Kfl_pWn%o3O=m@udokzOJrP>k<7vQr`^A(N1TMpQF^hXT?yx9ENA)+9o3Or%-f zU%j4mDN7=2kNpe3A&1#!O?oh055<(*sz_3*RPBc(F2EaB1CYt=^we3C`uDm|QK$vz zJ3~ij26rL-esMJ0-4RXkDHo5ELe(=YHRRCLVfO%kRWJS^)M~pST?!Dp&5lBENJz3W z28g+Uee^#naUf4X3`d<^y8=z~0P>lk>gOYk#r&VMKQKT&j6dh=D>2(ng3Is|86g}Y zT{NDo0)F11r92`$&a`$H`4Eq{5_))oe>_ryI}zv_Ajr9{@}CH~hRk0-lI`)5qoWsO zIFQot(%-vn;h^N+447>SQ2nndl=W;EanqxcMhaDl`BqnipQBj>J&FpJ!8R5y`;)b^ zjsmOl%#V-RmD|UJ{>8V^_IIhYWVSqQ&ixKc4B3Gk?TzSQf1YPH48?{tqkRu%uhqQ& z+QGP2oH}$yxwYwO|A}!=y42r2H7HaTJx?89rQYsMWI~Kl6bN%y<0C+b9#Jy_jn9-OWA9JvyF=&emJM(yzod z*@#R8BK?ss_n}_yicMnSBRQnHnf{3RBYtC*`R0G`PfR*^|B94T=+TRv*6rAJeM#rg zS}}eu(otJ#ihiGa%lCebzU5ty@>9J%1ggznp~AVx(=K2azeXXn{UoWMLvE|3xuVJ_ zqEvm-h_lV^Ks;=b7C_5}a^KUay2doj)IB#WD(L=K^n`@YC%34CwmoMw}cV5AYfExkN^|`N!?@rlW8ozo8@9NI2?tsYo0W0nFDA}vzJ;qX4 znDhL(mY4~vUvzwE+p$Q=mJhCRrslKul}U zo4yh)s?XQch*)x=YR(77+oFDvVup$}m=3FqjfJoYrB#$|Cdc~A2eR6;rXIAaT-#2M zNV<3(M#AgiM|=}t9&Opj!lsgDSa}4Tcb+}fJUj;u-izae=f&U!FTDQJCFTz2)gupR zR@W_Qe*ICim&2WlV%21s;OD)wG)_D1Q|m+lYxXLltMn(L7kLN9GwXOpyZ&=lmoSRh zSNeq0^_NZ|J-|9!X{HQ2%P9%%ihEJ%TUKQyI42^vDvc#`?wisf_`+T}|%V6Og>*r@9kkVi;vV)*i3 zy0w=UOz)wS;P>4W1uS>5MY`X2d6D#9nBIE3Xr&?*qqy*L+F1E~*ebw33NMcSE$y`) zw+puedf97pibejiuJ!sHjLEP3!@g61yL$(h3v3Rmb?il+oxD=AK<(B>D9|DjRnWoP z@*gHEpVzC@VLJs>VTZ^ER}(w|hFLZG-$2p}oSHbLXo|=K2vT?lEonjk(q1+(Drks? z8$*Ksv9t+ObUXLIZI7IlOV;lv8fg#E7~XoNvbmI$1C+LrBDV<{!_w0ic76X@rwPq7&=BBX9)c zDy^>E-QKVi$bWtKyLUS@*n&w_J#qT^0%8p|t+ zURCrIap3HO9pOM5$tfcKRg zr9C=YSIu8`c6K(n`9+y@YL<(mBlRUI5hEZ=bf!Ch+-`yWNqKd=X;->GYQCQGcst$m zF8^#gd}DRfBmGt=5LiUN&UkBTV>fq5c20^j&DsG1gj)-ne)RGUX4zD&+pB|IuE_`rSeLM4Sy;3U&Vu=;iIQZw%v>-?_i?mm)4A`#Y>O5 zR)>o@LXu@isi`aqD+T}_IsahhM%({9*GDC13((lzgqB1GaXD{qge~x?9Ou{%5D%uQ z;=#O0SKgluR_~WtjdLibbQe$YWCuZe!Z9-V15>kJ&|Od#a&=UMe3bWc2R*v93kwl@ zd;gQ^sa~~hJesP{$=PdSV7~k_w#0LO%ED%z3Z!m97i+bFD(A)v}lj!N+z z(O{GsX5>&{LS?4uLjQ#PMi`4SERiEh;$c2@#r}NrQ%42)fxV&EaeVr+HtbPhuJpc3 zD@t|YDiE)QAeCZz;UFtXO>q-`p^w=!=#Zv1@P%dhONNl^EA#o%l7{=W#S<1)Y!QEB z`Zj<1dR~c7wShfn1?^hbR32Aaw~ebG12zaZ3*Z=2h3tOfW(PUeRyjQW1EJd(*RVMn zC@%C!hWt{du0l(7Ie+GH;A^HBy;;_{QGKtm_Xdh`34U(BFcJ?R^9Z%bRHkWfenBa4 zQ`iHH^8eH zK%l?kUq6bZj=noM6h;&WsLGUTrb&fFpczCoGUAX-(6&WN^WSzHmjD+paDS@Yl$&o7 zWD3#2npQjqi%L6OUXPL*f(xPmyf&7Y7)XdSs0H7Q36&Ktb76x`48mSfj|~fY|3F)F zHwM}`J5Q=;b?^qUt2OP#E}Rq3NrmC?4YMY*T)&@@Ba3T_kwS`p$yX7ACHt6rO@S~h zz=Id;sKlMA=px3PbH-;-5EuxVV^oJ>G_Gv@K00Hct!pmOFVWX5B27-SqNycmF)$yc z+KmQrg9!ElTvMuS1I~BWPQ9IaW}36}j5nY}Edmez9|TO#4~bv!oLWi;d>)29JXZO4%C^^%ysQCksj>pudJr{8jxd79Reedw!8%Z+NZ&9aGSU0uai zD#dmxL~MiQ8oGMM2Q~NwDsDr%VA}EVDNGfJ@!U_}_iRn+-r3-dKAqw;{3Tquqhq6z zf&lBImGhs53=e+CaeEt)P@@r^s-3Qz6bcfU*)Io07Kz+-#wOz_3l&2^kni$A$;I1# z+*{C*O6PfO;&Ylm-=UYel7hg-lLkZL4~&$L({~M;UGvOaBBEa_1g3E>4p8J}izH>b zV&xZ_N+6XS0ur1_cVo49+?KYVg3apKK}}mdgB1~M-x{O)T|6GUBOD}7Z<(0Nb&_G- z<)wv%>8QWA06zn#`a^tMJ2KuJy1jzRSsaye;Xj zQ&h^%AJjaAe`Yu-wy)wH*O}=|?X>bBK8wlc2OG$hg1#r2Aril(L`Goe;P_tt`>y}B zDlTok!!2iHfp$PPr~Y)KD8L0hK6W6-hqhZ!M9hIXcOzcwleOz$l5r{2f``CFReLU| z>PPd$e(dv6I$?KgwK`NV@cBS_2pVbidug@_y?c7zlW|qS^Ikx}Gsx}cb0QDwr8Z8| z-^xN24Iw2D&!6tAYS1h_q!~*M@}#vK#KKkgYs`7h z=~dBg>MVv&Z$8H#Z1zajm7bNCuIM7yoY~>Za*XPiDQ{g@R2zOXz_Z6OY3TwWrk)vGc$Q_7Ajrq z5L{IO{}sttPMZ`h7pAEwCDoZ!@VgZcxA0RUzLK6FmE6Af*YWcw+)&i5emA=tyFJ4E+rAw}Zx^llpUS+K9&mHIf6upXpZ{_f|ms(%emG(AQ=W4qr>rqJML+@}+ z#}ao##}R&W$}2zAf`$_7*mXkwf$)-Jhm!B&h_(ZwNpsJtz)wS5BmY2=2`1<^Vn$P9 zZpWVBKXXp>IM6A!9y->bYYF0ewkeWqzk+0ApiJ;c>15*ORX zRs&Q7*g%U32^*%kN0Z-vV-vB+a_sG+uXESteSOWQnk7=DpHQR>FTX^on)@<(MY?I{ zIq}HDQXz##q(diAI->uV^>E;(US(Yfs?_$kQvV007rn+9^((Q|BO=CN}w|zT_rj7P_FptjEOV1AtDJb(i)<=HRnlUKfapI!0DJ z1QF<=0NSpP#6VI)I7%p@dT4P@0pSvAQ(E{Cc7+vg#%K{}rVpX0ylTa%Kb^@&b~ewY z*5!67nqn=lNXz67s2+N!*_KV7qnnPJf;2t{MSn1!qNP@sJ9tl35Q$kI&WXp;Gn(ItVvhb<|Q?n+F<3Oa2y4&GzsiFx7E|s z8$GyLSi|Ej&>eihG^-xzm<`3>nW#q*FHsM7PKHnzMLqJQ=tE8C&_E01Yiq^<=;OK`!Y z{~*Z}m5=u|DNr*_gAP>&EKQTtuQ*fOZH=6({6V!M|IzkS&nr*MqpPFdueo~Y`f4TJ zJ@1BrwIw(23(B^4`G{IqLzoC>M~Q|{v}1E;pvs|?ik=LMXsSN1Cu~hMP0-;k5(|y_s8f?!a6EyA|y(3jvEnSr)9^${er{H^*iGT6>cH?IEbSu)8rQQN< zDT*4IIhuYbwn6wULmh#wyAzj#bsFs+<8l7hGRPIkG(tkc10`gEOerbe1+yEe>1f}A z<+oIXJeH7SOQUTZT+rNGinOZh-S%>M6=Jsoqt_%latapg zf>6Khdcyi~25}XCePrb5>l&5`MB76~LP#uRrsI#_JEo=%mJ7=Nfdyj5NOfHdT9!n_ z&X_5-+qBnSmBU- zsO=onvcu%ZbI2Fz=9~gJuTUR8C4BpcD~SgGpRw2h7!C=yn5{faq~;lFm_(j3N&_6_ z!+E8Pm(28myC~N*T)+5hzJb;Q{~G@&DLVBCIoo0-15IM%IrMf0%hUv1 zWDa&91j3XUi3j(mBD-csb7-?lCq95dnZQ^cInT4uJZ*1p&Gpy8`rJL4=K3lDKzQ{@ zhZ_I9@3d!t8;ROTPEV<(Ib_hW8eYsZF9eB!W!aB>d@8e8vSpI=N=!<5) z{+`CvFkWCe2Seha%dkd9GlSY;d3DkK~AR)_{@C;MzkggxfhG&ER zbsQDS1b7j}tH*AgHA25$i+sXGM;z;E+G{D%qaMDb!j@X$j0TIPNqTN}W<|{k!{^bW zuO*gu0urO!BCJ1vaSN~p(5DOb0^YMdg~`e0NH=?JJ?nLMwF96c88RTF)_NU5 zDxQ)Z*c!z__~?|0<7deECTb0yXZGbpP1~@--CYT3~k2xe|S7fHucq z$r9bUTrD~hh!88^zQMbz%%fy@1@0Oc1r{<{I)vL^5S<7AGt+uz2qt;;CSG|+57En$#1m%qxoqd?%K zY-(<4E`_bkl*nWqk-Cl0jdKi+2HQF&jQ&S!c_ozo*Lo%N_!AvMz;TT&hZ~e{&SGmKafU@vrDM@EX5n)1gZky z!z|wnP-4_a;IQMEUK@28G9TEg zC8{g@BX!j}mDZj4&S0m`=9&*^PINm1pC9u&R0FEaT1xr(jarNMEK~x$<$0&NV?`bV z-#x+Nj3rM3gV_a!(q-Pvj3ldLb8}!*O(4>FsK;4eq_gctbyP-1$#hknbu9*D)#WWk zG#z04vI@-z29k@fYzu4Mc!XA04a!|hRK56)(>$(()SNYc@1+UY!?8zV5=W8 zqHqt@LPF$_f++#j);J*up~tj<^xo^0BD#WRCDg#~qbL&k8O@TCsD`-hVOEofcFr2O zm49pNI>k%9#>vISg=lR)hHHwt)<(u&Qd-JbJyG*bEReNERfvoz2|}gBNQf2D_yk)#&bG|(r# z_-P*%A8J<<-l^m|n|_x|tXEaf55*qv{bZ`_2KG;}M-(knIG4E}+% zS4Jq7??lLz+^pp?Te`oABU({NHsjmtALFzAZr$oBzY$?!Sg~(zJef)b-zP$*HZ&-J z{0v9~%t@5IEvB7&no3d){=`M^l3-2A*Cj zcgpf!Mca!}&rmB2Wvp!In(#G#Mo4Rg)PE=!U2e4%VCHQY+}wzyTfz)h4x8Z&@NZdh zmW9{bw>(bI^=q}QZMjvlZi+-WE(0<2r}p{|7rApS-7UG5tAmz*qk~K&n`r)lmJWT- z*Vk)e>T6rR`f#PVFJWQq4cnjmDztg4%}QWK(r=`hrp`^hC4-%=J0$(0p2{WWW;XJk zx)!KK3#AK9J84tJLCYw_sNZ&mftwloKTykx>Vl@**pa8!KafN7KhVQ=ywEG~NvDlH zuZFvS?z~m}SyJ{E=787u4-_qCg!3D;KhtZP=GvoR&v{1O5Zm|#9gBzDSmS=Q2#5wp zsC}XaVSM++z^B1|?WLpdD)GxTX`CghO(;=XIc5wjiekH8%V{U*q-So4YkX*l4 zUu;&SyaGLr%Jq`OUu;4oM?XblSb3E`a`oUb-p-j;Kj*v>5BjbhyvIH5Qo7F60pvC1 znDIj+nR$SDTuXr)xi*x9`Nnnvg+Ug(U*3$SmLG4(pJL1W4v#FGXAAEG z-%P!58uFXq4-C<(z;Mqz`PGDjji~01g5+e00ehCgwxB*Cp;wRMQ%o>22uVDHvXAl5 zoQzJjU)nMvVp5-{t)`UP8d}fsn2CObWXab>1+h}Rl!&8b%jNM^!@g$peA;c8f^@rHk4QJBd*1bduU?Q zoJT3wG7~OSVHw(%7jCqPJZSuHnuYQ4{9yaNj!Ch8zsb2j2Kv{KAL1$z0w?~KOe&%0 z7NiLQmc^EFFsPBQD0P^jzaqwn&kwtMulIU$ZWZ*I3b@01P|@*KMYL4;lAN*bl0#4D z#z4Viw!kLZ)PYdfrJof3*Y!(jSARUSGv%uj*PG>mK%S+3M*J<5n<#Y|vWgYLdJ`NC zXMfg0cbJv$vi4ah4NT@gLoZk5SGs$fCpMo)b$kB>E$#Bj6ugP`YZ0F6A{!s|`Igqu zV`*nsRX{m?*qL1a{Bpq5nBsC`=LJ4WPI0DLiekh1@UarT-~>h4Q4kO$xc&Km z9G!(*lkeMyhbRJqsDw01cXuj$0bzuUZj|osR0(N;F&cz1#uyFX$qiQIIoYhvXm$w{f#`Kt|MdEzJ$xnU{NI8XR z7(M4G?ozCyU%?zR3U<9Pl2hCAFXFaOVW+91d(_hxwHGJZ``b#S#g{7GmfW)s(?NJ0 zi0syh_c=X@?ix>e;0?jOx+yG8(ViqaEQ2VU-sC-=fkR&B^`12(LmpfvTr(HzI^Lv~ zKTcTGeX4n{`CSpn%ASjdf2eE?xY3o1l-6v$>AbnBB-&gly?LXUScX1Km`IehJMCB#9JoV5lh@(i zOmvP$VAD{P?1`O9`h=K{F(X*;)kigwQCpbpJr8 z%3xhuxF_zySD1Hy*=(@@tqK;%Hq#arbl9#H2AF?7)2I?R10Y-F40`y6k|2udLsGOE z!>vjJleIp*q43hFj7zF^%fy!EMLtC$$31J^wd}0dacw{_o|IRh;=X#QLeR}h{4M@R z8|nx?j*b9g6`z!|!t(Wna$Vl+v&}W%Zvadb1R9O8egViizzWYuA@9RYHjW<+x`u!2 zN~7Hmz}(Rogv1+{sPFrmzHHc>>UFU9Tu`$2xRtn%@-Rh=FSC)v#hjh@sH06GnrSqpNEPctc3@J?3wd;Y8d#jllr0v&s%m zZ%zetCzU>;ZV1i;>FQ+RMBx9MDFdWN+HLbpkGEZtw(6>Q0spQ{OV!gEz%t~7*N59}134FBx&E3d_ zy!rm@C+-_ibjTLiP){}wk|^iuj=Imy;jEcyUyT+&zgj!wV{dt`(9YGRMfU7g(MpYk zWX{;vwFFX;&6xzz#DechERldtlA7Ez=NQu8wcp(cOVeoM^+H~LIC8p>Ex&sTWg36s zZq9_{X!fb@EjeI}R-{F{d5{d?Q$40=#po@WA&|F1*{st$v1oIq(V~x^jjJPaqgSC; zid&GRWbUrmXl^)vzgmrzj@s9*m_b}lP9O>JgG2L?^9%YFCc2hX3{CXBRvm)y^i)Hj z(+11mo$>950sjRDX*)U?Ip;t&$-8}^Qb+GLwpkuX>dK*x)z@0MaNtH>WO=k#W_mLlcUy>4n2rbk^1?&w{ece>v)&&6PAE{}d-D2MN#IS)uw49gvH7 zqB6JVnXoQ=npkonL$bBYGwe}QuyNhro5I57=pf-`2MJ%IfzwLUmZf#4X1&UL%V()< z(1fx?&eS8ot=TWSC<>e0z7j0Zg|+BZHAXQxxd7Co{r3r&BzvAg_7kqeg}El zWl6{(omb03;-H+Dhw*=p)^=4WnJk@YdVLoZR;W8s31Bt_dw!zWWIW)|^S%-E7nnv8 zR=Sncy*--P8ndG+qs?5%8Al%NlHW`|A6>$l?Tj}Uyi<|>MVkU0ECereHzLaZ(lvv zqQC=na6da~`ASNZczOy4Rc8C+^JVe5Bl8tWi>K54F>GR~?IlC4gpz(4kf9|(xz zsk{M&;l2^L{b~4MvXRf_i<0p4p0(|qG%Shuy_+oPAvalfSZddPc<5gBW?@Qie$=egDuNoi2|kLi{LS^f)0pM{ZfVLhD=KaU(}BaZs+x zX8y3!1&6=^Y3#+#mxmowN=jrl_p!i(}ecc(7suj1~j zt2Bl!n{F$`3XXWe=0Yk)BY|EP|-7C=M2~6U4bG9xU#Artt zupo*XI4mP8du2RR#)~Y?Oadq(8U7m734OAF==Fd_T?o zNJnbnw5xvj`E#O7r5;EB0@Z-y*}!KL5`J28?Jf z9NF_hlRADeDuJ)4k3KyB#^+aZ>1&I2r}dMseQDyGLPoSJ65Fnya$jn+Sgg`jf_ZM2 z^dRN}{A!_F-z|=$!@}hLffz0L-*7*B&;NB=MGrr$Ym6){i={{*`KwlazLB~XnxOuw zR{9TXRsQ#%jO^4z@nNiH_~0&WE8vy{fgVu`i=?CM>j+Jd^y;U^TFAxOsYs#ps=+z2 zI^0Uqh2tk!j@%-)_&uj4^v+q~71X^~gKDq#w)cuH!2MX^zj#W!(Jqm1D&Il4?~epL z_#dw`=kvpWI&I4v$Z-W`>bpQWuD+jm@4KWrOl|vmTh1>_42#FLCQc5fFb5S(c3bds zlZ1_e6kcVpi0>s2I1&d4d)D01=Voc_8%-u3E?QF5f4QO)uy8)+p81xLQ#yVGIZTyq zsqg?QBYxC}^1*bCrsRL1%NO^h7lt2Tj%SwLN)zTcF1v}!+@yL-`iLQiwC9jy70Gme zndZXP_>r6Ra=VU|xb3OWUfAtK$guH*SbWgI31R`Uw%`~uP+;uYB%?9K!(f6YTh)Vv z!}NFE;@MR@W=ukgCZe5!Y~VsaMr8VIcg(dUf!I z+XHD_lYGj#`SJK>02fHtL4lcqJ(8O~&o-Po#S=ACS7!Ji<2t#5!p1NhnJ^nn+iQWMzn^`yc4y6CXWu{e@~N z%X+r?*jis<{o1kIwcx$*?aQOp2|@Gu#5PEtN4(N6Ms)a=s>grP%Og zK9fNkW9jo?y@SOZ<3Q~5vPH1Rq2)lD8aybp_d>{DE%-_0!c=SS-nPlW3W7ss9HvLJ zJu`Y{cr%i@eOG~UC9my(U6LWhOKl%(`79Q?{R1h34`80Raf>gFU^4aeT>n6ZCaOH0 zYOt=0Iv4X4ckubah@h3mf_t!k^GQTjAz*c!xij^<94b)G#BMn?343^gnsp0Zfw(blPa zy}Qst9pkv2q7R_8&YmZSZHyN_>G&_6t;9&_%J333kI6lp`ZNYo2a!Ag%Jg;|!$M*r zH5(v(W`EhzoxRg2yu*@_qcO5Qs6H`;KjZ!9=#-FEz1l+~>yFqa(VOPvXsKa5nKTm|%JJ3Dtz2-b zEhDT9wO+Scs2cSK(**d|o(}0{BQ)V+Yno+43RM(3+zvDGYT~^fBWgMH00KQA)SDZl zz8@Q`)m`><)M2S4M@%(k`Pwaz?xi}i$gl${VefJG%j5Lr?)L}pY(D<6c<>RC{?URF zCws_cVB=ze%cx~ym}YAjPHI#00fUg#`9N5`APh4TNZ~)}vUZxX0O2I2)P5}UmS2=Q z;8Y?A=wD^ki|0{RM;2=z>}qJOi?E+7w_2YMwP+wDYbLkVHC`A(Op0ROwOcy_maO+* zoE0tk&_c)?<|^>$chX}L&;x4=bGfxQqd;i-qm#ej7>Mi}<&Hv-Q5uy&NR*WF*oPuL zl(;T*686H8(tLi$qEJLYB{hloxf<>((ED#EZ+;q5f*ydr$5bw9GPt{TSfY6Wy9YnDn0~&G zWaWS03-~3djUtiGMHAYM1M|nUz}a1_D=ry}cy~&`GZqpz)iO~gf{*ak42WHzcRO(& z59j!wLgYn<`D*~O_?a72_(0)55U>MMNcrri0kv^h5Wap~^~C-;kHbn%hYLa7GC-QI z$;X$^<``EcTdD14iHK-luVLTYC%hP5X}TE4bHrT4ZL+WqKTE zv<2w{4Q4p)B_kxg%jT-HwGUrr+^kLEBAZ56O9KIjCoT=loQ*qkoq~$e7`JR{ZF~ z_CQ8B^mlVgY@dS|XD?>yrPxc&k8xSMq^RPHOzgPmIgbf1O2Z%R-cFq|J+tFCpNpEZRv!3i(Une?)ot6~Ri z1_DF;rLB!6ub(3HS`$T#<~+R1>a0uufi$%z?b9at%9hO*S`woueoS0Qcx3o+mvyt9 zQisZdo~I(&mTmh3%{36t4z1nkiZ&{|(F}%;UO)Wiq{vZ@)C;_)E?aw=E=I2RPqQUL z46Ds-mG9`hZ5QVCQ=g>Q!YtGK79kbZ5%#56aoHpk^UOrb?C%R(wL17CN!8LBtSfOf z^Nkonqv#(fs%z0d?uW}iP%>50>ej^GSAXj}L7=xzgGSceZ=cUR#2u_E4 z?QfJIshqtT=Tx$4Gg+ep$)!|t&San|j=MdI%}#s!DVqDdQN`MKj`{Kx*ob$_ZRe8j z5%B4vO?*S~z*5WW?A_e%ZC&`+!|{Qda8{4 zN>j^BPl)Ff&4O-23UmG3qifbi9&1o1M4+^h(STZ$k3{DA!H54bL4qHV*At02j>Cr> zl0M&Uh9SIN^~?w>g94K*`3Z=4KM90^Av&MJzcP+RE~e%ALOJn}1uh5}?ChQsr|Kke&xbGzyr<>={c zZOqJTaUN8^s)0<6H0q|%Z*g{f)?c+^UlBgFJ+Wl@_NJX?ecm?yFZOFcd$0QEs(1Y# zN*=t4vgvtuLd{PR#Dv3aB+)pu(488udQo#T+e>T7-e0gd**39lqK8<}Fgz+_6YIWhY0e6ECZWARQ85||?qoVOOR zFNj$%;#;+6*NL6$T+TJ)MA~}WIWHWMo6R!+O|0DK5Mf8Y%q3-$y5kkcUL-+)30AO> zGo9kk$s)|-iPXQo9{4bH*>=yqrN<^r9Uu%*k#k71w~2I$FMj4|3l#5zhs zw=+~|++?J&4khjXadsn+hPrCZWoOJ@7cEg=Hz6B|JO)q9SYAYRRkwB}VuB{HC=T^q8<48h>-#m&e(#bhUNnf^k zKVn|dcXm{e4{?1WxZA5Ip=lLj*07yul6hzTqW7iZJ~`rCT)Rwsv}vJMXTHI8At>R* z*l%RH|72^6{G4}MqpWmQHG(tirhETHHjjY_aLn|ae!JO13YD(jv8a}o!+q)H%wL&Ob)sJ7tFd2Q z%wpMCH>(GzP{>KQ%&j^P-wD!JqhoM?LMgr<8;v4+u4^>Uhy}h1;t~)Dtb@KO-$bq^ zp^X-&8^7;6?1x)tynbv-B1=lgysFuAGK2Sq;*B3jR&%5w0U;t@uL=EYH}HkwDA2&7 zs0=@v446;DX<2zQxK$i2%^AQd!tB8%(Dog>YF|{x_0#` z9@X`2mXM7OUi|L+f?B{>_poex5-QrD2*{3YKniRufAE<3Us3)90WE2K!^b-U7H?N? zKDe8==Bw&d$^)sTxb+*lgQ3Z#!tsS^iNxjm9^MHy9{Q4t&VdU7AK9XPSw{)?v_Gzk z+#{xYcMM1&j6@e39|A@H(Cz?lcC?^;5bW4)SEYj7LBy zMz|+~=_i>0F^FU@^6gc~AL*P3MOW<3+4^`9@ z^WBj+Rq|R6(AICpNr)3kz zQ1KR@>R}NZ5!%Zb1J$xesf1`?aiC$x1j@K6QQXempt8&iiGR{4ey8i;98~zj#vEFi zZ;Rf>M#v;p5UD%6qn4e6HyJ8ZUn}cO?rqCVGF~c~Wekgp@ky~D=XErmV(@a^39Lhf zkOkWmSar#mDV1UPFQgc3(CL?289-7Ah&Tt^TKdkHcxbq5GK?NF1ArIlX6`m0{HMk( z!Y8ND*K>(My$nh|A}yxdg5Nh?IVU%6A+bFZ5#Gx{EEGwwIe)INH?YH*y+jrGeOf0? zDgNZ|ujAn^svEzE3uGgwZjRuI$=P#=uD*L3{Qo^fP<0xlI>xuoVxRz zZ8z_$sD|dd=$J{%sL8;f9Cls}D;y1C+XpV86sBFj#FEfbMllQfB`X(g z8R(*&i_AQ~GS0(;^;#24qOG7`!%;(}ydvV}I*2C?N*g?N8t~I#p&re*_E^{HAkjM4 zd36lku6U?6vfK_TVo;LQ&DD2GowQtc3M^hJjki2mxS-yDSk6(-I!$9Ld7a~6S&b3dxf44|C zMKG>q;7BW!KPx+KZ1B6}C84_;%yr~bSI@naseM?G- zHJ0*Wr4Q9`Nnst<`O2MNzh9ESdjCU}`ppBtP4H&edl4lMge?dgmb6x+DW1YW>0mFV zSX>bB^-GfT_=0~SlmMR2_GYm^+Ao_k$&ylH@5tT1VQL93Lsc`rBW6N=o@NQ-~hc>!fBO3j=`&nelpmMkV1S#cagwjG;70=OmN~&3fekY{g=h zBP3@bt>UMyf#PScK#H$H6c4-OK_{&IxPuNfTNhQ?E4RNx zbw|&WZf{38usvIgMkbaKouL{Ut+AzZ4wc1aIuppQx?U=g!66OV)skqmp%z>+i;V{@ zWl{ATVU*2}6z^`0ZnheLPb7rqj{xl)f8vj2!7hjvPEp-$Czwf5{Z1S1tX6Ee4$B23 zmP4S(*gHc?YrTf#A85NGI8oyknY!2MIm5T(ZJcd6RFlcvb7nkSr1%7vsYZzi-679;xRo^R&RxWJS zoNU%kt5BRh80C@Iz;65MyRXiwT;EYG`CnY$CT27t+}Sw?8=Dgeo5ejtr2}q90>5i) z1elV<=kDBYxJKS(2em?!-KEhG&gieZ-5`J^QyrL?jHR!hP9TBL7sg zoJsGm%{SSrEAw?UdMOvSHAm*zO2w2wka%_MrPaC_qSEos3i@MK+vdi%>QET%`mnai zV;gVDXEWqdl)8GCPr9kR!xP_vYp^1dx{`IzjqBaew5)E2K)cO8GlFY~b>)R8j73Ab zrd8?E26A>exfJp8%OffmaQ#waoaoUESRz$Jm2|q;yd;A08@t&t;pkBys$IA}=ckU>8}^>Dj9?`Yr)Lpu$-*^jNEK1c-SI|*2{U{6FzOmlPPCFF~{cJop3XKj` zh;lDk)S5vVc8m>&Pg`s8#xDKd+@lWzKv?~to@Pdcc6oXQoUmuO4}Ko8eoAD4`rnW3 z0B4YXwH|uey#da4bMPU*to3G_5OjSEZ^A@$Q+2!t`~srYU+|KUWui+>u_I_Z;~%ad z91w^VgpUK_Po_*KNO!=FqFE$sRyj&Pv=-TD=r=wn_}2LV=Lqz0F9;5LVB0|ay2$-J z<~o9R-%#6G!Y|X%PZCy*lJe82n$txz1inC)ZpWUUP(IYd{j0Bvvz7ImN^0Qer$e>4DPx zRoPxMR$*CWIID-&n}%UigGPYH*R_UWu* z5Kr?uFc{w~dJ2nnmXD94qjrz-gXT_k+Dg@u+%IVY@UM)S@tM2U+1{e=9)T@~e;|sG zhL!?2QZGh-YKrdaA;XPxv3`5x};7>lLq} zaxHKGdh=%&$GR^w$?2`ye$V$y*}7Dy??>cxL7Gg5lsiY*&tNlT3Rmr}W<(jt@09W=nhiyc64LqIHAXRar|7 zF6X^BR9WD=M*W46pq0v0kG8;BWaP?mrYQ2S3bfy22p2HS4PUK0@F?HpN*C{OT znoiKzRVT@w_#Y^UHe~ev+Z}`4n8e?l!SJizLDmcCK?q*dHc^mq%%MOpbH~}~Ma_cC zoW5%z<5A$lHb2D$?ob0c!;Hcw^fo{@X% zPNM1I`Uo33Y5j(*67mnUDSbOlthtifG&_K8aU7f~nV8jh(JfX-Wj=pKGN2l|C;IDd zvYfZ6TvN3kNnoUkz-!iJ8l6EhvLQzcsy(@dQPz zzv`u?M>q?&lO|k*d4bQ>+WM^#b|RntTTFBg6FGr|cH{v~jB}vS>#PGjqIXWJ;yL9G z0dxT&W!8M208t63HtIvV3Sm!s?VPvg?ZtQ18(>crr|4_1DQSD-#zB9Q0<3)}~W{ms?Q=wMaA&m&xL%6^mM z!%ZL`mFjZA^YuahcidwD)ePYv5)7mrl0i^T2(m1X6uD80TwM{qzS{o$&02*3B)5kP z`ugh=U;6)c4Jq(rG+q0NVxW^NlUatQS|2%69lSkI>MFhE^hSdIjcy)Z@zm7L-A0ua zoaO!NU&{KBw(HSn#af@Xak z(jhZaXoOErdqLQrezxf-ccpQ?mKD9!%1vY=M(1+H#{{z)doE;;Q19@chblHw)|H`BzyYwe0*Jk&veC>l}$3@RVDYBez zrRhXN3{z^)P#tA2HOfRF+4b&e3WE+ZIcR&gL$8ebz0D>~?$`x=ty~lYJGIx@Wq;O> zQP7=oDiJpu)yRVff#q}@z>I-PDcHPeu3(Ma{HoX_baGlXY_}UDz|sTObPJWtY5uCHLfHtegR?M?p40<`pY)!I}(9e@_!(Yw(HGu zt@$6V`6#2yi^GU6_*ju!6AMtUncbPmnk0L_4D|8t+wp{}*lWMf4bprfeslL!uG=Sh zQM{>6|5*<;7Lj^MU&~Tto5(@Y8!>Jj$n!A=Z0zvkS6U$1kL0Vh>j&ncCyaa-5OW{A zLJfUAwQa`^qtH+}ZOFz(P8ro?nFu8dZ0#sX&sV4Xe_MNj+k@h1aOP+;*Xr>ltg}+c zP{l?d$+EPsb>^K!ahon%FH(D^F-G9mk2I5Oiy659W!Q9$QC?wAH*}s1cFW;`*s~mO zQV6tmmkM^F`JPMuU-NsQn~Q2Hz9?FZ*Vq{dFE8=>rH}u|47*Fc;Cx!ElYgPDB5cT# zVTd_kE3=V68R#Ik=oNvH{igN>8E5}MyE4?!at`2Xi2F0G4GbT+295OT=IR}- zB@R@M5;;5(JCbBg3$j4X=~-QJGln+SsVHB3Uz6p7;s)ndEKp~MB7z_A1m}i?L}aT| z53CFzeI={V3bYdEQuZ0u?2nUhWaCXWq|Rlxaeue+ z&vEGTMF{7)^{S8gqSAE0)`ucx=g5i-K-ob|U=P2z1gqSGIvgc`z#9>ihV_(5f5a>-;SRwIO(1SPc zNaXr9O&lfxbeM6!=;jX0%}XoB=M2dr@m8YZTP{&$AUw}K`P*7YCyoowc&lMp2RpS) zf;ym4^d&Rl(D{vB9c|D5JyQjj^d%3R?LSS3QmYWVCe`0jevxib%oy46N*yHdz5UxK zG933;?=uMv@w5bwQd1O}VCPwO4ur$TO}#zt9g=;#$vA)b75PCoNq|%buRSab7et9m zXD_bU+AJ0^c}!i4bVmUJoZ^}TU5=v}ML^=2)Vr@Mb1nT3r<`D80sVc3LtL(JKYd4EG!v&HogOGtJf}JxQG@O_ zaqjtbV!O`_#8@@Vt-TzbYcRwo-sAS&gK=5OW-`_5-k2PE>Tq3Ebx1=ZELiAVLjUEZ zD6Ea37y^YYl>7I{+UFuSvxEOi=woa18WB$lv7fuDY4dv)xVKlzDmIZz@+;H+n@-i! z;EiC`Jl|m3r`MTYJBlhDV5s|E4IL*&RloKsNBB~a!-nsQ?r#N2xJOffhQQ!>jZ`ov zrrTIwY*CqpW+3K%Q>=>P{#$dfPqXDtKw|ajmfwQtN*@izNqWvqMb)+}2vPv0ee zb%)_aqE1|3KM>@Dc`~OxIh3JDs=zwX6J3e~+Yc?@^|(Hv@JJZvddOJy!FTu3T`JeE z5$uxcU^D#as8hWXQ8e3uosvC}5j>f#1iJfUFr!4iS+x+@q)Diw#LdWCW5^Gjy{sj;XZ@lSXzXDpa-O_bb>o+l#A zx>H*^Xr_0p#C({am*-$DnhPmC^9W%esg|y@w1Pt}X!)kSuV_;4l*?{!$ozMmHQ(pi zp=YORRmTA+oyq1zo_1@-C#_%gJ^Ca0ol`dwu7NKl0W9QtcX@`8Lv7u;gKJg2yJH~( z{&>|)33gt7P8W$89Mn>Na@da&YL8NztPma;7%@2J84y78dhYk{YA^h9PgKyuP`|9v z4}7G{*1+waV#DPGxgt%oXFMTDNqV8EI1;m1a@XTm-X6N?@MNR)bWZ;th!r_3D9!dE zNV5BSlh``=*UT+)rqyX>N3x|GR%Y+~#8xlIb|tMq*`Ao)y~A0$=o&6mT=IooOMz3N z47Q{;_V$g#oN+TMn1<#ciz5xy?6d;TiPc=e19q&DDKavSwXE#^o*1;0V~lm z&DWInOGX-X-h`+r(~r4ELaLcC-+)Y?0FjoKnXVoW&!GJ?^{0E~1n1Kc)e}S*RmPl+uI!IXw&xGP#-uOJcM@n-fL9<`tOQKq+1rpx7<_;hn(4)5>q?D|Hd zOuXk`$*e|+0D9;jAB7habLWfKrF3hyX=rJId|bvX;1~1b%DoNy*)@a%k4M?1v^3Y% z$9H}XZw8lZVIQhj0Tj@mV*>249AU%+IzJ8Y9$m!<6eK+YH9W*?g@aow(V7nObjMFORKLwy}~9LS_H4jj0O^hdXuA$N-}wohzDTl^hKrhE#TW#~Qc5_KWZQpE^S zlCF`6F{YszV<}xcm{_+^UGbQ&-f2G70IvxO8Ash(oxW*!{LNRp4ynd6W@??aq5X}R1&@i_FlIBb!K3v_7W~J4wx-?=(0TGA0 zhdaVUp)rVK!YF_G^U}Q$*3cE959!mB-cN#M`Ew@RA%3wv>Z%y87N3RAws5S8^omMk zQ>eA0#FjBSSWgPipV+#$eAISig~3Zk5&ndk!5pKRJs;DkL5a z7;nlC>Z{_{G$|j00dG(hxD2QU4fkCKvO1ke=RHK6NzYQdG3H;PQphy&ab@W#U&g`y zgv>dzmU@mp!agf#j|thsPme&~25>Ci7)TiPWSLzc*p$lqlnj%HsV3krsqMkAWJA8Z zD&d|m5I(3cJ*=V%1bR14KgLgl@0>l*SOE7cTi0-va~!Rg{16s*>G`amz%2~K+v@P` z2%lO;!)lb7q{E})e85Nl8A1{QUBBdhDeOH?{q}Kdrn|vF-?&xFqfgKjwuhtQ*RhZA zK-qYBI3Fz3$0_?oebJ4Q6Mz&(4QCBB-OG%I`_V|tKfM&IPoR&nvd zM|YcCYC$&Z%)L`tPJ3@(5+lo`9!lbo>(=(==CFAusMIq;^Xl@aK&0=A1onPzv;e&1BP)R$XG859O5fv=2C~6=i?e z7LT4e1gN1eby>uW3PV`r~Eu z|0IIgob+MP^6h#(m7>fbt5a%k$woPQx*V#8_vp@8gPnk_Twj%Zsq!(ig=v$wdx^9Z z9<$K_0qNC@ulyynEME0dics9+t-6{fi?_s@U(A-?!7;$WiZXZIYuj?W0TwUnCO32T z?}$fMBuPh6nkJFRR?{_ofitH)RLI1wn%(BEFCcG`y-1bW?^iBzv*kfJEID9B7H3wpEf$zyMz`r9``RX)8f0qWLNnKlJZfKamm#MS_$(QiBZsm4$qptn%~8yAuOs z-izzpM+n|Uk(;54j6;@{lUzKot?O&dX5yaL|K^`pa)jFxznjxP-mY}cp!hGSdf84KsicAR%g;qwfxF? zdG!lY2^pwC+G(5|bWVoqaPJxqnLXp=6gWwaeeeda3)ezG07RDl?j0q5^m`2qd$JIO5>2dX!9xxD@BN0@~!EQjE+r}ahhQ#5lU!; zgjcd3mvS}A+6JXpTrwiz?3UzVQ|eOrn5muY)lb~J@ke3wkHUyRN3Q^$2d)`k{if-* zp0Dq-3M1mln2ydK{a;&Fur@1r&vx?PkZ}$A1Q&s`_I+(>MddmS{nH@7fisz!prWhl zZbPDI5;oDFB)B;I3Luudf`VEgxPZG)kV)O;vTmvW$4K|MCpgkU@|}J59fg?t#P-?Jo1DruoD&1G(2dI5|W;p;b90@#&}m7}z4e2fMNk@#qayz*ZQPJNY(xYQ=zA zRFlT_$=IPg1V*#_Wn8mMlX(LR}t(@afr zDs`Z_0bEo@Z7L1Xot8p+1QXCr=Ir&R0^~f9w~1Iy`mS7r|9#(N@cNKMaI{;1=cn1- zkR8n0(aJnl+1twVEY(uemGPYb6W5qhJnw1C!Rn$H1Prxu>Xz;u?0G7iQQowm+LB{L zNSNuDvRt)}awM@ULZA#gsX9%9H<8Hon?#mU9mxKp+F9hIxaC}wZn*oZ$=O;nf{9i@OQ`?>5RX@2HC z?|)2(9w9E%pXlUmMUqyDN5m}{7B_%%Mkw1+8^mQ`gZi3jo_!|Q#vG+;;RM9F>T^t1 zW3PLhifj?bf|0yoP5@7NvWI%1{lZSBsRM6nw?V)9X(V%_bhAi|kqbgD0+IurTX;-g zwErZQNA)CC)!6xBkY|%uR z`jI_;{(t)GuhhJwlrnRu&zamGV|t~9ea{YNhV^!X35G+CP1P2umU&x--<*!|n;w z6Pjr*Wb$IB33+b24n>>0ts7+vEDkBl2p)Or+1(MomLrK+4~3lIB&RT2 zlL56nVP6)70uwG#?Ca$Ihr@+To0*AIfBIiG%95s{w?hj>dC%LG4DF>wy?9WKI?Dvo z`zlsn_^saH;<2YD{{)H`GG-itvL&Vrb9I!|mPrTP*sn(eO`-TefkKH9IC`4~MC9?m zKYSVOU{0_>8=b_zl%ftq=6X~mOO`$5>fU3C6h8BxpQ_g7U9N=(m41Nx;ycT9kl|WH zzI`?J=B0Mu4`cbC|JCpyEJVX<|9U7WsgW|jgv1C8t3Lm=_`=Zj_soyUeLib(qe+O{ z?)J}|%>RW(3EznFGXq&pd|VJK?z0!e8ZE)TmP${jqXO2sWre={P}i@O^F86-xkx{z zAkFEudkv`}V_i=p-wKTQn=1qkzO+Z~Hw-?m>6r-HaYuaq2JAFC;H<$Qg#7#g(;ox{-TezSi|ffj~pHJybhghRsGc~h|+XM zWZWFyB${zP<=2O5bK2&QW(2JUFBDmrhkP|){?yL#7hgR~>5>Us%iJ*>Y#r}? zItZTRoSQ(qdVW>qC>tt@uiuvJlCA^1B7;G>3Bxoz+Z|v>tsa^9ua*AXtCbsU_T}Ia zGve}eKZW78r;Kps7SB!@fp>XDZG3_y7l7bBUM@LF^Zm44?|JRe=AmtorrnsFmK+~D z##w*Q5RBkPFZbX>rq>8ct#AHob5;cnN(?6D7h| zJHx*84>W#Bqkrdg(pqtoTQ1JU++1eZORw%@b0Iz#%6uOIelry^{Qd8$gul#3Yu0`V zzV$V;s8Ut)o2dD!7$eqd`VS#@Kh}-{HhR+UwCPo;W$P{~fM@!*_59rzr)GB)W`?`a zFN`M`fi-=bbBT4`Nd_5h*D&NP=Rc6kg+Y)AgZ9jNtW*Q@x{Ap9ORN2SX0+MRCI<)j z$(9$#g|_CA1V@`mgSU_amRp1?HlSti`?k#QMAU3lBmnluxDO=S)Bx$^3)1W6wSMrM ziTw52C^aw1FMW5E8!fnMKjkIWXN*rpB#k z8!@b*Y+1Ccg*GSP804Bvz%E=$x_ae_NHT?1Q!Ej{=f<}twbLdSlHDi0XHVyTjnqP+ zGpczZ{K5D~0p%P%*r*}o*`I9LL|+n43G8q(MtlNN{O9{=ub!8E+f+dvWvpVEm{J_a zrqA!<_3MnP|5W?N{ORBIz2bYFEU5R!>Crcwuum_aD?|@Y0X4SpvZPLq>A1@A=pqKa zq!oS~#RAKNQEnPVKA;}{|3 zWRGJVBP1Oh*$GK195Z_!vPV`zA~H(Z4w5}G%Fc*v5k9~B`|~${c--&%e!s?bJ+G~7 ztGJiuz1O*U0$-9E=dT!rmCRc8RWvsKF>jV!Sz3LJy0exJhwtc%05}*#iT(0S9hSCL z-UKB=S=;LZvsf3en?*K0S1YV4T~D75I`%Sle`)!{j(&LFC_Fah9sj#`6hOISD;^FJ z23V5#AR&@H)~~yo4h&Kyc6rY2CaT7(^v#_=c;56L8`Iwp%-nTP&1u?R5ltTl?{N@YmA6gEbY3Zh<`|07W*&o2l&eXk%`bh0D>T_s)l1 za;nQ(7rU>#3diFkRK%g-;qBWWMT`YIFr&;o8v0rSXdHh{N+w2a;ff}p*ue8t#qf#y zx8p_b;>Ah-t)WdtTWbZ`EMfT4q6B}k=ieA@fkigQXbSBIamk|L-5KnZ%iIEbF=)XT zZ{5b_`yS1T>R04^IGEqCvG8+gFHYx4w>e_FBNi7I2A9N%ASK?kjJN3LzvoFeT$kwC z+<%(hqCcYAj_x=WoE}c-bJZD5Y}{>0e$nN2+h1;_Yb*BXMi#io70OmHyby^T&)c3h znfs^5_r1S;@UmZ4OKsWSuM>{&jgn{6K^6^#gA?_1&4FJ6v{Ne-ck6zXUD%wmFVXG_ z`6dUJk8Xa9ePU$bPBL>n<+et3iJs`+^619Kqji#ABro4e67@#NF4N&NDSUVbA)@8p z!fE?F4n-?#j=3)C%Gr9(oL*Gz`gXXsWU@6gC8*GZ(!rSJnRIUv0oji+rmxHUTn!Jj zmVa4{+FP#Q?l+5S8-+U5Fh`XoF>>fc2IpsdNUK9Vg=?s{C4sr*+2?dIeDor3th+ZC zN-X@Vd7cj(F8-RWL*Oef%~ICPyFMjbohBK;+d_b0T`VnYOluYeYpsu{JBNZ_b=cqg z<&srX0X<)8rd>)FevMbEJ*Ooc7%*XW_b#&#d)4ikDOxjJ-YG%{e-!{gHYB-qco*yQ?y8}WwO7@ioutn& z>tv7pQ`z)*T+gcPDfUSDkIsaY>La)9W79m&EfmezF9&Nr-i@r5FKo7p`$y?dq})yu z{;=t(rPwYXfxz|WMx2^vwIAXdx=Fr&LN7`V&OVLb(69nca&E@?<^-F=Gr_D0L&vx5 z#>Sn2H|M?Y33v!q%YQUfS_;gG+ubr`Xz;Y)?a|Jk4);BfHGkibpG68j1G86}Y+p=Q zs9lJ+=et!dT@|*=aeA7YNUVFw+ZlA=P+n|pJfJR99z0PpDPpuGBw=fKSBkW|Fu!Fw z_Iu+*8gcxIi|yHUCpAf)pt#AGPEr>G*3~cRSrAhGOE#plG{OIXWrEeqw6fzo*Q0w6 z9@`q^zoe8Nu z7W0CVRlU6H)@3(1tRld9ntIXKmxE)2yfU{-kn6SzJzIpy#?c3xcgCMqJuPont8hJU%i5RX5CWl@*1{CRtRYc&`kekMx7@cS>fg*~ zb9LhT3rA&}SyxQW)0CQe`lkDDrH}EgrAKKICbQ$9-I<(R_gy|kSzi)> zwC9|ytE%qRIwioQixIzEWghR?5=y?>xb9^I%)^>s$w_4PWuK3RkE#xy=I!4BCzH-6 zd^#V-bFFa=i4}T|bJ_}h?YIsbBdkP1RsimOBzC;ZBN*NbV=5sh#i54 zc2tRj9<&|X}S~Zka?w2pSiw@X~&U_sBW>^}6AVm;cTeTz^ z08R+r5hIF{grP9+;P5O_)|ZzhZhl`bPEe5IEA|_VPxGHES$OevtJ9YLvM>Y+!T}(n z$Oav_@rETNoq_widAa+`N9XSovu-3WKDaab>@I_@VddxO(q}Fw!G&)@ZAPS)l2{L6 z!fd0Zn_9}Xx@`UENPbqzzFu+WG@IO=sqM**HiLyEvGBV_HWOee8EwPyvINb)kKWA| zZM;<4aCDZis%dI2zcn-Yo#qSkG4d)expvRKY$4{bU8__;w>P;IGsEPW^<(E2rt^TC=JIZUVuY|5KjG z?fmYetO(Hq+#t_RqP@2%u1L9mSnt&dHCI1O454&_*)r= zrPzn^$I_!)Ul8#IEZhoj|5W`h|I=Jv|MYn$=MJSwmC<6H_((_i{s*n)LV|5Pk$ET+ zYe+Q&#und#YSu(kM7^d}8>N*D8Dp&k4MN5ZLuO(V|!e*%^sz z*Pr^!H$KV}PH1#Cct81*yL2??%h$w6yIlGf^~6ADyF4Y5jp`RiHjR{vl50uiz?Dizde0e%!uYcQj(${2&3-~0_P-sn(}}j;Grz9kdsTsSv2-o5!!BD;Cojkm0#g62Pw}+=5~k2s(DMQ^xs7uUp4k#=ST&i;nt%My@s<4Y-%g6 zsxiFiq1N5BG>MrpJ9)~nZ|HZzrIfP8OA7p{y5m$gQ6;@0s^Q~RY9{w&Wb7z!f8pT! z+w8q;g@0FF=UmT!*JO?S%mSkpsLo@dJ0P+xwom)px8Dhqm5sc^us{H!E+dn^fiEUUH%lu!C@89Jh3^ZNjS=JiZ%qb~ydfms`1h zY|GPzjd(5VTB6fMno(4Go zWSqr4>}RxddFix8`R7Ne*@x`y@(a~Ao_BxGW~GnEebcWoPVnlR8(iJ9 z_A-r^HsJd{braIUG4-?f)8fAz7g>J6-Q-Y_;y4t!f0Y>>R-*MgkxF?hX{X$+1D za@eN&_1VAx@rTA}S8ovT{^5Gv(@&>G3=a9{4XY)+RShx5-ajl!f>-I4E~s@)O0K^9 z0v-CQ{{vIRFeN7ZJ5;dMR+#3&H;(x$IX9AqhGC9rEwm@))vYg`JY^Jw|8jQsI2($& zrsun58T@>u4k}RkGt{NdnzXwHq)&g8s4hLS8LX*(HPv!6wnQbt%ippY(ZIQzyZ&J$ z;ri>dpN&gS`b;~wwEiuny2_Rsc-pcS){5zjCY0KyCR1=y_L6Ib-o%y`d71hDKJQ8X zoqw_7ySaG7Y5E?6*Yz;lWpzPcN}1i=D*KQ3<5Lp0>F>*~68Der2Sc0o~_hDtxW-AHbj% z@uVU_iF}Z0`&{L=)gRe(nXtm-SK7C939pEb>KKY%O+4Q8Yqgi%?V)n&Bk9*`q>MV1 zfSEgJ9kGo7&Lm0{d zrkTW;VNY0C3I&p}>35ka94-*A=-vNCPfw4P(LZhjU%&B#{Z|EUku2qI7XgS^6EgJYM^{I@pE*bG| z4j5KER&gF)HELppkhE~c`KR|Ps=J9$B2khh8Jep)N{v7yk$?Fv8ey{@Bx321AhPMtlP|* zcy8yh`9I^?{;84%!>^ym-tP;37zu`c_?LZ`6ghx=`NQkND!=!K1QI>mr&3POl(J`L zEZEB_A*6uOMN%PgDDyn>x92Sts?V}iv`cWOB~Og9Bfs0LJ#?>5PRi7U5y6LdDS0_3 z64MbV^`ZW1EogyK`_>rzrFX5KbL)M@pZdLkZ#|#-7XA$)zpP|$P7=C&#k%8WRo9(3 z8F`jn3yWs&ev|uK^5SD+;RLn=Uaje5Em~)(Yt4n0=--z>%3Erq9mz@H6nxLn zLQ(8F$$hf2YP~sitvTV_cJ7$@eAa0kw-ys~GSxC=Bt@@oGO<+`&nf@rp8su=lA3yv zNghEzCheECYhS^aDYXKf|_z( z3xR4@cPZ7Uem4fXg$lz~*H)TUYEt2el31*dAhHWz(qTYTHKJ8F#sPM$_1KUiO%2p+#I?r!Y` zVmL(uAj=Tot|UD`hykntlIYt;>r+m&!%v!%)z8*X`lZk(w6~K)w&B;)8r{f$L-Eah()>`0cf2GA`QWjB>6Y|WGwBL< zeHB9+^MdjY_eE79-)Bts{J83bCvxJSb>&xECwG!mGX~r$nV6C_Ig*pQ)kV44HQUz< zN5c`zdVw@#?Mh)utd${x zpVDi9ANnsldA_v_XFr!@&T0-fzq6!IHAqK6P3PE)?<@AWKT}^c#AT%>+H${M{XR8X zUw%!`(YOCJdsmo~1(uwW#EKIX92`A;mr;|N9LAcdE#JM&{8gMZwp8mbG5(Z_jw~fx0$Y6vk55ue{qT)%xhrNzr%PBiClA zoO20&$oi-VQN*j}(ChLkL>W@|5G)>c9@*1hrjmV5%=|*z-Z&xNfh%*>|AE6j@wq+{ z%~VFS4;NOO?onc%uM8S`r-(GkK7fU>>w=O_foKPpQY2-q5P^U~#8Nn<(!k%jSd2@p z-;>a5@~_Tg$Eh$JTUX*B{P+=khky$g`YHj;+Rm7&rL0kt^~i(_Or*_L-D~~TG6-Lo zpBgQ)Q+zZ&dLE=|t!ToAXMv|6T(CH97-^W%0~1mt%*;vSaVx2pL&HgPyr~?Q#+6z^ zrCdB~8m95F>0`qm>GDST=?*KWKKD`p0-hB}0V6OfR?EVtp{+X=&A+m7>NqBB;Hh`( zR#}fNubY9B!WBD?+Z#eSr+QSMP=G)lpaHpH8x?WS)%v!~kfV#BX0WvSCGAZ{k@fJP1x`Z7i= z)4{2}R5gWys+2w@18pTGOA8And=k0YVfgrXT%xZieWiVnX00}fQ#(_ZlS?&>U9%^& zD;b5=Y~af3JX){+xn1Xdo$rg;wNZ5M#N?Nw=`W(otB-F45C59W{+9XUM>ZI7Efx?I z$v)vyyXRebfxMRbC(rftc|x=BF}npvDwh+I%^E^*4IgJqVX~%kO{6R=WFByADp4MF zW1v6HI=#zvbYhXW{p9|(ew2PcbCibmGp$n4{Jmc`VN7O(z_;^t(mR(qi^dWg z-jP19WCs;pl%`X&guks10ZSrM@M}Fx>Q0iY!oSlqybKs{?48Ev#6OtW+m1uHn%*jR z`W4=(Fgc&YF;B$^hrkRu zLgZ=2A1iJz+|HHXkf}S(hH-31s<~5_3=z>t#!N}iC`l-}6VT^^GbN*=@tPZ=T1|B+ zhP6^}UGM4ya&FtL#eVej)*4M&G+>}~O=qq97qlJvd~d%uh`tVnqM>Z{zyeqg01F8P zfL0W=;KCq`JAHVx$_VGif^&a+^;Wzb0WH*u4q(S%>0oC`A;h*QnjsLhUQ+(^jGhB$ z;&Wt>dcS*Vv1;LV>B{K%m3p|vqN~C_T3uYW6Q}3h4xQ?h=LCdMLs-u!G$^AY77|QZ zo~c`0J49nV_Yy!P+ub*}6! zd!++!MbocCLMx>sbH6|D7aO1(R84v{1Tp}it%iWcDEbz@wm9eC`uORVZ{s>4g6gA^ z_34<`Tm}2 z;Oc+CO6KP0tFzWD=|5%DD>E87&6MAbiRo`P{&OE&(@JTVf)WZN2z=N8F_gn7x1b<4 zLlP}{8i}!RuFcM`sVcj7z1&cr`{C{=^~AM+W-1kvL|MH+B?*NZcGaX9EV;N38&Z19 zHBpEjl7!ZWMAdaWDs0-B72)WD-NQwHT5-vmrSBs|@y0(WdF~LR4C!>IqtoCl%hiC)b6xz$(MiHw{U5PmI_TEucXg;4X@f~3BynwRPOe7la8 z&t>e=b>}DgiriP*SwH8jm_Ggw(2xHysNY`1%9jJCWyfuNx&kP00d<*y9U&}22B zK#eyoB2_`sedIA{&)sTL^>UCBpQi|FqL-{HG5gIKypmwn7}XT*p~+ibps&J%DG0Neu;D?d&vbuFOTCdr3aIVFfc$ml{K;} zq@Jfyd;g&H#F+h}{6E04$UpJL>lVfL06AvlwX3uuXlSz{qG;eWw1qWV{{j5i)eR0m&y8ESNS~AWu`MaTA^3X# zBeN6sOfE}|H2_)i7WD!7Km(6!?|f|3`P24&c&T>cs>X}y$1C1?&%YgkRE>4~XYJ~^ z!8@TI7^jAt_1E(g!k5&>pB7aZ^f_wl#>x}0u1PW4Zhd4K+-xm$?9@NB4RMn4*1U2$kUoq`{t_I zVc*S&RAb$-FS`Eu71ISf`AznB*^vPB2_iuqg7z`V2$7Yoy%W@mMAXQVuxF;7Mz-?P zUrKHYSg74jlqnyloK$ZGW>{Du$)Jc;mPmtw!(I*{oOb}gA&&GU)jE*lweIq))no;T z?&gX&OQe@E6;hbSx_7OvT{U5)PzOYr1j#T5Mh1Q)C)Aluviwn?mX~%K&l?j{OLqD2q}!FfP^SJlBXCJ;kBHQc`O#QRdvs#P`g;H_b=#atFbg_@*1UXG2pUr7q5L0 z|LA__Li2Uu(L$%BemgG>@D%yHEzJ8PBl1SOp8M&ePe}%A@gWZ?Gm0r+C9`3i+K0H8(F{UHmEg)ISTY?cr}ac1+e7;x!EvaVJW`9kl=31eSqVs6y>7fXCbh6V zIdt$?Ogrvo-iwSaSc4lW|NZ>I#J#_p>!EwQB30q^#LV=p29CJ_Cj$obVGs4W*n&@yGRr`X-SagQ zLaMLZ__>P>__P?RgX&(DXHBBk+``Cnet;hUe^O%DZ$&|M1*8qR2X5Ku)0uerw!1oU z=@?uOk>eHnQ(Cqi7u6R|V-073gdm7OP!JJ-!y+-60oLC}U1qyaD>n_Bz53p#mbMA> zN8Fo+6Un9g^8j@x0Cj|d7Ppw@;tMC9cvIWsq`!8m*3L$tCt(|G=w8x0!J=q1;6$-V zt>k6uPqmc%c-Zh5m^ZBW$uac_Ahj@OYI0;Hsi8@&4Z3%SYN^7~%3WIyyHq~c1O_Hl z!MDnyb`+tv&^|6uP1_B)RdwN)G@O7fMO(lHmb)`oxx2>@Ua-44r7VvfFT zNgZ}`mfin@916ODEmuY+Oy03J50+1OXNk!P(Yc05vSN{-Mj`+LW}5XKpqg{8V^Zd5 z{3*}wiSATQ)9uF_m1g>P(bV0VZPB$6N%kOOxz`wU3@vk-N~U}XPv@XbMOx|+;BTDW zr+qvYYzZawKyjMb@kzB4op$n%$kP_f-=xPzOf`ry&B4UE?d%!EXMWT{U;p zXjhSbz~S@Sw(-Q+^|f>O4!%Bo!HdS?*&CtSubuAzXz#;f*eh*;h!6@mzzlD##aDP5 zRi^GBhYHi4F{?8kYwc>)n511r)vI2U&_y`upC+9 zaBcS74Sy{8=e2DB^8Igjw>Kom)8F<7OR3Sq;ZX1zyx_%s2cXG&X*qR9@w zM|vL%K#qm>qWSdjXd!0dchmzC@%j-hPWpBM4^tJFT`fk}EE+8~D!<*h5i7*qG{+O= z-Y1emi;wL58C5`d=P++F!~cpC=u{Mlwr9JTx39Kib(sCZyxFSVlfe`^v6wr4ur>Qx=P}nk6VtvZ?np9_76fMzt#1<4BiOANpqBREA@0 zFzE^g57lh#N+x!VvH)-wK%Jl-)Fcl6vYKr%gTicH9GcAJ}|!pGnd zVd{LEMC!9w_DL983QQZGYvSXFh|b5xO47M(G_SQBXz>Hch9Hxo#l2H5!R+8N^+n~- z&Pl9XzT-2?z6F!UZ`T}U!k%z35 z>6)?%rl9rJt;*ROE-Etqmfh&!-Yp|KJ1?L9mvt?lx}%+&v&(EQi|x!}ZOWVrxpJ4e zw@*JCn{k1ykT$QrT<&KvzXO>+b>uzfvYo%vr_Ubx*YRrm6|WDWj7i^;4LBfT-j^1ax~Sd^u9reH7C zuXfs`Sje(VD%HS)Rpl50caqk8oBN%X(zxDC4k9!3ikX9>h{%Hs)ksaG3Xp-~r8nqN z8V;PymA+Z}HriX&Z7jy!Zm$~Xr(aT%lql_VtS7B_U9x^M-EY^e&Se+{&$yNU8zGAxhmz?u zfp=(q;$(BdTfw=0`2EL6G1zyGb%jPh^8KmW<>&;(BLNvv4f`Y(0Q0{)O$@#{VeZ;A z_{w&kyt{2*t!Eh)5S4r=D6fRG{t1QPYfaEjg zG56`EmOJU*`H$|8SJ{@(9W?h;4{+^O)6O*e6|R#`ecV+fDx9C`Z{&wmU35<{APPpy z4NS6E%mQo=+BO&Ox8m`U1pCI4pQCM-N!#VW52RX3Fcs`HfOst@GmH@j4}n-ipscdp z?qwBgVuHAqPrs~<=y%t1mbunIUjP*YYtVgy7S0K&&Lzx|k{Qn$C0eYHr%F{S-<1x- z#-y<-3v-HfIKP}7NM#c%KLMrr1!QUZ4kEsE z`tvUO1zJAkBs6G_7M0#<-<@4(PP}0iwT^^YGrr-Y1Q$3-vh08cVVQauyvuL`TCaql zz3@A=wsF2G3AR~!J~Pl6p%{zui3C?;i8)R*WsPifZKrPJRA?%L%*}$%R&EvudLfLO zYj4K5qyIoow@0{9(`B7a+L`lAlgIM8^2YFpK$fXw0W&o%L>)AS0Q}Sllm|7kEAg9d zwFsA&Lw`rl7_5Y_>YCC-dh=Z8%Zt&lV$lE=2$3H=p4@m)Q!d6;zh%*x`mzi;780}x z2JpGO*73j{S!pdBoP=m_2{Z7kMp<)1qruR?{}-!$oMnvyT|#nR|_5nau0W!6EZ zt9t3*Hp0YL!KchS)rADDAXD8|M|I#0j222La5w>qkK))^8(8rr-r(qg=2QLHH%td2 z$%Fn*MFuWGIPzy%)7RUqBBo+O*#~^p9NbaHoG-MKBNffJKRtXBY%A~acD!EYnb`{s zmx;QPIWv<%<5dsm{k8iqT4hD{IH^_kyi1R&^M5#uZkku;9V*1_oG5b92sbtEu%j!X zNa4%$sxS?Bfj1+g34v0y*xZ_CvbjP9_f`3n=GvlZ45>i}y+OdHzllMhlZ9Au1jMV@ zE0ix%qz|44VlB$WYr0Vc57#Xu!e(^4D9rhH#$s$+_E0gD%;>#GlM~Nt-9^CClC@}S z2!#g%T#l5h@`LB~Ys4)itV82@c}K7<@+(o529iWcR#QVLnh=cpm`|Cf zU|1}Wnbkmgb}F6c{qa|rN9X|82X?o<1oDTORm1lLy{AM9;hGN!s69V5Wevq?bYg*n zBLzlN@6eGj*u_I5JK9+C!~fa7nxl&HQS)=elg(c@?5=7)(!xl_VX3>4$r$?RH~IGKWJ+7ULhX5oA&}fYW07(GtHfCPl)VsuMZOFy-N#wfq z>zls$VBIW zv}r&X9o$!W&h;=}+~Nj{;$OM@FOtZ$6wuUZakj$Sn{fc;yL!6(xxNR?tb3QIp)+{T>mm_D7plN}4WqJ#&#;^eb zuPakHpem|5o#l8WRQC12);dGE+~Vy!<|PSqkW76`(Mt%lLH zh4qbTY)7B}*rS~l807SPe&|gNFv^aARlr9k|D|SU*9YktLVn)bvQY(tZ0YlX) zOTn&Bts%vH#?K_VeEU=NWwKesHPLI4=LsKa(6j|GA_{N;fE?cH|!ZfTa7sx(MGaAm<|Riw#Vdz-mhfFsF2 zW8butM0J=X$<*PIfDoEU(meaj+-q-RQhskq79@EsuT`(cxk-EvE>8}!CZQZ!hYQgu zalRx6fBB--*I$_CO#iqpsuEUP6b{K5&Ew+_NDs=7ja4h>w`cT>;&=iRh2MN1D-v4D zt5|(tGu~99<>^&ep;lyu(@_}3}@00`s~Co0&M`ID+2_x+(@6rPjTu+|6a z!S69LCN($5ITAPP)8zg}aal4X*6@8q{F@T$pC7#>zmpN*Sc@uI@pB(EBO*C42}Xyx z`jyfMgM%#hAnzbf3=WK+T}gT375>?YXONilCoRCZHSgi0VjBp3tyPGwH9Q8Q_U#st zHVQ(d!*uXt1?j)H4>pk|bFFZzo?1@@Rc%PVjAo3T=CV*~3KqsGQ9Kb&q1l@jYQ?ir z|G+7b=9ctQ#YwLDS69(=DKR312E2w)5U?#IiNS|csktK-9^CLI%9Z8(Bl*@1M*R`@ zpDi0&gIGZjDMSE(cfx_-oC5$K%K9a<>ax{y^>X3#W^NBtx=K*136Q&pnnP_wRvLUb zkx&~>O>5iE#tJs=P6~BnvQotF#}e#)Ice1 z6tv?$U2oq_cIU(l%{SSaM}M2MMSGybR15-`Te>cKqzp3+Y)&YFy=Nssk4>vt>DVq8 z(`)2rXC^N1`MTNShT)jLC@oYXO7&t`5Ia!|ZZ4M56dvhNh@xZpjbty*9&oahGHYq0 z@}S6aW3gCRubVOOY0+yf(RQYtg+MyUvbqLdS|bVn{h?$m{}cg_#iC)9-_b#g0vM$) zx4x8r8fd71DF;hbk2RCNflCIzEvm^=%|uPFyS%+91WgT4lZ#JJjh zKeJ6C{bW$Sw$|aKq<%x7W>Bc-t5t+aEhnc*m_RU@hMQW5>e3#h24GgDJ;8L~R&#m$ z-*?+Fz32FtaUd@(Io_F?{^b7o|Aysj5v-siekg<$4q3M52UswoRB9X)NS_&U$f*8J z+<^YIN5AegC+7McU1Rd0e$h%K0vJ*7L|px~d^O)299mH*AI{y2343wkUfnb#{G>RE zlmATOVVlgdJTZWokAf2XUHYg12rW#HsMzrQyd^e9UdF;&&);~G^uToRaf9C)wTZfY zVgZa13LY~07!0hL9i|`p=lY;UyBrI9gWs8+C0a8$-y1;$V@l|$wNi+TL#bSsA#5cd zl%t-heE)p^a;BaHm&=;RXwsuEa|yYK$7mu_Vz^ic=0P0W z)GnD<0xRG6#~YND4b4SMS%XDj2!~)4=fNeS!gYs?Bv~g`dCBb3f(H}yt0`14_#aZZ@Cb}}Q3vh({bBn&Nb)C%g4_F)bt!{-s+NF+aWfTU@H;?ib&`W=(Ej`yL)Vmv_#&7SJS}&!Yv=y>%u?zC~1rxV=u|!@!9EO625}{vuEpuk>Ez zyS&UB^Aa8TbZ3(D`!u~fZR7j(9(QBnF@@XA>obx3sj^zqV;-%US9O zDqTGLmy&XPmETh5gisicqHF~pD|II)7ntoj*&M+s^;6I(YkX_L(mG`jqqyGx9PFBV ztz<_MriuxojGdSF_aQ|4OFJoH6s<&ReGNQg*3Oq?6K%R<-pPyseK&6-gf$AL8{BCE z@DqSmAb=5|kar&~7w_%*|_-s`>wPJuHKIn%M5ba{VAeZ9h8 zB3II^#~Z>|-~B9m_s-+8z`QlT$GsQxP%;byZf-80hOrVoFp?L&_>nQu=CLEKrS-Ai zvO8x|&1GUu#^dkhdVa{8v=K7^#jE+{${0?@sZe$R&WZ$UE@iqjT_Z!SgZUmCX;U{D zPFX9P=c;$U`Rwt}VZdNHN*MJN_#hz|dIWtG8p#Zl_r!KOp+Hlr?@L-Lx`WLCJ`+I| z2p$hAq`~_bLxiIk0mxvEd*2=i^=&v*ap_=nf)qv*fB-&CQ~`)Urj}LvD**M09B?Op z^S;9ekC`)sP1X%QCY@$>!2%drQGhUj;s(gIfN4yX@J%7765ixaJuj~e(5frMO4M}% z_@S4Q*ukNz5;Ro1zU#KZ@VViO71?iDFEg3rNUJ40on%e+k@k>M8b*5h6#3T5DC@IR zidI;9AF|S4r(JhsF=@cyHco*n-&n&}pmpR-XGK`{6&=jl?(Vk2MZNqBZRJ9zJ z9el)@aorPH$G04j71j`Y6_S6b@SI1Tv^vAvenLlpJ2H=C(ZDZ+^5w zS^hTuDU6pNpaI}i1ppia&`_u$fNTo3r{dn7`L+?@FsLjlQ>dS4K?J~;3_}Bc5XUH? zE?NlTb6~v6pH88W_E*Tn z0JS8O28*ssm$w`R}U`3 zzp?%}JDl&E+#pTJ{RBO?@K36^`t9{^ zRf+}EUJ0}#9%W8O&o-0|`*RMoC-kuvF=u-)7A87Ik$(b?G!XE?P1VA3(y4!jXHx9y zYF&EyRlS_P*UQ?gz_^Qt%lx;$o%mjM`@sR37lDF>0{|u1%J6B0MAwGU{s$nUqY#Co zF>&u1@2f?H3rG7o<;KSm`S}6h5dheOG%VGi$y10Z7yL@3c>LD0r&-g_D#S;B4$XRR zsF+UQV2@#jgEtfK5E4S9ge1XI&bsjF#Po@kk744wJ}y7Hi(h^4yC@y6^)8~4ZlpkH zl30^kp_H7IFgzS!qyY!e*@d`E3yD!*%wLb1Bu3!-qDmB0x6Up*PJ^y>J*ra5L}3^} z!@>nnu<0rNx}dFX^z$i>OEK7AQ!yV?B@!40Z^5XcaC!iNr@l!^gwPqn+8)k2&WlxL z{0DrQm3RN%)R!MOrD;Kf0w~mBA-ZTIZ7Mn}W3iztslsxF;C}fcJ3{GizIP zIyx)S3mNg@^~TTZF1QraL-+E}6HnN`=;g6rnwe{4m815d3uH$LTGR?wX)`~{So!mN3p}CYyfb)affe0d} zREV5H$^ADI;mf-ThGi#UtkFLrxH|*6pl%GDYev*C}IJeMn z4%^$ycs37458w2!X07`mLSq4A~0hZ7(z_BewEg*EYsDx*}LjP^OYOmw+b<0Pp zv=oqeMktjc4d4dBqg9E;QRRyX0)$&VL-g0h&BF73j9Kh`+Q`e)Mt=y~ZZM@9lQlq}#&`fZhD`FOPbC3*^;T~!Tr5JdOxZr@8itH`(LdUFp@;sUtvK0*^2{} zZsUitD3Kv2A}w)-P?LIOiZ{Fn3}r(cW!<~I0b8A$`nI^39j~XQRl2)jdDrDcTYslZ zhM=%A*ifkQmm8dl-E8d;pad)Pe4p@E3Vu)!8l?X39c7I+<6MppTHHKbIlKL?&kl7v zQv!%zO~CK9?33=$IFNfq;rx&SjtmD;)mLN`zw!Qt%e}D*hP~#7upm3sy1i%VHq=mfw_wD2vckHM?4u!kSk^G8rn9UljG3og9w3dN@ zU~gfqQzqP|Xn*JZ*g3Yk$uu@;!>GRwFDb1G7&%G;4iU>aq|8zPMJ5RI1AR1<3>yYc z@htY#)aix(J9T$;+j^_tZ(H7Fy0}dq|9@|=MGlXDV9qbIM!wh4g$4|wDPMmFy8 zYq&s2uyk2eM2ODSC*RBc{B|yKpD=pS!`BP~71V;0lfeRy1e04}rw#}9giy>^D1fB4 zgvIOSf3fVW&N??9e*e&(7uY8O0OV338WaT=BPAjf;P|yhA7@AbA9wN3mg~(KiEsWJ zVYYkiGkbpwbydy&aN*If%kmmF6J;Ht3Ma?tTW*xuA=$FHw#_g&}C+KrXn0U(5On2Ej-g5X^9J1-FvO7WB+0G1*QM&K}g73#Rb9xgpJ6 z&5Kur79W`HbdpRM)cDb=J1BDII6YYWwOs^K0!So%nA2Eit*v=uO5X|TyQ(Ou+e-Mz z^2U?-FQ5xTy-GQN%hT@{@)08eo^gSPU$nxKxP`wA7EBR^q^UdUk@gigYMuqOqX#^Z zy6}ln35yD`;|f|;nas~;Kc{*OW!_)>t}y|Nbc$1Au?ZBkdSkkg(4L5tGeM6Y>(7t> z(Q_`Wa2A%fe+jr|6L55`l>#RFal?dJm74NXD`2J>4|1^a2sv1Jy9GOfyUl{L<(8xKHvKhb;RH{* zI1ArJ&QVH0zn z`ua&$)0RM~&!=7?$c~`{Rcp&JuB7jx9(1vG|NS3jU*Q(j7qvSy3?MLc2t&ir4We{6 z14B0q-65cKr*upA&?O-q($XR+ASEgiinRCqzWd!j;BKCWIh?cS?9Frb*?aA^-u1p9 z|2n}zKzetZoyf<#=w-u}#s#$?`u&YeaX6f-}%_~d0k_n+*VEO$@_?3FJ`Zsh(CunV}j7BtN`l+4eA(LoSF+6?Ug5G zU)uZn>kU}g`gPB@#kCU;h4$xR2`V5BD-fFiKZF3|{yq}Q;$p7nSNkJzs5MQ3Mt=={ zT2uLRY4hV~(XZ1fTq-M22?!nV#Nfqea-;E${1M>FRjT$su}`aSSPdRn4mS9879krR z2VyPV#~xI11=2ur3DQc1(Ql|pYAtpb(>Jicv~=LPCs^q~LG&^zWjc6C8lX@hm(|vgf~y&iW(>^uj3svEgs@Y@TY+JvDSHlC zCF4JW`_8%8@`qZ3ASw_?&Z*%HcHG40eDd(dD;g!Ee1x+Wd3wUsTod+|SPx5w-6su@9s2Lfo$&Ba{3=u+yo`u1M~5o- zEUjk%{+t%sPDsJ(y|ps;jRT%6z2cB(8`*Ycc$5LT4W>@JB}b?1`fP=@^v3())sD0T zy#nBLxIdbQ+9k=_n2U?Y>fN1y(PnL_y{*JUuCM-ESp>g{XaL>A}K*6COU+g z8?C=skI+ec?C1BKNXc^*y#(S0bHPgEgB7DI?b?AW4V|<5M3tTdAm$Q*0Yy6i*k3CF z7ep8ci$%k$`!Rr%S?)0N$k@#zHYWvMS6+-OXnkOs0JWu%#pDOj?YVigUH0bG7e4uhB1$?D)#0sN)U&nl(B>plprh5 z%l7;ci^)vh%e0Mebeeou1cg{*ej(xhMm2vK@DmUu?6eT@yZa6SuTkR9;4!=yoIzpC zuZK+e?D(5(mDkaHe9Kkw={MOlBk+@GhD#J{PF#iS&}l-*Vf3eBwG-79 zQC}BI-IP2*cA!>aDl`zldG;3q8Vm`b$4c&pM-7I0iDM{rRD3s+3mi_IzIw)QY7_Sc zf9{@;j4=$Zs3}}c zYC_)A;JrXG%BbuZLBshnUbI$Es;6o487$VCHwqX-m>oTe?Qn~az2tg8(mF&n%GnjcxRyoH6BFcaxlfmQ0$Yo z2ITFzxJ#ycdq7U!OfE$2@EhQvS@FmG1AW$DOB3fpwY3~TOm zPAU9N$h;@T@K`su(gaWbfmaqK18n5yjy8elDkO?cl%Q0kJv*msjhg`BDAyT*nt9PT z^Sh*!9G9geTl_1gs9iNHAJ^O!WxI5{E_dju47$~SoCD~)r2>rEi~=ey=cE`~w+%*s zKkev8a-Ff3uU`HUUdIo-plpH#fQOnv0qy1v*od->K*M+ABMHL71gT{SVhmIYNRZ;H z$|-t`v!@Q{YE>3OOY_G~up2PfwNWd^pbWqwCL3n6k4=~e9ehj5!5P>*tuh98rng5p zL~PJ8rpcAn0q&IvOagvEyumYE8I1q-IYc0r&CbrDX+UGF_w>uFi<$J414^tLBzZXF z4!;aG2;`~(=waaeKvPo5T($f!|0X$3 zQAzwt!Cf~eZXzejc_@r4jHdCaILG)97Wt1Zj?R=RcI-bD|F%^3YKX5DOZv)u2FoHb1dC zsiL47|EI)EljDb^S8naczqU7~=QdpjLM?q*Xg5}wbw;36tRN7yGySV6x4KjRfQ*MuEF;H~}Q41gvlKo(d+l75EGP5b0rP^Yl0L^vUJ|@g)_2@IP zU@DWRPMDmF=b!@dS!PP4gUc^sdHRn<_GUr|gt0bMjE?+(4q`C6l z;@Hz1ICKD8fdKOUIHFw&G(Z#F)mpHgn3J6(}3nBx&7$B?j zE{0r%DJK2p-p#zSccbH+H8;R$fPZD>oYOAZOfOrR>qfKcZHYDB_ns~U)! z?q#!n>JF@T;%RRLVF-NA#{GLHL@$LJQJa$IavXzO5nnkqhnCy)*vCKwY1@N;G{ zQ6ot?PA^|mHT>i-UH0ST)(UYam|=bhR_mH#;|%fS4>Jbcg=G&tdX3QD0U`s%+hLk1 z&7(1E=vi^e^)m@-R$^c! zAG)pdba;u38Vw)=Dv%%(8%`j@ z89Zh+ZkZ6m04GROr1|7tQTF%l%r%+-10YpT1j$^W+v0;h=Vp*rY8XzmnHYk})6>5X zwF1^hrFaSmQ*V48lYr%Ud@>r#7)D%7(L%dTgL()-``35ktdqfw_$irSOe##WaX@mx za{5p>N|*JpJ6gg2 zd2sYOWKBmvx@Hs|=WY;Kn1Rfj8Q#4tSZ=|GS3W05`>e5K$%Og0m%D*R0oc#*PLDS0 zNip9w^zrNA%7zWj<6rmq%19s5y~bL!xxWvO#KrtD`U3CkFFK(`=~MOxl9DEv(m6ay zJlF#KOs067kvr9BkY5Kc1u6s40i^+}sK~KgC+Wef{W&EtiP{Sio}ZVVc8O=1(!0ci z&_GfuXayZ1UMgTkYi0^MzbI$ZrAea50%$#!KS7RX=h_t@cMoco#c8l2z$b*WC(RK? zgC74_6b4$Ip#l|OfPKtgSYD9jM1AV)^sGP1zPNqGc;*3Ye1NXTLm5EQa~kMqgdxES zqUcy*=sFu@#j$h`yAYTd-5sy$D{2ye1=8X9snJj?Su+qBpq3Gq5hhS2Vzic~1jUB8 z3n3DF;MsQFN(M9V#&T};<%y}uDgnkaAn#lW?H}ld0Q4LklOU4tm3xSu2vyfOgyz!k z*Z>sj!u-g-EH8sW5h9|{79=6UJWgN{n4%unQ7U!Fjv*tOU zD|N(1yRqaC0T4RjrJ=wk8V&mO?!kzE?x==}m+45eG0%uRnR+pCbK^FzLSKzD=0B%D znoKi4BhGKuvM8P2irJr>CE2W(7#3MG?56ME_tGnSDX^Ms!mvKfGw4M3Sp8E1p!`-n$D z%#R)$u0BeTr|xltS++~gzzd`aHCD6rCG8u5(1?fSL7_cEF}TF&pb(Dc!Mq?YvSUtl zfL4MxsC#(0Zsl;N${DPDRm-`op1&IkZDENn~6bWcPZUIJ{8MO8#j$*!(_J`yYo zZ8iL2<|GytU`*8i5U> znvc^peF*YsH>K_jzxPSsPj*?CCh>UY_1d4O`-*$6{5c&f0|xx}PCX5cNYW3tEzV_N z;~Q6MN+NC;SJhee4vKPhBx2fLKw3l7vTxyj5N7(TPF_ou_F>@oOjYb z!6Ct_C4&NC-*@mhz!aFmAjTMs%OB`;hDY=KE?T|2x*Uyy*k6vCbYxmM_JJfSLcEqb z0?e2RdIfHxRLUa&R1!#PMOG^(c>6~%cNY%H7Mg3xNJ*ms>lq zqYA54;nRz&KXdYernX^#M@a^hKnQ|7?5M~Zqe=Ht&40MBs3MZq2T*u#ZFBu#QAe;4 zk=P#w{;$ZdLhRiTY>!ias4<`wfNJxCYI>_Kg_TCtJxdbTQ`2a5+U#H{mCuzgelPtM z_yNE4y%HSb7~`m?iG&h>B|hZ{Hy-x@B7QMm-O_rT4b?_EOF!=tjclmh`WpuFS}$(` z_=incbH>+5wKrRF?OJn1F6U-nW%~xn-x4pRW}6dF{sTo{@|2lylQ0VA3(S#xpD!!Z zlX&J{ZE<+p2Y&Z46WT)EIpSbsQA5p&8xJ4Buz|5dr}m8=ngEVZQSPAW2v6I$Vv4n| z&aMShKMx-3R;o{A>V1I!;pNcZ?=sewDyqz+!bBh986mK+4krOXD)Z`pRgr8^mgWBF zzy;?o@prf7UWwB;C(v;R>ft1^?QfN_kycFi%#I)dg&bp0phnDYLTFF5(xYa#*`KZvf}E<9(wvhy&yD5_qaWP2e|3fDI%6(az0c zBwItMOCQB5Z4qvYTgu6)D1IBBZzWGb^_+N+p|~8xK|BPNVHCd(DYs_BrqL&3%^m1k z8zN56X<}1SoCjtZC;>k~^cWv;0fh`_v(*~Uo5i_rORf zRhUC2$|kjxlpWIB7i@d_8O^)w55t{f@8P&%qnrt=}{ zLl|~$EW=z0nRp@wp}=wjK!3MQVIXwks#{a7Dehfd8{=y&{aon#9GkP}nl`bKimVkC zdJ@%H`1m3t>M_0XSN^p~(NE@fLH-YufYFy0K(RQ)oo%Ynj!8U|Omc6o!V+U=x6^vX zn)>xbk4Y)6gkQf&3!?K2ikiH(IhYn26Cd;V{>GEfisD7EnvFGX&Tq~{f*xy;o4JzI zp?F=M0M)xhSB?1(lj(Pt!#9+S{KDM7*B1Ha_mIr=kkRHerHzgrM+8=>YyA z0i4?~bR=Znt{xB6Km8a3UXervj<_MeE`PR{Q1&nQQff6+Wf5d%nn@SvLTJ6eHTi}l zN<86c^~8>~Flzi}A9!y3AojnZUg59s8Ph5z13?qztXKJFcRNPH-`BM|Mqx^B9G9I7 z;q#$IvQM~%bDHyo!o$#Bh5@TL<5hTAuESL%lNDdP-TnSzcf&K?v-(?F(MzAQw_UC| zHm%b8{Fc3pmHcQ{PLXIA1QE76Ax1I7;^fx3g}7Q4yz!@BH>ahy_@_{@f&uCWY1odH z^vuWnnrfWmnX6rp7^)j|f>gX1Gz=LKH4vD=)Ku&$8Nb9ZA!7*MRviFbtGf7SkLCAg zh>;x|w6l>X{aplc`Bf2e)^vAo!YAgv@&67iXAd<5!qWbMxatBzs$K8EWMqAw^*dM_Y;m*7GRTIIEiEb-Klj?@sBc`nloFZCn86 zjLvFih4$Gav&<4F1Ws#67;^5K+pujDk8-+JSFoz+WhFYl3zK-L4+_K6zC6X@{bknN z+FR}9Wk`M!XnX3{?{$10V|F|DfsGC6a+&UFBp~RCbj)GsLZl^^(-U-&4M?0Q*f?sj zDY2<4i6&{WIYAb>l?A^lb@udD2O{QrW7~!uRIdjl0Qgvv$D>TsuVroe9hFwGztHBkPZW z9zl1qHedt*0v;g@zE7oJfV7V>fLSW5_=hH_RZtyF%i7mM`|y?SYrlB>JA_t;gcn7@ z)gK|c?>sL|x3qfA3i3xZ517krZq)*afq31XtV~GoJYeMm(h@a654U_)QyhZow`9B^ z%ePT>grT(`2Wq{D?v31wJ16Ylph(_)(ktwxyS<~110a3ZcV?t=gSH3b%WfeH;&*XC zVD6CVn4isXTl?rsdi;9-s)Aig=8zWxo|1fiV&33rrZ>x)bw8=3TgrMT&yK~}s+EHW3WD9XA+A`U4bDJosf~#x?pw_u{oh@~%*gX`c-ZFLI{rIX{NBTcDXe-=KSM1nK<)_IKs9Fc3C~8Wc`oOpmSpXI<=o z{ZZ9)Uo?fs0tjhOtLZmI3V5GWM)b1n#Q$4Sc!aS+cZDBNO; z++0EE34?Tmqu`V21O#X#^)b4vB{VSZs6@+R8!(LxfIivJv1-P|Q2w`ubhq>I^+sB| zfq^3r8)vWpKa?N(-@)_eP(C>)UmqQ$x4eg&r-wVz-4`kj<&*bt_3+m7w6;Y;`4o^Z zootbCWx4-7t?zB^?ql!a?FQU~Psz#E7wHXDlGj&2+IrXl^;M8g4vxN10a1S7x}Kkn zZ=fe|R@Keg0r=4WpFfPWZJd#|zED0xCp%w9sKkFYHIVKOz_Ea!u!y)QR7wi`zg_-&f*QnDlLGFp8j%YZvl|JN2!{$FFj=l`?^Tw)~o@BIIC=Ks||BViPfMTcPM zT9bM){aXhSU;yotV32^MK{pnbzkgKP<&Eaw5H*si+1+PqqgsWWd3F6B(Nu~Oo`A=n zMP}+Z6pWz4cTLpV#bQtY=u1aW%VVv03-KlP%f?I zf*f;$a1Y;qpt5*0Mw_lFZ9sow%LoLQV)z(WRH`-8`0Q0+-P=)qIM4}C)RmaNJ~Mcyq- zkiyYG*CzmxB+-@oCh@c72K3p-ULh#ppZnG*=4<+~2x^1s^cQYZE$*$X3@+?RJS2k*X z+*QRK>B{_-2mi>*ANnGFko^~%mObjUnn~Lcfz%W8mSG9chHb7?77yt$%{TE8^ZY=B z*`H&P?8=*uM(|>Y5lxC_*(LA3M`)Zw)7Y10HJ*FFD~?Et6^vePR{R<-Q^)#{h0leF z?mv^~Y^c9Tq{}WAjnyk%sC!zwPVXL1obGkqm6^&ywl!P^E;CdqVVW|c)){~_voa&X z8QIdamLwpe@;Xa7mn)bLS)NAv^vjW%Uq*8|2hs~ifB_Vzg%HGYCe(hE0)72*}f{FIds(z)6F_9$t3hXu_R4NX$peq0iVKix1=(EyQ|MBAdBD03w z)+7h69v>R+MTO5**dBAjfU1#&8lgYQqchCdyE5m}&x*R>^@Z*L1S{u9DQd--&a#kH zZzbVLKT_0JOD4Z+*lYzy_>pt)i94%LLEb=0enz-{XnTEYBJy)K zj7Vh{6xt6BVuLe@i1ob62oJ-lU9w6JzWGMDFii?$F+Xl)=C(N|XO?@cseaUa^GAWnsj1AY#gdGrSgqG2jsA`?WkisZ&dvX(LDo?X4>?UWn2gsV)&MhZ6EH5A{ z0=v@wzIfZ@i0iHp*bz*!4x{rZ-MYKLk0Oha&5~8lM?FZ5(m-OZkL>AamA=t+!DBW568rkCgG;&ZieqAPuuJggff{gKwvNKq88 zqG?%5Z`Bv@%RL4xbi$dkdH>=eC$~)3lCT@tCiy5wpMAVQcRnOt>W!W?4W|UU)zlZ3 zME453zx`kXnXhMSUyftb@)S>}DyfN#O^3a%5n&ur3PHx1M$ILd%T!uO%sAbNr)LJ0 zH~R@q!&O5C!v%|R4;3i8>~0M&Hrj&AS$=h2<4-!CTKpBn%ldCOQH1AQYKbNZi4m>R z;6ObLSf&^zD9nc~_3+3G5hR{pj^4wV=?Df%8pe3(UA zf{p`@G|~BfH#t>>?WXr+sxGPy-#wdYe*QpjE6d~~1**c`BOz6bJ(dfkuds>QsD`E8 z|JJ2vnN$I&PQi*gJp~E%C@OcP3rN5Dp|Unxp}titzuw=JUfh5a%_Zf-lq^%|3!T-2 zFLe?{7|DpIdq z9cQ}gQnPaX`sh-who(dQ;;~&FPAma}s0>!NZhp0YASc;f!I*2r6m)q)Rz_Zip`hHL zwuAi?$K}Oby{Q&t7!j5QiFK`q&aUo; zPbP4p`Gt&aW{i5pVsB8Jf_1riP{|vP=C#rJh~+0_ON1a6xx`J=!-mB8PF)))i>*O+ z1$qKih3P#R+@*gK9vg&mZELK9mWe1<^20St4&XBOdvI9wbyku(NL)X$%eCyeYPTA5 z2;ax}^i*fusRY_RJ&t4)vQ~jSnXy3z%pNT>f1X#1DNe2cB0Q_3-a{0x>)7R)Bl%q! z4JyX%oymro3RBO@77_Yy@!=lvq>|A(L?rqaDlWa5nr|2N-AnT@pYG9p%9f0Vix-YI zxyZ3T-y%n#nPwSWm9=p~GL!dodunq1kJ1}WB@L?fP=P9$qO%%n+w@M)wok}$Vn-{` znot=x%KcmjEuW-I*PS$z?<2{-ACn&W)Y3YiLO=xKBoj#CYIjKh^# zg3A%?@v_=muuh_U{QQ#hJRUe0eFDy-fu%qeHnW7HTUsN8fq#zb+qoNZP8^Vo?biv5 zPD+TG0|YETXZe@MGTjSav+U;R6TJO&sqqRmnxK^`IvJt0`_0#$u*DyV23-|nRmed4 z=Og>r+F$H8{?X-|EgNDr0@M*&YdZo9?eEo=Z~B(Z(SKP+P&|Kh)PHH)x=N{-F7jI3 z?=DB3FWv+Hi`MvcH@ArR>!(45h5*?B%8rvK$+U?X5!=u1E&6jopUQN;$(#%0UcDHh zK)1~>BAPnxgEO(HuA_SvPrHcTGUVc0F#6KOz7=morwKZ(YYQ zyh6MaJ0+#gl78S%H0B(%Zdt9^_@t+F30uM{Sex)YN`3O0=aG6qs~m+sHz3i~ZYOA1TZp`$apXT0W6JIhm-KUGc(fTAkGFz^bFX`9f2ywd<++=IJUo!rpPV ztR;)JakpattKeLFsqBuO{Itvq%W};uEf#7;;U;*mx}i&#fAg>X5+LTTVr8rd|_3c?vy0&ZqQ;}q8q*9}l1U+j^w zS3+15R77PGM8wqMV3I3@25O=t+;%yfWSI&d8@L|j&}4O~^;$DBmBE5MH~S?GUewBd zmcLjq%!-isRgHLuGj-mn@)^%bo?ECvk=_|w*Y`Etms3# z7dqtQ+!7-;D9e0mE)`mMYyU90(ajQGWS;!VB;oiErG-r$dAh~A_aTMyvf5q2AM@(7 zk1mvVp((0tEL6XE6QAcc^Xz{6+$6P1>Cbk1WjLf|K40AD0{8~gIWCPt%ZuO5`I83&l#je65teInf%4wC&}iH@SrKF}Mu~AOBQX-u<43P|PuiUhe`j zQJJY;ChA%kvMJLInamMQ`qptu^ws5>nCy?`k)(;A9@ZwZC|MFNDzr<~eo#VEO2ZH_LuRkD^fzVY*8AuS?_YUm`9m5&=1?OeTpmh_KMw zA9;8ouTirU8kpvI;T54sN?G{ey8aL%TCz$pOW5+`31_r`A9O!)V1+5&rZNx2QEH|b zR$Zu%2k)^tx#}}n^X@=87k=`XaW>sBs^K|7%r;2myinl|4pMx0*7C`Vr~a)(-JH28 z*+E^_quJT=ZL8$n(3Z;EZI=|fgKgHus<#rot9(sK?!g{T1JP5T_h*vnH)>*H-bY*a zneQoP+QJ+mIQXiXH9q=f)m|)%RUlbs>@VQZLbwN*2tt#U8b(}J*ydQrGgXhbrjx7R zzaKVLK}O;Q;|UdE$6Q}Q_lG2By>V8HL&DE)Ir*d6g^~Ko%8n%_Mg4IwtkX4xI*{rt zE>jS!lWYB%uTH90@YE=Lr*3+*={YP%GF}g%ve|QafiQMjIH?xUB}NZKm%%j0G$VC3 zwqsnAV$W0&b?;_k@|YcV(!BcTCs@J^FK9Zi7FP8ehcx0@Xr#erRwZaW;-6Ay2- z^D?HEm4tSHC!lhHYH7Q=uX|X#Ft+r#hfv)o^0W@*qoXbSA5xc^szsK*-h8PWVX%aY zt=N#X?%jU#*z4-Zt$to{g`Xj!klvN!{S8$<7Bszjd0+8!_#a5!a9Zc)6)MPr=QVBF zl!gP5-=Zoz!Rb}J^E+{i!#`Z+Pw@}l6;HmR;pPzOl}F6|x}D4YGIzNONr;q;DIT8g z(2U)vjNR;Ut+caX7&1`?Ujzd;^?33{8p4qro-fww5UWp5$Z(TveYzj97-J8tbX1v?`&G+o&jy}|z;veXu9)J$rW?YH(v#n+REHF)!=43c- zRc{k-JkP6PAf@w8-G)9t?XQ?OT}4=JKMeG}BDsLoKPe~5O+W=6I;+L! z+&bclvvhuvv)uwF?MUzZZWX^n-_VynbY8PT*Sv493tbgTZdX`d5LFq~ejfZW=Z~dn z26zNU`=_0lfq#RzRkf{4xFzg_pJ$r$q>A~VKE`}AUG(nVQ&pYU2V1{rC;VudYCG-E zuEyWTNhIAduIfL{DB0Y1P46t~ffOJv#m$XHIyHPt64OPRjjJFRzCo`F2~Q~(2WmZq zpw*-7R*w5LASsmY4`1D9Vq=m%ZNuK{JC{AZda={%&<+1aM<_L|FebU|@3 z$!d?kj7vHeavQq~p}T#_Q501eFA7qN<-fA{mTU1;mw8Q_G0=tPuHDGxXY^HXM|tJaUjK+8!DtC{TD-#=gD zZ+N;*6upBzmW5bYY^!EBDaX5hKekO!^)}J7Py8M#G8;lK1o~vUe1gcf$hb7yteJX8 zLwVTd9@JB^NbzT2NKzmLmTFR(Kp>(aKOr&$b^HRZpnU+M+>@?PadA zWh9sQDaQM2L3%T5roF~lO{zIp*+-R9J-7Ul%e)C#x|SVfcP|%rlA*(k6)o@ilB9k$ zx0{Mb7NKZe({Tv6AjU3H2M%YnI9`^KHN{3S)lcZya=?1P$lfIvA1+MZ0y!-wUAK87 zIk{uN*C!b*7+1vdRCFT1CSoch$gf_g5 zyR|EbyJ46QQCoK;7dB*fisV0a ze)yYb~#1rNrgjMS?%7>1WT)wMNy4 zSjp<$6dcsA)q?0+xBgM7*Vez#b@fWZCT%c>py$c=Z;DO(-=Pi;He?T`%9GA7gMT+{>nn;UuM+yY z&iDj|*BGtEjQ1z$D_z;Qg?l!y1Q!c!G{iP^=myyS4kGaUVd}e)D_un|hAB{Ncv+ieDW2ed$e8V}gmtt906oP+UB9O{HrMt!moNr>1V;kov^5bAM}( z1XYE4AN8l15hAPz3KAaqB5LX`D3WRVCuDaS@_Cwg@zV=^XU}|CjRsK{W~_bEf!}z( zHp(t2@S0FVr(apobKB16=_h$PVQH7FoOMo58Z~7Ghnif0v9X2TLznPl_n4h|!Mlc) zl%B%~vLU!8XA;+;aq(x-hv;v<3C znFaUNeF-eLm$=THwzlQAFrio}qe-mUr)0%`9y2L#`rl}Dsx}ALrSN_~5q~!=`=@Ed zCw5aJLL0ABwD_=X<;Ulm5##*$nOYw$CuT8{F51LD(8Oqub=#vSQAi?}p@TB5l!u(o zmy_9(&$Vn-1U#$*u{vMaGMD00t>vxJkxpanrFFlh-E%;xb52j=CKy{@8CIg!)=c}d zzmzLsbjHfj9#RB&T5~T7v?6V3-@e$4gO42y`)EtjavVsxEbHxf^(!xlmR1GR%|D$X zdHv*;n>^R<_oWnj8+U1Uq?^~@`m_ma9`7wpb{_qQ^p`!)^XMmW@ZMGUQJjWrOL?qN zd~bZ4Ft?ml>$M!emR~9)1tU?`nTcc$h~Drw`3EXsS&ygR%}4j4MHjy2dvVkAoqH^; zbXG&+r`r~3q(rO_7W^bUfBl~H!bRSM40mc@&>o3BzMp|&^4B}?vb%rF(_^jAn!Key z65As?kpEFHdhpOK%iSIU`OznrVP069iKc2;7bI&k#{D6gFHm*%j>B`;xJ`2yOXV7& zQ0<+%oJqHri#17+rO76tO6Z)GCTzNg3fMDDME2Bo3gULQCgw`k;d`UrhD@oTrox@` zz$`pg$*CrJ)H=CLVG$L%0LYXJjIU(+N5=qMgfw3=7*x@xxv$NPQ^mBS&g5=r;ui(tAI{nCt&*AdXhu(6a&I4UJr{`3cQEkG-@TD%AF&*-BcfURYa_4lP<3TiX z9r)zCw589{yZ#V2yOCq{dbgEVYR{}m1M#adnb_6_CN03{q1xX<>UwW7DlR>%Rzm_& z`KPQ*%01n-sxPMMr?kB5whc5PfjV@m-S*j5A5n7!?yR?CB5f4y(TC1BbqJTL~33$FL+grTqRNt`hxUsdP*12GI~&7De<#e{qzCxNJ*gFFUO?i_XP4b1T;4BBb7=t@jk>OlQ*dY;=~bSnVV6b^Rs^(1#B_ z$XTq~jT;?X+`5hG>?-3IU;K(sd7aD5>o16Yyw8xDyl%IAaB#v8R_>x0y`I)wH~LAV z?|g=#zjLK>-P$FNME@|o;y&I>Z1Wp_Kh?ULUAOk|TL3qa>bkH%s34b2xvgnB?ML(v z3LhUrkL4h_KiI-{JpOpC#knCxG(6d()I!vSZ>pa#b9L-WkI7XdQPf(q)3`;PbS67| zFMnJ7h}=^9xQ5f&OTs~bJF#_G`ap2hxP&Ew@? zdfWWlW-pcZ6Hfcpd-A_;Fgz#4?1Y1D=}Znca!*_D1eRTbeFsFYf?B#)w?rQQkXrp#+zC5uD$1vXAw zKIF2ix>aG-hlmHMAg%)+_Z{hkmaIAdn^)e~P1d}_Z=1ICo708gyVMN2h5Zflom>lac#m&a8L-9**xHEEWv=u>Vj=9d9}%O<=(t{8v~OCrX}XU%I%YZxkQi%bZ=Q1muw1-EWJT9#*ks zz3KRveOP?M&~09e>+2#JP>76NufDV0FL18-TVH(hd7$PCYbz>zZ`Zikcwt60OZMXd zQd-2XOwm|JgmF7KQ=|OramIlt54@+cRj4P=KlcKD|AkVIgZH>Jw=#rfM1CBCo)6L> zmjE9rr6v=ienbdXU6dAx#Tye=amS0Nw<=1rrOK!d5o%A?(YmYEs<_QRJ~e=$k{YDq zr9>-%mmOi9vl6%|*W5kMsZCNW^2cl>XnF&HL1x|rJ`PlNlK7K0CUPRRVLuMzJk}r8 zKHKv8gI(P{c6u^}dy5W=n`)URJKV7NnB5cWKVqMiQF)6+iwQTeuYOwf$YWuDeyO2W zo@Ve!{)T4d{bsGlCsn>vlz((TYwbnO_osws{s^9(=~?eBHUreG>VBtm(elH%oO9TA zU79J}a`!a8YEL3Xr0}ay!q3Zd=Hk^)pPYD4QJo@AJi0ul-t{-6Q%z`?L75$7c5*%2 zig@iIzzm%45}o@8VyLNzr?{M7(y|0!9*fhKJW>Bvv?0n)NEMVozQ9R#nkJKhizW$G z!R<+2CdH!E=`rpd{Bx3O>}w9q(=Wxvm6<|M;Ehm3Qy@F7if5XFsl`O)=cAzs=0t01 z2%Do}!b;Kj({b!FQ{$uUjD;Da?ZV)4m0a}4D=LgY<})ru{2H!4R?S2hsh-?aHi88j z?kt!k3}ZxD_SP$A=n|4tmldYUIsdL%qY11NEa?z7FriB-)rN7GF?uK@>QC#*f)sYD_Z}jWaa2cC=arR2Ny{60etS=cY?doHir6SU{VfOwwyq$;voDo*PFDhFi zm`eBD0?#YI|GF$&%!Fsv|I(@%G5md_ny>U{=@;B}?!r=~z{c~&#CziE>ZJ9(b(xDBxyl8v2P@x< z<{0erx9F47H}Bb_hd;F(8gO25k=Sax6)#oHJqN;#@pfT;CTb(s!U-7n{%HJyjWUGyh;o(9iukgGF37V&@RxI2!1)Y}U27Bo9a2z>o?Wda?xv+bH# zY8|Libu)~GAFa$#n&$^leYmOC#a^ZTU?O+v-funrN$opfR>_VL~X#@6MP5i_jF~x%c(>jN3mazNNiTd=S8;^#NAc0NTQic_ad)Phr4@UK-K|Rj@=_S=E$>*KvliF1>k4jiQn;;K5IG0D@bfPBTcr=N0E zXg1!c;#kww?s-k~U6nR@WNkT+9RFzz@{QwY z+KWc(eG_-`s7CskW$TOi{Sgo1*}VC#&4|#5pD7di!sfU#?0yh9D`vRjvJcA<(n-o( zM^l45A)=?KM}k2e`ts-4n^RnqAz7}n6EVekO|A+xLs?-C9JZ(TE2KSSvRcQ~awU`F-DBf4(#||LkDDeo4I=kL$Nf->bTuZSwUo4QrCHU5D6fxWM6yh_SxK+$vhA>(RS?`Ov&^L{3a0m zr_~B^9`LPl08Zsvlbv1Jz&I1YTkha^u*?{57kH?dj`i zoy3GwLfpL|8_dE%fv zs3PSeJ}lqvnthjH&Dz)X?x`B3)y{=KuBN~(0vE5*{WhMXCml@F_)S(1vsFq|WmRR4 zRCbwDqPtfXK}aZ!fa{M@xn6+ib^^<;Kj}nq+Mz4*ry1kcgpqp3YrUWnFu8FwrA|m& zAqd>#7Uf|m9`dmtYpz#ga2RFIriXg>63d=~e_O8wy$HNA5(xCXw#9)|$Bll?5j9PX z*?X({+3zKd(?Lxvn-0z1Pg%Np{ddlRY{Or_(0vdzqL+C7L{YXtBH9BpDem* zBc?aFBTQBlRM%s?~0#F8pyBCjlC}xgi%&_<_ z&dcLN7^5aw+Z9W6d~MVb-C1#V$CGq_K}S!B;j_MMI#L-k$hl*I=ibZcRMIkPc;#c- z_C2A&%r^xi1RIyZxQlS_6nS`0!8%%asbSsI#@M3-kj4uDRH?fbl!|2tB2p=&5jD%k z>Z>TDidsnGe0j3VpQmMZ6{GFS1n`c zy^5ZmSHA8M@Ph9|S%F1+qFfzi7Zr>ksb;6Lk_U2?*82Hm-)RhCzw!mFdisKrb-$Vq9;EI|um z<=`^srII`55y)ECWwxC@OQ@1m2tpH-(1al{(11~8j})qsijDKdPWpo1^5gLpDz>3T zj?Wtnh|Jv9Ji?N)B?v+h0tyOAfv05@!T_M4pdc=bq(Trdf|4$Q-4{s#142azC3KRy zE`g_I8>XOPB7|83x&cW61hXRHMF<%wg%lxDvI|0A6oNz+Pbx@M1*sO4&=jE-sF19> zNU;JEvaqBP5V9<+HcH4LWUQ>Rc~DpGs4E=QvY>($mP*A;R1l;>EK>w*)U2gsfK9-h z)Uy4aYQ(I989dT(Emi=TOce2>F;SbZ=-C&zx@iuDM!5x8LR?1qQs^7Ywnd9RR**r`;SRPQm&0>W=bi~nQl!e!2 zDPghY>PZnW4IkUrsmfNRhX1iD}YzYHX@kP^2CQ=B7QcWVW*ntT+ zD=d|h2Lihgtd|rNSa^w#Xw2g$P`6StvCZ9Luv5yyK@V>reH3wQDVT#DaDs(zvD=)-w{Ie9?^zk@X3U&R&w}UUIJ&lMs*D@TjUABkT*ybZAqJ*uE2EF!tJE zYq)sY6)bupmWrA>sHhE3hNQH46{6e;(k-)Z%)6wRhFZ4bQo$@Aqi(~alpeoi zg{=q#AqrW5LJANGIW-V~Mb=D7wT`C;56Qo4n|8^_x2nELSrl?hDayt)xyP{Tk_!_H zflIX*eA5O!?u0nmu93EB_^#f5MW*2LH@GS+T7Lp6axdbg_ zCuAT>Y8t5p=nX`LBwZk_z`EhQ`Yf1TRYcNM#Z^q`Oitl_?j9E4rQ%8iBFGj{FoX*s zK$5bN2`VG__w1N)%0=!u5zR$;Y;O?7X>p+i2y5fW93yjlTI;CQ7EOAqhWHp7Ev1Es zP;&#?L&?{w;6=3Mjts=8rKdk=3x(IB#OSMkyVNn~>=R@1QF4k36G-XKa72do9G1gm z>|6YjaA^i;XoL znPPAT+5$VP*K6joP~dpHG_jUfm?hTnxZR_&+f7l7(nuMIMHNJM${!wtd9LNTd0(xO zWfM#!gd!10rh}k(kBZjTVU^6NeVvCE+1Xoi!{)j%`#nTvdQ#K8Zc!@+w&<*m zXrCkL^ThYq2Si9eFudgx8HVjc>8T#$MI%X)E8Vd>_;prJ7sq=Gil&~9IO}H<%H21B zTH$L-;mrkv5Oo9_f)i3y2tp7DC{aM}qn(X+5d!?L-91)JLV`R(oWV2Pz)jlrI>Zo} zgK`pR%Fs1709@)*Kp>$PKm;XpAPUD7B#gwTsrH8$B#bw5Mu3j1UDa{aMERc+WCm6X zxq#{rT7)4&laZ%%$Wb6DY2756nh=YFdVzff`jwutoL17$O!JgV!oqo4>Nt%IRnUl~ zFJKN24vp3~3qyFmLr&lz;w-b_^pWB8Y^R4d?VcRYxd=5O0HFYe zp$LIHq*ZfD$YFevn?}KR9>}q36;R0>v9ef>Xx`A~xEq2Mi3$*lp%5Vn6aY;iQ@SWZ z5TyY~6wmI|U<$e>;-s{j3xcKc0>ZYTem(LV~QP3jF>`c~7(k5eG!_mU` zT={T130;!5f>jXCCA3`3c6XT>9F|o zR8)9LKm6J%T2PbG`sHKJ~np$R5Zk>1L)UaEa zRbg1Xc*HVO)zUD;=92Guzk2SD9e>vA&*S(s9Ch?pf~<248a#Ryz9A~F_r>*HDU0_d3^+o|Z>Y=Qrt$~83Cl*Tf z3unHUxazOPF<3D6fhcpH{!z2URg_JprNhkd+(!QZt#glx-fLbji?HfAs3~Kngt)}R zcIP)XT2(YPQC8B&QBM5vJYA$Kh*>~{Sr$Mc76?F!%UfAbLk|9~K*;Zw=cU%7p)@hn zLSvbYk~3?y!s6&H^U(GqP*HRbmykjMBAH15gjouKQynckDx|4oO%ur6j(E_Pj!M@o zl=a)(LV7nq_L!O+*$ClyKgB_@2uQ5bk{p;(av_8w$so&#SHXwJ8|n#b9`MVLv{nB1 zeqD4;?PJ?%SRO7M1BJjLQ&UkDEN_;oMzSW_?k3u&s>8IdV<^~V!wqyqmcHEBxjPF` zXZG0Hy)==yZbY6YkFvT`S4&qkZ@{q%Qf+5NAh|waRkQeAWfgUOU0z0s&TZUg;2x!G z#g9YqO$f5e5mAwhd}WUT%y2DmBH)EGv(&lqLir+Ld)U(8!PKC#ni%QfWK`@7jh+^P zU=@m*IUi9+6tc!*d)f=5Jld{6Aqf-=q)<~q2b`@Y*S5AC%A*gfWo;`e7#z_1@VII$ zY_t%feglv}B2WtA;}tb5#3<1_P{7GcL30M69;(uX0H<{q5Z03JKwS9+&2BY^xz=p9^CG~Y z>Rk>hn5K$dVt99AP1at1cTi|TcSwa$ke!mU30X$Tq*R~*4ooIcAcBM-NKB}el{=)1DOm(l2@smN z1SSxeA_{6C1t7bCq?$ygh!%%v?yEK(Mw8$K$}eMsn|6>u z=VN;m*Pq#!WyCQ!-EpWTy)L~7S68G;MKX&hh>kD8S63Wz(#ah_CMUNmn$ygGHJ=j0 z=c{aHmaX*9VZNG&daf2I{h-4sWqjL;s%Er@I1V5?qRFoQ3@O=$z{)J!hJx0I^Sa$- z;x<@$LDIxr6;?dH&+P!N#j7Kh zcJ2whIfb+xJy)e^4$-6=^0uqW%Z1ZBY@~tkG)E*tR<)-n*5I8z7gPGZOxXk3Q&cjL zatD$DLgTWL35M|7Uy2A(2!Qy@9s`(kvxUK4cDrX^Pcf^&q%ck_cX!aQMuk^iPYtZ! zZ8Hue!B0}yLt4ih2Rq}U^(>8y;A~(HqS_UY7{aOXSbnr+sgH^|M=({4bwpGkyl-k4 z!Yn=V64JFXjAKI>#<7EwSS$jP&4$k?vepx#VVzw zrQgF&>Vg~^<}mAH)oUrV@gR}n_>0@JNGL!jbgYXY5=^9kMUU}9$4)C7h*WP(MvS$l zD;^+vf~6Y}go=e1K}i=w4#`sFsSw0zt)4vlUY24!1Ix%1uTKRQ44yVay zf3sD_V|&>%9yI{pL>S-fc=aAeNoRr!Tr_hX+$v(kr^DnF*tBNawX?CeYsZ@9W0+k| z84tDLl@7?)3$*hC`7XW(iG3vtBOoIux?d-6?JQ5c#R#O_Z75;Pzo6B@Urxr=fs*;Pph zrKOGrytpx;pt#(XY6|3V%0tUQAdVy5aO7h!#_sAM-(l`2EP=NyC%W5>;Vi`4AJ)1l z8GN=n5$3q1xSkHzhQw-MBZxWKn{UJ->n6}LMsB3p{pb(0k920>>(}`&Wlr%eJa1_P zIiP|K!t=Ov6nL9VxP0%Wl!Kl&JLbI&4J#(NX^SC*|mL;th zB}y*?D@R=hy-I0B?D4VoAUFpAbSgzS2?#^=rJU{p^p;WtxA`b*%U}jir>Zxxgu;b1b4S8}aa^-C^%W!$}b8rp$sd!n#2E$>|!-2fL zf>ui6Tb-cUy_a@63T9LZ*$`}iSUJ6vFlJ=JX@=~u-Ag!TmOKqSb2vVUG6v~NE zB^Tc*$*aIQM{=sEoKw@wE42}{xQp8MRpC{`62s%EY-TLn+I1~ed{IiniTfTQEDxfr zfzD%?b-Pzq-vog^Y50)S2q;LXfFPkOAOa7f0-)(nC>v19 zIN4hEKA-{|=5i%yxInIi6d){ugjobi$BDyPEjaoWa2K_nob4qb|Ea9YU09vJiy=vPwx= z1V@Q9?3zk3VsvJ<7}(00Y2L{jAas0Y$`+4MdHIJ;9AG zHUyqQS~y+Ll3S}DOAfBb>uA|o2&S4T3CkBJ3v^u^KOTy%Lq}Z$sp?1|#U`zA<)K8> zH5e^al{jcKQOe@^X@J#-sc(|!V^seD);d4XcU#CodtI8+2Ys7!3tl78Q(-hrbW%l5 z*1jTK$8#Zc7b|7!4Q>kolsHci+{)MLLxSsBOAEy-C`|S-rSBoEXfAV(fCXVHxfsb~ zd~%G@y``>c7X&B>q-3#&vEj^cyIc*y3U*PahB|m-f)SQBH!EAv1vCoCvS_-2SqY-* zh&h?=$8sQpe#^LR7415jm+G_-*U9IF=qHcvF*tOq*i*V3^2XyjLP@!Xc3!A1x=InqAIO7k z4V2IqLJ*V+B%voL9oHvlV>3ri!G0;5dv(=Nai)J+K8(9;8iZYs1>dvy*@zo{O8c5Sg$VvdmK*Nnk=(WQi>`#>DeRR#tR2L+?Ama6d?!%AqXR7 zj}oAd5QIfD-q0Kz*G6nX3w4${`q(IAWR*|I$2c*rJg!poHVz#>9%v{bFtec{GLmQ! zbRje)o(jk&s-BUO4g4TE?Bqq(f}+^tY=%a$qDKH6;58|tNKB-FLJ$xaNT!4o%}*6W zLn5l*V%M1SX5{oIX4@o$;~qzdN%z(i@ezMZOfmXbP&Jy_E7v6F0AG za|RA>J(Z;|G!;WnrTih4FjRXCbZNzMhX5Kg+FQqjvRnVhw!EPrhFTs%6L3a*)zF+^dgYqL5h8)ZIW zb#bbBCZYWw^|3j!G<~8vE=6gg#c)EVC1yws<=wG^iSJ>qzP!C0DP;-Mdc7v(t0;sNmlCq9A zRlTmL4dZhKfhzSF-X)EXW5bw5+To}p$w(DJWd~W!A^rj^??p18_6NpT;dT*XIuslx z(_%2w`!yh?sHAR@?*_Sh6|-lkr=g>fl|*NA%Y4h(7LKWg3W5O)euVlZoHbn{@XcI60P`lnShH2q!CM)Mpd6PDur@ks7N9vOl!9p# z?ubPQMUXOcEdI*RZ1$VGd6y-#aQwTg!p>?4u4Eghkmlyz4+!;F#f>OJ6i5OJ3PAv> zsFXts<$r;VE_H> zK#5%JRn_ctZ=_@{r?Z5@PGDP@^Iamc;)J&ksV(TwlB*{y+c%x`FMIhHaQCw7E^Yz- z69;{6x=35dvPS8lDj-tvc$AVV4>7e7vMgH;sp?&nn=epE&3@-a=625ennTb@Z$i7Z zRLrZx%no~^5=Ct6JWcqj)tojP=Cir=OsXj&H1x4R=^ZJJxpTXj^;am!x1)SiGO zYW0e6$}xx0vYnF4BN|B#k=dQ9)NZMxs)DYalhVl9Vs-Mprr@ibjD!>vAV^H4f)X@7 z85r(PX#`sLUUOsSWj+Tfd+iiXCC)m4KOuTo{;mH2x>udp$j8yMvD{2^$RLY?HCer^ zcj+gBuAVvRWD>;jcD=laR^3+&QNtLH7~2$W(X42&3dLdzlw72mpt=eyl4{}IS0qu* z8Dz|B+0QGRadkD16R4h+7E4b|YB+;j*1E(F=~cGqNAW;e4#_4`D3}wnS4!w%td#W? z4#X;H<)} zHn=0GTTk-k%IF4uv4^qwuQjmj9?ystiw|mf1LD0X@df5~LHR1Nig##PQ0H^Lg%&+3 z2tqE1EUT!D%M6Tb%O2*Iwf5Dgy0EA|Mlq%DAO?csNzeop(8Vk?aYs=u`c}5ilGe<9 z72#JLjFvV@6T_I{cDbhDsoeywl0+b=9m*6!#a~%0H58CT7WB^St_9Wsz0huP;Z@UA zM^zs3o#FA^jLpy{#lPb8?8PCevZ`vBb=H6hqmlD1#zpJQC&wxSjY=yjoZgk$;^vu z@#>)LY9>}vGAl&`$q!)`b6wFQ^6xmHE_GWywb!AkES3|cjoL}>sAe+An)vGCF;vH+ zqZ-_I9!i+Yk9%!Z9JKP$5-uFc80(9VBvn+=RpIf)R`X9g7z1Q2wpR}|-=&$8M#&gK z4i^c_9CH4)Haf=Q?N2df{{UWl2F7K6A1mDxs&@|!&luI<>2>J^vX3!!7-NbXG8o#y zsjwCbY9-1^lpzp`Wfn@v1e%)6`e(YbDcd`m#)~>IG9n}P!OoBO3mSqIL-y~j%vkQVT?_^$Tya@ZzW=s2(l0g2V@}$f|j7)-9St(ibM91 zWWq%NN|04OrSXu{l#oC@mV7FjqLP%wRZ?nSoVl+e#5bTgwhmbSk&(OmtX zAK3Kg%b#`7RXqqrkdz~36)Px}2cFwL8XA7WW}hOvLS{ot9FsgXfsG~3&;`lc7_;Ez z?dKcAy+Y{IM+J5d6DG8b?k*6&Tsa~~Lc2y+}WHNe~uq^YKcI(m5EgjzN? zD_htrii{CL5P~-eCbL)8(@?{_tCg&0OY=U6vCG9{-t7h!8aZao=)2AV*ACQbEWA9J z>{D%(H?#e9K2X+{=x$fDoyCyIS_ykvNNDC#FV_N=o(f3!RI!Mo1d!J93qf4$9=Ku{ zd{qsN@!sII;=~oc=*jAYle$2zgd|We6~}Nw^E)Xdkm0qtV=}%MIOJ}G*p=x)%Io&k zdA*-=={B1eq`jka%bU<^ukljGr%wJIQ|)wdPr7tP;^sH#L0V9FkdYux=p)T5d8=+6 z5l=*l7EKpS5|CK&DC;GsA4ksEWexK;NImL~5CAQ4aZbAv636xDMp$M`dK|(~Jgwn?ijIV2R z5Z2#IlB^1f$~t=JSrt@a%xi>==s_Hn{{ZNL*?Fy=Ze60sdl?7tUXU+0v%voVSTWAq z-n~(0Pev0%Q$!^fF_Omk-UFESmbf0EsTEw#ERFIph2^azG;=5wH9S<5@xe~akuX`T z9Dr76si2(IQN=ssbT5!x#_?hms3mkL-4{i{`5tQ)BMg$ZIq06#JT4As=zE2&?ab20 z8wU##yv7$$%oh}?!|LR)b)Lt#=s`(6M4I~AO6h9-nrNvVtgPk(yN@N1mb;#c_f;{nGA?`3!g+(_uVX_SW-tIb2J#6+Su-WLWP!z|&I6%bousMVHi|Bj z&ek7AsQCJ6v64`jm(}ovpzB{V{I+mD7f~0kz~*2+>%5A z4nn4_uXQ}3m$_-nZg=uj$CujXK9>}rtz_h9cx#v)uA1`nU6xf+Vs!?ebR}V7FLRsA z$#XVq2H!S1{8mTRRO^+Jcw-)&*P{_e#}dfe(s|_;x{IplscGn8-O{qmI+J^nx%)*) zPf>@@EU(D;m|WM7z^=Iq&+WVSJ2y~yW^-D5k#$nnEBZgg!)WAXT)9NES`zI6@(bGl zypGLKK`s(-y4dbvc4nSL1E?W-T1cdZGaKbHuxQfn5D9C#xAfgMV5=<%rvp%jUs-mZP zJIODUTSBziM<~sE0!JqiaulLvL?%!WFq%OHQ527diAg8;PvoGCY-CLgiGi%_1%jDb z=$la3&$X7h<;1ryM*jdcp-#YyBFIz)EfYwfqx6qzW>ybpEjgXk9*Xc3H>_-dj(7y} z1lW~nK)gOAH3(2i3MfH9Qc?F%3h1dMPofl14sdR+Ry2orP5i>9Mu}s5Zsg!vY;0_) z!l)v{D8)TH-sT4vUPoNq`JmW@0;GZ{Qc+3-lSPyWt~Sx(@Kw>7-VXK_mo$T5y9Vo< zi&oRa8Kjy($!BTZhjMZ3s?OGI>$BkSAAt*`7cXYUTrGpMh+SKudkG*QsDVVOBv5j; zlxD!{{F`+~6ImS=%*9bF9%GHA&I}hTUOD~~R&9GQlC$ie9?#Q<3y%rEA{Fs>hgOzm zR8z-1S24)k%_LZ>td>yU2m_!4Koivv1cGR?f-dU39@_62iU}$rE~ayB32?h_{MWwA z_LfXiftZ#bEi>)JI9=2^Gg_A~FOcC8Zn0<{(gme!w9pM#*@KIm9^YH9k~)f5aS181 zKiU}Vp|;eO?jsvPOG_Ws#ZUu5?%&Rp*~0e=MOkR^z{hx~0@H zdvIW>%f=XPF0?4??_l*gjFS%X{yh!^E@xSva6Ft_x)E$$y`BXFt2u^c}Vr({)Prku6G&Ec`QMS3!Y z=UKIsnTsW-oq?pcJ+lJ0Lyuw7v=-E`U!#qPLo29@A0kH8F4D%LmYC;9Is=Z7`aZuQ{^}$%UN_SgYA(cd-4fdk4Dg%O-&O4OH|cW3@?T3WAZ$<&3|HunelV@bV*l3 z6tL099aMr@S_pH%waU04RzYf&kfQ1#tBEEb9NSG$`iR7_K9!&c3+sQycTJI$bL(qg zsw0~g5d<@>J2)MOO~?o#FA4 zKyyvS&|FuQ+UUgCwhfq;yvRU%MytPzSH*@<$yZPbjh47?GQ37Bw3K+3C565m?A=Y7 z!R@y+%^vcRlf>MW5{Yf2Tm`T2S`=DCn{_XB0c4!u){^Z5Zb?E;x4o)ST_r>nbnKp$ z;nBa7hJdTAp%ih%(8!q9FlZXlU=+}#yzbtxyJl()t{Uc_O;@6Kg?NAI9!kd2#u)bs zXYjZVMER{rsP%YkOl2KBjc3+67PZbi$go<1@cTcslh9Je%v+8!&|{IUvGiV!9igL@ zpt+MW{{U@-C6`s!dl>eXJ%PaJTH$a|2=_(2yDkVG;k@U=s@!|Ci z9ZjyZQ{uGKI5R#+pLRi%qB;buvbLqwQ5asq04I9VE>EJoJ&y37mZ}e#jFF6c+S2o8 zf^11ab<#zVWPy@|1S$fNKtqsM49uGQr-x-ZU2cV16>!BIIm}EAFLq~GTIE;}2u&71 z1d4V|1qKM;Fp=yAMtDi)6{|f{r)#6!v7{GS#PkbF0_hYXK`S8_LJZ&}LTFtT6pETe zAgV{PjW2n#8VM(`PLieyx^_!TAeJX`driSZ*dKO00>Ugo7X&3mO%)^}Dp;EoK-@K& z4NzVR0%)=Z5=Ww(9OmA~$n;$$=XRp7!?>s1Jc%8fcsT^U zEbZ9AA>83{Gu6jit)WpyGSb99WEF8Jm$ZsVx-NhTIWmz5IoS93J!fs%T1BM>@kuOusT|Nm1t>IxR#fnx*vcKKbB?gZm*={62}z;-rWF z09B}hl4-0j!YCjA04@jak`-qOfxoGec(ob_e>Ku6SrN@NHIZDyh&xR~T-$=Y&hD~Z zqO5_rT~oVjNolY;ACmUPd0I*1ZrWGK_khN=xrxvK8nYvRE%t`jVzER+Z6)W=$I;h2inIU9M@kAy^6gi>qS}chfXktocI^XK8hORn(IH zs(6X=b=HS6H~8aW^=-%Cvde~H@9|PYuQD5#@KI)Z$yI;r1smJ%G>e7U1ovKIvk9h$ z7NivNPY{!)YunLu{f53P`#o!3J4oGCs_KUyb!EgWsi_*nTv*uL?5%U#ahRY9&lmOPbMbW?zHTRTYgQwHg%Xyv~4ore6#7FcE3{4MVNQDFn!R(&HoKV3mH zM^Xjt@=}i&qjrxji2mD1DTA9P$Q#b?B!Ccdev%y;lw@Ddg=tIN2FKP5QQ_GvgEqK+J z{aYgsqm*UC=%xK_Bt-Q#vdI0P!`HQI{tH}qr3AhTn2q^yYKYjN_l7jR(vIJWmw%7ZCbsogIPRHMbvfqXEU^#OcX> zqMtDvvg?$Cp@~yRSBJ|_D7z$WwA8PX?FP?Q;h80H8;3iA$~O&9bYCuLq=&hr?9B_K{-K=h z5q@!-h2MnzOl7(P&_%l`hZQkv$H}$EDTtR0s%&H4%W$>R?PeEI8}iN>AO_5rgr3XN z7P0NK*w+Kj;_D4w4~A7=eMJkoYnICJj&>2WRFzb$eN^y8Iq@_);CxqR8KRk?@W>tx zw`sVt;m@(SG`|9$b3jg^q1Hc&oOroCdYATCamq;R@LI?p);!HU zh+CtNEFfIIzyjmUu6kmyp@40(#i!>MAGANXHT*9LUrlE@oS$s95}L(O`^;MSM)Xe9N~OKrFy=ETih#tU^I3n77- zuFySfxRT@eMRamf%_t0b%HZHzr>fOYieZe7HbqwmiK8oS>zgUb%dQGpkGiu%SxqOl{GICb^@hZ587<9*FR$-AdVhNg@X%?+R{71r)}h$vCKutc|2@ z+|zPLn$lDn_Bq9kBIB69B_s?WpeJM~LP0bYx>hq+yfhPFNwvzK?`o>3f+~jOnVFe; z`YTkSlqF=)2u09?J<)U_bWnsM=qjj?LmTCAE(~sB8ZJs$Wm_w#bEZASk9c}^Rb+3sBH z^UR*&$D^hnqsQdXtSY*3mYzQON5xpG3!>;kfKgIWB?f#!q11DSeS)}KHrk4fmzFvy zL=|DhvB=?Y)6(Oz@74^|v;OJ)7Z+jO{gVAaJKJm3SiU>-jg^$K3a7QxhJg1pSUC5x zu%~~ud-6gF;>#n$sCe0#0Hkz$gZn!ELJUI#vSnllxFhu1Rmc#6iCdtPQr2C zY{gnnI}vN3GiYgAbFq~+&I7S}t z6*GPY#4W%SWkJn$2TAT3n0gkx=#awYV}7d_GW0rmHPAEkrnlO+%{PEj-O`SX?w=9h6yFn~YQIoJ$qChqQ%sc%>Wv z0OlGziPdxo&7*)Lu@_W6l9B#8cwOqzbV7<~rSM4CdLByKeVtY(;S>(lvAQGPHx{Zs zi=Hp;xSZpjj~ReP;nnec61wG=`SZ#dovD&jR_G$Rm(INdC< zWROSe$)7J4F6tcnAzZJhF zdAx0b+gXGc0b?7QMqk?h09CN<6#$cudaF%%b)FiUciW?zW!*n;OWpqfRM}44LpD1TZ|s!vp3Qx(rSy&>#*;Q2?>w%$ z>|IH(J=Rgh`f#zMdqq;;{8XE?6xq1roxZH(yCvTrQFNTx{pL6S090La8?+P|e^}wK zR_T8=TvZrE)f>i|b0bb>mW-q>yP<*K%hysz|uO#`sjRl-AM6Er%_?wsv z*9yjGZFojJ$J5hCRKpC80hZ~@pQ7)uhkh&0VVgZj{5G0fyE~(6tX<1RxL|C|a#ha3 z(#}jK70 zM;r19kb+e7Vy~_gj*ccqWu9YZMl`y*IWtBKxmx!NTKX#M=wPmlGF!FnH)M@AbA1;F z62mJQQB6Hf1G>#cSrt=wAI3B- zaIpn*u^burtzdmr?_^Q7%xrGA#bq6vhj>URBg`)|Ce2pF(#jlCP#x~PT&U9ExZ7j` zY($vaEq3X0s^if?O9WF(J0k)~M-k_Bpf4$g`&>IwEi~0Hnl?rqVtcPvp@4+$fRRK1 zAuA>k8S5P@AtsfXD5Ta01Q2AL4-wOleYfGBL*U2n4d1@%xEmb+EmEI`Mq$`I{RbmDd zfKdtE0q{X6Dk=d9*)0$SdHtum{hB88bBE%)uKktg@ll%^q!+o+TcFiV<*6Q$Hsy>C zzy%~C%CZoIAQB-oiVU^R$wxW8xJuw`wIeIGex{yM@W~u(_8Smw(E6_KDl=jA1NI8! z?6pKxn>)X#kTNE`mp$45ugduJ6tMd}EKp~3yS9esdAUBS(4icRd~A{;9LF~^T+?tu zm??Wef}~1{fg}`?9X(XEdE89zwzCe6yy9tgoWNd!XXI<&%m7Wgt|l1KHq>G=znpCh zZ<6lYiCxvbVvI7n3`&iaG_Nj=#J5pm2)AuA??2_mFYK~%2D z()R;l&&V$~gGk5iW+5XU4!3K8(2Svai?1a~9I^pltb!zLX6;)*Mq(-57D%c&TTDx6Y2|6sIe{a{=(;jT1yo?m z6i);PaWo!_J~0QiVKs3#SMJN2I$UZJz@`-#Jv6P?hbz34UE@jkP8}`t(ZzdPM%i+) zotC7ir~c24kj@+l%t-`wUXet&Hra?5(^F*R+gvwno*&K`YG8jt$LK!g2kh-jE$sNs zPy?g2UWlM7k2Y|Ob}!W8t$$$lsTS=kJU+=Topit6y&cK{Di1Vk_JS7^E)dCB5BYAo zKAo*+_lzE)pnZ*hg7mqMbj#0srN!aqTeTFKtt=HW9=DF_Y}%YD+B$L#^}B7V@3=AE zDA;{lb&dF59_ooI9Z3_XkO1cqeoAEU*v2-K2zUJBJ~}CkcMErhzMs&(%S-UAIo>Kb zfAv+n0m7Z)qKo08VZu@3n0woHRnwlDNH26h5TI_J*#Pf%fU5qkVE*kJTi1lBNwb(n zYl=aCHzq@bjxC2X$6HP<`(ab`?irfi+Xas+zQCzRvshDqR(%9t6Lr*iWWLLVs zXJ*5rhM-SDBg}8#4l&zMrP1mIyL^W=<@TbQCga#7tz!Xmi%26wVhZ%&4|kU_-pkJI zi+@PL-_%ZR>0ps%<=v8snp=r!pm6F7LeA_93v<7@uyzALx-H_@xK7GVHOc<3VczU> zH?^fYC{%3pZiV8OuBs_AoVS+tE3%h|UW(okDI8Z6XX~(s8-LRz{{RK) zi9jnhE6lfOi&}l74yuc-%Wk*PW3&}k8_>x)Ws`*8;=1}f#0SdjC1x8zTH94qGERDd zl>EXnyGLrm2<{ESrjDixxbxGR%ZF92h&3SRR0BH3kb{7|HT3@qup}iU%ZuTm{(7~Epgwf_Y?puE& zV6}mjWx`$`h0;Boj7=lb%Tj1aB`|~8F%RMQbwP1iFxcwZ41*AnkCeRSF^den7P}@c zk#gnj9X|U7sV!jMM&08;8WIiHPRcU3H9Uj6Nb*ca8)sWjTZP9_Ei*o|-7N;orSx?h zwf1(8K)8Df>3)rT+?C|RJarr@Uf1TXFLxc zZA$7n*<&wvCX1VPRMFMNTTaS~a$OUN0Fh&Gl@zxR%i*Y$d~T7TsBeO`;C0gFZwaZR znI%3V*6>uZ*4D+m*Pohgt7T)@%1C98-F1iodm^5wFw<7W?EA^24avgy6JQOI~@z#2IZMX51o3u)kr7|*k?FgTJx z7P{h85Z$zanW*L|!FXbt259M_-3TbFCF=eMkGYQ7|P>L}ov#rF>m%pT1OzRB4E zXEYc4DSt6?nn#Dl$x-I;`%AW1gqH^+8qRmsdPU{7RxS1^h}Jpg`_jz47pMwFzv4v> zNeF>71YI!|PR2_aj!||v5PcM*jgdwph-G6%nlI5Qe^yIIVh0OvYn5FS<(8d~sEkD^ z@h}^JteCZW0uU0QLV6)hs>q$vKw3}<&E$mr)R0kD*j6i0goPNPvZI6yRj0N#jM%Tt(TIM)h722yg zX=nY@e@iblvo)fJXX`2-8|97{mpQB)M@?+3UmmguV}=$-3j-ryvsyU?XbOrM*;Pvv z>;y7KhXCye3qqEdie)s4ZlNT9ifJC}K8_a6apKs?d9iGQ-fOuf@|>E!#I$!SgR^eU zjAMPbm;2YCD@$4Mx{2zkM3r>Trk62kjmG+STGF@jPRM}>C_o^DB!KsV;qHW6T_f4f zNLuH5>KAZ$xOuJ=L)&(mwVBIU$hSf4<}^Cp7hUfcRPM6jRF9#<@7q!ur&;wcnc>!U4N)%c{ znhSLVToK5HBmxkG2&ANuObNkag138$Per(yrZ$I(3v9;^BDY-t{ucNkjgS*eAdQh_ zG$#RBB+80m41UVuZDkwla9V)C46Fg|aT<$rx0>CG(#cm#k{Y+tNFLX3S=e+dX>N9w zXl~mc#M;S&1`klmye5)%Y8@E!)p0hV!lM?#Ah^-ac>vt4dm2MsS5oDrAv0A9nI3TSBH z!|3Ctr4A8Ce4)?`tu7mug==QhbsRQdci&hx|W?5Bn}% zK(p7=in3q%|L07ap1UVma`mRuyVXM!P+!ozD428+;y)uf_S ziY~0Ip$xIg)4F?H0m?`>0FH`xRZ>z@(l$X$5Qs?f_XhPPSmi-<9^Lt%gf+ZDJx7_@ z3$|=d4w2654%aKwFFUg1`%@Y1zWdjsw+f!EM1ImNIWzz|04$|%hdp~N)GeuGC)=LK zNtop^ivr$?;L;NbA!deBLJ#bu9GoQo0BB+-yn|1I-CYEg6z_@|%n}|_H&PZH5Pp$} zAN!`)=DHPi5z@l><nZ)Jo=7)_BKFuw-fb#a zZ9J5eF+nWuo$MAeKbclOMm4u~gSpTJV>p@%NFeG6Hw6IX0G*H!3E2e!K)gN_l!!{m zB0}?c`yD@K)^o4LXnl?GqkIBcIE`Gf3r>h=_c)khQ0On{vvI=)vLPB;$ zln_BuNFd8zGf~gqDf|~7VJ_Sq;oQWA_B_{aun%RI_fO!w{>(a|m<>bdSl84CW@8>~ z(yU(|q0lxH+J-f)7w`6p;cDgaTGg7eNqL69>r5TNjbsn(LBu0e1cikAnXI ziDKc_A&vE%>}%O1RnR*BGC4b z@Ye!4I9x1v9RAgV(n;4SYrRH_Se%t~^lz4i0Z}_`Xvwx=)oVu4;T;D=(v8K@u(_E@ zXeFnZJ(Edv2tq7?LIM(mRw!bvZY?B_mM3BEj0VSRJ+Jlbwz);F4si`2kgVhY2UR>Wn2xGDwuR@#l~U$dap;}@YoJQ+=9I|xbk##nC=r%Q75xgReM1Wd!u!^ zb{!TCqs1h9s48mk`iLc@khopEE(e&u!F#gep;ru5w6eie%<)Lvt{rTq&r)7o8eOZy z=BEPV3%N}+br6YRczkZ^IbC3?p`xY2Y7A8DZt4eyyo-=5e>YTYW6Zld zNcZ1X+!NF&*P87VuB4G}Z!a^+UKs^IsxY=zGL3^0k|}BfjolctNWP0m3p7T_-89HS znzEskl;)ya?$}0bqT~)r*xVl5u;vF)Mxd&us-|So(@ge>Cut3h!$$?meLZdq$3#7* zg7+|;UmvGiCnLJfCtITOTLv;a7muqdNL{L2a)P11tq{Cu=1ams_^wvU$sD-0IQnu7 zPMNkNP)?{lGKB8SPbqgsJMdG=R(kfm)HNZz_fGlQFmbjb(O@O-A)(Vf*CxPfSE3en zt?Ime1yuxF6C8D+vu_&gv4g}-&n4a2i06v~rWIn+mgX($-y|JND3GL)@hxzSxP3&m z9?`w0bQ`ziZf|?dYAQtk01Xjr$-sarO*}zG!5|7mdF`0t#xa4@d-tzK7n<2SzPlHZ z-De;#OJyzPtI#8>lp~foT1-+kz!K70Z(^oZ5K~1;*#$cTL)>2AKGEh<*o(pt)X&Xi zB!8*`4~bbvCj)kAD}Vc!QQ$jA3y!0j;@~g+I~0$!+I&}Dph)Os+}KNQ674`*Q}F1~ z(?R69n?*`0@VVK0J*~{s&=9uM3ENK?sx5TjahB){yppPEH1hSk9bxcKQ`T$(s8!)K z@^U4%BeLk-a5gji7BSh*2iEJnvsR{7Y)#o{XMbinXu8XZH$4qQJFu%eM-OD_47hC^ zyVtRS&U2XFPxW4)FEg`Mu~OjlY?m=Fag1ec^-G<6WNSYMtu3$??ML2_Y5 zKY0X@N)Vt#rF0~L0KDeZRb-Ny2S(v{W^3)Cvh<6GwVB;Qz{_~51 zthj&o8h`$_twCOplR!d&AqYXs+6wsa{60x0y`8|&1@20xV%WxDEG@CkA#k$8wvKzD zr;VcgMaRB_qT7N~O8u9DI(ZB;xumt{p;mO)QQfi?RBV-$v9hV*^M={aZh)0)jD!>v zkP9v)#Y0jrYsBCWV`Zsj#XrMpNByUM32MeYlzw~$nA@H1I)&A64$;Ek=tb>y%h_NX zfYT2n@m)taHKe-s0{Uu*&xK*}VYKE7a`PE+aL((Ib+jzhRghOx@989Lu?H6BY2-&R zv;`<=K|)J_D7r3^XhX$y#KAam3{~yBj&4Jlvh9P!GYu}dTRPI(%uV`_uDe{PtK+SN z*GGv_iil&CfRfVL1&CV|MY|7x(F;hCDP1HW6L%AB+!5bGkw82{FLjZ`*;jspJY8X# z%+u9&ns}EBXHHB@7>?E(;^A>_Yp01*$yG-9sp?%#DYjV|%p&7qO-44B#=zs5?Pvwu zvmWY7%ZLz!D*%yA2qLn#52tP@aR!HbOQYQrn}m-}>sbpUF$#BLpGUa3RBp_m7U)N+ zTZ3UtDA@r`Do8>VK$2w&jvm$0R82<(O$!U_DIOfwG+eo-m|C!WVxJSIALUiFT3B9e z;Nj0j(hkevT-;Xzqr@G(a~)4tZW*FEUGYxWw?i&p7xGBoDayQ^bbCXFLw}?x<)>@1 zhsZdO&zis3c9ym<$*XCp<1ONRLe3#V%u8$WXd%MoqKWydDq16J8_Wa#i?f1K`xXyJ zPiq#&GY){RZVj_Elr;jPQtFD99KuNsVt#AT9wjX;;~`l^>PZos#Gwg~4{g{(m~;*X z;_2OIp`oY4>GxEub7L-Z$S%JTS`egETzV;hkqD1Jwp2!=1D>K5KiX-A_BS-@0O$8y zthg@H7^rEVj0ZK7G&(w#w(NJWW6gTD@cE5yIu+(=nHCd{KMJU0UxrqLH8W&w+Q;>8 zm-?+Mr9CDUjYFN$)6`#-k)3|!j-O{BrOq(=x#?KrcLtC_KNTvSmc!+c$x8XCW&2S& z!{Qc9E{|wol6>_sJTb+sY~Jwh2ueC0!HdMxxx^xrbP_hl?pbQ+@%gD`XSLI{<)jRP z#Q7~-%yq3fsVSf7Ex&@H)9nM@!9`5b=OHNtTQPvaMN0$f;LTf?h)8df$S*_>`*K_% z4nHoAG_V8B_q~$YH+w`g&%HAo#ii$ww{Inp-%9C~5{S=7O(i_lFMUJhWUmfuUG5Ic zUDjH9$SNeBIHV4e7Y4}D&8tEJ=nff_3JB-uRg`dcM2CGM_UU=gCFob2*xo97v&-v` z%H?_`FKTDPveC~x&YVq7VGMC=NjDE2)~lrd0O9v2h6)f6f=Vv_NV-3o4?Tg#S+p3a zCqm$TG+pPMjk~%HdRQ(t7pBo-jO;_c<=Jo#X%EyMVD(pWstk}#7<@!JGvOfURGr}? zxU#06o+?;b6+B^)vqrFT3fCSIuW4Kjj=O2)vF%7W_SPUxLxw=j^75_>8y zNive2i6IDD8Gub7nz*Q-rb0zQ2gb=-W0o-Z+$`p}TopKBju^ynur@K_XygLRT~a8i z+Z(46CJzqAbEW8XVlh#>g+SX*DzePRI$MiW9O*2>Z*-?Q5!cl+iXj z(me4=e+!qUD~Gke;bU6?D7oqueOT;JH!Te~?7$X>TFUmZpJ~pp9~H)Ha_xch zg>`GGAg!g6nyN7Pr51BaRk5UaZqf+u4Z;**jgdmg*eu30HKVXoD1=aenN14FLM*5X zh-))vu+M8|wxh{NtU_AW;=(UyX`pm>GUnnA^it8a2(m1IPU$6eL3GqgR#QfNMxIK# zIbepN)bcoR$kB4sb*3i0kg<=D$o8}cJdIpzs+xG|;e4|^GA8QhxYz)u*M~+P$A>Y^ z4g?#5Ix8sin^ePBi(r&)@IGff!=bg8r#(Y?bY5p@9h+&eCNuSc(m48EtJ5D6t3wo& z8{JOpEY1N@dXZ3ZMU0qTaKtH0t%>nud5pG3*xW6MF3r^lP=tyuh%{V{r^BSgF!nx^ z`{gTy(Dl8!kCN+@+Dm*o9_v+Hyb~6S6{8Zjf_CuB8Ro}&E{<&86~)Hzce-z+@L#IL zSnIk!c4qb=Q?k`?Rl2rD$uG1$`JV)izUkd^^|HwHS&C)K1mtNh&;fEzfG!>-v~WWw zv*GMDm1Ghd7`Ps&i#E2s^q6Uju6 zYtPZ)bdyuEdRgUc@8Wcky2QJ!!mkv>t1w8z=8`&?@AgP>`0_#NE`^qkx;nZRRm2WQ z3)`qy!z(9@NfFG$_asLiAFA5QvHt*47Pxt?%Z65+E=HhtTx!k@s-%BM!dvnywn+D_ z{FTl|S{W)QrxI4hxRjIid9JT;;XaCfFFu#(nEwDzf^>h^o!I00Em-O%b^G&PfqCtj z87r|!o8WBM9))@(Jz9>4ck%nwlwILSk26I)SbjZ;iKGmT&JG0V2`keu=4d^|!t*t; zv-;e@D;nlc4YIggTrW=3%SCTs36vBh3>1)ytARU(1Huf>lyVVsD!wcn=;jy$`&gd7H+UmIJ@k}|AyfgH;m12Hw8}0s(53kg^@zWvL=f)t>gl+13^GR zDo~RCX{GNdI2$u|V;J0hH^snwSD-7;;pcU=*o1%eBM!B>@?HM`-RIK!1S-H%zvr^W zg-craWEhlDMJrzBw{~s|dKI)FWi$eW1<`aRWEioBWx{Gt?Aa_5HZu#1HJL+!;T<|C zWB&l)^?prO;SN7V!JF*e1(;Pd^pv}bikDQpImNO(ScaZKZ2?yljFvp{J+5afwA?Eb zSuGJ{?vN+~l4T?uSDd?K!0T~S@bs}=3i`O{sbr~?JZ6s))sG&lzf6*RvgH4q^PKqOO0gb6X#MPzjxpGz{am2!yp zMmIrj5`NN@Y(iH>&@_of(o{R9;-Gj@e8?j-F;r8&3W&qvkY#fn$b>RBMF@%@8pgCW zt>_gPl<%aWo}sZmZPQDPaq8pft*4WJ3{qILc+lX-3lV;W7pI@A`t7)&4gUZt5?pWG z{{W)(2lkhf!QZ~yY*p{~8rC<^FI1Yhq?)B=2B{+;qbfbriVV19Rgps|#3f{}GP%ri z1-Lp~cUsh1@cmjr9Ig%ZQV38|vX#oa?(Ss#R})}I_AAKb>6}Hh3)1_jx3fYKbYxu#T?G_{{wOOf6l{jNrlpd~O!mye z>$F?4(x4P74oGA_vQ)EJe#iNK8B}&UIOsw|Go%7l3*+%5E#1C7fM>1R%dU}Z@!m7*}j&0k8*iWZL zO;i}&Z5))6!9wOp+`GpfL%pq`T7R`DWV_32pzb(cJ{?y|lC6~u;SX!aiLY&lR;+R{ z2ZsqwMm{^seRIfXNyDrjVk@UMM>d6b}w2QbS8ya1WZ(WQq|LE1?JkBFI6NrAb9Q zty-e#p9)D5pc~>%yiLD;Yc?P%T~7Z1VJsCE;ob|j>e@~3v&ZdOH})B~ejE89xB{91 z=!61fp%zL4qM1oRit~G4hY4x@wUWJt5E|&(bbqS!g>iP0c$%>}G3u_FDE zXKmX&@cb5^C?deL`rUK_6pn3G0iBA(hqPi zEsN~#DTmiYFx*yQ9Yc;#_mD%p`>%UbPZeD}l=Tb_jzMP*x9{q_#wQI!C`KJyDG!C) z$~wM}x47=b8jlNK9xCj3#fpbbP|G#J&eVa~eLkNQr+yA=VBWqsTW80X@&X4dbt~s` zItlR!WR{`qoyP4BH!XLn^cya5UFAhZKIUBZ4`FSAJ^AxZZ|ZD&8XBfn(Zy31ZQP?X zLxqU-3r*JyR${FG0Fa5_x(F6hIIU}ZcyqoNAHhdFcC3ZPW;rLnhtxCntA*Z^Vo!} zZq#AVWQ=fo9Nis#ZoLE8HP@b(2I#l_SQE0tgws^g$15tT{ig3OV~cEB)6s3R30x&~ zkb+4Rtb&P-UeY+T1*A0Tfh(7^L^8VwmDjMCwA-GmyYC-fh@K-%WPLnF zW(egiyO<5YK1#%iC<-B=2tXxt?t-X_pwva}UeCRcep{GFn~0A^psdcLrgc*)-4lJK ztu1Vp)HO;iC<;Y#wvw7kj256nA&N$T;6t*5ZfH70j#_-|q`Za~f{a@ailNoDEPbrz zwb}=DR8;JaBNW8aSIqWvZRD}CHIeO#it;q^ZDt?9z{B~BB_r^Gdg3-L* zFtxe5*+`aFToa3Iv2x%?CF<_Ho)=XEcEb_fNfY9kjdLVxuF`BeuU5-D!>?^nu)=8@ zrift#rK(6X;q)~4du0@$hD!4zgPna(;I&<1!*Lj~2<6=ew8J5d!R^ba?cHp;2XtL4 zqUa=r0#S4mD-12GbyZw-L7vFq1TSIYHyYh*1x85&VU)MsX>sy4x)J980Hfktht<)<4@6b61)7#oPAfQMWz!eSq5uUPX(uT#%+PY*sJTV+8jyHsv!>-z`v;f$_bU0_`JHWy1O+ z6??w77dGW~3lb9>HJ#U}uc~q9g98E<;<@{vEjIFJ{cKhIe#CE zF}=#x9HlMOQ4%rEENj|G0FVx#DJ;=Z)3UlswldSm(APK}2pqIXjTy4L@w&>)N}2VZ z%*g?s*R`#G=AI!8vs1m4?+lZeCz17DQ)ud|=_bRcejm9IN^?3hcDntKHC8=EUK>wW zA$!H2Yi79wEpW4S8yS1qp``b+#Z8LS%NTpJGdCdikXHWyKAq7VU9Yon*dN-VmR)xK ztY+tB#@ieH5(9aeng^=uLJsCU`adYmZiPElowT=II-}sR(ZN#`)0&EbEN^yb+iMmM z%UX1gKSlLhSz6Efk%xR&e6K$49kgfdKrX!l_?7wqDLbNRxTrAWP0fSVvz_BQDt2B# z{0@-YlQQe6He03z(7anU+C{z#gRyf->~qt~GQJ61+Y_y?r`)Wg(MMv0@aawvJdCE1-MZ!y_~yUq>8}(#I6CnV!bwGCP})UJ-PH5J(`P6kP&AQY9jw zL3#bJp{JVE+Tt>Tenol($i%9sJ+YX5S#X|qyLYDvD6-;vF5>JK4E7QS z9Kdwdb;|R*BIx~|p_U?6NoQYMj*HNRX?xSKMUaIM6eJKJdYm4LTsH$Eo-pdXrQw;pN1(cf*SGsEhqR6LU3xcWrGUGc zYkmqfZvui^iD_bzsx8Fs7j$>MmaNb2QvKv2Xw0JK#_t?$cdKrsiy^8dqokL|PQpKR zW0wQNAFs1m_hGW>6qbE9yiU;IwDgsf4~stTNiw)O*SDeQyKdRj6q)HO=4Wii;3{^( zw~m7^{{SFc)p|E@_=U!o9nvkk92V1KY}TH#o}xDBFJpft2UlaT{`K{naW&^gKUUw@VRJXlt-6$ZPf5yXr;A>#xL$`b{{VedT-HBF zv4nOl2lyr$W89%WW9l2YW9+v^zgRNr&(0!^6XxGM8Pa zRcu=@UkfCsubLOUW(@|w9?4@^t?jdl0tn~S~ z>8e_9s4UbgM$=*5`IVkVJF0Sy)|`|y(zI&IhC9rzm3=X*r+jfr=pOcrvNxMon%Zn~ zg0~H1bnkh4WoxWkV!IUkL54aO7ge={Gy)%`jgsW-&K){dJ}P*lmP0Gp+^&%5TzjiR z=Y2VayZ&|`nm(Mu{{Z>0{{ZdDPCPNvLc%dh2RhBGhn4(X)`nD5y|-+vBe-^mn6U}9 z!QK)Np}J+KvZf-kKD#C<9UG~pJIKr1Fc)CAOZQsKPh>aq+y{Sh92Vq3bxWQoTyic;L%?!67yo7m@u$6HSAB#vWto?u++7o!T<%H|M| zr@TGXUKIy+2N#>?(&>LUk`4&=QU;X+Q@fcbKCMxR>`j;ep?wF5gY1)6g)mxV)llU(BVC6$zd6>Q<15dW$ZoRq2NeRWY z)Na1|Dws&-3vRszVxuH+zsDOL(A-}C0CHr8xfJ;J7dM8bt$$GQl&*wR!7FbRtZ?of zb%G2nl?|PkwG|<>hji#giD#Xys-gQUhCfzsqCMK=J9gSV5azB2^;wMlP9s#o``1Y9 z{vglP;}U{7W)}rbICro4vUXm5xL!XOEK(PCCOuFnc9E?o=?YhC$;`EtULJvR<)Mn7 z={mTdF7kLzW;xerusnjw>RqMFcGgh(7HY6TQ?wIRR?u3N@dLv`dZc=5~^4;0@=ws_d$;O5D#mhbBoOj^}p6)j=v-9k=M>(Mm>#63db z#kDjJ(pFCgl#$q7Xpd!KSb=NbD|lzxh5Kz3PoBIg2x>4eIRL_1M+0fCHGH~ z)>COeo{9jjPSo*Wa)%3??6+`ky6U;$j-jEFi-x#34&*Abh}t(S4q(1p9TdpQ)g#2B zQ5+qvKcdl&^$cB+!qMm#6KibfXa{vLZM9kL2wsS#x>01Dosne#&*Hr13hbLn ziZQ&4fv{eLj(%dx%wd$H4cdv~-o9P*S@S&W`RIexgwjA(2?UUtR3#UH{T=}v>~#u7 zQC25(FBGMsT{4$)3R*9+5|2Jny|#M{*6xJ^x)E}O7{X~MbDQas z$iG3Brr)o1?|ZLA3ae5Hl^dp`CQ3x4N=P_+Tu0IIX8!=F2=!e}+>gUq95U{wFo4-I z+%)%Gt*~fz9~pNhxSzRp3)kvZQ z1YHObK}`!jk3F^$Zm8)W{{VfytGr#p4Z2*8b}NOpVEY{&UX?AsqVIvr_d-UmN+>I- z>zg5^c{`^TJQmo8EmlyDHZu7b8<^&Gg|4O+nF$b@5Ee-^AmnXG{>PKa?fh3pi2jEM zY=h>p?J&)P)E>dM`GunlIk4Jd@3CujMe(qH&oQcyCB0TGDxRjEImLBfw7t^ijODgQ zc3Tv&63m`=c2Nl^rsMX2^djLw(Zu~<6i5F65T|5{xxnG=Z9GlDWqeRX9~+wCX8G#2 zHw?q-sbk+!#zWW%u(w4Gqi$>tul8G*CrefA_zV>;W8N7EbDF_-9TZ-3gKhzZwV-<( zdJAi*OyD?Qy+;>qG%H;s@zqkrQ5Imy8XN=LXAe-X2%6%@vp92Pk?xM+!ojCoD&MlW zQ@zPrZEhD@%f68c8(wtmogevCH7xXYiT?mr<%?Q;Ua6<1V{!PeK!UEC^E2UC>`orf zh`w=f99#gmnnn$!r;ucxo}w}}accD56vMp7r}`;P*O{Le#wekDwOC-9CX2bzyn;R! zQHyOUHzoBHb+Cb}oEew}>O;?Rs+yh(ro2rPUK}joM=|EDgt6nO@rowxV5p*z&Zezr z)&kpPcl&@>v@6JB6cm_N(_w;&21OK&c4jnbd#_%etwG6IOs;l0iL(l!GUU%Yj#y(H z+~q4ScGYbF!ee}NfJ<4IH!Fq?<#n)Hr`6#!l`~jLD+8UEmDic6!{3MCGs#wWxQ-z9 zweEJL0(k|$7mzX>KMSXfzE?CmBVBBua=*nF9+3(WXLM}NEc~N4T~=&PxMB4B*F^bl z;M}a{h}(1oqdD3Qt#A#GFoJ>IMcw}7&-yPm-J+ga>WJv6A5a+W+dvi<9d4Cm*Q6Xx z8u$1lxjQ=uiM8d?O$72ynnH+1olY~Ft~M|}XS^beKvaY<36u5M=mpb6DhQc^cPRP2az)azuER<3eA>$cY- z*S4yvTB>^3ceJv$XpP2p8;h!96_H{U24e}sgkZ3b7(H&TVm1T*{ZhXYgfg;d#E_8a z-O0m|Qvned#T(nl8y%;-+l56&9Ys*k$!XXa9hg{J$KbW4WE^<*YYt^qM6QoGnC9KM z3o!XAXW2Y1T^SjNUvsaj?Za&z1YDsw2-&JX&$If;*Ay-aXsXn1>tX zUV_Vqu}?0*V`tcVn!Bi%va*Q^M5c&^mS1C&=_XXRrNSn&E1r3Fz_R|O+;)k?^ibQzs%rAu8&Ri!;dGMiq_beH%>Bb zrC9q=f)}Iz0CneQ`mMH%{{Ysfu=p=VQ_}Ql6wzc5Coh`vuBv5L*g*J$o0c;ht}S;0 zy=|AC$AE_(!lkvdInB6MMfK@gI5#KDJaPs9@58)vBJb2 zL@b8c81{#-=3|A!hawccQb94-h`jy6RYjwS}i(Pp%0 z08lWcWUQD4K%9Di{w{-xqbVoh_kU4YdCN2LalHGcV<;?ZAGf zEZth$r;T2eVKsBrHlS5gKArJOSkmrKZ*aGSfy~kA^Fr3LXiDjVngm@17fu>;Hi^t` z8d)pLBYWD?;4Vg^km|MJ?a7DJviGwFwzA}HXfLOviKg4hgMBnBy2j*=G1aXA_BttP z;&DBX)sGGU+Th#*q_Sc7bv7Q^eUv$(SMMAg>x|1DwP#qFVj zrzqYC&Dsx=ydoMDRFDWlE`b%2Ts{eL3VPQ)?Uojnv^CaiuiPy~WS0=9hPnzlUPVOU zn8mapAl5<$3K4W3ZyJw#wU}0JL5?=_y7Z3Xab80dtS$bKu=;m1K@b^+r(IXBamw!L zTBuJWA%*fhfW|br*X+D#!?7KutQe~*1vE@{SR*aIoejQg&}{`glTeb#-#Z#}ItCjV z0_j5-iWWx31_rbM(WR7x;yiTqHJG&6bQHFhwwbP$X33*-G?8MoV6~CA5X39-sTogF z$8N(pNCK~s)nXb&~8N--2_%B#~VPT@fSxH?y>=6i@*0qgjv0xwSw_QTH zn@5N<4Z`G=#?n0Q+pVlmRfA=phtkrW&0BNGZtTvmzkO8koG{|Jqav3ur;a0(jzFHi zs`@>d!s+8+eK?qbV(061d|w*qF)B*hin@At-gBscOGkDzq&7?^0ZaBbtP6I zUh%r!JK5unqV6M=fLmg&$0fZ(=%HmZ<8zrCUG;;t{{WJaI_Vr@SK@esFnUw>=oXEiqcpT;S%>L0 zF5b#|`2}_>q~{2uGCN4v3yt|`yt7}2RZ_mMaLVjPS34^UuVd2VqUvodlDdvMDsyS; z9lL40hF+y&#BjLqS~#ol(AG;#0hn?Uv#-SxH*EeM{5KEDC}5UH7rn8I08d43D;)I{ zFN&YW_W2f)%yphv z@J^@HBIDPgXP`BXh2pw6TJdbAH(U|uwG?F(CXxgkn76#LNl#GhN-5&0%S_gm$qlcZ z^fy|94?VLNq{J~iK2viX+s5`SE6|nas0e=9VkNwr8(O^yQG6>R$=wQ}C>Y~(jFHiU zBYZC{)OQzF6_%mZjjX2-#}TG=#Ljc9;o8a$PCFD7_@y;{bQ}6SrZ*BUB6aQ@M{6yW z7-cm@E9Ar33{?+d4UwFU`!1W>9@59Lu8qRZYlXoJkT5e&=lvO3H7st|cj9Y(FUe|H ztG0QixLX6|GrSTU_Zyq`T^lNBsaZuz$Qh^vmlMsz^H-G-=k~~dX49XuP{LPkFAF^d zy%!g40Qwh-{{XILqo%932kP1TzXfQdQN-Hk)wS>5J)wn}xGm}3XaQz5k^LYj_j2z` z41w8rZT!}y2b#rBU9Q6qb{751(Ajy+Xn(~RS?qoUmDXKzeDl4%bLYbT}<Kgp(8nH+j0=eptm5o>s%$Ow6%t|Z zEr%nHR~Fos^j*3gnxdtKMos!gdjqCW50a*}-p{m@$C!8xzmoJse$zkQw9eY|@4+!fyXtX=_q(oA_6?zDhPD^m?Q{GW zqVhpfQe1f)QVem_a>rY_F&6PTqfn=YO^DOsF~;f!R8yANJ2i`%dFf@_#@zPdHD#wz z!>Y@(wJ&bX#XGKdZFXQaCf$*(;f|9R6f~kX;PcbQ%jINlxF2%9EvcY3-m-X4T+Fy# zY%;x=g$#8thqgE0h2q2&q2f3Gwl+Th0BN^IZaL87m~`4Qt$_A%v0LJjDVum@W5;&5 z6->B&6s@!AB0u=qVV`Dj_xN|P9`U-?D2}_BRBib>E+_SVcyH{Oy+F4h{X$Qp$6{^b ztA=yg%>zX&YY^Lh91n{B0IqLE+>iA!`L(>c@?JA({+xauBd(4CPF^hL3!KB3BD!$n zSe!AiRAA2`trmM9U`4#bX9jTWVUgFx?LOTkGJ^ZVyB4#16}+!I{b+%|t)r`F{x{WH z-KWEwqm1qS=#GgK9m}HYl^)RHZ~p+BYkznUN2pf)HHEuhZKoIMn#oRG3!>`>(->zz zZKt;{9+yej<`&--Y(M)bxm^9Fls3By4(lN{`7cD{mi}D=@!Lm>VehQM-xW+wV;oEJ z>tUv)cMj0u4sk87gx|5c7p_|{+(w@eZ2rzkFvwzfBGz~DTGt{hdkD;TRWOU5A_kZ9 zQX4~sWxuz@U~EsCCV!5xMb{m>ON2Sr_Oa`g#C^e9G5jN+w#Cb_2xWB8S24K$6zd;v zhh9XR54}udcw4+R^!|KSLn}pp_Zpx6r+y2avc$Imrqt*y+p2Y#?h)+svDNVE!YmE$ zY`NP#h+)+mI~^nI;(}7wHN!SnHvO)xi_Sez`E^3Uhe=IIM+G};TR4rmM3P7gi1S+n z@IS*V514Q&CMK9s4`aM9Y4j>W$eBqNOf@{HB1$r`_AX;dZSs;XLJGxGEo&>O8Bb2v z(mwm?3#{gLvJI%pnLt73F=^=OcA}D6iCW1chg-7bwBM@U+;y1lwcOjADqn|DKgCH8{;7Fgod#kQZ?I)dC(+j`*yWC2)jh7($1AR}Nq}LJ+`60% zFLs+RHH2W)@5V7)J+sdS;!@^0j`J&{rhp?=s6;$%T;`Rr(E@mA^ozX>4?6h$~ zynMU1hUb2+KC6i~4OvA_`60$7Ya<23$lKT@OZ4otvDDF3($&+*+|4;`NJNufirGYU z&24wIfQDCKcx;lx4mE~Le3g)C-PZh8rq$EG^;HnQ{hSJ^mCmEW!*NQwnz}l1#du!L za}P1z>#uZ}L)*j4%T*xM7%@6G*1DOO0tU(*BYw~tt>xW$dLV>Z2R{_T{*aOh8BpqZ zBE6p*Zb&|B2lZk(TWY%6sm0EYb%9;thak%}u-rb>l<${z+_A>ZNh_Oy&nz{PQdcrx zY?-_J<-A~hkbAD%RDnUq#%ScN#W2Y1<4n?DBf0`Q71_T|$%DggiX{yQ2tpwgwNgS1 z&Z&Ww5!1^fSv-R5VKy$eJ1hSHKLrQF1LlrdVvW+X!1CLc`YF1}2cN?}t7|bhpx>(-g<$6UdTX+Q}FLfnQkO=g@%%^3NriLo2ih1QP5mDHdm)O6>r>|f-vZ9_x$ezZ7V%)OSZ zG1;)%V&D30R*eLlsyA8XilNofNXf%#gGhWuw=O*hJr;r#gU(~uZqZ=x=v-U(FGyFO z+MWu`DqhoupF+J0c(qsPBoLWGffeR9rk*IPu*#N3*2w9s=K-l+psy*m4y(;ing+I- zIhy9m4axGdv3+`W^zEvaiV^;-dVT6aNi_`t2wDv%Te1QO{Ps@;G?cMYMq`IHi;K9O zatT)zo|>ig4wO2b@owFg@AtgNlF+2M3!w;28Ek-sA9-}5NnJal$_!YQO+_sm<)o)I zGB96u4T)Om-uY?ck@1+G_J=u#n@W&5T%-x^5vr_lq3F2Xp(hkwQg5iy9x#i%EhtHF2G{xXSfBo86Vj-gS<{$ z?UxcaXIZ%ymov*+bOiK9r%ysZYp0d0n#qOBQ#A`Du7hzWeH$Ojua$H zVDsB>{J1MM*L>2w6C{4-F@JO$73Q|wW}%~JdE0+A=?@N1-2=@rtJ5|gLMbMxd#8Nj zXJ!nL2L^cssnAosq&}V!JC==ARF!n~PLM+^Z+vdb@D8m$YfX_gfMGOFbIa)oX7u%~#a+3+QFY=pB;T-M+?Q-9?F*801um(X}yTV~O-UV5MAx+uDma&q$6 z#utahh+*+V0An9;=7W2#!N9Pr*Y-x=O>S(lVgNsCDA?|dxE~eYcXZ6FZE-NXIthKG zR^be$-AR@=7iJPSzcs(;d@$gt?RPczY;}Jy93mc#c)g(5&;n?~IXvykYIa@a*ow6*-1X!JG z0OiGSy&_>S*P8yW;&6X;#@;&zw2@?v6SO>=i?dEgFF(0@R9!K3=Dm|@BGHv}vw`;7 z1^(m)ZBPFI$$9d!^tUSwWee&nDJmILG&_e9<}~og4?>n2<~7?+#{Qy({{Z;_t9@?L zvQO~3cQdY8FMqvyKocBS{Dlp0DKWaYJ0)HhJum>5NthOZ6tIn@q{VSbXsKYF7}{OD zc(68IndzBT35ooV3yT+w*@i`j?RFtYDIwsN!cQj-X@ru!wf_K;@f7ttpXmZ~ZV6sv zap-t#y|;y=_PMa;SBBZje{ILBJ-Lo#qJ8r^7O6?o-8rGn$nkJ^P4xsN8yOQsaWFNF z77YTx1sR(0fpQB%3{QdiY6=UW$CD}IQ^8Ty=E#D37{_b!wb@l9P(M$qv*06Eufg^!xpiEDuB zJ)vVkWo$1Ui&`#^XxavTwvGw>*x(8K*M7;|_d(~|isEc_sxXJ!sbj7}Oxi%(`&9*0 zR@1|M7oyC4(vf-cKBDOd0lQC!X5!zo_n~+2PApQMTtX>n@k)PV$(8WDS;gH5BywG+ z!xX=*iYH&YL0Dy=!ljv!%}-7wcqOd|DL$507ly`EQ_K3P;&-Ehn{4k12hiPePR!wX zuE?Jez3#`Gs!q$(wb|mUsbTG!;3g*y<&kB?I2B8OyW;gDwa$rs9gah+IHXVRBxoT0 z$%Z?HCoU+f$0_ui4vL_3FuLDzfyZZw-=tv`O&!~*QcWEisVd^Aq!81IspN4Izc2TTJHL}#ScFm>!YUWcM-LG_%ScVaZ(~x9rn}@KV~nT&;tWgVYq==_=+@m7wEl@Ky$;+96XSCSgSbtlPOEUJ>831p5*Vr!&` zlN+2aTj;JxJoeeQ^cqPzGNFZcBRnI}E=JljJ_h%+Ya81C0Fhl?C*&reGDs;8CJ61kEPL~c4Xj>|)qK!mP@ z8Ojn0M6R?X6kRL+dZ;ntg0Zw@;ifNW9u~imTB*05&mXi~dz5C&%IZPG-R7lof=X9W zx&cs1=t}9`C`AdRqQW6&mbRK2$f>23t)5VFF!L)(Rlc4HWR6K}$EJI3MYx!WB4_#9`m$gy2I;hK(d-H!Yh4`W#)wsuxE<+2wzHRoF!SRdk% zsngQ{n#qL4Qw<9vuBa6gf=Q4!E{kABLJ)v}LJ+0Ak3Y5`I-Y|!N{~YIFY#aU7oFP| zT#nLBhUt)#+U|9W^|X$xjnvl2e*TG+2_fh0epV0asO51F7mE zeKUYAk%Tql$#hYWoze(EBFIRo>NrxjHrYg7?!+zS&39})<-%_k+FP_^<*M&y;Z?60 zuoR5M2_pOQv6wB>MXfgt(zR-yzUFo7T<$UzBCSmZsL ze+9?cqW0iq#LVx`=QV)pS5~h6%RXLt97n3>?77W>!Ize2Uf!uzUK?<_i7KdLo}vd+ z%I_3!)P=6e5|~L%7ELRn=z%2egb`Wit*51$D5@oFa!%~AHOAS`lF*?oJQHrr(KYXl z*_h`x<)_I?ELp4RBdw#7no{PnL1N}Q#08}Z2Z?qIJuc8Pii;JhgL@8m-d&hnbSjKf zXEC-{3`2Oz+J+JJjnJQh^m|W9JE`KtC_{~96SL(4beVFOW#$%bm`fQc_J<3qkTM#`ocC%QeM;&z!Ogo!Ao3%s;A73Ap`{Vi z!3P;jvS?S4vtB6ZIe+Y@|yuu_B$2sDXT@VkN8^ z5^TJ`^kXw6p`U@<{T%5CupqeU>bA;*#ev8Uq-~VZtYlk2t)`W2tXB{N=kXEps9RKH4xlJ`)bfug0fXq%THBE z$f+foDV$pQ8OkenO&aWk9})FJ1WFSrAdo3$J<3-?47iOGD_aI>WnqQlL6F#=GtGhQg465fk~~`9PRKwcozh4~d_Wga)CTp8{tK6~2KWs>lI&D*Lm=+bKIOvL zw`Re>`!W{WwyN8tb!w!kWRMDY8!H^}*$H)v8{f@pzuNAi#~xkz4(LcRW31lbwXANW z!cCMg8F#on8~OcHZ}M7ezq!xfcIyuME?&mJHU}2BvxxN9lB+s)!k6zTwK9lqT>83@ z>VZV)r6y?HTJSgl^IZavJUsLU{y_(y*yo?3cW&nsoX20EHQo!)?BFqCSgahaD0i*b zqOzBTrF4*mVg{5s7EC28Gb-YBW9gbnosG;zw706cNVH}rOY$eG3d*8{AqHfTbS{V# zREmw#j99HLMO_?`QdBycQaEI8q%D-KdnsaM(r;yJKy&S4PjqJ<_Ma6!Tcd)4NrIvi zK`W-B6HqXOfk>59+`08Qn<+60YDr?4`$4m0Yi`hd*7AUQSa;>gLB-nlWx(gw&CJkx z9&4wAe}vLo{+L|-sc8BRqc=@&@mnw_=fP;tJ1_-&ThpL~u9}EtBq0S=f8AvL!}u;1 z!#g$)E@R=Coq2&}-k*w|LHiE;7oFIa@32~!-UXc@YptQN7Dbnbr)m2-gVY;^HHQeH zj|`z@BrkiTX(SmPbE@2kcR)!EO$?zTg_=C(+yU%#XI&~=W$1?sS^J@IcF-}{Tm{7G zJ3z3#xvuj#qi61gub!91m*MsM)BNbLP#i(ERcx(}5l@&HBxA1PJi^~(wG1Gl$Ur2T z0uMV-cROWH`~J2e^InJyw?29hx%K#LPp*p;e6DDwVI`S#Bh@?}pw-cuhP)W$c4iCm z39I7zOg^5HYKdv%$ohu|Nn_0STGk32N(#EFnq~<^lb`SP8SVLJq&SI zR0@gPaAXb3eRNvXB6yZC_7LEC5N-;ZlAuBmpms?X{iG{sMPkG%YH4Ps+|jzBlD{k5 z(_~@% zD6tG8Rz1$kcIqB{mHz-vVwDZGCJi`yhK`d!7M-!;!|9~XTKMW%T1KY#UEIaqE}&mj zUG?X%j5dF2ej$rIKCQkM^AWDq4P+J6;(A#Gv6mL+8frPKiS+&oFvS!x9GZy4Ii&kww)F2)arE z4ZgpfP*z&nS!yJveLYOH`>AudH&G*g$w93mnMnh(NKZsCkb;Y$g2R)5Bg|-*e_utH z5^Z6nKSq{&NN})|R^{pztDK`ZZe5VTgdq$FLJ-1pl@)Z*Rl~Zejk3jopl6tv9XgEjE@fmj>>L7g46q|n)=pI)9+=D zSB$}=M%>3;IV;$6HvI@5sTo%zbG764Tt4N(*pvN|@%CnOe)Z@i;f_PoDf}0n*nTW7 zL*8e8D}F5L=&X+l0(VV9C1#!pUsX>eRR$(7obiEh@U*>CHB1z)h*U@#WpHbJ$__pi z{{Z9|nD3O8-(G%!+pVatXWDCAJi_mSr>jUI%1BWO0T)6QmCdX6;B&?7c}`~Ait9>* zlf>B>8>DEF-WHRoQwX65LK_&0Ni{Xm0ZB4aE>L5{@b+RA{YNm^G zPaIcsw`~o~<8nuug!K_qw7#N7v5h*p#=sSDaCWUAf&l~@f{K;YuAmBNVpl?dDo8}G zktrrhP=e>xR93}^Q%6roCZ>>)ESaoWx)({eP;<79J?;xyIq(t^**71cX)|;L1*a8m zY&N7{oM!6=#t`fVETjcos7f^ZqwHdIOk7=4$b%*L>{np>)xSMJI3c~Mp zW;;%+xf#t2er<2ywe!afUSe!=oxfbGh$XWp$$+HiQMg&j)0YeY|r*>J32mgw4Up{2Maua9Ix4D z*1Cy+H8vMExwfS>f`&@hR#nGU6iugL`iUCrm->#2b=IxMO$i$&rhy3rl^%a>F=~7_ z3*AaVlBDEoFQ^^YTMUFZ3#N&}<8%QX2qAghx58P7Vi;52@B>`txu=;OKK1G@B7|mp zLmJR7U0^H}tt-Q*De%fsPe{VZgNvuWK{7HMz~MdkdniCyR%d%`~m}*)R|ym`ceMOmQ~F;ka!!ZnaT`+#V5^uwHv? z{{Xtsb`?#(TQ5WI^yCt*a71SH>FToKb5zex^IJ{q(zWhrXm(&gIu)f%qETcdQwV`_ z_MQPzfYrwBY~0Pc+P{T^{f|FAt^rt))f2AL2rHQ37{K7eEvgkbos;tE_^Pc50;& zZyXrX<7>E;a)hwOGeasOY^)9rYhK&g!;#mj3r+t36kSI7zIO9P)X^j)3NENK#* zoUqILr|@2XVcVAlK61Q|n)DL>rT+lBN8GqO7p@cOD~H~$UUldQa z_BOvM1-XUnQ&d*Ev5~OQhCTU)VDn*>T^y-fy4uL!(pYl(uIk}qwq_-UNyv#Z{MT{6 z=$?rLf?*C&N8U^#$U#(;us&u^_}XP>h4$1b*=MSjc&30u2KqOCHu4 znIak&5!GSZ3gFG3o~E7m4`&00m%6Y)n8jx8pP?B~{YcH)Lb^P4jdiLh>LMLT8GuvS z78tM^Y{cEyaVO%s&f{&K1BYh|pwA6;B+a~1wD}zh(g=6@YO%|O&`aZj$GaV3s2C$6 z)|Rezc^6!NH3w7k*$a|r@pyEKv63^h#-t?r%vK#AL7&Zr;DoCqtWT1`TOJ_l>PaZ4 zjg-xC-^THBN0OpEb|$*ja7$0>+l8gLz8?J>R}uAfl;t@rb=h!Q(#<}o+Uq98N4mgb z>KsdkmQdP?=s&CVW*Ommb3;Z~U#i)&7H$hj8d^?WKq)5&j;eP?Q}94UBj83CtF~q4_EIwqb}Jbo;!s7p3zcPRULC9-5N0PrDq>^U zGZy8Mxkw|)ZcrR8>F^d(L`W1}L?*LC1tcK{6KtECXXig<&3E3q)Nj2FJNw28=51@T z?EOxcy@%eq7-tR_NG^X=-EOrP#n4nmERSO(W1hojHLkgDs<~vP!|5sODk|yX4XB&} zE3bQiwWX+cOsJ+(P+b>Dl+?6vw$Zt;Gbb&~jd)$ENjJ1~@?XZryY5_#u><u;jO9{(D7Y*6d|uVHc~eDaT>Ac&=CDR(icV7h1yxM zw^Gsy+DI7~m^rVF*5c?nuEQ~mUMBr+4qsvJznZga{{Xnrz1{}ZF~{#+=$-X(<>i!H z%`aw#aGa zY`eD>I@;LwK4{Fb#T!*d-`3Msu=X)t-z98&RfV~?d7Yy6yP_+&Z0`_h^=K-y3=VCb z6D)b~gkBu4>n;!PRaiXy{7z#b?&^T%3!#4ZW4W} zovwQx=I6DKHPkyPgnN-n!^?(358{z-YjdNo`dTN%_)Z^@!bXwDpQXH(>wZG><7VPx ztxt*8!g>wyI($l((HOgQha8tqTgC?|nwal?Zi_As=VE*tDF zDM3HwOJnz|i0-7gLuj89ty_iHLFO5pACkU3q{5%0s!T_;j4l2OE;^-Q#HuRk;f?fE z-qQ0UTIPpkvrjiG;jN~d^))cR_g)IUzp_E}>V=q#j}hnb?0}4?z5f7$ET$k%>M5!n z(R7k1Gh!7&ijGQ$M=YU&<&n2DkHKh(;#k=B-p+1eabgqql!5^lLJ$Qc(kKN@T|{)0 z@>EnDu|_jF*1eUN^L0R(a(LZTR|Ba#W29TVDG<{m{#DA^QjjvyMwfYyQ0Rz$z6f9{{ba5gLaCQU8% z&L4X3O6;)6dp7?7a^UP$-GbfT^p8J!UY2z4j}mDnh;~Svy+HLSRM*nSTTi;0`3;W= zwxI_nYPeyfmA~cAJ#1HPo)CM0S0`!O8-u_09MV1uqekr1u^#OOJEGv3NiePi5abks zfF8Xpoz`l)QB{*Pk6~kjuET4q?U&@JcR~=ggOl_87ZPeLVwO$rA7AY(%w4#t9XniV zyJ@%cb*xzBVfg$N6MH3ePNA;`#yLDL^ee7>J^eMh&52M`VpRLOxZ3M-aTjp(D~!l7 z90|Xp-oAhz)%)xoUDJ;Tc1YuzGaS)2Sk9%7ZO6#3JGRmW(9=^hLoCf}S~SotZ`x`P zqu*HweA~Yq4IE(hvg6}&eI>NmR8Zz<4G*!=!usl-ta~~*_f&C5U@|ld4og5$3AM>t zO2`2LJE#~)9I4#|C=-Z&j{(D#oGvW6b=VChU1c>(exq{YT^kxQ$lk?uEUVwZ$r&5v zV`P7Yj&+N@JEtov&Fy9#K@~kaBBWyy0U62z}uDJq(7;8>kLK1pisNG}i z_voMHYZcc)Q#Ccle7O4@GSX7G-=1p)!olXmVa72{%^;|q!!y{|cpNYDUf2`ed99z| z+H6-V#heR> z!-O%KzHh=RJp->`pVO1({{RT8<>b5J$MR5KeEw=K$l#21dC(X#W80 z7l#3>+iMO>u}dLs9Y6m7TTZ2Gmm-41Ud9oxUNu1dqL5&847otl?1K-iYYC zq5W*oPcv;wF2j7$u;0q)TO6k2*mJ+;0QG4K=Hj#tZQEB5#nuZ`sp*~KrilLl;uTV1 zn0&q}IDhrBVsYUzwvqlCm=B}E(8n?U2ese2>#lq794-F<@{MWzlVx0+H;1{lV46O} zKI=9a6>Wn*;o8jF45Lys8ilI@kiP?*?}LX2);@E*JHNHvYPbwl12-dn@7EEHUo0rz|$)_K=1;$XQ~< zDCO`}zyk9WC$Q0erQ;6SnFk{XVH>H6+n@snFZQg*W!bqQcv@zAb^0fMF>bZ z8)wCb(?|aRQl+4L7jhw%7{_LSxVvQE?Am!-{#8R9Jny3I#zUiw_h>15YV>YUr-BG~ zo0ypvcV>1*+V1Xr)tOdII;y5wt0StUG~y{T#`5clTlRu7+JzJ#VFcxA^hrhT`nUe+ z{1F@-ivIu!kh`qTKYH{Ffw25oFQl$r^($4DTt1p=>T1`q{?SegSVOGce7-AR-mz5I z$4gyFPb_m6)fWc6jk{T4eNRQSq(BNY7NmtlvwiBBVW*Y0mI!1Wb1)TU(z1%?RBZMU zHonHjpS2juRtdIVJinr1HPol}B_vm)3LedpZz$@bZ<&IT0$41G-0wb-6$5SmFjG~dTRF?f)+%5t0S3=nv z3}Rvop`bXoKwa1GTsKnf4L!@TI$3}k?;`*Yjno@1(zT%OC}&~R77{K#2(jpqWxyDY zKF57-4%c_x$Znt0Sc5!RH2!vV(-GZ5;D1@Ne!B|92cs^eHmZY7>ndXX_+6r4?y73H zzRw}04Kjw3#<SX=X_$~&-AM9M6TO)?O?!5<>ab@RrH2#lT&$Ckh0L3cK zo`p1%vLIAfRGLazDmQMMcHG$*F5~E}3PAidu|dnnL8!oIdss!=mLUux#+vO(TMpgyCLh<-nY$1eB` zNu1dD!$Z9Y(4~ew8%a`F@XDiGM;z~g@IAwdIR%I-UDQObkttmW8Ca@t+Df{rdYXu{ zPfqO7yc-Z!vVO|NQHs)1R#VbcNLxKYv|}kCpWuU&kGMM?!rAA0cV4J)-FX~AhHbW^ zj@m~UCdZQXnZKG|m0}bFl&+#0E|N1Ke(+vbXIN#&F;}(uUgnTU7qKMUMd}N(>b%Cs zJ&vlz9^uMBFMfRg0GdUeJNP{{T_q0d5Ucj7a~BCR+Rf+|>#Eq`=7PctIuLFOkSgjX z5p^4)>QN*Vu8}GZ4%r{*MbokjSiF1OF0ws!iQK&w4UUjM0f;u- zE^}-Wm8usPWP?6U?;RRhio}NB&Ip z5Ru4--pjXSfJNHkj3W?-`@ShD{{ZY4x`U&~;ceR`EVeycYyIoIkHD!Wn)7tH#wRpy zk}N%yEMtot=wEOgIgcW){;=eAsxbPfkM7(q-82wWwc?gG#2(`31`AyGS7u8wb~ur3 z799I#mCWuQ(k!<_iBU_WRWQ5%03mgJwDj`+wg{bm?F$^ZCKW4=dI+`Z2?y~|*xK16 ze}+~Q+ck=vzCMeOCd=VZ)r_7O>RMSdg}!MGonvlcud9o<73@_wc5Hp%QU@!zh#K`a zTv3E#jK1p_bGr!|E*30b2*)d^eVST|YKCUbVRkqWgVg?e6fOgC3~rsan+FfdEDTa= zKu7hB?f&tFS2MW{4go^S@1bx%tyE2QpHEse{{W&%Q#(_KxBRNp<+&uPn4Z@E0D|7v z%QFccS6y0P?y+>>8XjSA@fyZs7(GmQd=u|UXbq8>ZdY=cJ+!b1MWhS?!?90S#g|ei zmtqtaS~@vt>Z>G{u1TYv;mnQ0NVMcWe{wR`gd)flm2;f1%6l;W3d<^Mf4J0h{aNMD zl8WVQTXJA*)Ev$((RRw=Y&ib_hvNO>yQoud=gBg$RyMWO6o!gOTLWHq?T}o#zFn5f zq^J;tT>zEbnMnYlBy5&4$ygr4scVJ7Jrve#LQ0sZDzg5hRz2)%(p)zTQSwEr;4tYN_wUT#UpaLr;$>pg_N}M!3fC|Z4GNj zKshfqcDHiUYVfM~NF1`>{{R(rF4$p{v>91b0U#Sb5lDrkT8vdL9| z;dM1_LD_6A-{s0Y z#Dp%6A6XtDLmf;Z?PQlBvNtP-!D;ZI;+>bnKmK5PN>Tk+pv%K8Q%`X*U8D~RgNEIk ziI?41*GK6k({>F}yOJm3Gfht;OI;kT1pEr@Cv;lHOHCv=dnu)qlGbG2Fj$ZER!~x! zN?71@ub8k9WRTpIbdSi=dI55&qOPZ|rxVh=nC@H3+KyXXje=K)NtcL?E>o69 zMHzgr4P$&xJb+3$87yJ(8?nORj-gvbkc2Gi%Db5`88iM^Ef? z%^gdNOtFiGdZ6dwuJ((RzTqp3F2i9>sawIO=&`;d-D|ye_N=x`-&c z$|ZDNM(DbNMa#_WlYX-pWAp}Ww(2guAYN-`9vO&Zg8U7aF+GN*SsI-?m_{2-g;M)H zCUY5ac``dOx$|4WSTMXslM$!i(9YSS%vxODgmVjWR!kJq2)f8=6kQiV#M?ms0P6hZ zpd7Aik8!H%(8;}{r++NbkAbqmwN`e|*5>3G2|bnABlBRiZ+3*o_bq1)c%2jOO3F0cF(Z4k(J-UP2L*u)~9|U^pxzDD25&w3~~ou z#P(a%8gqGtJF6x#k`z-4S{h|W=(+}zyg!nQq<@W)4474Hm2IDUO)WW!Lmi~|{{Uz$ z$Or9nvJ!?8C_qp&DC(F+i8!oSrXdy?N^0t}2$)678*&f9Yr0xG;lLIfU8GzTRNCy# z4{5j}jMTIL0QI}@P;oXPnJ^L9 zyq5Yd`rzzCi?)6+?v8NyF7?rAW({x-AQxx>HYG`7uEXi;olg3I;%Vd($7fp3TM7RF ze&~jTf)EHzAb`3-HJ-YZR?*K)=^qf0xp$G9I3FY$NXz0$LJ0RX@y6%5`5GIU+LLao zcR*PeE%5W`l0^rYqi?Y7)nzk3Ra*}Eqma$~`L9wOSC`rmx!SFtsBOV>ofD6jMd{D% zqT8t#X7O3Wt;N+Yf(L5oA}9AkC24#wV@YXqY#cfjRvQ&WG%-h4QSB165M;}1{1rhy z8Hdc$C8L1c9dZD<@@&2i{{Rg!VeZFRh1w}7JoC0rovyq%g=}4h@R4Ov*_zgVryZ+p zyPK8ika&_@9&NZjI?Wl5=Ix#yXAiTMs zZND_bM>ddF6N6#y@WGV#4k#E+C%9&3?mEg!yf(W0)ohG0ybNnWCB!sXU0{A6TFd(` zB6Z{?ZeSK#Xz~5o-#&;xG3C^M1yeVtZJsaAg?Zcsf(&~YiM?JNJ}X{qai8Q+yl6aR zU+!EwS#emls=Tt213Q>AMr6~-gJr1}e~)CTteNoB$txo-F^t84*pUYA&*lodsldw5*;UPUv}pt#A%$4*;->I6F{&3>|ei?~LEL3$7 zQ_62FE@`t*a93TsV}&{r{p*A6qh8VpDvtCp#}qrbSQ!``EpC7X=k{u<2qvtge5`D{ z<7O9FmFDp+sFJy{Z)BF9Jfyjho*-9{f-_NEPI*k_z_r)2uh89Z^K4lkcR*f4V)0tp zswbkZe*1C-q&)o(b?ep>t_-A|lCmL58zqd#C=QLn#2!Q_M;juHG9VipbZ8uu-*&X+ z8Hg8XJyjG^%QZV6Q3m2ea9MkW7A``P6JX#q$>vqGrb_f8z~r+i--Q=a!6u$Aw_ZaM zFLvEw?6-xZOX@kU{xL^AZ5fV|tkTPyGh}GFBeL^2OJtXBB;LHr_*;~{+{pu)e9WWX zl9(zDDTEUW#aK@0B#g>8O6VnZCOa!nJ9AatV6T%Din^27V1`;Z6YUO>)-4`N4sOXj zKNQ8!JIYA(y7f!Q>_NRoCj0oL(A${0^?_O>6S{~@DML=_6e&c7mz3ETX2r40*0GR$ zm#OpY7n9i6W~#;{XeRE>Vb89K7sslmf+~2+DdJ>NmlqJm*b=l|b1+Oq;#4MgQ%y~0 zmTu)1Cf$7(L=_PIVUVD*`~Zi-tz zP*-i@m$^+h&?TJ>RI9*tH*3F1|^Nb$&Gj- zK1HW9wD~44k4Xg#jK!y|_PJjaj0_MT3r}#mD=bwJK4-w$7-wM2=)vLx(P_Gs5(!-^ zp+PI6>M7j=x{I&*=z>vnSj&aSUl+7{bwej(q$U!^*tNZi-E~_W9pNC`O%3c+kg0?y zgk2FN0+JD+vSO~QWel&wV~$$3i?TTln&qzQA>3aTC~%j$78i>=w}=iv^;1a*nw8Q{ z=^|waLP)qyS!pV#mlCU_eH^TcCWkh2;gbE9rzte>qUQqXCK3q9RMopJ9YOy9w(r4Q zsI6&z4MQ8u#Na+iIJ*h|0ORrI>gQX%m!URX9f={^H&2v!Tv}Ih$k(ixjv*Ev=r_!j z!f6CeF}F2|?`}(ThuKlT-S;V76A1`HA*kInh(?9gRaFtvQpr(J{IN#d&ULR~tx48% zNO%Q=5JA)sf)w*dRZkr7oYBbK%{{?ZD7CC(Kwu4E77ZEz6p@gTO)F+oN1EEf{iT6P z$a_Xx_FkEJy}W1kELAPOdU@FUfvDEY&_f`Wo;e%I?G6XHDHSsBwO|IM@>SDRMO6rv zo>t2lBnL3uDUs3EAoMzdtEHuak`WaoO^P7mLs%>>d8&($gdh-5kwFldK7-G&K}!Ds zld1?qBPEXPk*@*PC8p}Yvr*8~Q8rOY9!5&@BZcpBR)hi(bRc(8DU}=M@axk;fgTir z`y?B1=x(@D7~O(YnA-2wHNcB^S+HDAl9svj-p5-^YGg0XFB5YfgUM0p^>>MR zs*~)yZ5eMWm=331LiFAFd>5X^+8eZ3G`)-2^HvkLe^nsjk}RR7`#(o9q5C1~|I;<8ZjYb=$3t7jc`Bbt=Y$AqykRw5tJBu&XY;c*KC% zOBSSG(ZmkKn`*p`88H6P0AmMY*=x~Zi|6)2t4B|_<-cTtd?%$aXs(thOE zFA}4ysIH`*mb}3Pd4$mh-*`3abR!PIoYb|2-1npf>Y7)R*nnExL6;V6xqS-tAgfBT zF?9x%?z3izl9kGe69Se@u*u}oSDV-i`yFfD&C`5&F5W8am~Bb8(lT}Axcd;&-Jd18 zNcmh^Pb=63B;kB|aDP42mp24Bi>Oc25x~lj_hsEW;IpU2bON>_M5$dy=(?C10ZQn< z5@6=-GqT`yzHG9d0xg2l57-4mXqmmiYX|IR_=OFdtBR`$iInmOz9!{(X}(gOtm)Nc zakfJvV_=cZ%IO1a;yYiOwQ^}IsvV3}QAPX%%Qx?;Icx^L&nUlu()bX1M5ePbYNYTVq8kPS#$thu!K zlyAl*!?#ljV~k0hw}ko?(Ynouk`zHwPzVSfsRU@vka(N%LWx9X!?5anK1!F;=lvo3 z$A`N1K3$f;R}^hF8SN6l*<56a<&GI`Z;vI=ZXrhR4NM%b;|SJkUD0(@Dj<7q$zpK* zmjb1{kN%@S_6jCc!9~;yneM%$_6Jnk#ihrdypT%kE-6Rt*nITuo$x+J*|J7#=APkd zBjTv5sEUdcJye1yo2#Dv06}~#F+&?1^76)p=XW#ar*#ONC5?|`! zpm#;mx96G=JE0^X3dsdMTQN$B-&dNBMgZ6?;CFkiS5S^v-64&yZt=n})aoK)JBKmsfc^m{24k2*^SZRIL)Kq)ys-4JZzrG?p-% z2Oi4h5|JvZ7tbvmPpgPSQ0Cq7wA?hS(V_~ZDaJ4+FpXK@(Y%)(E}M`h&_?Ck^EZ}oXe^8|%_FHVv2S+L5P>ZwcWshvwBUgqR+ zz3g-=Rl=9w=hYbqLPVeeLH(4LEM`iGaQSDYk*qNS=NGo%fP+{$kVbD0`Yr_xMmt+a z1w=l|86!i1H&+vn#r{PspZVMI2)T{eePimKP{-5D35G)$-U|nT)Ojt7itIxfYesx3 zp9xR+Ayp@*-)?@B<*@iKoYD1*CDn}WwWMn@EsV~z;ojz!| z?iIkTj;9H#ePs}mdMCRy&|c-AwAC-CsvG*;9<{!jr}Xt-`8YLOhkX--btvlNt)~;x z$Q>CRhNO8}Q%PRRxhf-UPh;udk-|pU6XmBRQilg zA1gqrWYA7DbZ==SA1>;TLRX&K7qXt7j-|wBhG6WM)U>NVtP#zn6D4#10BM9TCAU;q zo8*HLsJ`LNBX&73`T2EM=5J%^6!S7@L*jUI40jIf~BAk9dYIH zUqn*OFcgz36RVl+Qd6~#C6+F5AK$M!}>M)@!)-pxaeICY1AMX3?(A$EA!{@@hu zyp{#EF}0$T79P)69h&0f!he$WY;b!+*g;{`5N-%rvjma}pr9_Y($>LL=~)nXd``;j z&9g^S zH`%WB9Z_7}kbX={6@!-;aUT`xuDo^xcROi`#rE1=>&UNM3bqwvN}bg}sRt~JE|4lW zKqxS63I4^a{l%YS+mmN$hP*}2zr`C=;@k$WFOMi7a?w4WkNzE_V@NT~~#oisj zqkL6$uB&-@b6)Xc0qD9x5j5_ii>ZP^1xDz)VB>9^uZw1DOAU@t+m3** zm{5HohEs~#8p&W`aAC;nYutmOUtq7LWpy0%(%U4hEi2=dbTzcHNlj2?5jEwvb@Cf% z56M=e={psURN}aMwckplMcagnns7f%BYzz z%Gbv2EWJez##OPg&-BGiH3sgsVr=8hW~lkmQ4hRyV_my!jTWj;^_vlG!J3wu>C8<_ofe z@&5ot*=!i7cLiK8w=C_|c}nQUDx2u#+KM(p z+>rnWl9D5Y-I@*XVs)+U#dTc!Gc2*bH)69sH;XxvH!vf$uDu9Z@j2zEp_X`HbwrW0 zxJJ(t{FU4}apKwhPMxvGPmW3>Yd~vU%?FpFuwnT79m9%5zw3Zow5)dtqM4oCEKkWZS+p@p1Dq8_$U2pqiwySp01w%0B#ea^f`))toGUL4jy9aB(cbYXfUdWS+$G=@($rY zda|5hPQ)Ky46quvutwx0&91jHE#es3o7lTcUNr%4+b7X8{Q8PuF ze6MuKTJMP?cZOCUM*^fjXJ3lpKV-0nco{nncFg4ivXt%pRc&)UCF+CGWj|#^w7TQC zwT^(U3EAql{-+$PZ(?<_Lkrpnzf+B|_Sfqo3c^Ku20yXn&8OEo2OYP=@OvmJE} zL-6_OoWn7@ByF|6wq3I>)U!iDhrg}cHHwvS)Woprd`=M+Uh^P(WCk~7HyoDH&|dZy zy7G0n{xOEfy{*Bm7;tWOI^YxN70ud;hO~P|30~XS+&1A7%zAsG>(9rv*ml0r9b6}< zbSF=ewmqrA-{Gsvf40Xq$%&QQL0tH;%qm?OUr&EGTk%?>tE86Os+e7Wvmhu{%Bq<6 zu*n;VXnRX@H$pD0AbX@ALX@^-Z+pBA1;q9W7|(}N`wa|K+u3H_f;W;IxUDNa1#B3F z9Cb90&jZ2A>sywEXjMlFE)pQ9pArgjD}5VRo0jF@g5&Hd{{V(*GU^MSeKjkoi(lxP z%%fe)t@2#mhspg{qb)b_U)JOydUq_dVRW+5Ln|e#eMo$+dtC8i(0VN)Q%P3^Uh~=I zY`doxKPl86$jeHK5P)3WrN8xe5iLBSaa|&?!6O#*|;4RQE#dPRlo0C zt&(wm&e0beoTucvr3P9$dYEb|WSXqc9F7Jy5pCG)w&p5`YG9UWAc|HxSgoCa>f8A% zP)jlHfa0TdA>mX7$G`fdUFMNW$_JSDJgv{7~z z@o$V<#&1BoqX$qGHJ+W*!!U{L%^C2?ZAYrnENq%L$1?-taI>1>ab-53p|1;z?7UcQ zMKw+zDQIl1%NvEo@5y^;iQSCi&$gq@aQ5Qu!B|_&OvCrCV#=$MK+V0au=8K@i8ftKwx4t%UOHSushvK~~5(%MXjO6CrdL^8dDp72Lt_h_I%}%6VjTPO)rmb;ErZI}s)wvY?ZYmLk*Uy%SZSOn6dG z%P|P7&@!#HlfGFR>SJx&K(Px+3bx4}_{W@Y0KQA=6X(slSRIZ-1e+p0IP+&PVK>CJkHSsbyJOvXzY=GV61 zuP0Oo_4=4M?6&sgIji$I9_$pts1(6ci@{P3;#D=tf}p!HE~^es>QOta^4C*ERUJIj zv6IR;7~^8^MQY1E9VJCn6%$jj^TKZ%$knJgu#pblNF8y#io@2+*5+O|cOUEJK9&Ry zS_8tpb@-C=HCBSBbxt&i}MRoY%8Y>td*hcczX}L({Xie*E0yiDKMH-PeR8wH$1%N zb@eNt9J6>90+=eW}+8B49BfVt=iUAwLh+n?+g^43O;bsWN) zvb*$$EgTs^Xc4pl@K+P?T$(I0I($j^izl4EXj>VKzU&@gE}~c_(w)>3x|B-j3O7#b z2?ud6C$PLYhB+T6iLda?{y5lg&x9(?9V7g7(7V-ug=kDEh{pUM4}QMP*7dth zlnxC}-_%P{2^_M%;C$CY=u`eHoM`bFzg1q>4x7f@s^08!F9@cTcN$yoU3;LPHFzAj z>oGPr>#*?SZFg_K;-&Pn+5O`Ws%ZN`pn>|h1vBVp96 zn>#~AQGwA*Ejt|dGl-F`!iy}9qibm$;i-V%QD==+%#t_wBw_S&$}pM_{yTN%p!^RE ze~JvGY70$@Wk&x1&yrx}_CZg(6M{(a1 z@JKE!G??U3jP;Ds>9?~=j54)^9ZOrBDYs}a z{WHE;uhr}z1+1g2mDYIc=;gNRrWaqA64EU12?x-jl!}l!oA+Hs%`IxjlE3L-T4DM- zb7I5e5`QEcESW`+iGfB()k5J6x|p za=2R-KjHY#cN;F?Lfk)g&KDi6d!`@jZ8W`>JX6~nLn*@qPOBM9TOqSZxWCRm*&X5l`nKwqQqw=jO#$_cD}pSptDiOtg-!b0KAism-~kIfn=gY)udy|R zb&c6d>yVjHa8Allf0a>N5bW8Fl}*{1B6sNc&LGAfZFBPvy?P*0Ai1Y6I~xv#J05ln z8yuu=N?c+GMmaI?*aPrZ2JK8&*A1Ued`u_qT|6#Y$!T-q6z!*|4s%Nw>x*tH#7%XdOP{42O@Ctb-P`MzYnQqN{FQ~I5m<- zloM|JlGwak4rT*QPU^T@I|0ncI>awSiP6*I6m!$mM8^lT+lNqBp4n_O3&b!Oy{>|u zSt4_xbIWW^oK@jwSoEx_r+*=Pa%Xis@ zqyf|(>B#h0B|oy^G>|(&$iN|PA$c4o9j9g=Pr9k1s9<0Xe2ue@VV`6Bjb9Zi&sc7h}&zYdw*YBm48k*_h ztlfJX<%q)P?-+ChbVSENM3v`;(NFYjm5js7*wKHheqt@qj$wAFsu@>H6+~VM8Xf>Q zAQT)8rmm;1+4_@9CErf=x$Gj&7Co1t!{rlD!6SJ+jc{CTs={Kf!)hms>Z)U5adULe z(aaT}V{w?~!{DTt7-x;4wt4Z6;afP>-ZAP5L-Xj1ASla|U9;F_T-(>vhRA$HhS|rO z>NSCl3}CYw16n&Q(p1XKLI@j9=S3hllpPp+*1Lq>N1NI+mmI<$&^U$YuDt%)HzRQB zj*-p-kE-vtiJ-Tt)n5!Igu-GK)h3z`YBf8tzb(pMI)!mqnk|j*Riy6em8BQg163)jvEu(GCyRR`$$G7@I zW8NIx?G9*hwX|N;9-ngZ_?ZmbN(o$TnlRg*rsOL#QoWN&%9wJiGGMIPRd^|as?|3B z(wHs<0m`CNx`NxTeE7X3CMiilP$k~f-zj5m2d`zW>1mi7G?00bs7?o+#BUbbP(9sS zVQyoXUbvnm<1y*7?M4>PqfzInUc)yLJ;_%%7B$LQ)i+Nv%B>DeIaC~;We1$w+MyN_ z$eXE|;kN7o?$O?t4X2IcSfOriyn^z(T1`ehg;O;9&C{jHUd*1BxaDgrCjy)=5~RfG zO!PAEV-77Q)*y~+V@jr;D4~xeF|sJ`NoyNzRiR2OO;>cWUo24(hp&z zr|UgKT07d|dJN0WZ9&hBU~%bVF(Uociu6!c07gN%zEgWqqGaqfaWSrRcj682WwSEG z%34O&KCQ4cRk^ucC>%s~TAEUeZi}d(=1AT?EkN#G-sv4R<)(c9Gq7Bialx{wk2qC$xe- zVNtW2KTm~I#QKIdYTTqcL#VfFa$RFbM*jdEG(QH}VBuVNt{(ejt*18{ae;rD*A&sq z;Co%>*Ijeu!0^djb5jj|$+FJvn4)g5$vj7%j??f`!;c-?A#gr`t7qzQdBEwI{z9>s zb~g{|u^8WOk_`{sn3(OPR~LJ0)DpNif6V=Q;+7-dsG@wf0o$8xA=rJQwi zZfN-~KEsmjn}9g#*SvNkR5NNQ9ewL6A{MbOV&&{^F+mO=JX2IQA|`X1*R+d{h-28M zu(K4PcCD+2{{U+%K9QpLs^I?s+${2~MJ&O(xPS*U%E4wBl>@)#;q}dB3fVB~+Bs?J zD&jFn(${5{#@?uy-QuyoJ#Wkqfqv@^1L!EJV5Fz0i+2-4Tbe*g0c&irzr!OBqk%|c6-`zpM zc0DX#-mr@J{{VHFhs-Lfwu+KkIpcjEAl&h}ymams)4wI?WRyc0l2?YYppxUD0aG~> zQOl5rGDw}3!0167)6wNNLmZ^1!DE7`%-6NX*PEYSyX>DyIn|9UH{{a0) z{{S?fhtW&pq^EbRP5w(WunZyYyYToq-@|Xg4jb7t5AaIIdN>t32XzPIdoEY?a|hS- z29Gwm!}zG3o5Q`)QqSF;%B!zrxpjJZ`M09gVhv*Zt)*ftc-`WzBw4(F46(zV!ZCbG_wVeJnGq=(g@Tz9er1%0QTm% zTO($}h_kC|h;{mx zXu1lyqh!#@6Z{WpYrATbv4>|!`VNaHEzq$B>wmns8w(+J1G;xOBy>x@dL=zb6cVv} z8{LXBt+f&e#6~j*Hj~|L^8A!&0#ICgq=aCJTs^S6F!(E3aV;e5kUPkm5WA&vcB#4c zZx9ET?*9NKSJz80dv4xN7aobW9&eBy?dqWJ=(z)56xpq+h08psIaB7NNKo?K?97F0zHgUf&M^zDtV-r?Zdkr)X zf(Z)@W!8Oy)j^8BY(bDr!wcTi^>5L@kHiqK{{ThU^Y>USWADl*eC)B|SYu|O0BXeLizEKiUv#u||=#8v*V(QRg@Ymt-$4@+-*AO{dDHd=ZYot(u zp-d%Zp01Vk5>v>^nPJ?!Gnm~&{EE=E!Zd;kK#V@wu zHE?q-VIX;hk7eo&Mh^ym8yXnzP^GKmtb`#%E2atp$x}-C>L7emx-5>nnhuX1YE_L_ zLOuvV^X8P=RY0i+FQH=#vm{D|^Cn8o7_pj~+DKUZHjvdxm^6&m0C!w%v1wzdD?B^5 zhQ8X{uRm4kn(y^o&7`IFtQMv|WH&p03Fz%ZD3&%z-cD$6^HzemTQ5<*z-f){S_^(f zb;Fgj7g_;K6;0HES+jv{(RElUhb-79bnf5DUquj7 zCxtM1O0p&XkSUpanA#lC>8kbbkhQNZ1lV5PO7a1vztR+C_OW4b@5y@5Rq#=P;ztvs zGrp2)QXE^mlbN?)MbZUQ*Cq zdnFU@x1q(n1bX*fFsfsRVcPcA%D|yhYL(OiZBY`skWmFR5-!W(Pk8*i{z?h>QDZhA zibYpdS5Fr7OHAO`mp0AUvFf+yF7a#Rsut&AbIgHjsa-iu50#t2zzYmHva(ZRyw=~2BoEzchfjW6HA?y#G5YikG;_I79&Cu z!*#@?!Ek&+mI}H^oFJ9Cn|Bc9WA6JhdRn#g3)ytq3V9I;_?vRKOw^tfggxLx}qRTY(SQBuoORQ=o$H#42i!C6l{brkVW z3C$FZ%-3?bDn+694*|?@AcqTr6KG=^0|00OzyV+sB?v+h0uYtapi+0|x@#6KO8VSB zNFtEMA>xhKwTR`*qIMkyAx&J_A4w>bMDr8uEoTmkmA0H1Tvb2(Y1t!)<_9GVBN>5` z8azS<&{k%#7PDk`+P|9ZRzfPMWr?{+m9}XkGjG%HL|Gi7c-bQj*!G9CjcyL1akip* znt!9Bq^UIC(>~?T0^kG5U$ax0u#p?1-AMzT3z#`@n`z~u#kRn=52L7W{Jm2oH|jJ< zsj{DG;dl4iDwcH;vneOw7LA^xtfi9>lDl*tQ7Dn3H(jGae?`|04v%;V5#PSL~Ib{61bM{|}}JfAzR@mw8{HSj$&fmBiaYn`UbM3c_~Zgz({XpZzUUsjR1^nh55QGD)0U7(B^NhlYln zf7@^1tb9?*=4XwrEIFD>Zb4El?SiWfk+qMk!(|Xo?IdfoYySY%bLsFZiE(K0JVKk=mPXe9aUX(@z$4MwWGX6Q?lp#29L>rE}ZcSig{vwf<~5;+Uq6}MEo{|l+Tf} z?(}P$*;{yJwFiYH+@Q@_R|OMT`nd#5bAv%T+?An5NZBiGD;n{8*}~%LNENeOG}zeK z_FViU4LEFavC{8%k1}X10V|;7AP|HU1(tfcxG1+qq4`9P%F}C$trt;y82bl+>l=XK za1^RDT?uI20uX%Rs>`Zwq#VADVPJB1Roxd;1}s_Fp6)-QcOm8DHt=7Cbfp>NA;ewH z%5>7|uT`ssTwR0{Ff5%yiI#31FE-M7#kF1>R~ z*VMsqDnzR8oz_=Y2O{dQnJYF4lDX5#iZ>Rf6s)KEIJEDa{{S8QmeS_PRRX7VELfe;N`NVo`Ms19C3(zqi)k=H&xo5} zTQ5W6UT+q6{9%FzXagKVNINi1i2XS%a?`>vyq9Fb_j!L&Wz-H-viX12SQW5Z)YKG# zP*@6gRi|_ky7JLDxB5bOcAr!THq!Z5r@mF?bD#eJXqNu~vfKXv>X3RCO^7!dWM0n~ z(S|I>VC%R#{H(f7DwZG5WoTKV)|D%&+EjvqVaiICFi=kFB<9fp7eSVaO8)?EtB$Ij zjihai61CSa?{U#@P;0nUuAr7>=dm}Kw%ZGTNg#Vhy?-Tl?!4C4IrR9J4Ob8a?Ul{q z$j zB5R9_?Bp1p{zp~S!z7J2Yh|-9(?!9-aQsrL7^h|{H?%(BuntW09R_BWpX980#@kLbq!WR6InJ{eMxL^osy*dB zLmtD{_CJ2B7B!1gVU*;|@ht8ZWFv^YpCv3&9ee7q+KDjJ6-`_Xv13h`WVawsORbbL zPc0k|tB6BT=7S_)+%)xEt&^jklMgag2C_-roJP@L&{uHXMoBV~5D63%BIrulzFhp1 zTz#UbsHcveT1h~XCl|gRMpku5YS`m`B^_KC#vc=6WQDN0`Gkz`nba$0?GoKB*c z19rw;nW1$s%toIRr{B`Z587coP4x>}8(wvk+e1rn6qHhe?8U*kTuEH*rH^RpFu5Ro z91}7^0Ws=9?_j-{B}uOJLtb7y3lXiSjhL<=TNY3ZF$-LFC3UFqdJ0y?$f@EYGj^9Y zky$sjZN&^8&OMKJm`)wXO4{uaMKbvwzkc0@MK1;pafF<6Es;3#L=Nf9q0rEt7{fKAib+Z2emo-bC(d4}m zD}%7|XW97sweD};yUMSub+c8)QAjE2gpobPvpY452XWnOC)2OVAnq%EZld>wG`;=+ z3y+{sO(MLtE-hJ4d23>u*(Pyxa<#dd(b$F8hZSV50g>;9T-l>H0DN@_I!lYTU;Z;z zdfWJgwBl5azV<^A>K@x!X4+N?jhn2KcASfGA=zRmB@0hrrpN zoCh(^w+eVmgt%=p+o&-#gYa5g!sHVt$pI};P^EMfk|>D;l0pplld4%p_t3Gj)B(cR zn*y(m&vanQGQ5Fs4ZtarmscAEfk7*znMnXrO(a+rYUflooSG&z@{3uoHUupp4zpd% zdfiGQFO+9s&22|yC-FiLnY5>MSW*RjD;(1$E0l>yIWPP*j})VtEPM7ml{_iw?do0oB;Td-Dj_d^g0P4MPUOJxc+ldQx%E5n<^{rd_oD-$@KI&kV zSSnzyYMs$_49VRDiorb{WATc5m}^TZ7}D7(%XE3~e?_zun(vwUkf7mh)OoOd<+P4Q zyH1zUcJOJp_+2!e<8R=(`%Mv-0jy=NLo)&BQrP*o+doWQ&49H`xJvH_DP2??m~zWY zE{mvLAmD?_;HN&_Vlp^*7~nk@tavVK!%1l%fIztgc`O|6w&M_G?i|yVdb1#Ji7!H& z7M7-pk9A#IYh}*oZyYQ@Jc8*0s9_Fo4!)YJ8dMiuJF2dvN|j>3RIaKHPU>K?>&

    QXl&*_-I$C2P?19d1_Z? z{*bB<*^FQR091p}Hj=ODrdBq!;*qfy8e4Fa-s`9jMVXREyxs2`ys>sm>u&Z}wMr}w zQ@W@o6c&dm0;_703lN|b)T1pO6twt-T|=uMEE9p22MY!f&dYM5Du|yW;%tmEuma`> zYCS@*6$ICv+h=a6aO#J0il{l=&Wq442Ho)BEPK7$hJO^3mDi*kTDOt09Tb90@Pgi; zehGxVq1{4O31NJB%X2p_X7e6lNGQF*n!7+XB=k~>Fq&CtaQLaJDqU41dKq7%oEF7J zEkspNiRtBJu{!p19dK3GNEuBe)B+HKi=yidMI@6~QdBaoSXoZuUmJWfk2SX8W}2>^ zo=BNAtYnhAD;ncs^X1(QYCncOYZTaaF!v8Gx&h}uXljld9Xt(TYn zjRbAMYBxf~^IgXGCq;a3$E&H2eGHMg?q+tD=Vp#?ny(F}l9GlBd1@u8d`-$_lf>m@ zjtprpHeeSd@&QJQstVdz=cJXBK<^BUHw8u)AqYSunneJx;@D(Zohga$G0NRym8Qk6 z{!4N{f=h$vyE%i&Emk2EwX@S>5Xf(B0dGP(g1gx^l9Aj`rml!`(<_Kj$D#I$$JgMp zwb;HM(Y}J#GBdIBvgzPB#XdJeDk*f39J0nu%N^LO=CsB!y10M6VN-+IhcH0Lr+$#( zbtl!jFG3ua^YS!CUTR9*cCEIgqGd06B|48lu+~xg3tr4i5q(x8P~ny$Y|uLW%h2Q4 zn8K)EJBW0rhBgz-t~SqLrfH5RiIXj4gt%tZ0og^)9sDtjiiQZ{h{DFU&5r&Mlj#ew zD61P$D?=Dq=z-vIJxbO2)1snE%4n+~rhKhz^8#XN_pFH0eJqQm@j?bsmorHFK)2?S zlxOP)s-$_1gyF+#Vs?*;rNEBM(l0l%CoN_%8S#pe?}eA8C^2FbCa-apqF6Uli9W2` zFdYh(E&dx)b=8NE=%rs>Plv)MtCJ*U!GYGkr;^ZZ?^(c(N0A9T8Sv1@Vf5lFIxK*B z6~@K)cy2w0R9&!%b431tkM~x|fYd{AhGH{QMqFIj$jlqw$}sHIa_4?4Q!Q+ySUK7T z`VNIK;nc34k{D!?w8Og^yFHD^`!73yNNZun;)V%gqL?_q2(vA2gQC7&lBVA=?Vf3a zTpgBo7mIdYjux^l7{D2S2pT5?i?QNg1(LP?ve3kwVxZTK?{wt zM4vSw0J$vn3a#@x;zT!55Z*5zmyF0cbJ=1{Aqo;s>{=Y-~t zM&@bnbz&eXCW|0QQUM}@ipq9XQpp3!4102t(_#@x0H>#pCcV$d+_|1^O0n z4HqB_l8RA6$f9Immj(==xROwzNjobk**rkxc(~1>2u=shGX%?ufVO2J_b;c8X#^W6 z-5HX>ixk5diMkB)%MgkAzO36fkzEq+^zu|bc%pMRvNeV7%G}1+D&lh8X2Ip@ICj#0 z<)Du~8!ui8@y~YaZKFSFfG=Hjt2Ps*p~_7vkfhfv*e<#iS(LLWik;UwqX~*^VxJLn z-X(>lp@G~adM(+NUfE9Crc*k03Wp1}GV3_{cT&MB)^BNNW4-1U`e?X&11WYJJZ|S4 zmi+vepgt4mytc$Yb%}hS$f4x*(g7q>FH4(|Tl77^7 zHtfAi+8)=i_#6vQO$zc9@BaXgB`!J$&6lZs%wtJ*i~{77pjy2Lg5lKoO&_z;nF|Yx ziF>YYS3nia!?6l_>V5qzf>^JYGkIvYG1piZf~E?fIZdTXv2D`@Rtk|SSR@MbTXlb< zY2MocCvCg0MRn))w=VvK~g1D6xL|4Cv^s?T?C5qZFcASM0h%HVrc&W{#UBH@|ejG z?ba6~`AF7*>J7h&za!ENI+6IKc#GowF^n;`OxgkI$#hy*FX>uFV@$%F_j_aGGc~z% zx34AA3p7ngD(<8Tf?-G%1loe&Q&0svs40emnkwmQB8Uo^S{nHWG6yB770;%{BAXPb z!`mF9cv>D@Tf#x?x&WmTy5McQb8PJSw6mIhb?Cd-TphV~U7e8H<9irz<->Ji&fbOx zeO!HbLa|d#7>b@K;v}(*4QX+?x0bU!|+-9Yxe?V>KAo~S8ZB2ZUT zAc~%O9iU`xarg!1{{W+y%WxATg|p1#Yp&)nf3jkvhVJcv=Hf+|=T|&v~DIZHAbDHSn z<}7*oD2=5ELIE(65IZD7E|vcPB?WOq6jMn&QL(bfMtK@6U1j99hXAd^@kw#rnIumC z0N2tF44!^#(#2Cy>{423X&%~kusO5eg~zp)(CogyD=Ij9H;%`S(TOr{D%b;Ng!5~^ zlDinj=`fha_v>mLI3Z!XiqDJEVfcG$@dgLeRMa#?>0uW)C!M}({5Ju^=^gD24ydSg zoxYLTiT+A8!<9vZRO3}fzZxbsScu^oSa-Vg(J)0DBW3KyhKuvCR~LPyTZq)S=mpP^ zM7K;Rx;Wn?ay~F*U?Hy{65m3&f7a|9Q0j*ZXhu@9Rc)mkCZ`mUzNROVC39LqVCiAi zPf_Yh7oYyO;qGb61!*_`0HQ%EAJ(=%^;l(l`+Zp#T3mWSQ6GqOUTwQlTjRnj+vU5u zwVPE(n~IvTueI#xPN@t-Wo-OI7?YFC^h?cbW4GT()Nb6-EkT^@Hw8G8d>S{&wsa1T^5$E(Dv zXt4syszT}lI3JFfdL0BuW%1Z0V<}}FW*h!QSM|C_u4`mJaFLvm( z4cRvV!>CfPj$6M)+it?HmiO*L@0N!)&KDg)LmlJCDh?fa`| zvCDo+)UhA~TV{X&*s667YYp4*T2zP-0uWUJNTCQ7@}RUg!oV-C zg25uv?+LNChqBLy`(EVxcIe5NBTE%Q9hwfP_ zF$!u~BaWg#*UIdy%rzxycV}}P)@-zSY*T}4DBj*~Xur*R{{VS;3|!>ej5xRw3wwF5 zU4A965-bHtR-_8bq)1Id>D@vlbwj8q<*tgg^z1c}H!CDMkUs@(VzL}ok(i`dbL1kf zR+ltoxFJlc=v{eS5Q=@WuYtzfz~bKA!CsvHd#@>84L;pdNZkaD8uvCy{u|5K-7QpK z{8YWwEL~GqQ5_{blhhK}<8EgVF1YY*O(tXQalW0F1UT^<9;V!vTM?rb)HBjO`9zJj zU~OZ}ee37%psS~KT|P#-rLD80E#i0`TYjofnNrpC*x>%QR8-Qy>ef2#Xpc=hh3)XV z`fN%UmIpMn<&rrCoZnEsTS6Gwi{g;8ExB;Hr097ron;MP6OBO|>)u&X+`^(c91EN0 zVzu~~OOK?|(o@k>?x><{F<&-T4GAkueHVzp=`nmxg_U%equR{Hxn^^Lxbj^upz-|K z{+Jr{4sclfuDx4t)kqS&m(jaR3yEcDm-nT(x1kF7{{XEKXyFXLWQ8xvy+OK=E6Xx{ zr_ERdRfo#~-Zj~XA*ah!lIZue&_(rf?xNn9+SfcZ0(9@qY6W=OO|+-p2&ST` zWK3xK%)simjj_VH-ckPmik2*|PST_b^Kk8M82165ZEvV;!28!m3~LUT!&ck&a3acK z+o}qZ#@hN$e$xeycL1(sOi%F35go&Yip~p{k=n;*+N=}3`g0s%de)HbT0tE2H(onw zc=p=|ji81!tm* z+34Vb(mqngG#9+wojR-TrR`EFB!TO1UP@b{z=eYbIES&@7To(taUWIT%hT?%-k=k@ zNI=k)(1G1Wr|;+Ho0c3(EJ5z3IArj|8!$5oTYS;=1J3GHt-de7CvUW)D@)q&!dmw< zhMq(oi^O5rO=ddUi0g5hp&U*z$ryPKi`J1`%5xhE$Mu0G=y2VVaG`z{ytTXzQO-n4ICD0xzIblQ*Znx-Pt|8*zn7;4)P+OYt+8 z$gNOqb`dLx-pb2$do)QcOs@K6bK%Bt$$S-X-q*2phznd*6!U(jq8I+_!9>cjGh_kg z523nchq9}MeA*H!qA6>a^k!K3W>M*uw1bIk`rp=(#%($FpYW#Gr#?qqHMlNVxAIz9H(J zlzc9~K%Jw?Y@883yBMdar-|Y!g65Yr5&=Aysg9lqzf}ZH^k^4ecVh;3VwF71wGQ(j z0)Lw9;uMnA?l(0rr`^aN?b*@e?4KnUrtGZy4IlWYr@8?22_MduKuCs9$KaKNx(|{r zi>eiaZO3DLpq^szwc zA1>{orb!Ll?=pkVdoC$~H-efhLXc~A3rYv^Suw4k!z%E4u)yf6BLMEEW><3;3)zkz z4xXAUIJOr_iqp##L`-y!X=`N5#M9(a6T_Ux(7^ad)tJMY2DTPiDzQ_C)d=w@w^Z*o zWbDV0e>AaLw#m7$ zUHdFdGBQ??>-a9zFA~DX{icFE!t)eRRKH>B>FSBzF^|d}%v)Tmud|a1tPYMx@^oNm zbsZA*r*5F|r)853q7*QgnJlufxV!5edlS>qY(zx}LIjH@iy%cHL$zrJ+7J&Sn$1f? z6)e-s5q;)%X9qgqA0!%XizQ@T1G-2>kP0eE6cKEq$0UN@M(9~%!r+WMbmzt#ON(0V zE>MH!V5w$Ri~Gk!?!Kd%NKm>7Ocl*ZPgLeUqA2AtyE9AMau1TwdiC>M+PqetilUwh zjM?fPm6=;{e>Kn~Yn5h?F|?;9F@`^;Vm>R@-|k*ZYB;e>Gxvx;Fuh0$$^QW4m37pE zlpEnp1r;1h+=thhGG8AG0e={;5Y}8nN4*(*Ol7O zsl_nLyBjsZxA^}6CF#Z2pW9e-;O0jE0FvyEd_J&d@>A1F})dOVY_5*ge|v7`l;z7<+9<`kH#u!=_4~r*IC(mj_cCk zn>AMI=qhm{SsV_`*z;y_w@da{Y|#(eOjbt{A8RyuU3!V0_QvxN%=WaFw~!~GTDKy# zwEdktyDI@)tRAwiu7pWlN$j(iSjU>{rq?XkNVF90d#D0{rHZsT_e?nVRT7vhD;9^I z>&w6V*i-HL98K2z7azrMX;y-# z{{ROe+bx5;!%pCQq;iAyPYZX0+FARun=axmv!j9iP@;E&uC1r173^1XdI;*1JhRvE3#%-e(WTur2A(q_Y%S0yWlv;zrf z1Q+zbTPnEs?I+{_)mRVYYP_pzHlez^45YQq!(INW{{U~=R=4~f5POGzs?D0X^od+A zI?P9C+T)u9Ylm>_5%;9*XB%Uz>!PF$A9ZCtIeI7L|h0L8=jDMzXZUTkeab8qxjb^Bz!(mPZd>pKd>JgpxzG3in( z%$=+)clbqc2TQqxe+4tOeXy$Xdt|a4y&74#fVjEPUrUweKdd;6t-Lq|Q5_4M0>z6- zjAG5F2LhFwiG<8>sLDYd>~#oZ*@MM?%gpT9EZR+=3?#-L+j{D)ZEn;%{{Yh{8`|?~ zxZ62fh*IJh!|JJKd<6!lY*JBu= z)7hYKftKn(*Y8>IS!wBUs=PA{jiQP$>7{mJ;w5qDdnl3Uvd7DG&;3P;snqoDzG`?) z{{Ubq_*7<;MGTU@`54mUZAr39n^@(+Fu8nmZ2thqORSS@t`RP+iOeUNYprFTn;FJy zezKPcXR;D9x=C`m!^wIP(@uV9yIy?kb{XzTRMX5B$U>?69Zu$e+WO{!(|T;ZDOu~Q zBB72q&2k?gjjd?8B&0Rxzp8Xim_*!GskIi&8NIn9y{@fx%v7?*lO3*(LH3Sd7WsuE zwvrF1W9s9D%`#hwfUc;&Hry*Og?YcC_MR`*V~l;Z8_2;W1|6$wKy36T+_)?X=wf)s zv*(J2np(QBa){gEY4a*uJ&DPSVXUc|EyS6NT)=Jt3I701b2II4{VD@)pjzk^+e-_s z{4SnuzgF@IUAb#ZF$k$LTs?*5{g$wD*tpvI0edQs2ZdE*7)?b>8-qE~)JSCwbBHY` z@mni)y1t2^)!>sv!tA-6`+|EfVt+nLs)!=0k9AcWWsdo>yOqZ!I9>k$UT!_HiLMN- z!|SQLlOA9{irmer!KH8wtZ2X6X>a1YIT_d;Ev~AGXlUiW_L2jC*+kgSOO4^xa|kKx zA$+F!SRJWd1?4f?8fq+a1AQ$#P?yN|xUsgn^?pfvP?gbS?1+Sp42-?r28_h^3aT2q zdg@kGQphEV+?*BuNk+y+9!QwhGqXj46(pPDLJ<^FQi@&ZXD7(#+qKpI0G}iT6kSzN z&Fws~@(yd8^A=nkig)%5Y-s&lk7c~By%An-WKLhC;C7q3r&xI>gRwz~Q`Wk6Q<6*% zF~04#p=-K9p|>p!1>DFMx?u`PPRJ;PkWDL~e)7o$baK~ENY*{F!UK+G*bsXyXNg3C z&f2Gep(I@Z6H4en#gaZ0Bv5lE#hFh$kiM2@78_ly(4lm+@Z6GuDSLOFuIsj>K<(im7W=`0pwjqjLrKC0NC zH(rx63$pt3RAxvyW;FA-L-$*4SsTC1Y15_GtPb;DLWBnG)jB6HEQ#lWO#6~*V>z9=x)5$)wsVD!60v0^AJ?^Ua_94d6y~*Du!c|*1Em! zrhH+orXz)?y6OY@Dqh8as~V{mQo6{!FjYayVag(4uO&ivyM0z}NQrm?Eea5lM_=D~)0UaH5fv|Z3wp4(sl07!lGZB5Tko=C4sNzHe7`>C{^ z(F7P|I#ZzX-terq)yB{dUd zWhFdN2_9r{j93?*WoQc}w82(qKb=)TDWH*g^+xchp$$mLRvb?gro$s-mC|nFd&F&a zTY}@!Y*onCO5BpMuNMZ+7uVv5nK^IhLi6TkL$}OhUeNlATeuAgAcL>Tcl_JjTKcah z+In~Y07#P7zMwVmKo}b5WdYj!(yP0S*LyuH;$b49M%kw=?R_q)sKPVs+wjVFh8H!b zGqnZR1mEPkJIEdWWJh1L6}o-4>!9N1hPc??9a}7vRTxyUMNtEHPBQA-9>A+1ZYxDc zS0#01HD!@G%?yVlfdk7zy4gxK_$I?|uM>shZ*~_J9fI0iMo?Wmmf?(^cj*VdR^#bMYQhT0t?sY z7f&O1OaNSxS6De?uB7(5iYXlNlAco`Z&Gci-h-8d2YMEZD5|4|C5ZMlYedH1|igob9Ho&sBeD zZB$Wz%3;mk$)(0`=Bn8}?JfsdSu@=%u98Q({O;WqR1B7vWNRwi9ql!TGl}t7n-95M z#7AfAjM()>M6~l3S3S*&P}{IIz1OTR%FtYqXE%xf?CBczo1mvaXr0 z-?+JqCqUkcQayB1$16#SM!XKKH(BvHrKO{iT6%X>NYQZ{4yVx+IDI7xUMQjwG1j;S z_gaPh)gvgx;`mtiFtoX$#iy7mNs^FMd9A5Av5XCkz4xWp>1`LLE6wdww>X9eFa6@u zK1}GJKmfen z05@3HHygj|hXLe5^p{?D3vc{Yj28A_`;lX-OzO{WsbxbM?7#yIjOKgXEr6udA{aqQ z1p>MdlA)E1HnZshZo@^B!)rV{`7LEE!!@ohc?)ev1ptI3QDc!`O^Uvx zx`wHdz($R8ZbBDG)JGo+NG@Z}&?VKAbnf6dcTLSSU61H;cxy2`t(Opg%yV|eKy`a31JU~%SB!O>M$ z)3TzLNG0${(${=dr|(Jj%jTvYK29)tht*3JD9&2)-8){(*5MdlFNH!xF~mVRoG)@p zmd8fJiDEKqb3s>5;&39;*o1M825kmoe=zTt)46@Yjx*vySE(B9(n1m zm$JoIhNQ(KZq`pzG*5+@2+CV>y(rooJ>TY$`^_LOX3Tz-v>2sJfOffCam!Qum!qns zimIMS_iSlLmI>X0Qqr$?5}1eM*jc}TqpkkB}l=r=Qhryi`Lqz&d=d)@lyfj z*I21!9TwC<&9lUP916BK2Rnp==e~Tz~peqIQeso@1Es@Do`nKYA0-@t8pL>)3i%W1i{RqZtH07@^=B1LW(gV|9 zl9lqWI&jQZEh5ES?!oN@sfh8`HqDlrTYaHp_^es}4?&qs9IMXzMmoBhT#mU?!RSHg<>a;uew~ZS`*t4(6|LJzBvF$5F0Gmymp78(Y-TS_NZQxT z9^LEnUm+sIlBbCGqY$QLbaYRiF;^o?nGrT~nmaAcE6j{r0)1pvl8@43X=@~OXEQlDm?noh~v z(>#paJc+tsthCZ+rGWQfKXSdQXtwDa`@H&krTNiH$2ToK6IL+bxR25i)aIm~n87iG zi3PLU-X2S&60zp%{E|~jozN6*>u=(uN3Y3DLv7mLBXiw5q<=jV4KDD6z+GX*=c%Nl zGSJd{T&-{dAnc^Hol4vqdmoZs8~*?l5qG9uT3=D3=2u`CRdmmus+%;fH*?x-O6Zv* z;+*_@c(cE^<)wQG9@tT&4Uc_7>xL!LC>T!_q(XvN-F6}dm>EkZ2 zC8LtLzN#&*3!k)*w(g3Or>G5fQdU}gUmT}&rxL*(lQ1!u9dbvS^!bx%Ew?e%I7gkQ z+T1bnNfe@ZB#hb3k~>(f@ne`&xdgtL0LH%mS;@vRs9M`y(+>m*XMboIk zaJidlXyGHsSg1BKNS@s6mM07Qt_JC{CNET?P9sbj_5=J^$UMJyE?qcmarw`Xo)$gT_ao}!(= zSK!rgT;&LCLTA2{U=5#-6lqF8s}+yfy^zD#v+B0#4@ps4QL${ zTUAWv-L(+gzF?v&X+7$g2W~O^(J^wSwkawpWsVu$1g>cfk)ruedn+3xZ}3RRe(SEA zxEf2e09>CWTvJILu~NkyM4Rba+d4~IG48KwgmN-i!{U2h#|wuv+!Z3yi1V9JbK@8! zPVjGB^Xk1JUS}I6+hK%9YvpNokn>)a=~nbEgdqq-`7RFnw+X7L$xl~b1k!ICsIxqW zlJ7ZFPgexB6Vt;hOz@GsV@S-vc_xl9+Nx$c)pXS~`uprFM0-huKmKup$=WWvtn24v zUHii89Yz<2)oC>x2K@MJQ((zH(P42$_qL^ymbAD=!*cIM(~3bfG0MKm%tVAhPiG(v^v@UQ;>D8@DD!o2|KyzUkjvoqZ>Nx^}kx2dsCezQ)pPCBiP4th_5DIY#qCc%J z@dNm-jrdfsd7ZF1p`&MA^}z3;UXqo+qs9Az{dzAkw5`pHVd2`c{H@h`#_-!OYc0vc zKAMnG1xOW^j_IU?4M~H9o~kTh5I;%ta>FgkG2&h9@xYpqdF9YiukE%H63 zq%_#rrYx;cJWAZ`Ah7Zv+$)O1g;7C<(8o?$MX9NRNwoPTqHI$j zoxj<6jj$z{h7~2olNS6mUYhw=m)l0?n+j)FR9IWGNzR(|6!?`KxRkU}N)2314s>?Z z1J!g&#~m~bs(kH=AvtbSAa4;KWoo%tYF$Z+V5l`ss%Q9W))U;R2Ph8eOEpodcj&Y7 z{aNSBlJh^&+-!aiE8NDA>20XEy_b6!eq0{3x1=ue=(&3Y(A}M8U;2(r*p8Hyv~gn; z*kkD2=_(jpBy4;ww{p5Jprn6_o)dm|Xev=2EB4dPTo!CrM`0LqzvUs*vuvaE9v1Hv zC&|QFZ&PVf@Ht0kFt@hbhYNn$Rh^Z?{m0HdAENGENGVsB1nkaR7;zegTHpb#lV%55 z6Sy%+Pn(787kMyNZznG-X!eKcVM*<86vjOM)0AZg?Dx9a9S+d4`Eg2jc{)4k@e9y2 zoVHCvTrX$ZUvjdC;~u|)!H&^w8}NF+YOJMnZEkl4v=VLGORri=uC=-7Y6p1SHS|-e zYtG>?z-rJ2i?q(u(X>cCoIs zW;rt#j_0!0?U|L@I~T1ZsJ}nGdQp6+PEyyK8!N-bidK{R)>1nuAHRrJySE=NlJs^= zCnmh}c2*4ETVGepOp;kAY|M>m5o0y1u;Jr3AAYORAulVoyx`fIw`lBcw2s8s{wpnR z#&2mzr^ILWfOL{VTnOqHtx87*YVsO7l57H)k2>v)!rdDKjQPN`oFpdxdaSbSMJG6` z>f$5AXKQuq2+Q#o^;Ej9nX_&kQv+ul*!O@{!Q}8bXTkQ$N-C+}D5<9oiOz8?aUMsm zg{hTvNlHN6Jfgo<>KmM z)=7}x-kdOV!YafyR zOVXnF5QHKGT=zwix-PR~G%#WffK(TQ1cFwUI@*r@OIwJ2^8nyaP_bfog+3cjC#xhy zm^SSfAf8;7%ZFIEkpP3;SrJp|X`g)b@SF3y0azv3{32Ih==g4Vmb8L?T$fQRsmkqf z{{X8PM!9L-Z~n&{D7$5Fe6}>l(=oT)yH`nBY&F4`WbpTEPWj;L!6C3!yJjBf%NdH+ zVep8kv5BILT(yOufSj=HO+0Vh)Kb(N9Hs5be3xSjuVy7r zB&>pH3ng@Ak~YUnYw4=nn4s{m*H+E6*g3^%{hpz$bWX6dOS^^ce z?#d0;`$>DLlpsz>CQ+L|buE$A6zr*Eit36PKLC+!?kQL;l=PXX32pEbZuW zG0p|d1?}*-jY5*eQ8imCDTO=&SRCRed3B2ia5}B30?0x|5EP1O6bvZn?-0LlBo&&t zRkv_xw^zNhge}gJURG+P3azf_qzarinhy$scj8jPB7SMM*@mL_zddZLSn2S{ zBE_Y|8!YB|8}lkMsqEMI9Um|}gWN8p(Z@4Pa`a_q_OD9jz2C7T7T@j@Lc_Zer=nJ$<7DWY1&8Rz-v$avK2cF%$qYwo8G&L z@2aDq`iw=G)g%znvG{Y^=S@4Lv0>-{U51rIKP6fgXt7PIwyPSaixL4%sv$PrX7D6{ zmCzI!3>bs4$fadV1{fhB&}F-e?sdB9-BiZ&%VKplzP`3rG$}w6EzHx_;@0kf6K{*| z_^3R##z{MAY2ai)(ickiNL^wLzyJ@Ky7i#18HeK3@l|~dO(UCD#^wht!50?`)>Ayb zHfA?A)he|$NO-;~BFUR5j96wF^nFESt*f4PRLQ&yk=GIV4<*uBsjBJfCYC5?ib)|L zNY*;#hs|hEO$s3}fuRURfoH^MsqrcqXzJKs1ftFW*=Sj-s^Y`w<*TbCK@&@yK)C@0 z(8eK!k+bz|XlqAM0I*(PZK!CO?A7n4=QwRcqa+u!iypcgYP~%?(Nt5#Q3)=JR);i^ zV`8N0&ZOp zeqrl$u)6xzZ3L7Uli2Y1^F&zOpj)`;w$)?!buAyXx}lB)U94&1arEZ7+cQN`HDyLK zhyvN_23X@fnAItdNJ^!YwCt#TLn32mdqZ6y97K7QbBN2;V2-@I7<~h&rVJ5pMC5QE zEB8^CCaC`a`@*Knp!RJ%`L9k&@|diKExyA#2f|uCbzZ9BYRMPF38j!*Q@Hy{>H_IOGLeN~x-zn^xEGZ)CUx@=k z3NC?_8-8tjB#zy$s+D49{QmToEI;W6$yPA~3!~m%44M$jb@<(EL^6_hNU8)NC3Fa* z<)*ewkg`n$Tk$9-WksnP*ER6v=6l^is_8f#GQPlb7QMm|bOq6Lk#q&uoZ3DNdj@~) zxwrT)M|J16oPTLx$NusB6^lD}+u~AKYv|ur=nEk#dYR5I$$b$rxv7yOT(4PWtKlJnvEuE|hSamXWoiyo&1c5&;d{2qRI$m}c`tyleVH8Jg6vjRGLn`` zmxGcvmpdrAvh$k={IvCuYr{3$=)F+3nYA6d6{Uz_b+KX;F;&y&5zI3*J-J*ua$8ze zECH<xDrwz8JE*BCM60@-WlAgsdA+o^v(P`0Y~xeWdNt>E+x#{I{{VTv z6`9!S;q^80;*-HO8zZ1(Z86WASD!`LZ|y9!jt_=DgDuvSsHmoyH#6!x9jHg>%J!BE(6TeEcezSF)U>Q0SxA5C8T zYMP=N*0O<(B5HEmm!9CRz$-jwGrkx2E1IX#s~V;h0+?C738}QI?xq%MrVtcvf>aq~ z!sC}3gB0G(*

    *2*bDtJxK1lD;dNoqO6LJS=ic{TbYrQV&UYr6O~FPg6z7xx+ z4cZ!8`#vWWtnDL@;6l*!jR+xm*H&!>J5KtElaM@2)9_U{XfamsI|P)T?FQd^&6+(G zEe$0tMNLILGa{a$*`|9ryoIXk$kJ_9e6v0`V3UHw#6w8@R+nuwjIgM}>ezXBZ*k^% zDP|8!3Tw^BwYYPvC1pfL`iC?ded}y{LxoDvSytBHqs5AYuA0wJS4}ps)I#un%Yj;L z_DDX671MKA_Le*cFpCgH?h*l0TxuCdER?bDXKPEF88*y&BD4+`^cn$Spa}(@hG}Xj zV3wks)6Vwhd}Q3T`mF^Li{;Zo4={BL#_hHdK-@Kx`!icnEkI*(X?yF-;Ju3Tn_tIS zfMp9F~GZ3+JXi?B1+#Gd@us&$u<#LoL~u;@BP4r70c^@U2Nm{27bP{fic z2O{WVK{B#d#U({7u|p|>N3^xFH=9p%=pvS$h6t)BGs?#0bc9&E_d^1wT`;3` zT?2AO1f+gw7%AOhr=ev%bxlhol<&aI$2#B<*=@Q8mCb6tJ1;Fei=%Khwa{rOLJ6170h zMFk`vLJA5LEcLZf(Nn&8ue)WhVREzu2LR^T><$M)2)IZbZxY5H!*JjTPUvexG-$Bs zJ0Yr}go#2fpe}^0fU8jMg#;n5k=+R)eRr7BC_{n?FtOerTXjJk*EzUbK9(4c{%zoH zQ5ZZWbPtD}#e&TqUuh(b_{9WmCp&IP<)JIpwRsw&zS`<`bID0TAfW(C z5tRrTKW6HRTKZ~=iD}}N^$_^uwxM1Mgf!4aG_tZbiR97G15&1o?x8u$8_zp7>Pm{| zWu=t%X;@hE+Y`q%e2wsC2j)ukM6WZj?aM<^=OXmBTdOXTi_ZyDAw}FRC$RgJSDV;> z)?#_IB5gkP=xZjDo{m}Kfzv&WE^KW!E-QhtKmMU7N6K10WqM|Ed8EbuxB z9MD}G&B!ZTRbfaKDHbB>1h3?>u_C7$uIJHCKL}a+RiLjqw!Bm{Z0|U*UWs|Vv;ONv zn@}eg^jRHDKA#Ya6sUaD#OyK50vOsgXiSc|1} z?x5!FSN{Nx*X8D8RqRpCvvkG3Y2OW0_LarB4QaReMOCp}8#hiJ_MCk+PYCEug-211 zQerQbX1<-Jt&Og;U4Eo4yH`9`)3&lYXU@jdJQp@H>p8>8Z)sWjRjpGBrj;O8d=`SI zbpTYZvLq66K^IX~`yDguBCD33Csf4J*yM1#m=9&NaV|{QtaP}=9v=86bLxYOWNU85 z+qqp(dUHW@Y@L)EQw2&V@lB3VYLE&G1BzkAsy0naD4Skg)kr&r+FP>b(M^au7OR4y zk|xkGtuW5lT)o{zmqj`dk|?F|!4toEpsbVN_;lCLM+iOI+ZDVZ1vGGF*&H%gU4mFm zzsq%ksBEmTy7ZX6OjqU{FY-5Dkx4CAmKZM3!zbwY$a4oR<x+nEzyVhyyx-rub=K_&HL+k1SMJ7}?LD!f|&eJx8K88OU3 zumIbd^|drKbzj~op_liV3i5kbfnku=VB!i!!yL2ZkVY&BHn-lY%zG&0if`1*6Sc6& z@KY^4ztwqqjfcZE_E~CapM47-dnNr`#c5-;7B=_WkeG7$Eas+i^vCvs1G6;rw@&NJ zh&H~T!968F2KRq5u+`7B4aKP|Dln;@X+dsCU1mrReNzl?tsAfD0*&^*@*Jk)L(O`U zzH5x*&;GDsb#=IW^t+Q{%Me^It-G&F9@^m!cNwT=yq_7mSUn*rU2&n>oDx?7?W_m( zfeUmPo*zE2*1+#ag_Y&8sofFT{sIq}Hw6W#gy%80dyRn9l57A(Ph_#ONS+@Xh1%wu zf}PhV}WajLKSp0kWkXHnvt0xk4Cg>V1q@_Qb?2yB7wq^29ZJuL7qD5d26Jj zWTV<+XnUhN5Zl>l3Z2Y*<32|32+Cb;NLJlV4(Z)R3WkJ{bOqL2LlBOI)Z$h>!f7{P zvA41FT1iFoc)A;)k_uNv&1W30GSxM5Gl`x<}K-W&x6xjLjT@S2ZLcXh|1EJEVL_Ny>zT zAqU8UY1t+9-gIqWh6y;awf!q5C&Q<(QZa9*uyUNHD~{LP?;YMDU-Q$wi4p zRb5d=#y@!g;&xj!`W3JN?`k_KGzR!s1^JLQD>O-C#ZFv4p#H&H*FPVAOfI1Q&6J&t zdm7*Dl3YAe61(ezvA^JKF6LZKmvL^zhB#DZch$eqOe*HK<>U5B&1vVh9{$f;&~Lt6 z*J~1WODN>}J&l&9F9Tj|30zHv{^MF*)?zHZAUR#})oaACu=7yrIE`oxs4WHUZlF18 zYKZKn6!B+CT`*Kry2z57I8`L8T6jXAQBwtZ?X~{nNt^z=e}eDbdA+xH=yad`!2A|u z9ZWwBH29SDp4TgxD;n0q?OMwYi?RyGOCud-HSNyUf?It+TLPBgv5I+>V4~_O;8cRG zg-vFTKH9vqX6o`^Pyr*g!n1%{%qFC*>yeTD7mV@ zsBP8Fa+{)Hsa-%60)o(({P$Hv@b>3#Ekdv$vA6fSsRhiZr>t%Z5_Pvhe=9Zp?1%!uc(g-|=Hwd!DgkjKOR4k;W zGP)*`;AD1ZYv#5Rfv+*QPRUP&O6&byV?hI%Bri(5zx0Q8U~o>+n{!mhmiN%1IC{7E zib)3twS~NkK^};@hVpkSJoS|H^Fb9v?PQY@*n_mpRivtBr>CfrC(i>PBreSp+%8yr zl$CYB{*Wx%TrztM@l_2^Md;;*o&Gv_U42d17oYx+V>0aR+s%_P*E+8JGH+?(dvy+I z*S?D0k2R8P6AP83K7tD#&9PW!*&Gg5k?eU1>l?@+cM*K6GMv20*y!1%4kuRu7qBk4 z2zD2=w7=akcxD5WByaIvpZ8I6uHmmJ>_cj3noU+24Mc6!x+e?U((1Zxw-Uz3&4tyl z8}SB`KLzWpFKt{;iRu5W2MZg%w7y)xz)mtd(vVht>Z8 zdZU5bhAa>Z(5w;<r_4JkDlW${$bVge6K;FAT^V-8RY%hv z$?VSdebIjY_ZPn}xo%!i?R1RNs|c%U?ixzDyJJA#*FjYmo0+11caiBdMb{1;tih$% z+VXilq!6{ok6}{yD`EJX6v{eEDyXWdrPeBloqkqww&B*)YsoT#>mDNN_C~{ovL{KM zxrB#8-m7Dpm5Oc&-7BT#_$Ooq(m@Ey?=%J0Y)!fF+Of{@IcOm)xvm|kEg+;EY!7)+ zvhXsNUDT#5t&-QVU20a!%6Qo`!{rSD&K!wcJ(7?zhNhk7-pw=GZ^#R-`&=H-_7FiA z1act*Mbio;1G*ASAS|n%AuKPA#Ei`?%-sl9C_TZgFLxjbJ=38@1wXP<#{;Bv*#)z_ z+?AxNX)0o?r)2c+4~^Hehn9-O5<-b7f`O=%6kP#yBFI7VG!+n}zQfK}NVq3th(fDv zTn?!AZR<@&P~#XnfUP}#??s*(8fI2Lt&jmy=7zdJbs=8inMy|j8dgKKLG5`G%F^dF z@*>O91?92kihN@asp=dWeHW&O} zXjuufUj9$?TgXxl%-eEV^fk)bL;Z_W`@FlZtCuTih;9p4o2zZzPenT<@$@V~yslSh zkhq&B%(yHc72C4ifV!@BmqPr#K~^XT#_&k&#o!i>x_T_xi?2JeBlLQaRp17ay`P}9_xj%d@^Dzce^#mam{*wc)b2=W}PH*#Y+<^q!QFMpgu;62OjF> z7}Tt@S~Tp0U1o+=j8!XIr6I31woVRq9UoUue%!3RD)T!|Gh-M)(~lL{!m62(=`3R);M z@TmZx;%&A60IRhbG!An^y%w#LIkt9-_id-Dj?=I|XX`_)Y=rCNsMwd^XKD51iC5$e2v1ha&!HER6natYYNV$A~);ppufMjH8Xm+9PvrUObBGHRCrW7A;Z= zAxi5acFLsHSW^WAm@>A_7E-#3dMUbz#z!uoUfpc03zr@h197L~x#jkUL%A%?b^+u& zu9ecPOEQp9C%ZQTIe(3_4L@4KeSNF*`mx_nY|o2g{4&t z5J1w(SeXk8i;Gw+2|U!wmWeMvx8wd5s6S=xA$RV$8+hUURjfX3w_ECx^0C*$ou3V( zJ$Ed>p9or3OeNphG++HJ`~ubro|LYz;+TigzRvek%{ZD-7uAd8zfI1 zk56=XlJO}8lslz#B!T|`B7BxCE?U`X<@!nnMJr_?xeddc(5<&c_VmmsaQ~uR8sW!a1-@5&ege{8T@xOlS98POcu-b^id0 z^ra*oVC?=c7L@qxr_If}rl$bM>LcC18MwW{4Y6LeQ(035Bs==2hD6^J-JlB1U3vE4 z*!!Dj<3AR+P@m8^#$GIPTmI_wjBtBFX#_Z25N-%fM0vlUv0r-`f0qhQz%hLbvA5`Y zHF{Jc>&^WKjJ3QN+m4>|P|P=IB6s+k9sA5)lqGd#u^xX2!m8=yuNZ-3vDDnSx2o&W z(?>;592DS)*euXZ$O_4%ENOo`48(OdRAKdz*Hek%4giuY;bIDuY6+x^pb&)0fGRHV z+1i4cQFuP>uVc+o2%?S_)@llg>LDhs0|bG^xn0zET2K#3GLi`u6esSW zE`*S#kC1?VN=QQcFE~+gQb>d%h->NPtdfzEgF66om^HG}RS{Ct#WUrK1~yj=u6PzC zE0$5__Q!>^Z~p+js`RlO(SzNgd9Abl>jCW`A$lr9o}M@NU^ZHv3&Ii!s;6XK)K^|IpaW3u4#uGQBMY(K$e^K(b4 z#GH%vXl21^zjo`WS3dw5a9U5@TZvsQ64fL7T@=EJc2$UP4==JOE+vXbes3r5E6`$e zudShyo+;f;BgKS`<^uEEDA3$v6Vs8f{{YypR2(~iIg;aG0@bVXl@w2>rHTj0W_ubM zWOp|!!BCCdK3&wsmKr;uBwYlqtqVBuE5TW+mDiivPw}i6yOv{rRp_p~-qyP%Mi(u- zGg#ZIpFC80C@E>;s+M*{#?K~?kZ4`%wgt(c#cAs6s%aP{-a%sG=GYtdT~?5%)l|Zh zR9fXAR%sR{6hTVsD#f%P;I+D6>RqDe>??=REY{>WgQcvxINufzS^ogi>-?85VK4Lu zJ>GsClfmj@IlMm=b4ub!aW>-AVx5qh0uKGocMv@+7g%uFW~)Wor)<_$wOG=#MUCN3 z>ad!E#+2@>x@u8t6^oNshKjsGimlZXL}{E|yN%Xs{a08N7hOEcyQ1qVwXRdSR5Qd? zpr|YkPU^cUA5;}L5Ty3+VBfdfGH-WY@o#?1V@k*=DV^av67e{{RF-sFQV1JfCJV;yw$@{{Tkd{Rb?r{{S{(N5OTr zj-b-ub?@YNWuW@3yEFzLvam?-Y{=a+gGQutT9<~W5#PF#O7Zktb%sjPYJ{*bbA7W9 zxq7%{j#$|wM)AA{H1fDvvk`64bgqO9@ho_49JG>DZ>n88B#^!~yOd+H)Jjp!8{F{8 z8sfue0B%RBBE%sGp$QaS0zu(L(m|H152vcErmL4W{+YzEwbmaI)oocStEuTEr;efJ zubtvJ?AwCns^|-#qUj(I3NDfWm0ZxpQ5)i@j6OFDIj$E3DV0TR&!?r9c1Gz-8eACO zWT4R-LmC*tW&i*klT=a9?G&-f<~fDU1hL$~R}>8wQAN-@pcJlxffALn=+C(XB|R-4;P4u98S3N}q;3h_L=^6-gc5>Lbpj*o^ZqLq5^*2WK9ge_1OBTg+4Ea-DIh*8gSKuB z!q~@OSqCoquIVey?X!on(`M@}kI5wDcCfbO!)clS0BkKmyj;~Zd^B)=;J2c>>2D%H zr0>Nb)*^wT};jrPru*pceETNQV< zwntp%lGi-!y%dwjPag83M#UHc?s>2Z*h`Hx(J~lgiI>R6u5QQ%yL0lGaRluy?1G@A3ZT-%X{iXqW2Cfd+jM!Tr)si&ZmC|-Qw9O5S z7KVqppty#BPp@^PE+zqrNn0fFK8k2r3tB|73kUix*Tqk+)oWD8?5c&8i!{XUfT48m zvaC4iKSI~#Hu|S5TMps$8v{kGlvF&DJBRXEoKE&JlzZdT~6K-kY9+E%e ztP71LC1df5N{DMWRFSlaV9R~s^<9;kt5~cBQqrsiW{UwvWecXJ3Ed5Hf_Z|9k*I-^ zGC5^yWP7r)u62u#lGHp_>bX@oWhHG@HAPferKWaxoqJfWko-_xbX`K}g0d#paVtSo zu&aV-m`tc93Ys$gDHAcKVQz|JE8;Q<19&Vn=DHyLl?FTP_qR0eps*&Z1xW<%ozwwE z($OoRboB`*b(?fz!X1da+D0nK0TPi3jNa_&e>Koh?1ckfQ~E`J_H6`K)@r7=ww5c^ zFC(`E&5B@D%|0o!d~alz7Cmc4qhRdNHPogM{{Rz|Y=NwJy%d;*3+dpieJqY}b7ha1 zw?($e)_940P7G=a$;6n`ZFr zK8_2Fl@%;&jr7X9)e^x^Pb8I3YiZikozLZO&qZMQ?iI4oR^YW1jtymfF%Av`Nm}ND z30?hz#0^CcvA8=uI4v%7udVH6Y+FHtHmiRY`IcyldAlK{qnF8dX?>DwR#NVrydSJ! z@hf(%trrQBm)wPvACEq9?MZy66dABA}5eB$z6PWfGYwAd918?VXKwS7vTz-o;H|#cFD4TLGn} zH5ug%cm~-Td6l@JXeAa&O#&{GESdt4x@x+CBcYH&@Y!;Z*B*sYcir7ZX2boZv~h~k z*HjM^o1iN}Q$q?Ux-OaoBIy*-E2QKbd&?ySA*9}o>*Wt|a1T0*^g*dKwLwT3NeEO4 zT%pJcXoOQoK7GU5;?tGZH?dYM^z^V(x<|tpX^`f1x|MnX7f7dNK!m&~DqIZ;H$u+A z@?;|Dy8CZA6r2xrB@%<5hvHGy)>J^oJZ`iuEwCkTB}&6D2m@Q_Ep<&x%vAC|CTEZf zwYRV(O9z_UJVA_(I!2IQPG?(E2SwT0x#OP+r!06St;$DGSI)5sC9AHemY@d6=Nq@6 z3tk6PSxX_7h+H&r>7qohryd_KRKZ?mix|XfVG>f)vEUL28jx18U5d9?Q8Uu^D_BbH zl%0Odh;bZM-WmpvQdAp=V=nMh#nkH3rY&~}I_s}4>n;yZh(jEs5S6n%&n2082CieI z$6wMWj#mxTskSYk%Wr562lha@LEp>6%FE2{pe>_=w){2_~zQm$W#G z@>h+Pi{7c2jXmyZ==y>2r`}b{VvHQQ&mvEv+~y;<6$@da~rcb zW7@~Jdf-Ld#brP0#vJQrWZbp-!Y;99Iyx=HsGa`+GY|g3PuuAK0QoSU_ID-B{;T1c zZIVzs?=>hJFNQay$F8y!k3^IW-zAz0FX%Yc{lsl zGiY{u45Gqhs9=;x%VoQ+2C7L1q`{_*_ zy=n(N;daf>@iCxpZ&J%WiF}h1UHVRtL6xMG-lyfw7s{`#DbkaAa8 z{*a<&v({6K^5Ytz*rp!e9c%;t03;AKHSETsfwata9O%h>*{tTc71amrbF$<=9>SvW z*TbOHgLPB3rv_%8*_ifgNhM1(cY2T^C#! zwzCG7{{W?y*x2V`7Ft`6VNPxRz7yzED!QRD`MT$`7sH&;eZHQ@yTGz<#qj28>i8|> z>#3AW45O)}nzi*6uc>76=Z&ux-8bxoyp_g&(qQfX0H281_BwsZJ8Cd|r!8J@-DxUV zI|1Hn$zveYZT1%zNST}QE24I<2yco>ho0z_#;3$7@ek<=o=RN#HzwJ|u0wy-B;=Eq zw>B21@eh*aZIO=?#4rkIj%ej@l!7%a zHa~*)jTtmNrj_B* zymUH))GZfYH)Wug3EHef3Z*63W?kXlYFPgOBE5-H@kfmQku3{os^Z@JKz2|Ydu6{p zITg{^{CW7T;;5%{Dj3jAgj+ZoU3v*4WBhCm0{R|{1tF1`wM2fv)6ee%%IK;lik+F8Br{pT!u>ggFJslw-L$ZN;JUWzFpRLqJ2V8` zlvvWMsCHOnKeBZ0oz`4dZPp)9{{XVWR6KEZEDgWLBN4a_-`R=gvoz#7mSV9N^*Kq)B3;ON*} zs~phg`YDCYu!JXd00Oe=3QInRVddz!J8JLjec%0A15cvvt`6A%{5Cw@CK{M9oNL^3ExC|B`d9KS9qSmGqZlo4!m{SEp>8#P07H(`Vum1q4 z--71sG}-Vl%O9(GZeo2GQxkSvHm5iJH(hbI5BwJT>f>c$>(UB{+cP3`j1s>2S(?PH zP5qr0E%;>|*p)sZEJf9H;I=nrumJO2CY7420X&`2O{xk_C1GMktapixKCQZ5&j&rk zL;nB}q!S8gt<<#7Zxu8r)r0vg=Ly4|{R}4dxiT3e zjAdt;T|u+>L%dWF8+9yNjuOE3<|WyRzz)h!&? z?A{LjeTp!2xf&0-A+vZpf8?S*qU))2T>f-f(aoaSydsKe<)@>H@|%$1m^ae=g6KAy zosF|8;RCQ>RMKKpb#+w~)bfW^QxGO)wQc!+1#3+ZFvRP9R95YjSwvCOZ@2BIgiZyw zfwp(eU3BcA2}uaL0Vgy$q&Noyp#)qM?y}-F64Fr5JuC*Ib~f#Z8yA!uS#Y|S?WA?@ zk2M=vmNziiH%_5-aokDxZ5WY}hs!LDiZD%^n41EAD`hmVo|Y*kjKd?^9O%!udWFl{ z*`S95m)fc2o+psstI)9YcfnW+I?Q3UQN>+J4(;Z|#%>4jK8kf)Ifhk8`5O?1L(S0~ zq@RNGdo#9?HLRq?No|f;>JL%oz0h!bL)b%@;g~t5;EyFO9Q+>#r^0up68Fh1i=Ovp zBy?RQ1Qh@ZWBme!vn*_ewYbCQ_K;{D9#IZmL%ZDvLg^yOAZRHYpcN<+DI`jPIqYVy za05Yi8wD~}EKY_DN|e*oW(>^N0@Gp$H(J^}aU@f+L4CKBD%^74 z;-_^N+07%k=%pC&%E{{FoJ~mjc0}y0bG`onHNMNq$6a5AS28;1m(vn>t$UB=y)7kO zJzP7=c?5v`$H6rPYjaY_IqIr#qd-N+=cl&o!1UtfRJNH<@%8c zi`yp`V$@8vn0!a6)GugPliLXY07#&c+6jNvOL-o#r-WtYVPwioaCBg|s09kz6};Cs zAO8Resr}W`E1$Ft&4bjA?bqh8RXZ%qxEvm3&OWQCVvbh~><+O6TwQZ^Wd8tR)A<5E z^>!Sa<aOl&;d0_nA3G_>!kj-l}|&l^iwAr~zk zOJhpSYAk6}9;%R3!7Bn~%D`0$VEzg!%cXQ8>I^uAP9X(V8!-B2%>(2DqDLEKV(N!x zx}~mDYLtr)Zt2rT$YBx0GO@geuQd>?1q8uU1yqfe+ zPcpAEGbkJ|K@^XXkukDK<8xbL?^Sx`QDR=vh*na2JghYNZ6n2-CHpRrDhZ)R>0JcD zO6oU7&`m4y^}33pwX?pCQAGB~P+P$F3xHB`HC+bIX$}FvixA;(LeD}f8%F@GdtXzO zZyv#5PgQJ<&l}k5@i#7p!~&Qz%Y@KRN??|b7e;Suv|L}!Urr#Ujw(i3p^eJc6LVm^ z^Y9w_U2v>cX8y#yK#z*^hZ4nU_R>FZfwNVz63nr>&2w$fg%AQjgP2)P~sb!+5(`;3TNU&6M{nN9L?A* ze*tfMD!Aw&MGGb*ozxJBlZuLEMHVwx*1?C<@9Lgg9$?Zyy42SPG!~r*xb#tcY?Y;w zusMgAaJVW!2I$^F6z+?pgiJf6fKZ4oqWk6H=dHUHGE^>eAkBtUPf<&rs-JNtV%&t@ z>s``5h(>`Z2q|3wbcszOR1Vkt^jPSksfKEgXq-zEop^v6v@K=I44OG^So@Zot^->u z1&C2X30(>(K*9=02mL!Bq8d1%nptAZ45scExVq97N=n95O+0xV$HeP9Hkb5TZki-i zZj8t%9nvKiO6VnIC3GP9Rnhqfa^~Zel2T!^z8xgw4`uds<&nNg7{>-Z?F8}!*r>SW z@UJN)BNTbQ*v#~;F=BB##`cg4DePWN-CNrB zlIPeCwpML?FMb;&t=A>EI(n{7&tU%Fhnd+s;gmYfEzm3So6c9c35CFe=~^9Uk+ViL z8d$Eb8(zxh$o2vZZpz_qNAgv(7F}zyR#NczluDN1u2^@my^bVi7P#i9!Z7w>lq{xX zvdS8BXOY(>YPfE$HUp{ThCPzD@etw27Mq1MGQ8f{xbA5qZ@n&Sf#g@DC3$_XarUY% z!NohJdTM55rGPu52jHwwjQv|bbSaf+7c*$2$%NGQ%kW(_%-R?YMiWyT4W3$TR!28u zrmLESF|2E8qb03*=1TO9Zx_bvkFsHmz~Ey@C8U#Xg=obq$IT zB$t$|yA>H`3mJ9p=efhNUWL98yw=4~`z{x}-?{tpPFHeew9$G%RjU^?^-={?(xkDg z7F`!n1s6p|ss>e4%LKoxk)@{G?7Zf}v{HQ|N2z>o4kx(OFHGafdAtXMX)!?Gd#80J zWibv1n5YmX%;D9tmAdrB!t{ zl@&A+qjdf&G;{F?F&EZRNkcqMfwEqqkJP!oORm$`&yuN!{ko}wlv>iH6=6s@O3=08)LImpS1OKtLD-dKb43uMUD}agibW9|I2A8+Ndrghn2jkSTK4`Zy#_YD};cj4bUIvmTQ_#m- zg4W8~mV()#d9#7#e>K_JI#V>%(&6%&Y2a|*M(1V{GeSu7TKb$5m(fZ2uR8i%-_ipr z94UwCuI2;+c}hB#GhdH?e8BQc+B%qjZo;>Lmz3 zEcEm-Q`Ac=BF171`xT<=Efr%bq@A0$w{+m%HrO99sMmA^1QY>D1pz>6i=yfv{5mVh zb`93nC$CbP$w%!ibfT!VjNBGF*=xFi6w*%N0c4MfJE)Wm3O7Ozmn`*^@zp~3Ihwo z%Sj{8?4}b_Na~7;<^efz4L7+Xy7XV0_e>x6K`K)iF~(=ZY8cVYrE~T<*|4{>Ppf{b zwL3%F@a{wqLi3v>?ag(4Bagjy^w1~GiR;tl&EnU~qH)H^n~{RR>tw=dRCtmp-A3yo zs)&U|FNyca@LJ;C4U}-|7%^VtakrKM+zx z0c-fBtKk(1hG<^Pc$!*$6x1GnK5A+_?#DZH>e@G-)w?kA3(~X2ex}!6Ukm!JuB>PM zMw=e%t%_Av!?^YuZTWnGuGYb=?yAeftUo?VS5RsBjT5@gwK_`bK|wpL(KIN8%9eyx zHSEtrJ1u<7aJPLG*Ga`mM;Br$1MqVfH^m`o9G9eN@?J+{Bi&SD0lbWwj@p&#f&Tz? zs6o|aN}S7G>+@naO! zOr(3`m8H&~lUZfgx}k+y9Hpxkwcj-+l~7ZVaw~1rC1WLw$0!bCg`5buDK1iU z`3^lTGph-NHPW+5of)%V*};1)fx$hVHe#F5;WTz@TgV0GG5i!^IOOqetwmA`p?K)- z-iqyz$0fn)q^ER*&}O@s?L$v37f-3PS$$X(?z7R=L0eABidh=?oypBNR+YxIm?_;v z6kSacC1Up3TZ;-eQ@F%q&%PYJ7Q3d`bwO>>bqk;r6D1&0x-NoONTiFP%Zfo!Q9~qj zlkO+bwf4Qctx@OQvpS!_v6EtKFJa86Dxjl+h7%PlGRK&^E$?Dho210oIIAsp7uC7CXoGFgl2<_@Qo2PeAZWf_P!hT+vCMmT zNF%L{z)TgEmX<0^`>WaRE^sH2S}ur{(RCZ5=p;&4O6d}cb_hU1513daLP0h59&#s! z%DG8vcx`)W+Umsik>{8$UcJk}e3e%Yk$H?=-0HLCE2)Kou+PV9-ws4l#1DbJ2nqUe_$=QT-}_0 zd>)_4xmQN%YI2p&r;>@{(FCX$DNNz#-~rohCSFaB{g;RzI^yT`W#a zL9T#dvBu(L4UoLsD|@B95?JfEg#kkU0Ds_^Dq7NMsHJt9sofV*x-NoOQE^4mJ-eut z=e9N3t1&h<+%g-1>b*!mXZbHDvTY5-u{^xDmi5(oqvBWUtm$wlx`okon_7!5oz-Bc zb($s$^Rc@7Wgu@Y)~nD0yxc$jWiN3Z$H_iY&RvJiUfg1uD4=Pea|xD0?Lq9ittzPh z0B4f0EVULj%3)Kgv8qvEQp~CaJE=vWrm7A`Wfmx`!>Oxksj4aiv(q~}GP=U+pwbsU zd_tO*XdOoDYy)OaA~big0ABE`}9YQfXPVS`)gg6v0{1G#mS>H%OGxWNv4I z<2!Acx6~^xxpX*XJuV?rSr%bEKxmTgxFCKDqjca}(w)^;Odzu9W>C5=oe?5dY)ZB) zK8^J?7u~b$i;{Z{PS>Cw3|mBEk^j8K<2TeWs%~} zXPWZ(RE71Ar`@sdosNVDn+vk zfFqLaY?CWJ*U)w=36llESxr$T1?^ zYf0uyGKna_QL2YK zgKkD)mDjlLmRZSLuA~ZpMbt#@jLIPeG)poAlx~88n)+C)Y0MP>n8~>S*xW1P8Dj&W z01k^5CtS=voKGtr<`)K>g5+GSVcDB@@;Z-1qGciAhq1W(R3accJ7NP8et?L6mC6Jifgy*l$7Mss2m zVZ6i7s=qy@ddAz`7958}T_NEffdN~2XwoRU2~bx&G0h2%QJI8U%{+>f5l2r8B87pm zv{|ihAgQUSWjt?|QbcTNbB|!9Ls1o7EOiv-@w{EP(Ag5j>yxyu%Y)Q@?X+EMs^p1} z{c8i5d9;gylH#&#{Ck`pnT{4YZdUS!G%>bl0CWS82qjB&1gM`0OqCQIIwl%@rl`&= zCywnaz7NapR5}>nBP4M8#&})SEOqf#zG{DAmN;0~4e5BfE%H*`9dLM2br$gcbh>v_ zM3Ho^fK*tKKuHLxD7f&GpiMjkQ|~Bbp*j&95uV$tgm}V10!osU{`Fe7SBI6EsCCj;9usf;%QxH zMPBS;DH$sphqZ)0GAv15MwOk8;`#iQpsZT5CZ-C2D7uS_E~FJngZ_#bwFb&JOEL&a zO$5?KB(XKpMC{CSh0A>wz*j1(6pD(vlAc(e<5cXea~p8e=h<|FznYX<5ENQd1!7i~ z0;US6DP1k#SPBVM-2|-mE@@K*a%H2beko5<%Cj9jV28tNhn3U^GF44T6#!9n1%b*y zs_u)d-VrorQMw9jI#Wl8Q`J>eQ$;7&)7yB`+gj^*S)qnDNhFM8M3Pv!*3_Rha4IUy z$hxlS-4Q#aT%uf+(NoO07?ia8M}pSV(q(l+F4G&dA;EUa`F=f!icE3!xM22qTI{m7 zH;no%{B685T$f8e8~P<&TdKGm3m?kCeM@FB)?-wA$ABtWGRWS(tLB?Yi^YxZ>M}Kx zwV|%cG;v*>jFZ`GDCMVp{h`JwqbE_>!Ef$MG>@mWsa;nYQd}k0lDdUNo2q#vYYWR7 zTpBebsa+RDvtC5t565d6Ta9)ZV;Wd5MM;Iz($;$vor^z{{r|_E7AA6-GvzR22SN@x zbvuo1Ow623a>`jbM5%6;^C^~ziJ0@SnDe3>bCz=vijY&1N_U6v{rg?_AApC)bzPs& z`|x@_UzYl3MEDYfruAn+|F`xol3vPIeQjgaf0wQxx8H#dt1MiZbyNW`@mqL)52(r4=Zd;v@87KbV8;??&BxqtT29$YqbJ8&zrYQIcHktQ zsxp4ycZkHZbV{P7Tem~&E9%6F8vxc|yK@ZCuD0*kj0CNsITZpi`6L}ojyT#jqCi^e z^%hjFrr8B#`*rxTG{rGM$RC!B8DDk2e6esl{Zv5Sh`a`C-8EDyG*Y{C6BA`HHBY@4 zQ5Vqh?hAU>+=(9|O2_ey%c=zB*vkzEZkL#W-z<+*oc5Jj6y$bCagDnW;6a9|gz>7<$JU2$2}7;eDmUNQ{=DN(2STfcZvvI`Ku;CtKEnnZR< zp0UAt=|2a>;&UL)^0)tdMc@%mSg^tu7V4%F zuZ?jRhb-MjGL`~%ra2Bk`G+k*N_aS#E(|!@?ZF9n?jMho1C*t2@EblaU;e?h->`)T zU97AT>8Q_q5Q%F)^5IIc{vfjW+hXuWQ%BT2(aTPAAp242?$wXsUj{V&zq2|~h+apK zDP$Tz@-tqD=jTiCeEzvt9?fKRwv_?(u3#Hawt4FvNmwJa zXBTt#Igdyj_HHpSs+$Fl*4c&u2q7Rm%5LXUy94_SZ)q~Y>t)J;XHRdhE^ypWD70i&GNuEpN^k0BDRhj+e z%B+M4PwU2u?~bV)8$wLd-&7iPqPFkoY3Th({<5Ni3HfVU|9kCn?IgHDa9FA2sb6dV ziL%9}OKxA?9yp2>9`ftUnZnPywunAL zkf$nMB^v6;E`K0ut{3p?>f_7+ZUS`?XMk9BbCkCX73R{n3z~nR)4^-0w5MoP2P{l* zyK2&K5YnejmowCHg_iLDS5M-03V~}IS=XJh zw*+nd?00!okr(+4vRkZtHJkE6C$Y?6bu8(-$lP#u(NDMd+x0C03q7XFGwQw5xwd6M zo_e&{tm_-qll#M$Wv5+ zp25s<+gJWtPoqh?IWrhjc`GV;bT8TZ6%NC;e9Cxl`s9@pzeiE!HKmQ$-1gPDbT~rm zs?Pa=_4soR>J}>-8y}0`jz$RC3r*hnZ{r@}Q_EO0EH$%>9PGaQ>L#c&$EyfNeKN0Wv-#$Osg6(3C zw!!h6#)UL&Xy8*B>8^W6JNvcPAZ}n%7f~sU!;7v*>AqO%7fTYZ44~a;hK|m^pkItmA-eo?A1-X%|H-5UBql91t&qVxZDZzGUCS~$;^Z12bsx`L%NS? zjX(F$-zJi@y0W3`!`Mr*&bv!@Ucv<@A1Zu{8iTLjd3ppKqwk+bWo{h%h+bB4#xE8Z zCeA0XGM4vzljet=Wa;%|9?z6stK9jZf;Jww-LIm0!TpoLF#x82G%Pc`FzmVBnQ~6Y zwb*xbs4yg6_pY+j$UmB$41Z{bFz>+JM#8~r#E(kP7W*0P3>^7&SN}EMrI$Z1 zQy!JK8P$>`&5VF5_dhBW!OVDGgESi76c;8xX9WsM}1N8 z7c`gGu@y+ugBB;lDvD*$8@Y+ayFZtLU-WSlI^a#murLDGp3!22wkOvu?ukFbPT@7a z)uPY7XFtD!M!zc^ap(kY&mAn-epde<`k2u5kT?p;Z*3tUPK1j)jknW$e)wb9+uFlq zmvee>$f$$LT?4OBa2;?xj%%uSPA}OqRt{c(u5o4QpUD(V{$lPG)!8#N^}PPdVKUl; zDDLnv#j0DU;2FH<(RuNP`g@ADh(F z-y(N~R}8`3{lmgfCZF9eo^-U%bYASYojK>H9~1?8EUN4baxg+d>D1qgI-Z6<)RE66 zmSs4y&@i zn>9Yp?9|UiOj(tY|JKJ!;5~Kb?J0%+$g-;FIWDF$qV4uHpqaG+=(&7+p5;ZIpL zh~G_UkV(lBcT|MQ+$LLbGy*9>!qy}^gJTJc1uzCX52-X>OR0!{utt74GSQb4cg8rC zAU^3ieD#Y>x|#dNrvtpYoV#1J@Iz;EVPq`;*Of_M#qB+-=5rHcyX9l8Y4?p|#KVX5 zg%aXnwr|J3UdjYAOAp#Z>ch$ZrQOdbnrRaI8yPNcqU`g3DE9HJ*3z4j_9HpcW*`Co zlN(_lGFKP3!az|+j1wQowzIZX!p2);*A?}FLGpBEJxG&ijMw`&z7njF)t$2T{!C+v zAsco#G+-)FCbt`=ZelszC+~6*O|wfG|73mA6>~*@+4fSYrw`pQT_E`EdtcPFu*=_D z-?x1COeFe0D2omJ5T#s8EHnH?S=*o2)6;z&!qL^$wB0QMN?7#1cptHG?-y?e^6f}M z&+juzU4PrI$v#X@#Hg)Gdj1pzjW)+Lm446R z`3^7a5zUh;tka(%jJK==pC3%3O}yFjNSBUHn3M2deQUqfBu*=>HmIb)WJk}X_n5gr z#DJ@VOm@M-s&O}^oor4=c0GvKIFW7r4jyl~wP(^PXz~R9oqgYbj-3%}dPqxz=O?b} z%2tYtyt{Dg+0=!v;|p#vPj1LEcn;6GgA$Kj)KsF!(lHAE&B)3X{2Jzu?RKmDCb%>& z%5O8Fx|PpzC2??RRIKmU3)BU-8$OieX`CXZ5TS^yvrM;0Ff_`;Fs`lXzHq8LxSiy7 zeM#0Q+$xgKMe}H>cO_^NO#4Zl2WEj!=^qk@%sxE}Tk)_Q%PgTj<<74N{lE<%XsXE6dNB70IkU7sd*|LJT&G{gJ~oabIfQgkG}3eH{}SdJ11ejhI0R460# zS-DNG+2pl`Eu`($iF-$%*taONPKV+By}%N@Je>2mvwaI)*h50z*Fr@3{_6`GqCON^ zsZPvqV!?u6W^&KhJL!DY4jgRC-B}%}mk4tkVe?~0N$7rw$)O_`?%gBTdS!}xEK4#4 zXDyIZCT_^Lm6CIDPvsqsSL{OJs^F0w>JTtAX}W#hti`P6w2&CMhoEy>=sl*&xNWQ@h1t{siFLVvoB{*^>hy0{gK-8&54Qpzz;XlS`;worNAAjn`6|ozf z)9r~kBg(7UP-LuJ)vVxWKp*94+{0l#PhQG@J^hJ2fC5vIi2 zeU&YyHb3Db3I9zVzw7{E#!D-l_iy2xP*)s*feY=~oQ~*5_^K%!#KR;e)SLqkDo zFh(}@M$_m8R{Jke_7D3zBID4AIM9K9kcp_LtxKu{7_;{B(M7TAXZM%F!A&(WGPs?I zis%{CAd^fCr73Br9$sPpFThLon4Ka6s1Bs+6{gM?CVD#pUb)$ycFsb6$Kah6z?Usc z);M#$j5>$qleuaMjE4zulF^pAr@5Nz=s9Iq?spr?Hubns%U_SKopg6hL~d<`XW}%1 zP0OuzK$aLl|B#B{s?P{q`mo6sokXc&uCDCFo1t&9;sQ?HMW`kqN!P0a)MIy;-vFiwKd{>)q<>DEJt zLUQgfMUncbhZ^HUS~`i;FS!s2-WeUc@XxOk_HC7DvR|dWj@l}SC((&N<##Oep3g71 zXs-9D`s?kq*ZN0RsmxgYhJ|=DG+HZrbHplVyq0%?RF_wp3aYzHjJa5X zDQt0W6_gAQ&~_bHkxt~12LeDp>77INyuY-uVggT>3@nSap6i#Xuu0`)q+E=xRg|xxC1z zN^Bx)j73m=FAG#Z#l7VC@lV>eGj(#{S}iR&(b%I}Yw_xfG>tSwoccs1n7N0y zw-r|)YLv{=W3iuoWo$+`1hJct@N(nO+T<_BqzBR%`;hd$FR4xBfm($X;cD=twHSPN zNsJ>zN;|;_*#~@V!L5A``qW3}Y4CDe)Z4L?4Uy)&)>(eM1jroq{Be8FfX;Ck>*IvRHPZzc&~YMQn3tfVHn(Fw<{`%mm&HqAB} z{7krRQt)}RDzO|{Zl+KP;R0@|tOwpf=k+)|E6bHF8&Pqch9av9j|u-F!WAc!t%aN& zod#qTv#k08ge=M&+0`^*I!;8JIy><&BQsfjReF4C`KHr!(GTV22EP|}&bXi@9{=NF z%_!%W?@!26mL$)*02DAjTr~oQzw_bA-m&)a7Kd^gXY7E4rg?4a*6{4??lK2k=}_|c0C+?(1oFzh^n#E z)etET(YXx!&)_Wl$XYC$>0;9OUecL2AY!8>COFod4B5Q1q-0NYnaQ!jWzT0MZu~3Z z&c7!6=TQc&=%0I9$}5usEqd01g8y?VAI~@!GT|c`J0CQ1i|J$~nYlRp%d(+`bX};7 z`m{KmWNb*!=vpk`OKM!43L8CZ^HeVMT4G|wh}$iM?RgRU&0|#8@XP&~b;80pzwXRt zt|)IIVeih-8ZkW2e5%8?>K-&h)PY|mM8@lwBfRp&E~gs7zF}fCP+^?5N&n}~$EAZQaP|wO_3q!1VW~8k{ShpXhm@{cy?sOLzR&i|o((9h4o^+R!6{JwJd( ztP8Fuu*qf)9!9(C+S(>xf{wUDk|SLNuWKkj?{Nej89&$j@t-cjr_o7!?enB7c#@3s zW&l2Fx<4nfT0~v96pYzupj5xR0yqcOa39t$Wn@TSyYny|n8J|)JUlws&y}wez>)-k zi}G_|H9pm7;GA|<2v+vX%0A{){tO85sAZ@JH5qQo)Pqx2XC-3<2xJWKQhEa;ja*{y z;_bdmpT9+NAL<%6Fu`>QsnH_Yr?HVuotH;?o{JQhJY-{Bc*u5GqiSJhQbw@rBHcp1 zV(74XhT0z3Bv)`tStBp`X@%7-w8f56<-T6ez zW+h+_mw!bYrV>56ZV%Fqvp2=W7PQ|7ns82|hIA6YBJU(K57@Q#90uemD&M;c@uVT1 zG9dZeu}xjsJCg8cHkX;fv6*L6-mABMgCRyg-nC@0JUC@bZngzN=9kh}EYD9(a_vX< zWvG|YV>6BJy0@Y;9c)6|1kZNQubN?gxXsUqM6ggSEP2{!KsfyQVFAKJ?2$u@<@PFz z_qI3^Fi;skI=3TplRa5b-k@rK&YRu*pLnw6Av*bIUH>s_4^9GsqOk02Kq1fs8z{07 zth?(Zt6IQoQJ9yva?qgSj!RrsqM;>04;=`9_R7;JnTp^-T>4HKg9cZX?y$aqKG0y^ za0?D`v(XV@>l=>&6`$nK6TEFSSFAk(2M6Y)d|a7|d?J{n`D+0u+EY0^vQc~*A@|#Y zc&C2BTBZqTG;Tz()A#nnNZfqpI#0hSfr0t+6G}_K@}C>oDO4Ny-_yH)wMj^Qy=!My zE1$7km*Q-)a)#%=uWvjuoJs)J*W4gZq@pQT668U$Q-_^IN@qa=|Hp0TgeC`T`%#s< zmWh2+&twciZB=klf`j=Gk;1P)EfcL!zAtlSc}s`$r0eboWoKXy?R1ga-1Vq)?0nO@+%iMo%T&*voA>&%;L6avSQ)y}PTdq)9;N%Ph#S@N{Zcrf@4 zYlav>`3cw&&78uEzds^vZpT}M2ELc}&wC}003G=|-D!gP~lJk}*RoACSz&Go+n}+jky39rwm3JsJmy2OM!iid zgJi5MOV)wUv=U#~d$T2N-ngxT@0IDv9R@i^)&ItJkF7A!U_s zr5X+*m1}Eaj>iBTGP$0=vi{*MojZ3u1=9tP?UC6Xs5_H$cIp!Cw+m3ij6I)Fs{#*A z*%um>$qVGL1Fg{;*Yx%2Uv>Y1rxaj42)}hCPhFwKIcX^C3P5Y>#|G-%3f_a5nz!#O z%YKOC?wY|fecP@IoweGZG7=mLcwf*~WSGTfJ{LDT(9G*TAD_JfIJ(2H323zE=chX$ zRjlk|uPHV!zLs=a&m*F7AWym*7MhFVD(>_cn!!o?76H*no}6Qm#R>L9^wCwzxQA*y z3W^-SA*O&ny%+Xxx`96<%w{ul$?tTQ(Z1l*>$N*PhxE9xRb6h}4j}7_R*8m}Aw)-{ zW`B51@)SoA*mmJzI#^3J1c+p$8X_+dabsgp9Vghqt`=J$?+_dufcIX~RPo<)mr5wu zcMqo(m^l;#X?xQn?qF(hliC2v6fC&qp;((4bIm_c0}yt-5zT}0C<3542ftB!p32K$ zv}&AFo;m_o$f$rxZVTQB`tELC%~z4$p2is!@80KL-6qE80K#hFmB>jo_g9^!22kgH z?FeR^1BHkoC(EZ9+9y_C*03CZEJ33pYsl`U!PZa(;TE+L;bTw%S{CJ0X0`8mEkOJ1 zS+Vx6v`j}cWNo|akGIdVw_5!7{pNkie+90+&C2TdNGEp4^bzE6U_3&G=3UDR=W4ML zWd|cLlwevl76rBZ^I&%Bqd#4sUGr?Xsieug`Bec)5=Pur4J@FMP=@m^Yl0+VASz

    {<1i7CpPJKF1`VHgHIOCRp`=)tuYhBW9yD zB+|1ANz%TFY*)VKuh3gn$3((Q50hP&^`DK#Sa9El`#Z%MNyqr$%(M)O1(1T}S zeyck>u$7v{WUI)sQj`50&Pk9y(Y~!?(_rd!4W~aQ8Ch26C&ChANmvgu^UN^RZ@KyS z{B-+B75^|xz*i7|A!x;WylBp=|9=I~vfA;F#_aEXv(}dnx+$v`&ISc=^sZ<;Id9+U zH1It6%e%o@V``jbq=ki?qmbUwkF$)={6=A{Kt4ADZnum1f+waT-R_aK6EGE75oo+)wL5J;RJdJnTJ)q-Vi48 z--@PZLC zQeU?xUj4=2f9Vj^!Ddh%zcHNipZr`Xvy#B#xhI!TdwQ)Pt?=fo8f*uqJi4s43BWy6Bk98C)&6J}*A-$*mP5GAkG5#`?eo@9)Rur_#Hc z{SO;Lu@x7b5>r{zZ|+bJdElJE=n159TSyv7dH4pTMlE6DbRN>4@Q8w5Gix)*7 z*H()IdS$YANMGXX= z$1Uhkm`|~-(TpI>`fTN z?N)LPCODOCguW9M~cc;j3uAHelIILf#8aqi{NO23sbH@v$35 zWD*s=z8Fzx<82nnGd0AJhSw}*x-vn%5 z;M@kEQFaqtr#2Z@M_#N`LrRr5YgR6R^r}RIn-I$l{3#n|c!s(o*cG~Hd7TOpIpx9d^l%a&pUI6G*3i87^!!yi8HE5MFyLMf)Uc zQI5<~{h0L^wf7&G^|Gn7(*!0+X~))HjLn6%kp^>C=>Do>!0u#SAJI7flv1dp2+JpF zT`h;gkQ+So|9~OQKZps)IiB(SD4{)-D)l$9=?=!9Fx|jIOyumbiYmhBDCkOqhRnCt zN}Q4uc-$K&P5%8haHiWSJs~Z{^sp`k?uO+#eN}UM0jZ$i%%6-@P`0c@Wx`nbXO@1# zD1nLmJd24DuZW7AxamK8h34Y^(0*)%nI^?dTbn{jvsD^TKhp(L07}<#J^F648ic|M zqH)mF0TyWQ!{DHlTDBM;Ck7N{!Kp`o=Z`J7O)i6Tl;jWhT^<2GD_f9)=p+X?6a&&r zhU$w30$1m`!-injds!g8qy)!6M-hbuSQ5|S6B-N9We7mN-*D_AJBA*Pz0+>*$Rw%H z{H0O{(yN3twD<(owOPV-wRCk1mONr3%`b-s2vJB0)|LUI`QP;<^T5dh-d=;{_*O>K`zcPW? zI}=Uf|1TU|6I&TM3$R=%!zOws47mI8NDU(%~z-gp3W~SwjQ~)7ig)~bAn7ORq03py8x?lv; zpac?}EpQ{)5yxX_F)_TQz4}U}G)J1$I5s+!ewn(sn5+p2tpxi(xdHr zGgaoZ%+r+SI`8p*{XIwa@$j&tl^H*!GVirp>=&BJVQc4Sq+s=Dxpb3RX1oE+`P;%r$v zeG`e1_e)wmzND_nzA-!;e}&loZDOJ5?ppUukU|AF>fTEgjt~E<|boYQdGa~e9YiQyPnms2<7uyVopqxs(NS8gEP_T z7)COx!T9TK+&Pbana=NEhOqCCxJe{uri4KY`Q`Qs?x##hOS&W*xAfhS2X2>z#4G4Y}_VnLMg1setn&LQ2dGJYf}#dB;mJV zlAD(>nM%IIXUmDHb5nx+p;_&#SOl*+*^XV;N56B&wr9Fo-0^ark9CoBe*1N^n3(%m zMvR3`*8Y$FCB}n$?5!r+D|4)JHpeG&9Va$Se- zaLC!<0KqTX=zBS0kG%zUMvCIUBp()>XcI@ye*V3rh_fn^@s-)67fM z@7JZrHXj5zs#K)zMj=R(jZMb{dP7ZZmXsIYR=B0Q#oRxQb_!w``o02E_tvZvee zlf`7u&34IxtXJHRqUCU;iW=VzCtJ;)ZoTVGtV1&gdCObtdCYJ6o*$>@Hi#XJ1m3)- zcozZD0oA^syESJ@`mz-a^<`JxB+vHESkq1WlA%SwZETAQi2_!RDEic@YQc)id{Ab4II$yk{n1PiJ4hzI88nj26hKKouqSk`2!5 zJGDHh${kG^+tv)B{NQwoXdLiMu`m%#fE`{#PtntlKjcjbts~;VY-3G$!G!?{}ZIh5c@m8Y`UeSV*{RSM&{UvO5xtyY7 za-BY&#BQ%XX0{g~W>=PDaRsG(QHQ=EQF2owD6Fk+ynP9UwFo2U@h@Q+K*IDaZVb)o zNtBZaaIiYCSY9D@3yv9av@v?`!zr zCZrlU z(W#=83=}zQ1TBdN4F8b-V{M z%BiwOxjzoP&3pe`u}oqfp<|t+t;$Ip)_H=|nAEk65$v|ScuPajw)K1T&vn$=w~$t( zuF;j$QAi#}=vxX~bN>N(GUNL?SRG+@E#c-dVzJ>XFIzG#`-!hld{s*OO+u4Xx1mR{ z>5R>(>Hm7MW-}jvy<4(qIgLw0n9^Sc;QyL6H-6%fPuY(l$ueB6d`E5JxHiqQ*~;ql zReqADy`**e3dU{U1G#=_DspuC#9WVDvY>fwrRA>&8dYvF>SCSfd5x+V9gHHts32eX z7H?8Tad!q62(HUn(5H#vM)%(m&xT0#Zuw^0i6iN`0$aT1tw6-JdYEzE zLRQPmgR06sNoz4CMCbQwva7*c`qzI#59*gW9W5uC4Z8gV`Q2T=fB1|=Rcl?=`{OMv9oe#=fcA3 zyd0h(QCI37=lBVYQ?U*i4$d}WoKYVZ2LsJp{#AbFpkmwVllf-yjR5yl`&X|_-W=EK zZz>AcOy6FlKI9xy9^4&YnV_E5i^nvRJZfj?>rgd#!;R4MBS){_EbkI7vPDYXQ*=9Y z?bPv~h!GDiIr#ABntdxuAD6^t8mafnJOdM+<<;~;TU-!?q{1hzl=I{*$YzOlUAt|#%*80#|DGa`?lb{scM`$JwYNSVL|~h$ z`V(j2RsLEaifv^r1WHqLKRtWuewiw}$Zaohqkc6b@ut>NLQnFH`@>a_o- z$WV=PsF&ewg))!Te-yd-_}I=4=mjU#`Q-KL!TbK#-4MWN=qA>$Wu&J&_f`==@W*Cu z#HaC651-ZyGvELqe7I)q+0laI#2VKu_@i&9DWj@eX;x>oN8_<_otcw-s1k;qZS5c0 z`UPLHbR*oF{{CRW1c>*P_C?)SZ(Mn5%T@3ZkL&y9bm7JL$5&461*L|r$CeWntR@(E zY6f6+H_(gpB523o7YdVMYCq$$EF}^r&f9KT9hbU~zuzGt;ddLYC+43;?6~(=|`T1EKuDQPm5TXdaJ{HgG zH0n3|w%KwqAV|JHMbhSg_`6~2<oLT>6{yb*@F4q*@}IW&wy6T5igY@Vf8k58Z@(5?0j$pq)o7BLBs$$F zyVC2m#2k&DqkLJ&+W48r${ukgvewbb+J5$*^yz{U;Rf-}$6;$`E_RWTosyu)lY1EY z%hSZHHlvq5hB{BND2MTirN4D7E<-e6uu~7G`qZt8t2P|iK>l}a*D3+?B`_^3lI8qR ztgpFQx!lwCCdHLebOn1haymA9$NZpAKq2U~#Kggn+wbL{^5!;~XXCF`6nNs?J1nne ze-a!WS-ovjIW0SW*^-1Owy4Gk)I?90u6)ToEVp02*kM#7F#NI3#b61Tf5niDHv&Ee zDtKl)S{E#vJn;?OD$VZ{88qD~PrkYk{jJ$)28SKvziRxNnOFX$!02Hs@;*%3jVC$( zVL>l;{eXGk;=(rwiiUQ&TRmn9-0J%{5{5*i~e4o zK3Sf6El}N5jaNO@J0WpN2oA{?4fZ!xs5h08+4vXG%Pu{+bFXh}?^{Cp?tOos)1vW@KW)V8GQc`-*5xi?8?M>#{Q-d_~+Ha}^c zDU0E_O{dXW1&BAXPN&c0ye}vbf9d)dKhzg9F{oKv|Jd)|gRL9oBo)a2q>c+yME_heIUtnY?1=G`>cO8bLb}>| zwunXch}(+HTlwabQt9hHaXMcOveJKe&kj?Hd#YW(wMJR%_+ZksI=T1`Ta$;D_6&Be z=Gq<|98Rc@XuR})(y;KjCYmSFZV!RMz=(YRBBvJLN8EKNkd}MR-gI3ax~)RPwQDmB zW4XNkXV%t>zu*<=-r#tqHT+&k%y!z%8vTU@%Xs;)n7ys!hx%FE)3?qWWrZF9mi=#8 zA9(e=g2zp4E>za(i%)9(wkhm4J-r#)V|Pvf(1^)d9dN5H?hnkYH!yNO-NSOspN;jp z@3-e49W^%*6;AU0HwpDWu|Kzs{)=ToG0=UD&vIzB(+fjR(wfBy2bGoU{Uvg!lOBSl>?B?5z0|J%+)6QVpFL>YYd^<7)~t;^XLG)- zDKYXbcYIRvjh&jofC_&@S1`(UT^F5yWHLWxVwHeI z1lR7@*CF6%l^*E}pIS!xH>*Yj;xx*Jd3F31j`9A%E@mLKUOrl9;gsk4mo0U@v%yD~ zW|`LhK_-uAOj?IpHUe5y2O>epUE1vYA*O_wMB_b+I4=tMI$T zMEl92Zj*R`l@3_D0IMa<4RC2Ei1He#dX4ovX}y$Hd{}qLd;vz$u7cIj!(-rdyvnz= zNGwRn(e#}#5Ogg*J?d~7YCm>0uYWQ(N`RyjAmjQWetc~);bW=a4>O~hcjMbhXHJfZ z+ynP^tN|i&vJOuzrHGXdFMIA)Z^FI5>hxFZDR4l7a>s?er+UtoP7+Ex<}~?fiUy_n zirp?ml)5ktd2^91ue(dXUtl=w+#dY5-}tlhzYbOoXok*qd-_}325@mbHFm56dJf}P z1;_{<5k*^Eh|de;KPYSGhDr%CTVY|vFBrAOSgoex=^#K3OF_8%;r8Y4I;3b(xV2Q_ zfi5HO7b%CPq{yP|-rog7@SGD3u8rL~Uyx^vZn)87W6cKwEBnGd>SBKWsL=d$=y;tx znEp_>rWgY#Y~2Ud8{zeWW)r^O;&`H(x1tYg8)%rI%{yA3{kfA%#%L_P9ErCqjoQjP z4{EZ;IC3*Ph0HvPY;N380yN`wfx&7j$XZ#?W}nXcn*Ufnczxl%HD&R~srJLouCuc@ zz}auTPnA6Cez3n&m(!;1>1Z|FZnbA{g|blS-_!p!l^^s=7B!0BUG@XF5%@N%O^ZxE}crM6|?ueOctuIp$`_q$Ho zRpojK-X(QDV)x2Tuk8yX$?civ$iaz_cTTG6c8{Eoi^iWrm}Pw9{Skln>1N(? zyrmvNs~0T2)ls}Npxv302M`k)lj;JWY3CxIRZBQ)u!EZ#f_rjj)ri zraM{Br}}g|5^i`{F`mE=k2Ss83C9qR6M5@R0p z?W>R*lPo`qE^*AC_@8#F=)RcCB)rTk0`7xkT${1)A%#{}cOW@KzmuM>~b$E2LNz ztI;w$H*CX~BjPRodaPxvl0@w$aU~J>J#I$!`5D5nsd$v=LbRWRN@~xwE!lJ>NVa4+tlMdcP%nQJZ*)duvRRB+g&5Y7KAG-(;QV zh-WJRHtOH~L;Ov)3RD3&tReR>^Qe+=t~ELB<;Tx_*WbI4%pM3G{UN5Ka}Sfq5SP*6 zGqhN&((}^p-nWxV*2iWY-Dlp@X4d!j+zodS-%fcNfd4mGq^k0FyA`YB3xSjJ>t&g zzz_x1@*lEJvyj4Wq9SFWIo*CC!1Cc2Cl5C&VVGK3}X%OkLzA*H7wc4 zZMC!J{~Ot;aa8;8QDbV%UuFX(O)pgxMr1AsqxcCbyoToHH$Os7i9qT9)KI=4tYf zPyXR;cZ`rs4NT1H$Ms>~Rklh+^=qx=kLPr*ARlQw;Lj;2!;L~`H`{-Sw^uzVkbL=i zb3*;b1PbZ;7j!ZB;-m@chQZcWV{=p&_3rFKIv_6sNDmfpNhl#QkXcuC)U9*Hyi3Os zdvIwILY8UIi(IE4kh~kpYKq>DHuMXAU0cLoCaEn)lD|fUT~_G+q;p|1&6$RcY<}8d zL<*CNv4%OlxOoq@`Usap&Cg9+mm9rtV;fhnG0F@-m-0$O0TJ?~@a08bo2RDrL5}?O zg)|qyN?}y}TIjM$_`Ofu8eu zug>4RTJ*Za|7+3;3YeMv;>!F z-9RcdG(|+#K;M+feq{qM5dNXrTI$>ELh{D#0HaVx%fmbblo3#uBdLiy5}0y+SEQ!# zg5NFZ24B?;-^8oD>Luk!>=82nnapbI@IA)q2Ju+~b2r|=x!UdS2G<@t={POE@uE@I zS+n3bzgk=_JHXHlJzZV3*IE^p4NlN>ci)+|XCmkH zu9+t+1V}=!FR_!7Hv_C0{In7nQRe(2n+?;xTkuC<`g+-s@0T1h7B8=+4ZNTt4jnJK z+<~5tvPEodj2<=&BvhicZ1$D+H&TNXpHyTt5T&YYlnNkCgk~o4F<(kC-6u;IMnRJl zj4iG)j)NtI(jUhRU}Jg9ilURkO(ceIby35(HqmanKAuI)QoPvY7IGWxX_JO2__CHS z8goiVi*yEa%|~=VM!xhE((n{MmaV5;#TnB*rxkkanlNaZ9o!SKt> zX*sE_PDl@V?+9E`OIAG$cB?Q3Lp&>&;7lT6swmLyn{i5mIEu<$J~Z!3&X}Y@{}7eb zbSn={$chT{{vK{>doQ#*S|*BEDE~J&iKsPVAX)|0i06|mjNqMZ9Fq>@rPr%E%P@z` zleC_?Xb9rh9n?wEAoX{cKxl_A%UW9YJXg%O5-Z)YzgL)n;SL!X3&X;I5Tyvh4gJ72 zBOT4>eC7DvDVmk)^Y$R=RjSAly6*5i>7gdUVsqB}+syN= zssMd-N8ebfhPV%Iw6(C+0`-n@RUEPaZ&;5ff*NZW4B)CkC_bI~g9YR>q5#pzqN8Kje?%8%GS4+w9cwFh+Q!tHHkRsOBQJY*;e${8ugh5)FTdNQ(S_+)TY(5i3a+sr=8PF@m)Ha z{LStMf8_9~?@Z-+kE@h(oIgYeNvDp(I^SjMcsro9b`%u1;|`nuq9>)GH&&)sEB*RQ2dK^v zwSf&I+Hgux716k`O9$`&AZr9Qd-H7GT+v|DPEqzfE~M*#`t#{O?Ll_VOK6{sxBy?< z?$BS{N3AOGwMSEqqC0wxTAg&(*`U#gyq%Y#0tDAU@RRfOUQ4Ng0rex_Fco&VpLU%u znJyQ@DuaI$Nu!c`vm6Nd=Kh@S96>ncS4&1+h8z**KY(Xn!{g%yQYVHfZibdUMw} zY;{^*qOSbztFKfWwd2E4{p%{v3lgLK%sNLNN#TuQ%Rm4}mY?2;`7&ll^zx`-5sXKU zcl$DyfCNa(UZNU90F4Pqn-#0bWZC8u|5?RM8M;L-@T^%BPfjVUH%9wk!9@Ym}iKVbYRtW`zagiM7sCiX(np~e)BzOZu;KikAuTB z67^5c;XkIwv$O>maP_bd8Kfi~2;qPUlRg(e*w>Rlxixd5&CN+clKb-;S6==hw=%P` zvAR$cZ->Ve)zNwq85MNLWTP|YjErxin2G@+$O32JLWT0!mdOTR`c?J^F~och{G*FKMyBMS7dbmg%ThAXmB(3E)83JIg1-FNT-9Qg z>F#_>P2UwiFJAS{5x&n@5^7#9HFt8{JpVhYvzRzP!Sx{U^VGitWoZ4C^N*_&e*y^! zi|WyV2!Oj`{FADT!W7oxMo9aup>8oBG%vEM&%z&D#$2_IjEuwToTmPk=D6G&#u);m zVltCwuQ4nYJFpLuG9qicGf~|gj*~PsSzUd%;I9VFWM{6_jU~ujD^9R)Re#ExAexD+ zVvGJxDK8#ci6VbcZadl7vz(u)_Y)_+=_-c1a@xxGPGhBB9~Ka@a@il&vxrSOh#m+* z=>qp}z6)WT?wxwhqtr_H3bkGnb(A5%3we~1+OZ(!>E{elygkeb#2GcbONCxDmNNWS zF$s`cl$27vA-k0g>AHZCVyOmCVHMgN?g@GAeBtJpG|mpmXz0x7vlkjYwSZmqf(}Xlqo00+pGWn02xD2N9n}FGOzD+5Q1H_o`T* zjz%^0jINxdA2%E(VE!C zZye)gp%&G>^jJb%6RT{+K@8-cZR`ygcf-E7;!uu5VyS_iz^!pPe`5rF&XE>IAGQF} z-~0YPO+v>7!K^^tVQkOdU17;;v6A?B&uq4`%4P+_EH-pl?iEbAJWZFAosA*>vW;Vb zatXRIJ*^O?l0O#JZ^MPSIz<^`vZe(677GuX^jMOw%TlIAOxGQ6Pn4)bF7eYxoR%ps zLX&>GIkK-8U5C`O2;UOc6_F=F!mNlGYEt~9-@`;&UzlbniUV*`s+^$wnQ|`prTBcf z%%46y_|$qgnLmBPm^g2J8oL$j`1;H(f64S+mLz{wlRRcgEGsGZLEjwjL}yoF2GTIF z);c!9C8z1E5Wj>;Pxej;B1|IsX~~SLsH34*b5p$z@PZUf=)I8q^|F`4P0X<_J}vYz zA~B$y?%>0)?WUC=^CS8)!pd|1Y7j0*`?|*9mnn)zAAR4(tZLi!Oq9gOX0tE5rjt3X zGuPl_4yfEfgR7~o+;gri5gD4ZZ5iZdPTf7PU>cO`!`i5EW!H|cQ0G8|as zl5k672u(G0Gjmd6zme^#^w4sT@!#oJ2==sGz#jgZV3cR*_Afeb`IX(KoTf|21EI>h$v=Vw{2dlc zIV)yVInw9Z5dC#oX#vUV6-CL)xZ8MuTsSYMv?a$F7PkH9J3A(3J68idn>QfVFPf4C zqCA|tNhAgu6~gmm8T_iMkOkeW#x9;6=ku8ezg}IP_vMi)R9TV2yjUcR0%jQM+QSt2 zM;XFgA1G2veH1vQE6Q!-5K%Bf6r%LQiwsOTtXt|RRrB*nnv1kue78XxrdXwM5LL(S zS0M7qEVGTaEAuF1aK-&Jo3!8CJL&tID-<(TOomEfA(XYrtKY+1GX)Uf?^uJe0a0mL2W8t1C>TTv7B8` zQRs!XUr^QU&okFK^ut8f4kwSD6N``B-uBCPTYoEv1?$!a`{+$Z=?wk@;F(hxMaa@O zDbu3<;K+JpW5YLc)TraxNj&xLJgaSV=FZftV4(8!c$-q>n-UiVSN`~zMfeb>bJ@6s zL3rLzQ5NNy#}2V%M7#|q;HQtP9L*P{FJ@Nd_|=30K)!?+0I$-P@@|D7y5lLDb8d|GF zQPW(W*6~Z?w!_lDC&uhqRdX?<3&;?X=;yKuE-%`(5pv~~4$L(3Gx)xS0Ie%d{)_xm z;S;cvMRN?dLy}km8YWuJmF`aaE?*hl!IY|`F#7&SMRN(b-NF0%4PWHsSNhe*ak&oD zOkiD%=ufmpTh{{f8{w-^A&RcVeh8c6Z^e#pB1bT_@lgxG(ikb@xP1TYYHwp z+Lx9f_jB7DJz;cV;_@U1lX;+KmD_vqT!y<+wT4xaHWpYF=T(OD^oHTH$WI2ID*& zkawD_6CHPGLYDH5r-((8#w7OaTTGJpqL<)R!i10n4oD#c`FPf{{rQ=z@09P};kou^ zvmxX{BVuea25>GJylq>n*kQA(n~M_LcK8z^+Py{kd(rE2%tej)Sd#RvGNG9wXll5< zhhIZ!)L6_HG|FQW;@e6$HeiGm5a$U4cJTuKPl$1B{}T3y zO8CLntu`TJQSaWNi75VYKAf&{#*(G9qlc|F-+JMt6V#y8WQ!;8TFa4B%+5b(?L+q(Q9O7< zvtE=oOpjh${n5K=QT~0C>B*>?gI|0h-@uc=@PL{|OxZWrmK^CFmpwG-`GVp*jQ(as z+`!}f^r8OxqXnH|v1&#S-&XAXrk^OGk9?!-BbNuc;8SFW<4b=u&aTMu4&b#4d%`(7 z%4pskbm(c(xwNm6bv-%R;xVt1)-gi{lRNn>cY=#ZlF`JVBL%ZIKA}F&Q5CY5Nv|oP zIJ^TvHC(y9!AFXQ>^c8sdR4X{unb;?$upC9I`(o=NCu%HC^ug=IGcVh9OZ0p3vrn= z8y!8<89X)n)xUw_d5}{~Fi5#Y`bBzK(`g3pxDYxqpPh-K7Qiu1bX0%tsXs~SV=6PW z`c>?QI?9^4iT%l{nvM#CI;W-kK8MH;UA$?Ecbf%opx1u@`W%kwX~reQ#>DEh7&J&A zUF=uBiG$X;y`rY7@=PDHPqJs;l%x73-%m%3QgEkyUFtd>+4>EdaCJL2L(Io#cz>^~ z{3hN&u$kauKc6g%znteskYRhvq@R)PSy>s12h6amXj4his(>{}WK&{dH1pq=*iiqL z7@DM7=uuXrV)BD4oUcuZP4*@v%I?{}pp>~6_T%}blu`Xd+6y>f_GrLtpLlHfv0T6e zdN$j7@Bfwyc2hZ%R+u3$tWsQc*;GwImYt&`C-B;ofx%H@4834Ts|kQee8gqN5@DZ= zAYxh=4E#wGh7V0bM;E?w(bL&ykbKFT;jGaUS|2yHD4HQGEL$%c!Jt#A*hDMR*YQb= zf?FXR#xL_1ULxTflbJ=#(;?Ky?+|*ct8q^5))&XyAUb^P!#lrLsi5sKe`MDX!pg}P z9uyn0`!e;5{R2!tzQ66IVD#O(+jPzzCboLZV|(ps8IK~ku{7X$)z&9`*6AVsrEJyk z>JDYnGdHyNaq~{Pm(K0w$33*kxjI8wDK(HhXgkGyNmqzxSaVJqkb7tPa$V(L<9wp! zbZ2bc$MW>Kx`EA|v!bTln;SE)m2P7h!v@)?>K{PxchHJ2mQvb*6}g}3MaCB&kWpqv z5Zns8D0Rk3MH#UckebnSZvW)70$oP_ZG*E)-K5R9!qjjHo>gNeg?su8Rp$3~edRX> zSo%&Bwy!?k53orlMk3Flidph$dmB|(>=*7;$W{Z4Oq5X857;5Bp@|fo5)OPGV2Gb z!B_Y&%S`{Ym?#!jVsd;4(K~Kdx~1L#P`SI)P+N1La#?yuN+E-5NqU;85-7ig?C`6fmd^PV^AOTxM!Ndl zpcYw1;S0TMoyRdz)XaEu0lo?r{99c~F=1Q|?xW){z&L=FrJ&%`^c~C}*$a{AaG6=g zU$dpWzqj+@(+dwWs{T43_`XHDWmE?@6S2)6syPi_QxjNCNiajd;shE{kPcX2>9Veq z+6&Ps#lz_PI=}HOG3uk{tj;Lzcvgt?+}Q%rz6Yx9 zSK?yZIWlvL?2=eRU-O?g^#-Sb-5d{7iL3kD_^|&l3NAno4#IKO291}SpM7wqFSIH@ zgZDxU%chBha%|{zjkTB;5?mun;KV#?+nOO#->VS0K(!NG+RELz^yiypELxPX$*Gog zU4^qt-#(_Wr4?Xn$8I&~4?e&7YJ4Yt!nXn#o>o={kRK7y_NQ}R5=GxOiNa`T+59_~ zi)s7H5EYeaz>8|HZyWPugx80D5AuouFU{ctk=#!*PTuMMAE{0mU2;o%nitdX4kE&(oB!Jg5>Lpo5NQ-W^by>t6$OxV|e4y}( zAbFSU8n7mtYyFj+6;WE()V=-&z*CuW&X(O#_%>VoG+~HW0DxL&GFkR z3K}lvJGbUxDYTdz@i|~(<4%m<%tbLU@L~v?3>-TPEo06lJC4|czVKjGSrMLKqbK=# z6?D}lU0TJi>EOJbLd0N zC)C=j0iqwbgA6-R^G*2_4g_pY*S5B7BM3p=FfHLT}^_Sr_5hCxnU+Co%ZQp9a_mpm6 zZ~O>C)G9M0L=a|q&;>_6@dMid9;Rk;il|UFDXP;*yFG}U`|M3y)*^pQl@&g3CiA=b zF?qDCh16kag+%BD?Kw80A&hLEzyqWS-soN(_bYHeB5|2srU$(<~5vhA;?quN@-U!ARh=xLmbvL>(AFfBXnrvIMuW9)&&ecKriD3f3|! z{v1~KiPYSzHuqB?JI;PZXM2v4yR`0yFT>auf{^=0gLPtaUFy|DcazHnNwY*hfJ zPG)n&(lJpbLZ-!Vicz8B?Q?Gf3E;FnNQF9BNN%>p!_4H+bhE%c7VEpPj%8|0dLwdb zP-KtEqjPJsmpc=&RXz4EqFJ>22KF>sB)bYtAr#R^#QRHpkgKhayr+;>wS%x5uu*Zq zh*K}DvB|@6GncyT9YnKwhR1z1;I#K20G{sO6Ue=b!D-UGEC-}QCAdTUl~sq#PpSkw zy`L7&TMCo>9D|@4aRlrNcTbIB!Kvu4SHq)W6VVh9E}?P}enpS*Q;ZQIJ&ENWUde-T z#JTrO!?-%maQHW!`B+VV|~VDiuW!nVSi?d*5VkT+1lb){Yo(1% zprGSKyniQRsrMy>D9x)eAbAOzjb1l!sSAaP_Km8EwrQ$l`4 z%!~Ck=9>^8p`zS%{)xdwMtm5_@>|eRtL`3-FG-~r(I!rC@NwZRh+PFFyWH|J`5TAP z9+kBwm679Xqw07V&P?y=S$TpK7xm4;F^Z21VgSo7we0B@t-k}3_$Z>k9^NNEf5PnG zVqw^tmP%?-y$2JFT}-Pl891|+K9d7*Z`L2>Z;Sj=KC1q$R;489+s_Jy91X<^-Demz z9Zzr%ElR9`H`H$H^cC9&zxahsM*j8BNK|@G=|g-kpf+~udsP?7QH4~VR+|D^QiHiD_Co9AMGd1+X&J+s|O5lgO2;bvJ__Jrv*{% z3*%vX@^(_W+r9L{jS;3Z;7y;H$6PN#R~1W6P~>TwnCh>!s`snTJDbQQW`Q)99Z+sz z(;ZM#5|2N9b8T5AS81S7Y8na#75AcS$w9wF>fj7ahYa$8EfeGWW~P4g14sp2%lF_w*BG<@|RFA-neh z{&Kh`%L&ExkEz6Mo3zf;p^~bL%r}E~-rQu7&a6Z4F=9WkHd#v>1{skfCeHR!P5eIV>8z4$p^a-@N*^W3RNW?#u=s&P4goC9RCs=4vA7#JOW4{;{@(N?wEH zoaiOm=OpL7QW9ENG7CCLtdw?~jJ4zm`8fs&VSI1j*ljuI=cr7$NQY?26%NktS~C7k6!Ls#8t_WPfkE*qFa3tLY>g=OW`mF-dNZtyto9+w}HJPp9bjvb4OKI3*5 zYbuDpsJ)~v#NqrS0nO!Ax#U|Wo2g{&Uk**D_073cW)zzaA3>OG^yVYfT|k(iB%?~z z4}X=8gv&RiPJ&Y&&xP>H`-``sF)EDTE&11iv#;-fxl+fI1!%mlviQ}pMj|9K9&R6f zVZv_0=X>-l^53Sj)lP$KqU6DtAh|k2N*u;BdEVBymh~rtv=qM4(x6>;tn8wL79_2- z*F@l8GD`eu9cIO7!L)SxAw~CLMr29V2O7!8c5bf z{GPTi@we@IlD+~}`VR~p$|3k{Hd?TkJw`U|Te=lMj$%%B+)niN*Q*8Fj5eF_pyx4k z8cigN3{5Sf-WuNof1mK1<{Gs?dgJuKo4r~!iS^VnAPpmns1LRr8%n`-UhQurs*#~d zZOVT|l!cj#%uzQrCz9&L@!Mw^5C~dqYKh=D6uPf9@(_4HC%FuYe z3J~XpaiRaRRtic4@}GN{K(8RY1ajm8CmL?@pD*+F!EEd`1z39?)kvmaQNl6KxsV_|C$_r?~K-4t)B);zpjCjeXtg62*&ohu!*u^LQn2PrK6!fOuGF$*?Gevt zt5tNPKX6Kg-BHN><&>rroWT0YEl*9pMW5!!w%)V12a!JsNfX`cJ4`Szq#ZbAse<#` z zS#PdVQjbbML+2|up80E#^E`t4%IzK9B_#hn%4}o8#eU_g$sZhI*Cn;N(Q!zYj+&5# zLc&rZ<=`CgdkXAMq8$+BL{R=vo=GK@Y|cVQiTkNpu00+0K1I=09Ri&~k>fEnNToKw@%t;sYQ9Wb8 zMar&={I|l)lYa}5HdCoNP)?+3?X&140!fyGE7NGCbY53hN$i>>DXF$_*kI0Zfr?d%0r8%nq)X%A!( z*7kf=hyT1v=M~^{o_Un>CzmpA>;J@vEVA zX+)A7g&Zjd8VU2F`nKHCHlq+n&S49r%Z#qb$@}og6KLySy*?<;i%=eU;2kZopmd)9 zQO9HYy^B0l&zeAN6v|AEqr7zURn;wcPV~&5HM!sSdl-}IG!YSl{-}D-U%ytyVivbZ zq1Z>4l=&AzeABvFaz}sGyDrkKdHTlpO~wc2W`_`+uF^S8HhLRNMAUCM1b_5ny$)gA zgZLT1!?^Ak4HUjC#yi#ikpNc1SL)@ZWBRW#{dJ}0vXq?Cr&S+8V&Y!))T_;UQiMg| zslShs$Bam|rOC~S-`Bvr86mV!#zA%Fl-K7$xZwDU&!7q$z2ChQ^cBhbrr<U{DK5|_l|BTZ%&SsAzYed`UmSFlG+C#uMAt1^fp(3S7<11lx1Vo^mTPw~qc z!-WIuI-PYS3H|K3I|z{A$x1hz4>AHigdW5ab+iSzRG#RwPoCx!$iWvrCkU~_>AmI~ zW|K(2P?9i0_R$x!RmP`WNCk-&^d=WF?Szgy86mCAVgT;VfDLWiHC6g!7oQWH*k{&< z_w9a=ZETSFm*Y=@)Iz&(!}vuK>f?wLfv;Z@0ZP6)kOD<+fT+(g^7 z>`c0};1cIpRw5$H-nceMq-iUOOEftbz5B>1&&sKF`eYJDo;PD)z^?6V)&U9fuh`W9 zX9J{1a=)>$uWLsfHp!Asz`MAWv-1v!hTH=*<0t1Z-A}9PFA!~stWP)7ZOO}kd-G81 z%~}~-*wTamo;OTJBKjE~hcjeR4bkRK_)cIM6AYM?sSCP!^5c!&kVjQ_xn zEHbj-UhcRtH9jkvu#4V43O%i@87uka(cHl|hLWw4W%JH`?CXlXaTBF$X#C!{fPgCN z!RyB}yh*P*9Zyw-3o|av*I;YWj7-wCO|@oW^QbzWVVeDs1L2d5aV-7Waf~k#*-IXc z-Yu26V`BatK^u}fza@w{lXE4B$ri&~#=fe>==aH4Vqz1MB{KemFMR)z)#ia=BdPSH zT$?6>qD;}P_d|gaDfl+DvRz^EbBPTgU!)}3e6)}o;a7@ zUVEI~%sQwoV>eD#JT?{GPv7z(|T2slA32LQ*D;LDFU}F zm>oR|G;-A3!yA%N)mNMcn|v779U)Tqdh@($HMl=2$DGytvv#;I@Hl`=^SxTDPV2T$ zdMfgSNH&Z0yT;Fg6)cK;L%pj7tVT97_^F9F`0!{3y`=m2E$6}{Eiuqa>Jb&o3B>|G z{f5vS9z#a)HVI~zWcAGQnAQMoIj?(I$f-#*Q@Wl7A6ADaqdwDGdB z<_6(UH@l!inXMkMevP%(5qdyEzSsb$w6%sm@jako*Z=0GO zIdyifOsPT^eu(eRXklxyY6`TApGz*}7G`^#pC2ryv8SBMVMZN$(@(Aw?cA){ig~BX zI|Y|E-+LvqbTwKSoP>!{U3a~HFDl!PLK~DUMd9w z*j*D0`^a)GtG}(Q9X9BH#8D~pV!xQ?k7o4dNOD_+|C>`;rf7J*#^kty-0fsi{e&yn zfyWalXyn#Zq$!VTR0|V{o$)jkCmU=#a0NOa<{m>6A`L)F+)X0&!bWn;??vyZJNER(@XOPcD__u}pLb_TK!-~2I!Jl^n4H_o>4!3GO0xBpo8`%^rTu&T z1xdAE3@qz=Q8I&1O;vcha!c(ylN{Bv%hu*HJDAe&wEoO?!l$bhdMa7cQXzj#O;3|| z(I#`=-bPe3SZ!_ftKFSsrsTNuXQQ4Hrk#pK`j;P|n9O(mRZMt%KnC$IkrCEws0YDG z!~;(~f?kGPuIw&tx6XeHU&b zO6SWf(6UeFJ4)AAN9DZHTewk+{JEy{19`3DO_`k8T#8tnuyc<48zl@mIslI^F?6Q% zqJluBG7UCHkD$Rf0YXnw?W{*{_((loc?0;Uv&|&6S$!!(jJ1KDek}?iS zJ}Bh=?bUsV>VI|O6T{`IB6Tk90g-)1G z=KBUqd}o~3J!_5(3+J#=9;K&q^~=RJVApfSw&z@yc7=2ascxjyi{!=33`Gh}0x*n6 zE!&7_pDF}kDv>HYk%a(wP5TA0DhH9$HQ{V+P1NG(5_!HbSEU@tnS{7ojF_bQk(mqF zXO_UFefy}0!PRd65J&LvY-fP20VT$Wa)<|i-ILL|hrP5S{$^3~ZpeqqxhCdtS&p#n zKte#Lm8s67Rq*&zLdgudF9imbXdN-p!@7?4(?5WW8I+s{tdo;FtvZ6`^fggc`)XD| zbYX(eH zI?u)BezZBY+XRPUgnCUXJ%+M`2vJT-MvHOOLG(^TyqV49hoQWq_KWMdZ6fBj7O`~` z&r7}Y&-pR<9eiRxQ$|>~>GzP%$K0xw*xAlZ$<*jKXRD6)VW|7NHxe=X?Np4+(tDMa zA9kcBUyuB{&LUO}ukDbnZjc|LYmzE*GN@?pBM=$VQlcy)=Q`yt zXEe%aASbAWyN=sy+ESDg0|zH%enFwGpQk~%Vbe?SmB`rjpJ<Ky|L z1!(?R1-sgMd3%DbT+m_f+^ikZd3kAgX#Ra8E>6R(=-})P_Jr-7t-QhVU>i4EFb%gl z*!6?AJ&hnQ4~?WG`v0Eo|E*bXJYj(cx8sOCSTRRkYNN$OR=Ec|2w5RatUh)IGwR?U z#xY-C^D+AmcnqF%b#3f_SaK0|%z3F-8H2a5x+7y4GLXfCsU*8lr9u)`*Vg`;M<42C zdR07qU7v~n`rGQG+Rk5L!!%vA2wh8vUiKwd=mKu4ELA|_@Auf$gMW=!T5uGA&IQb( z^0MiL6qf${SZ98O_4ZJTXKjx3bE`G1e4gyC@J#tJ`9o5-X#YDl_3f{d_Y83C@rP@C z4&o`}sa?dn4}xqM=AHgVFrlvI@RCkV><0uPe6Bp!^`k?YQc0chcF!{{Lf21!!BTb! z<3l-L#7%(RTm#+q31$!P6YW-z^%81Zh=@?XQK%Y-lV{Bqh4EFlFmN)t|B z8TFI(2ycRT;)9y9;f+jFT1ATEWw4zg~|NLX9 zbX!p@wVY(&@PB&|-~Zu7a&E5PU{`N18eTzIDUgO+18nPHCF|x-V*>lkLnFk?MUH2->|g1@(tt~bmR|MN+Shlc;(vw<)#gn1~e zkN*@a!ixWO;r}Vt_H?t+g;7tydg9iWSES+A1N(c^aI3gjeE`e;?_TbI_bUGyV5nv7 z2)6m3rVM##c=`Xw5JO%XUV;C%`fq=F0q(F+(1tbhZxH-9cK$v3zp*3h;O(Ui_LOsT zad&h5_e;H};g)lAcJtJAx3YnqD-ZT{umJ;=U}pTMSkKeS)yvM!(*@SX{~vhra(e#_ zJ7uuL2YYWCULhV>y{?b7_kS$-&o}w6IsY}D+rYsV7NH{l9{In~`kt3hTh3Nk7>E;>3I8ag314kj)MAt@;d zAu%yI72`W{3VKRnVj2z_dM0L8HdeBCoIIQ?Jd7-?EdMqFhk}BFj*3ozj!wWrPE5}7 z|GfRv1HeN?mPQCifTIJz;lb1L%HSjD(D7Nx5_tTx z2EassRpTMx0i*zzM}5Y2sN)_f9M6eG<0;9BjI5aKbT;x#B|us-+zAh`ZBfaHvwAe< ztfQKrURN+#LBOQ%*yC;~vx6|H!l8;}x$h7WtMNQ&%hAPXSk~x^6$w58IXIbk1>TZJ zs)~UWFasoToXLvDoCov2jPwQ&5ZJb3k`UWP{~#kPq#NP;Fc6uIpbnv@ zw{}h&PW~Vp^DBHfmF`wR`W6X?ZUn-JiIgy zp66DXKRL}rQ{F&N_Ahtj>^t){=z5j9d_+0-1wVoybGYSAZ6+i=%lk48ZlauYa)l^Xs zVq#G5Ffv~`3*pu7Zu;SV46G&tCHN^w8Z~)bG>HX@X3pD)fF;HxgyOjWR}F{h$du#!PK6B(Ml z5=%gVtLA-+6^qd8PFoO#E5w}CgPV!=J7vszgmZ~y)mU-w1g{XuBhD{IJbU>DUtsXq zNXpP5mIk4R<^j+%iy@}ic+2u5T!6Pnj2E)mW&JD% zpPa(S_=OETm<4%1G8t_H=HV?97>jYvR!O9z>-gJkq7y&JX!8^8VCMsKaYNeQM6FK4 z+!#;uxU64oUHO|5@g~JK?m{+N=M+P~_-yUdhSSsn6^iPuM;)?>vlWHulCbJWAT;bW zE1}P7JY*t)Tjdf$IhEv^Fe1s!6w$b0QN7c_z8b>RTQF&p(?g|U-=5v%WLcMzEE5|~ z1j~6T66psv_VBm@Ayl~$00tn7C`sK2F(d$vb{{}m4?t`uN&(~Ynwo1jmz|ozH0V3F z+$D#}|9QvG{dW(*qpt^Oj#O z{5v3%MF{jGymZL4`wH+VrvO0Yz}K*FgdW>88f7F_%kIC({EDJ^-{;bss)sv+UGD8( zEji**0qFS12tBZ5a8x)z()t<`K43(OP$9VqnXP4k-D;_n?Bb-|b|Qk9C&!WuGvb-A zA3%ngwUVqr5aLXMhu|zpV-4sj<>$q^$WOo!895^$k}Bz4hz{?0>yjL@5+L#Spm8dK-n+3C@%Zw6lb z1Gw=M-YhTm01{SeY6EwwRDK-8l{ML#+Bzvb$F&QC>a&xTmw>FwDOgdH%A={~d|cdj z3!h*0hZM8xa`{}>ukS9^@N(UsNQKcRlAIMTpVi_zm@}4|*Iqv^uma0Uay7N4Q3{)D zPS@uvPgCt@Hh`@Bh_*mmMdt)Q-oZ;wT;m(UC}$5Q4B!o64)18VEf08vP8a?cnOdK+ zEyjrLFq8eMaVeHiPF`Os(BJSZNwfi6=HT#@c2&C=Xr%HYS+*fv+duu5n(PWck2pFa zkFEgr#{2@iil|cJu)JR>9$8{(|LYXEHUwnlHzu^j2rkEF!jAPmzPU>DhDoN9PTes= zTR2u!^y$njG-PQ@6+-|Vk2*3S1fWj(u_zp4`3f^$8GZWl9v4oxlc&d$hY%SM0J|Gw z%Jo20$q7TTGJoL_kXmBv-BUPh%igrJ#h5f)`mEz#!^;8S;vt^mfDoh*(p%bJh#YYa zDWQmiNn?1K%w~(sWjf(IS{5yvdH=fv zz`@wdT`27Nw7XVdW_}6LH`gP960_pPs!GG3RC(D6JTE8~X1=`tPGzZUl@6v1vfhSj zWPR2&SCl)#{Re2JS-D<&LtWYFD8qi2rzfqy`?tO$@@ENeHg}(!O73CVdV<5`xK^Fj zk$_^CBSPUKudsIs`4huC*p`Y$0ys4ZRPe*r3GiFJe9z7jczmS;Y&+t_TB3YEx1)m9 zUge=9b(Ono{i_ygJs;B}P3vq@bOYpGzK@<4Pa~HIv3vo|kM+-?%(oQ|^`9F%P7;1=)YbxEPqN41aJsO%SVgp^ z4ADn)L<}Lp;5-0ew;U&&U-zX?Zh19HTn523FZu{&RP%S^eS)*mEX&M$B>>_4S8D`G z#xZjoDP9>l9FIv5FZKybIvpud;6DKRy1x+@Jz&|(#6oP1KM1&F6JzGIrn4+5XMzag zM6e}T3%x3xOlkhB!t;~22*3nvxTFKK5p;)dG<#rq|Xn2`6 z_-Ve{iI%)pzfIz5JWrbDYE6qgMRin}W{Sb2o2J}S6G%IxwYYr219~wE60}WBnC*%N zH^0t$H24dmmcnGa2~CR@gYA-3GYD0Z=pa4gD@LV+rX8yryB;4Mdi&PPp6Xc<>a9uK z+*m)|B2Fz7l@Min5S{DIlhSNyZmLq0E z;=o?Ksc?D_n3kyqeXW=p@(&Q8`F3q$)p2WP%xTP3f3w?nphsNmnm))l>hQ39DQG+^ zmEBiMz=_|iFE2{c*S4*-+4tI8T2Xmr@o?vs9(l`KSgWW!Z)Kd<==q+Ax4MuxkGi0v z;aqlBhF8QqTt|Q5gwwktasQZ1wDYnp?P_i+n7X;2;xI`QP{Hy14OFnpyy%NARvCMh^VsX zjnpS4SA&h6` z1TGP9H!f96bS^>&UO!R>+AU+H`3i*tGvYCEWXwQa9uUpM%p6}b?|7$4`+ax|jjrI} z1sErd3?!OX`UFyG!}yjaK0#>TF~eMOm;EahQ)dPiBH$9lNZzr%01I{e45VB9yU@(! zqQ$M_q``H$T7#8aCl`qwBRAsvDtm?a26}Wn-^$P*Y^Z zBX^=~t+>=FqrugQT~h;`m<1!#e6(X~gMHQ2Khh|2P9Kwo5olcTk>(t!7aDfzM`XOz zdjR4QQiyQsmQfSG)rl}=pPP=b#?hn07EFw)oOBSdkO)pH`u)HhNz4E-B$wc=(4~iO zA7lObveZqDCv{9qBBG$CW3@YTb^d&_M2pOwjP#T6XPGg+@fyfAxA&s2t)%WKcal{b z#)`^7W9fWvdjTc$ra;9AA;$ar4J@&z=QC(=>~?%R&`IA4#x;6j`t?e-7DX(cq>`_0 zifLPFcDTFi5V=61h8z#zGr@ar`cck0HTv)D1!6SqN$wu(O0@1IcJ!0Q6{m&8j85(E zj`!)pxFX2m00;SIz?>jDN6NClE4se-bhaF(X-1}BRkMhaP|*2&*Tx!HFQLUxWu)oV zo12VXvQlo!cT&wI)85@&C?>BNqdJk3nuW)tOA?2NQv#P{z$r-u=;E{SW{{>Zn%ng) zq8Jd}7Kdz?UOkfD?>wP97K-EvBPNEEc>M#4Oz=t}c=!i2`wnh&S`vs5|MM;#0WR{< z)f2gwt?-X=0|2VZW;sZ%=R2z;VHR23Rg`LCG8m6L%_A)uoW}VXTl1|Z{Dyo?Z89>U za#2OFMV}9>e7hxH%{VpIQl~JZ8p53Lc8qQ3)m~NC{h9Ji%cA5%frR%vTPSUnb20ka z?8-T%GKmaCNvcETQ21b)s;8Yql2D|aBAXjM_N$PXmP*dRh9vzzz@l72J_p<4vS)YWkF`DH*~`Sc6T3*#%Ryr#sHC*iqi;;;RJ69k^&@yS z-L(iB+|C;p_mK;&8TW*pUTXP3g8{f&M!J}oO}b+lQn`HU1uBsd!1X#>)Q3*p7Zed zo41UxF5l;RIGw_t-9GVGsCDKOSxu8meDK}kIrD`@{dl~H$(Iyqot7)gcdCZwXUAbW zPNz3Bec%WhMdm<3a=>`HAz&~@&C=tyA_C#ua-6E^wZG|=ear(pA=?UdKHi0?G@aM?flP@zk-5opRmD1m&1F z)7^!vssBfFvbOCi(vB=i@#_v_Ju7-Y7^zDoEUC%?l`{zY-|9vb}*KI1eLrD18 z2gcl+EPn4qwYlAA`OL27b}aE6|I~^e7eSuh|4we(svxy4xams0ozzI@&tGRWhD?{1b%WQzUaU2gu>z4B?!jgPz5c`p*=2j5<9SjUI^!|4fp= zO5Yr%VFSq$)n*4-p>&`L1>4NjS$|1g#q~Ot*hSsN?tC?^K8TO(i(snnM!RI#n!l8I z8;KAVxqWixR4G51uaIP0haTq(ncOvLRr0*WM)1^$@eVWAIXH^Q%Xk(WoVc*d*PO0^=GPMU zVVC%y{qE`cz$^o|HjpkZVFm(>v17-V;=lkKTGkekl?#EVaLG*!DA*esKik*gYUZEVMqN}CGF7)*t|=^QWKVw5jk zjR$3JElV2<*Xr+5oE^dnLlhoT5YDm~8JX~Q8EhOh=nn_AM5o&23h+?W?5Ss4)YrW) z2PhSun82vJG?9vAZL>ae+m=&Nux0>^Tu-Bo~rHF z)^#xJ1&MARy*76BQ#auh9vR;3?UkQ;dqclFc!Do;(#SLW5%#^d((D#C@e zUhmV#Iq%?VZWGxGlSO4mS;l=c`F!a?U%SV&IqDWfCtVftOcqelIcnGNPxCGp_iOEy z66-1Kh_#-cpu~+1wxVMUuT0i~;|=fccV$8bWm>yM6CX8$Qz*18dKWLa7JDKucJDC# z^^6NEyM@c5P^1C)XaRN!SA)xF*(@%`ROFgt4G7Q zf)7+%v3z;8pPVjaKL4QHrw)BUuf7a=lku%0TDn`5XC=y(aMEc)y6r|<>UzqmV~sU> zZ6mwtl$!UMcC1eT>%d`PbIkoF$cCVdt=Y8R46GnIFlHgC(bz0Kl z-Z<|QQgAC`PW9W_(;4{jKf*1FJ=lfzbHFOfl~&4b!j|ZS!Fcw4!dgd#*6a{X8J~dt zjjGsjisNoND)3-L#@J3B|`0#2Eo7hSCXUyxihKT3j$fKgz=5kkbJ;qW4ms?rr3#G zBO&FC+()IQQx0|EnL;coxdcjM){r(P3;k4d7^(A_a**4J+TJUZIb)Tg)^*`3V3!-h zH6QzM0!WNfB(q?MdzO}Oi1j+Q{5f2&?wXNMJwCdUY3OqQ;-qaWPM;)Jk@7?t3G=%Z zIpyy3a6DQp89Wy&?k~7-sMD)#;`O!9_0PUDpsRp-I{pEN(j=YsmGyMDp%nB4_nWx* zV_K+>dw4>mYsIx%{?>COeiHFV%KQV^x@`6Jo-WTkbcO`Jz)D=>%LK})OeJt5f&o%e zyY2ergetcyU9LtN2JsC_TlyEp?Om-+J{La<*NhN@ivb|sx+Gkz90d>!XOoFAB|*@R zFt49BvyG;r*~K8m-$`4h{rcOcq@z{JOSEe}Ptq|Jfubzxat+ZH;cMi* zC3Y^)duTCjOzZD|K2DZsgJH3}{@a?=XCe;elFHaG;}?pYIl&TGt;<1vTWoi2E3e`% zZfgVmja>t&Ea-o)4S#E&4D{tab9K|~Jj$5fmxWd$39EL*(bCDX|lp>T)pp-gIp+udG+kri+t&3|8V2Ul)HeQ7CSXYS0zFj60vK#WICeg(VrE zl1H!Vki*L9K+zwQXYtvzx~S}_{>JBwlQ+I<69&HU2N{uvb~l4XkpzZ7l#6RuKztjPTEvIr{G1tMumGZL&6WfH#NQXMImXtoxHi_`s zy3*X-30K*T9${91yfd4S6iQ>)ra1E(N!ow08# zC9(>mGc_Bcpq6D3Uo;P=>MNPVk~jdl%m;Ef0WSLU09`G#$~ZsTkn8pRD^}1OicXp2 z`cl0%)R%SJ@*iJ!%1A3~wJe&KKIA0^RA(1=ecSJN9%7)}(V2MqW>BZj*2m>U(l@fu z0upWbmsJ~eP%xOoC%S%TK%DuFafaq1>3KHK7V*xIz>|hApyZ^nii%UQkb^THw`T@K zJ5Bkg=o`@rQiUz)z*@=8yo2W&e`yYBEmWI}BsZJ(XI>;Nk0!m5R@&lv6=PcV*^&N$ z=W~tMh|}JVJMhJ*2lq+#PhVuG*JKuh!yUa!F{#)WxVdRl_ThB3#!r>RjXrimLM3Hf zYY6xeTrDi;snVxcNc;i5e}ELpbq42+nvBzR%^DBwodAU7O5ul|=4}6v#}fSFh_baV zSCPg#zKh+ZlgbCl`${+aOgdiC4UKJ9iO~n~7@s{+euG;X7-}tdoPRs`hzC<}=BlW_ z$nA3I=(TjONqL1Fyz%+6!XQ&wygnJ&JUZdSk?il)KNiSivJq5`nnwXa{k1bB2jS+9 zcRyRNjPqu{vrkBfzsU4N7WSFG(jWek|N@B}(%YXMIq!7&w8bR??{RA|2(Gl$Mq5z#aJX#498Ff@3|mWn!?8ACw_ zq+$qV1sXBcWyEe0D*-Jhph5%X&IJ0*l{Of)MyR1Myn>ZDO*0p0!_sUGc@__I$)x!Q z_&Soal=wNZ=RJ}>O)Wf46>OtDB0FHrMc0fS(Ah%}LT&_T@c!3RvRkjUL*yUQtbB|g4YS1ns;MfLZ6l?18nC0-T zFgJ$za$cAON_=89Pd}?#uNU`yRcX>jOWRekbbg#&tg(2a%{3#Wlig0np<#xSHk#|H z$Zg%t`yo}Bs_i?rSus3(tkK!1O9N94SAi}w6Cwy*;uduiq82AYM<*iDvpM>!xqOxZDzU z+Wi-0>>Wgos#Xl{`06yk=EdIv`DpGfjB3($8rb@P`EprOMmS6?II#`{BZGoyLn8tW z5*qqp1P8t73-;XVzS`-QgtgivmA29G#q0@1&;mi=Po2?u&X?RYagBl+JTY19*A1w3{!Xq=4JDIHtji1}BSjNwOgq703t z#!Iz{=SJhG$k)rTDy_1$c8_$EkfiR`9T-2gRX3%f^HRRFbdc)qmS}d?#w2Z1Yq_`k zZN95qY4pgttNqfkZINPoR%3sKX9|H33#Q|7ZBu_oPi2ix(f&#RVz7fIxPPW^G+Nnw z>*{2G*0s!8Pn7Umf=*3ScahhR^KSyrFZ~7uwvDdevFN0;%~x1xbad9|DoD5&@Cth^ ztY_AFDzo(^KniuL^so9cJa#CDVzbXFR8=28Lgjt3>8GxsU5isGzsQng% z!b!1HWimVrUyMo&6FMKqO{Jm2_Q{z)Q9pFbq6BlU&-2iF5<-Mw`(q+iILQtb^U1XM z@eKAo7v<@>hw7Q8*{MDly#D$i+-KjouAeA%ui98HbftXnE(4ur&XtVnn~{vt?qOv9qG% zNJDcL_onsE*I7bMOJ+x{j`u~7bGHG-G)Kk*BjazCMwKM>ACZ+Gz5u_@ee6fM>I&v- zETUHr%TDe=jq!=Ab;iH7nQhm_)nD!Q2LI6su>bIJ8GC;gaP!`C3}e6(jjukyqOOGvr(?qfa+gx{i00(pLA;VG1h8yJn$uP zLl4Ge{!D-PBad!nW)5R+B4fq>w1fW&>d&9c|0EU;#wSpTg38MPa&jIs5dwmfSuDum z;mvR?yFwBa?i1{qMCi!)A%UGujI(?^okEE^eLgxXM-+hz<{LOq1Amy7PMGj(`eIZ) zc;lMu>c+LHt6RGC;m_RYd0X1}F6Fxm5qm-mMWuob#IP=xpLZnZ`ZaJx<466>x_y>i^pY!|SUF)!sROrsp+nOnDt#nKaqN^G+G-!-Mt( z1i$e*4$Sj?c0W5g%Mn#;>y9$%zSDNqYvI;FWveaMIHpx8?5HjN^163SzbYm&KLF38 z6uN7*s1jyi2I#4S)}ug}&jeJEV-6jDl?F~!t;=Fd`2Ct9ZR~ZRt!jCqXZA&wihf$9 zI-|S;m~9LXA2gOj0tS*K5n+c;OaL%w;DZ8$oHmMxNT?DIqH39%F$Vz9;R69L0V6^s z1S*&K4}zxi(aYjm&01tN61TsF;k$PnG=Cnh{liv1=iIlW%1n*be!cY1-o17>9I98< z7+N1!o-aG2cC2ag$b)JpiWZSkH9EFa)Qh^qL<+ofj%uZ5jJs?s)Xw>Wf)&1xYxeg$ z+)0J}eR7JZ&{0D)DjtbaUfe0$V_xa3sUJ>MA>8(xmieO&#vhDcYG$@^X-GdaMwn<8 z{DP(^PC5K7iFVCsfUOq_@6W1fIq6J6%&sfojQ9kKmL&3TbKrDzN4d(?1usD3dNFOV z`jLYhH_zn7T-xpu|y;nrA(N{2#^L3AO;!$;G{6+;!r#dRodr`!9_nhxf+2o z2dgNq3_g2pL~NBF`f&j#2_GA3OQp!b`~0tl_Jc3uh52)@J_a$L{8t~=Ym8>Qig+h! z1R{_EAdv}7>T1rsBxlE1Tbj^F)HsdJqtOrSmS6&%{b&I~cqF%_5;5>^jMJC(+8)FL z^4BV8@|}Gr(Q1sdhSK6YgT*}LWd7vF-07utqyT#vcjyj{4H`t?k|a!=mIfw?h3`|b zFT)|8cCw?O#Kc&H(3moaxKa$g?_!tM`0n+l(Q*ZC+k}Mn+>xJ+X4m3@*_PgJ)$sG9 z$F|Rsv_8xNN$FOj>T;B?zFBov5HD?V*H!%zW}&dWrf(}8L;5sudbDIhd1Cs2>Gjk7 zwN9HEj$7mJk7sp_$?KIDYaelnth~g6Gd^nTYMaIZDA!pnU2#cw$O<11tkK3(E91U( zW=-Zg#h1uE-t$1TpomHZrEps1>G=rQZ~dn{D9A~D2u@~$Nd=mtszBatM z<^#K}xWJ+Gb|UjSq4J|)F#}d<*pfZfnjEIG#tFt@CX+5@d(2?EvQw#Ez^>xswv#(YnPvY+(-H}>%$R)R73Vy+2Ec?550fKQ(9kPvFpXtpefeLvp{xL z%%-t@gQFZ0qh$2gU2E<0X`Oqo?2clpcicC|P5d>k>($5Kn@`}K{@+rh%24tJDS?>^-oN^6&Wue!+( zuo}-*_8rKwcvBsP)fxgtGjxW&)&E?mTLS&@*fCAIkV}$p%6qseqQ2bJ7iJj*#|oN!AVK`eE= zXM_GEaYIt{;qx4bH(WlKSGd)JKnX~rBZ-TJZ$}2u<)*eflJtGXH!erg0d9Gwh_4|9 zU5%dZugZP3hpxg4pDw*gC&xS@1+)hGU87R2>Nt=Lgxv`9OF8YFhV;ofEVGJoswVUsLXztIg_;^l zSIufixcg?qap?=ExEx|@Is1j&g5;LE%&p5(ueDKQk*R($Lh7>e#OgvzfKPbE;YCT6 zr&ghKJWB64-w<;AKS&k3gH0tOl-=}XOY&;TfHVdXp~i(AbCGiX zCeBM}l7Y7!{__)*EddU;B&QGQ|982+S2}Sf23}@>O%0 z1*hm)pZnfiG-MX`xRE>i_ZFwkHGMni6>0nOy5`)?>v*+k5#;(}Qd50A%&B^ko<%f#B%8YBki+RhnE+)dy|z{OBauebmUn88Bo{Gn@*8j(qNrlThN?^=$~ zgjDQ-ZC+3^9@cQFZi{kDvmssFT@9K@+Px=6C?;*`8wab$Z2Lf>WVt;lsUgh zL)hvpm5KcWWTU<5XBEU?9Y-N`~R@8Y1}*-fT7kSG61 zQ0#8K#GeOxftGg4EO`Duz}*gb<1uT~GbjJdQ#EU{V!K&WW#VV&CpA7F${y_}ydxG4 z;?BuI5)9yv4VGBG-=&uF+2Om4&~+v)V>PE>V^bDNjnUBzXMdzij>UP=(dkdnAK7HE zS5+#3ydh56o+729MKSAYtostfCfP6f8VBbQ4V#o9$TM7PI3QOQ@`&>vK;al09I6Cl zdQ^I?5JEm+%R*s9LkzJK+E1t(R*}F8MDFnJj~GcHwOWQv#5&YcCh|Z2h}UT-eJ#QF zgO6UO3v=vSL8>DHCgLrKQkbza!yFI4IwnbIG!+gdY_-vL)UmXk_}`x=y%r=twx&Y| z#^Yc`k8mlg^QqYez7kQcDD11NJYGmN?IX$M<_!>rm;c1hy{Bqf4)+*{y$#vTj(<{6z@V|-{*%nh6EQridn^?6PC_zpCgsVx zTLnAa>e)G~ch;>Dvd`OQ-PhSTnx|Us!qh(@^RB4Y*`O7)^ELEPQO}A$O=i3{oK=Vx?5Af;Hi_6F3LA-{vP(R#Jytn_-5hrj|kelyQ7_2U7hqwTcAR2Tt6@~-`WngHl2D}|A2Q5$F#P^pK|%6yksuD z2I03qs-zR@sy@J!XTyG}>YXXnc@v>{_qg^+(9Zb#U_3OINGByEzs98rRN5+ID zTftT7hJ&T^@%75;{N5+eyMkkz`b+$2H)r|e3_hMgzdOzpbgQ}ARU*rWwX2V43+ijv z2#d`UlfVHu`ZgG@3;d}BMWsso2LYxLijdbeiWp9Y7xZmRo9a|_&LGu7%>vA27vhE@ zx!iwr$c!zIlD)#FdSeSSv|Vm1@kb58F!AQG%KSfo!IoAdqKB*cD*5SZ<(JoXqQGX6 zDaXuoqlHFR$XKotP>xxEBpOH(Bft(H8^p;~#melA^5}AgL*K#3yRPlrB^AB0RW+LL>w~7kM`@sNm_rKhI2A}$xe{uJ ztxO3Vny)Pr6Z0eZ_MO=j%t3JZv6$K_=n~(R$nWJ#jK_={at*VwrO;`Ygb(a*~WI&i6#FrY#~t6 zQ>ACZ6i?uQ7-FrPMVXm1PLzoRAz({92tFy^vvRxu5#MUb6MypLP@2nRSVyDY;&+tE zP%@f<9mkVo0;RQ;sLE18L{ZPxu%RA&m5Fo=W!tLEqA@&=#L{TiEtfelh-9X z#^^Mt&_KB&WG{wUclx1#_(e|V1N`~r*SsTHO%0~oZl8ji--yZDc=tn>{dm1)ZjUO) zR5h0QS$QE+auNg;`$q=)|5#xUr-c>0XDb0wG)C`LmpPqU%``$jYty9vuI$?1C>&$G*Q= zlAbWqB8%yrzS`r}9A9oGD$tsBe%8hl+KHmheZyfTOk?C#%S4Gwj0WLCT473qh;RUB zBYDd&Bz)s|(Aq=zT7Et|i7^@O>(6ar)c zvy7*L;b0{rBc}Sn&cwK;{Q0&tHB!yMvbe@=y|&Vx28JJFYV9Tdux)Bqo$Hpf^ch)D z&)F{xsLXQa-|2eI?8#>byeiOa&U2G(la&OY8ZN6nA)9RaKJn)fqS)y0rebYjl3uy( z_r)tN&SN`f^C&sS6J;5jzj0&kYK?~Fo$FH{?K9?YXYR~K*LAA9wIv`odZ+HmHj7Ky zNrm*A5Go-BlSt1@JM9r$O!ZO6)TFwT=ajkU2^!|0I{A_!8pt$cw888!PGd+NKE8YR zV~-7lMZfC2x`WuGjO`?Hsfg-gt8*54Skl3GSfu>Pvv?KoJXK5VNLXnz*h>VD>K+aT zlLofKZfm$R9aVHwh+17Kp_~LG0K9=Pf=K36G=Y)~Vfa1+`7<#PU|^-cHTt}##NcbT zX&|!VWJ%=AUp-|d>*STLE5RFw#@Q)|{AWG(j#=Kt6_H~n*l)JGX|GQ4a3M*f&6iSz z(#f-@aXX#%l-H1-#`=Gyv9K3%;v_}HX2pfe!nn;~PwhNl60_@5TazbO(OmO4G9bg` z!+oQ>WZeeQ;;5 z(Y5$rnl!4TuO8J~xb)Ibwv!M+PXi$S2Y4-zLka+q;2SE^Tcep6<>lo!s4OYz>JYe1 z-|!xfBkqGp$XBE8n7!UoK7!*5(pwKOhk=bC^49f*pX_zx%FWERp+0B!Jy0hd=aOw3 z!?Wn@Ur}RvU|r5h<(F|8SOX0XfQB~?97_^mDT0fW0AS&^cYhxVA{vN{t2!0;TJEW7 z{RgnyUTIp~yipxO4T*|>GYT;_&82X+VVVcxPqj89*>PlnEI@WuDmnqqG6owcQwKBL zhzj)bdBfboch?<>Bav;-Q!J9w2HV=e94v;?_S8uEqJB1w*9_k?I_oStufZOayGLjx zQ}lfHvTSX}#+}L}e`;9}Fj7hcI-_8FH2E!8GBWUQq0Q0G=BIbS&3?A#)vnn^{%Xgz z%7aIsUx~%Yt&MxKbC z9na4%h@Mh=s-L)^=GAWC2gCIVl4{E?r`_pE%C5BSuD7$yYig^Rqlfp0d5-+vnEfEt z)6jmDq%HfjICAms>67EOv$3FpgP6X_drbL3Z_}AxVv`*nFL11VA|6X56V{JC>6!4$ zFf*S1>3Mc)H&|b7q}$(yayQ^o^H|k0V#1W4!X7edVJkr&idIjP6OAV4Tf2>IKl!V* zAMh=NfPK;k^q_VUDQs#+6sqHt*FyT~rD$bxW0H7Qk~|y&l^r{Jl32~q@3#Y6bhQ>6 z^C)6{r$PM?rh}O2&LR`ow{w$oFZjzbe;!4Is0$vmFEfDl z!}7%!k&(d|-h%vfvApHlTGygmJ1!sh!$9JAs$EBsS%!7nhx9h7_BOGa6tDOr&`~I> ziRx1ANp}49p6tS2rC`vcb1bZ~>T$-ZE`}$-FH+4wBx@tKk@k7JlY?>j&BnN+&lkUK zmV+^(fQg=~8~m|EJtwYF*Kx?z1YZlwTo@fGPD!n~UTYHymiVm3$LKimMP6@Le~Yi% zLc&&5_L~c~H&#M|6pD&$CGie)&Ad^9I3MtFA=Zz>aV__s;l%se0Ie{9m(28(|K0OE z1nxaE=g|CdoaL4@F}h(=nVg0!by*Pwc?CyQ8T2&)bLrTJ6wmBT>^ zuEjNxJm(C=mUc_)L4QTwHwv?$v>P9K12tnW7Wh4fwnMqWOG;zWE!8dV07^ z5yI$sY|M zadA;Zbs}*itKWt#oR)S(7LPp+#wIt)yEh>iDiXE|DmF+h3q1#Gg2lCrKzz4 zR!)UOO5e_*65>>&U}`n36A&!%@#yQLf&UbBh2+(Nl#2r_-uE}S3ECGtY;}Q&Z?A#m zq|bOG$mdlN>~Vqu%xNGzMF6@P+eRfT;Cukc(`{Wbdbb$|U-WdRMW#m#J7bD6zrLHl z73J6Y;S|Uh*y;B}>s?QQV3MXbq`IU(SWfRA^3&hgm%EKx{bh4a@7|mfRhHwHE>xrZ zquY{>!XKL5hY2~C0qaLp2t_`GY zr!!YrS7hl;Et_X!?_Okn3=BnTs<>&nhZ+y5FwPw>T^!HUKg%?%Ydf5l6*sL_=ce_k z;C3GFe?j^4O=(AWg>jxwWkvCuxr5E_5Jdmf>0(E99siF$TNSS#ce25@J>O*9oq3(0 z^*8I1rj>UMiD!5AdJnF7QS09w`;{%b=Thkg~L)B{!XVLtaqN zG2muOHhP>sbz{c{LNbW;7a46TpW8qZn<|wPc-Y`zYUR>rG3A`-_vuu4AOYq3yLSJUxj(TYpW=!WTLSvPqUX|J2oxbq2f?Ai<%~Y)TR`_AN z7LmB(8?-K1q&s2cT+%_~G+#leF*tN>9y9XPH?;cDFUDVR;k1YC_co1zUJGWCTaHmp zs$23!YAwP*yLfTKJFy9f!3K5_mOY2WC46p9V`pXA1+tS0@oTX=2G44zY{`h9(0uw;8yG|zBb_fg^v>bd ztmsokLe*Wa8TmH6P;w`53iCOJ%e5Lk$ibUXnh6;awP~Z4F#pa9s2# zKb;9R6HG43^}Ok!H=y(U&e}s(13Da9|Nc_F>eCB~7IpFpYGMr+mXD>~%)#otWGwRX zt_<`_S$OypNs__N1-^p;bn~M<^IY1(rJJC?Qo@twCqExt#}RM1K5ZRw%&kVn=|t^s zu9)lnFXT0fZI!xUZreBIKWu%*e> zpX7-dLVey^xLj5Xl@xVpA-wfr@6Rg;zE@FjSkQAADnRJOCx_@jMuyr%Uns;BE9-Rz zOIoo{fht!z_dcqRpN34;?d z0w9eao0!l@s(XOG8%gX3N=7vv7W~h-N`fTeN4~CNcZb*dT>2SSiEI^NFLVE<;1W8J z*`5Qioevcwe$MA7JB%u-WGpy>MEG{y@1Vee71Wop(}MHOWr3X#Lk;shRzVYSZb9$= zS<{81i||E8@aM6}vw6fjuV{YKX4t;EqW1s&Bhqiyv^UDG_SaEQ%&)IUCabmTr_{`G z+I}7n!t1pRL9s*S)yk5*{gP5%=-C(jl7i=?s(vU4+J92|1i9&c9m;?_dynS#^R~&$>6JzZ)Nox z6Kb|~zdc;*wbph10mNP*um|nLyN8;|{d<)pt=Y}%-8}&pFUVf7kxQV188l05+WW#* zpIJb7bW*jiVu5ljIOpzE>=SWa_AKYSADQ<2zk_@xWHe<>-j-c;q{z+->zIW-geUly_|rSG-sM`QJzduB zUt_S_`8~Z;@~ieC#WDeM{qj~+^UJR<{{a4=1OCOg+4rpnFGrarHeczSP&gJCOPyz2 z{nnptB-r9p*sl9nGx$k!GpE;S2HLUln4PYJBhl+ix{yk}WU#OW;-JT%T1&sgQ6ts{ z90DF^6x-A?ZI+^M|K#{WuC}cfxU{jOU1lHO)VR5=P}lrNU9&1CH~k+#1LdevIMV)8 zH-%E0M=qxKct&Egsn6CsV-~Wvd}>EyYZ7gIr*cBrw?N)PHXA{K&jfwZR~lZ5uhR!aI@d z7Kef^yZnCO+R<>_wO!6U`hG%uah1D+FWzL&+ood z75dxOa=pR+L(1b;Hbrf1jmzOKSz_F$`-O-{&kIr6ryI`4?JeEOeztE#m{SF*@B#5E z71Os*5DL^aDo=xz)%HLOK_7VshMCClJ}xpo>U0ca6M`#0!$q$S2?FWa$(ZmnRPl8T zIf7ZI@+`!|QC!}PiNpg$)T!hIZ)xy_BI)sE;!@ZM@Wn>LEC|2E;^TDv2DFERQ&K(0 zO(U>1C9QeFzD&_R?k$0UwO+YYOM*nz^Sv|ajy7)}H1*BLqIQ4ec)1sQ7RkcCse@h?sbeNtE5ion=D~Ol zt5J;dIev(Dy}Y59bC=F83F%EsWm>`N6wX`piwkvC9Nk&6epkpKJf#h)AJ0(^G(j!9 z8g%PLUN5WpBoKMyWv9M6hA(SEZ4!HA9ebpYer9U7z;uuZwWqwf9AKUa zTU~#)m3~XxbNS}&k&&E@(uV)8I+XbvI<=5xMqlrPl`yL0W=zL42OI5^wM`AcFS|o+ zMkgUlHkYWYqeKtKFKec*x&>^%ei+%$=_DVn7mm_u)$FR(-IqunXpC3QlxQ`2Z%vMB z-pj@1>F;e$+PJ3(C&~%yxg0iIJ{t%fE92baoyiaLz-r$+)bfxlVp*J z9phM`U*j<3Wy`9C#-fAv^c$CK^kraZ5`xFjphB(NYe)1jh=0OpskJHO)HyhR{ZH~c zjBV28n%Rv=^vfNt=PyF&M8ALIa-wP2ZVqB(G$0kW=dDin^`#R@lFG_;JJFehW}S#H zw*<%LlJ~_ck7Pi>JaWV4pTc5NY2xEJEp=3*42Gzlg@>_<40AAa{`ZTM!e05m0tUJ1 z#g0{uYL&XY$qdWA3HuMRmL+Z<=xMjXc)I`shsWuE{|_QT-M%0%@V3+QQfT$3iv1y1 z{iU4`X-T7}4^qr@y9porD*kCT?aAoQ2V!BfL8Rlx=H=^?zH{a3ggglW0~7dP$&HI3 zdEIxjv(Bo(z`zh^_(qU&SQ06c3gLo<))15C#SffJ$f> zF$FLXFe?H>$t{5LOy#yM^Y#n`^my$@Rn`8NQBm+yPZ3)(2d~=?-AE1op!o;`Vt%Ko znquSC3{3=ApbOevLkx>e0DF0Z$K`|!Sq0(;{5=Ci%?(RYg^tHnH@V>`qkW@Z>du|r zKJKz@CzRJzT#0w%C6&xB?crXQQkRqJnXr+9+Jr4_;YyZqA2;T05TEq0C*c^fO;ZHG zXh6i+$MFh7$Yf0c143g!0wzT=X8bLI;yXyc?$h^-an3S&KFvm?bh>J3rOw>>qs{h# z@6{iqQi}IIR)PNj#M`*^QEQW>{{U7v`spN5F<{P4iyC5!04Q-Kz26C6R0i1cEC&Iv zie90(Ss6YD5XiCyMLBp`Fu0}OcYWklMfDrxPx>By??kz)tSg#R@MTMOdM`s#OFK(m zrY~_sBFw8KSxK1J#AHZ;_$px3!)r6oi<}`qG!o zab!H4&d|}ht)$h3lbWlHuI=HlUmduAxsxXYvNUff>3cN?IZa8p_l>z@?K{N2kx?!r zM7X~ZD6h1%NZ`DPEO8+squ~Q042vZY34#m;0Hnb(Su@VpwPoXl(=1QvD>8e%J-O;x z<9jb#RI999E4MD|oWe_pyzztBW`v|JcRWPUVWy82&eRY+gu|aHu~iC%lNv1Sp*~lWfa7i2%&}mQ%`9|3(Klj zl=szq{aE?4pJS|3y0}z2RBhrucowN`x}AHvzfwLF&nwxRx!iVvafObHsdKF#LXVM5 znEWF$3;@wGWrC0%UZ$~oEqPl~rTZr>tz(=Xp)UyoMPQx=00;mEkP9Nn(ODKrC19dJG9W#kVnSG7shmfLwGo-v$(fBBCR{{Wx>9?qGd00IHv zNMIzo@y|lOufz|@^!|bXAH*R1;Ef&xkg_K=ABo`v)fP%=^A?}UA6Up` z5kR%@7h9&CzihM5bOy1T;=c0gW*U68N(8qKO&_^-lmI zT!Tu%Nto40OY5ihml-cmbjuRaiY&_$G}R)~F{WBzTKI}FubFuvr<2C^^TTmzx31Qi z>>v7`SGQ?*c5fW-eEo*_ddDvv&dXI((e3=P9C7S+pn1N<)KbxDsdbE;U$N+;gFL#e zab<}}n6i#)Wh5+(0hT2t?m{ah7LjO`{fbOck|T)-aUjTsBvt@%DH4i^3;<|{M9?Mf zQ~N&A1EqR-nHT5O#*0Ac&;SGAz|#;0PwO8q)S8cKs<`uuh^{>PA5f3Pw|bv1)E4g7 zO+(J*V+URyC)d+yFWJNSOB5G{bL2L1Q$<9CRzOTlZ^%RxpO@kwKs^COlu!ZyzzvB2 zF)57=c3U*Nhx`v7r;O|zT=hz|B>t`v!RmWgTe{HhAFObXIr=)Lj6OFpm7|?+LFvx%aqDlZ8Vj2QmiJdh#wI!H7 zAIPZ!Wt;G7Qqrtx#|qw@vhv$m18@oYA0No@AD()F?Pii6*a3it@d5x100cFH1S||} z2{bipYL`}ZDba0B^usy;yd?hssbi6#+T2>xsIC?hmSUUE4#_QwK4rH_(y~@fORI%% zMbw^g6`ne?mb*tocZ!`{XVajP({tB&d>mib_L7%{w7jyp32XL|lK7r|Ba}ZiKT+Dq z(aDCnXE`MrojT`?n>6(+Y2#&BJpTX?%DWrc44C0k65=g=k0mCh@c9$9*t86k*Qrm_ z&+#GHCSM3={(T0^FtZ*jv{ZI#l@wRTl$Lt7dOptmCR%JhmzOxp5z52bWxUgE?{PZ0 zQ;smwH=z{>#Yds5Wt}r7c4H9CYiID3pNGUjc_3|#5&&8nB}lB2fen(F&_06=-8t2@ zsR~W0$}TCVhMAZU+*|(uUq8LJys?v0omi=L#PiQ48hVB8DJ4qcw=ay#I6J+pvYhS} z$9m&RNkzrJl3vD-W-8N$psCiU396V`am#~VkEz;bYnAnI)-^gY#{9gjZ;mo_DR!Q{ zEGvph`t9MKl2-I8{8Zrma}@H77aC2SZ;FH$x>#t%ylYuwO5e2>m130PI#2kbb#3)a zO3})%75!J(ajW)fgrxhbl8^4JW;r?69h$g?_X(zxmVFIz;b&=iOC7$$ zUMf|tX;o@8j1*&J8;2Skxk^R&$&m)yGjdN!?G=+h|(NEl$tB z;*`^Ibmc5OohM$OP3tXspFg+kzM1d5Bl{+d2#%#y0lbLD5db2RiU9GfMud% zf`RZMf&(mr9mQx-qdK$V5L={W$Arf^YH^$=hY2mRPn@7Rx(2;(t5mBBFq~>rS#gou zXFp2PZ8Y8g07a*@&G?^I&VfJ!z!J6aU`>M>45fIae7{hZ-qlU|Tqnu(53GF4UZ&&P zslq&NteR_sw+`h?>*h@_Wxx^R-?$g`bLJcAJc{Ro`4<;$Yw{ENV+6)56d z=Y~i)`d?^mwJk!er5bf(u{XM+!ha*QjU7Kov$3r@^eO(X@HSrj|5E(44ei6n-)cr6=^urZYz|V;3cf_M;lbsCuwk@ z6~|t9&&Z7%Rj+q+=vJnZY2$B^)Vie#wC4$>&z>?bqXnhK4MN^*PuZ?bdYzxVjJyjz{Tb|}w|;sJEv5ee=%0yR8d`n*zmH{X zu9Ax<=~|5$W>c)aq_}6iOjCp>@xec^e$UIqt!q$^?zj9=GtuoO-6?+7lp6C%;U1=T zcGKciqmMofYWg*mUVE*-%J5&;8o6ViOSIG_#aePxe7t!Zv~{a0zx&pG9|j8Do3^Wm zN^TFIb4ug0Q{CXGpS$6re~nGC-lczSR$dB`>HFPG@T*R)HazF19#0Q@qukojomr&m zuB|*X4W%oZv7gYp*Ru{=A2CDoJ|C+34p1a`mvgF6i&J&E>6MgahOwwpmsI#`#U6%l z1^qpgK{j~@+6%bH;Dc)z;|6&4mZux3ETzg)C+4r}JyKwgIJ-+uasL1+QJxdVGL2fD zpuCMt!BTMaYpH(}{YS4rnZvW~1-)5QRkuOS?dg=6+f7TDnY?WEWtYaa{mQhpomq3W zaJ^4GPqa6Zlb>}NL&;~1oi5bTooyzkb)O5|@#c>&q&vA(?(C|;J{qS#h5LNXaGUB| zUZU5uR3#>^;HY{^a?DxTqgEBDs+6XjB)Dsn!|Qa^JFlcrl_w>Kj$-6yx4)g08dJ5Y z-oZ5&O}-N9IdGoDoWHlSsYVrPs8xcK_?A~F@qMATsi*4DsY&rS-a)Px2d=Zbr*&$o z!~X!;XOz!1+Py-ZZI=DoQ-rdooa5{qE%A9-n)P~J+%D|tSBFW*KO=7klB@3* zi%H@+M%})#Wm-N8@#&VI4I=*llRGO9{WGUZPr)Rg)z3mMyEA7CJB4Wtv#A_6FBkPY z6f07=rl(43OQuuKOy5=WkDo+8c6Cq8ub%cov!kt2SmtTPy!szUhr^G+`L3C=k7=pG zH58%WHNA9s{{WNeaK{@>eYX(h{T^gv{Y%v7sWs}|_aGfOKmmRI0qz+5&6L%|ZzJd!X6ljfbf zr`>Cgq;um@Ym8?H(xvd9I{hKbcBxOx5KlfwU*f4=^G$oKXg(fk#pQJ9jj!c2bVs{O zw)6dzSKy7~ol%hZfHFV;6DPMXj4obySRIQ)!Gev&JBYV$QZ-aw*5fRs%**Cb)_$nP zfJ6*TWRwDs$(!33IdqE4`4&yN2hMwCQQhm*=tmwDqP?DK0q<2BK^ysViK zMF55%tcFPfipa7oj0cdAWEc`O7yu}SipefejB_TEeH(bm0s!3)U&ICvk*`UAu*A^M za(w^+j)VXM1OSR)fshPMc}Iup3;-}J3^D}5l_*PmDaJE>T3Bo)9sCp~LF4;FOS#n) z)>x>?&O`hvIUh&E2ds83r6rLYBUuoFv^!MrT^Kou0>3`LauC76_8b%ufL&yLC z59}s{kTfPzAX`J>7(e0RdI655HCCI1(v>+ZIJK0_Hg*>FS^7Oau3SsSoSQ?zcNCgZ zjwF-vnob|0AW*Lp=wK3(SdHH^7MWiNBNqH2)4#RGjP?RZu>QZ>J+wLHB&RHM6$Wj-)@%}+v{Dt#i$FE4bX zoIkMi;Af4>wrqJjZCVv+{SQ{9zMFVc$1CP(>e^f_B&TmRD|z!59(n%&gVJi6Z99r@ z_FJ3!(czprnoZ>|@bspoZ#Rvt;|BIKmOHu)s)n15>G!@S(&>}SUFetg_l^@zs-AYr zFDl?lE~%dX07$%r^_@EOx;4u5W1RYMxzm5^4;#FIq;iedn7i!Ljl}9v znw>ex$;TY5nmcG|RVPmAN(r|WDMhr`*}?7bMa`uPx;@?1IMt^5sV;{2^Jg{YG;15X zTgp{5$*EaX=2@4A9;o&)kB1V(y?q&q)`dG-Frh{YG~kqCm!Y2qd0lEukDvCA$Mse8 zE6{v4r5r9)k?ypskEK}9uZ%gxH}g2%u(j{B{_-oDQrxlkGJ6ws32A-A=Z8*hB>Ldj zF8H%LuTzp`J-qoYSjnpeI&Ko^{4C`$x0XoOF_+f$ z<}N9?cEPDEucW5A#|-*ZJW)Pxu3b{4+T~JpF-kQfx_HVyjc(MbryODOvCw}aarK!L zi74jM;>Q+DSy5ye2ayzk@FCz|Td6^iXzG@gFKlit=~V8gIJFshCT{^K;dVnrsiNA~ zRi_s^l22@2RuVl9SE!;>DWv{m$R>x742XE#APFVZ`L}O#EpaJ%QjXpaq@OYE)m1Lh zRNcx-DWj+H`j^MP(53$XYqa6>O?iBdfvKd$!%w$U`1`o$%`OeI7u5g=XvmzJ+Kscj zv#&xE**V3i%h8)aS#WWCE1^`;>DTY7Zk<_f!g)yT;4(4>82|tT0fK<%>(x80CgsPE z4=r^_=xsS&rF%46o!)WAeGPH-K0H@o?crNisa{Jilz8W+Po%}36ZCNv-(EkF?-_ae zzXm`W127W!PHwejQu|Mpc)e1UnPW;pDccCedJKDG}qtl}CZ62+brBdRo*LKs758_$ixjjv~?-U?L8ZgKW7KA2HBtgXO zM1SoyIL;)S_B8t+FBMozt9d&b4l7zk`pHETbc@~msV5BKaN!29xPmXmU+LCS6zNi4Q_i1+$!tu-|$RFstCC&Os^ zQjh1@@V&Z?Sl?UDb50VA+Lstc_+9F;%lmr`3hsBhT?>k-Dw2;ad7T{mjyGjIS{74Jkg^j)Z?mmquSDTCYd1-%R=)IWJo}Z?z z`R{8z((-HAj>yxm9VQhoX(-o}n{RF#=c_xi^JaN-+lx5k=l#0V{)>H1?hkY>8*+{~ zO&-^?_q6YHx)o_-7~0Z##tskZ1%KP=JW0OuDl49SN&Ov9{2jzIl^UCp^vLDk9cFcV zdw7ooK*)|Q%4rmlF)1P%z6OcGXcmNui69X|O?r~fZ)h$qZFIV|Y+&V8W2AD@^#idI z@NqjKUh?BhRW&6p^L7-a9j;5)2WWxt0MY`069B*mq4NE93b$;WC(i28kJx=f*!g^O zb=!{<&-jO*M}wyelBMHmJyQzgZ%l4Np8k@>XFXXR{#J&4PZ>+_M)}yH23NnC%MwQl}nWCk=Wf&sB)0|lX> z00;t!8vwu|6hNfLmz=o%5CAist60MJ)xua+ z5^genOz5wO#dA-+r#N}Fj<{>&CB(B{7Sf8Vc&+Xei*3g^;WKu=lY41Vx-gy>)~53Q z<5vEgqkQ2PE$^3?&VFaOwUeE#CnvixP5E+kwfCt;uXJB`@R?@n-R z_OdQoJzc$G)SCNEF2hf6(rNjuKW1tf7^#S*sDCC7!h{wJcr;{O0g z)i+z*)8~n9OVIXkGyIb|FB`Elp-OUts|4IW7S|}uqW-I1C=vrnN7xfu^8v#$*1}`d#K&{_?JIni}Zym{oSVBzeaLuUajzF{TxMo4(6vh zbusuIuUs*YYudq=7EDoV^X_nJ8f79BOpz5nt|KKBkp(mf3;`yL0*DleOEFMmUm--L zfD8Z(p#a!`iqB;^zeL}z514jYy4|dYqm@a%d1un^fAH=($AVn?aD3;mG2hxJQ_d9R z{?^W%C-~I9zL|mTTeF3nVWm@f6@-k~F>cialmq~h+ENsJ!3_WbLqUNdum&OIOaTl?qyU0L2^U1K5CG8vV@xD0 zbob~5WCH+QA0Pl;fs@$47=bZEW5}4$0~mk&z!yN6+6<9Np)b#oSS6r18r8M@?kUu@ zbvw7iP?j=xX!oB-r{8~>x5e`^N5Uz1gDh3zr$%s>oLbMCJZ_Ow=(@Hg(lT*=N41jh z{fC9UUCyyzhvA;&Z-w5Im-hFt_)11P5>uxK9AU>fE-&|bn5`JtfR#ff{(TA&N0IFn zwCQRJa;T+FYr?uTdaFgY)|TJhUo4#d&{(^>H&Ty^tuGW}y1&Xg78&{-4g5D0+Ve)O z*vA=_oghJwF?(%}fARA?{k`U)9?*AEQdFF_xV41i>UudB7xMc=xM8871Z7MB3jhGc zf>@Qw?KM>mE~F)g-Yv0D)aG_FE>~9*#}SH-er7(`TyD6fL&__2J3W`R`aYKjFOH*g zm&-gIJmSXx0F$zTA)q-rA0rW228MBaL8@G7wBYUFr01Sw@R8bPIa7sLxK)H_2lZsK zi!ORc4^g=KcV|_^sml|Cl%l1MSI?=->}A5GsZN}}yg2!z(QCFJOR;p|xQbYect?}b zC^&1Bxoc#eeR6JDc=W~p0B6x7{)R}Hpk!YW!n)5H43R`4vIU~zOiE3Xia1@e%(ObY zSDIP$T}%J)zcCi)Y{WUG(KGv5R<3oopB`Z0KIo?(V6^tvsi; zOC_1~Mu(`aS52XJ8g3op_*>2y&_+5GsnMqjRA8Y_D-n!V5;A0$&=rD8YzY7!K-AT| zI&I6(N^<^(g#8{b`^8s|wBI@D(Dt39mfa5V`azyM^la3%3zv?x(nn4=IMnvOhK4Q% za*bpF0R9rBOFaJoY5PX>Ug=V|@8>s}W>{GJk3i9iwVl1j$8ky(TpnWQ9N~$Z*sDt4 zNVTP38+*v9ZS={T0I?XQgpycEE`S0U4FIw)v}_q5#dAHD^(sq^v2Q+y%zHaZ7rm%X zbLC1^BgS%l4_%UulXB(JL7pr0e@#mI=6Fr0q@S8P@wvvQ*U*tbIGozT4{?YgOW{1d z{=Ih%dYzpse9}!Rbx$6rsS>A8a-PWVT_ui71BQ03-EcAG2!Z5GFa;nR5XP;815A`q zL6HIg0ASFt1`FGdqT9}<9JZ{aj$dcBOT9{^S8+*33*nOCGF8B^?KYF^g|)M!k3ZQu%2xGZ(+xIy1WsL3kAMIfhJc$GfKToH zjMMoZUaw{9aotygd3nmm^fcY3t7Dy=^i+R#Tazc}d`WNDwfwMNrUYskiMxbeOCecRf2Z5n&E>Rpj;|1ul&RMsn-57zbr(av@ z7O=Rrp6YVpK945Mhl9X=kZ8JBke>NCREv9GMA7Iw0sjEN)BbsXW1IR#+4Os5(xa1U zV}`zGORx|A#|8Xy!Pl4ixzApr21Gd#)nRc)6=_XMoZ_V!FEnMzl(9f0GN2Yl!HH+l%FEeSHcck%7uK07x<@ z8wiPlv?yU{kN*2q11;toRUW~^l=aHb?56o8QXusKE0Y_5Yfp%h6xsU5b#A= zC`Gw+if#OkK9|}W8it`#(v3Q)!Dn=r4HpM&Xh4W70$?}dD3PJ#Xn;Pj6gEKshanUK zkiZ2Iqn)ejP}3;)l7pY{r^$L%_v;H)aT;=hmz27T2L3>h7(PhE0DD=$!2n~#6vn@Z zKp9&SLx?ggfeDWQ6tFB5;z$tb!f|SFQcsLYWfxAIDN{-bB)pdc7)(wMS7@m@>9tqx zt>1CgX0D}6zO|~nr51!fJnv-cZM>W%(oyN^dib6nvy$$$Dto_|=6i^*&r@94)$IP8V^WlOn^|8q{{RP_&@~C^_uodk%qh=?-wrJH2VfD9b%^j1keSBT^IkJaZmR^bF0lY=;z4D6AQ1uuzdm zB_KmcUsw(gYpHJ2;Zi9*lUen{4#(6|v#Aa|GU&NCJ3~d)((V*|qU65Ai`d%AHLBA6 z_^BtKG<1_=K3A~GNXO`0PIa|_BnW|E)xWT(s8Q~#Wh~`1@Y6R2hkA?_R+Ox*RSF9b zS>c{0DbVdQ-@{d#YtIM1Xz*R5#jj{8Rfcnz%_r(>hkYe|0xxt-ku|KUceb?ZN2KGq z8&Nr4t~z{FOC=)FD5#ddh?I*I92)o3VOrj#Cj~DL3G_BLgrnj0^Bj7qe0ZM8wM$;9 z2yn3|?N5Yz$YlBUhv4l~Q2zk!n$NNHIGg@YQ;&l_=8mbS(A4eSz0;C|c~XZBGk*s! zv^KYQ7A_VO+fh8>OAVaoy)@p^tSD)=H0|yAgj?s$vvobco==xnxYab;o!wgX;Wvkg z8#MK;W{x(uE_%*6H~#?6-r4;}d$-i;*0r~#N|dJD@|;#uXH&7(RrLKHohzk^j!sHG zX&!o?Y;Pe?6>T9Vn|qVT;6m-41$c=ws|d>Z`U&Lpy3eGL|mp4j&0af?@0(r>Dj zK3hks&~@8wVvC&}(Ww`SLZZV*9$YN-c3&N=mj+`lCpE1RuWw>QYf~F00Iw@fSAu~I$neN-9*NaDzo|M z0$|V~qKGXrC;$Ku0Ga~@&CD%nx|Lob6_#VuujpSz&fa`uEj5N^xVNWqMboOcLP@WY zgG$pVY5V)EwHf{_>X%Tj3#Lu}wx>LC-t_e3`VKr^ zBj?r&{^1WV+JAHCFXA=Ljw)X;z>sidusi^;fX8s$Db8?PnoXp<&o%nbRk-GrEwuSd zjI?@|O$s#WN~5HwS0GZd{21|`b+S3Z+s;9Z(Wg8PYx~7v2OS_z=;Nio< z%4o;6dyb@Kx+%w$)>B?+v|+WRs8NM1wxJai{MnWyt*jX_Wuhe{3}JvUT)|F^;pHf_ zc)bE@jEX5D?CSB^oM-be7c=De@t@fz5IR>0+&@6IvW&cspX zyA?g!Y~kk#^Xz&^vpl-3al022BuI&IGSZQ8W;A7qC}L~E->|?>OXI=mO+&Slho5~c zKSSt;%<5EhyIE3k@~V9O~1fx-N9G%|C+)%yKR3)}mX?QB9=k8>&(SDDitv`0xyq+%t@VmZ)^mf5lS_A5d*i9> zdrz!W(`?;1wC*PsqP)*F*gHzPhN)8Gw=kl&KR**^UAerIsd{}PoLp(8CC)i60Uv~@ zqs-hq4IqffDGW%Y1mudBG$_d`)hR=F!lfj#WPc;gc9XMH)~+d3jlzP5)so6w^%D}@ zdYFvIZ%?_SPLy7DMMplSL=?~f1KpaQ% zwsZdgN!91v*-+0Jl(2U@4M|e$-J-+Hlap;>x09`zz@EF{3=T~M#KtTDZv5cZhNEda z$7u_SQn-&=Y2}*@92*|ZQnl76sCTYUGI567VZU<865M(u84Q9J8Kl5%2o?a411GH4 zg#9E-Mpzfy7tai)S|ve(DbOdY4jl_hN6>RjO)*+ zPMcr=a!`iEfq{zRy(?NSrD>?bD=of;4L4M@)akj}RNkWTEJdt+<=AZOOi@)=V3~JdGI=#ALxNv#^jb0)>V?WITjOBy4ySC~TL2$e0}c zLt3=4tqK)e? z7?;9^ds%=G04cJGMFJBT2@y>(g8&m_e}D;qA^arn2v(;)BRICW>(PYOG-0^^041Yg z8d`zQK==iTwWcEIxR?L+$3%O29pHeuR(PL*j_i^6T=IA#3Q*L9R~=xZB66Wa*o7y}U|fMX7Tal=?oU zm~7G-2#inw0R+#*^7A|m^*Pw^aLk~v+Osov={7w#t$tc>4Qc(KGoL~0n;eyJ)$&)jF~tK#^) zj(2EjI@(Rzip$%T_HuN7u441%V7+^+>#seG*B-ese0h$;TsYiRxAL5KuU66RG2%Xd z*!;&>g6aD?DQBtl2!4G{eeD#EfR`D#^AtbXg)r7s#DwA%8=>% zNVWJMOsDXz-EfbZ>r-F#gL&h8BHQ)B^qNn?zDEB5lj9r|R94U&Ug==s+L7ZsZKpU^ zh2t%a(L? zZAO-vV^ZR)#utTWmVEm{lF|D`52bBWZ@QG4&fN4idtY?*Eoz;eDY+%Sl8_yt-iG7vzIA1l$Wve9TQ8XrCPcc{5eZ>o-vaSho#WdZ0W+5?(sz_H|EZ? zPF(q0aj81(QOS^ql@ki2hJ_KNL3jitHU(f5f8{cATv*Xq8WKVGfkME*G6U*^BoXt^ z(pMh^2x*Itf9y>$H@AfCAOMg$IUw*NmlJAdoQS*vF_RQ-;3e&M!%Bx zjKBakVM~y}WFCFW3=G3_bxPKnoyAIUhpgn0l8tCpikuu|n#yr`O#y8T0D+=d(3wIW z0fsYTE=03K zXCtfN^57WXV}S;5$6o$XtF-8F~Iy00T#d#QYRYIECg-%QJQq4axdimkmmk;WWX zo=+)HOM0Gl7#&5ns>wfD4?`Qh|t3cZ`Mo_0F1&rWlLvxYMMs(xN>uVwdtm0#wZcxHu8 z&0a=NZ75A=hr#U@N0QE1eqIJzUZ-!D3Kt6xtvNg|xTkfh(u)11b9ZK{cssy zxVZIMFYbLy#{77jT~|<*WUAC|9Op5?&(*5by1aGjruT59_{sE1C`;mmU(Pu=J*%Y; z)#`DCV$|0uVUct!X1}e#=gG*PVR^rB`J6X8ZlJLHtiM%{`gr;0_J1uQ{YiClO z^KI_TRHeD3b?Rqp^W&xqdHVw$9#Hy|$Ai&dbJ|QtqMV|A0td~t7`t71uGZJ>w`Fxn zpGl(kMi12{bpB5@I5MPKM8v!tT@NM1#+hl7i^%!qA zrqf;%<`%DiYO&XK#&WvwQi{ETosoNm)w-rec$m5kb`?+WDQZiaE=T-yT-x z=TXsnGj9shZsp0v&Ahm$?r>;o8sw>}w)Yj>A4eGFGv8}A3sTeSQ2Oh|4F2)sv^`Fy zg>h2ewJGx?rA@De`L&a;46|6r8&a!#RAPZ`Jc zK8%49z|b@iwjVsrF+rF&yS zym6Vw#Fvvg5j>sFt80l-CM6;YiD?lM& zL!W$>3#@Cpb3neTV=kn#AcH*9?9br03uW^O7 zE@SVIn)J-(YnFdYv79wfAQFytI zeSFVNhtmg!?(ZzFm3h#XV=dX*+R3q3w3m{LQKv~iExCM~<;VJCk1X}dHAw?=~oQj`k7E`>FMO#|!W$;^O|J+cD$M$no^MPjM+f+0y%YM&%yVLNfie z2h)i{S!DdyzftLO2M7M9wBh%4ek?qrVjA^_c)w`kn$Iz56Z1`uu+gVOQ?jirLgo$n zZo@W|l(~yde92)hoQ)k$#a~*w4sJQ+JZB8ue>@r*!?=x&U!vvJ#~AB@I;Ga-r5bj& z^yx#SBBPeElQU-{vy!~}EY<3oY34Gi@icXl78CkbN+A?x#+8uBvKaxh&i2X`K8H%A zf6I+bN#_`6q0fZDbwyoT)uBFQF*Dh{MtPXS>f~wRcPwU zp8c(6GD-08dwN*g=w5TZPCjDMSP&zfZUM)|AsV;+{B3HxZ}Ze%)zd76xZe1FA)Fq| z)YNLzsrtc73QKF8aM|BN^pBr*ra$-Usy=vW`FS4x%{bc9Qh90#XVme1x3}A{&Y> zJJQz9)-BC78q)U4KATSm%<4nA?Up6cR!w}5ra;&7#yBz#CN-0h+G@A()7#Tjom}&= zW=R~IdJH(6y=z0Is>{9Jp>r7R+}2ZGNm=@BL9h+IPP~QcDUshTlAiH7&=p9uW)fC@901!VCid z852Vh625o(N0v#kR=GlM=fYSp*S)*}rrq!it@m5j7dBLOD{W|wev8hh_ZMjP^i!b02!(i5EL0l&;I~Omm9CCP{+X(^YcD{&zSbZH(jXirTg%T`5WOoN50Ug{U1rT zrxlDrH|)-WFj_^z-kd*nDH)D__S8H8U`0WImOXGZM34ikN)k$QNxy|R%VnNxSnTax zM^vG6^o6n*Z8gfl=_3@Pm#3ew3fs@GCfHF-=u%#C|twsrD zx6=bPH7if1+RlWY-OJ+ZfHGR%(7KDAy+t+CDe!Egm!au2w5xXrHzy~To{gO{PyliS z(ee~fk}00_CIB=b27m<*0EB@6zyJn>#teaB6(7qdB}66|nnfXCn4x3qB-Sw3sfw+& zytDf#bNoFFtP8i+Y>yY(OL}(tg+A*kPA@5OgVNes+uT{womv!|lonpzS-|aE+jT)> zovielPJdS0sdZ3BxmzZr?&Do(I)3TSR2PfMbVSRux_srGlZy0G;UlepGy1J#hg-7t zQvU!drC9#}`)7kvw`CXpn~eE*myzk(`+$DxekFL@p3L3CIi<3%s@;Zj9Byo+)Vs=6 z6XhvkA4KHxeW}E6sBOk)7rXsUaOJlvJhbd=f*8Jk|&1c!0FRJF3^AmX1zsW!KEuQFjC(mDFH7?NDN?4UiMfy0H-)1d7 ztx2`>G1ctFDN8h~w7u3v{F}-*9=)f>j{gAv0PSXadcL1LDBMFW;|<{CzRJ^-d^ZwL ztHR?Zh5m}|KljE?a;K#Qj+IFsV*|1mgZrBf|AZgr{SB-fXx305T~{WF?6u z@-`!Dt;-+z5&Ln;KP&nzVx%qmKJW1+vfr{4bnnYC{DnGQA9L%<-&AY$|K9!bD9 z7pIf$%{{WVtC!D({Las0rMpF;{5grv?aVjX+rQnZg#9=+yB9tBN>W_HPAlKc>C63G z{I5ugi4ig*jC6_~NG>H7fCk^%NlN+NwHejzbm>P5ZlqH6$@HpA!o8AShs`x@^t)M{ zy!Ww|dbQB{T`28}QuaE3Cy|Z|Dn1!{2&kM}WQk0a1f#_MkSjP;wuGnEZcEVgU5s0F z8)qer$;;-CCHh2~{b@>{oN2ctdoIe-ogD_s66&CzT=nGZ%dRX=>$I6kl0376Y>5_3 z0iZ4=nBu%yG9m#F0I&=IxWAY5A(a#o#f<`E3jhEBF)`#>312$>B1iu5Zu+@FarU0) zNSFP#-*Rz#7E|&(XXzzvyt96LUp~B^zd`Y7HjX*Q&XVemocxT(L|~9C9NPha$Yfvm z5-BoKNB{wg0WiQY3{-@C$6kx8+XW7vwqIfN>f#$s79lqVd)ro6GqX%%D{0Kg4ipCbJGjj=2N z?qC3Ve8!mq7%`zkV!;0ZIRF{~CN#(r@d00-kgx=^i>_+7I(=$Nj3U&zZc8~wZvnOv z#`q_WR((Xco%}0WuC=`?l7`nfsW|#GD3^!fTz^C~6ktOqJxG=0yHO`CQi8_{K1Zn2 znuO;ZT|p~8|C9O*2oBFHljw*$&{30+`R&tJcn!L{{V2d zQE9!6{wJ-3l%kw^GsgC;T z7a$lo+uNXtNeL7>Qf3RflcjGt(p#q$l^kWWV492fdhkxQmz(VW0BEY#lc%Y{M|1E_ zF~U#Lo{r+;mAyAowBrabHuyX~vwwNtRi`RS6r%b#^Yb(vd%Z(t3DlI5X+|yOGo;}! zZ2qizKGj}ZTxoDrYsPQ9O~*X^!?2aSH#Frm@g*d^k2!aw+*(oJRYzj8Z)|bXq(OF4t-iir-4!PA`|1vTsxEhUmWb&F9If@MrU5Q?hX+F=?-a$ z0i(M^y1QFCN9TzDv*+zz?6bYNf4}>j^F7xU=tG9!d=W!desR}bj8=+Z=c}b2I|tUt zjNX>Ddadl9bZ`H(!?h!4p^~VcD?d>yUN@KyBuHtYi*ZY%5w>?%8-%gK_F{96mwLcC zyE#i|J&zQ&9yXI?Oj6goW2Nsw6MUE1mM0!f^o2r+M6#6oH?dA>-US7XV zo@4oV&^J)Abe(&M&S(_BjhL@k?;p*g4Ny@*7*Dd_ldP}z%P;H_9Wk*f0dv$!Dba0x zORs!ZfwAitbQE{6rePV*mkL*U@0)zT2HZ|cHC{cQh`b0!U!oH20py`P{mOk;E6MUm z#noBd)wu4h*?KSpw-ofB{5_!GX3}z^o6YZ|b~EG;bY<{oD4@bOAvOmg<2~1OIh%Fw zbH9AJOYIO^StNm^4cx6hVXKC4!TVv9WTRhyO^8rp9Js*U( z`md%NvFL`G0TxC=^$E*mUvC{5_ihYws#J2GNUswx&@7c@9{o`z>ciL1aT6P^kJ@MhN`7<@D~UyOw`-6_&WK}R)=QX^2Xu!HGgp(Gg3L%SWlzCJ)jdn2 zX2RuHtnx9JB@0Ye5ybr^^wI(w=}{aKhqNM3u>e)u*@;XPDT?_I(7)<-`*FX`jG`&^ zwf5)1Y|@mcqkeq`WhrYQy^(g2H~jc>B#_pnGT!7HDfQ}~tlBXTNNuKzZqJBOx$@a( zqt%}6g+kz)bg9CXQDGHJzh*o9qXk{CBmYqZP1hg0c^;wCdU|=zr6zi+GiQ%uu9=2n zEexEjd27h@_3&)$aJ1{a(Y`yQW>m$GarATz7$XhTg?L(^HF{0EXz*GPvtK~{G3OdDF;`Rckd6?0h0 zPFkPKaGB_Yje0L!NM%JwFqDqBQijkld3Nuj_3}|cY^h&#P){M;K=WuGl9iLl(10)I z3EbhlwhJ6zGqhdgy~tslNqbC;#S_FkHJmtqBM%;VsQbAgrazm}t?bNcDkOY)rAV=qC=%*_>bP5L&wwc13g4l#Bhg^Y21 z^k?lZZ+`&^0b{Ojxi&oEG88jOx5<>wej;dhm5{=zme%6N!Yc^5%l!3~Aa0C+nFs&H zRr8_B+H2hCzHeBYo0pG+i-&dop@O?hU*?VA6s%uo6t{Y?RDJg@g%x&utrbH1vTeIC z!#(WA%%n0o$$m-I$+whl_RC>4N>1jkaen6{G>d{vbisy*_&)$Hl<(G-p6E3!ws_f= z2v>OqzeIwPb;i4U8^+z>SH{dNow>Gf=A8K2|9rW}OJ*_hM8Mcn!6T?1od--|XfHDI z%nBU0QIV^0r_k00tuHeA$FA_}rWL(1exTN24t`H6{sm?7oB)r^PlXd=>)Y=*tJ&BL zdBvjtRY!dEDmlYTfK|fiD@10mWyB`((VOirO=PSkT zc0kcmQoIs+(9m!8L?v7Meal9`V^~64vvRE0p)(bh0CxGA z2zI|rM)(8Hm!-=ctY1FwSI)PZ*TJ!ycjvIM0HA=?h2X_Cxbt_{&hG!?M-6BwNJFS3xR(mWL*6`2M{{L}t{_RX zvYIW(2m%~f(4OzT9i*|!K5b0$EApzmD~D_-FtO<;%H&bQt-Wcj$wE-9IW!Oy`cF6j z6QpXyO;B*|GZ1|~IP<_RjZV&woGfS3Yo(Cg%J~^v$nD22#d*0pJ(+ZnH((9mA0{Q0 z^rP=C?=`@cnE1E+M;##kI{+ZEs+5)`n89A+BT8DIX_b@sHX9NCjuwRraQcZv>Xh1O z0wFh~S)bPVl@G%Gm8gz_M_kKkz&78lptN~F(qFtt{>yClHA)NjD$&vrvA1&R!9SsQ zH18UtJfzU?+9@NqNhJ0n!YfUG>HU>F8w-026@X4D(~$5VfWq-n#qN=^C5vrtd9Jwu z0*)pxmX{lrvVidn4EvcSFX|z_jxuNdRxM@F3-{>g%w!gk3gM=Ywq%Z@wNKx;g$jNv za1f8NnTN=8W~D{Snbv)y?W@%<6GRc03!{SK{|6AW2Dx&A+l}oIb1lZ+{7_(=f8Ct5 z+JAt?RYXZAY}v7)pab2w-I94!aVxH9bmx6NRnBU+iu0(C6dxi#U6}jzg9S77+wdP! z#4=dN9(}vE4D}51+F*67*LvT@KJwEE|$kx>fN1 z2T&?W4G*7CDleo3la@v#;6zDIC*Ye!B+^Rsyup92?V$Sd&*dR3I$qy9QqGs{V+!%M zmMrlK4yO!#17DoV)ESe+PU6|lU5?d_i*0d$o6A$e>K&rTAgev0$)MYtf=%ABdKf3S zG%j=-7$uLZQ>G>`{&>BMhT+qRmRxrnz`OdK@qHvN>x4UH(DAdfx7=LpDH}%s zbq+6L(m=Mi?V|n#;AV}D+>3^Uo4|Z|G+8o(t|5Ct%tR=G;{6d-`#%6(k(j4(Aa>TB zrV~tZtrbFZ=*9jlV&oFu^gzXb>go!U@Hs48y%oGfK>ww1)T~Ga$o*p1%(kP8ud+y=(vzH4I>gi}LsHo1h`kKP5Dzh6zNLT&T>q))}IO zn{iygQm=rfO8Svd2>`(Kk7Rezq0YD{_)tifswDj}XfT#3Oo%(Ui-vO+8ijptWup0NQGY%?i~Gc*c!6??;?Tvvi`3VD%-#!CLKeW&E7Eu=3Pyz7Yy zz3njYrl+Wi78MZr@XNS!)<$Kv=la;S%?`0~s<2}79FsHL^@NCB);(tXd@sYRR6deb zW7yLV`X&LIvInOM5c5OP3=FC2F;wS@ZPw(mtn6D4D2x5j-V=JxAzs5wYB6$`jKERp z5$RtT4W1uPR5Xv+S5`aLd29QJtACf^zZwjtzlbh$~oQt2J3?hB`|Jwpk(JVb9$ zyzsh}^UwoiR+#)P87$|n5Mm_veG7BYsGjw3qI?raKRuxfeaFwGykzi@@LFZU!EG|n zd%QVy*Twx|QXi%@>WVTBV=fRg@c^q;a#s66+&vL}K_GW^=jb z9M%lJAFQ>}!k7{naeq$}G8jYykbdGZ85?uKqKj=-hO~caGsyXI9dT10+gy&Q#Qqxp zF3av@{pST=rBL_#_Do=n$_3x#P||`4DN3ef2rt0LXi9C7=>*}&DKpry&+<_TX}|Jq z9_(rIxE?HF53vSPjUXHz$e1-!F?rl*9e07hTw>dRaYnx;pYRC&1E?7=9tatUT1~M- z8Yi}HE_ia4vEME+oGn%!u(^<1ZPFWw9p@U0$MtBT90vd8kbk8o6$G3r3-){9Q-;0%8fedIzYM6aNzQ%`{;b z9jQjvI`U@iXo6%wT`4Po>Z@Krzx)+6jXt?1^k+SHe=BB;iLuGYZ`DEZ?;lAe!vD+_ z{BAEk+E+U>G52{I{(qheogf5L2k;je6M!B67w~E5Jy`9bI`MdQ;2pUFj{`f5?O2)c z)=XefKz3OYT11S8Dy5`zR5goJ`d_qT9lc(klyd8Shc)2kw3VwnoG%F!a zO8vt5Pa=X@CLV_o#{jcg_%3&a$;-E{HWZzOkARP*96{QgisIx|oeqAT$iB_i_wAr- z4h$Rl62+R`Gai>c>lKhYPk9jWSXIXDH!gad4MRX)a&dL-#<@P!70u3_KDBf-d}}A* z9Ql6eUCMLrC*;4y{V;Is@L+YtW4n$=N?~`; zAmUR!vy~SGBHAj%{H>d|DIGFSMLh&oL=hTE7gYwhE{%|u)#4RaThf5GP$D81vG=jQ zh}@CBEEKxQyjtn7MZb7bNBJw?z^=*gWi{EM$x_{C>?Pqw!4|*5x>UoYK$-bHb6%Mh zNd)h@MWpJ3_nncnPOV8~_sCNP=<^_Ay7kozXL~CD3YqeYO@7U5QHL&ne{*?#ro!Cy zddeJ+Ux)Y^Y04W?bOCig-sXn$eJ+_YDZIGBBD8QufH{un2g#|vkbnR9NNkOsIk|Dp zAoqoiQx+Wx&Sq$t&xj_{f`8UuLo64=dGHrYc|7#l7YOU}m|{ubqeC%7^2J5^rK*`} z0;4*^zTGpj5<^3^h3}D~W_MCG0^{u7>)$)*OiJ)e7W#QiC?dRIGe9sVHVU#H@=pLu zxcwJmjf1PUx!@^NgdcY!!ZFTdp-Df`S%3%{6)IfCW+Qp18a8Qe+hXP9Qmp6-Jfud2&U2EAHeM~X>Qv2Zv}{`ZR{&P zb!lgK6RuK0&iDjpe_fwTIukxzf(tt44T#SZg&h+P7b zds`7-kBH`qGjW^L%rLr4J-RQ>2sw267dvlOF~AE&39k5_Lpbx0#tXgyhMDwS0;SC5 zs!Sul0Fb@_-lxZ^*wSw0t;4Qkv3cP9%HZ~_E}wwnJed96A)n~s_G_$3=PwsA0i|qu z1ND>TKi@F3w!SR=sGaCekmw`1H+CbfGI!Lh)6^!(&IBfw0lo9y3wTZorEMNI`ZKow;nvJ z2y7)JSyN^q)l+_*nu9kYqwuzlGn)mO3{}1W>BlaD#Ns~y_(aS>2r!Aq8)nm2j3M5` zq2|+CzjxUf>=^L0N-`zqKg75#XopM4je3r^AMATh$(qKaFd={91#FyuLIq6xB;1Zb z(K=ACYk;mVR1v6o80vu})ap|ENiQ7@IpA3x>UGKNw#w~H{2EE?ELZ6e%JKPvT4!4u zQRnLA7GU(M*&NhypVfqH#b((ug-70fkD>#s>6uOw;#IDvYapIt`84dyva|1A3&G!+ zE}Xp+-iOMMXe&c*1*FsmR&tblDOUCB%hZ)OY&B+jdWU+nJpTe>=!F!Ch~`+$Q*^J{ zBHmv$O~Z~g2RzGn5Kr)2)wxP0jaDe(fdQSZKTkufu$6Q;)_4nD zQ$J^z;3|6FzKY{q$>4xEIVcPCq*Ax1Pc)YKcPtcEh6B4b71ar_Rn5Q z%9J)1zGMjk%Q@w$pHNvA@^*DG5iLvAhQTHn0aI(!6pg%He^dL_`qi=5QAT`CBV1-i zDBSBmz{~GFGV3(<@S)#V;tVk$6P)}J$!bw^@4)$$GFDTA>5F@)+)ii<(1TuK8N@V9-3tSTQbk2oH^6&S<=FEYyNcDRrr*m)+@2oVS-D-kwTTy>CL6 z4{kav&n45Q&lp?4N=?cJ@?tdedUlQ43$UJc>p8@=-U^+xjcvfULznde3pNq|Z!d<=WE9+z9Bk!k%S6MA-xS%OZ?`gQm7 z9$0Ll$+*Eh|!8vu0yFIa4#&sdm5t*nJQB?A?9jS8-Wpl4mFHMn@d?b4DG^sJ z)b}v?3owt=`S_*VfO4tp3F~{p*b4>wlY@#+SN+l5D58ieZQ1*G5QvzrKD7J?5EA^p z72(-ojY$$0C5KFi6fs3|;Pk{NwEivSw373kf@`dvRlZ47ezMEQ2+W}Wm!ALt9!Xvv zXH`hz5UF`1C(_v5jV)<1bYg4ReiEZVMm&Z=t@yq319f$jJ5}_6Co$EmE~4PcR{R zZ<;S`teKE5ejCd>Q@FFC(g57*c^9?y0GWUJ8Amp9W2AG7GQ8_P?s^tuEq?Fz)7w@7 z7x5n#W})v9foS0#1%{?rup!1aBih@a?-7~DZx&PBJTz(F%fx4nz$v^}wHwYBdJS2H zd4e@cSBfQv0w7(S3iiLtriODpsmGCRQYZnR6X9%< zjvPhVI(TM8Ra?C`^8!M+%I9z_Ucs-NN={pwp)*33K<|a^fY}hp6fj>5aqnfsZ@LOER~ADA@Ek_mK$n7oZK@*C=Vy(OaqD`a8~}Ki>C7A zIkR5_uH*CcvqMxvMt*a&gYm7M*)g_mO&O8k-k-0zE+-ClWs$apf^zhO64KX_^{wNw z5)QiU!v{Y-M;5Xn1oBA^GUM&BS~~5XMyqcmk%;ZDIL*PSQ@Og-dcyZFQYyE*+^@$5 z!!qp?U=d7lDH>|d(dTk4`cvKCrb(Wx6!?3Pf0Tb^-nQPoZFm5&d@5s~zoD1(jCHZ+MO-T^8d0R# z(O%|cBT7Rtb7^X}bnpAt>h-7o5l>OEw&Q#p@GeUX;jXzUYZ)w!RM|{gAMAY@olG@| z>T$2Z5~CAFLW_?&K4^4Mij z^I_3!!zJ)wMnfgz&c_}W!soJ`DVm07JbdgTjQoY$)H%qZ0IN@Eh37NEB+e$(0T@Q_ zaka$~_UN6!{FQk+XjOW6ukB&GCUCzYw2w3Or(Y#X`C5sS%euo8&T(+|%e%4e>{Qnb$niBn6zcNG}G(j6k= zGZnpg^5up)&#K^2>ArR&-MfhotZ7ybFdq4|YAC&c)q6u+i$kv0|4k);U5SK{!HAfYI9Z=%yQcCKT^y!_OnX`8Bx{ST_5u44inoo5jRmn zg((%jG{Lq0tktfW@6kPuia0FzrCsqGCgpE2w{&f|JYfv%95T?2@ft)M3n}>NJ<_D-)2qyIkL@Ob0H$H+Ly}i9l14m=gk=tkC@*T z=$(yh+~tf$+A8^j21u<^=Y_$(kD@sF=d?zyP>;4_(qJ=%06oa zv@&YncLRvOGoj_ZN8X_?)zmRAFQ--&eP%%SGNBa#ov&$y6P$R1SJQ64FEt5xCsi3% zD9GiN*q=Aiqun*PbGJ`VH5I?-HkHS~3GUObUc6|pVoy-xavIo8YXBgnknmJh*(|M2 zRA{V3B8U(6S(VeO*#l{sU-|eemJE%sT+X(Q$s;`Tw>8N^8yR;BPLjSW!B{aO>?_{N z!8^koC*+fF>I>fO$qner)v4DL^3IjCUKAlF3qQ>0Djm5t?53p=@Lxso zoo@BibRBKj%?t#g{Jn5zWL74RrM%RJ41oFY0^(xXl-Yai9z~Ryk9w==RkWaq>59NC%aoPWg+ z1+is5hAV#ViJ z@K*|f+d*7G#4e+{eZnrHWKcv1!DINqPB@XHmX+ycE4Bd#Q>BbvuB+^H=`Li4_HxmY zh;vj;Obq%9!1(mJ))h2@Bx~Pqln+swOOq{cM~b)JIr80O6>pGNEe7(7>K{%oB1Qa> z7(%!oQJhl$)yKXeB`rQt93DMo6O8}$SQqV|Y9zqEId9=t5L145%Y**4r*gbgC3ympP2^;U zSUla`!p{sWz|*CQywiwJGP7Ne(x~EjR4J-5VQ9o?J*U;Ao?@%2Z zaajVJZ+VDP1z*wy8hy=oJT~W@I8zTVLzA+ds@Bw?>zn$cmB5s+ju&hxGavc8I3Fib zuIXE;QCwYpPW>-v;mh1~T5{&oeRDkLf7S%m8_ zyykYeTj^@eUW~17E4E)iwT8LsMu&BTi0~ed+ug-NixUCP4cZ~=Udf}gyl-6e=fXC4$ z^PRH{#%dZTEaL2(s{%|qW;DiaZFy;jD0bhsf z?Dn;avfD7w4!d!}98{UWW&%$E%fa6zc+KnkpSv`Sv%fk!EXvw62MN>mH`DfaR*-|6RybT}lGl_Jq^^cSFZ-3)YvA{v=c?ugHASRy8&C$#yW|1L+$B1HWc6Jnkxk~8UjV<}?0 z4qd6&w>R;M7iA0GvL!orn$bG-mJytDcBKqR@)(t~QW@V}VglzuP<@TR# z1i@u&2-q2wIqyW{Y$zHpbOxvsuEQ0MI_ircBkB%a?g300FBwl**Il^Z?Y_Jt8CT-u z7_vZ$Y+%qT7WB|E1xB!&sUuw8+~Wuy_b*VQYtr%f#lBO?TS z;r{W1cG&T1(SY2 z4E)?ljvIzqbXZ7GIk`S%VBjjSW4}yQ8)|TLD9Illx$&mb^xAn zFRb+@!^KqT^7h$TZEf^|+wwE>1iU*sRKN%t3%_#K7IzjEmY9TrBQVC|CO~<o|UlvvqD*#)^&H-mHIS0--@;}?xmN{1Xin<#6p=mRI4Dg&pSnUM~ucN;G3@9 ztQ1s=|FLi|*z`p#&yp-8K3y_Vit4o;Bm7zA={LLIWd5A{_c1j`=3-HqAB`#N>lWCB z7(RuLL~+J01EaG--uUyhkB>Qb;53u=s}=_B;r699w}j zT6cG$2>Iy_|BV7vFJO=PSOiACQ_PGO_^~eN3yK~IU8!r)obOf~N~~Oy;?a(+zHP2@ z7L8U}meIF+Gv@1E^Sp}9Wv#PwQ^v1sUM!TIM(n`Bny~GS>^@He_2{%q8ujF>y41Vw zV%x^W8hy(GzFUi4zRi#;y<96Rg}X+)z&0<_s)RU|MYdC0FTLQT;K9czT=C0|E!J%9 z+M#g;zf$dbua!9!VZQ>sMYSv^(FiZB6iNf*Bx%fq_L#K`3IooscxHN``kG>q4=_!oiu9d% zu0M$V!UY)N!HNJom3mq%`ysp_ZRY5p06ds*`p3KT@3tnwS5@wQIDI-xe(>m?<)kpI z%9#~7Gv5^&>ps5zdgfW)PBO8w=C%ELO)cPySp_Vb%0VF35_h(5?}ld;Q*@DV!y-&Z zc(#EYilGP}=0`O;>S>$bR|u^$op5~6`?b!x!AivhTVsroE4$3=QcG{VyEkFAd71?ofFJL9`_lVW=pONg)l~mMFJR-A=j2Y8cmF>BoEhtiyXT(Um z24rC)xnY)ruFkp~G3-$?-=xUn!hr@NPkIwBIVuXvk;f-8^-%JyZeSa9G1uQhnXUQi zQ}?h69meRWADPc$Ye|8!h;AlYW1gu57y%iY<5JeKsU%L|eKrieMZndG2A=Uk+zmURfx7tP!0x9%1k`5QoNL8 z9{j^T!LV}bUtIwVuxM=bg+-hsF zQmGX~S9-Q16GNK&nr$-q2YrLU)PfJw#FwYWeqcq?VntCS z2LS-qUjWD!9LP!(MpvF%m4%G=F+LvuHmtJ(?}4O(JbU`9n&1YJm`5u*_&W$yDDX;$ z5w)-zD-3xAgcO95f@6(s8!xBfT;V7JD#qW>#Lq}cx2|pwOOiztEY$*=dJMncel%Jo4XiB88 z`Ev0lSO}33$h(bQ=bAfxXSlNAq!XxEek9_1p!B`2*L2`PpUG}I-M%ZoO`vV+Sr#!b z&Qq`OFzPsua?{uIaquNlsdN9Vs{zq+?j12yOby7ZYfbag6yypZGvM_UD^9wytf-qy zcM_)=iljStAX-2RV+kpIMhG=mMDYBJy)06MEuD{Y(^`&H3xG}iLut6fO+24wCTo1WmNwh!AbwIa z&ANq;1HN^@7zi_y$UH$fyO=toG~bTI`4h_Z8Q@ER`uJTDd^;KnE>Lef=h`;P<(iQ^ zI3cxQ72m`ifl}d{=WvX>)EdpS@0NS`a&KuR?}?1MuCn|awOYX#_03+t71;of^u@eR z7^~QyR&LdH(9NmqjPQBHh!Sa4nG^Z8#A+Lx>9fLec9%99T8cfSH1ijzx)=t%%$)ov z((td3*tvZi2p?BAm~bcf9Fp}0NKP+jcP;+|#2mG(`CzQS-ybOUF%!@%YEe_cBAs_U zKP%Jk*-jc4FcoP(Si5JiUAqu;KL84dv$-5z z4rdep0mrwNvAevh6N!{Cr%pmnKm^N!mMh9+0@FOZp6!<{$>3ZSW=1J=1p-q2a@RjZ zM1`G9O1l>3ZC+&L_8!geFNK>d+?n7G^LkBWKC$z4Npmy?skv|c zXG@!HalCZUwKD2oOsU{=u2HKZBDR8r zSt>lkld<*ti?GEdQgtq$>0#=maN*FoU%YGx4+%rsFQ{~Tkz87l;mK6Ys~nRbt;^= zwX(l_Xw0ko2n`FH z3lJvw;w)jl8L8*F<=^KEGcaZYrt6}tMMdYBhu;p4)&?@%mXHDO(hzcX$AKY%?2Lmj!U&3jN0x0zyl(3OGiyXH_M=`Y*ndJKcvkbLSSO4d3~R>FY3i&!G#vF&8d3aAuG}PX^Eqcq};a(jC0GR zmy=^_2u2PY?mn&(&#Cvgl|*(LjMYmy%ystUBON^TAkI1q_zNxL)*xdgIM+>0Jm;wX z8DpH1cNAP@hY!{8HZpYeRpQd|(*LZL6usJKo{Xf8s_BQq~*9;ed9(U;o9)e>F zY-KMZfw}@i-IVbPcz~(1gKvWnYjhvA2=t<&Ge&pbPV+vv%(EZ6-eMuPMGbfB-ly-Y z?a(z}P3rCq`pZ~4Qs^6THsz9lkRNxpEafxf3ZMH5}9!>%j1ES zW5#(1iIThbu^Y^x^Jl5XYMPTV=pf(9BFeEyCK>9WF5l{5$_+gmBzHOUH55EK@+>Vk zZE)}9TsxYpJYigL9pISp=W>kUS1W>c1K(ZZw}-Z&gUYQXq)7qD_V1%Web;(>`^8Qk z;)EKj5cWuY*EHxMRAg>8@c_Lm9c;819MLW9Fwm_=TNq(&HWc&*?8i9adW65+`^&a` zPq(x>3wMV-*-LG>;}n*%RxB*2?!S+XamK@S!n-IP`qE%8sCmQ<_io|cp3Js2Oz)^2 zmuK%Rs(lugqpn|f++Wx{yc)}KAsI0w%5%axquqPyj7n&6c*rmo{UBqNy*c%Luw&NM zHBO*U4u%yokY`(>Keu%6dL8|HV&%mhHk*28Hk`thlCL*kGkc_3p*9aiolUwHv`M_x zGBlSez_p-bC6P{#0o8s@N0U|bq3+L5_{dC4kWeK1k(m9w;s(xEF?Tm>V1;If(Mr^K zQDw)&1U{VUu7K*O&Q&}`6|QmRP?B02@=m1Y+F|&4L^|t4vL>NjSuo=K9*Yi=bZde8 z`s4?dM~Thp0_=KU6O;Ftoup%%OlTp?a17#=HRHD7xF!van^B>@@3B!2~eqLaXT?azsOv%=^AvtV5V(q2dB-2HmAz93y-6EakC z?@OEsKr8!UI@l~gnn`|^d%YRmAj%%&g%fRg#*Vt)n zh)-kTZO~9P$}T_`X$w6X(R~Nte*KXjDz)aoIBO}R)!#$y2C=0!>*#A<$i_pqPeq2H|*ww>#z>^!Qg zWFc&_XDukD#0!y|C`(LsPW=vDD%J`$zABYArcc~$yfPNl;pnG@^rdP`=Q5!6X_Ki> zGZ=cq=4>>sdyZWlDl2dFW72TIMeU2sZ_6{*PhD;)C_Bz^s=|HtEXcAtwOC%W_4RJf z7&Ke#a$W1*}v zD(#tN0o)E&jx%=Gx z(donr#*U_i${P|U#od^OOKmPbLrMxviJuPp_e}y#viDQa_&XZ`)D9JzQq{%R6oKii zb-HIJ(7;FY1%Kbyz$WJDtN=H0NPyHtG>!a@fRb}2Ke%Frl=G6Q_TC2c*=I%IvbJ<| zhtYg4mF^^OIa>?DG^yOWZ3Bxdy%W*hsdqqo^(|!gV1zX4Hy(iOZyW4pnquLIa06SV zA^M0))WBxN@7n3GjY6h=4G0f|Xrc;ZdO}!qGmeH130lO^KY7sS#B^N@qL2T6^bOSy zq+`W7Ga=sY!wVj^zDiZ!H3f=Un+vnQL4tBqt;vo|D<2U+nkKKirPx9X-{uE3%S0 zDr8+C0_US*M(Lcp$pfy|BM~trIWtm@wx?ygzfNeFcJ1`6 zUw5Z}#7EurLD~h;Asmz~Rt0|-24BpZ+&5mY4-r}aQJJ8O)m7Td+je*kJh{v2QMxN> zE(i2E9AsHCl!>MoR54mia%WL6VPHd4w~*(Bk+R<3n4f7t8H{1068&>cS^Dc2*(ppC zAQH{jpucEH*vAp6pXEyWyGD49j8^BNf~rs={#&{9QWrw7B8K1bw(+}Qfxg6I%A}`U#LEVZ9lqYuHUrw z1uHR{I`5o5|2k-RF?ZjzvGH18??4}?k3Jv~(rTiT%P$@HKyqb=d2mP?!0bn?tQrLs z9&W6isC~ub6V~kvL;8_!-Ia{fA5R8MmVKYUO6b$p=Cke@oB_GTU1yh=i~xgLZ&6I^#$<9K?$*$CxaUY)Ij@T2*OJd@hrlIda@kvUwaGKEwt(j#)4XlIX|E5gnPJo40@ z=$b9ykT{_)8vaUEeW_l&?ipz-Cpj0XZ|m`I1s0lKj5hkN zS-85Keou$JTIMI`Hh-x-*ZEzJ<%^6eCALqBOG;SIF@!-ou5PB%drTvJuhm%743Tei zQ`=#5j!)dgUHlsGbI1`K>2kQBek)Gzl}~0Rq%-@ubhT8|3izvqKb!l_84X&36_)5g zc0HLb1sP)0NJl$5oSIhzHmBbHTMy>k_4`c%GSH0#fgg;FbA+RmFb_(3zZ;sR!w@&$rM)Mj zMr^XIp2Xbav*zul23{{%-w0)kZcrx3gf5l_&@|vLUIIgR1K!U&6udc1&nA_&GAGE-K#(RWYS%MCKiPN0+?uTrhd}^MJ;6w;hv8G340M-;z7y!{l528fe ztFgih|9<90j>pIR7ID=hPHTEEvK{$9d8kd?+V$s8#>3 z98;OAOZmk(JL&1A6S7@V$)7tP7=2@uJMWY89XvHxDtK3AzsTR6sW`{fYk^Vfz#|^HedSwDvVoS);E%Wn-ss&7# zKkl*ole4LeMWPw?4D47tEA?uC&|cHkRVJ$~wvmVqZwa-kG*&KjtwyGX5s@CauLsF6*-5fgkmr+7q zX*6>kbA-ov_wJWk+bnELNM3){JM9<0V1|Wl8X9?E;X@$A`f4 zFQERoM_I*u3@P)0-?N`;%`QXYi=TO*9ne(?sfcW z%E>LWYTuYkPHtbmVI_na>dZhFnUnkv6fZq$Nj`&O6&URQ*=88RHlX4iq)&`e6{8|* zn`Yt3<;l6Bgv*~od92MpM@xM|zzP)OQ~tHd$TY)%jDsP2L=QQ4^RMIICHn5RGeh^@ z*KBQ>?fbs46(QgoY1-sn>9c9BV7@Pa{Xgjs&9@AIT|H|J)?3DnuT26h{t2s+l7(-u z-hoDc#8Q7j%%ukaNPuu%L{uET?2Q&1?%{(av%Vl<%b7{4Zze_`N;MWT<=aRO0BXG~ zHh|lkbk@0OO-oM+a^}Kap`cn3z;B@bl4H!@Ba@%3J*I4=c75z?F&sT0j6#X@N5dpl zf9Q87>0l5sc4TTq4{K6PA8y}f$Tt@G1Wcs|@%(ZxmqmKYoRBV^06I;5{U(RO^c8r% zV(V|>Lg9Df#kp$o*#c(nM3nt6!6xzOaWsE5B9Z~F9u>SrdCE8t?bfB$JqzJ1IZxL+ zkTTB>i|;|+DHxM9b-;cxIyI(bW;EpW$c#>K&O=9i`N`3@Vp;L-`S;1QD4Q>#8%&Hn zdv&n|;_^g(pRluDSAZp{ zMV8Twf<-B`qhIRLCnI}J!PhIEMqRezCWbduaj$u+;n^z<0_S_JG((|MG4|(DDGz(sbso$;rlK_ zP;+s%+-7lL{X{4L>+pBQvpNIL|2R79sHncTi(f({2I)q+Lty9<1nKUS9J)&xq@=q$ zr5!?~k!~1}PC-z*k(U0x_xJwGEY`YnUh?%JouWmZRIstxSX+N zQcmk&R5l8e?Jmz%G@tA%rmkM57VqtQ6N5dE)UIpOI(Op3JP{Y$snhmS4=%V}TD`NG z(ULyNN=d<+u`!;!k7CP$>FfqEl}{!9nYXujh&|oP1LbQy=1z&@b8-Ve=xI8s)h7=I zC>=fwV<>tA#teV`Tjw#q(+`hD_Q!xw4!gX(owOIAKAJXd)t z{a^@#hTf_>I>)4waJYKzlVlG0vSrJG(UZ1wA=P5jP{RG{$vv!;9H2?^*os4sC^^@11PdAIRGH1#f^)@5E1pI zMS(^RrW!hGs&Ez9x#ql4+QOQs^M2@1r>aIoFI0aeN5fVBwVnRKQ2OHggRhp{(@uyM zl9s5)^5gw%aebM!D`(d|ti{eYYIq3Y zLslQgoo+rfH(B!3kjB2!y*Z_ni#OSuzmrr;x2pf%Z@>Rxd8aUeRUfA|JF)KkXO(Ul z)9mu*xn$psdS3P2Fh!t5O}FELEcW&9MB&-z${BSP?<|$h7vS$+gpY@pE#A&C^5lq4 zHY`kM+!=u#KE8bQO&MYf>Fjzj?~es%6L_8T;)T`kn70n(-3Sw?4di`h`Mpds%jl!| z!bLK#RP7t>p3xUWwV6XA!kBs*(YK%&igjCqYcf*Qb6y9huvqOK9)^hsFhfD3$PG=# ziW}(&JtIm&YIkJ1f{Cp7!@MWflIe^UO4_m1@#_thj^@>E=0^>c(wpjik*5PO&ohy= zRY=5!Cxu93%^-rDO7z>p@qd6(03P95Zi0UA#I_hgDjh3TWS&bgey<&Gn6h{}M{pqY}}ma@12W^}@}( zxes(hRz-%{iCv1H>iUnKN<0E6+AGyx@DR9IvmFm;IYcq^1XEf>;7`G%V=#IFpf`#N zP_$z-uJ|YsK=5$eJJtA?QI4b2V}uITqKAzJfT{oh+VkkF0!dM*MOX_>)C4PPuOV@= z7Q~1HLNkOFy{?XzIRYRjLxTvRP#4QC|Kz%w`I8#EmMTN}OdFT$*?hRy_GI>V7DqSN zLvoa{K>!V>6vDSbDLsSHAxD`LZZzGJx9{&@!=rB`NX0xD=s%&K_z|?RlIU%Q-cKXs z3lQ8Mb7ObV6vGM9XIYZ?FdG7I3{++`o#0-X9zm|zxj(yhT0wXkIv+7OqfRQMk_s|g z|6ISWxbaKtq}7m}X&AZIHxcB_F{>%Nko1zrf5;%EvbNv_%`{>=83k+@Lx*5n8uaDl*I#E!%H4w zEx72Q1f2}z>68rf3-}{yvp~Y0);KNnLFMXrs5G`^il@Lc6lMSwMG?g>uJ43hrW`qE z@_XKSG`Oe;genQpVOwBgOPH2!5=|Z$_~r2+W}8BPCRS1J9!o+#N8bbzcQ5@FlZkk& z3KZTPOw_dZg%C)n&oC2isbEI%RGYl@msU+?=Ci1eN{WGfBcf}BK-BoNKbA~&w(2HZ(d6?tQ~xHr;njYVG)ByAaWRd>U9iTs!UKVp}rLmHe}9 z^!-PPx%kN|klCj`mDIB{g?}n|j$(nq1!PxLvR;aQxg9Lq4fXB)_;Swp+9tF9a{aw> z#qBq6%9nX1$%V)MQ^{qHvp;j-o8KUt>p;%h9mDymx}a)lSPXAjuOZ4eQjDajU^VhX zo}b+rknhA#WJA*Dl+=ZXyDvPxpME4xB(k}unUnn8@tEl9lsu3^j+OlmE0ZX<%F+9B z%tzbZb8I=qANFhZr0Am?_NlsfN7h)5lQ?<|@Y#rx22hoQx)WzvEY7`p3Uv}Yk9hwz z>W@OxWf>2N5L1(wpMHeO@xSMWp+9(U*eh4H&Nq0kFAe5zgk9UOdM_KDa z+nmc$Y5KtF)@kbm?w*=D`(SyYd@J%t_e{;-N{;l@AAZ)MWy;tD%A8nSJXVBe%#ygV(iv|5EdI`Ax^x1$cz@ z*H*37O6Ie3pHO4baARc{)@`q;QeUfqXquZ$vRb2%%f5H7$MB^fhs@*hSiTjPe--_;y7@WV8c%A%YD|*G>%+EoJX_JKBsFa-5uLR4cWR6NlA8A(M zU?Ts?#U-y*7KG5O>#sihzD_kxY0&0_(-A=Lx_sWLGTi>t==e}(BaWlLG($@pTenC) zr$Wh!z)+U#iP3C_3NilC;?G{tx{uOSrg)-)map07*zXpnYMI(OkpK0ew0mVfo=Dzg zqp*?_gI`QD@)Lcq1uq~h(;Kt8Mw`*g2d%RWt z07ugs%gu7!7e8*U98b)uulXi-i@MAj2s73sab)|@;{+T4Tsm?9UlAG|w1h_wCwVcW zed)sbuV9GnENAOujbGYDf4|$YWS-Pm=#|o)yIW@TfCVoFMVw1aQw?X-Q4Qt<1xTl< zw<%F4MjVwrBYzdm#Uv1yEE@<8Gcb~ZQKHiZ(ADwtJOpy~W_MX?)S#mtXC^cE46eWm zhjpxZLr#GCqa)9l8@cF}IIFtE%A)%uk3^~8XT|QS(|U<_W@D>EQuW`B&NrW}Xf8P0 zY>pc%Sx4Ix^s`XTv-gSC2oirEv+dTuv)*m-;h0}!pR2)5^)&rRt5Ec$99R_!0>`{} z5hh?Z5I*$2qh$GK?dj!zK>7Wsm7kBY?XTYEk+ed6j|v+ zTXn)dbCUPZn)_u8NL_dxefXf=YbV-Y<Sf~ zl7HVM^0%&b$b;C2yC7nZ}_Fnr|agl61To73H21nF^==W z{(9gt6@;BSN#}}n9gVNf@%!+^MO)WA@nHs%b!e*7QqfhVWp>mI;=Zx(5DA^&}ld48wS#_UdA*sp1Q|I(s7?CORNr0%!%_p3thNpOJ zzb4VO09x|E>V4LA!4f+q-M^6ek%Vc)6JMLTu0d97j^0|se>TI6 zAt}|!wT{X1TYLC%TP^xV?hr+uS=hKOYtAvXu8qpFS*L~y57y2{wI>_y?#}JGMLf_B z`2=zsdS{+=V2iVvH`CvAz zZTs@T?{BGgSQ@J~U+zAIgplu}c>nVAhJU|bS6Ka%|KJxc9`z`Gr(UV;rJaZ&Y@qI+PeRwfgSgCV_~({?!BePg9IyW z71NXI;T{Rd>`VI(h@^FBFH9@ggnyF$A)i;{`Si8WX-%tq=U0lak>anJSCycUQ)T=@ zkf{(a0dkVZdRDwnT%6`d9}?_{2$O%F2sW?& z=vi1J^TdY9Y^cM}WnB)PyqyD)yZjsOI%P)LdyJKX9#rc$x~L<;pGP7Kii%SD{PRRk7g~!#wqI-!p>8Uy{ zoG}`#Ffa}uI;ys=MI7=-o$a23@JE|DM!4rjS2OM;c~>XQJggZ1+_H&`HmYa4k?ogG zUQXDo6OzwjS=AvWBD#~=CfbOvTpJoQ!Uy0Ma5kk&ous98CfZI?E#L86g>xidq^R!q z*J>R$4nL9RJQ4ZuE2x>TuRoNpslR_;Il@B#**)+p6?n{@bya(XDmKlv*iikItulFZ zbnh+~Go~m>0KCqSkP;Z74+uQNC5%9mW+ZVCnJt)T-P|$cU;i{b`^t6KL(5dQcSs_k zkIo(+f)a{>_6GoZ&OH@4O$?wdj?+zAcQ5sM!mczZyu6JK1gaNjO?)I8_S|4DDKA20 z+)k*NKQXYUpx1lqSlQqYJTTY0qeE4Qq^(l~4_CBn2MyVoCplT>qI>co?qTdYnj!!1 z{fx0sVlJ?5O!OIiw$D{i!kW4GV4#~>CEPz(D_8L!us-vo>zw7n*u0SPM8ubS(1VG8 zDh;qmeB$Y|0?Rw>q>{6S%^#Xyr*B>szG(b)JJ80z%tI=PM`pKvjK1JEa`k~SytBFp zyNTGeexp$8)O(jAU$|JCAup}CfWH827+an*#NtZ=Q1C(HsnM6s>zJH^Opo?|Cg%KP z)(390XZJPvlJbQg?X+K-Eqrqqr{YfMob|UBF$+*bcIbdntXHzBxRkG0Sxr79Hw0D9 zrW@?fQ(T&tK4Q?SP5Rwc%#-bWeeebp$z}VA$nlv7DWEt2FN(M}fR9JBQ&1G3Je2s+ z{j?#h)9A-B^T6>QsE-vexyYn*8)#HqCK6NbQhPcaKfie>6i;Scb!Rj6 zzrTYsu=`OEa8C^<(-&7)M@J})5V&aDz=o5Ync8BzNjjbs3XfWzokLH*`K!SbVXx zHgg)%<`dx6$=SOm%Uud#E#k_pQz1{MOe0^?s&K7B2cn`jb- z2!_W|4YHLDfYnGn0~+a@2J= z_IH7nQw2C@dcXMk-bawsnkXs__f=$#RMYw%i0O~wG&1i{~v%P^M)v=I!ITVObrix%a;Lw zpva5G-qTpCn#&zCE5emEo>vC46&UXdJr?Vnr;hSaEin7_!c^AZq>4?M^IUNUVZ|dA zCTYI!nHp#IkD`7D0JdjIBam!71`7ZfoyJ*Df~m!B7~~&^{0Fq$p9tSelHm%aWR6p> zEvk4s=v<_A;Z`g364YA< zVy6?ce;+G0vh6BFXm+N}lbv=*WLMyxIliOCprWGGPjO8XI)TF)N|*QF!rs~{8R<|P zVG(EZ=}V+6L1U1+A3=-Oi1|RG4E$-f_Pnr5Mba*9e|ffG#n3;w`P{*w`{wkPDr)>P zVnV&j>jRj-YcUG-&o_<5HYYSi<795kL)1J)z^o(tjBVwJt^bq~A|T)uU0*(%QCbtz z%A3-*b6Z$HHq0x-R+dsgph`L8yN=8G?~wyo*yzK#^el}M=xc;2VgLZ#i0GPvSN1gj zQZ-B?NLkxGUh#V^)2Jnb5f=iJge%)$8`Nm%>y1g=Jo4s~Cb;QK<^zuAvOc2s)d3MJ z$q7ozTrRO^JKn~rO>^Q1JL}ZGJ-?0u1HDAA0Oe9>{ppP)bEn$nx|D9b=ig>)CeUDNe_Th1yKz?rkxEV~uv0&(kFO58+-%IM&K=a8O^2?kW>#i+#2=o*$2E zyil`Ry}vTv=T=&87VV%tI<8YAd2u!2?#hrBW9Nv13d5u03Qd-SVQO>I0qlHIttlZg z&gi2OUF~Eh`pp&F>EQ{HB`20*jzmh;uPBj&H5#3ZpSKF=sY|+P2RdrsFZ440Uy)d}ZYCBy zm+xb7^CgQ_JuW|`wW`GkI{hc5xU4_qBu{Y{-`*|S^Bs@=lOz%$18p6Db05D8f=!Lo zU?U3!R>USZ6ow>{-)RV^n7~4oO~Z4RxsN}7J=2ev@HKl|_3}$voKi+MNir^esWway zPRZy#7hG|g_iEM`F?*ZeIgF#H-gG7AVKmIW83I}j{oV^qJ{Y=Q3e{USCya6soI|rq zPy6^y>Iwp&uhiA%%*&(g_E!>+m=;4MFwGn_rqTj;XnsDQN5TB~QuxfHf`zm5UF_sb^Um_kn zQKP_s3yjXbyp1`rCzkiJHwnnEukuSK*3N#ZR~MN02B`O{#Xh^jM3bV@e%Mk~R%NX5A7Cr_5La03!u%i5M1A1L%r5sj`uvvpI*@Qjkn({dmvuhl zn^UGP$E*oUeSub?;=F_WDo5>OoY&E#$l&)0jbkt&8X#(}zNQgB=}`Nlj=NU5$;s_E z*Wge{|2i|80hK>E9qqdI(*p-oE->h-DMzlj~;i53G*WGWL7r z-_Q%4a(Qb0TbC(Px-fiL^*Vp|b$DP)!+*fh1Fjx8cza(lX{Pk}#_h_qa9MTZ{YpWJ zjY!QEso2Go_Z8>s)@HscZLdeIGbJ&__62RhAmh z9ZTI?xjSEqm}-S>w{cf*vdkPkFb>_it8Tb_t(ph%qxYKJ+F;_p&Th-o=I4k{+_L!( zf}_8YgIae)BKd9IHOGwq0pAK2h4^j`1ka5`#~RZ9c?nTQ$ zoOO183#xT~YPhG|CJ)jy_LIu+rJQn+@~Bl;xT$rCI@I?B14f}%8D%z75pB2B->=^< z8?B^u!RC&RackSEM4Icywz7xv+daM)@jNCENvh~MsH`K%uCFA1G;*Ac#+%l%?!5I@ z8F>)&y6|P*#4#(V8RXFYbubsFeK_*voo3C;Qv_+3T}Ew@nO@Ah6N4XY_8-!$*S|cv z7vKh3IW4mH|J(c*>n{}$u$$&5Uo^2?VCoz5s221e@EZwzwfN;PBIsJ-cZ!XV8(u;O z+4yaNRY5qMT~L3$`p(lf(azDm%uM4YoG4DHT~N?TB&5c%n_+2h9c_mZP*!~3(F zyuu0j0dE`)g@0S$=~so9aa@*m6D<`Q^=CGecE{!r_CnxaV4>?gBi3%V-TXg5hjyd0 z?0v{&{!hbuIK#W5hJW|5elIH)B5C=a%If|D4$R>~FPlg^E!RZql9K`*{CSF`@dv_J&;_ zY2)L_1;hHg5$pdr(k z_|%k`UEesSsL-#@mM`1F`c&!}yCNIsk9_yJHM7@^r{Guqh|yGJuR7<^D*^WY^^o0Z zvMWff$W{C81992*l}CzA^#OFVH3;VJXFpp(`=z@`y8`c*Y2w^Xvs3@Cp{J&qS`Nas z9EUrqOSdKw1uCk|m;Aj4y7aV6uTN-Oqv0$PW1aKh0H>DbhHSo+Eo%yy}0vvlONvnEj;jEFOo1U_j>OIn8S%2)R3|~5UO33 z`HAJP^uLdn4h=l|^I7-fDC_16XWDOPGOoW(AFN_~-o>6As8;W(Y*dh4(Od+}5nL7a)XrI^`F(;-jwnsQOqPuJ6N9yfmKMkfcA zLA;K_IiZ=<<9YWM6q8axN+?6 zfN?kNlNfva2P}1+ml)@DwcSq&N>c9r2XvVSqU;-Z+;EIZLCv5yl2UNI-?C|$l@cun zV9D^)PdfyHqiNHm^{-8L#_fSpug`vMlk)oxJD#arHDj-#?XZVQmJ+j#`}VXo1Nn*C zsai|o;&C&m_e7@+M~uf0a~&?Do>@i@WhK4+#}`|HMAYT44xyAV`VxkSFo9<*6ijf` zT=W62EAg1-Gxe0oO0+LA@=f^)V(eqJ)Kq}Jv{HzLF{&~s(Mb$p<}Sl*DWmgs$T`eS z`s&L>D}<+ggkG3>JJPD(nda3mpWgCUq`tEPO$rl&Geaup4-oson^ zB)wp)q$H>NlMmn%7ml>C0ugAR>Fxn6ca(?ESr)=lk8p6%5y#0nUYAJ0jWVL_3J0HkbM=iH`Ppp+ z#9Yd0T5bSbWl8*<3{j-~LI9qYOmUSwk(vFb(`As|nw)3dglcC&>uY_(C(+0UK>~7PH+mD zRE|1=FMvA2(9?s?CW?U)0;pOTLgJ}E=Ek-j5bw{J*Kcy?`}&sLZt2CULREuMwh45z z2nEpCl14brDVQNRBSO-r(iK`V;yb6wx=uPZKUi|E1yA-q%)OJ+wVHK)iY6_&U>BUV zjuz!$(${2VjOtY^Rh&+?$5OQr!ioZP>=-^Q64q!FRYWn*zl?A=z&DrsvXS92&uD5} z@~Kp5ey(zEGc$B)=0jhj`!k(G2tb#IFZW$JWxxR`%y81+YtDlCMH0+1!tCEos z_T%ufhVsq_dp=$Ho7&fi4HMr)xjqc8bljBQP@7=iKSNq{Dn!;+77R9f!ijHyu%Vya z!KEsS@N1%v(o{sY?C&(=)p8d=1288yrC7Qk2md?I?A=SHHiP^%zpMds;@3@})H?y09B z7py&eB#k>56m~$sFaO$qpP(}Ky~nLg(z(f8)1!1Rqn5?`$nZcx#Fm5_HUOg0 zAovuNq;e@ER8~E_uh7T2m8aiL|IGM4sRO4ccG_PUomtgM@V#(e2KaTSf-!07=-57! zz8wL@4VxaADoPS}RMR?NWzuT21V6ny1^G9LfN!2Mxg0~Z zbnrJ;I`zn$Ac+_k7o;JB{UM9)SF;L46EOV*U#OV365xLK zQZM)yq;r~IVyHFE>CNrd<#>TzBXrdm=NCAaNNq103#gHTx$Hj1bF=a_M7GKA^L&=Y zryy2@0dRcGZ{*Ku2dG$@6v2_O-Ub%dgwWqU0AhOsaRA(I%Ax+>+Wj?w*99Uk89 zh!e@Y<7nTa84o+Od;+IqtWCKehMn3vx`wVdH6$S)=IkA=ZxU?ux^u;5x{8z+J4b&e z>V#X$f35L7Vacf=ZQd=i9Ss^9%B;|B{i$E&zrKFfdqDp(Su^piWs$=71O{940qgYT zddngh0V3$5`b=)#PO5(qOO@oHugNg~{!P}=-!Jcwl}e`#8Iz(8W?eNpP`7rCs!Q>^ zfRvUUNh49!u$qTYA{aknkV=$d;mS!ZxkYE2p%{_cJKn!%3gDaL#S=TZYhooMT?DX@ zdOGmL0T@EwqW7R+Mf1z#3i8y_JIT#uva|Lbj7L*m9j}}8>e=}8zkJ1`(H4G8qEF2_ z|C)EaI_LV*VLC>0ol!JtsUFq2nSKkZE+0c_jZbl=f$|APB+aKtMfB>0nv+WOZ<%VA zd4W40ae^ByzDqXEvkeMn0&j+TMLYs&r0g5-Q6_u#U{o{`Wyfj{=CpS;m|6++eKa^R zSXm{FDZl7+RT@eL>AxQ}COZA|Ue~Njt+gHs0W%J_JeK*6!prpO$-G1ia)j?N^D_CVC^6_ppjacHLfH{1%=Ni^ zKi*WBgOlcU?G%@8fmZn=n>O~lixd0K*J&r>xBcl;tLFVv3^u0)CZ-vOqwW_Ifq}af z)z`DP1Bh|NeKB8h1)zyeL_cPty zG)Fb=A>1JCyVvZpRi+J24F?s|x`#r)>K9)tkST)&)E<|)wV}0=czUiyt7V{c)=I{z zBz~NQ&M#5|NJQ6W^}wKjG>n2fUJ*;yCBXHW6vXU=%=C`NOK(1}@&mCsPOXS-Y0E&% zOPm^|J`;BCrOogRd;QMt)h_(gfE^R;G zd|bslBwB{l6Es9imnIBo6$@#iF1hBj*STvzut2ztvc?dIuJw^zs}OpRpWjzCp@W<@ z$9@Xap~OE|g{WHfqM>(&hs0w57}5fCI5i({=i*8CU&*Re>3i$c3_P4W3v|zwCQ)Ey zv)OZ`vc<(iaipW8jZo|L6-QXmRI8I-iYBAzi*GE}4a_;uQyC|`_HTawkJ7I;VIqhH zti`fcXeit+nFdSjJd1xVmIOKM7hBa1y&Y8@ig~NYB7l@t#bFyxsYgR9#>L}H5Epks zJU?1-_!Nm+@g&>i$7f}N^805l7=1ZmEu(63c;>ynv?1VqQE%m}hLun7g2S9}^pX?j zpgKs}S%cOdmd!<;1xa#SlEb=*W-nF@`w6uXVi}`L26HDw3`S~C{zw-xl*hL}f6NI| ztjuYKxQuh3uSh@$)lFrGzWmiZ8#r=g8Iq`3v z&|30-gq3kR-|2${fp#go++5`YP6n*E#>ee4<|FfHfWa3M`IGcPVKEJn^^!@w3InZ$ zW_4Vh^0xeYPOdH_Ep8B42cLH@9@2uag*Aao-UM<;>0TIQ%sEK7KK# zGI##HpvryMM+bkxz15Jk?lN};d7{z&4lH$bXdxB<&41gp$93X-%vPy|APJwW z68c}9?uLV9f?E=&KU-~gvH<@Um}6AD zpx#hj?>*Tx-B$ASvM)1|lbXEIL@cBI{^xf)N!8}%aGYo>p3JTBz3zeWmP0R6REuD! zMC1|xaD`gnGMF)vZij~_rCwypjUjiN;??HIJHOJL@XskBTuDI?ZhxuXed*MI(084}U1<3`YS0sFo*e@@I%_2b`|8~-Tgc{BS%jSo)p ztNk^;iX4V=b5vIAZce9(4BP9~sAmqHa@4P=wO;j$2wh*fxmK!IeH6CFjb^@Ve(<3| zxX{)j3*xu2r+=_?UF7P>SMY?xB`$h<<`^$IV)7|kgtrSKb}9UY@BLg9v@4v{zG(0V zy?EghUZc{sdhEY`cj{p+zuTc__nfI?Mf~nPLY-Vs|MeuFt$L(qo-Sk5rJTjEdLzd$ zZ%)usIVhlpdUzlex5hL*x^#uyZ(Ls5OHN}{(cfO7)1egMK9h)(K?N(lP+$~EWz4kE zf7(3F^|mQLG*;*r&_BAH<*UtMo{1w$`ov+=tW6Z zt*K!qiKf*50j27looa`8)PDS5HpYh)hvPB3{^QI&d;EZWH9sI6rqG4*w;u9VLfv zOxveSi8`b>&DNnZ2S$z2HJlBo?*z$TOU{SyIHb+cOyj3^1HSqa>L5^50Rd`Af zNM+T==ZX%_rK8PLZ4&^;qc22;KyHNx5|B1S#>GaqA-6SK8lxf=fd1tNRi4EWfJ*7lGWYk6J8y&3r; zG9odYXl#YsvWgfZgz`1>W#ZKwRdKnnPa}xpl~FU2PbzXnv(GJD$Q%l1$4!?42#XOI zryU6k`MzHfHMQJ4WOWAK?R!U8f8vET!b`pI_}az!I+Ke~8M63r=dJM`!%6DFEtEqz*VjCTe3dAhJO`p`gLLq@n)=py)AoZhIc52=i2Mhx&D8_RxeClK z0}4W8lenC&&tGOw5WbaC>R)yp+MH@ky4xlti4JULk64WxYE5<$E-gx^-?rz=l6NI) zv=0p?%BpXw6iKvJOIeq5mwUUvD^pPw)1#4uI#10Nu{G(PcZ@7 zdyO49iOqfBJ}}WkWHs*2-{lLy#WGS$jHy^B41W2=zQ50My)?JXjiqSC&+4&Y;Vlm% z2iTwhn)G0j3lU1ldZMiM#y)tGACot69BmR5xs9VjBSe3rXm3PrXpLlpztLdEM<>o! z${H$|4$13yh|?=AlmBSuizMUotcYqbOBd~1bM)^JPSt7rs-DYpAGY}Y0P(hjgg@*XVcP8Y5}%TZv*Y=a$&%=Ri!H@cWb!m0VaAN5SzcL#zsa!bcB=Ux zHTC^V(U~?M8?C0ivIAE8gJzcAsLyxR(u%k#5V<5m7TI2|BB-)`2GTaIlpT_-6sN$c zSL=aU=C=FFcso~jRipT6@nO8<&v=vTnb#yWcKfQ@-R(NrJX~yiOjl#}aq^wQLzaK? zHtl}^`*lHOVMLCwU)|E-t}Di|Ck*@==X@Mjg$y?&Ku%F*qf~ z(hi4t;bvuD*d8Q;yv#M4^_vg!JkJhXl7J;NRJ$jWTk5QRM}rrocfxruJ=~3o=}9m* zBwj_79d8}moZ3>{t6lyOCbrb)R`YoPVpZBc5d83U8e^HzkThPaDb3rL3bNXFGLafL z{R%Z7Zzz3KP=89Paua;zd!IlPb*+!UQ9ZTqT(hz2@Irc$Im~I)6T9t6F*z zljb;aD}^{0_kJRxwrbl<6;RdV1(Sdn6ew|^tq=)RlA=qX)EdXsP^%PWEN6OA)7NU~ zFa<^7kbfdV8|er5yr+=l{i;?LT+G^5RLV$|WfD(&FIkyzJ2AXLOtZcMrj?5tM>uCRI9|-6cVd zvMrrMFP+`rXWTinLLfQ?IbD!Fzz3iSp4hH~ySU;c&m_9Cco#iJMyiKd zlTuq1*6SC=wv?J$H~Ns`SXF*dzOWF&gaVk(if|PAC^!I#2=dkr>R^kh)A=*(;-(%~ zFdv!vDoB(=^ngT`m62W*zz4eUorOv8z&I!uU<0r|ZCH`hC?ZvRJ>F?0+&+uXs;_r6 zGVR+C-#l>vZPNX8Kqy^3nkEqsff3|coL5Mi2UE_4#?(|*`BY)bgx8Vx78m%v%U9xL zshr3ca(kN_4Zf-j%PeL*Q7*+Kejn5LH!t}s)%ANf1SHuK;w1?s5%+}S>lB=y*% zXH5YE6ez*<6eyp2vic6S@5+>QFO+O{F`PsvymczSJ&ZZ1Y`h}SN?@FNFRu#50Y9_h zM*xLkr7G5_SwIplM3LNZ*oA=z;$1I<)UW2}`e;LiV( z=ROsF@#ji6kXV!;%|4~rwpyDK1}l}*hD1X^_bZr5D&BC^|N7;MU$e4;dH^>}D;|2T zN-V%M6c+=EVpwu8aO0m8Qlakp4=B_U@*ar}dV#BFt#0u0P{nWW)J2HECOOrt@RW{7 zfQpgP3MtT+^y!mkHW!?gju!J%$|!~`(hAk|eO4uNQ$nZS3!7;l-kH`4k4&YrJg=R; zVH_$AtU09FfcLbaZ$5!F}UKS zRkK$3^xfPd5wIiM3g*7UBN!4n>#!K_(AMDl??SA&rw5AOGsQw*9C`Jr4vh|!zCT3= zS&TsA1Z~$zAIXv{%6=`jwj$R0@!#?9jNgJ(O>BX6yvSd<7#6??DA(X(VxZB17(|q( z06?CyQO|Hgg+05A20f&FEvAIHr0*6J{~>;^W3Vy|bNyxUR= zvpW~r&F+QWm$K869}Z@m$nL^I){3(AsO>|oOy&S>sCM9xo;AG%oV-d_H4Xg-u{O9v zp*qg!1u`;YIww3UT!X&FK`N%{DpGP2MuZb*4P?#o+sFa+p#9}9dR@JG>nM|#59es} zc}SUBM`P}=Yz33`$@(kX`X@7+d@cQR&ywfN5er6^dn}S@%xQ{A3?>|Jn>Di{)7UY? zelp{aVz5AsFq&3r?q1PoBzl;v$Sf3$Y&Y8t{f*sCF^z3_j%M;7z~{gCpyiG60lv|@Xc#0G@`7+F~WDu6{`Nx68%svPT`VGgz{E9U8T@wC1PRY7RikRE`} z>kjKO654PJWXk7}rQqO^sZYd00Qw*Vz~7@F&amR+o9a1UUvnE~8PH!UCW2z46wwXu z(&923neP44pI*;qqi&nAD{AfUVhT@s3beP9ss>>flw3jf zzY+VJr`etJG51er#afEi%9PBw5Mn5SVn~v|40)*j`s9bOmFCI!EkuoDsGMBNbk)g_ zQs(P`5^2o=G&vYn56W)63M9J07n#zwk2?N5{OuLpr{Bze<#C%3wuSr?zc!=tb6P>vMpFS1 zj2xE9VE)?zpGi9|ga{={by&+a+L_k~qpYcXbfVnvN=$_BEiy$*@mVs;n+!|<9|sM< zo1_MSKu;E4!b)Sznhvx;>MVy-h2cWXyKM~M(hhZjHRNgor1cd)GI4QM1xH3I{kWEA& zDXGQo3qJX(3q?~a9Eme^A<8MxdFvEmMd1Rk(FI@v0!URL@}0b4a+6xk_r&Zn?TCHY za>aV$NSK{$G~-)R0d-|*0c~btv=N*>06wIGfwYrXOZi5#rHg15&BU2YvvGzxt^As) z8Dz=MWx$~4lbWp4gvt*L0!e{^G8QZ>4~#_kT!c^~8RucG8^3V4ucsG#RkVI??x{5v zoDX~F-DKdG%%TG}o~8cT=UIrv1+!a8Ip%x8b3uQ_Zx&q1svsQ{g0O$4ZB?}6Gkj`q0JQ#gi4Rq6x_)WA@8&4*HQliXgzpk zQ6i-O_in(SeF76DgebgxbEOp%P>-uGM{&Y03(n*Xkwc4S`)@o>SWCR*g6hon4!{B| zar;`v&ksyhBq_;kmX`5+bl7#pnzJZHyja1TJlWaQ^ZKQZR_|zKiQya;?=UU8Z)>YL|p@aIt;i2DMdghOcFJkbR9fdt-0x{<7bz9iud z4ArDLeciIhPDr$Gz=X~RT4hsT$%IBI8!y=ziksJ57>}+EqXm$rTfzv?`J;4^(OmHsnlE4fxB+tT1Q$ewSknC61{d|>($a^bD znwHMC!HF}q#KH{L!jS{STyaTg_~)9uZyx%<-5;jI0&66YYfyR@xYFKtIQL7#TeDXY zJ%U$&k3J5dvmhP}mSx5OxyCGUUSEphhip!FSkebs#UfTQk7J}^0LrJ&=x6{1V8OSg z2$T-e$vVFXEb9L7oV0Y;!#%C-D{bBH&H?-JtM&h*?91b!YU94o7{*}S#y%*Y$?9;Q+~C5;s{vw3N>DmaTw;$A0-Aq(7-o|uWcM`!fM-Aw}dDJ@QOSo=RptFZYb zk`Ynt*`we3XR%4^rb|FlRh+b6rQ{3!z07S--F>$1VUxMtnJZFyVPh70 z5u<#9x4^(}uO27p4}l?%^NoCnb)1L_BpR9DPl@{ zjxtK#;ZTE7uQ{o{I8A-@VpR6@VrXwM^VO}x3>oflyBv?Njfi>NTXC7~-xAVGTOi(* zilvRJu;*1PyW}roI=3sCLOn-X;o)3gGl2c`#dj&jje6j#_OlbGoUk4FiHnBGFPrM_V{R(wiaNMPj{*p=n)2klXDq4&|`f)7oGL~`QU z9hlZ^BQMEm_3AC-qpK!tvsN)pd4#6&7*-fk1_sF%L0qN9Bl9T$>pr-u6mB8bc&gqQ zQJHFx{HgrFx z9b+_*Yq1@}2F~PXjM)gR@dK!nwHAe3>=~|5f7(}Hph}nYK(>763iU6sGlv`Qj@rL` z+18FPQlGx&_{VXobSBDpv+5Qnt%;-~-OvLo*X`ca+cW9YF0>Z+xQQb-*$=uDcQ1Y8 zgs$rw_f}1k*YwPN1^Mm~}R7P;imU2bYH}=xJPk~huCB)@@ zinv1Hx)>Pzz`cHR36(0c9D4Rm0U^LSN!vEjbEta*6zr&W`grs0Od=tALD`Y<8RZ?^nH%^ zE%$Bo3j=S(e^*^JfnCH-vbM)$j`6x_+gY3q^eWlRb<+h;p)+k6K zsSik_o2jX;s(rEU`R&&lF0Hyfq-^_TmP=Kw&*+cxjrbcL4~tb>cC;Ie3nYxI zer{f>n&SFr-n!CYZxeTO`npl@9m9feSpOgH9Rqu33%xF;|5T*!AW-ikAVZ?YXOcME@@ef(%YMRXiQk5 z7JQ?fxjBlh+-iWn?T1<3FXF9-JB^*i6Zzh6mNaMREgUL)wmL1BB+A!8zU1k*{mn!9 z{cDMX*BoL0rWU-vcdB3NP{N1MF;ro5fKT)J&&A5+IE&M5 z1JT4V>EnkbUiNK--L~jFrE*h=@5_9CxnI<=uU$m&c%cgPh#P(q$&*@F-a9W1k}n zOC??83)jSt7aE@r{B*pNxMZ29t56cR=CizkOBH=6@bOZ7z`J7m0_~qRr~llZuI;mZ z{O0<#C(n;~@7bGl9(|uZcYwzGOv6UcjI0n1U`4?ah%T$tL_---C+j?Lf zowOM&rmioxTKk30G(NOI==5Fw;F}G7%pZfPx$qCauQ;*Y=uLm+5C!@eUIt<~@4tpv zI3$cz@Tm2#z8VHTzeaR0c9&uikHVvR$d&6onNaGhE>-bDCd zRCnYb$zw`*Tt|z;l2Q7hO<3ylR3nMMz(25WrFE>)nFvO7P0u6>! zDj%H<=EJPjixZ5u6a0gGa*q>T5{FW5#s?whR(k`4K%&2}WG$jCGaWf%OQ^x z^HoAlIq$E|dA7%Yu-Ehh4>P~-!tKuIO;Xm$i)LrbA6|_1vFwF8#ot-p27NtiS|7nc zn({`l%XT~7`VXJg>F#duw0e(F{uglt<~BQWhp2P1d z8`>YtNkWFK<*tWQtXb1b=k6m#V=m~;O)pNryLf%@U9o#l$nK>`_L0;qu{mF*s}j)W zp)<$5O~>)HO!Zcu8wwk$ug5+Zww}$cpQZgfZpLG+7^xnRPIcd6Kk`cL>L14bn4I7G zCH1#wY>ry$EB;}afP8JczFk#tX?*U-Um&R@U{~SanyJPUMM1gP+RE4qF2e2Qow*a` zP8AQE7~$@BVJJWiOGGE2^rGbWUtYJdd1+yOXxo&^U}ubtT=}jm%4)i$!c}&UFsO(&>0?H)lq+wtfZZ^G(xO$da%%zH`!V zRa>L|A{6!0H)q;@kU2!`FTho(m^UM?Q(^g1wPUfPgCNunBEoGRnvF~+g&9s&0oJ(+ z%8#>s%Wp3CMHI++jB>Kf^VYbDD80{G%nuD^5WEr|Tw*NJ#@IZnIL2E)tiKyXdgi6_ zw5$2HRb_tXQQCE@_NVV-ROeS48=K#IFW(P*)bjo7{c~xv@IHs8h3p7PA=jtVmCN7G zMsF{8j_M=BRH`)QeV%4_HW{!NFlOFdACGc+q%QN>9e`k+KtMnepWmAzCy=J?J-Uj! z$s6x^S9H?8au1WBG)1;ST4Mq3H+Pbw)M* zpRARMtG`a|^5QRi-p{k(FKaNvEoSiR zTF|COB!gDV^Qx3G$&^?y{!lO9>&*kMD>Cv7avZIX8aw9&u%gI$Ej%L=m2L>2HZH;i z8~|j64m5|3R(I1IcADAU;a(C?{F124Sj|fgU~qjr1jX70nkq0r2&O=`sSgS$WQsq@ zPRu}6-PU+I=GZjG$0<@*-c{kZv*Dw0&ACg9O4A9$0h94Jv;fEgQ8Bzw;7NuoMp&n% zebGW>TCg=|hl{?YEXfARO2U^rArY`B0BwlGf_P_{A`*a!4de{N!J z^^ZUTxsF<2f|_aPC@0e&&OoKcB#AJzm8U2i?Xikw%$_`qs)`J~W_Q^?&QUA1PJFb1 zT~QKe{ zj{}_}Ipb$*#M$Y^Q`zB195LvAZl&BudFz9kng$(~&dVzQytet3SZV&2pO5T%TkYJSr6?>zFYCOEBPkt4)mLR;tPQK#T1qYxAR4|a~vEe5&9D4ZbH@#?8vV@2<3QTSV< zeNl=}*rh*}mlIc7j&Sphey_-NJ85Z8o|68zWU03NVkx|rhUeE>=kugc_bn(V|Hj*a{>S$#FnuQ2X*08FbG{(tTcz| zT==cndee$mBafN3!QP9(0|&ztW)0`Drn4S*X9Rwm3&eb6@R;LDiWF!GdvDV?eD`A! z&+q`7Nkx}*0xl;50MM*3Ai59$`xu~OjtVGr$kBWIRHpZ37u%f_jvobaAeMn*MA!nn zFg`d90EdeqSC>VNs*ms+y*IwP>4*oK9~xF?I=S*|GcuF3y5NRBDeTb{O$rn&2TPW5 z+{_ahYX*_-e*saWaJLcJdAH#ba4C>KBGX~>=yN_}B=u*ud? z{_3jZ+`Bpv`I_Odn`E+~nU>vE2%A0!gb{!WuazNnxZ+4);0M<{``z*j!#m)`Ur{_cm>dDu}M1!wjAvKHrav z+mtHaGchH7n(`M~lqi^|T>dFturTM}T4(!lA;`mR{5Py1<@Tpvf|Aw~pK08kz-%gv z7HgETr;N17>yZZmEWJVEHN$;wl&U-0lUWPC(g$p&I74RWXJ=j4C=7}}qf)@dsfVcB zEF@+ZzHm;=3J()<=^qB08-CxzqXC*tQ-Dg7bNwe%_bX_IHT0$$vEP z$z|-Tn-&uqk3;~fDxZNk1lemu9Z5Eo+fJ}J3_}~K9sC}2;__KumciI=t>ZfdGw)BMxdz1;KFM|>p}W8b*jJQ_MO zDeJ)r)zhZ&*4qzjDZ$DE3lBCkY=(voD6akWxd&HL+1pxo{^aTOJ=qL-yu5!c`&&a@ zjHJsC*^HVSuCkrm);a`j!P45um9cc`&JCyWnbmXlbD9!Tz%|gUV?ebHx6c6PIJvPN zTkP(+)yV0s+8tNMDi11iNw$bfL^@&@EV~3{Sw6;(Q!xjZ> ztD(tV6H$(qMR@wBF&P?IrZF;Yv`w#>wut9s{TGXijP==a#HJnrwQc!7E^`^8Ask#r zadhg@1UzkwL)#6eSgyYSi|fTD7J&hK%O#V3HytH2v-EgXRY3tNqHeZXZgx_lXmyl3 zu7U`60@&9Gy$K&78S{p@Lo(BGe}O!cKq)D)iRusW*iBdO>J%LKn+2;0b@uWy5vCzW(Z-1HxM;ert zUQ{Klk8y1pJIJMW!gL6@rvL=NflUWNma#HBb1#qe;FqIq?xrzdK=Z?|#bEdMngsJnvJH(r2*SOO#c8wf+^3 z#KuHrBZFt5)LmTQm;%R@26j(jPgCWXBn7WwCaSBp16|dyi9C=* znD#HU1UjDq?;HgW4W}2;7+q=&JZH8%3=^Vuv=ET>_?7D0$wL8M97cc;>c7BeC&|d_ zesfW7m?SMV2(v;m=MBEoWqbZjRa;e8W2q! z1#zVnPT*KoOuAy8D=<>X^BEW361K=^-Re^}1FZv=^JZlyH}R`qfe=W3@rh zJysz*j9J}^dsPY1-7m+hc#^IY`j~dnlQEL{Tj}=WC)+;QH_LM0O>p;EBz-Sg8|j50W_+Uo z$8kIWI5`0b$rLD1UG#wcKhr{|KH;Mil;`sTfcfH=XTai{)dGS6LC5P+Ntwc7L zXC({}m%SMVzEZO_)$F!AfgYO*s@hN2vjSQYKTsc3tz9>mbiY|=r?@uEQ=3g%#eM?~ zmHMjE6J8|;U;WLZ`P|-qGdN_Fui)h#E9GW;oqkn}O4S3s8bz~#n=ej|7_KyrQ{tX2 z8a2N9iqkb0c*`Je%%T7%oiPBQl#4OJNd_D-08kGv_sl6%i4p^*U6hYE^z8 z7PkIyL6;;oVMswXg9I3KZK5s#r=zJYU?GN-#bY{qn9$v8sw&Gn5rK3(t^$!II-h>2 z9&pf$nEbrdMZjej4lfIk4vJ1vuUmImDGa~a*vUw1jo@n`Djcb^KQDAhuG>r!6<*mz z_V+mEGVp>+COS4MXP%x&Q3mSf)(Zx+KbheJW(EF+#2YIAe7$J^8Z9W*soD%scU+NH zqtfY4;DWO7t^cGZh=;3n!fOhPSgr0p)^3*7yrBE-UxmeA*B@Ri3W=N|hrr(1)d!~i zN-ob#VN+pG$7vM=fwQo7<8R?g^fn~(001veP~-q002JPkO2fdf1XfTPDz;s=WSW*< zwa}8{4u-y@|B_-V{uhFzi4uug}1GDV#5-1PRjk@c1oppuTEilpPL#~12lCZ z;xh(%dLR#BNFfZ7q{|~XSXCI zts5B|FxGz_0saE(b09_JJ_6rAh}YnyHkCmbQ5$RXw;E_5E`KfZ6xCbqid*rD|C$!| zqulyg&1JhkZ;dt@I@wGoX?9T?d?T?LHmA*a#sm}`;F6V0EN^9GM0pMdg^9c-y^1xY ztV=Cl@yRh+>DAE@x{v~iM!@s|a=_6p73~i+tcORqxyk8rcqEmek^XI!z7e@PakCxj z{bnXYhyY>wTNNwX#s<}d5ytR1^qg~;l~*sG@s>PP7+;_K<#jjIZ=@kGdwnFLCQHAb zWP+Q$ex1?tz9+lWZ3)Wc+w+8_968a#2iBWr+ecjOIzQ>RK_d;ht&~hpRpzfX*2S-J zWru!@7YFRSDxR;$QaI$r-swAV;Ce}}MsFRY?>z$X9a99rAEN_-lKICm7$<=0M5kNj zO}P|(;C5<^V`K(*kgMWtmkK4AsJic1K|ZxI;Ifwi!$jaA89fN_X8zfhTZFo|tVi!q zYePSJ0f%|pDlB=UrXZUJ=xQ1t2NF;KjSMc71J2D>T3SXax>W(Klv9muR3+YRd(HcO z&HHmjCNG_f7O*Q>W<&2v_Zf-60;fV{cRRl+8jQ@-;JYptZi6AU0=S+hu9is@VKg{B zXiFp6l8_Y7<4~Z7W@s0MU@u+d9Qb}lY?o~Y-B6Ulj-Zy3XCwt zIt!y`+b01kCJNKXeM;$gNKnhI`c_|*8}+4rwh4qQ${X;%B5P1)?i zMcvYxRoX8sjBootv!CWd-g%gSfkds9=ompEaJyO#y|n`HBJXKEj%wr?V#y`Hb35jG zMv`W5+_YqUq*_y>u`~K@DrwL-EVYVrKh`)irG>3!6Xx%P2f`vuoiNZ-&V8hGCt&Ce zH1W$sQ6)CqQ@2`i2$8oxo8%S`dC7KQp|5g_OE1b~Tj1NH^bi(tuE7>>wgxw(^mAF1y3c-&gc^S<_=bKN7P_3v5~6OkwxVvsqV3 zc+DcaX=+hl#YLJGd6#4IQS{QFCf~T{Y2J$$UOvAga4&X0$5iQYnN@k%-K$BIZe3s~ z13ai>fc!YNL1vg5D24wy|Tx%dZ(JsD+3D7CgJnD#uj@-76SlXn$$(GgH zNq5%ck}12-@Gz(PrT=52qpFzj%uck|x7>ZHpVQ)FL8nWP73iW|)!;M&j%o;PAfrEC zI{6GRG=${PWf9D<=bwf0o<`iYDcq`!yY=B#K{dr#G5P$5!$3V_GE`Je;b@-&PUX11 z0NUA6Iii~ik~$yUV7`KlXevpQx~*`K7O>EHh?&lL*V)4B1RCQDpMQ#@n_S!pl1+WY zsKLpsAHk7EaAlku8hdJTt@fjF%B!JU(!LniNf)IVc0J{e^|2I$!s>XKCISM)3B3j0 z@Z;S96jU3;rKli$<$!pS^0SoI)z;@DL7uhE+XW!U0uEx4 z>V|+5RD(QDZ}Qb8Hu8fv*2woHF_z|)$`KS-owc2vB5BSooXc%4O0YB}nu)O_Il{O8 zCD-H8Tt?|agpU#@$+S1HCv+0OP7YbA4CU$`$SxeMmN4fJTov09F5NVXH=Y*z^yQ7R z%FRlJ$+IcD4+PO2>df!4Z*5z_6$Dr?IhvyTV7-xQh>((BO&F+?!9O~BTC0Bu!@?P! z3wD1d`a6zzmOBln@^`D%*!&8nEzVZ=!;l_)G`YMrk`WlzJ91u^A3-y18e4u*rer9mRER!I~ zv;&|D0TBq`L=E_G0A;;N!EB0o#7x5hyV6ITzwp?J+S)-GcXEpL?;KHZiUeq)lo_Bs zxPKy=TVD7^#HR&6s!ezM*W9hOv;6^TJ$8D1Sc{|*b{0U+J261X-qs6a4Y`hRr8||n zGG;mP+yJwB;ZZ?@=)z=myKM`QQd?BVEjStN>5n&b0!I*3f@+ z0Pw6+b8!y*JR8JwUD*kXkH#IGhxPEYZ{Xy_X3tt|PVP;A6lRPjC-tg{fHbdNG*488 ztzKff#_(ygK3HWa-soeO_0ll!Ee-=UbYPraCZ)+p?Ja>W%CR&9^y8(+DkuI0deA6P zdk;*c`7h=OeB_7>$&F6EPQ1d>O(Q8NjhBTjp1J# z7BW^QULU7pS3P82$9^#(DNhc+F=#BGKUIC<6v@fLp{kG{dJSlp*q;b$7@TRZz@(JE^m$E{y15jsh;r5v;$iUgV;zF2EC9(dtF^lCl75g`s>IDt)Ck`RQ5*AOs1kmz^_D*JB zxxxF$V9#Pp@&1RpV&kpG;p@yZBx9ET<1YU(nNX9BKgMCJ*Bxu7C*`De=T(YXly?-N3(=+YKsUo^`8n0|}u+2^EZj{@%ny?QZ9(pK1ACx5%T#lhU@ zW%s1+`fz%$VA!CZ=w6IC#0h{Tfj%J!cQt4TK;1)E-5$XIyw4kfX^*by9y{it)-brQ zd^%DFE?37uPHu=AKOSr9FM>hxmC)r8DkP*P&U-9XcHdodH$CX zC;l)E_Uw4__9P&>(dyvitlmR9m-( zOpo6Q)U@r}JTKkPExJEtFkVk^B=DVrXgPS=-9uQ9{7svWc4b*kkMS6E5rbdpzVuOw z)uwlv+B=%(f{~>z4x_7GqcbTys-^x+rj7}KD1g96Q04Whj$Nc?k=^oAGB;NItvGvg z=2~~u?hA)OXOf(B@;QQ&jjg7=h3q+-|GabS-xkSrRlx~OQ<7*^(Zk))YWuC};;Xw^ zZx;{xlC+$bRb z4d^-v!Gl@7G3Xw6fi05zclD@T`%$oh8MA}rBaR+L6v)3{!@zOlO|t)0NeN9mSjtnFbMI7>EB0tlUWQInv1 zV=wOXyCl>zftmzu;iElzM@tMw4TVAr@Ipc&E=TKni$+{#c$znZu__sp%<-``8Q%KL zm@P}zu5jeEytx;t!JH}LGazfJ=RneenIk8KN!PojJLpeT0rYPAPu9rDeTkJrLNLQ| zsElmaf6V|@eoPKZz<^Bt3n&Vo2Qt^07?uBSd~!!T{d-vIUtp{}jW0AOm0@oKdU}$W zL_PbSW_>ggIE+B{B*3~ZguQ3gov<564kdYjHQRGh^?U;A7|SeXl`7)GPLpF+g;G-miH5(B8dZ+TldG1aYs^hQ2Xo$JiDt$Qgci!b z{uREm{Lrmt9Q=ZffNK;C6e6<**7KPJYC@+B#YRM{Q0|*`jriOkmx65?vyOTY6hJ{v z(cLO#XwVa9I~GP0RZpc&msk-UIKP7w)$}kdlw(_64wcHelX`B`t%~*|uH1emP(78--F?* zD$zkcO>z4eq0(OnzV#8lZM?!7I8!)Bx_wX#(~xck@V2L_EHDsVe0!>`Y9gb$ESkr6 zx67pp?)&W^YwRSLKDcIU{gWP4p)=iJ?w*aM87Jpj|{bp4|wQJR+Ja5j@6XXXaH2; zSJD72(}bqKgw95NuM+q3v$kjJ;u{m-o@t8JwJiCQea!QtFmlVH*9XH0NAlz8fFo*X zuTxQYMb9{z)tRWJZy#vHZY)ZPF;DHw@hQ#qWrIWTwCmOA9(e$Dg0~TYA^28kU||V) zaf*@!RqcS0(st4F8@0Q?uLwHCb`3q=JLCZ{fEI{<^wS<709-Xjp05Z>p%Qqxv=dNj zf*r@md>o(W8xsj*<$Sd)MZ@3hgwf{({yXnD7jEQ-9XJ;4$f@cirh(Os_~bY}6Xd2e zpXQHW1Ucr(yerz0fZRzL6Im$XN&YT?R_QHsp{VbKsi$uUseb(nm?Ny*f8{wumY2j{ zCmIMia0Q)zHH^|0Pz8w#k(Ag0Mtaqv>;!G6ClqZh>+Eh77dHLgWR`q@m9`*4`nPcQ zUm$sFkLiojZ~n|-T&}Qz44EQ)((Nat#|b81ZN_$u9@pQ=t-LtKb$5;Tu!=W5dsZjS z>L5@5*z{MsROZ6-<6^r2(<=Cl9O{bKLvh_IJ*Obq1@%}2?*mt5i9N)JgEod24EEk2 z%lP`J4!f19#WH8t%gsSVFG95IpWv?i8pmsCJFgdAHiBM9UWGR9ySaRO7XV?>5Xl(0N>B{UemB-D2$2 z$@~4YhEG!?S%x3rNJ*e{T_7s@^fW%b6N6{j0V$w(np4O4d^jWyMi-gLnw8>cT>P+r z`<$SEt;sBIa`}Dzba_g^p8SY^6+BGDlof{I1$m-FAj7nnx+jz=!9CY7Gmp0uR1A-O zrSAJsH_AbxM+N0zxHE&dWmfA6h}=(O+{wwR#B7w-4lk!oa6If<9SuD8(L*$J1ne$ zas%lF$JuEum$u}TFk5-f1>r-y70j_IP9LD%U_#5_Fo0@3T0QpLA^yoJKl?b(X6Lq- z_RO!&ZT07-858Om&OG$2;B_wrNOzi$k%<2S?Fo(iQPGW|Vj(K;y(f)j-~TwPaGaf^ zoc7lt+Wurk6U7UqusWS8e}QRm@$(nZm=w0q)JQZF0qBPyG4HxN9iuev5~;lQ)-1sL zYc;BW!muF1|2Cb=969Z7UGP0G?N<^J$5j_xD&&7}&Uo4Ok@_!)%NCW-(&Xg6)f(S9 z2>LdzvUJCJMLnknuA4rplb5#+NqyrgX-vIsf6_JS&m|BzY_Kp{pf&ctO*A|1$EW(^XIUM&Jn0JA6Bau+SNy8^uc#tF^5K!#NC}Ad*0&T@X2lE zggAckde?piikv;5PJl#&tcB2?-a75ekZJ$+eVlJcfR5=muj)9)QV)9=upZqcbDcYA zWBOP7mt|$rnqT9nUZShn0bUXc2PFmcLSXsR*aU;bob@^Mj|qC+hxJC2`j^c_>%nH3 z{Ib-9qre@SS5+!cZ?Viou_a0gSjpO=E(4 zE!X=VF0SS`H2(MBmVtMC@7?ta^Z}0{t>fVx!_7SiEhCQs z_ge%z2Zj2B|JC!k;|Bh+{GUH;Oq@MkU4qckH$8Ac?r6pTo@wan>jpl)svvh2gH}P=-v0Ig%y5_*lmLYY094EnC^O`5Kfv=}PXhYiaR1*1p@M?rr3H8DBf*d@&|wk= zrKY8YLaAtIfd6(f)3ET%z*q&;&F-*a12m$D+qCS0=Fa8KLRSKNCzf|Oge_#{K>L19 zc}thfXCjyGRe;Chg8bj@|Gf?P0sZ$z;4VRCaM%9~f(jhT{|p7fOvNvw&cbR2#cBxL z3HbW~KtMrp0W*{tPz4CdT2K{>jXHnd1L0;|CHk4r+o5Qay$u5=Ng4zGX|tn3$D7fG za&kO3gMF82+m3&)>CJEcCFS)S1!Kqf`v@N`G;j3ge zGcfZ{pf4q{i4}(yCJ->vt+>RY_r+kw?zZEnqdT~{3HHI>st0FVq1qA^@@Qc-fJzq> zh&}{sNXSvoGv#u5eblq)8-jK-p9&Vw$09>^O7gWYwq9|VY!CM{u965efdxVNsMm0K zUM&qfZ>_+P&qabT)fN~s&v(g}_QRiqr2oYc+ zMgY`hs8}*6UR~xFlBEG-k(I%ehn`TFu@2y6?{Sd(hqX~BGgiO!%9XVzrnB3b^SXI^ zNCsgvwF+$p@*}{5>;Csf20)7@d-*___zTTvyK0%PU3ecJ`0+h!1-w4ZSvXBjYm}G$N3?+<_vrdk{3n zF5?M>#~BhY$no%56k_fB%O}5wf~xehiuJS149FXkHHuS&uT5*&%^L>d2KAjx*AmIQ zcWaxdPR5aegPA5%5dTu2v@P*3EHPK+(X4iGuZl#^+2rJXbf$>~FyuKo1d@@OZS%=}$Q6qA5xg-)D0 zS~(fbB4b&uUmsvsCN=v{Zgww3M?RIl& zA3h9U%`{pS6MSG8yzZj$z<_tIcNr2|by+Px>jRfuQYb2q;FE~V)tcKCmKp%^`&sE)*l+J9 zf1HI`8><5U%BMm*?wwtne*O7 zkm$`y(2eeiMOG5%TO=FAX#Dz5$aizgZ0@&8SE^?-%n1Cu>X+~J?Ln_Xon15J;cBsn zP$aNRmw6_5nMVYM+F>J>3RqLI)$YmOyll2Nu?imco@ zL;;Jd;bqfXKRoR9+mns1!?5*I?&q|xktxd8q{5bJlY7vVa4n6ZJ zF|4ML#U1i9bf)uTWNAWC^gCB~FdJ)?EWC20yWq9l@nkSlCoy?@ikssGF{KbD!XKT* zr3f>aT-nv7qq!FxE?!c#DBgC#O3}A(V`73tqhCk}HM6&Hl?SLoC8)fGt1`&3#2m|f zc&@rGbrhlXh^~#^=U8%^D&vN<_RM;XWg}C3P`SQM@~)e`P#-4tdWOD);&Lz#gLp=4 zW`zMK1JgA9SQA1iu!-=7KeLnxt^15?rWrj(z^b0wYB(gtKfqU12ZvL1$pxlECt{mO_lgGY-h`wWmzl0{Ou-Um#{?VlB$$$1( z7}`Uad&a6Z^+Bs-u6-lmM{xVS8q3xkG3|l2qP%YsuQND@uD0mA1BqRgy#&UsEsgr!(2YrWv+q6Us zZ43lYMx*G;AozHS8e?3T03=;#%_vvv<>XAzkX^ByeoKJf)^WF{Z>*^OM3sIX1x~uK z->W_nt*r>Ny}H;lOK{QGBxg+Vq7it6X+93B@&-tZU`fR3uY7;d-s)vP)f{(gDe>pf zHQDKdhQp<_2$Fh7s??H#nn4-_n}kv16b!}Ld&IH3+mE=Mn+l$I8&3_x0^qc_u@^Dsqj z$ClOE5Nk7%Qa8XRVhEe(kI!%~YJP5=SYaoM(h_ad`V{!=CD+aE{9W@5p#dD1&~vYq z8tFrn_My)F;}4#07v~OKp9;lC2{ceSCv$VQ@?*%m?5Y8nN`1L&mpIc{Y4QyWXX_ETw{OoxZ z=-+6W7Y%wX3x}1t(X7#_6QkDLH7IWCYwktSptUXneEJ!9My-OnFh`40&U7iH;1SiRtLz{@3Hee$RWRP!g z?^(VeHCVKg)b;at9^cvt$X=UOlJsHG*=kWGxw26wUt76lcpp zr)2OUze##iz&xlN=aG5t*Eu`jWj*b^@jjNm9chJ|H1*5!k!yQ&bm7Cx>#u$E9A_5R z*_shMIbVa!0^JNH&}a(Vl!VZwlK~t(1R+Tx;-8&S<;zNDE|~_^KU&i}4-EKS<9ToT z^6drrkBwO=g3agPD-yZceM|vCd1p|OpD{bYZ*Zt)3yE=Y6svjkNMoopH28YW>$=65 zw?pnYiyHc7i+Hi!(q>x@XDjq#t6nNWw)b;}26AZxlHvWlq0A_ijtJ<8-x^|2T(S8= z-7EaBNJuFiGTz~gSP&`qzOr4m(jGJ6Qz`D!= z8AU|QuqZE0>lZa1o|}_#UprqL78->veyD9RzV>3UQoiG3qPpD1kvLc{YGwY`YM~3- z)=y6!m>Ta)6?A0q_u*?oQ!+JSvRrZ^q5$kJslxz0w=Kyw9dU0h@ZYw4`^}7&xsQ1t zc9q^SZ~qoHv&<2FS@)!wf>UX^@kRSHh{S}Z(y?Lq4r6=3dF(032M(e0h4F)48BW}W zy}`l8lgV{<9v100Q+C~S7>4a01i9(AV?f8-L7m#STg8j)=E)qw#5!BrX8-r1G0tt?fk~(DpM;xS9|x{K z`xZPapPt!2mt?m| z`Kuz|rC>TP5qwy(Sh&7c{}V`tIRei%*Muh92TdV@^!g?FKBT`JdN6*=~++Coi4o`r3E-b~%JG zBB=#dQ*f16!wQErLCZj?n;iQ@x_m;4C(53{@rE}>@8-)nof9M!;oPHABLQ`kbQXMoEkHS zu}*v4^(!v}s$Lk|I4#`Q$JkB(s_qLTiPlK&UrBfg07$wNLzV;gP!3K02t+zRQJxEs zjud2k*DvKh+PSyb>l>*a<18rK`cosfT>EDG=t$ep8wD&zIZl&`0lbjP@EDN`F@`)4 zY&;RBwX_b%USZ^^Wyj5k6H9o7pWF84;Cth)zX3p>1pFM{7Ltf}1>m6?==!bQV>)Ve zU@!_``sSu%(d3bh;U`!Hm6u@m!k_hYF0d=Edq$sBnnN}Y(N!mI6K z<1;8=UkEMnqv*^xXVFW60XAcOTop%rO%)Z`c1~qCxtXD zyx~S3z(=sSdlT1eUF0b| zG)8oW3OPStQ8k3J`I`dyJ#@U9=pI(oGZCmWuMRcQF7;ZHZ?S7(piSl|7T1#`V{2#H zTDkbKUh`kZXy+s?Dm4Jyz)2r*0t=7LaE07k4BBTUOo?Xt=aJ-|bqz;gF#Rrt1iOJnMxhw!HF&joFL|Ds#sy~ZqW0`fHa z?@V1T{#mSaA}@C7&1S)~USVE=OanrmmW+A^XzMOe19#_A@4(WXpnCx*4nKc!|Ei_s z7jyASTQk303&o{c%l-89V#Bsc71zYuhIlA7AoO<5LPM>`v`5W|Q6`JH2DpFm%KO8S zyM3r{ziF9y8#^&Y>V6gfu=#oo_!Nr3KZeM^V|3Vjol~^=acW6!?Y)0mochD4h9|cyJ6fu&>*YrcvVAKo79~?< zw4uj|bf^7nm%&RwO;#YDh{I4pMI>q$-*s-TiZd?`-i#5ueC_oaetqL%<@CmA_-xS1 zPRe>rbwZ_1F{(|!FxpQ_m|zEYq9R)Wh^!s}#`&*4XuoFz!HQePioVPWAz0&%KR=CC z@(fz16PmK|_zizQwJ#B_A@%Y3!LaxLVd*QQqWa#phY(3Yq*1ztX6Wwj?jEF5kWK-m zJ0&EBVd#|Z2I&EmQo01`hW~qh&w4+wU@>!M&e~`1bM}4RS2QFnCYZ9Z1+p}|YdX1$ zT?vHwzrjVtCO|_6I=x!d+!!DuCNHgBBP~^qJuCS?|EO_;LA^jhXF=HQ^6X)|x4Hv7@k5PG`{$^C zEt?iV3Tqkj6Nf>BE7YV~qeEf|bkfOMgn+qNmz6(eDLEqwnpKRN6>h0jdo7Ns|5D|- zv|S_B*Pz23Twc``IGE|yC4?Ufd1AR_Jql@491}wrJoOj z5!x=<8GW58)vM%y1`1Dr^o9b?)^sn!(6N%SGSU@PXRf1k6h_n(kOm`G2+l!%~L`;MpPIOLA z04e&s7bZ(Xx<}tn0Bt41eDnAa)=Op;)_()ARX{HQo18&ZvaHi{prH+|r~6nzkll|C zR1-fPY(Ny~#^$V%Czgzr*##A05LGKv2c_sfZsJKhcXyqtOg;JzoJxN0 z%EK09;IFxpOpmmqPj0Hdlk+oO>tkHY? z97Mk)9Y7eUATk`97f^pWC|U8jSoX{)#12b!HN5Nv6GXAlD{d4TA1zPviNTF3RAQSH zsMOKN!F2@L#J$jTv9NP;62)Ycw3Irs^Z$kcedul*)EUPR22}J|Ku(HO@+i>&LlPY} zZYnuoiV}7X*!uz`NE|vVEm}}MJa%R>`$nYU6>k#X0#|;t~a6qC<4{6jVR<2Brj>mW?3B27@0Jr7!712yvQ-( z;j|zlmr@xvT3Oq*ZKWA}`p|wRuIZVVDP`WXpsfTPnw~#&;oLR=379LH(5e^0 zL!$qpdllBLqUNa4MItvLGJ}DcT`W)r9d-vS|BSI^yJ@>N`6>jAk;7r;l43$e5eQD z!4Q@V<_f){!idD7AMM`wgRTYoFC>?TPYa6m{7JUg>P7N)sD~9ut&|)E<7F6@61Ge) zk666>KDCDq2@VNJ3gDsU#rxrcQc>%GjCIsHY7d0Y3kI=3f-k*RRd{l&q^IG2%;;EX zEQF|jxS7dTSPs~|wjOFqR%PKda(|2=EO8)&nsxa9K->r_m61n$5PK2}8G{iCXe3a6iP~#T{LBR`KTjp4DjHl=3P3Z;CCwmStoi#XTeu%`n2bl84YNpx-sr`SSom{j z5Z;+QD#VhY@8Rp;Mr5_qfZS9UxGMkTpFUmPW})>Gw@Y^SymtS*oEJ)nZh@%_Cl5M% zRMDq>I67m;M{~$9DAYI?zW;>4|7{uL$*+#_g5vV}ao_w(^0(!eAqzA|>}tu!l>dS5 z@OvU#*IRGMa;u1&1}k+R_~~zB`4J~~TetBB@`QD^K1D27mNBeNlEDP;M;g&(s~P5f@4YT$eTnYflQzZ3Q_&OX$jB)Hs%A;V#_3@$&(XiWGHM zQU++~Cl-}wFN6Y$u_D&IH=R=7NbTV_^8Xw4O@7+B&9W{5rHF4c?ncA$ z^$W}OU8&{*hYrIvs4CA;>lmWC0*X6^4kIkSIG8R~Q0*K>L=j>P$SA4Zo&e|q7z z%CJ;HA+zoGc$dTobt=L2W~>sdL`kGi3n8CjL;75v=#he}8p*-b^?*9AA-}xBJ%IeTF#Z#^e&C;Z= zB1;ktj~It$u7}I_)JjjLfZ9ElVM6`;|3Jf^FXSJ)Ju1xZC#a0@mO^{z4}c?kfFmjA zfnRck6K|;b;%j#H#9m(K1kLAOpG)N2cLO1EM4_O7;Ff31XSBPbq}++kkf{@ZF6#4P zWcr3$?G~H*cI#+{I03&=Lo!@4mr%lv)mOBAI<-h)@Ae|GOZ&3fTK2!sK+VX&e{|@X zBt5_T`n~n4LeKR?ob^c)_DGEX=zPky;Sjo|I{oe0DElsJVD)nF=R*E!x5dp9epwI) zS0nJ43>Pe--EAtLm(Ou z+S-E}3cd^a_s97u*Il9;s;b$VHHqaJZ*?y?F#j}kiw_tzWV7RMf8M$9fv<*cW#ON` zEL&Q6S}pE+eOdVV^GR*51XbY)?IV2WBC5lDgUfD zGTEcQs`x)p!b36e$JNQNOJ4=**3YX0Gli3pNx5B2osAF7(^&;7CgwjvOkD0oO7P_* z4yoHC{|6Gh4zUzSO!Iw6y`r4s6#1KS8Kpw?#VKC=b!hs?WJ$?t=w@i>w-7{Yd{2j~ zf1&b;^?n_8o;cQH-D9`weQ^(^V^dbPIP2E`K$QEz%%t zgmcc%+esxA+?TQ^MGtYO)Z-dlxvFZlWSiVs_gDuRAO8pXID6R)oNo_`2ocZ<4ney! zJin_wTm}e>_@|5q%{M`*5;?1YS<>xG&;N#8GX1gJkpt4%J@7w}O@6`;@QtU=@Q1X6 z(EkQqWjzZRt_H@WbmV-EWRgU;oT6q&eE0H5&XupT>VFrkZ|;Fx2?;VA*NdhkWKeU>*N_LKlMl`I+y(Q}g&+@s$5t4|}}!j9TSZ#qxIP53{|D&h$rM zMkND-cB&s5Ph9Oc@_IFx`A>Psb|^{^G1qF+AIVhetjPtK(erIab?BzryTsd$qu3&o|ngD&Bch z-1HefBdND?PaA@0xs<)5y$iYra`#LvkubI|BZKFGH>E4jo6s(b-!}x$N+A|l<68@V zU^+c*CyPn$`=74(@#Q2_NyM0gJ+T7d+p~$jhN4}CS(V}sv&YX!T(23|E)rGAu{TsW z59-E#WpkJN@8c^*CYzGn?^8d21CnTG{`=0PH@^)Zgdb7N3=im6LQ0DExscxC5Xm4l zzwYOr<%8{4o1Gzgf%3&#NhyF+{=+BWh}UPNXojSc&M&_|smjjZq0VvuUN4~xJex32 zGkS}yV_0;cJ2La^ocb^jFta}4G>o~;tv{FV--W|xuDRsCJw{%NP<^_2LnUIU|MX@w zW5;q;u`YlZ%m3vetHn^>=8ivgeC0zp+jyZJRr5Yy_ZXWrY+usjc!xevw`{7wss?^A zb|k4acS#pq2;;K8|Lo3nmLYitOWJ*P9@-jEcps9v-Uj6Q7JhpgXvw}E16mfgw=Met zl1NO;%SXl$w5wO^J{GQbDQR0pYu&-iqG^8*vvPSjf7G-YUrib1efz_q^ZITcqO-uF z$;$Pt(Pi=DDBl1sXF-zI?A=qahUgH-!0{TVr{a+ux? ztUjIz>Dq(5bCsE+r;O(TYdmw^q!qSJ+K~h=+sru2V>*i@%h@!W#+%bC%P$m#6*ZEc z;R5(JhV?vPs|$frZrrdsJ~SDr=rC*wS<-Pn6;SW#=Wwe$JQ`e(6}K2Fi)OV zCltfw2Bd<$pgQhetauPg7^*w~PO;;I>IBgLScidnaY0hiZ-J@=n*?MXiHg#R!c9W~ zyc-P{7n5uNRf?+|L;{G~LA{@oF~XH>t!R2_fS(yFbnafXZ=5Q<5Qr3BxE09nwc)qq zWrA6PG;}enC_uW-_-Kx*oow4ni`q+DC&he;3!DZn*P3i^G8#r!F-pT6LI`ET`r_d||@5eB}n0=jq z;)f9zSLN_ty?B{BOFIlq`zJ;Vi?m4@gq4|GXZAWgt4W0+tzHPj0?k2zCw-GraY2bs zyu`s;R4ONBkh?cYibWutonTcljDg&bKrSXD{0}Eh(I0FSNK{HGVw5~g)%gcyJkN_$M z+8-BnG~>s*jghzs zRBl<0;JHN34Hmvw`0@m6L-cC(G`VxpLdX1ZP4UCBw(F(e_W6CGy*^CdQz5llS(1on z3hyj+YWAp_6TGJVkEOHktj*hHDpBDR!qnWf!ds{G&-WX@0Y$UPY+V~$87Rg}LL+2) zw*gwO6&fSR3I&9f@QFr^n|t(4Sns$LJD`Hpj%2z^k$8-v4XnZUw)j_&(98OhzI}p1K;N^k4)5o2WIo{f2rT!`Holcfx z(y~)SkCysrC5tlX&qOclftUBtWwShYp5OHzxfgHk?XvDLq%*hPtfbM*GUYkUa9>p7 zh!;mMC6tj0dQSmB7ukJ3$=R)Ch@zQ3!@js|%NpnTjKDSK9D{Rnj)p&6iV{jUs~GpM zcb<)&kwYUfjai3iKn#?1DcN{gyZ>|2 z>4R=Kpi4q@`BB)8sGlsUZ6owt23!QKeYk>6s1zor=eDry=P z2#0rbL1K6KeE1R+NWb50=$WZV#1EA^0o{!t{E;Lg#sNNl9OZ+S@$03B=ts{>`7&{c zm2FF5pu(GBL3w3z+(Fw~QW4%rswKu|)!E#3^$b-uU+jf7<2J#p?S#J=Vt`l=0Qb?+ z0KJW?D}(<&hBL)%9Fs5$OiJ;o_ufAE6iP2kl8$LXL!?4Sd! zrM(j=@@XWJUdB}ogHZV-8m-sKqr|N=$S-4K$YZV}b5mqPZ9|@^SCW)y&Rb(1cc<0I zHY(K@+v;B|{fM&XX^9E#h=x@NB3dzU)qu=c?mR&j6-N!TA;IW=Mb<4vLkbw%m|=S0 z_kthxLN_lp7Uc$`S|ObgP+`}*I&9UIGaPy*#jzwt8eJ49fvk&~{~HX(M&jn3t|685 z{e}1n-MivKmN9x&%{kYVlib}hNP~}bNU(HPbfD)aV5iG*$+bsU z+vGz_mwwdmimp_PoHQM?wSF)7?LAq|)W1F)7uD@4?B@D)$A>L2k;qRqvWo!FZ<7^m zZ?72i6jbZv9hCpt8sJMTQVvUo`ca^uz9BJ^EzHWrdzSw3-?%av5^XSc zm)aJPSU?=hE-icRb6(eyliSj1yQY6}35>CtAe@a-YNlfX8XZApOyib1b3e=!MyZs- z%Com8@nj8)%8*4k36qPNKg+8&P!>_adipkb0sI*wI^z_!YEs!m(KE^CELIvaa{1V! z4Geu@P=_4ego?w3%ZCXkj}{+m{f&wF0=aZwR2{<5qiM4@%mBUGu3sp)q649@NL0Pf zw&=!j<;0B5*R0BBWHyTsv*nQRywV)~<-=V5F^&)(MeZ`-->+Eb4OS6lXdQmhAh?xP z``A7(R%_ejuWqlL-)TOX{+3lYbGu~XK0o1&mhWO)?vS6DvcIG^#Ave6 zoaYNvN-VXPy=*XzvH4A!!k+Gvzo0jjvOyO{O;- z+`knpaT(Y*9uuxS6&Fl-Twf%0AUx{#=gHxYq3%A^KNsS=JnjT$34iF|VoF$%DG@Zb zOOauqPh!4coEHwADM^x5sl*HLJ#R~cu`~lvK+4i6t`Lbb4&5ReI)bR50#%m`4Ftll zuJw^g95Se)**zl$+cFuyJyujMD%XRF#_xVrw>_hLsT@?f7W{{r>-9k-NbCy;c#43; zFkk!?O9NtYoGgEv9$aqd90uy`d>6iWzslI23tZN`2Z|*FgPT@Et@X4uYIa^n8y*$5 zsQzmyP&OKS`Gx-e>i*3F+YEetrs9H2x5&9_#UN?A{tn?Wi_Ft2+1v?udsIy_wZ*Bm zBDfoOY?#~DT;#dzxH4A|I89{tayH*d-_08#lRs>)JmGdy%e0&JZP{_@)uwQUMND^0 z;5$QNu6+CX_^gcd*LR^9+-NL#?__m~>`d}S+J5W8sT8i&^f?an%i%%_x=G{9`xtiT zwPgybwm@_e#wQk#8b+_$Ygs1S(NdPZWv8Z^T2@mXo!^?fuGYMzHgJtRhE?z|$CaaX zBdZm|I1~LS2vr6!4kHAj%gIqciaFa$>dzq#wc*{R-~Rk&`?0nvGlub`hmy*jnEPukkMbD)Ci4Fqh#ZJ*qCQoByBAOUum*C*p9t7mv*>-9}7RynK zL!KJE%NOq^ID&CTHy@?!K1YKUi#JH~hxuu@adR*m-mrxi-}uF<4Sr6=jW=e1ynw0^ zfM`2i2UDFZrolWkUY3rqs|_f&4JF__AfsB6Rrc$~WMRixdMlyoFOzMhj|!#euVHW( zVr+{Y&U`R%!Fc>}*0_8msI%lT@rCKwK}%*@kqYPBt`mo1LQ>JbB|HT#E)iu~;p0?2 zo@r*aM1DoMhp67=!x`jR>iqTgl-lZ8vYXZY(yWgEHX0tC z4$-pG8|dYhPGyk-bc9(&5l|hgeiIpIUn)eAerlv?+6L3_dPLbF$$gYCvZ=hZh@;`! zJv9;En$(A~!>JP)&2TY-*mt`;axU+_&ec87BO!--x>Wnb`le?^6#o*cW%5}{ZfUON z4J}@(9~#cRs6R=aqJGH7d#QK3I#=->sl7(6_`GM_GF?=uFr3cW@w1Pq&1j39qx85H zbrkx=8lWVg>ay)J#xw-5ypn%zs^(V>6gFMR3XGo;CkaI?y7-ZmA?fxcQq)`i;w~=u zPN;UQEVXBfH86WGWds-XbahtzbsSn57#rcJHT(KKxKulHV%h7{2nQ$D6ZKpaheXxZ z#mVw|P~y||S4ZC=6IHJKG3zo%7xS(mky+i+`A^2`9(DF=XE&LG_u`74&iV^2>N~b< zr{W|De*avoExcC+IqB9zPK|z#LZ#$D;J0gT&6AYk*XcLNwQ*-5ODT~;7HFRxcziLf z8ZX0+Xop(CeiTC%6b2&WwnCHJ_DA8yAbbs$_eXtS0LO{_&QI&G>fCy3S!AM587mUZ z>f89+D=Sg8#AbRwp_ib)03C3ej|aQ~2IxRm04O|CU@5&lyHM?9zT8e!;o_dZ{%L;= zAcHH!lK`+6jbyY93we0J|!iBy_ud~Kvk`; zwJJOxlHT)ki1a)Csyzm@uR##U;|Dq91OMpy)Ivz@*LY;+9#!kL2sqM6_0`Z)Mm6Jy z#3#kUC56e)8$3(~f3iy_rsgT$7PgVdBS_O;87tM33u2++h69u3HJWhlNui-miAFv} z*F2n&eGe<2dn^Du-prv-eW!e*JBRWmtuTRChb)Z4WI=a4LB(|(@6vts{Nr+BWwC)? zC0OM(fSr^#`0YjC}~ z2!DVO06pjbobct@_p4?lVsHMU)f#D!UC1bR5gjNr;$F|rKCH!Dfbk_7byNT~WvpYC z#oP(WwI{6LeU`liZhm^zC>1GDjqxHSx>@%q*QP;Zi=fh}5C+=1Q9{IgdioA#+sICuvBOwv`r^NFN-MlH#&!kZ0SjEg(u!_dij z%ocA012X9H@@zARcYA-l6qWBnl$*3SJBk>kB`$hNqPw(iZfp1VwvF;7UoE}2HztN=ZCzy zUx)L&@@R}aEstODv;Bl~Cx_N3eNOe#4}}>S|GI1aUhP{j`l>t@zzwOBp#WJYQ=)7q zJ2>|PwIf=u8%0iSnyP-0C(xhHhpA@-logOKv^a4&Iw^7~MFj}(AbU@~2zv8*t1gl_5&XdxasQ38-Vke^V+qT?Si zVSMPyB>k0sEM^o&hnr$YwndAe$>a^{{guSX6v0Lh5X8fc`I^-g3ck8kE=J~#$7&hB zU*TCS(bz2XHNo~iFZjh?I`P7Ib-tq+Zjt#>-44voXZK#jdqX8RilOAiEGHpG@7Vrh zqDFJ^T-Q@I3(JD?4yRzQ;nGsO`hrOsob~!c=Kj{i&kFO(hV%dfT}yj6 zSo$#kkJ;KM+ip`dlOn{@^Qe1K!=j^fKr8VctZ*Vuu`$}Q$lmkL^|#{Vq;n;XYWeee zr0JC^?#4j?a(|UgT-{gqPH$%zUq1h*=P<>%{~=R<=j6ZlPTE<4Fn!OUO^BaE0ZXL z7AIt$)~4@buc2O1m*!QrC=kNz1F%_jdmEVeXtq8^3}4^#(b{<&xMUu1ta$wo^yBZy z02x?(3e3!97}g63pd^@OM|(^(AMUVLC>ztCuxxL5S5hrvqCPy`^nKj8Uuo~7y<%g* zSB)+s-N9yCNSD&RPQ2NGtj-V0cdmOcDL#sn z#pwo@K0E|{z&P*u*@ly367A768M1r`_c7#is(A~&9b27lBM2JCIWqD6?&De+ltCXo zoBf7nX|Brg;5*+jLICM?!CAF3noJ}XpR$d?gh|#5g(lIEktn9hVQT?W|LEKSbvuY_ zb-BsBM@w1JOO8n{0jSjPtil}G(QsaidwpwX;pKr~P3irgQqv;MSP)#=2D|vF-nq4J%pv0H6d-n>cbhMM^FfnS zqHHP!@o4$CQoQB$rnc~#WB%1{Ri>5wuN!f4bv<2+BqhF@8wVHZnUG0KQMR8}Rv4%j z)Sjib)du<4ZZLxq%&PCB&24ZW&CcQ*=}RknDml;#T5dIrrPi+)gT1AwqbL_&8sfoe zCY>zvKCIKOLRV?~iQV5<;~)qMfh_MD#7*WEQBj^h>=_<(%zQIccLQ9}^zO^3^hdh3` z)A2S*i;MH05E;$H9^C|@-$z>;&TZA~$Zcs6WNB;+_D6JfOtxy2IJQjEN+W5y+P>5; z4gd7?tZYnYBi&ChydESCo&!RkCK=6DapJ|^k&HvET?gkpLNwEz^yXaP98Zf&k7Ce^ zt3Xq1!wm2vA54bO6QC#`_5Ggb7Zq1Yd@!j`GZX4&=stmscYQyuu0H-BsI9D|(3aQz zXQavdz;0M25Ys8eH>?D0Wy$aT_2Za0fATmUd~g-mUZLDWvRYgI&N`cYLnkAj)u+O} z60>~VqMCfBD2btN?8VDAVcc4ex7jPqG`)Urtd#H>WDe77-u3vuwBT7iI5ADV$9wF4 zR^%**amVvK^vvrRd+ki+>B9rPoo zmk_s=^U|)=zK;|aYk5s=2}6M^RfvW00V8qzb!LvfPXdRO1U%gQKIRI))tdjElp)`y z8_;J?#s$o@w!jV2CWVJoxX8w0ucy}U<6u6T!Gcqi!{oUcLOO6vX&ZvXpS29JTy%ui z$vkT-{U__ySf>?=&e!(YGhFLm{dLv4w4?Y|t(p7BjwqMCn)6vR;fik+sw`~z+Cp`v zb_pMSNNd+)SN)FO$@~u_SB>K;^CqK333*}pH^5nSBCvILy~;k(L^EA=c$#E{%Y4?g zrpKAgSD%#A8NTkdu}oZw%*TO&wIVi^?SLzISOZ=tB=8t8I%6*xJ_>m8SdK(6VwL@l zE==WT?@kqm3ehhiR1h%0CcWzsRJBT$o@man8<;cUoi=-LM*P)C`6$`SX|e1vsIQIypI*u04@#;6 ztq9o(qmIDUySKhygM_cde%ra#+WUXNIXtiUEzik4t&=2(&?)#@&Ni;f2OG>38bf5_ zEByX^$Tv+|?WDg^uG_otL5DMp{co!*XLKfsr!@N!-oc^ z^(V|aJh_!IR9l--=7(NxTolD0 z4K}U7HYEN)-#9Xpf+EFOWLF?TWGW+pSS2=)H%~ClpBEi!7a93)-Y1hCMo*Z0;}@gw z1FG}BlgSJ!olh=Eah8$-0q$nT+)Plp5+>OQ2xSU}iD#rxgtkQ-@<&chPAY_qm#+8+ zt9+Ss3J-#;!OW=77z}~%jb@JmXfUdE2p3HbUd*JNjna>uR^t`=cdSi^L+!fpr>EDQ z8<`PenT^i(EhbUh2ds<45&?b(1+{0a7gDDBCrJjLh59jo19aO#&Ax6&OMaJL9^>v~ z&K8TaWVt(BHn6p6Erze2MbcfjbcMp_vS3aRNHAI?Sx)i$r_&#*7w|<-H#U#L@zQaR z`D@K(&Fo6EN|oqh!EZ40Nuov6nRqVp9qd?<&1q5r-1#>vYxVu~0ej%!xDI!jnQc`I$LSyBXhk@eEc4+p(?D<_oAobA)J8k1%`Yw zO4!%`&%?)7+p=&*9`jcQOXx8(>%+Rl7?VXIp@;q!b8BV>3t-1|(?|-PETPrkZHL|S zTSX(s7^%&e{axU{Z2*7kJS6XqG)Yz_%iir_@W`-0ptZceL|yWOuUZT8_+L7+`9kBc z0CJC75!nYjN?d1M(QzZT9)?~NmRyJ~w&-yduTiAr9u4d}9h3JOQ>m%o;+jRE)T3e; z;U?rgIWjH%!>62l)~W6c zPgZ_XR^fh^t=~=*>tD55^z@6!?GWrI7I{>=>lz=M;EbPH5d3)?aSb0^Y=6wR9=}Ks z&(d2MPg3>?9Uaqbez){oJk><4IIO9j_AY?rs(8UfD=Y1XKjEO?*GZFQ5m@t7dIe%~ z6DIhUQ`4(3%HRGi#p!$%Eu)Z$wys%OCbx^~iU+GOfqy>;Xmy$(hs(%eMdpCv@1GLCmlF}trlHnp9TTKC6t zz1gd)Pb$oGN;3jt;F6HO{zXwq9q-?LHdv<)ff4ex!OPd>fjWf3fcOFAkMlw zv|OOFmu~KPf*!5v{?c>~iIbF_hX%F~s%Nme(YMDhRast&DMD!*En1EJlpm-zLs)uB z6eIp#u8L}#+nuj^o;W`%AMInMcNUNsDketC`STJDf@a=+eWy{qj<8rZI3YSHLtZS< z*IvgMC4MYzI6tjV3Hu3^LVI(1!ATG*`{TEnUUf~7ScQmQ2^HZ5r%%Z|)B#zSU}U=4 zgoI~JBO1QMS{GtP@9su*>K&=ekPn*<8|hYJ*K6Q|Ybf?-Wh=qM@oS7ZrPucqCS1eg z3Cf3N>eK!0ej2g=$5;MOVT(!u0{T+^C?IMMmk|>;qCKIirmxx;c2G&=-)asVJw&;0 zY;%u~koS!!tEy);dI|7^eLS~g9rmFuvsP<*e_d723E`2?X#FH&r=Wm2+DwN#EtUs+yW0=6 zW_Hgz;1rx*i=DrdpuXD4a5@Wj46fQw^+?TkB3JFGXjqy}JO8=ZP z7|w-1jz0+ICu?EuKe{Alt*d-@nVY&dM4E2IZcNEc*?J#B<4>+sx$Lev7OE8cl4jFVMCSot10{flZwW;2hyX776^2|f6%Efmo)ygRV9 zAmY7uF(04mzXKf|%zfZG`b#dn4C;lxVaJP`fv|@=*fR~7e(y%IEovlC3Nv=n$r+fXN11cDD*0kKU7=FOhDrF2_I(;>dWH#S&6YXL0k@_ZM9hSbG z$k_%LjSYV4c`ge`gKcEw?$K@F<(%~uypS5#+&nG zc>P4EwXBeA{LiN0Us&n0Nu%;!)J)eaj;EU^`Q=xKYr>}5ai*IMImi;W1Of9MFk)rz z72bK`ZW3(SQ7^E=$nQ7g>)}}U+`-yoqlf?W=b~C9QWQ@mV@>?DP{Z!;`z9pE$)R3U zm-^bu3VT2<#rw~v`W3>4AK-k8Q(u19&sYBq9C62h3%jLF>k0}M3-n=OD6s(2i8>mq zJ`5vNE8_DEnpIVL@Zz^yW&f z6P~;j8TpU~>o^FLJ)o;fVwBIq(C4Dtocw4PA7eGX%`DzV&oD+n#8nM9o{2JQKRePC zD|PEvBwSKxDM5(-w9^YZHWwB-CBZ$&jOVeFf5;($eFtt;+M$}grK%Y6bPd*O)xCDB zpO{GLb#;)mwr+>|6Bo*20t_XvRNkAw0(Zs5f1D@OgT@O{SfRfB)Yuk(FH^E|K5*HN zB6~Wz7i%0H^^X*)84FGvSvr0Cdf?X?v*p!S5rYcn0adXODlvPBxj`TRnB^Ty*leBvW9FpK|z8gAaLxvUx~pB7(4 z9Y23AyzyRr7unQ^fM=-ylwAaY=_@$0!Zvq|tq3qq9*X z_t1h$NvjuxHl_2&E^tsK>G!`*`>?!dxg??JM+dk%f94{UR0{*mPAq!o9BTjIw3i>| zV5E8zioCcH&+3U1*f2M67gh<{`X~M^Bsfkuh?;ZG`7l7E$RLCI9|U8M&iM*r)J@b?Y@Az1`r|H}0sJ6CLZT zAhJpKs?T{hIO)nILlDL40awB1+Zr@1GG@d}!7E_9jAC(Z@+=fi4H;>?YZX&GAY7Bl z$F6r5gTI&!{JOD!C4!5*?C_=f`nr3H+EzEo{y4qBf|n{}Y=tLSS86aC6#Z+ox=J^? z9#4dCcX#WeCP$?0=`R%9MMWC3;&8#HY1VS*JW+IR>#|c@vdFn0E_g;JY)GnckP*@!aC%1 z>&K^>SO#MvqvX%2*e=KA#zM;F*R3av+N?e8>v;PO z#S<}6No4bbw@FtrS0U2r3|)vuI#4CvY|Rlt$CK`x9< zSSvmK9;pdm+x6Au`1^iL`#nE{nG!Eudb}{jdj*#h@&rg4O;w)7qKRlV$6w<-hSIDG zQFe83&}ybBC#**E{S~Y4cfNi3=f%8_M4csYW_O2sV|+L~K$ zCmF}o#iw5Hl*IWcYIn6G0x1aL26?`M#L;!$V}a@;bGH14T_P80bZ68O^UdnAI*Zk` zYZEprU0jj|!6fvwp-Xcdn}oeXM0?igFxp_ZeJ{+0b-y+CCgAI znXYwi)7IY74DUXyVi^$9@anhQ-g6ER?{0R-Hq^}%Q1!Ndg$PH+t-T#dzvmxk8FE)3 zxxPG*9_!E3(@mT3yMo{X1v*Hogt#Nfs^xMm(S!pFkH_uvs8!1L%Wwf2R8~DLxbOtv zbTeZ1_883!Rz2KNqM@Y+YZ<-^*;5`;Wi^8@?aOyH;}|u&s}QC6JG~yZY*5Hz zvU6Qa{H^KBs);5;YLcwOE9zfkiZ~}1q6yD*>c?cDU_kObfg6TT3k(j3i5$gG!%m%W zy{1i5J74)<#O7Bs=)qV71$gYKh!%%l9{Pqv&RnIcz`mskdD;HqBED`~IW20%OjR23 z%;5gHI1pd=<7efNBTsP>O^%-={{!jvsQ-Hj=LyyyR7-ZjOz6T~{Aa5n{!jhb%MJ<- zxhB>A{sQ!ghTCRTG8tNl~W%x#J!ATXAl0nNdgp^ePZLaWkKM6W_YpMHUP9LwJo%^@c$mD*ofnN0&2 zFJH3^vUDZ>buJ~ylQS%mo zLgzwrwxCF`gZyH9&xs=Vdl4C1taFfst&W(oB*Mfl-&Fmudi7|4idX`Xcc&cWB%)N6 zJQEgNIGvKd;Ian%uS96lXV5||)*{4mmm6xOp_qz23eBKB zM;i^3;_lCX)a@=dC{3q1`MUuPJN@Wkyv z&qWMf*ya!-Ce2K%p0VkR6!*nrb%A*aZ%xF@=Jo)~&SCKLwXOidnIhO|m(-i*sEbfV za6MGwePW0GS-biqyFJeuQ5HvuI9p}F@6{!kBo}U)z?;)dZ@wlIVh-=GPKIywBT07V zsa7e=jjal{B&CK&^=S=7naQm;j{OHH?Qk|7f)odC>i(T&XnwT7?I}cz!irX8Edt8! z+N;GB-!gxy>VaQ?M#oq{FPQJ-REi@%u)}tLORara~VtnwFQ{PfsuWMfZ3 z8+J-<9LvSp9dFIEJHDngli@N*DzX=YX;NJ$VaVA$Gefi@XDV2GkGb6G-leBmN8hS@ z?r>5=l%J}HW~cRhajmK)y{AbxgZXITU7Wbd+>!W#-0wC?0k%-dcCQnkBNdZ~#>72c zq4fIx_YVjx`D15zjV9@G0C!9Gch8`4)2~l_;50X5|djdRDW#X0*axp8_m~ zO-!4HME3ZEP>6O$J%S9`g3mzSz@C~TU7M)UaX-*ecDXpewz;w(_jfk^A=j-!r9{=s zqI96&1llz0H|o#Bq@Bwv=g(6nNCO#4&xvMl>itpJdTtZP#?Y6>P&W$A`4m^P-^?$v zxIk;!eq#CGc6k1DUPY6G-F_0VUa}+iqD)DmDeazRU>o}A zO5&W0d>JAqDRr9lXJsEr8H_s1z=J>xl-MzKsHCd!8K9V%_%5U+JiP(Si$&t4wtSc9nw1eS3)Q0TfiMZaP(g06bPjl+vsq2zVFfdj@*Yg zcRK?>P=F2m! zpC(1>bu_2b?9|h)PW|IT7W&03mJMOk5LNKIui_^C|K3ebf(5UAt>KrDRpXVl&_foP zQ2ppc^Ci2chlGGyLAEhg%c~pT&315bLLQqGn;`iANIJ`~ zCe;5AbB@Z95SX^9b|V>I~R z@A~iU-tF4;T+jFUem?iTLw8$1s^R-mOJbF)8*n^_>IR0GYy;X<@@b&f8kBo`sbROh zBcTQwc~u{tw{TRKukg}OQpbd|<@25jx%=cuhG# z233DZ)UA3%9oGhLw;V+`LKKMq%{+mWV8b}5&LuB?pPJdKn($({ZP#}OvPyJpB(acU z-VLJy6?u+WvPKs|!;)2?Hd=@Arh5+(0vC+ZO_<*UV2X~n2KnLD%@FGs?`qvdv-FB@ zsNHawSf9kmDD*|NsWIn9?X;;WP-uKr;er36n;9K11gYMyR?4myLiBZZ838i3$xHaX za&t>k*F=eoi7&#Qo-T#38^z|N&{3f^m46o^p2+?u&it5~hawx) zpp@b!=MJr{IhF7t`YHeSxz^!m7MDd65sV&V`pwauiMb4HDz6ki_H+$ArcQ2s^-sbr zr^&phr(TjHra)rW;b^%esO;DLDC@ramS^-H`xjM!ylzqa8pd3n_eCwS2FsRzu%Kxo zAv2|zm`eHCuoU4+fpc8q^}f!O(G>^**Go|+?w@!kczAyHBb5ZHJ(K2*_2w$Tijz^Y zn1$#@_dY3Py*mwZX5Xnb<`zC{z%g!JD2XWkV7YzKGg#NsCL}Kt+zSfQRH$b_e@m2G zbOL3aYO6F&Vo@uW(?zKx?}v}pGqGd)COripxE=YZ60^JwVQ;C>n;ffv2?}dE`9tDc zF#FKtOP5i0_=4kC+*<<8VejOY{lNHtwC_@%7j``SmzbmjAp0JZ22iIIHiFEv_SL(y zI7ggdM=BI5Q9;PF@WecE6P&x=>hj*S&oWg!O$(3E*WvgzAx&CN*?9W2t6;jXtlFOj zGD9}hD=qhYsBMzo52i}@-->{|3P*lLMB%jTwfOHNt) zexRRrn6wl@5!VtPWUi}$>n_tiiUI#?FuJ=ath%fnC1shjAE>A_8+61i z%lyMvr7iO1^`{8#TZ+?QO)U+OZej}Lw_G~~RiRD;w z+XX*UpLCp{QfF-laZ~py7I`F{ig(Gz4L4W^`dc@81nF+ZxDB^ayC~)ZU(f6-%dgIx z5JMjCmD$Rbd&^Lk(QQhE^3KN*K2VyRo>2{lQPKHp6DuIxj=B|Y#ehNm48Lsygah6{PUEQN75S@aOAG5 zhWO!6R&#SS{gaXux-y2%Z7{i(hT~j^W2mjg^joRFdiQKHdba{!CZ9uQLOD!l?AHBz zDZ^pucWR;I-MitVT>$~JgB4Gr+ROH;j7&QRqLV`@g1~UO^Bl!AS$Y5NkmGVGr!o@5Ope+g#}%v%Utds@>^+{$|&O1-L8eD?d_zhaI%I_IXUYKA)% zAdESRDz~no8l@h4g^O#M@K`Q4??ykRV^UwgMB7P|qH@bALtSUjIlb{bP7CM%C_lc1 z{#)Hw>!N2;4@0Q_Y`vS9-a|Or@8HelnTcN#!5gps+Q5Wswh?`j2^!Y8-=}bn28fBx zqqS*4pBr6of@q@c&*=j{c{e=~s_sqVWh?oX&Df`*IYu^N@_KfcY_MGIufL>>W4yMf zvG^Tgw9LFZ%4%?B6CW`4HxVyQq!UrSd~)CT)t@^|bN#cRtarWYH78 zKfPOJ^5t`0iOcEf)fB#`1a64b#T|ZQGyVvC@rIHqbL`&ZE-Sk4ubhvSwRk>1Pn%~h zjNsAwL(>26;Cap7u8lx-!Ak_QeeAnUN}4Q^fBOV-1Soq?7``IaGbdTNi3H$=O9$fQ z(y+p={XzV-#+zZw^Z@k{?FRb%nD&(NG3=TV(fXGI0Ju|HD8-6;lmPqVguto8;4G>- z@`A5$PDjQxJAKTBpgj61>vcn34SuL(rW84zCs)zw?H#-sqX+Za!`J{!XqyTJ{Fb%( z9S-K~tQNZ!LTd6&z4BQUH8MO^lNyv1^grdv$uKp0&6GZZZ8|ywK&3f8lgCk4aDu$8 z*l4n#ZJo+N^7Hkhc%x}aRJ=ag7mky`ofjjW=iJGEnK&;D-<_-Ft=Su5jvUu<3(I_S zQwZ0_2CZJd_xV0UHhEYP&x*jM`Ex1bnnV;2uxDe^zOLz~f1v6_4#L|&gCe1JP7&^5 zz2>e)w&v^ebIs!)b_MTX+w5cmbcQ>^nrIPL1@ZTb_>NtX1`Jk)kEVoiTg;cAx&ko^ z#qCOTE&0o}*$u#K-?}!>)$NeOIr%>%X-OBo6q8sUQyu=QL1Bsb|ILz}CDsrZJZt3yksgEiiq<@?r$L==V}j_NiWhax<3jT_MirwXTai!k1ds2svMopThLK8?lk zvBPKLoci}yaVl{dgTIL+o%?_3maX|}2cvsv~v;g zbBuf}p|Sr5jt88JqR^-x-@?LO3+A)|R{0iFlIB3g>uXk9?A9NWN@3F>!A!qnLru>Y zTEMTDdj(De8&#OO?P!aBJis?un5f5i(o{$#Lx^aQAH&q?8hNHG`Y9*#OJpIp8bcb{ zCcMj9jFYKya{rLj4DXWrOt|TZvh8yg$X>A*vV`a+3X2b0S>b*vz<8(XyqR!|W0bEP zC|73BKCdch+W{`*%8cUOkQu@i!S-;`LxoQX#%^-m+|t#!B+Dx(xl1nnzu!QLywE*k zUdM27*@P!Qj4OkQn8vBd6cu(|nj2X{_e)LrYrqC%^NQP$N)K$dGoDJsYIk3xIxRc< z1I*?=@CqE|SsHX(w@QxC+y0a@J+xh2yuvAlkfMqgT+GOc7qQZv*WI0do1@G7e)y3u zg@u)_gi3mHGcm}#JG+IZL4nwW2NXF^{siTM5J(+_CvaiI+E2r!RRrw%HU}JkXk}5F zKl?pZjdeRK%3Jq1+df@QD_v47U{WFt(@XlqPxAT2_m4Vj`0)HXtc2WaL!=ODJjv{L zK&=piPwlC)LEtPVTmJmVc3N(^Izk?TYhWMMn!-<%p&A?)%{(8cnHy?=eYKH-(V?zc zW?w{TRytZYt=*;1jUzZrYmsD?bht-m&662|123ja8#l4e>AQ*D7K_&U@jmr%wsA;? z;4gx+f`Z-G6y#OQoMn`#qprf&N-l7j!z1WsEoR&zxSvE3B~%H3&l{un;#v z5yvqTNEacJXeJOmFfS5xrli{~5J`EY}{CQ zDp2bsTRae3FB}Kqd#hs}&mR(S`?OKO6|8MtHn)0tDzau5>=rnEitomO6w;1=Y+hTa zTf1~Td2l;vv{}jAFsqP%tqmtrVUu2+DdNs~q-5sZz_+Tc9U=ws_3%@YU)wGQ+sI7>Zh)=kQ<6MO6hUDh7&cF>|6*wa~Y4Uhp*e{xqDFr0$3 zufj9j*!%(}RSFg+n@kHgxU=P9r_5V>;VMSIaDJT;%@$IE+h_i;YpW35P5wRYQrY8g ztsaV`>E&hKrycLOOr<6)7+ptjbXTZ&2LOS#7<+o ztv^CBznI*hE7d8lC(Y4YXbPPaZbpe~^+e&`7tRUGxB4#c5tbQQ*(lt1LceaL2Wf}& zSjZ9-#2opZAoz(Z#bQ8*L%@l<&zg#6=iQsupqr9Lr7*`9TXt=vWuAZs%(dsSb+h)$ zi|#><8R5w0Ca_;;*;V+as1+Lfoc+YmC)?A5+eq;6NlXEfLmQ0(RhR%I5`JIFww8Y2 zXIH6XY`k*%w6i#sz$c$+`vuvEBA-4)YVSv_wFvt;D@efddb%uJGgI8&m>Lf(*z1%G zC6u{*tsxf4D8FSM^ZiHEKd=lHM3sz6{TC3^4zs+YuYjpr@nBov2}gwAb7N8iA@${D zlYZrD6%Hq97SqKoJ_?%Qk&f_hvDK>kFKX5H% z({d8!I*G76PIxXy)FVKb7yO6K+L6BWL3+;^d$B#~iPc8fk5N1;xV-o7C8yO> z`_H#USFyCsme3CPb^`_zSJj4J0|~Dk3jDO4JaDXCY5|`{K{C_?1RPRs^2lmPMu;B)+eUE=U^dRlJXV*RYTE!zuGs7b zD61IJU|%t7XV%)#gffM!ujkr&wTX?HDplpN#6jVtZO-&D?WM=R&rDAdR+F=y07(Qo zuf|;CO`-yo#@to@bw~{o*K3`VkRQUe3Rp8KaD5J-6NB?@=#gzMtE>kcN*-~Le`mE? zl+@ijvi)EN!ibKee!1k9Ni3uqDHEc4dKTn;TGy69%cC`RDKR zSFJ=YY7Y@AzZ~1`l`-Wf0{nf(#90-WL+qR>j^xc{dg~d&%1glWN|H-j$}F z906IGQwQgEd((FP&dxp^GZ7fq=PjJMdGXbpBZse?Ybd@+VXkhHuVinSa zLvI9t%$#5tAUd)$sV07#;%Q9&5@+g_7X`=8u8uPqkjAy+y}k*ZHIEP24evc&u8NY}t&2K4O194PNn=TvWln&-5b##5 zQcdrc0j*|dhs%gWcPDA(4LAhvv=4ShC|JcBy5iK2V~b~Z`ln0x_a~zq3T%eCLKLZa zHU&mEJgOIe`sV?>ftgJ!mGbPN)dcAqb$;)jh(w7A?4|9p=0!fR7BMj`!ry-q%bWjn z9ax(&gQlcqmXir@&q0NEFY3;4Jf|I|B>KY|{;*t%r3*hGa|l$x&krZZm|o6Dby?(Upu>?h3F za^;9!);K2Ctbf%8;#_ap0Y7pCc1_pV+y>I+u&H3pexKPO!Q_TXHYI~6mf6JILK&c_ zXpWfX43mfuy1Z-I*|I5v`D&_A*;F!$ZI&zTI>6}G23#fGj`~ZGAG~>aqHWv;l2Ce} zJk291WfOFASBj0)3hBc)SCR)(g6>b_=tOv?0HZW(pM4L`WNnazpn%g#-s#*%_*NEn zviyA7uiSsgEFL?RB_-q%@LA*5QLhc{#^~L{J1*(7hk0!Ws6aKiDEb*0{7jw-E}n*; zUJonj=`~`BRuaEyGjjKscsWmme6a1(bYUQT&a56uhShso%spgRgWaW)dNk4*l!}l; zD=n1@gvVAV>YSg<*q@0+0~UV^zBh$6|!c39>JV51fmF zt|p(FAb}c>Y-1i~`)e#N8x`^;a=GmKw zBM`Z_SBADQQ7zz8Ja9&>e^9aMEEEVmh$;|Pk(lO1AK=55#B6f+%z`b>0}g)h;tSNa zAe&^9MxO`V<*Z9Rb4PxUw~g;sIcC?VA~yLBM|2~4=1lJJ$fOVt3$4>%3$(FW16jAq zE*K@U0OW6OBfn>8fcCrAkOs#I{MQS}h@0%`fK<3|;bMomRR8x|_Q`^u3)paOu8gsc zlM=%8gp|VIEnp*^_zoH^nhICU!}4{AzAh#gf2dB98Pjzy1s57D#U`Ddwj-2}$d@_& zG~??@rd+X1HmAS_jZaGU#8ggJjzq+=WrH7ZENH$OK22aWUJMO^6di6d5*@yCJdk>1 zpk7KidU!u%cG5mJNmKl;w2-2l z<9;1bnX}I-=~t`;k;5Gv0+FDj`YQg~I~Agt`pl5PKye>%mH3zl^VR z{%(*B=h9P}er$b^osE7|df-30Yi>zFv@ZKqIorKU);b1<-4Q;PPM#$dWn?<MdkZY^!{EleaQbzp>DPSsldO+~EO3o13wOCA@aX)rfZNg>o^ zCVxpHfgm$?n0-{;c+njwU~rK>0nf~b;yg4j?;UB)AObxBMKJjq6UU)<7^t)1;;vA8 zzT%e;jbV=Yn@KFE&b5tq8wD2zquBbva8P!1kJ0BLN5+_>Xr?lwD9($xx%OzZ6EAam zW-zBvv9RoIgN^P@?P@0$*=S;_wtc!`3!`q3$MAQ9PWvOpr_x_m2_u3x01Wu0fse z1DTUN51t7XJw6YLq5MzPk;qZrd)#RsU9vS3koO;^4H<$TE6>&+3_3kiXmA-rbz9Pv zw5_ESjR$1nY|cV;T1Iyj;5)i2tZ{9zFlLaSr<GaU1rjU)|s`d`VplBpG~1yT;QtDEEiN)k#s8nBNO%3Ty;;nJ-{1orV;=iNMMH ziI$yoR_Tcye_L_=b_P>ZkNHC~W!0%S!{l`4#3@7&6kNbqW$IB=@x~O4 z=VU4_ZaRPk2E)@W#tN*Rc|K1nXxsBrgrY5>K55X6KO{7l1^?7r+`P`0mM?I5#{hR_ zm=6ARc_YiCR5;`6tt2Ws)m?SWyLrW4dljvKw1n)u29SCD-2SnNc^a}aS*6yQ%iXM@ zL>m!dd5)&+hZy2C)#4Iaik8L@P|fxt9%R9k1vcb2Di3w0~Sn z`e5ysa8FMmKz5Ssh;sAq+3{-=(`#dxs{&|SW(^t?i~dhE4qGY3A_)gXhv-A>rM_h3 z5`%2pQrm7EjP@Y&^5*#|wvaaJptzKQ-GzNe*u=%cIZ|wJrD5Nnd2oyNKKF`jyh&Sz zYlqp5v@o4d`Mb@dE#BgmZ-+t&E^B9L8Zjs*tl`DiDvIs&t>DUDJVD5VJ-tjOotIe4 zG20ytKF;@T$i6Qnpz_2G;<3G<=Sf9uBDKpn84ra#;O5&-azLUMpvd8E&Tv%{?_ZZHET_BJ}%qYRbT>x;05`3L${se!kOD8h&TBVkNLA^fVRy% zi0Y_?T9DUm(6YKERUa911N&jd0#5H8Rh5hQCFwXjAKr}ifZUub7CEzEoGWj5V8``$ zx$FH5VaNNOcQeK?fXtJ0&EpZ;PM!1Vqg=kpsLht5IK$Mf*_>Vm8z;)Iy|zZt%uPbW zJZr4ZLfI9w^W3A8c%M)sXChJ==!e>tZ;%nj*s`pj)O!fHPti9_MSf|96G>CHPtGDX zz;pZYBg#Bq)N@;(=6=|dv27U;NhF?W9g1&@xo6Tmatrw`EF(3?jv)#L&VhPOm}o1v zT9BOgAu(6%tKz(6;Q~cV@s@R>RPuEP$px|9tF>)9Kn-X?sOr@;BSV9Ur6HJK{K9!9k=r@HWPPL*7S_wbcCgRNApzO0y{Z*R36fMj)v2%!0G_&W+FZelfX=xkHD z+1dTUn;NQ+$gDR-DO4m()PsC4CA)^~=sl0vy2^i;_3ZC;JbCrOGos_8M?}>T?>+9q zW--OVkEDae>7j*|HhAb&)OnaXX?5Dl8g|F|;*I#@L%oYHDKBT#cqtm8{vN0D-_Q%2 z%=`c`{P=($?{ z#CRJmC@DkS2LcM}$ge>A{be)B@owheTac%tf6Ey(*9_=u5d}#;~LmE47cd)8OdwqSud(-vHd{x?>f^% zQf3l5rIPj5lu!D{B&2>}2~3ACwq8OLbu~Kk3q2Qfn@*agMyST06PUm*Vcf0!8Xlo9 z5*h|IdDiM5CH{m9Fn z5ETyZHJmi8Z@I&_TAhX|5}zc8)xNm;tc=Fc%h|>Dw^lbdWSq5lI;R7ys|X^1kzeP! zN=pT{q*-gHZBA_!Z;`swh2zr46G}F1A%S#L0AT=CFmvqyw)Wx|ix?zf8uCz_L@~uM zQDEE)r3<3o?RTUjyZ!9|rzdK)xF2)^i`4JJ`-ExBXQEsnhTK$%Pg05~)ZY;8@+~Ft zVsx2C0?M>cdHlD>lddKWV!X2yg`%b-a}E^G-RleDiuU)W-BjhWZ7jCvAkE2wF$HOA zo`Zv<39&w~99GbfThiGm26=lcN^Ol}gYjORDngqbjdWU~_Y1Q9CM`CjMU|Bvouwfr zu&B^q4J_4m_3(V+)kn=N>73T*(mL`h!m44hizQulkoL@#y^US4cHF;VCq4-&4!(4( zn=5ABbnor{7?V(c99}+wH*B&@%%HOO0V-IYC_RcTLjm>RX8f(-?1<8Cb5)dFr~41t zy;OptP2%XS0?N3t0><*hP42ii?am4JD=U3RRMMTIc2vm5- zw>QgIx^YWwBp*k!Eb^=vqin7y=k1J7z=Ky?nFuy1H^L^jLMA?>7M48o&sVNkiM`$y} z3?vD!ZOuH~?*O5^^NW(Bf`t*!NI#lCt`eM|FR%Zww`EZF8$T%Ao3M7LE2yhiA0Sn@OXVA(S@w);}zit%pgH&^eszrsbW=a^4ZIE#_!+zOg)hNk{UN1VBmh*UPXpPS!9x zzN~|{weOly3zK;|GL0hUwoY%qYe3TwYKCyR&YaTUhtob;tpbuO58J*0MMa5B?HY$nQDM?2!9O?go)&-*Qxt{EsDE3~hN7R-2IhSg*mmLk z+h7ty#9CtUIXZCqFqhq>LRn6On6Sr%veNGY{@L=aOfe^+q|usbH@Rbvq);@OJ455a zZ*%#>mcO!uQ1{%hOYz`rfH6nV_B8}8r(~>#7DUTO-R}6Dg-iUkdJ1jY93wuC`!BlL zLZqu-gH;5+9!FPFQtfwNN@$|K2nF6Aiw7IUeey(Vm~zF8rw89nFPqe(VX9=ZrUO2Z zAnnMkHJo6Mz~tqYF~mDzjsH5hKyB*u!Yx1qa*-Wc$UUXCYPqOt{D;KM-LG~foq4ca zGlI3HSJ_Cx5A$4Oj>Qt;X%wz4WE_z^cA#FMQXh#_P=8=AW4eTlJ8Ug2Kpfmz?-72C z<_@B~+k~}B-U+igC3-MvS@RO4wmz@ppgHi-~2g)aUgGE*eNgk}npH1y!L>u-O4 z7b67pIN$AkLR#Y z)4Ht```%S>Zcex~jD6CiErGUB-h31(kG->dGSs?UHm%(}rpdt4ws5Vhq%56ir78kK z27BHnt*IGClsZz9i0hM(kR@}zjsI+BR8%Q>9cQ@Br?M5){(V8fY({#i$}fC0oEwvD zOP%L3ZrWCv%bOrKz#oz)dr@Gg_B*RL%=uB6cK2G<3p;_inqB2bZn&*%VPPJr(DKwA zl<gUVe(8E670o}klb_6AC84HZ4+-HBCt>oMW;Lfa zYz&`LyVlVv9gx6bU6H3k|678*a3_t3J_-93;aEoxsNYr(tV=Ko0_l;}7IMpOi1N{> zlK!Rl!l5Yh@5fX{_c-(!UQws?-ja58g=UITq^Rf(Ja7bh4u79%?ypC;#*}QDV$w02 z9{Z2Vng4U>*f2mu5>4va=9f7zS8z6+5J_Z z;IMMSC$K20QotCd(dul-A!$GL;=_jPm2N}2Tf-JY!(TDCHe+lXe%+?7)s)O7{c_?5 z#S01b=S2ou@o{gXn8-h1u^yX__NJ@pyp|;|nqLR!xL5^-D^YM-&WsVr@C*X2+p>yH z^-E@GqyOH+dk1?Ya6eL*x5!vpP!kjD{GuY=VD|&2waB6 z*5g^CSxvs|z0dC#VY&G|+joN7`GJ`67WKS`>hbF4pY%e400xN7A2YiR3fCCCS#8?^ zxN+5M)GS%=MarCe+_~lHwgxS9NaN*Jvg0g9K0qEUtMN!8dr@*JOykn-rltoRV35iq z3r$w?pEghC>Zua=~Kr33!)Pf9^AIzCg5Djm-8XKe4JY z0Sm~tD6JPN9*xW-Z@q_AoPANx2rRP-(-mFz?yA|HFxTP83O`_??aF zA-m_&>u-5#lWr?vuHN+WGIS*cI>3U~pTULOfi>Z`Fm9Q%)#~w$hWw$eGlQr*nH4q* zaMJnDNbeAIF&b4>ZeehDwVxn%;*n}DK5J{WG=2aU=xI=_hco_k9>D--vn`cVkvBR> z}J`?-0*%oUB@1{erFaCVxLH`TY{Dt}Z57pX-?%iX0diPf<{+mlqHqg7y#* zP*=HMy1uN)K5E+#$Pyk%p2G{Gh1h|%z*mW=|U<2l*e&gqrbe9aQH@|NB=g#Um#GK55~<466CK_ zU8_FjX4u;Wes4(M@0JTOQ<`es352u;LWN!ZcgkI*>gnCWzYY5j_6F;cQQ z4euzotk%y);G5pZ{0c-k{tOh{Cu1E1KUzv^Ff&Tj29&HdygpU`Tut-jj^m7k>EV5< z*q1Jh1NzQz?B&$KF2=9L*8<1!rvC&B<5p4 zaC$?zS&lpN)yH7VrVxQ1Wm3{bP_LOK|E|`y7~<)7?|aPs{>%I)jflJU+FvQcvCs#dL{ zP{02T)^547QKpQUV~6Tg6fa1L6yAFzKPdkG@{#1(B@>BsXeLk8xCPxu?@KQWxaHN- zQVIWbd9fVP)RdED`1M)O8tcs0{Ms*d-+mn0;##I=W(NdIreG!JjX%;a9#O z4~1N3io_~|8uIdNJXRKLlkT@4BrIH6V*j<#4U4F7JpvdP+tREA`5eBlLfTHl7bB{4l@Z+?xK9=+ zaI%qViD0i}{`$>~nt)&2R2x|eXusMoFS`+xvm4r@{XVQ@`SkSqYjBea zqA^;OE(|EA^F@?hrCQto;nO~!5P5IDJD;QWqPWU>?0Avyb~}Gj!fu_APoeYv)qDFQ z0TcbpjNi5DK*SBi1!RjlOv#I6Top*0*KPKT8x&RB#IJfbSY+D@%m)z6w?YLIJtlhf z3mr{N4g|Q0)>un|Dn~U}G1>rM8#jP?gst#X|b{vL46lK zRP*xHFoQR$mNVsH{ey0(y?QZ+fwwr*Z+$vu782P!*sZrfz%oLNh-z!lt5j^cWd8&W zZRlXzVA%E38|u9$WC7kX;Z5&?bBk=aNE%&6 z1i#i6NtASnNL=sOUQpizYZiEX0p1}9_+tYSBAS1bd#|_(>sPOE**lPs%zGsYr`{uY zoo|SFjvWL{Z?0U+1WrnM>xTa!*-I<9k}N7LoI!4y)>#mG|IxmyxD7d#?#djo+`dQp z^EvchtOhJ}-aQ0@ur`B{r`tYf20qf5;B)z_twU7*+Y=+AVxdQI@{{#+tz zT(0Z~&wTjfA;!jm{b?9kW1;Ly19p=>b$TOxO6k;1!)ru>F+EK|QZig*S-Z~kEOvKc zeIeh<>Ea^^34>-6Ni`3eox(a5H81F205!1Di%2=S8au$aU_&%4@P7HcGcBc!lfYjH za_Y`6FNksMZRTYclNYkz))PNrWoIM3$R=J)sN*lqd3 zaU(9HBcGtKsJu~dFp+wv(R7t8aV24QmXrR69eb-GvShxQoC()wa=+xP$zJXvqI~JZ7}xT2+8%ekewnLch-2bpm`Nrh8_bIJ64A^9JIaTc@7y}RTd#|Ghlh;%hIj3 zg`-^Gn^Czd@w8jJz(-;%OB{+prn*)m(Dfu8=ZvS#TrOjsL#(lpURE2u|?5xJrzqPa!NqNFTMWr=v)_Ym4p zxr-86i;oY|yQukcksoNV3vN9z?P=YbNfsjlHZ(%2rQ{4&o~zYo>uT2ewiL3L>-pGE z;3820MR|+et!0LAy7ih~3bqJ1#)83aZTm;n#C*xvWVm7*kyk^80_qcKnTB)eUA(p# zqYqo_?OPIaKOPe0Q-71(?{cBM?9Sy?UQpg)PIQ%X9_G-B6#X(@AUmewK2M%@GK4$N zSqnkfyg5rMax%lj9aj2wKE2P+0-oX^vW5bqV-RMpkBCh=YU>Vah7M91=hTMfD|I5C7zsFmX zM?yj~GUc%k24nYJ1Jf4j@(nczFhDwZ8;hh$OC5~cN{IhC1kg!$;Ca^sd7*ngB0y2O zZzNHiI494xS9wvmVSIh-pb6J^0 zchj3v$JFg73~q>luNFpQzLUhg1oAy*McHZJItoyC_+c8Cbq@Wvg=>Ivoj~QHk7Qrc~f zoRcfF#W^3Z`VNC%7f@XNCGO-EG%_LFR?)ano8LRCIjGd-efK4HulLjONULu;Lt9g@ zlJGP_wWlX<_`@#5RQh<{8Dvy8%3s`;7@K%6V%iWWic=S5=!cH&osBF0rzSBh3rx}Xdl@8n{o2J*IDcn@u8M8#oV zYW~Q)n`AKr*4)u`bssp2U0aDQ`radqkZMpeoYzE~9F&(!&d=rG>f-0|GYl%nd=T7ASCK3OjBy)q4Jx#A9eN(IOjFexPC*YtCMKGj*X zKI7Fx<>N~b&V$UFW^bm12o(N@DD_SOk+hvBCD8G!I&6^V6?ui5o+FM`Xm7x^<9ops z`}v;D_?2gxW-HN0d^=sUDja$r)2)E~rTp@&8+|jfkvFT$-U)zv?M&$jnAjR#x3Ixe zvTo-hjBytsBD4%|emw4e3l)3dMF^Q&@OS5Pg%k=(Poj7-stOiDC?iX?lgq~HFW!A* zLs>yCnZ@sDHWSeV5 z(XZ`=4SabwIhZ#VKs|(Q+Lg*}Zm8nx5IXjJc6yw;?*^}XqK@dUts*r_MRr+$ z$Rr*4FP^O2QjQ* zQuQt?CT8gijA}cSe@i|i`B((_`uF>HXHlIWAOCf%L-N<2FQo;kA~lhF<}-gH+2%X> zK-DYPmo%H8$2Zg5Xh!D@2nGO|N7Wco;BCr_lLs=mP1#N@=QEih-#Cb6s;<YI%HDu&ej^(~t32h)bXPL4|n1{>kLH3GcMQ z%QX3|_q|cWD4h$J4_fb3Z#F-Yyn{lKWx~?KGkyz)hpB`=BrlvnEoP1NJ3X{<1!?Pu z*FXVRZDp2lW@v6eH{W4~`s95i<3}x~ak@jrh;Vh9kE<$8DRn9)uekIJ{~@sa{Ybs1 zAk@sVFc7fP6D8J?17%SD^5HA=A?ZVAhSmdc8Z?Kh>F&sTb8PF(4M-41S1vba+thr9 zre&TQ#&1JDoEcix%d>~IvZ>&blrfI4q6~&7M4vx*(CIr`Y#FMoz-D~@(0u9)gFCAJ zA4lgI&*uBL;dH60R!dQ{!``L#Y^fQeVh2HOYQ*09t5v&pkx(OI?>$?4#-@Upv8lbI z&;5VidgJ4cT=#XI*Y`Y**H6=U|n(}=BR@=zXm80as>6qS@?r3RjWU!2o$O2s;a1d>kYcCYWrQ% zD|7sX9J3mL`1D9V;pGx87?0T$tn3{<;quoVGQtXP3QtFf+7gH!$8$W1xK+{9apZRM zp`z^Q`u;@OovdN&&D*=mpIj1GVzo9jrQMyb_{J=7{122@hIeu{Duiw{AYSFfu|%{s z_Gx}XQoJqIm3hnf`XHL9=4zeWLYiF`T)M?uk3xsuyj1tx#OxI6~Og38p zdwe>NmcN-1>1a(Y&8VB1jikk#DPuYhSE&21S{@BC)lyAblF%k^QJzO8=5z$EdiY9t zA2dp#I`dFsZqUr75GPnk2s)#k3_ zS=5!=8osve!irebqdBX!j-q+mh7$Ih0;H1B#Rj&CbARo-DSAQ~EcvpxoY}Av=>>d~ ziNJ?vn3ELS6J)5qLx)uV2ZA;wuHiOi5mkI$NHy8`9YUKox=_%@dNt9N^`oY*;|Pl?rln=fx*XO(yH<1!aG+P6epGR(`=3@=qUQ`pnt;NSN8;=3g_RAr zob#(3g%xmhVl>&I!?A=dEhW$IzYwO>P8MCHxcb6bB1St%IE zfoKF6)mdY~1_TFRDX`OT!TD#+ZDdTpjw{m!vF>VzF{5SO=<%8uSqS;MtVzz_4`K{mQg?LvZXQ zG{dv2ejL!M_`KBxi!)(49Ox1@R6c!fkNA$(yZWPGvK*sJmhORsOg6pOP#@>n=;^A} zdBs>Zcq&yiNtK#A@#g?m2#+m_b*J`c ziMd5a>(+*Ty>rD)zqtdt1=?rTe0#I!G{%!bSLw1)0z1aQ{9|ncd6M1NH;K-HYGrwm zKTs8HNTxUy5A4^gegEY`F(EDF?BXKy@n@kliV0-!D>euh&q`449UFP_JHPn9kl*<% z@W01H79TJ8c5Y4S`4?_MLG%36t}A+ii1v9?e=?ynt1dTv`;7MYB>D5GpDy%%FpI@i z`;&Eh1%OU^&;y$DNTbO?&3V=qd1}Y|r9%pc~5-Rl}PNC_jxf#odDeae8AmFYLf zGfCpxndf0QPeD{)lu7$zc&}As7YMhEkkO>G-1VCvWD52h5eVbCk{47 zK-wi+I{o8sjPp?D=H`ZBu*`m_+^ryyuA1&$3;JX4d^4|P|L`4)ww--gygg$mu12F} z+`eqd!C!M|N8aJoeY4N3-C%pm?JP2rASI}p`ud*5+U7IBR9OVmt8<>Wc90QbZA-ag zAmLnIsdA%CQcJ=sa0)mPcg!l4O**?6bSW)e(jgO*cOTDsO6V@E+20u5)VXnr2wysI zdQMUr2IDq)0}x2TPyfZUiI_xH2s~f5c&iBq;;d{+FIrg+@+3{JyPesuxjv$yQJYgh z#hw*K-EU@YE88EDWv?P=%ZiQiq4DAYP@g-A|GkO;$^GI>rI1j$*p+#)Dd6kFAp_(l zFBHQ1TVfF#(K>`zdj66+CZ!rVd=JL2!zH25v)`t_r=`8gs4-?-p0%(Pq2%;7W^EG{ zIl5+zsVf>86}-S1$+`y9stDd#4Tv`;t+XlnB<}7NZR!~qByYh}boc<=vfKv0v^(}n z-xxY`8ml}{tfK;nc{6@uUA}`U)6gF`(-qZOSt=mK7X)|A+|13i(bxt!Pj4ZWY34nnL=DY9P?6y7yd%(92zme$sSIurCA z7zVDk{e>~8q46%!;uQ?6XAoE7WQ%p0qDtIq#{wOzVf(j@DgKpHweRZ{=G#Ezq(Xm1F=ik1^kmBkw(ZK8KifL!YlgPl69arc6_1EXiT+r(!( z4UAUcf4J$R%e)mV>5>O93v8B#fwM+0oLKw%bd$a_vtv>SwgY$|I;v&^&p-FK1tUuS z;M&N)lRg1`9Qi&AN&?#UPxfzv%Hm>~$fYI+L-_^ny+jkss&zk5Tj!&%5Lh}a3tR(m za<`YAU3|gdfOL`Agid!XYYB@kVu>Y*z2=yFS$}fOjCk{y?L`eE%xlZVJzt_JAL?XB zZqV+fx`+MfaaxXI&6t?-_kZMjMKd)6i5e?Y-wTFiOf4l?Fl<(j7g{MV>kO$^|JSS zdqKcQQ{}{O#ECE8MwrWj=vBY1+9#)Y*4iPX1D3Nh)>*iPqY2W{P+_-%IW?Vv=OyDt z_{e2p0Zk@=XDSj0sVQs=IrNU{IDj5L^)vH7m*UyJ8PL1tK4J*pUog59J;1pLQ zfele6e(;O>hG)=un(%b>G27?BQ}2UJ`haB#F6c8~36cb-Cy6LltKH9@HZ^m8{uPT5|?QEzPbLlnFThy zZ%W`p{g36SZ$t7at@BxIRo!$MB>-8Whe@`$iOgL$y~5pGC6@ccj5-38N2PHEQ} z;L$su4^p%y-3x)8qL-p~9W&T$Ptf0J#_EuMWHIul5SvQy?DgHZHD0+4TSyYV_ z+Q&#R2$sj6N&|M$;ZIHP3eEj<7r6SJ0lulf^0HCSBN@TNXa-j>s@S%MrzrpL?3Gw+ zMO>+5B_2%UHhbl-f@OdxNynHNR`%OU-nA3 zn4afZeS)Fcy-e;COB-=DU@ap_izyN=5s`N7{09o<>hsN1K>^Z2XS4#zv*q|G^U+7w zCl7>*ayE6-q{=$5+()U}{uV=1zaD%1En>ax9NO8bW%8f0gJ>hJNQ>VaW@@g8$F z{zYZgHD=7o?&zxG*RR8uMC9K=rWK5Owfjh}Xz4wU0F0gF4O?Dae&J~F%36YC<|fIe z0&WZ|Igxl~K0L~psg}2)KN5U__>`DgP^y(L{NFdyTNUdAl9%4`80pxY>GBCa-fiPX z!jZ4(0&%^BsqpJt0QvzWDcm4S%~1Wbbjn7VIU7eIg?W76{W1LBF2vN9sx_9BW1%4p&3ovUA`8;9;d}`4W-y|K4rAVP`=*z;MmOU1Ox*7!r z&9yc~Obcg9?U7vxJGg`WuxfY5*P&4Jfcd6~m3tui^;lJjy?XA?W&E?#n$W19zrKK| zB;S*QRLgC)BLh-gH)}Fn%YQ_-m>e*sYnzFL@d{nN$Vh@4Mese1>&^etwbz!CuERXA^<``vQ_b zxqWLT<^f6}i8CgbBU{spn;@6n6OOUm)utJT z*o37ELihPu7v{u7CH7dLbMZ6~+%Ue!JKr@>MpEg>F(8UZuDVn)uuOfh`0$}VH9Onl z!>djnOS$(?e?};ohFY+(Jg?(kV#SFgS~2z`Qz(}#2XU#vL_Y_~vJ>$p)x;cP#oxpo ze0-nb$wcpe%6+)~;^*OuJv*O)b!42QRAU=DgSn8;y6;#&XPqG#xbVR*NHM^|#$MZB zXC!pxYQ5s`R)G(~^i*?F)EL#IU>Kj!bl4c>{C$Y14z;!;e|Ep%F^|}0n(;$1J$Izw zk(jh0un7vhEywb=x`(aiZFy*dt`Aa391f$5>T6?;P4h5+55*KGnamimuY0~J@pH&04)WV>OB`0tQE6)uIS7G4mjd-<5zHGGU-MS|0Um+T z_i@4kEH5b@@aG>$q4Nq)n=~MJsb=X6>srNxU5Iwg(CgOW>Vz|?PlvN7A_KoVKt#^0 zGVg`q)eb5Eu%ihDx1JlxJ$P`GeBb%jE%rOF{(D1K{UTZV&ez4Gv>q#-QWRu)$`M;Q zm>&D^!o@pUqUti_L?wKFIe87mdJQqr!fitkf=yxa%f2w0^)|&5w4O#jS`zct`x40l zOaao3%tpGEllhV~@~3@-xZ5zXf*l)YnnHMG-uBzs>` zDgvD^6h>#x=mL8Y(K_Uyds+Mz0(aRm^214lfABQ6xfDAE(p4@@mzMp#J?%RYl<)vt;NyRlIupDYT30oAL6D--r{! zyO_c3x{aNhJovv`rpii!bhKs{m%&OjvT`7zFQ61PbsjYZ{TOC-B4ml5 zZjFihxp&uCWQsShYphn=Cca&4Cdr&zHIAP<^=XoUQewX<-~{dbmK3jP$*QVgq4iz1 zn1zkFzK-5e#lRN0Di2=WXvsw9*`=g>FXOmYMby>=S8Z>Z1hoQ?L+)PFPFA*@bwY-3 zo01+U*F*OY2dl9;Ico%D!avXfE#BL)aP&CX?H`D(+dA}VL@@kWJbuZUKPN-*jop@> z5D&K_FZ;;fEv=Z1@smJ!wcvO9y&*el@r;y*>9bCuK!vmFktLs`w0b(}-ffmiCOp>4 z`tpP0!xFn##>j-t(ZPm@4Zj|Z0>HJKs~#&*A}SPCtcX z_B?T&t;W(HQaWUNri7G3v@OQ z*-Jq%r!`RBx~D~ugwFV_FZ1ueyaSP%SllI&yA}7$v>9*`ZWm&QSuSOgXJ8$9to1O( zvW9=4@yWyes-Bxz+*ZoXkqNxvriKe!&hj>;?4~NIm@278+r=>5o`Xiu_*P8l%^JhD zx|ds2|9t>YzEJy=RGu(@KwE*5@HzUDbo_& zUi`#(2gC|w-d}t_025GmR>Pz2ur&-msE7x#Uv~((lL$HTSgYf5a&*c_r%CHY0t>h& z#~ik2X9_)aL?#Rdt1=$tSxvIW_~XJX-PyFvLlhAzWcTIon1hAZx3pWv>^S-wPlstz z(iH9bw~+JFhmn`)NorlrVh=Sday7vVMUrlc@sqyUj;n&BSlP9zxiaOrJe7YSfql!L zuN$7eQCAPhDf&2W2MMuJS1-aQ>V0sF`k%r+-rbDG4DV?0+k$4ZWn$twP0jy)+=px( zBxy2p$9J>D@Owv3wTX!UB;j4{K|mQFRct-7zCr7N$)x=UqIB7AjPF;&Goj*_+oZpa zqgDk#mZ}HhJv+WS>bX{FgUkmPKyUM5gzS@QSZ>FNiU}t=>nG!x>di)?I0XXF(3bVI8X_w&#zNtQU>jJ*> z()-Pu)L*JVv6|=dR)FUD)sH=P z+2v>zo#ZX|*DEYrUv#Obupx{${moos7?JlE>8!~Kc~D#7(vTz(VnAl&#M3+H)J_5O ztv(yCZm#-kKUr6q08i3al)TCmY@0AHvn@29G2)7@LF8yTkxTda+R9#HP&Jc6;;zOo z3J#>~)iV+bC439Ll^f(a%me{3tF zM9dqv4HKxbqVSm@YCGIA8?Pr)Ekawu7YdbPpBz%vbv5X8y^fie+%;^xASmZ8om#b# zPwxZ&ye1Umrpz78s>0k+YSH{FZAw}Tm#txc)!gtWQtrSS)ABlQRl;Fuvbta(?3wy} zbh<5LfG+&dQh>ljvFg=`afXO5oTB=7o64xB3d1K}BaKy533)DZI~ z`dKJX<6{;PT^0V>RgB%%OV-4*F6mU|#mX;oPso@T&E-d9&}YEiPuD5jVY+l72QG!u zNFtEY%$)D--W%9RI1~qu%fLoop{~!LQWi8;TNAgGDx>)15;L)rmj20^2{c2a)mv%) z;@^wloU^E=3}?6hI9A^Y-e$k^k~F^gwV4vhuUm(Lq)&!jQ$dGd`yIlP>8D-_pvB~9 zOwCO$@WFwW*0I2;Kszm`;j`14QQNNti;j=Ky#U?DQslLCSXvTYdW-BbMVYwPS6{tC zay!FVu;y;wLe}8e&h}($fv@M%@Uz8p`-KfSkWoFe1DtZ_9DRFY)JKZtFs1>IcHX3^On6ub z8`U&DJ}|445zST<<2AopZV_}URKi&ZQ0RJcms2Y!M5c0H-y)4B@>ZYlIw>Mnp$SHq zhpqQ*4f9}gH|=TNjVv9F*an2UGPKM~t2woH?+PjFq#?*v()`#){<7TwNfa!zKT~ua zK@%4P7LTgndH%_AHKI2a&d+M3dM?nJ`++DtNWjvxJEAwMGG~d6HA<+6Y$~&;Y5Ks| z^D}ng=;Y;AUdw=j=w;$Jb`-v@OiDcAyNij3Pt-1t-*y%MKhX7muJ|ymkX3(Pwjo~; z#_hW4^yYb0*9`R7OQ+1-gSbcJ5q(3dGVSV0#f2(}tK=Ll`&kZ`ga?koZ*E53M$Xtv zsrOGcPVrF=DQmp(#xPyngxSA7fuybkDyR8Nl<-oE5>UL)Dkd3wS58YujZXs($-OjV zPEO>PD$%?v_)E)2PWlySG`8KncyqWT>Ck%q;)UK0KKQtV5jR!@DNvIdk}R(33y845 z+Xn(917?Um-r08}9oV?=)Waj@dJEJk>x+m72D<3~fgoSjOU#jmvg2Hqm@%3F`yiz2 zpLuC-B(=EOQAj`VG)M1PA_|)s>rf*j1!I3}NDg!?a-RRTBm`1O`ic~GG!;1I51Zq? z()6uM8mj6V|Lk$d*7p4PYw#QCqnP*b(sFs*E-f#$z+l#BQioERg>}j(rDC_$y!~{U z@8;)NX7Vavzt}TBBy=P)kN5Jwd`lb4Hrw+LR43_H619@GwHfG1hF8If*th?Wt3R`~w%UGACOsv=l1JYakJb1efid0O4W1Kxgzx)L513uo(K^_TYcDTy`4s}1myPmz}*abApDcT`L_yahKAe^DM(>g~E;}U5xoX zy3w5Ve{BmKybTO&lhvRT8!Igc^OTU3s49}diqm&W7JzT@IR()@UGcJ0*Z;A}Jgr!o z^qY_8d^y|Zhp)L0kntvGT*I~uKm^83@Krs&1fVfL0W3j>yRKWwx;WTfscScKW9C~; zCMd=u*45M9aorc(n6XxSVY-nu@(yc$rXrFIObyuqDL>KDM$!@Rkyv#kl8GB^SK0d-G4n==Ohcxvj}BZ@Ml1LCp+EjqwV%U81J>$R!d>aUk>fMN|VQ#(Soyq8ge=RK)SM;hM$YpM>AqL zeE2%PPMD2bz&EQ6$-ygwtz$TKu^clI(%fdAYD+ZMu>L?wpeSI@^thrkK)dzF;sAwA zeoJ%VuKXY~(938(R+9$yctwS>`pKy}zShY;)hSrO%BGO>IR2~syv1jU^Tm-}_uzwt z@iO_k81tkA6oadJqG$JBh7?POg54C=G`aCEv83Ay5fV1EnS@G(*q&^u7tFe3RG~HK zoY)C}iRLAmY{wVqBGja#m0jS}7S(0fu7QMZwmKL;87c9a=*OpDqm7GPV;{A-*q^@8DAKUxs0XgPcPv?sMGd-*jB9@F9G~5MFZEb? zG|?mJ?9bvaL$Pw|!{hBySc-IVots2AQ3@;{^q|>_0skRb{~yS5;;b}6AS3W57v|z; z09zqN9dD29yB!BiX;2j9i#y!x8VQ{-L&|skOFdxX1M}S`q2BzWf2V5p`Xsqc960gk z<~u9TexMC&pLruin=PB=B~$j3TmG(pjSq+oT05O@YYCw~fV$C7)D}3x)lL5EZP~S| zfP0g)J)PHz>_jurKE@~wJil1X z6pelK;-u47E2(pX(`D||8wq_gqyA*TR~H8}F!0)25K`10+wSvX;=W|9jI~w+B9deK zpss*KmV5RVx%+3ztzuFE$W()o`Dwf1MZ1d!hTL+tkz@s-IYSydcJ*RBBYrO;vfWzq&YSGC zPQ6N{3g$;~WUv2sgZ#|A^mH`;A1EY4qGI#fatFUI?6ciN$S6BE03ypeW8+H|)rD*H zbR|$OD1#wes3B!fA_lAic&uGqQX=>6K-*74PAGNf@zSCFFQ12Yg2ae_l9M&te$fmD zf&5ba?}B_0zkd9>_mw5H(qtWUpBEXuux$?Ma&r=wRlX+WB*OtkQW#NgEbyhris+h!vmc!;qvu zUh{zQ>kNMTP!=GYk^dlQF3U&^x+@3@{Q~l$y#gkim|bcNuV#IV?5Jwzcg14`(qiDl zhj(gkU99|k{V~8>44H$*ZJcqMSV^?ji-)#mDYjOXk>MisDaOw69>S#rsdj0I#zNBX z4RT2*3%*zO5Y)r)eAcuBc)wH#XZ8A_I^Q&zC_PH_WLsF}LqI?X6N&A4SiKT0`*h1h zi69|nFFW+YA(m!9{E3~WIv>Ze*mRm@&2hoCvD6jZ&3M20@h7t?{aA)6 zALMCA@aGx1e2R(#rh0m5o{T=VEPKlCsQCB@+*Utb{FejPsGF$EqQX;5n18$?{|<~p zilt4(qUT48;BaNmxX1*AmlMrZ?2Ai@G23~ZUUQk63i3fo>!DPp4Z2RQZFaiRR&wP& z+p&X&W0=U*Bz=0ffLrp7(e|`!wN2tR3m;Rg&q5DoTe;^Lfut7^%Wr+tYI3YNrg@|$ z#JP~$-_{{keO;OD&kMkrdxt4sttveoDiQ9^?fSyarKMAjD$vJZi?FCMWw(HONurh+ z`*b?-8cCrEAN7X_-<*wVzW?QyJN4XoSiY&czF0$C5i6#XuQ=+A}}K**R7jg$U(+7jjulxXcr`3i-E`Q>)nJmgi`WAG{K16 zi@@-zt>Sg0oioTHGa! z*M$PalM^w;e;{XnaWP>dU#3D?gBWy-YloLqqggLObH&a>PbWiWFZw9C@*si1acH}; zlf#b8S^+Q8Gw10m?^+0*9rKZDEQ4sNsDL>XkJj8bRC4n>TG|Uj8h9b*#}=`1r)|n@ z`*h7{`rWw};@vGzpKhe>Df2#|a@bvk^VwofCQ17x z9eyA;ZUyatZ7J}KTRDUD3GOy&aX2OE(7R(8H9oHr40sxz6YN&~25N*(dJWEeQl8N# zGxGOUa&F$R8ZSRiSj%D#gP)fa$K2{#I>j3pg- zJY}nu@UebArr9}q3oD#y!s#)(UWyYiMb98#lRF9zWV#&N?Vb?Ct1AxPnCJ!BZs}^q zSh^|)p1HX0N${a{FU&ZdCc&(^5_FbLLU!u*L&5@FH4G~KM%`;MGF|`OR94N$hUYGr z{8*jXiOFO~f!(OF%ImKf`(_k(hxy8wdz1d0Z#!Kdw>CwM#wWT`rTNqPz5VThR?I>f zju)u7`mfd&H#7URV#c+reQhk;NW7im`Yix7qLY@j_10A%%kipzIBMR;jk<8oe0O>N z=eZuI{pDL33+6V>S?_bTz}{U%2~-@h$CDJbM604aF{~StzwD|>y4%^@JRtjPUpwbD zbt!W3K>m;s6bBopvx78U zgab`4)jgJFUaq-hs^{@sFYmm2^#wSQP#D&4=%+E$&%aQ~$b^;{=(+o1!dnqYH(7S$ zti*4>mft%>DO1MljD&ughtK|5ug~oi!D}bgi~+@*sJ^lMR0yZv!53LQNn3-9X1P&LUF{2X&=g42-PJa_W8$&*Q zLStx|_312Dyb8p_6K32&_I zR7hOz$p-m_5=)GQ;p zzSg?A3+*aPax@Vd&o6T^voUd`O+^w|vU94{HhQ}CcEd16z3IvPxv`=_&awE@cq<)o z*9&XvnEH6@eiqv-Pdhhv@82uDyph9)LPcZaSsKEPNN*i|`)8{S*DkI%GMj-WvpcZS zbpmmIb7fneMvCU{T^;~ii=h^Iyyn!Q%Y*mdU0pJ;7dh2o6BiN6T|ZLDMWh^k3XDlf z^!Jn>**Pwp7IF~rOu|{L9)%?Dw^6xm^Gw)r@KsI)toc<{wPa6m=>pTh#s))c4;`T= z+p9j}mti(L`9sE#zuMXVK09{14RLMoRw} zH#VQ$UhM5$m?nNH?zE9ImKki7kl8|`4hEPHZIxFh zY;LNx#?zw;g%h=evrN)So4V@OrrYe4=wQUP?Kd17T9d9STrv`mum7Vn}ytc`E|#WISfO9x^dsffCm(M~m&jjrxrZ6ijJv z-|fCb3BL1=7&xjtz>EdaeG_x5fgVj!W_AdH5fcuz_2Pd!*-Q@&hSNF% zGY^$G=QmEB{of*JS!};o*8y{H8M?SxtXD5q%pc1-CW&B*d^{OD3ChX*!O8_x&lA{jhha+2RI_u zgJ*sdUi94buk+P$xBc4Oy5z|yndh2h&F@o@UN`GL2H#s7LWPb zqxzo7k}^oVt}cCAg8$*{HzTO0<`M@4;Yt;oahk4FU(uL$?ojT;6(hZ}v)%3;gPWCz zq}B1vFLdn~R@WR4lV~ugl>ECbr4g;bzT+o{A*i*Mb34v27Z@8MBGbkbDB z)vT9Jeqp~rVorvJ4j4SXl=4vgsnfXz$0cwepZzPgq}PARI5#Ze?$w<$w!=cNf(aGB z4n@H*wn>5K!flIQO535NyO9>&MWsEn>M}Fi{bV?fv?K{SlN}QR)tvQ3M#mldxqw1R z9#}VSn67k5s*FSUAk#=jplFi-Wc#|gj#iuPVh&(Qe=>XV@4>D*`M=G1nqQ;tiDDQb zG2(bZJJ%h*geq!4$TI!7J27{)N~n%YG9!ZlHMz@t!`s1i&CBzniGmVbjV*qCKKyW& zkD%dkz=veZXfWWD;9=^UJ)Ob@UPk(qXR5j{y6z&IowFQtO$LI-RQkvK`fS!u!V-eqk+E##6D#G|R&ab1~6&Gn*lB zz96u$tuL>~XN2d0fweQ&9My;)sD*woUq-YvGY{1ISk3+JYnulTZfd+6TcNsnobDB< z>*Ds1*hshGe4aOnSSy)B(p~-^2*dW7Vz+ja#mQ2PPd6IcQeThaV+fU^mYaoC*P`fU zLs*W#70p0(mpzWlr=($D^*t6nOsdQD>&s+I9&b5!=zI5VYEaK?>o{Q}>%eJRkXQz4 zwi@uDOFS$bMt%Hcu7*d(WuJ{P@G92UA!aQDz=7O+pI}nQl={KrS7zDr$1Phi2>kl9 z0n8)DDMgPk_)evb2azL`n|iM=r><}Wz`hQNxj9>>@7!&xoYRV2qh!?k*|wztYwm&` z;-Zzbigfu4WRiK7syK2yQHI7iNJGhe+}IkQv=!x;ssPIp>614=15Td;bUTN^BS!&! zZgMQCXW4dUpRx*2Ir|TGYYGXkhnfD=iy0eD#ILwU`Hzm@fG2&Kz}iW3JhPR`lZVG5 z-{nul9{EzkxxD;zCFZ;;###GGzp}r1K=l3%(f3ys{EN?nKyhY^w-!mHA>YddB{T9U zzWnNV$=c?Xxu4kii=2z7WWK$+4r#!hUz$;j6uzMiY4as<36p@v_q=ojMG4J@&|rAD z*`ki0%vqCWK*p=7-xN<;(iVBb!W?^avpl=CIeDL1TqYmnRx!|jrINhNDjU8#xcLqQ zN`Lh0%e@6ia6D28&6mup|9Lp}GkqkIF=_sUE3GOXLG>cdz5qBceKoqxLH^_L-M(lz zDamaW7JVC4qV^y;J-zsf!s?6sIrH|Jwl8OQ9w(Q+GFOHTsjrZ^rKAb>hI@m;g@%gdK;9~^ z@O!`e{CYHo2zXzEPM+MS2;nWk0errbz#5j*;d6sU>TC3D_TA`BI z0pb0TfARQyUVhm@x?LHCLC2Y*$MCz6y^_s2NOe$%(!uVI6LVVEN!ql@$flO|?%pdE zgkC78=2L9B}4jIeE%8p(&r2%;naL>Jq}s_iV2}taD!Z8CouVcG;0n zME#h|uRo%K4>GsG!tJPlqozG@gK#LRv3dVvZBu<^EeiJbt1r4Lv5_H7DzZEnic}dN zPIit<3p01?)m~uHg$?C?U-4?++B(evSJoI$;Dv}$&)cOH9`V%*o{)^7A6h@?%kSnj zs`AAU>*?ZF3AF-Zj3&YlY~SH^C~b?L+d3-I zTC|^IBW+;gM#UCPU%MLAF@_!cyQ<^1TI0C9|K?udZeRh5RgUhdOIDY7djtYQFkd2KO0C~>Zzc5 zN{i%}8h5mnug0sDQklr%{o{8Tc&HAS-3xTw3VU)HEvbAt8Jfq?A?!wcH*@>yM&1G8 z<{HP=kf$*@P8>I*YRY`tzV(^hJKbn&#hRq8!cwXePY1~U#YLt{2qE`8WQaz_*2O%9 zt_ixbV*BVhle?@ArRa1}{-vTP_u!{r=TAr?ZL~~0F#dL79bfpqpGR?skr8d_4)$xJ zn^_SvNN|$zju4bE1b>@Y@?M==VxI6kmhka7OXBEBMTO2{y!#fU-NDMc+>Xdj7^l#{ zu$JdilL6n2pKmQ6jg3jJ!VTvb;{2=T`d_J}D82S^aCYqdfhHa6qOl~7ElT<=Wrs)% zeE1R>$-`BBfPPfR!`j$jO>_ZI$&G~v0`Hglf!RgB43ToZHz6nZHVGUn)`(ha3@+5^qxy;S=sWtpS zcfTmK&NZ~*ZMGTZW2W)hEY-Wh4@gIpo|~E~%f64h1N!yM5B1X0R9{NCn-1gWWrMQUsuec_)-{!_=ttHGadM=?<(Veefi ze9^fs5|xnw_Rvlt3w3q$l>v?f(z`tw9?evNC*0FiHy&`bI;N{|(xr;MxYlhw3xY&S zmzUM0Dwe7vGg7QAhiDmPa49@=kGxt2y#1KHx8)0=Mm&F8(TC0ZqZ_thf5A)ZUWaE< zIBWvZ-JUNN55DEH22)Y)mQE2eaT(9;L-QPfiG>#fjRgLY>CqYzA7oLPEP2#3 z1e{OUsqAS)h`5`1WwdBJ4d!)4g23%;0lmTgcZsiiIVdP??!|rAH`QcRdc744z;Hfh zXtObtbS*7*{M>Y_P8V@faEW)~g={$#Q$LBvTZPB7G7pBw*Y-yIekx$m_3Zh*YbGU9 z+7Oh)ws`W1u6D|zcg!%Xh3#Vj3b)?mGRS<%G@T2-0_XCmEHsBw4vIyk(DWp5w^&+p z)eCxO4A@f?5h5h#G#^hiUr z*n905JdL};nyS7^arD#7&f+$W_aX2mGp`as+N;LNPNvO-`x}Rov!?-+tmWHkL%un~ z9`Vb0Em#$(aalw#YfcZxW!?2~7FIK)rA7RSK3biVANFmUw|?bqBL*mxOpGqMb85Wb zWs&%?vc$`bXikgLnugeonI8ceL8D7N{EEjR@XXrW_>;c9aY;(* zhizk&${o4S8oieo5Bvb=Xm9ANRYf72?bw@P&!)VN*gwPoP+a?9AYr(n4LcCx^m7hl z40~mnR#+piinF&1$Ra>TtW8vXA}xy3A^=o^fg=rbSJpdD%)X zR&b?b!!fix24jwI)g(*v93HQE(mIeF;p>l1gqrj+CqyKCa?4G3j4ci2atUv#T90%C zSAFiibogc;(yo32JCYuwf+ zyUk>0peg7CUJZ6`8B$aH*iuSa_+vZS8*6{G_twnx;Klwf2T?UY%A{!gf*u#rV$U*^}!B z-tOTsq*o?p^!0@;GM-S}-;F%!`if;CI1_P}yF_ZPNC$)_C*@(fQP70at%l>+c=1=K zuK2CTKlnJsbx+uot?c-0*LHA4o>x$v1Ht`e9PL%CmZ;;hLSc0XEpoRlq;5Ilh`U~F z;>2(kaPy$RvEp%uigwZZx_M}2yv(Z2)^XD;Z44K(!N|qcm!Gq;K7IXDY~)A-_e2WU zSmYhK#qMe#jCo;kcOMjIn^vc%&BNYUN1=(P%rr_ZvDd24A*qJh_E}1rr7Rhg^S?@c z1Ok!WVS9P+!B-;raa9%At2oh?GN&A(Dn-VE3u=nktW77iBv0|f5}fiUp7r}CFDFfH z;!w*Zxe$v*tIw~$kMJelE_fCGERK6rz>(ikvW>4`cxP8lx41OWkiAPmA06cabd21} zExx2bO_s@c82*%lypBdofUmPX>Ra-||Kgr6L;B+6KFQzuz56)mU`&rnYsQtddYUdZ z$EjovtFk6MK3MqWG8%EVrsMp_h&&=y`crTr1O1k2EPkAOC?goJO%;2yTrfCgPJ9Z&7Qu%YkyK- z2ZoBaGN#tr^*(5fN;o@>z1h@H41m2MBpmqBZnu{fdO^t}2Q&)&)Q;#V2~J%#E`@m{ zrSxwO+47z9p61k9G%;XXs_4tL9BLH8u0LX?#%(sD7Dp=|KW%R{_#wP2iJ0s4da9OE z=Mi|&jYAZ2w%Rmg-b^qk{&5$J7z=bqPZbQ5WqvPC!xcQ$eXS$8=~#8D+~d)q1md#Fnzy2s&Hegt&MfZ_Jsb1ymB8I-){la?}Xcp}Fg{|CB@@%{5 z`mx`;w^dJ8xj)aj4C)FDqRW=@Un8CfHdSGx$>qmLy-v=x;E4W9bv5dhBkdlTa@lPF zZ^U;0r65^qJ-W}<)L*Yo+363pm}Dq`u|T}`fp;l#`MU5tJL*i%c^9``VfHOA zEc>fpg1CMbA^o^(Q=u%42W5v-`E=a$BYN4&Bni^rcZv&|+$vZ-ytK#`bE|A_Tr>P# z%7RM&Nt_!2R)+*w7YqJwx6Go*wV!Ot`vWMcun>p`k$e2q!z>+ zi`E$q(Ju-wbp6^vvhM|Kje-_Wc-DspM!A|Bt!2r>n|+kb%54UJqPyJ#)A(pm9zo=X zis8;>J%cSGv~|J3@x_J~nDSi^d0@j?)?BcEjZlhg9ME)|b8rf?liaqB z*1svmCFnxFro(;x=$GfkJ)|)-uDeXVzER!`<+;AKm4Mthn^w?&z?)XgCy;PT8AGtlfWgtOOUq-UNgW z=Vdi49>*6Og%m~woVcEE%2xz%Iwk(2PRAV4+gC!SJkk;Keosgu(H zuM2A!MsMY{^iAtFy~6(h22VnsY)DWirAgpNTlM#EoqDLG_}Wsd8{|q2_U2 zo%@>+_!RR({*(L-rEr+bQj*oR6vSjk%~fIbn_1ZYtD-Q473ZN6&u&f-3xca0|R9F7n#`RQ`-Hs61x934r^c!=}(>r!XW3znB}o3C~(c}X3ji%X0S#HN%J z&EDeXU<;2y4Qme;q(m^x!RIf5$#m|+rCP(1Ih@vM*kin?PC&>;K*f#^l<0;MF%f*% z-~_Xe6PXNQgUH8Iz+GK7k=g8>lB>zLw+}}e8CY2Lw0P<9#d+y;Of*axCRa(L{MC|l zQbtCLHDW$ht0w-x`2S4~lQ#MgtyyIgU$5 zm3HnI^nwMfoI8KVUG)$)CRwS)el2w&!jZmwW(uGpp>x;gpzGt#MGtL31=aM7v*Ov@ z_|se0QRT>=*McrRqd!=E3=&Ei$Q7jBbAZfr#^juuOblw@-0!Rg7BD03?Q!rHKgFI$ zzuT3|g0ay50m8IdRyL?Sq1Bl=BMRL3^o|m$8-Dw(=gMPKOrvK7IF|Z8L_yi*A^+}O zn!wS_pSxS_Nj&VM8j5tvR%V0%8~`Jy!oV=c33$AC?uKPs(T_=KQA3Iic_S! z^kk+_?HUTg^3eKKX_Y6NSsrjZ(TTr+w^7*Z;bFtyi@^_SchA5C%``K^POv{~q=jF(! zn%SZr^LD$cra1k$Ul$72Enc2ehpTQ)*@ei2`61il`XZY#R~kK)h=sHUh;(35F8wQa z(4VJ|wzu_1))m&<-HKy(4g(f=Ds2CG0M}&JxP$NmL0W7+BWb)kF|X{X3}^j|LC{oX z5wl;k->wps!auWJ0VC;69t>NtNzN+sufI&YN+d0IP^0l+)ql*>{}8M3nlXDUF37=@ zu0dds5CmMv=CItDo}C@#nkEVbU^WR{ecACv6_$9$v4vS{aE^?}aJ3`sLRo7R0q zFi+-_!88?U_bF8L+P}=kW9=zPB=I0z0JEyojD065Vu2Av^x=ysO1sXOl_OTNK|8zuCGt8N{d;Yfl@fHaQ`*&jXKfvtT2T5LstLTzU zZqINhgGL(3M=(4{LQr^8+vj=7+@a*s<(PXsC3Z;T^Zn>>@FFYpPN!@}Ku}Qy zDSrh?tip4J12Z(sr6=(A#Ss9zy)Z!?+D2$iwX1V6MC<6%bxPWc7w$QhGuH~od~?EA zK1Ze@Hu^e$;;@*avC|JGxd>%h^zzNBkXgmlcI1TfwqNv5pES{WmZ{aI{8s_MGH-!8 zk>yvFcC~FS6JoFUx`O;{pBztnkQ-wUrxhwn5yJkbfk;r{UASNFBImN0Yc!k1U2Dr~ zqP6)8vt&lG2+s%J3ox?Uo*c*Q@MX&t{k<0C#R_#}^ZQYC(i<&K8~&@prnTrM3{3yM zp!5bA#8NMUtMNK#y3zX8G5fb#+18LOTygzzz72Gp<{yn}MCCWt*~_6 z@&o6*4PQ!?8Y)U}o6ILOTscY(v2Zr*0K@@+fic*`(GxQroi?7%m*4#-_Wubk}fM`RqqF z4!L4%$a}>6l7M>vMhS5u51e_Rn3pSPi?qMiZ%j6!I7x&#`}O+V+@4~bO{8pxadLit zln!F_V_(HfQEsb-<}De>h(tQgf~3mr9AQbm|p5P(l$IX+0R8biejcf zRnZ|n_14DzAU!=~b_~wZT{0~puw|@JX(17lC#L@S`CBA&P*kYajm#Icg;qn=ba2~` zmXel`2Z~a$Y|EI~>n7jQx>wF>P3_HB-!A%?LdJ3j`C$`EVzE0ZmFd9od zj#J&4>2YSMCXm5x1b+|;lUy3y$NKRi0lhvhgeR{W0}>-qjrK-el6sgH+L-l1BX@WEs3`AW(uoOW?YhsH#&aQ>7G$_`*8 z=OU0WFRwCz3!;HEmYFU>m6hu$z>jMfSC7qR_X9Nw&#u=e$wN@SOtc8VNtrhAY}+qL$fq6f8cnx+#k4R}wv zZb#PHfSOA*zBltDQH%lOn-ij#*|VWo8}+_(6$6fVGB?dx?i_t)o;rbhK*@_gr%b6e z9)S+~<{sj9Hm~VyqTdK}fa%eFf#pb2|NO&ulnawW`e92o%Ia)z%PegJM%*AsM9*33 zJ$_%M5fZ-wVgnBud_IzCYAxh>>N*-NYr5vS@+T*M4)ydHr(72>$2w*{e|(I#8*=Xx zO1Oq=z8AQy7XENw(%+CWW7O%pi9k*nQrKCc*00FGUq^9VKQKsd9axPl%7`Qqsyn;) z=>7s3yCgH?z)*}NehMK`Q#c0@W<>Z@;K^HSNHg-#f1~5?niKHXub5gV@Uwjx$ET9m zXKsW%gPBRsz|UgMpOMFN2@j)_m)d%on_%+hRg6=J0mvC)2~C!SHuNbvle==1!P-T7 zEHPg|ic2CVK~&g1)6`(GTbP9#{2VqExXX)>Z>!4}v~n}!X)gTM&XWZzcROjAuk}&7 zZU}1s(oK!+1esqjg!#SB3D3j!U&?~&+-p68tg`Spv{Hy_*w?4GV`tMFzf|LB&MxE| zU<{5UScSzr#dEn-ayo&criIwmzfhVEvLpxR$_Wxu~*TYho*7+OSBR&0TxuV@+B zgj&AdzI2_ojfSi)<3aQ8;a)Ew19$1}e`^Hqeq9&!=v7u8UY=dNjSlOEQg_j8O}JHj zG%IJ~cF&+=WY8qcrrVltGvHuUmro<56{r}N+!VDr*JgR5zH-y~*Sg@QO@6dCPlQF6 zyG5&(*^}{QhF)lRmJSPers+o|d}proEKmA()>!b{)9(5T$XrulM|f!36B;`kl?NVy z%Zqb3QzSTYR|by0*qOU!vzbdRQ0#adEr1bl90<(i&AkqPLT-pEcR#P$oLbn5?)5hIm7eCF~FN*oWw_eI+Kuirr!xd{D+j zfPYw3yF4nwu+GQ`W9@`rGFlit7hQCRD^1kdvXD21yZ#S=O1h@&kSmY=uDLD}JjjN1 zhew=e*@=7DT@Hf~+z6F#SEBJ}Kc{l>|L+!6W4Ke#b?Em%XH6yi1SRn22kb)Xt{dCD zzs2>Z*3kVDVvwt{s9H#VmyNxfRKo`$$AYIiv{2F4_&XsZllih(mCKJ1Y?p~e;w#DS z@IPqII(qrt3lL*tT7L@^eo=M@tak}rz}p%8qQmy~mziy%9ihH>uYpjb+~*I*T{$Wn zT%29dYyT^k;@P)Ao%A$U8Ts-4XfdaCUjeuFHBrZ~;yO-0n4Fzmgg!DTsSzsu_tIH= zaP2D=Y3~0)PZo=ta%NHS>3lz6nq0Df9PX(Y5GOAX)MwxiX+nIPHJ-vJEL8~!@t_L) z8<+yXE&PtanLsJ()AR2i^9-mUBn)%Q^7kx1o0Hmuu;9Bs*oEqGLxg0JNxMoH`>z7>}ZVA+D=lzf>_J=P&v+%gXA< zJE*C^^vzcR?$hiXbHjvxB@Q#W6HNC6zPk~!%e>jqEhFq5=x3J#?He?8v?9&7G7Ap_ zSi9rDZ*LKDM`xHgJtmZ~U}d6}V8D|f>UV~c*he!bC}LG$Nz6lKtteD!2%bOl4UN?yhL#5zBME%4OA-PZCCq-=#a^H$COIJfq7$2emA; zT2^=IQoNT;%$lrky2rkyP|e;B-HHb8F4CO+co)*G7#V($T{K6_G-+jw2hvbmJ zxy{lJzLD~Z@D0D7#+y$U#je?;KG(S*D>VM5Ow)9A6W$xSa}+#z(&lq<{~{~zF5@x`Y0)zzH#(Bsm7WP0Ho_Pgfu-Y=^m zO-S!u^%pYGDqhYG5=rVSZF-KkF%P}*ioubb+IQuRgWJ2I&1g0w!&>|&A*uyTdl7_> z*S@KVEdT$MvnJ#HJ1w#7zPZGtu0cWhU*)9*eUeUd^MN?)_^BIJ;{5($b|0NVy6v$@ zVlDnxlg9iPp_m~!JEvq2X3KdrD=#U{cTUJ?Umn*)>NeMnHmCCdt?@xThs@0lmpS;e zbdMR}#p%yytB6HtJyFC{k;f;yc7y0CQK$K7(_m^B%i60_}7}<$Q?)8oI;`uS#$3zOqi50zo@h>^};N1C- z1^YdZm4Nx0o77X|eFY=pBly$zEhwOvAoXgoaeXo2NERbR_fe8272!xayO62|_a_=x zDck0*c9fyzl4*X9bCG0f`E#PGPlaw+NLYT7;dLH$isY74azfd2z4)9YgP{-FTBTc# zi4IA<#jOoY_qM$V%X+Dl~ z&iBV9=P+bf*Su6;^FpzGP}p%wm<-divzf|n2a57NZfvKw=H`;GSo$Ih4o5rf#-l#h}5VS!~zkd*XlYrMNY=wO2DZQ57;bX7m%TZCp_uuQ%cp zQ}Is>pO}yQkh5lEvi1~{wQPEK9KGop`!ldm>3IZcpwILq9M6NTjEuMh#3u_MZuaP& zL@x{KRAvr$jQ*6KzNMsG3m$A7zq}27)kUxMm#r8SQG>tG zaND^gHZ~Wf&JS42X@4SijasVh6}MuV5@h6sD6C*=1_$YCDu24~b|*i~C!}vEbVDjD zlx4Y%0hCF^jZb(Psh_>iAm^syEkXn+*+ia{@14!8&}HUMG+q$W!$SPDObcb3bEzt2 zNli?y%!xk`0$%+G+u{Y#5;)o01H?n0OU8yvPYx6G$V!0XS1T^8c*B=hN*t3 z+h}8Q5MGf2eTxy7`yzp(E$^)RjhgE>PR>vEuvxNJnoSh13`iXu9qf4p!sudq4KnIU z@42e}0wN>)KuFuJLhig-2BHJ$=HJPVv0X9D03r#Fbif;803kc+{TVla%0;@~b6b9uUw#ss9M(38eeXKMnrR6W8NN{>q zs#~R%{yx*HBqft-mk=7r9|He}*62*rYuznUU!f0Lvp)KB`nGH(^{IJ;)u`8R>4to( z(9u050k(bfp4c3=<20OSn!!4j{K!bX8od^^zHUU?9Zfj*6?d8IZyaZ|A_K1*5jzsV zF*KG~IqO9poJ#(@P!T*`-|j4&L+6SXGK{(uI3BPhXNa3b;Cat4-oT_%QW`iL91Y3_ z6FTGdXzz79phU7)b2HFkWH2wBdh(^I-+(igVqwUg8^(p_ zq0paea!*#dMu!lqA~06bBb3n^U##9xnuW~#2|Pb%eF3VjdKAT1f?P>a#HjrO-+T_M z9WzDQU>?J^7G<|otqkGv)v0q%Pd(e&5l^vat&X^u6^xYXJ# zAB7-ad9^a;Df8fSwQ#GbVZ1jzjIa$lQ;3tkPh%1FZ*HVm?Yf8_QnI_`A6f8;=s&UpU~ zvm$1}#wPOe{Li$2m*~R;r+#;Ekk{dq@2VV|Nx?lrjtp^UD)xH@1ms8bZ!HPp_x9jj zDi`5_Qe5{ZNo*m3xYLhtifkcujEuZ;xzlL;da}r2g+^?v{@3x$_h%%J0H>1Ah`%n4 zf&SB!PPUxC8?wbyr?Y5&ew5_UOEcaRX9>~rU zF1gREr<-%n!L6M0dxm|3%{^;{e5B0?7C6YKpiiZ$WAfG}S~)Y>l-}q)Y6HKiX&Jnb zq`;TK5(onT_HSa+dx^xOCj|Z4pgjq!Nuio)?^g`~ z!FK}sw?xv6Pxp&T0s+JX1d`btd6Q+iB0bzt%7|4<=;~CQ?a$2qm6N_^w*{1S5*p}X z9LWlWNNVMWN0tfKY^vu3>xg)Vg~@IK1@br@wUABtfKH!sCYYeKft|C8{mz)y-aqJx z)V2@4^fqh|JRPsXc(AM#>A7WJB&hQ$w+*Y?9~7oOSLDr?8k$z%y!xA^*lDq?$->sC zT+6k>W=-c(hBm6mWy?hyNWE+*ru9xBm7+5bkCtK6HxP;cIBF2aDS&TNz{o-Z&JDNc zXJ#(Q+T*%_FgHa}$v#6z{)eyu0nmpyuddarmO$mA5*AP9g7aIAcK6@+$FzbgF=2LK zl({#9*OsAg#ynQK;Nc!U2g$UMK)1hlkPPcsuwpq%OL58X7&&GCc?BOYawTW61eblw z#BG>QWFPiX576k(`XsA#Xq-{G(2LbA8pnp+iep47#?{--6~#R>&2q(qR{T!;jRWL>rXmV%@d zp$xr;mLT=Pr+a}#^PN*`o-7`18$dDDiqmjqc&?k^%pqxW1UCq}$y$&)dAQ(!C$eUF zR({{=9%`5ASQR`&s?}xu1imom6H>OlJ{4STVrYn5Fq0QEHTsd_zrk`;_m5(*LN<8T>WJ=tZOQ|8xFAVZ- zh3XXW%TrZqX`#NYB6sE5;;{ZB=L>zCQ1!IcOoIcrrkg7K)Hd`&)nVcZ5sSsj4#neK z-=>xzk8>zAjL<>#Y3`@fm(6wLN#f{-nR+)&&pv(O8X?w{W&$`hLmc?2B{S3TVna2; z`fg)OWKHE*~Z z#=MNtwI_K*#ZHC0+pO!l)s%kLOG@xreP_@ghmV~^cdlG^`em9lcoOaFw{GqbfgWZrs`YSW7z6Z0qh3$BcI;f~SYinx3Xj5gI#|(yZO#PqR3&&8_ zY)F_4I3{dHaIr*0quelzwM(O zY9V-&fQlop|NB4we&aVH`MHYP%~_Q*-KdXhE28z0pnTVyMQJ=Mw1#|@d)q`$KwZ_f z6jQOUO`Ewp=rqmI@bOer+oiG?d&Qg^9)$e%B2ryUt$!4gZlLd)@Vh=S8ZtTFp`)SBcooq~Wn&Fa8Jc+udCj^vgQ-<|7Gr>W^3+v0iP*c>j=j zDl+hIaH%F_G2;i6^s4$?eA*hjDR!&*V&*J7+EuvrpfbJ6+&D69x1i~U<0X@eO>s*m zPu5uV(rsk}!st>g66Bl9_f!k(E#n2bNJWyqntI6;IC^lTr1H{7- zUgmwSkDb}`SPa3FDnV4E=aNJy60PPrJ?G|$uN6owlhl!y-1tW4odi9Qz+icCz5oCZhmka~ zVt7va#)K}0=@W=L{XYlnD}>gA^T!sEXIE$0uVN_cNr(x1C7;DSBE#?C zhwKfS6Wz`~XIj0|CE>eYPar7)#*^gMOJzJU?=a!~w02y4tr@5PPzDFr_-Za3T9@lJ}%Y@p0;w^|48H6&55`IX%-D z$9y}fC2zWxo2s0*TJ{HmrvmhuiQ7{MkT@{Ys!wm+KF?oDDy!MUFP@qEqGA!R4CZEQ zs#aDoL6Fzq8gV|~-3sq9_uAWAvJnID4v+X;V?F>_-H8#$$KTcUy1P8}y-%10+AZAF^Mv}$~9bg*nBg?{@86*9;}Zz$!)0=kfddHVRm{3q{vK8jMw`f zM*GRsW@~fjZ?p!quP-jUQc=9W;*1aHDk`>dtM#+54$_WLga;3HvRN;II_J5RMi<}$ zV@4j+q-@A7TRrD+)!1K13K5)wZNM9RLzy+!y3XBC$?LhmQO>ukNTV07ejr>v{*0w} zg-EPAFu#t|f(()s?ha2Jx-*Dlj~4iyk+{>ZyLsp*bmqFu;&a6lDt#j@w2x|eH?;$j z#`Oili!%&+M_jl)tp)EqGYOL`?6a~peoBR-1K$$DVVsFM>-!Jg5yUAC1nvCUyCATSEv$cS(^MAdT zd78CJH946m)D#cAC(vkpxA328QIHf>RNm`E89^T6sRhXzv!g8GNEcV9NH^EYkk~2N zVNxKnWMr55B?L-&EHB){nT&siYGdFbemYX|i?2R0X!DYgjWkf`rb|l@G6E=K4nh|h z`LpJ}NLmXd;z_5k9le@P=bn)H(X?r_ z2*VL0?zfTr=-w~)bzAd7x#7R|SKrSuOR;Bi%`LKjXj@BHy>@TO`TJ4se}1=@t4ZlozR94_gZ2J5==6%>li$yXrUXp}dZ@r(dlIJq2hg;cLFx!*)5$4!Rgv~w zNR>MB11&!o*0wt5u9lQZ3nvT9z5(1K~&(gazx zqVz*y^-n74Rc*N^xlbGhsUZra9(rMJHRt(GBLb0s>a&#;tEh-l{d{q>12>YWCYz%vY)e7VS({X4B5!&h|@jj zu$X>*2O<*MHQ1lcciKPtL_K$gT;8r^4!1tug)r$Wd(8U%C^_uwSv#~*DGbuwGnRky zE6NpxH2J?rzX5BPkwHnMAsWea4nB8z@8T_}zEuM=-(7pT&!&-`^UC$dJSF2PSc*ZC zSNS8B&EWfYsuqv#721AIx!OW=oCfx+f(cj4yAF8?iLcP`edCzpf`*wn4k@1Rw3haYe9d@ZtFrRP8|(Z&;- zH#16)q--nJ8W;2=M!8d}4+Wy|8DE#sq4Qzmg2Y{H3*@HG4IG(Lm!=LRdyQCiN#;;{#Ert>+)hDIF$1rfmrkM+7pAAFm~ zcDumlg%+@ok9#k>ZCglQxGDz%a?-LN*7(-aHt$jOd>F zB_v*?dG0T;W_;@m3eE!iXBx7>qN2)cFmaeJEqQQK=;pS(98X3M#-X zFpG5yIgn$LL0U#F?cSIB2&iywDQTZDAAgilXmdWzYh1ym{RNPlK*mPGT^<9Ff4n72 z#ZCGaZ4^BDBTA}8ISI;Nh+}rW-CPHjwHbQZi7Q?!evc7X`*)8KKuSRzqj1hZ+z;T( zbUwx4jF;)hvC^X}>t=O=HR$sXr;1w%z3zZ_?B?2uj?Z7lINggE%Ow{QD?NBXYgXS; z6SO{CJ2x!xhda@3>8iI)Inv9>6uY%4@2#gS8+88UrQIxW%g^kV=1bkQo|rfGx8~kI zwp(lXKWW(jXprEX+?esaE|F44#C;J~Jim!8} z!=a4>#Q5x-bYl#Z@R(z!;NGc$u$MnqD8P3dBIHS;2aX^mXF z-ky=9*KV_Y>!R?%eBeHW)`;q@&=2$U7PO)nHXq7*alqZ|sHECqCBz5+xsC!~r|6jN z(|Cwn!T*l?2{%?YO#gQf7|D|W?&a6`DEjZp#CI9m2+6A_#eUCE>Ki*qc@hn&aukHT zwP6{^m`%Ch0TtrrP1ZB@u5yL(hyL|k^SRUNO^q&;Tt{lfc~^RSo%LYl7?kNH-ke=^ zquA-J7jf#0AOUZY^8B1 zXVLCibs=l@%wP*;*k{M|toL-KIZH{mqd@y_n4c2!!_qo03oWp+Uf9k$zLmvQWsUX4 zxqKwN0nUBA#NDAz>M zXkgsKJp$#JIfD2G{O?dlY6G4T6JWau{k z*2-!hl%n0taer27N&Z;6_UB7$tE7SPp&fmh@fLKLJIt&NYt(m^Rqy3THfv)&jo2*2 zW!7mc@wRAW9OC6F($nLnok7ZACaa2R>=w+Gn`(mo#7rk(4zh8mO{y8@W;G$6d*wi)aB$yo7jvzG4bIE;?{!$%S-z0pWrkboFUI9JX_@=>GwNi`Y!$BDu|Aw@MW?b-7cDQl4t< zVbj47BFz zET~Bp*l0CYL0+SW>t-5r^`OwjAI7a{@bO~6@ireuHTlw7<)$6gT+QmC6_6!@=@OJM zj^&CgqWL}vg30SkSYG?O!g9ZS&Ko&04LP{5I9Dt$s?Bb?#@YVW>z`}0OQ_f_M~XEL z+p?8Y{D#M79slT`Gq@CIML9WXI8h7<)Kli?Vm!bt0i}&Hvm|oqPV=8@6c-hi%WN))8K+tujLf zM-uaxrNb$^wEx9%^zW zKP~)K^X*4cick3496+C?Obz?PoHFQuyNS?yR$rZ>=@BHt%8D zj;dF9Da;cimrv~#T`x=-k>pWr%QIW3cpWq;2;Z$S2(LwzX3`|quqt_hx_aJT7C-Gw zMY2@Vck5N}f3mgdYx0v$HH;K2lTr#h3cDHq{bcE4B*jqFf(G#cvkmSG#icY5X|8Yd z%U=k@Z;*=nBO(J-^jlMi(PAw-Qw{3L!MT}n>CfT`VtUh0@S&PdEDX;8K%rpY2H6Sv zI)0lmGK^+n5ohNz@d|-fa%CtJ*C^mCyM2tL@F#nM3_L_W!RVX1uGJ4`WXg8>!Q66^A_PF%YHGSUGZrU8rdEm%xp&~5^4ATF=oCL#-y%xwl zn^VywlR2vDYclVqlFd5Qw#eg;U7gTac8xol-*>5a{ygtw`&hXa;r_yCzFRa|4w6fwp9NO0@f6RF z=vZ~>Y}g(m4`z%re!`W-a&_qi9^n$LK0*oMsxN^in&> zeI}0I#Xl3gJ%Y2g1jD-I&B_b({AX*jnw2nCS}cxiLyyNyk2aNZwd57N-qQ=V}}E8z&~fR5)7mn`4yoAPs2%Ude7RiRuR zqk$I_6DI}0!LQa4Ui)h6S<#RsEyU!dYH?6GHmZsbVNlpn_7THbM56IR0%n}gU=TvT zz${vJ%`WwY{~yw6p}xZ+&`kHFz_W<58gMsCUxBS-HM6?Tk=3hL@jY&0_W!*)Ie5+Y zPJLgiqpE?nVkJ#`+B`{4VSd8nu*t5gT41_R*y)>QNuJvv7$`CQX(DKI9qW6V+0XU? zVND-ahJ{vTi5jwy%eX&D_o^-^>m3^S==6!1NId@$fc^n5W>;XLeDlG3+^ct#GC$E% zFd5P-7EU5s3PO(b5{gGoyhTJz6mbA1fO5r0Nvq|z+|By;tf>tWNoY?pXVskEH8@Q@+R8Htz5g89>*poi%#W!!v*P&+G zYsg?ZwhC$WO{ywUza*dgoeUF>D%{RyOWgL2P#dui00rX6YW}Il;!O{=_>v_Ly(+Vjs^*tAEuYOBe@~Afl=@KF<^pflAL@Xz#m~)! z_3~N~uJ1(3i+y~GaQQDZ-jv&zcA?hCsvE9;?1ePt2fZ|`oR$xT?*7eGl+bsZDK&|E zpFR?oUSnsDQHls#s-j#~&?$^8?MgP?)Ohr|&M90)9j?@2jqhd{>(}|1?BU*VV5o2P)E)?~IA@(h={7i}``^7Wa?-Jmj$ z)+5@ZTUTuTr!D=IY@VB8ZF1$^{LX9Er?qqpOIrSzEPjwz$wv1WqB^jwPe!t`$Eqvb zVu$k5PqlQ>!%jJnADM~S&1aksyztsAS8Nw`eHJv%&FqRf^Fn*zS@j!!H(vhgQet|I zQGR=I>eSj`3|s4W%7ipNHA5sc)y)0aYgdiyHIKBkZl8cS{yWBxx{>VEZ-39H$gTOF zxKbowDU(rxYM86Z!gP~o!x>cgA<+TAM#zzA7E9QBKg`6wTwm#PhGZTMfo=|jCxIL9 zsqaEE;)VmQFJxYwUo&9%z)#opc6+4!{6wsafjk1_Co6X?L{pq?UJ-!B|4Vl_3A47=izKa~_>CGT=*7V`v>l z7`lu4UByiCN%XZ!>B4XLdoVSbr#;`_w$l{{9W2Qtq9cs~I7tEsE}vNu8>2+(eGUSI zh1Sj5E32M|Sf2b&exg?J)-?EE#k+zPX4=;J2<5gfsM6WyRs;!y6YRw+(|S*UB;E*iT={(r&T&1Y3Gj6zlG2Xsi$~+PzcN0E8 zr1?TU*}Nq7P5q;=j&LlpPTprM>mayp7szlN@@Q;q`a$thjW_=b_2Wg#TgB9H*skTz z8t-p3uH7blY>h#@)s$^*-^Rw|Vg zP6p%%$0jPxyw&(Zcp+?}?n+Y2&|I`AT#H&z3`a$o#0<@@a)byq}t z)*8tW>0j{cg79Xfk9$&3R#ueW_5=EFHe+_XjPhzbp2>$I;rUYjX^HlYSud*~RXiXg zse_=zt=`xn-rfv}#8?^*7$>*5Jo0Y0QT_TF4wK$17$1hHV0;ovD;-IztFv8M*xepv z#NST`jC^$Z$fnuP{*}vGrS<}d&M&g zv+}=3WI*}fJ<=;FoXy0h6~kW9bKur*j1oFr)lu0oe^G+rq7?G*tHuqA{HhujeqPKc z2bnv35g;>W`Qu%=r>)&g%hk%Ls|E6KWUeW^*`i<*BE6JxFeS6t<^wEPo?pY(!Uo%m zAk)w=kz8qS&cqLF2J!bsoB^{c}iv!9~`Sm)CcfVA@lcf==JwGcLPyld|4wL2P8da0a2>EWsWx$!5K+ z&|8h~$Wc}i&9Uj;DG0u*XZPH++EymG_q?UC`*(=EX=B4!M2Sw=*d*E5I9=5Rvw!&8 zx3q%$M%!_!mq@7YIft@=jbfNltpsK(epFxfMwXb6sGlFhm52)i58~|f62eRio0VTa z=c&AEgTZx--@zM%VrtFs?D@PfTyGlIWT1&~%!@I)AByZ`d!iIzQ{@`^gH4D&%_n4M zYK_b)W29}7>=?%fYk-r`iCSLCq?_Aw?1V-tg-wSL7H=D?y64L9kDM|U`bj}Qv6*tz zx~VV~tk6L_!OSdII`4C-(e0Xt>aVIr z^ojzDhK6Jw)!W-G1pC^3$$i?y;$E-u{QRwfgR%`)YRPxfS zLCwLIXgfFpG-$&m#7m5A=U{|i*r~_E=FsB#i#oT5YrCJPDCO`Me_ibq^zYlaQEWhlwkXcSzO<(Kd&y`1H7UB=SSle-;`8)N((ZM_M-qI15tUkt7;iL1yZ$6u{0 z4Uzv^|C@ccY_GcgrM(^I+MQ2cm+l^zG}7oZT#?S6dpi@srsN`~aEO_R7nEW?*S6e9 z*6eg17EdA?qe^Q$e*`VacTR`6Een`@^Zae$#GpwmqQtdR_P-yoUn%iu{w$5wbGzF%Uwyhr>p#un+oG{#64jQtR~Os$ zU2ui+;YUN=!>{)z{Dz(*KMR<;8gO{({t{vpP~NjDxql2(`6iqH^&e!qpUQbk@;J`wdX{#q)0PJ@-jq4(!IT+(F}B#BmrC8se=3UTvD8_yI>z(S zhcAqiLlB^+1E2tTp8|3KgqrE`3k9KuN8i9HH08{BlPGTdfah%r6GkGka~f=B8x5Z} zn~$x0P7L1a6_T=S{qt#vYZX~)uQVxX*0b{7mb9t^AhY|&|6UpLR7bjP;^ZgeHL{?p{MXNE3xDs^6L zyjCJF$|ve#whU7MIV1}N?IB=?zRzQ0{@Ff`F_c4L){U zi?cIo+I7)8BfW;!VQqWolYrPmC0arJI2}~|?600$5HA5od6 zpJl3hO6-npoH~2il>Z@?$d-vk;%qr+NJ&qdG6c4q3|ph5*7f;nw5Yqk4ISZ2YkNz! zul@|K^Vj3B@kV?QQZMbZy2Sco+X3`0NGMyO@wcYlkMX~PVa^F@jAU!Uhxs}eraDuD?KpZ7^T%>M2ldy zNSN4mRJT?NZ#JK>oqF1J1xW{UpBF~eg5p}+Rg%`j4>bgNW5sDSoGgh|9G=ntZCXJb z$(vlCJ5<_dKvjXE12eap5{|h#g3@DOSS)Djpq9hiuAL+X2yh6pWirxpo8;0E9?vPM zp>)t>=GpuMePJ^}(l~{6kw}%%N%e--Q+-+Vua-Mj!`YaZoF^_lB@avH|5klT*z(O) zn|by)!7Ea5MnTc^#?=Ueu@T)PouAkrh+T8D50@|bbN(t!yOt`x@pG`}+@bfQ<$Q&R zU(H6;N8gqCV&NM3+#aZ0I5HYbxn;&Rh;;5LN|4)ZUlQS5v3TUpzSpzek+ynK^~Ee5 z8EF5nDg_1%G@%-5MBw>s5r=f{YQHATV${R>U1Kunz0It5SwuG84-ZSsPXuJB9Vy6Y z9NZv;ou&|Tb1|s?<%sKXghAM?5xs>#D=vq+wnF`TFg;Ij^hCH8#M52?07xZA${wWA z`~#?C%i2W6?mgFjQ+F@I=(Vn{YySY-^F){UZY@=_S>E?xZl6h}2Kg%Q1v1UuxROg2 zcB#SD7cwU8^yyRvnzAf7m(V)(FY?>pj@KB5I0x>poT!Z2XoDPYb8~Mi4 zpeNd-5F374(p31gMAm+~TA;_1!2Y_F0QxhP&9J_smkT&5P~0UIqZeFrr|nC$1A7{1 zrTx9*i?B=GeF?q?c7>kZyMCz0eadOq5@=<9KQ>)azX7Ut=hq+nQDAG45;TB;F2vOP(dP)N7ypS(Xs77w4e-EO#YXViL!)@`l)2? z&SH03Ps8o%T*G*FVQ1hWr$8u8boDs5juxJVOcko`-$QxN5d#GTH1Pekk!RGb1>(-a z#vd`AJBv|rcIbst@{`F*o8MGcHy-NOmAl`Y2;Kc{8El)KPll^OqhhrZ0u$)$Td%wxn}1D4~Tlo)LMFdQJoq~=nN{|WF~*=6066f(++WPk0z zA?JE!*lspKM4npU-A&1IcQTrcjpG-*FgZY%OIT(#c;5jJ3{F*kvB;9om^(Ot*Zpr9fm0~O? zS#(#w>O-v0H&3v$r7M2$t_X@p(2zL5x)UG|^MuerGM#C;(2Etc?MAvvVaK5TW{rpG zWRa=;Ux-a*uPVVHzf-u5M{`0GIdC8z&Aq?s3_7+y`dW2k`KJxD3hONrQ zJNqx=J(umotY`dILU(;f3Ldk0P-0>;hXqDyH3Z@Lh=eqe8n(DU>5dT}rD#W$*9 z5%WEf@b9y$3&CC%%^<(_P%ho5$kXhky~R$#T@2OxbL~-vo6ohvYae?9lvxefXWtl# z^DEgTFXUIt@K!pfrqS{8(Wd5p5LG07vPhe6=<6)@{S>scvrH=-GaNfFCa^_~#Ty@f z)tO}#32)(!mNTvMr6u;$0cgXP-svj5`}9HvIqd6RK%G59j|h(7Do8IP<~fv@9y?`^AhXA`}4+^+;@Xy_2!0)tsLm9TB_bmzk0{!{#xmbc=@$+9{l=FAg$lf`g#GB6Gy|r zy$iI;AE6s55EM>(pH=3JphT+yY#jU16F^)U*`WGg(fMG!#x6 zGJfAI7w+mlzQ<=RSzf_L{WZflP?VQ%{Ju3}7#>521`aqF*&%UomK)pm^;O&MWPL6! zU{QP;A==s?Z+R5S_K`SeK<=2}#bz?_Yi1yoG6XXCSr6z__}sLcuZ0e62Fve=RXi}8 zck*Zdkf4eRx{<$g@Ru z>SmuCR`b8)E%C@RLjWHNYrtmn^NpilTNf}*n744Xyua$t*Dgg0<;7+rdsB_sg zM$LxnSeJ6(b$5yVaYN9-RpBLF4pWbb{3*}e0;m?Agcincc}${s(1=I)55{O4SCV_O zeZKJPjz-0?u|+Q7GAmB5aY4LDMHdp%lxj_#l?VW#%y!Js*#S6#kUINTAXG3FLJwB_ zYHZTKBCeira4<7@6S)*jdm8R3J~5_$6r!!H@@sQ%^ZwmYlHG9loB5vf6W`F#Vz1YM zRj6;=JTK$SMX%}U$s9@&T>CZja$EdtyYF4R^CLSgRKjdC{Z36F1n zYz0U=-?v$gfV{v2{T?*RGn62;i1%x*Ft&RoZi_Kc?c#| zPwtmrbyj~c(H+K$10Xt7ztceC2LKod^dg66t2BIvTiBP9?H?FM1h}LcBpHfkwgUeE zMMxr!0*pKs7=n&@`912TDBJF{uRakgH&yxgYlvuy`pF};n2X{72q^eqQPd{VW`8Ym zE}LyUSCCiTsi0>zWygTSTyk%JZ@n33I@WXWe~7pZfJXHw)&anTrhl3i^YV{ooPfS| zLUUMl&z-E{OWJ-Tnb=$!1PCPOqow#91*kA`Kx&$fMaz#;sl7jAu(cbfilTpuoO5pvlfEg2dueB|$XY zIj|;BVO5>!R_Im6-1kjH3X`c61CMwMt^aCYH8r6w@#46szNLjKHo82q2OvSbkKJ3F zaji_+S>^V6RibF!jkmS4{&}*&Ej>Et>x8@|0G9kv%(V(EOO(a_Y>~hOWx9KT)Y z?0G}e@%97gn#I)9*ZHE=*#Z-<`iy08O;@ahrPH+2yq&7oNVpL&q*lBR-$*5}PfvF&)R#{e=)lahjq@e&a8 z7cg+9p}}k!6f`zpd)Dn|%NT#TJ2bR}5=@XecWKS0h$Z2*Sq(67IV)1tVxdR?5dVf~ zhYM{9kBC5JOO6y)Ma_)wPeVS0!nBB6BES=bFhH>Zw9Cid!A;3q-hU|Rznf8+eJok& zW5Pe+$&KGpph?jav%0CQ6#>y%{s-88ybd=Wp%Iz?#9lMP6wC z6~k&h@k&}v)&e(7xdekiBCbP-O{%Cosl^;0_z7lfVsdOyKj?R(Fa3_2L9T6HCk3Pu z;Ss{jN$bKmNx%W{>!}pZt4}iDyknea*LC>zoAo9{9MKLoXSg+&Y1;9?XxbI^b9U3? z%S9nW(puju>jb;S6t38s6!&{&>uxiM`K9cg&?>E0T{#));CnJt@kvliPsBx870S|4 zCzfEZFX7tK@VKmZp&{M!WyYSXN+awjxq(x)bGHZEAtNyRhJPRhMTx@NvA;J%PsfW+ zl1h0vw5{|D;{)XrmQdQ(XV1AY0|zcOmPU$khM}_KnTs$DBu`mSVmyxrf*sW21quXd z2xzB9+mim@Ei}gkpI;h*=EEw9C2J3#4wYq(KLPy$Uu9_a1HynJg6ml8oExBtjV^B8 zazub9$CR1JQd-Bgy~E4LTo=_XS@GRU_=G}oB48tf#0~Pgu?{C@wmKxn;45v!W!gmy zwIwEJ!Mf7n3RdKdL>!5V@b z{nTJ#JhL?QHg?79=|k*r8EO^L$Zm$m64@NpMIgHWfYxyh6fIePgBf(eXnOXt)NMtk zLhW91q+i@^DRSNk2k080^1&cKl~#*6SrcAujHGH8ymH2teZ{L}35l;U#`|+8y3qF- z{us}J_)`ifar|dR$}8*`&D0Q#9x#ApuqJAWG>l=kRnh{?ueGa~I1$k>6iNwTA$ER0 zBRB%X`gkV$YTnB)H$oqm(0jeIyv#pw^Y&OlnQ8Z*r;@oL-VEf$x~jKBYvwP0PmH%* zeB0XFT{dYdWpDz@x}-I`OlD`;X+O9!?|H1M!7#8kH<_UQV{2IZye9aX!{tz$(|w=i z8~50EtDnzL*vwogSn#?vp}c16+hUd8@9urOb8q{#2-i6BD_)HkOF0kX=D9AKdA{{%ndVieT;zV>a%7JV_0KLFYXhOz26<~AwOJa~`7aauOt@|w86vU2$KcDxcc zd?UttTP@Sk+JuAU404G{2L~^T79>$Iqf~V2OP9J^)UDg@csYoTyQ>VP=~<#a9zNN= z&tHC17?H@h&%ww%Ld7hDqct9q<3V#8y$c>aEblcvx5kVO;njaRf_>rE#QXpN%}Az1 z0FL^_yob^vb@nNZg5S>Gv=*iYZhwSn+MZQuIn1u5eNi>P`m{!>tDosjTYe?4phDfn z02?5Y8ezf#DVNLai)6feWj0IHc z4|5DS<|=4;y4HgjTd@RCsdL{{xDu9Vqz9=Ky&lDUBr0C;Qe80*Cz)!YVvb2nUHGtp zEf--`mqg)`)g7tu_{zlh0D8ceoBdU)#J3G2j^U7#0voz*4P|jev;Z(nUxDU;GSXz( z>*P}lI6cWu4Zq_5;-{?4LwUy3wZ-c~s2|fI;@bZJo=*mqiEw(mLOs(+_>b|Kxv#sk zy^UtxtYvh?TVKZ^cqj{^0A~;_KrtTs(R^=bEl{phX==)l)%!DPcPQmg;#w2flooCB$Y}-MDbM$Kg z&V%tmCISt#BFTw2lY9_#+X5tdbk-Vs+Wkev5ucDT)QH?^|0R2>l*?B%^opx@&B9MF z+e~b}?i%08UUT{TlIsiSJ=XQD>@f%RX&Z_;o?n)odmol67Ov;cfx0^(pSAi38>ifr zC@l&|MPX|+nr9P5AGT1uE5!aKv`xo9S7&|+gsMn@TGSH4KL?lL+>*(+# zWil47r5=9rsST{s8twY?I6`$D*HNAK55*zzy1vh*6%fl-Q^=I{DmGVg#2LPO%w!)*S86yKf zQ4F-&ogHUqxk*;~oBh_-)A!@q*R1JM#Js&V)Tv#yJ+^MMBG-Fqr{ipex1z7hb%GM1wu6>R`bvX*N3 z^O|-dIm!3vO3Bbbu4-&_UcX@%|*-P(&p0UBjf(G5`!T@Q@EaPG4 zr+ynd-H*^|%0@p{KKLmPCcia%H)5Ikqc~Nq#-_(AShR&J!e|0xo|P*XkXJ$E=o4*M z$|mj%u?u63)MA>0>Yn(VzMNnHQFYnlL#)Q(xbRdA)>J2jwLp_^++ucoTnEO?EYke$ z4y8cVku-nV+RUc(H2qIK@ecI^kxXk|zAU7RW+f4dSxEfTffou$T3CF09e>B&VC2HH z;#|1(96v!^RyWm#i;oi#!$5_ngnAs;f|C%>9+LR=O!xk*pGa31B$9YJzAN2k$u~DaSL%ooIFS8_;#s;m^a{wgY>XO1@FAiZYj_TMuyI zz&cLY8iMD*Ew{rFECXo#7Dz_tL5S)LBE5w~s{Pz17X$lt$3OZk|4%u&>BMweT?`OlVU0X$+HW zU>>vNMsqya>|%?%5+d5MDma&k?*a#+eh+SQz~wq}I7OKb4yBoJpqS%-_U1r-%|R-M z@C&2VYcJ2&46|>&j`#M-gD*RjsR4;VG61Lr0cdtlpj0iD>Ig5$rmI!>$>sRoQ~m~PqiC@Cn8Ev> zZ9lH7)V92sUoB;iOq?=UKea@XQQ|SUglKXc)r*GFnSRvbg;N>ltWR!r2U(4Lq5^zG zsyn6%B2u^jo1GqB85~lP>UpE7vAv%g>s5)N$5?Ed@@w0effiM0F#xzQeR^Wr{5b48kIqg* z+wtH;UCpc9iXQ=?4Lp$-TPA9G{K43niN8)#fUtq_!2@DDHL*c`$@fJ=Uf!7bZT`3)F2~T#7t20szyV*h zZldO3<-n{eF9at7MRnY4*i^Q~V&@A<;ZlN}xfXSL5{5ggE3}Sa94G-Xi8xA>oB-N; zq1&vf?vrn=%W8Me(qlbvDqi%GT2qNX zxgoF7^VUyR{-En>-lCw?8*!Ht&Y+*WtW-r@uH8gthD_Tn%+8p&Dsk;v6 zSY~DO3!?C5l+=tB`HdqJ3IvjGrS6L6iq@zKKR!81#6vVuIHrVEMnDF-)s%(KaWuj$ z=^XzBuSVMRvS<;qjq9x6pgffH&u%m9YrJB6*;Q2><@l(N4TX$)zq5SD7*DIz z9%{sC#U67*#eTq_|*Gy9U1tnK~5IWXc7YDTFpY z-h#J!d#yM=N&mB{N^Vq8FdZ(-=Xk+<1kidQ{);zTfQtpo*L3eXEaCpd-A*}|%Ntxr zLhXvgo$qyYjoaPDNA_AJ=_FZNvoX}RSaRU8TVQE%4O^#pzL)jlgWrZNRZ`kZPK)tM z=O4sTHJ67Ex&wP^cv!;k{Jr=*&NkThP*Rt8_v#=Kq<4jK9ta4iU1F09HzK#sw%ebSOK6~>RUH&q*Rn%P+lzd7UWL+D?dw0O{#QO z$ZAAs6FAV;bBdhEx=qaM>DAEOnD>HWS_)^YMI!m1&uTTPo6?v~Q&>mESu+P105k~? z1(*(({{akT<}wcPA9pVqy-MBH&MtBg2c3_WmrA^CgM}`@Uf;yG9)z80``>5a8>cX? z9~}ntg>xCP_(4J;OSZX5vsDhqv*5z~4g6c5y!7W973|o?Yt}{|Xsd44Z27P-Y>1ul zm(!$L10HDJ^N<5r9fb=Eb#6jT7iu(yUsPuA%Ws)`qx3H&nX%TdOT2^4yuG8`=h)Mt z9T9j6#^rvM0YFk!85G-sWFjBzdaheF(q>v*m7g39kuMPAO1Y{xQT81WFa^XPK!r8V zevktwDwb`4j1%(j@_uzoRgD8Gq=fqMmS^d}V58A&x(KZNsK zSKNz@8&fJG+3mgFdp@in65y&or1F561Zvj;jvR~(6d_>!@FTnro{6^lVZNV`RqVX* zHvmS44h&_Tq=LahgHuYPMs>)~E0Vr8ylPmVoGf!`6zW2itc$*#ahJM$DV6=v=i8%J z25&#lZ0}q##&{YBdk*!_Y`H!yF;vm>_id3~l({*)bi=CR`C9#lg|RoEzI6^iZQ{Dy znX~q^*h+dajN`MJ#pCX&iBS^-6$p5FhUj3XYo`rJqJHTH!A?&Vu%?ORKM}x`T)#4n zhME;tPqP(M87dyliGx$M>Dlu;i}@IG+$a_kWFvvr^I@tYq9b+3T9tgJq77`!rkbo^ zCry(c@ny#^E`#p?%~tyNxio$ZDr|_U;N8mWG~*DimNvJ*K#DMMN{IjA_=ujpWC^=z zq0|eIjzGC9Jo2R1C3nO-&}dV!`rs-42s@oWrmu)R4TzXuCS!Gg&hi`~gm$$%I42fqWSVxOW(e z186}R`3>3Ik5srNK1dY7N6fMsr7o%ax&A0zuRRfstTmt&(H4;;uzK>{k>SgxWnmoF zLqNo1`qlBh{4g)-8a9rgt!dA2+q748Z6`bARn3!HfC>kQXU;nh3}&5F52K-09|PmV zsh7L6b7Z!j&}c~u_#?{UB=Iyr_1HcdkTU^*UBJMR64d-G5Xs;DYCLRga-ab zG=1j{&SBtlkhSxT&YWWhO(w`pW|i@QQVl_(>i$y>#N-;~vrre9ewY{2822zo=4>); zMOJ|g(!<$+Myuu5g)?;)M_EHX?cqpsZObl;VXKIUv`>A@Crr+jw#Z6LThNws(~$K+ z3|}kGPL!5-gp!+ut4+o9}tqirGM!k z5Tnn%C1=Bu_`Tq-uKXvF*ENdH^m5PrT;w~_Bg^WBUU%9)xgG3~f;treZB9_IUrxxcTaBeH)B-2Lg;NGfGh?BE{6j*I#Va7TkDEo*Y!24BEio*<{rM?0oX zGO+ivtq4do01N{r5OER9k44vup3ZwsS2bRmaIf}|Ywo+6Hq?7`^>^->uKf2cpV>us z4(BFrW#a2S(m6g=)>mY5T&gE5f9Y9ojn(T&C8e+fk6*rj9)<~}7VLHO&F#xiNPC>z z@0i{xK2YJgW#Z!EW{Y%0tlpgM79PuLm|#8*J$DnGow{S$ajibnhn1j#td=nVy;-Y4 zvYM%>aB&9G_->8(2^U)SiX}tu+d7Hm6Xqtoj)P|=B>ec5kn^W+O>WR?4ilL$BVBi^s!~@}*Q7?8qJ*|R2+uvxg z>aC&K@ya9iXE2#4XFaKR9LR;htRo|EjsRsc1X~~x-zm;{QS}|!fHv91+M-h|c2x=&aol_B)$Hc$=mi6&DElSQ2l$ZD}qLQ>q3>qZ*-cRCN zo-@m&Pf@XVq(JCKhQ)|u5%8$GbzFY+g3BhiL2$3d3UboO1T>_wr?=y}r(H(akwPtl zWegeyjgBhjr1=v8MUI?B`xK{wS&HwX?L@%Afjpn{dexy)R6(w)RD8^PC}0AG^!M*W zu#w}|?0|y@VkA)wo0S?Uh3smko!dWVF}wD_Pgh-q8BVTn^bm%FD-VMJ?P$7+N{>O! z23^fT?QNsSb#41hUDO(oEy_dy4oFf01G$8}MTErZxbE*3vB~9SP=UC&e=6of`HxLN zyaH2B9*qV(bRXj29yUpa-;Psi7gB?BcSBMJMWF4(g;eal$qZyGD~;)q$gf&pa-H}7+#`t=4|FD!Xx=fY9RBnDyu(A z$zsK#xbio@#|xutAQpg;&~xQ8)il@Q(|#~$!^t?8IbfFwIn4WW`RHEY$t%_h-KPvq zXX!~=&6DYY#idh$ZU%^`Rh(4$ZmNfJp0xi_XXDBjylJ?m}o^ViD} zHPWm4pq4N4NOQydg{9<`J_8)R&h`m zWBvmo5^x;ML~>K&2p$2NGut8@o+qqx?um7oZb|JDmrt0=6AFYP#AYTfv#mD49t5vJcDQMjxqbI^*NJHEBmN`@P(iDx}>#8zl z2Ms60XNi8196p3cC?mNx*+7Gpx_a1L+6{_Sl0rjwB+joWzr6PB)LW0VVH*hlnaEUCCMz0IaaUAmDoFF)i4nF7NYG=QTnk4pMa;BufWzS8w0ta-CRcr%atJaMGy zrpKUR*9l(R`aCk)mN5nxxR6;B4P3xQ$*<~jQRn@kv^}yxMMh~*b@p!9VSVeiv*pyD z#Sk)(v*27IKoJ91WBvy)d%iMl=)YV*{k>w{C(m3%VHjpH0Dz);a0cG=if9)IzkP|j z=-Rr%PPU^StI}(ZGXXGRSc$dniBSri^$2UmhrA?m}<8!8aed)2}+~z}7>} z$j|rS*jbDD0aKRz@h^(ON@r8H#7^api`3te?MFFeX+qMHLrw*%_=NLG(H8S z7E7jP9B+Dc*O*|-r88RnJ33Z5B{%o1f6Ap=jWDA-iv?;G z*aG3ue}FUVKVY(@m2MR)N4b=w;SyQ7Ff3>MM~`6|LaYmR*W0@$CSH4(p%Fl`0GYy- zKl!<(`g3pI`LGdsXniP>-}eGv5e+=ZB=svnHP~@#zx&HqSP*Sg(Ctz2p}L^6*5wv|D*zCGf$*`NJK_f zcb>l&9=Tr3VN+#xr2g3?Yv+;qcwZ)qod&TLxU};L4FQHJLScym$I7{8pok;@ zaM**89kK9uYHI`qF|Nm~`MLHeb)acp(yR6~7%Vl~zym-aDS;T?Ei6UUm*0h8xK!Ca zdoxqk_Bd^hPv-U44}W9ZTNnqy3ps*PjG4TI$Pi9I-`~U@?=m#SKHspeyaud+$rxYZ zWz7fCV$tea{Gy>$NM{E$;)~gL*W;~~ZqT^lle6#btr>9WGle}xQ?uQyP?S$Yvl>&b z#iXsJ=$jtFxGV$lwu`(MEXR~yY!PV>Z=kGWs=Q;ySI8+)O@;T!%KQiHD*^k_1=pt? ze$bsEU*3P1Bm8!ugtg98d{k=c=t;NB!9O6c+;Mj9(QQcyr)y6m7Ol=q55UI7PZ=0G zN`8kzQ&vYqowwYE8`n@hZV*-Gq)08>(qOci63=C%|L}-jbp38uYmL?Iz>Jk6?a{lW z{?*DD28sbg2JU~r9o{Vi*hnV8uE~Q3vCs-8Md3i9*mpahyw*+bv7YvJmVtwPPmI9v zgsZBm&gcJtBiW|g2ZUj=xc^ z{PV!U)JcWciN+_g3} z!a2IaXN`5`iS20hv&uBe1Kv~`E|A043Nk~^3#cQ|<}%w?`&mh0?m1fwc9Vz1L@JCLl-gj9qyWgGJlN&oN1sxz_I4rbzGp`a`U5WN!VgApXT_Nh*l@f3C)o0^Js`nz4L?m~c@i7=Zn*K6_81IGXv za#)*?QMV34I^R+PK0Q&scJmGInFt3{O`VtA?^h3-15UfDq#B<5dj7q40fZ^=K&-0N zru_LJwSlwioPywaL~6#1?>|vm2(R%W36O;vy7hXladk26`hEK6QnaIX@&d%HT>fuA zDO%D00LHv^Af~MXw3~AFh=Hlmuu`6znxU-%_f{U<^xqb9^2E0jJC%Nz}OE<>8?s*~X#3thb}|(HZft zBU1XhbE?Lhc2m)ongYdVNHKSrQdr{j#8CW2zH-q%sLzU1GD+VFHNulH&(?t z_ymuC%Sy!+V#j_GYm$5TDQE!-m^rWGui9rI4tOyoPSajJl zmG!3Nd#oi!-=kF4Onniaw)1JXe}DT4_0fqcCPXjo%wi$OCkY_WRXiMeb_%rezPCPS zw%`Smk_#C##O8a!`v*5&yYgn^g4lKcCqFti8t?%i8G!zYqX#0QED8tnzWM!BYW2-o zzrS#9Wkb~6?%=WYiJ>FP7#56yfg^Olu{eziWknT(zHrFYr>-BUziSUREw8Yulp#H7^#ujX5W{xmrCB|wv zd+Hx96teXVi*8>hztQgFL_bh*3(geBx8#=#Gx8RYWE7H`m}d_tw}9gxY|>JajpRx3 z$WToG#>|s%PA@xNt;%}kJ#JLIoz5yfYBUND`UfnJN5>r=L89VA#4GR)8^S!BYW$8P z88hWH?KXzIj*m68sc@PB z*p<7?h=D;5XCdbyFyKs0g!w!{E(Syx?qI|Tg1=HwbyFtlV)qPOeqak(gK3$2@6Luc zURDgPPCJf_&@-WiWuglKG86z9hn&g76E5~YEOOZE&%RehF#cS&On7Qp>J?T{D9IxU zILZj%pg060kNhDGjB*0F*e{HRXM+UP(a@hXoMkl6H!No+=iJM$0P48d#J~Luj+6`( z7mjhl01y)v8DP+$ZZ?%0S!MkDX@29Xs7-O+6$rnRV+ddBqHQR_8dB~=q6ff~0FFzX zGfBH&r*M`yP;Gwkt@6a$0$KR%`3pgl7zz${(`W#e8tc$2PgIH@I<;omKbwKN(f(XL zzmcxSCAN(tSJ9JRNl>9ZU_e65mX9^#i^H#!j{&m9LQN^w4)b(2L5s#+s`7xZD{@>X z|Ex-rjkr||xA{x!uq7jqNYK!MwIlf2tWrqfR}5a8G$8)GTUuo*#O`M`*`A%S5-}d_ zwqR9|n@A*$@DVYHHcO&>_u(v~@2WwjAzhMDReV?#eo#A2LAPf^e)0WGrX{-17t$fcuu9j{l=mU`>v{!mGzQ7%CGD9$3v?+UcXUQHPVg0 zOYT2}I5x4wL`O!(o}Dm6gNeowM0KX8Y-iO6C-s9S?fga?6*vHg)qV^#N(J-xyb*{6MyxBq)9#y!&dXWf0DEdhyvfZ>0#-iP&0*29{}Sh}d{CQ*yK54ML;@$K#&lY?GA05V}i7h4E$? z2U4i3(&n{wmtK6>lc2<$M^>t3a#Mo&LD{gGI6XpARKa{I?1Y1mwRXst)ZTElTNAXlh z8XdofT{I$_!tP-J=C__DOV&)`FYyPqj9F&kT^im_;Umn2d4fWx)$kBOIIRXdt!86% zr9Oeua{3*ExRu_crS&EbH2%Q^J65w+&((3L0WKp3k0`@K-xAnNz5=%8*`{f#V36q!1gD zKW&WV$kmq1z;~t>zcjX}sU0n9s$SiyEVR+RqN5)ntMI7JY}c{D&!{8sz7f_x)UK*n z+THu;;Dqg}&c5o*EY-f?=VMUOnqiym_?Mu|lBya7ED;H3PF(s97Hdj)*6O_O`InUJ z?^MG3VhTA+zO+}ZtCJc-vb>aU^jtTLVqE$A+VOGy7rXi5*Qm{+j3;H$7mk0!`h#>& zK^#7w2Bt*aiulcP_TDj>pTeHo*`9JLJ$SUpX!oEbblRr&OyS^a%Ae<(s>72h=LylA zC)BhspkLJlf(bC=h7+8H9X6n>>+2Dhh%K)5Vkya_Dp=Q@x3>&O6O4*^#YbsWnp?1E z9E>9|IW*Lj)~o^?2)-zWVKm(d!&z({MaE8StEatQFaG(J2nT!0_OzeW$sBu*AA9{C z86>K6o&c0JnQ-{4Z17Ah$f7#a7F~F@{DJ)P`<95l-_(yijH$P-X>G;jjj)33)A9HU z701JA>C!6drX*0-eG3OrIGSmT9+;&3!bV0WDoU*ClPtwV*M5e%?D{b2(W;6LTJv#o zF`{7{JUhD}_@So3?9eOc)KiqE%3$Af$m$c5xYWCMcw?rb=r9HfL7^c8wpdH!Q#h2e z9J5gVa1wifl|QWv=B1ICX&ig5gB=teZf7w&4i$muK;St}r1<{)If)B9Z>gjNkI9+) zl#5d?N1wD3^s*-lhazilY+~n=zSXK%614a!h`P)~@52~1=FDWx3zL6s41>-qw>ZNi zsbb8Vjm$jbZ!)o5?CX0pRPFjEl`jRuzc;S8@qs}B zu1>zR;5$EOFItQ&5{>-tjgk^lO54*X$Ta|b^>G4?r(9k9@UBQH1J~Q`K^{mMj670B zh4%mcc1UqwwxK>$4W9V@P%MD`sUdbeJUWE2(cM+;dnBKg=|9!{uc^(8>{^<&Zwq<_ z-hloblpfyNzw*RhY-5!!++hA8(0lX2p>l{!F!}0sR|LBVo3YS-@Lh^;`vF`Zg{Zq* zUTfu3(F!6Lwn}c_B`AnYP!$DueW_yLwEj1h{HRIuw$vkvL_blYr=beKFxqHgLi}~MH zDf{%XUqG)v82QtzJME7&Z2E4mnCIs$`*=w-SHkjJtn;I|1zQ@0Imbu*gV^Os6y^yn z1NW&lyf&FVY>w4VVX^$~QDEbPJKazH&4u+XD!k6IZ<<|VZ6-UM&_c_vU$lN=oRVTp zFO4KveQ&#OZ7JvZXFNVzU-i1M+~v!0QKR)-+v*x~oZXPN$6X%3YzaLqRTooZP&VQF zla3A_P3jtbm{)eZGxvj<6VpQ-8cJIDZu!1)8 zf_-lXB4v?MCIPN^PnRIS03-$r1_x5=2GS0RK}v(qTSzH$&oEac7&TJ5nn*h(88p^e z1}o!)#me9n=%-;sOpmB5c!y4*v*A9C3-nkz64htdo2?mM!d-E9sr$;gc9B!$B_5A9Dn42BVeQS l)&JcH|LZM*{6Bwyw}n$cP)LBQ8!Z+iM=L0(Wvos6KLBh1ke>hm literal 0 HcmV?d00001 From 3aba3b1d0144f3f23035d4b558a86ecfecab5dc7 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 22:32:24 -0400 Subject: [PATCH 17/20] Delete .alu.t.v.un~ --- .alu.t.v.un~ | Bin 189683 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100644 .alu.t.v.un~ diff --git a/.alu.t.v.un~ b/.alu.t.v.un~ deleted file mode 100644 index 7ddd678a8d8a2b5ec9149f79503f7b4280494d14..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 189683 zcmeI537{NDdFM682Fs^xV}UJ$+ma8-de3@?o_t8cmMj||*v2+C7MDlIGcrh)k!2f$ zAR!4POAeAvve|G1mQ4r&mOF$i!6acJ*M=h@5J&_VL!4}mB_W&L4Pn1ub$#96^z_Ws zbj^EuQtGoyRb6lT`v3p+)zQ_}x8J?z&9~jNW$&Ylj(Yf2&phg{|Lq??|D`V;z37|o zc=cC*bM5MXy6{`S^SamVIQvO!zxSOtFIu$dg%aMFc{_LK-S^$Qd)q@RmmkQ^+n)J< zHr==-)AacGc%GC!4rG&VKld|#gag^QPx)Qk?_{6lW7*z&XZLNpXXmCOwRtStH+$dx zyZ4V}JGSlH_t4(^CAIf~*?o8I-uvKK_OjW1dsnTO>wA`jOC_}A|C=QyDY1q{i?$wo z>>rMnzb?9N(Vd@le_yodC?6B?r}HE%X5;%}E{Ba|bAZ6sgMWFhqhryc?>1JMZ5;JM${X7nzSUKZ3iJx}Eq^B<3ov@^yhZ5!B=?7KD)FiG!%4+4csB zXX!>FPLXIBgXC#`-vU+Hen5{W#Esd4woLx;6bYY`0CA_OFD@MWs`Z{Zm^wy+8Y~v) zNX#iL4dbDiJJZ7tb4AVNoM)9&EqW04cyoD>$!XV;mk0!f2m^I3dZg8C(VM9&dNe;M zdav&)dds!_qkrj+{Vz6m|3!0l?Ab}fDsMJvW?g(B+i}zV`{k!M`Sz3~pCb*b<%I`8 zFi+6?k{B@|pe^Jj0f9na7CD=J&eZyB#modI2m9gtU?q~~Sgs4a zOK$eOUHmWoWQn)?{|{u-*#!Sd&)8o|(J>y-MW$n1c9ada7u4VX)MeQ?0IVEeyGrh1 zd`7PO`e8uWb@kO%6n0&GsfFP+&0*LzdG#eDM`A@i64M?Dq%b)O6ec>v@apC$OioOW z9E8j2L0EfPwrj1^ubgwWNpH+{U6SWd2{zBp_YNy2x?@*qF@Gov&5t+7Nsl)rMS3Ee z9AV+{lBs&86*HH~iB?P4m{H(|@*dkKs^x~95{L-zf$tCqMh`&6Gp`W2IPWpp1b|&# z0FYAx@XVn^c9*v*sJldVr3#cv%-L0f!?bAG zZ*CUQdaJMoj^a37s0dD_3SV1cXt_2F2BV@^h7v~#P7iTNt%x`PrN4)(L1SmPI67eH z>EQ;$F#581e!V#Ga5DnH%JCW6M_|yq@~go>6>()%5#|r2FcuUd-Ax2|!RKp5J1M~I z%3B4}TnKF<)S=|t7Trw*J-RJEJ(~!Fb)#4A>c^3mpE$IJa=P2QU?OLMs;Jwq(5FL1 zsAo5cot(i9VEI*nvu`^zZUA$} z{%wcRH-LK}A#I0FBoH*c$KDzYLn5p|4@SZ@6GrOjdLSVUg~={W439)tX$#8%_DT6hg z)c~#6kUCY)+}|0Ez6kcXe{n|Ba{qMX2DH^{?!UXO-Z6aDyJb4?{zu&P|?RF(MBDxq~($AF?^|!VX z-Px>9q58TmcQ`c;YBS z!ooq_4MG?ahw`o~b$M7Nv+!`x+UAbo^w1NB@(&}C#pvtYqk7DSxKTQjF+Qnp`=+~J z&*?hHddL|()+4_fop+Km_HSE{zBcHAM8{YUNT{Fc{fHLp~}E z9M*7vl(*l^Uo9L!I!1o1LV7tZiYL_gN)8w8? z66&C?=~-QL`=`cZ(SY3FKaIXPcHXvgOL7_NjUsP5tdTzWwny)lJ8#=LAI~;EXQYD_PG9UWeb}ptV+ugUl5ZQhLcqcP#uyWR`uhlo@`Mv)QnVO#OeIUz5__6~EI!=Nb>OxV> z{z-}ggcu7^)Pa7A`ejwj5Q;ifQbIafWB?6WA*CxMBq;$xjD<+)2b`21*ZJOzI;S_! zm6S&7kWkGD1}GGTig>7(R0Iey7NVk`a4I^wUPW)38x@UKLulqi0~2~eLu<%OuUUaY zgoSA6XPt(Qsn<}=vKoV$Nda}*XeESXPBI`NCnUrxLP#jw4qsTz;A zUP3ab7?h9`5=yIE7*HA~LnQQeC!r_Q*Gq?kgpkas1|sBygi;U7fYLY_BBA#?2_0K6 zp~IqSgJyIY7@$H=XlMf)sc8cW5eAZX`27o1Wt)X89Ky1Y#V4JH7T0U2fg75?9#+Td zD6Y8p<`E)#qQ7k-1V(##6&p!Zpb%jpqWY{8)p5C~s_o^wwPjO|Mdyol%vEz4{!ce| zj5g2%OD85h078s~=;13)569Q*;l;sv$QME#K?e#2xZbnBanyk#oYzPm!lTkO3Q@#2 zogz-CSH$jKia5~aB1R?&B=972$H;>lxrn?Z2~dcz5J}`K44+uv5^1p9-{6*rtiv_B z<^B+it5G~mh>6?2hV3LEP>8S)0sXV{DJRtn=ndUIMaSUuFJ19GD+tA$VK72X)Jkbs z&w$c68K9tpr#l7p80Wo5_0iF8brsMY)=P+h#cS0N2%={B8Bhv&|%^02}FXD$>Lz zMI25iqq;n#Y=|p6uf)o=Bpr%SY7C7zurBXAdHcbY7kI}un=A3Up;tYy)N!+ zrVHc|s|-|dj=A?t(&VirFDU~QA}mB1`9}**uUE$Yp_Ea&j8RA>^s$b-h+fN@445<&M!D6Ek z2Vywa+&)4<9K7|O!~qB~79x%p_7lgj&O^m9GJT*2JDU!zppOl}lAH%9L|BME?(V0L z2c0XBfBHXGaUEAQ(V>s{Rw-(5&r&=FC?$E12tjo08lsLDIdv?pZMVk4n=hL?5r2BM0K!tFaCQLGVcaLXQDr;kJ@MJRng~h(KQJ1oGtEf#~yb8iu_4 zJ2$fmWnd(9rpMsVP@@Bs za{&dd#qLeFOp*x44OaL!-C)YSZYwbcihB(nBwctgqN5O9e5#)=?wwzMQMe0a;NCLv5Fn9E z!rg2qcL53!79xw!SXor-kryqVZ$E(m+`Jrr+lV8Ra3b4D5TFoYne6M3l$i8M5->Uq z?nQO!G<lL4RK@=k{~=FQBi@U9EEgmK`hJ1A*vqY?hX&pqh+a| zfo|NuGa_8g-h==Ga{iP8hg5nYr@dlYD$!Ovy1BfpNkW7JwN0l5`4#!;0 zQZ^E=pb%jpyykBh`;o}L|Fhf-}02r-t)&QJ3PAnj0}C>p zu$T%lAjDWEI}c*?3Rj5Fsvm+K>&xiQT1uhtdUMs#n@LzgUaE;fA;L1*cMzqw_4CW) z{VAQQW0~wch|~Nv_a1X{s+>-DG^lsha|(wyn9GLWR?4ACJy1WV@ZHPoX&LC!S$TN;mzi<;g?DDsSY-vG)@*s$`Ois zOVYLu-fin($|!F)YB^m1bufy!MS>b?p%O@Sup$x@hbUx^RR}v!(emPvse@qz;gGF$ zFihRbwl`Shk=Gm9POT?Uh_DdmUTvA4L_CUvon; z&yt{qoH7ZidQe1SVhXK8Qer&6bEy*B>fvXsglK6|?XU4aUI5htinvXJ8fxKQl0p=b zm>8F?3c=yjDJ1_CvmcnDm4U1#{EruaLQur*64X!&mv}rGsTu->2xGKH6#}Yt3VEOP zA;<_>@pcM7u66}0-G=(1J2-r{1U2~O>?f0vI0c0W3*q#G{WvvGO?dJdL@5;BVJ;ea zq11glCsAsUX_5?~^g}~XI@Q^^uP>!gxYb-O^g?MGurZ)CPKHqW;eM1F&r2yal)Cu} z^_;@tbIdivFE0HU@=`Gcg$N7b^kbG&nz|GztxZo}yk<={K9jA^rm~IM__QAmho$G5 z>w-a8dpvoGHBgAK5Y|3EkhQ5U)?n#*=DJ`I){Z4Fu?7ke7Q$M`A}`glb`oW6s#??_ z>G|feAP~}0cf)|vI2l4(zIw+~@(Ddv(v~P`E5|!LBs9IiTox1-Crf3`Ak!pSASpXj zUDfpxKW#lEJ;VzoXuY-o#t~4&of6bg3zxWrjHD1yh_Dca9JC7IAq0=9Sk$2LI078D zC8)tKk1*VbM&eXqX&4ts%KkcMgG1TznH<2s=0m~*XX zX@liG)d}BGg`}MlwoAYu@Ei$=G|-5(5YoP9Nz3GnJn-uzZCXg1k>Q0YPZ}i6N>GD9 zNK0KFMIn3 zO-EN$#yn~*2EhaDBp;OIr>s^AaKQc*LY zG){(ac3MBq@}hR>MAbt=(~HezK_RrIHlzWiaS|jK`@KmY=hKYDoI-l*!EY?o)op6Zx; zYW}1O*J^9GCmQBfR;Yujd(5RlBPV`-aaEPZplf1+mC`4EYZG!@C&Dzgj7-i zA;tnYedO7W({uA0waV#5m6AGLDX8_NLgEja>xSU7B>WJ0shI={5eAa4^81r!ViCp= zoJ(&#_{erg>UlY-%j6HN>!<~FLBFrm=@siN97LqIElDRZe)q#{BvFAvgcV52 zF-ZRhe=J1h(juxCJ5l-fbE~5IMCQ$Ws8l~EpnvL$N4yBYzS;Zk-@U);vbpn1+ z?$@~~fBz`1z$U58qtY}gko2eyt+J0OmxoYgdI>f0V11z{=dnD5Xbn_8$ac~fC`4F+ zq#T3vyZy27VlFLS>}UIVu}>?hhfrU$FTHnm$Nt%!w?ufep0y}?`w@={5n3!zOZqd& zG)WdndQ|K5_jCR9_eY1L{&w7S|9<%*`ArYZ?z?OE-UlW5oY{SQm#@-~1ER548#vJ) zNTrsW0i|&gBwy?IE>M+ie4ayCeBSH(Y3`2?N6lqd4N@H{dyU712n81SBu{6MX_Aaq z-Dehn>V~Ld2g(h3{is0_=>d{+d`WHwnI_2)xxKNUll#2|Ah%(fbOZHHN(!&yx+yEiQ3MAzqq(9^jMwh{*rOWWnBS>zuSN7_m_8ccca6j&0AwrA=Y{}ml zWSS%kBt5Ei0(@6L0e*fVH0Q#r*KPs!94tX-KVdLMguwM#@{;gCA;LiN5B>fHs%ec^ByUhiQ#l=hPz8zNK)MqgkfDGwAPEJS(l?Wepi9%;&xabg)G?pXsP zzn?OIBh4g714vXdM2;WmC&xcO0_E8G*aE@5&VY;>LT}B(x+ffJMbn#Lq}jLoJzv zRb(WEfI@^tE95|pk2-I=G<@7Yn{M2aX__1{_ZPpDPcI)UKBiNoHjicbT(_}o$F_a@ z9@=~Ve)*e!{yvtyOdh}cmQ^OVubS1Fl!)X%8dwleCgEE0l4w97!a_vzh;u6!RXOk0?swRy&aIC8QN?mB?XAMf|i97$V{!35>c)PlMuN@UnEI?D9)%xs;|`hJOtp4IMn5k>4T)tEZYLwj3KSwNlYIxt z>ezmb?zhdItmdGaL_Gh=z=g0f3C|)gi3t=UER%f)iD^kcG5yM1h{+vu4zz@Je!-xH zt}+QPBrjef4uhr05mdU<@Nee)zNKf>kC<_5cBb%l;zZ;(S{nS|u93@DA0AUQ}^`KoiP>W8u3Jzu)g z^Nd7Pi0BOlGz6AOaH|6)XJwFSk_5>?q8fLNtPAT!^&TZVdTg{9OgNC$)Pg=w&NdQN zp`te$z))BwA*srM(l`l{gH$!+RJFQZRr6K_=1LP)jc61e9lgomhQ=}pbXro=3J_u} zlbr|YYLnB|SiP?1tIb||t5FFHA^noU4w0QJfkj!8umB;(K=S4O00pYDO|f+d%UHwn z>{!DJ`NMe<)=6kdIFvIF+yDYstrw(^mC?wXC8(hvW~wC3C?YX2NUCNER3*=9=1!}b z3*?VX16eSd;i~n5R5NJgEfUnw&zOXy8AT)}21(USfvV(L&1|!pSuKBL&A0`l8LnC{ zNHv2-{)+^)w@6|_Leh*P5)*@@YNkL{@~mcdSk15i@1%qUqZzJRFGw}>7Rh_71U2-7 zwhoYyy7izCVFi+M4AQUg$3inME$x{-Rx?#UQ@5;F^jv|y-Fj^(OU&^lVvxMXAD}>0wyok`Z56kmD$L0k zkLDD|Jy)CcDC}(>6C%{d@2+DbRiU5|VIhk9(SC~i=xC}?nXx`;>c-GET9oAcJOl*w zs|G^ErehLGUM*ZF^`6&(o>v?Sdpq0SP#?cbE=>`M zi9zxje*n_1X(F*z+)q2jjpvVNs)`GDX_I|zVzP-#8%4JhLH(MCfavWK7@AM5G|-4O zki6C(q(D`+t-yZ43GAX=VAaPohq+7Rp3jqDT^b5|hXgg$NB`kAGEyrI6e27{alc_J z(dIqTz8-B-K47jBK?lr_sr)W>APqge(;$e}XuGBtCJZQzlLe9TW#&t7Pb8M7497QJpJ-thU8d@t*N&ZV=X&4tsdQ^wj*N6scJN4xy z31AHJo~KUj~%M$pT4_YMs13Vcpl9G|1$|`bO?+6b*j#q#u<4MzmI- zlH3=NL|B2O9D{UkeXXf)@Q=iORrM9U|Q_V3FUQKt}Q%pb%jo`8mITfvRldJ5D2Cd`HLEd8^F* z$zt=$%z|4ACeCRfwf6&pH)L3Vl%%JyG>k)}_h+u!n#fy4Rq35VlBciw&9y}P$Pv~u}!|3npreqDkZ>?KdHXvD@LjQw3d#!e_1%ak%G`jELY z=!3H3$xDR{6e28yvV5gL|K09tAv?)ZR(!G(l77Qn76hhpW&nvwhLHB1e&x)NHc>XH zAnC*A!XOaRQs3Et(l{AH+IJmklby}r?&_>4W-#;-b49SXT*9g3CEo}N5f;GMqbqGO zJ4a5&BLijZ@SVTmn@i~FqXt2=cD{t9F9S;BWQe|2TYWK^hDm56)mQa#0Z+-xr{;M& zpJr?GNAubfdit2b53OA&;S}w3(?n@)fdzLn6WXuz6Q(&I#lx;(bLBbf@lqW zCI4kWX`Bqv*F{IPzR=Te8vM}OMG}&}3@DA0A^MuM`r?%!W;71(zxsU$fxlH|k%iQc zqnK@mo_@>Vht{SfBz+lB8Ye^aHD&cRDW{s2FucA7)Q?T{HH!Hf=;;#%KeUFvT$?|& zzYH=>k|FxKxT>$UawgSR%?l=OHmm=~e*b5I3JgI#Y_LOYl%Vv4#DLN`86vO^R$!EE z1a_$eM)gi8pR5*RN>KDk32F~Z0?N{OyCM=3hfsD!Kgv!jDO+9+-99YW^xNjTAdpj? zNnUc~pb%lme}~_nG(`vFNu-;QxAowo*ILpTHP0kyIXlykmTlUyCA;#b8*UKyT@nak zzav2nGS8OaPL&8$SQ^IoJSC8HZvvVMl@UJt-;jqN>GDEc2D!x z6p@%XgtfsK<=9QmjhLHZyK!?b1T%RQ`2@4b=EJRp8Z--E- zj?2FKH&0Gyj!#qiG^F-N23%yAq-Ow$N`^=;UjfZ`v#Qej!r@tG_Lgs{Je2l747#Y0 z+kX{#$?1VYgoP;Yt3C`gxw*aUgcFJrw2IXdX$_M&;>Lmt2@frD+tRu#fg@w;gGf=Yg{FL}B`FF!-Xv zq&$!qg@q{Z<4$>(mPGbb@}*7$^`{0v#I{^Q5|{y{aWX_;zwZQgY2G$FM^3du!e|91 zpW$irA)ZmZwSu6&XaGcP0!#jEDs?*zGEI^ospuA{N*;4AT+3G`eB~JlcvKlu|1F8Lm1T|z>fRvnu!qPAfm)_IzW)e=r zO7C-4diqY{f}M_EZH;$rmz5Pd`%8l=8eAt~4S7j-pb%joNy`T-P?a<;&mk->uVY<2 zGufrPhGHcYQ5jw>^7&@@7TL%&$fGZZfr|0a=o7_2$KgTsKIh^ z4T)KWrC}V+Y_U*WAhSvv2D?fl6ScT<$1j1x#E!>?K3qja~?f}vivp4`-TKH7~d>`r?rV?K!~vd zNjV7V34btHrj~`}3Cr>($-7d5vOHO`>}Z{us1#>1Ix1gRo;jBuMwy1$MNoeNc8Hd5JPm zh_DdKIu^LA^^7ywcn4>2^jGGp;174Yl)S_lC`4EYXPa#?qqxM34&8d&W*tU=$;ZsK z!!nC$UQ1qL78D{ZgxQW|@L-jp1Z}6(d=I17D=&TKwl$x66#c>rdiraFAX-CTJc&#C z0)!X~(bx6XbIh5(CYw#pjn)@>`WpiwT0>vgkeB=yC`4F@zHXQwec1)S^D%Sh2>o-B z{NpP5hUSwOtuOTSKMjIt4F|oLyreHsh_DcS-EQ?o(Porf>&H{*6OK<$NKbxdLb~!( z6TUAGPk(E!3=ZM#6!H>ppb%jpygk?Pc6t6By(e$u72e?K@646KA!F`o+{}Q|I2pp* zE?eF<$f z!68pSQvGH?X`C#O^r#-^kHq5$S2E;r#LF#jSI8fDYNX}uR9C;r(wqYSO{?)s|5BUjt)U5aS-*t&80yi#HIF$0i|&=gt)i&D{-z}IVF#0tGvO}znJTS z!^E2bBq|xg+pqQGZ3&f}<1HUIQ9lVy|HoV!6hd3-e;ZI5Cqrm^M?cz5E@@-5OId@Z z|7)%a24U?a@>1;v3K15<+PnI(c9OC-V@ZRg|7R`>0%^=FBQKE#3K14U+IuZ&l$WPV z(6;0dGjGlO6-fM7bJ-9Ksb`ayNCkxm3nBG`{YW*hNBHT}9dE2nm9wc0r*QZ^bJg(6 zpy*lTB~C#h!a_Lxh~xB%{Mj8l=vxdeNe}ljgy9t)|IJ)E9B+_tA$f^cP>3*)yw2}m zpeoxuYj6n5vxe{5vbtXWz#}?!z@wRK)_fhc=5saN%QoDz-j2S7fx^BoK@Ig?At5PF z5s8UGQWZzqp(?Ra+_xWR6~|j|c#{T-o0Fv>BNcarxgAxUC=4H280w=vl7>$TNFowf z$i9OVcaBrsro8T|HZljaN6hP*rrr_`TZUAZWPV}tA`w&;yMm;0TA(U<){Ert1#Qkb zrPFY&gwcBuJI8R+O(xX2glvp{j-ji!xM#f`??q5p>nQohn^PZCsBR-8wUj(8O``%y zIRNR~{ee)NOK&~+?dxp4HCMByWkpa?)p^^kx^&y-<>~Tw&FiiF=?r>mxv{GJ&Yji@ zRFb~D9-2l4l5&7X`uZ@0V}n0*()X>8PJOu}&WpUnb+t~G@Hj6oq=uVwg)7M`N2T$< z)PI7mvjSDwvhM3k&V5}y*A=FH>0>@BOz*x#Pc1ijBL@MkxhnJvY$tu?s5JhU#)v~w zV%`o_LtoCe9{j6iwt7T_*Gtd_*^#@Zr}JuV;%1J7Qz4C4*C$BI%Ta0kZ;0~FvdUwJ zwuEO&aE<20u1O6e2$F1VQj5Q6oyvA@Em-7t>9uo@NK^!p>X8dnB@dsmoFj|R$g0di z(X0fR`_sjCWkuXUZj~+{%HS&q!Sb$Jz8i zTEU5fX`L?l-W=~#fUC(!TzgoWMg@{`0MZxv1HttSY2kXEt>9=3-z-779%eyE#-1I| zoJkL&JWF|QEtPz4j`L^9#ws!r&mNYhQGui!fb^I@5Ij$l7M?G*Jl`sL49hCd<_W`q z1tANTIgcJfS(cLAdXnUObBy018%}qLWyPgoTp%g?lb-a)fn`SQx>$ZTc@mW6sp>L; zc0tHuiO6C_$bxE4rpHmLr7*XiEcxCX?az~qm1HEUJuFS50!cXlX;&Re4AojmcPu;i zT=~QEB`DQnCDoMz&1U6^q4V0DMvtH*OIdE6A^F}M;cI2XJ>^LxD=rP=5RyM(y*93# zYFkO}_@u-U*83FS(mJyQ*2$1QlN+5+(kqc^lnRmFC$01tv+6zaamP)!97!Eeae1v} zC9Y0~#VB9WUWrVjREYLEzRjy6_3HMH;E{Uqc&)QaRGkX(`d=U~DX&DPQHth`YoAI? zx~^6ADeLuUYEj|dC4uqYBUpLj?^;ihTlD5s$Y9OUWF+NzSeiy4d7|IBKvlMB&mT{| zbkP6RDvzi&4RMdPEOCrF-Y!9jE8kGgmv+0@mp3VEt#kZ= zC2D2z4?|dqHUS~pK=O2dlmb=RwzM5(X=4QOITDn%({YV%)fBfTz{CX0aA=XsgfI8_ zU3L(2JknfC+&MfV{{cyvisr09Rkkd59q+%;UweTB<*xHRWxtdnB(+w!gSvklf$aGT zHd9-~fzte+0!cXp=~wzgL7Gc%J^0wMw!rClu#z^K*W}#1T{HPRboQjoxLiI~dh-c^3dfArKk*Wa~7PHP1! zNna&0jZy`YvUekWjcoF_tUai+y$@ue`ihsAxUSZDC3H?|^uL@-OY$m_X_P9El)Xu7 zLB@T#w79R6t^1m5X;Q zb3HTMNn#chA`B!IUD9^4kV}g*UeS-)D+7w`c2-o#|C2`Jr2Jn_2sC9_%5Td}0n6{l zvCcIuWjisQqtf_akkoFvO;hcwOuytX1V@?Fc zB_`raWE!OkBxP@#w#0XQP_|0^&2_|Y@0jA-UBdhF?&cVAE!wQpIYv_aTlyeQ{_Ir2 z?=lI=1pq>ffh04wumV*{ql8R?jEq0lF_EmQgqPGRL4CV8_T0Nyxwp-?A(i4saK$^c zv}%&;bQ<9foa0I!0`4L#L>KG(H3FVLNEeea-ok4@{6cHIyi2DCuH4OhO&TbXX_N}l zz|~FzPtRY;uKI?(wHm-7&;Yv(&G+tD_P_@LGrwsUjp1DosE zPKwJ>Y5Z@9;(o;Wp=)wKR8`zZ)ej9`d8D(!!WKTt^;hyfOM}tp7|HpHPTW~*q6E;1 zjYr+drInyGPl2Q>suCBdO4_=#H`&IHz8=-UrJq=Cd)(Rw&lPZyEl#15Zf^UV3Z)#m!V&k;bmhI%9N>G}oKvMk^X@{!B z)<6BK^-p)oAGb+p&ke?wYF>|eJLa{F-S(~tC@eA$6lQH zrq-n;L{3Y$%l66SrTVQzrctUbdkxZ0#|P$alN}f-Q2!LZ$dj3&CH|mwnHN?f*81{_9lyK{Dev&4a$4fjFx8g* z266fU+m5(dcHoJFmeXMt-7~C8DQ#_#!+3KH!|D<;Qc3l&G>zJ_;~-W))Q{Ebmm;T4 zS!K%mMC}AwDW#g1OQ?=tcdr6n?rQf=955Ty@WN~_*?qFlYybz=;t-OJRPl6r;Ey1Be$M=`Xf zj!g+l^Hf`Q9YpJI_oKDiu`#r|MQWvzEfya(tV%&`U0GhXV;EN5!da=XmdG?pwPmkC ztadDlS1qh&SgBfAd-rRkl(x2%*X#IYSm{ji5~n3HjZ$sdYY?ZOwk37QVWs+VDrK~F zRe8ORUj`sjIW0kHo@&dkgE;*I$LY0sU$r`{)To?F8Ex?;Xm5_+wrmhmIR%6mYs=0A ztSw<139$zxxU{@$@#nUj(v{&4+MRjhQ1faI&D=h539YBgHG6Y%YRd*8NeB>PtSvhe zu(pJuCG>{5mQeiSJmP>_*KmWmIW;lc!`*9YEGRAwN{GEB{Yv-NIX#MdhRecCnqGti`A(ql!Maz-?r>Z zz}gb(B=pE3mC$&6TSz=m>lxf(Zca{AWJy8_Nle_9oe5Z5LY;&jwGx{5ifjZD5)agJ za^Wt$oM=ub2{}9>|7pwq1gtHgPD0N#v+Om-(q>#kKAW6X5 z66z%Mn3d4H4-1VzLL!ycP26E_PEKt}U&?ms)Pq8VwPjxd)|OBwp}(0o361L*lNo^= zfrP{ZwVufx=H}!?MV2I_ki^7o*_nW~CDcjiZ>@ypv?7}$35f@4@u0+;lM~J9Bq4<) zCT`2l1gtHgPC|cYB{Zka={b^+@j%?ClM|i#Bq894wYD69fVCymN$BsLgs#gUd(P>& z&>TtVD3Q(4+@ZuLNqC$jc;LZ|h}0GWgcv(pc3$icK>App<|(V2mb>-fw{LI~x-yp# zPb+y?K=ZUEVTcv&ig!3`6Nl=>PSI2A7`YK|PHP1csjaQJG>k*^^`Ncg*ddePx<78w zL8cOG4vIU2?_*76tFx)G$+Z`ct-WM=Y~9+6SLdkYq^FRV=qr(FlnSBm<^AY;QkA~W!Y2i|b)>1saloF#d$y7RL+S1nh=0S%fvGC4b$ZBlR7hW2;bI zl;4YMNtcq`a!sN9)|>!1$H{Cb(sNWA{~JPj$2;qpoJzi)T9oD`O7RM}AoY6vM7=4e zT%4m2+R{=3z!7Uh(+2cPTUmNFhqAh?O5}K1F!PFa(HG@sU-Fm!Wr_bx!c!!qVFC|I zR79^IgfY^8;8OYP8=oh=_28o&Psq-aJbuWd0T?`Karwo9<@%{(N0R)pFbIS+*FsAE zv%sZUB80T>xYp2yyx3JqyTg!nK#Smjc;N32l=MF+_w|s!w^vI1QVA6MOhT$Y0U^f5 zWoPaFlh*DeqYG~IZR^2re9_UrJf|N&yh6e{36$CDOU%`Ed}hqck!4HRvG_9CT@8k5 z1*fj7A`%k^u=+^Hmo8zH-lekoDlNdJL(b0Io~<08o>-MnS~-wyx^YXUY5AL&eyQA~ zBfoR+O8(0Y6leyWJc+#I{6QhYKysPipY&3nru4h?)`O3%vt8i@s}po_z+XKPn&FNz z2}vh_5Mv=axxAlFerTXS5$7>44h3Pn!eD`DxIOB%Bosi1v7v>+4qEZ#4UDRb`O$&W z(OPL<^kbb2NTDUYiKHJ8iLnsHGgvJ%t_d?QV!2PSxZmSJKW4uK`Yve< z$%7IV1+;R%k&DGIS6S`53|fQKES6@vE|5qANezNihg8vsjYCMyzrvf1v_q=JRIeX~ z(Tkgwk~$g+OT##X)wemneooG6CMTj$t&`A_u!JY4ii%{n`>+ zMkz@=W7m54W*wj^TE=+e5%^|!UT^LS4J6(TAW_K>-cK2t_njl)9iBIsdqV?UZF+ac zfYLY_!uzHDc)z8qyt|(72#AO1jpoJ>0hha!yyWOXA;LiND!)Hzo=jlW-7dZL;Mdmo zBmUNU;wMMIJWM~0i}8jEifc^FD=ZD;5av4`c2}$T?)L*+k?&-_w(SOy)*v|%YY~Y_ zhY)+EE7E7?&G9O+YeR~3k7oI5bL9-=FbwD3=OI6pSx|^Dn7YI7PkMt-p<21|a< zdTXFR-~T8l8>g;0ElHoLWLM(@{tbaejGn4N?}ZXLd1`qnDlsug4x;qOETzk22Z}h< zLSXj_xY=^;bX7Smwhv@FE?Q(&69=*#dv-F}ao_BH_wU|c{j=GYU*2^8e);8156ten VYxmv Date: Thu, 12 Oct 2017 22:32:32 -0400 Subject: [PATCH 18/20] Delete .alu.v.un~ --- .alu.v.un~ | Bin 4564 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100644 .alu.v.un~ diff --git a/.alu.v.un~ b/.alu.v.un~ deleted file mode 100644 index e2cfd9f72ccce62bfedd7226e5d6db585e47af96..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4564 zcmWH`%$*;a=aT=FfhqN?8AA#C*5B{G?N;ja-sRwBuq8(``}5X06C_=9iysLwFffDy zae)F7NX#j9E6vGq$}CZcPs%LOC`c?S$xO@%N-ZwUDT&UE)lo?HFNHAj3sQ>`^HLna zqG_3Vi8)RX1)g~jIzKlTC?9PA)Kr|BlbT!-ZD?f>t7#3i(*lTPfS3`8Ie{1iKr{?9 zMBaa}fdwSX#1MZ0Bnt#g5DH9!q*P%1|3CmX3MMd0Q#k~H(a`~p4hDv=5jUPS&Q4;3xx$GXpUUfTB+i#u<$=SV+){21Z#Aa+K);Ez$>K zSd^J0IQqFLXcR$mHYk&#=5=tk%_(uqNle#)#;hhRYC%!Sg5+>eG=p*@IERi#EmFwP zgb9q=N#Lku#GV`Z^!2giM^GfOBDn_?fultzQh?Bw35>J_$oY|&A`}!wY)H-mMV|o> z3xLE%^BE`R);BPH~N>FfX3B-W6 zmOvb6YYD6X*jfV9xLQll+7uM^>_7|ypkx7e^k`Cm1q+!p#YrIsJt-inRjMTcP?U2Z zc@UHw%z+r($Qn%oNFhTGlb9s17P&Mq1KI-W$G}PheNIkJlr|Npw}jfJ0*Mqu+Enni z6et#9T_BLVK`{*L9*vgqpb()1fI<@1$bp9{Pz+SYgF2v~j^=vgSOsM$OCW~DD&2d> w(DEKRql4TDN+NI%jAnLFkWc`KPZms&CN_Gq$Uqu1QNUjIQ)jTmwDI{W0GKUVTmS$7 From 895059c466060feafc0633bf08d7fb8066a5bb78 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 22:33:42 -0400 Subject: [PATCH 19/20] Delete alu.t.v~ --- alu.t.v~ | 103 ------------------------------------------------------- 1 file changed, 103 deletions(-) delete mode 100644 alu.t.v~ diff --git a/alu.t.v~ b/alu.t.v~ deleted file mode 100644 index aad20ab..0000000 --- a/alu.t.v~ +++ /dev/null @@ -1,103 +0,0 @@ -// 32-bit alu testbench - -`timescale 1 ns / 1 ps -`include "alu.v" - -`define ADD 3'd0 -`define SUB 3'd1 -`define XOR 3'd2 -`define SLT 3'd3 -`define AND 3'd4 -`define NAND 3'd5 -`define NOR 3'd6 -`define OR 3'd7 - -module testALU(); - - reg signed [31:0] operandA; - reg signed [31:0] operandB; - reg[2:0] command; - - wire signed [31:0] result; - wire carryout, zero, overflow; - - ALU alu(result, carryout, zero, overflow, operandA, operandB, command); - - initial begin - - $display(" operandA | operandB | cmd | result | eResult | cOut | eCOut | Overflow | eOverflow | Zero | eZero"); - $display(); - $display("ADD COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - // 2 + 3 = 5 - operandA=32'd2;operandB=32'd3;command=`ADD; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // -30 + 50 = 20 - operandA=-32'd30;operandB=32'd50;command=`ADD; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000010100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // 2 + (-5) = -3 - operandA=32'd2;operandB=-32'd5;command=`ADD; - #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // -200 + 200 = 0 - operandA=-32'd200;operandB=32'd200;command=`ADD; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); - // -2 + (-2) = -4 - operandA=-32'd2;operandB=-32'd2;command=`ADD; - #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111100 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - - $display("SUB COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - // 5 - 3 = 2 - operandA=32'd5;operandB=32'd3;command=`SUB; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // -30 - 50 = -80 - operandA=-32'd30;operandB=32'd50;command=`SUB; - #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111110110000 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // 2 - (-5) = 7 - operandA=32'd2;operandB=-32'd5;command=`SUB; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000111 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // -200 - (-200) = 0 - operandA=-32'd200;operandB=-32'd200;command=`SUB; - #10000; - $display("| %b | %b | AND | %b | 00000000000000000000000000000000 | %b | 1 | %b | 0 | %b | 1 |", operandA, operandB, result, carryout, overflow, zero); - // 2 - 5 = -3 - operandA=32'd2;operandB=32'd5;command=`SUB; - #10000; - $display("| %b | %b | AND | %b | 11111111111111111111111111111101 | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - - $display("XOR COMMAND -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - // - operandA=32'b00101110000110101010101010101101;operandB=32'b10101010100001001001110100010111;command=`XOR; - #10000; - $display("| %b | %b | AND | %b | 10000100100111100011011110111010 | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | AND | %b | --- | %b | 1 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - // - operandA=32'd0;operandB=32'd0;command=`XOR; - #10000; - $display("| %b | %b | AND | %b | --- | %b | 0 | %b | 0 | %b | 0 |", operandA, operandB, result, carryout, overflow, zero); - $display("-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------"); - - // $dumpflush; - end - -endmodule - From 3f4348d3805d813e8a3a9ffdc516fd88610d2717 Mon Sep 17 00:00:00 2001 From: Christopher Aring Date: Thu, 12 Oct 2017 22:33:58 -0400 Subject: [PATCH 20/20] Delete alu.v~ --- alu.v~ | 85 ---------------------------------------------------------- 1 file changed, 85 deletions(-) delete mode 100644 alu.v~ diff --git a/alu.v~ b/alu.v~ deleted file mode 100644 index 76207a7..0000000 --- a/alu.v~ +++ /dev/null @@ -1,85 +0,0 @@ -`include "adder.v" -`include "lut.v" -`include "aluFullBit.v" - -`define AND and #30 -`define OR or #30 -`define NOT not #10 -`define XOR xor #50 -`define NAND nand #20 -`define NOR nor #20 - - -module ALU -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB, -input[2:0] command -); - -wire [31:0] operandA, operandB, finalB, partialResult, result, cIn, cOut; -wire [2:0] command; - -//wire[1:0] tmp_s; -wire [1:0] select; -/*`OR(tmp_s[0], command[0], command[1]); -`NOT(tmp_s[1], command[1]); -`NAND(select[0], command[2], tmp_s[0]); -`NAND(select[1], command[2], tmp_s[1]); -*/ - -wire sltFlag; -wire aLessB; -wire nCommand; - -ALULut _lut(select[1:0], invert, carry, command[2:0]); - -`XOR (finalB[0], operandB[0], invert); -`AND (cIn[0], carry, command[0]); - -`NOT (nCommand, command[0]); -`NOR (sltFlag, command[2], command[1], nCommand); -//`AND (result[0], partialResult[0], sltFlag, aLessB); - -aluFullBit _bit(partialResult[0], cOut[0], operandA[0], finalB[0], cIn[0], command[0], select[1:0]); - -genvar i; - generate - for (i=1; i < 32; i=i+1) begin : aluBits - `XOR (finalB[i], operandB[i], invert); - `AND (cIn[i], carry, cOut[i-1]); - `AND (result[i], partialResult[i], sltFlag); - aluFullBit _bit(partialResult[i], cOut[i], operandA[i], finalB[i], cIn[i], command[0], select[1:0]); - end - endgenerate - -wire partialOverflow; -`XOR (partialOverflow, cOut[30], cOut[31]); - -wire [1:0] nSelect; - -and #40 (carryout, cOut[31], select[0], select[1]); -and #40 (overflow, partialOverflow, select[0], select[1]); - - -wire [30:0] zeroFlags; -`OR (zeroFlags[0], result[0], result[1]); - -generate - for (i=0; i < 30; i=i+1) begin : zeroFlag - `OR (zeroFlags[i+1], result[i+1], zeroFlags[i]); - end -endgenerate - -wire zeroPartial; -`NOT (zeroPartial, zeroFlags[30]); -and #40 (zero, zeroPartial, select[0], select[1]); - -//`XOR (aLessB, partialOverflow, result[31]); - - -endmodule