From 3849e637042b9c7a284575bfb54fe87f1d9883f1 Mon Sep 17 00:00:00 2001 From: prava-d Date: Wed, 4 Oct 2017 17:08:28 -0400 Subject: [PATCH 01/26] work plan submitted --- work_plan.txt | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 work_plan.txt diff --git a/work_plan.txt b/work_plan.txt new file mode 100644 index 0000000..d7f432a --- /dev/null +++ b/work_plan.txt @@ -0,0 +1,23 @@ +Work Plan + +Test cases of ALU and submodules: + 2 hours (including learning how to make code self-check) + Finish 10/6/17 + +Verilog implementation of ALU: + + Simple implementation (non-optimized, seperated operations): + 2.5 hours + Finish 10/8/17 + + Optimized implementation (reducing silicon area/delay): + 2 hours + Finish 10/11/17 (stretch goal) + +Uploading to FPGA (writing wrapper and uploading): + 1 hour + Finish 10/10/17 + +Report: + 2 hours + Finish 10/11/17 \ No newline at end of file From 49731f0b8d156a76ac16efb88b12affbec14dd27 Mon Sep 17 00:00:00 2001 From: Prava Dhulipalla Date: Sat, 7 Oct 2017 18:09:39 -0400 Subject: [PATCH 02/26] working on test bench --- alu.t.v | 66 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++ alu.v | 12 +++++++++++ 2 files changed, 78 insertions(+) create mode 100644 alu.t.v create mode 100644 alu.v diff --git a/alu.t.v b/alu.t.v new file mode 100644 index 0000000..b20700e --- /dev/null +++ b/alu.t.v @@ -0,0 +1,66 @@ +// ALU testbench +`include "alu.v" + +module testalu (); + reg operandA, operandB, command; + wire result, carryout, zero, overflow; + + ALU dut (result, carryout, zero, overflow, operandA, operandB, command); + + initial begin + $dumpfile("alu.vcd"); + + // add test cases + // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 + + + // subtract test cases + // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 + + // Xor test cases + // two inputs the same; two inputs totally different; two inputs with some bits corresponding + operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b010; + if (result !== 00000000000000000000000000000000) $display("Xor test case 1 (inputs same) failed"); + operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b010; + if (result !== 11111111111111111111111111111111) $display("Xor test case 2 (inputs different) failed"); + operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b010; + if (result !== 1111000011110000111100001111000) $display("Xor test case 3 (inputs somewhat corresponding) failed"); + + // SLT test cases + // inputs greater than, less than, equal to; for positive, negatives, and combinations of each + + // And test cases + // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding + operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b100; + if (result !== 01010101010101010101010101010101) $display("And test case 1 (inputs same) failed"); + operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b100; + if (result !== 00000000000000000000000000000000) $display("And test case 2 (inputs different) failed"); + operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b100; + if (result !== 00001111000011110000111100001111) $display("And test case 3 (inputs somewhat corresponding) failed"); + + // Nand test cases + // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding + operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b101; + if (result !== 10101010101010101010101010101010) $display("Nand test case 1 (inputs same) failed"); + operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b101; + if (result !== 11111111111111111111111111111111) $display("Nand test case 2 (inputs different) failed"); + operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b101; + if (result !== 11110000111100001111000011110000) $display("Nand test case 3 (inputs somewhat corresponding) failed"); + + // Nor test cases + // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding + operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b110; + if (result !== 10101010101010101010101010101010) $display("Nor test case 1 (inputs same) failed"); + operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b110; + if (result !== 00000000000000000000000000000000) $display("Nor test case 2 (inputs different) failed"); + operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b110; + if (result !== 10100000101000001010000010100000) $display("Nor test case 3 (inputs somewhat corresponding) failed"); + + // Or test cases + // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding + operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b111; + if (result !== 01010101010101010101010101010101) $display("Nor test case 1 (inputs same) failed"); + operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b111; + if (result !== 11111111111111111111111111111111) $display("Nor test case 2 (inputs different) failed"); + operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b111; + if (result !== 01011111010111110101111101011111) $display("Nor test case 3 (inputs somewhat corresponding) failed"); diff --git a/alu.v b/alu.v new file mode 100644 index 0000000..4a226b1 --- /dev/null +++ b/alu.v @@ -0,0 +1,12 @@ +module ALU +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input[2:0] command +); + // Your code here +endmodule From a6b0e86f57ec4d7adb9b6ece1731352d107da3b4 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Mon, 9 Oct 2017 21:38:40 -0400 Subject: [PATCH 03/26] Start modules for add/subtract and or/nor --- alu.v | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) diff --git a/alu.v b/alu.v index 4a226b1..689c0b0 100644 --- a/alu.v +++ b/alu.v @@ -1,3 +1,34 @@ +module AddSub +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); + + +endmodule + + +module NOR +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); + +genvar i; +for (i = 0; i < 32; i = i + 1) begin +nor norgate (result[i], operandA[i], operandB[i]); +end + +endmodule + module ALU ( output[31:0] result, From 249948b99a0b68fa872cd8a249d95c0648dc8252 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Mon, 9 Oct 2017 21:38:58 -0400 Subject: [PATCH 04/26] Create makefile. --- makefile | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 makefile diff --git a/makefile b/makefile new file mode 100644 index 0000000..4127e9f --- /dev/null +++ b/makefile @@ -0,0 +1,2 @@ +alu: alu.v + iverilog -o alu alu.v \ No newline at end of file From dd9201584e8acd56003a1510bfb1673f4ccd028b Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Mon, 9 Oct 2017 21:41:17 -0400 Subject: [PATCH 05/26] add macros for delay. --- alu.v | 22 +++++++++++++++++++++- 1 file changed, 21 insertions(+), 1 deletion(-) diff --git a/alu.v b/alu.v index 689c0b0..3e3d462 100644 --- a/alu.v +++ b/alu.v @@ -1,3 +1,6 @@ +`define NOR nor #10 +`define OR not #20 + module AddSub ( output[31:0] result, @@ -24,7 +27,24 @@ input[31:0] operandB genvar i; for (i = 0; i < 32; i = i + 1) begin -nor norgate (result[i], operandA[i], operandB[i]); + `NOR norgate (result[i], operandA[i], operandB[i]); +end + +endmodule + +module OR +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); + +genvar i; +for (i = 0; i < 32; i = i + 1) begin + `OR orgate(result[i], operandA[i], operandB[i]); end endmodule From c2b0d82c73d48574a19e2949fff15f0e7c2d75ff Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Tue, 10 Oct 2017 15:10:46 -0400 Subject: [PATCH 06/26] Add adder modules. --- alu.v | 54 +++++++++++++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 51 insertions(+), 3 deletions(-) diff --git a/alu.v b/alu.v index 3e3d462..f6d3539 100644 --- a/alu.v +++ b/alu.v @@ -1,5 +1,33 @@ `define NOR nor #10 -`define OR not #20 +`define OR or #20 +`define AND and #20 +`define XOR xor #20 + + +// Implementation of a 1-bit full adder. +module FullAdder1bit +( + output sum, + output carryout, + input a, + input b0, + input carryin, + input subtract +); + wire cout1; + wire cout2; + wire sumAB; + wire b; + + `XOR b0xorsubtract(b, b0, subtract); + `XOR AxorB(sumAB, a, b); + `XOR sumABxorCin(sum, sumAB, carryin); + + `AND AandB(cout1, a, b); + `AND sumABandCin(cout2, sumAB, carryin); + + `OR orcarries(carryout, cout1, cout2); +endmodule module AddSub ( @@ -8,13 +36,33 @@ output carryout, output zero, output overflow, input[31:0] operandA, -input[31:0] operandB +input[31:0] operandB, +input subtract ); - +reg carryoutmid[30:0]; +reg zeromid[30:0]; +FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); +genvar i; +for (i = 1; i < 31; i = i + 1) begin + FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], subtract, carryoutmid[i- 1]); +end +FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryout); + +`XOR overflowdetection(overflow, carryoutmid[30], carryout); + +`NOR zeroinit(zeromid[0], result[0], result[1]); + +genvar j; +for (j = 0; j < 29; j = j + 1) begin + `NOR (zeromid[j + 1], zeromid[j], result[j + 1]); +end + +`NOR (zero, zeromid[30], result[31]); endmodule + module NOR ( output[31:0] result, From 095f6dae359778a1bba04881c2ef796d1983e82f Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Tue, 10 Oct 2017 17:11:14 -0400 Subject: [PATCH 07/26] Finish implementations of adder/subtractor and nor/or. Untested as of yet --- alu.v | 12 +++++++++--- 1 file changed, 9 insertions(+), 3 deletions(-) diff --git a/alu.v b/alu.v index f6d3539..033ba41 100644 --- a/alu.v +++ b/alu.v @@ -40,8 +40,8 @@ input[31:0] operandB, input subtract ); -reg carryoutmid[30:0]; -reg zeromid[30:0]; +wire carryoutmid[30:0]; +wire zeromid[30:0]; FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); genvar i; for (i = 1; i < 31; i = i + 1) begin @@ -62,7 +62,6 @@ end endmodule - module NOR ( output[31:0] result, @@ -78,6 +77,10 @@ for (i = 0; i < 32; i = i + 1) begin `NOR norgate (result[i], operandA[i], operandB[i]); end + +buf setcarryout (carryout, 'b0); +buf setzero (zero, 'b0); +buf setoverflow (overflow, 'b0); endmodule module OR @@ -95,6 +98,9 @@ for (i = 0; i < 32; i = i + 1) begin `OR orgate(result[i], operandA[i], operandB[i]); end +buf setcarryout (carryout, 'b0); +buf setzero (zero, 'b0); +buf setoverflow (overflow, 'b0); endmodule module ALU From 9cc39321ec6333d9c8a95e376f71598c68fd6c01 Mon Sep 17 00:00:00 2001 From: Prava Dhulipalla Date: Tue, 10 Oct 2017 18:06:34 -0400 Subject: [PATCH 08/26] adding multiplexer and control logic --- alu.v | 33 +++++++++++++++++++++++++++++++++ multiplexer.v | 31 +++++++++++++++++++++++++++++++ 2 files changed, 64 insertions(+) create mode 100644 multiplexer.v diff --git a/alu.v b/alu.v index 4a226b1..12d4e86 100644 --- a/alu.v +++ b/alu.v @@ -1,3 +1,36 @@ +// defining command numbers +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +// implementing a control logic LUT to determine ALU operation +module ALUcontrolLUT +( +output reg[2:0] muxindex, +output reg invertB, +output reg othercontrolsignal, +input[2:0] ALUcommand +); + + always @(ALUcommand) begin + case (ALUcommand) + `ADD: begin muxindex = 0; invertB=0; othercontrolsignal = 0; end + `SUB: begin muxindex = 0; invertB=1; othercontrolsignal = 0; end + `XOR: begin muxindex = 1; invertB=0; othercontrolsignal = 0; end + `SLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end + `AND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end + `NAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end + `NOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end + `OR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end + endcase + end +endmodule + module ALU ( output[31:0] result, diff --git a/multiplexer.v b/multiplexer.v new file mode 100644 index 0000000..2ecd73d --- /dev/null +++ b/multiplexer.v @@ -0,0 +1,31 @@ +// define gates with delays +`define AND and #20 +`define OR or #20 +`define NOT not #10 + +module structuralMultiplexer +( + output out, + input address0, address1, + input in0, in1, in2, in3 +); + wire nadd0, nadd1, en0, en1, en2, en3, selen0, selen1, selen2, selen3, out0, out1; + + `NOT add0inv (nadd0, address0); + `NOT add1inv (nadd1, address1); + + `AND nadd0nadd1 (en0, nadd0, nadd1); + `AND add0nadd1 (en1, address0, nadd1); + `AND nadd0add1 (en2, nadd0, address1); + `AND add0nadd1 (en3, address0, address1); + + `AND selector0 (selen0, en0, in0); + `AND selector1 (selen1, en1, in1); + `AND selector2 (selen2, en2, in2); + `AND selector3 (selen3, en3, in3); + + `OR in0orin1 (out0, selen1, selen2); + `OR in2orin3 (out1, selen0, selen3); + + `OR out (out, out0, out1); +endmodule From 7ef4729692e46450323b0a9d548658496defea52 Mon Sep 17 00:00:00 2001 From: Prava Dhulipalla Date: Tue, 10 Oct 2017 18:53:13 -0400 Subject: [PATCH 09/26] started implementing LUTs, needs work on assigning case results --- LUTs.v | 71 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 71 insertions(+) create mode 100644 LUTs.v diff --git a/LUTs.v b/LUTs.v new file mode 100644 index 0000000..c7c8dfc --- /dev/null +++ b/LUTs.v @@ -0,0 +1,71 @@ +// defining command numbers +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +// implementing a control logic LUT to determine ALU operation +module ALUcontrolLUT +( +output reg[2:0] muxindex, +output reg invertB, +output reg othercontrolsignal, +input[2:0] ALUcommand +); + + always @(ALUcommand) begin + case (ALUcommand) + `ADD: begin muxindex = 0; invertB=0; othercontrolsignal = 0; end + `SUB: begin muxindex = 0; invertB=1; othercontrolsignal = 0; end + `XOR: begin muxindex = 1; invertB=0; othercontrolsignal = 0; end + `SLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end + `AND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end + `NAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end + `NOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end + `OR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end + endcase + end +endmodule + +`define ADD/SUB 3'd0 +`define XOR 3'd1 +`define SLT 3'd2 +`define AND/NAND 3'd3 +`define NOR/OR 3'd4 + +module ALUoutputLUT +( +input[2:0] muxindex, +input invertB, +input othercontrolsignal, +output[31:0] result, +output carryout, +output overflow, +output zero +); +wire resAddsub[31:0]; +wire resXor[31:0]; +wire resSlt[31:0]; +wire resAndnand[31:0]; +wire resNoror[31:0]; + +32bit_addsub dut (resAddsub, carryout, zero, overflow, operandA, operandB, invertB); +32bit_xor dut (resXor, carryout, zero, overflow, operandA, operandB); +32bit_slt dut (resSlt, carryout, zero, overflow, operandA, operandB); +32bit_andnand dut (resAndnand, carryout, zero, overflow, operandA, operandB, othercontrolsignal); +32bit_andnand dut (resNoror, carryout, zero, overflow, operandA, operandB, othercontrolsignal); + + always @(muxindex) begin + case(muxindex) + `ADD/SUB: begin result = resAddsub; end + `XOR: begin result = ; end + `SLT: begin result = ; end + `AND/NAND: begin result = ; end + `NOR/OR: begin result = ; end + endcase + end +endmodule From 6d47c7aa08ce328eb479574214b15de12f79d5df Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Tue, 10 Oct 2017 23:19:22 -0400 Subject: [PATCH 10/26] Modify NOR to perform both nor and or operations. --- alu.v | 30 ++++++++---------------------- 1 file changed, 8 insertions(+), 22 deletions(-) diff --git a/alu.v b/alu.v index 033ba41..6778902 100644 --- a/alu.v +++ b/alu.v @@ -62,40 +62,26 @@ end endmodule -module NOR +module NOROR ( output[31:0] result, output carryout, output zero, output overflow, input[31:0] operandA, -input[31:0] operandB +input[31:0] operandB, +input invertnor ); +wire norres[31:0]; genvar i; for (i = 0; i < 32; i = i + 1) begin - `NOR norgate (result[i], operandA[i], operandB[i]); + `NOR norgate (norres[i], operandA[i], operandB[i]); end - -buf setcarryout (carryout, 'b0); -buf setzero (zero, 'b0); -buf setoverflow (overflow, 'b0); -endmodule - -module OR -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB -); - -genvar i; -for (i = 0; i < 32; i = i + 1) begin - `OR orgate(result[i], operandA[i], operandB[i]); +genvar j; +for (j = 0; j < 32; j = j + 1) begin + `XOR final (result[j], invertnor, norres[j]); end buf setcarryout (carryout, 'b0); From ef7802f24971d5d32fd886d70e97c4f2f653616b Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Wed, 11 Oct 2017 09:27:43 -0400 Subject: [PATCH 11/26] Copy in Prava's modules. --- alu.v | 88 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 88 insertions(+) diff --git a/alu.v b/alu.v index a37a798..613ef5e 100644 --- a/alu.v +++ b/alu.v @@ -61,6 +61,94 @@ end `NOR (zero, zeromid[30], result[31]); endmodule +module alu32bitxor +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); + +genvar i; +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple + `XOR xorgate (result[i], operandA[i], operandB[i]); + end +endgenerate + +//doesn't need to set a flag +assign carryout = 0; +assign zero = 0; +assign overflow = 0; + +endmodule + +//the set-less-than command, uses the 32-bit subtractor +module alu32bitslt +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); +wire[31:0] subresult; +wire subcarryout; +wire subzero; +wire suboverflow; + +//set invertB to 1 because subtraction is needed +AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1); + +assign result = 32'b0; + +`XOR final (result[0], subresult[0], suboverflow); + +//doesn't need to set a flag +assign carryout = 0; +assign zero = 0; +assign overflow = 0; + +endmodule + +module alu32bitandn +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input othercontrolsignal +); +wire interresult[31:0]; + +genvar i; +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple0 + `AND andgate (interresult[i], operandA[i], operandB[i]); + end +endgenerate + +genvar j; +generate + for (j = 0; j < 32; j = j + 1) + begin: ripple1 + `XOR final (result[j], othercontrolsignal, interresult[j]); + end +endgenerate + +//doesn't need to set a flag +assign carryout = 0; +assign zero = 0; +assign overflow = 0; + +endmodule module NOROR ( From 62b15fa58a1d4b3104fc3adfc9df891085a83fbd Mon Sep 17 00:00:00 2001 From: Prava Dhulipalla Date: Wed, 11 Oct 2017 09:50:55 -0400 Subject: [PATCH 12/26] everything but the final module done --- alu.v | 50 ++++++++++++++++++++++++++++++++++++++++++++++---- 1 file changed, 46 insertions(+), 4 deletions(-) diff --git a/alu.v b/alu.v index 613ef5e..a7e3f6b 100644 --- a/alu.v +++ b/alu.v @@ -7,10 +7,10 @@ // Implementation of a 1-bit full adder. module FullAdder1bit ( - output sum, + output sum, output carryout, - input a, - input b0, + input a, + input b0, input carryin, input subtract ); @@ -29,7 +29,7 @@ module FullAdder1bit `OR orcarries(carryout, cout1, cout2); endmodule -module AddSub +module AddSub ( output[31:0] result, output carryout, @@ -210,6 +210,48 @@ input[2:0] ALUcommand end endmodule +// defining macros for second LUT +`define ADDSUB 3'd0 +`define XOR 3'd1 +`define SLT 3'd2 +`define ANDNAND 3'd3 +`define NOROR 3'd4 + +// decides which operation to take based on the results of the previous look up table +module ALUoutputLUT +( +input[31:0] operandA, +input[31:0] operandB, +input[2:0] muxindex, +input invertB, +input othercontrolsignal, +output reg[31:0] result +); +wire[31:0] resAddsub; +wire[31:0] resXor; +wire[31:0] resSlt; +wire[31:0] resAndnand; +wire[31:0] resNoror; + + +AddSub dut0 (resAddsub, carryout, zero, overflow, operandA, operandB, invertB); +alu32bitxor dut1 (resXor, carryout, zero, overflow, operandA, operandB); +alu32bitslt dut2 (resSlt, carryout, zero, overflow, operandA, operandB); +alu32bitandn dut3 (resAndnand, carryout, zero, overflow, operandA, operandB, othercontrolsignal); +NOROR dut4 (resNoror, carryout, zero, overflow, operandA, operandB, othercontrolsignal); + + always @(muxindex) begin + case(muxindex) + `ADDSUB: begin result = resAddsub; end + `XOR: begin result = resXor; end + `SLT: begin result = resSlt; end + `ANDNAND: begin result = resAndnand; end + `NOROR: begin result = resNoror; end + endcase + end +endmodule + +//module to run the alu module ALU ( output[31:0] result, From 3a518b084f1c406e1b1b1e31494a7be815d7af4b Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Wed, 11 Oct 2017 10:26:56 -0400 Subject: [PATCH 13/26] Put all modules together and set up testing --- alu | 6022 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ alu.t.v | 13 +- alu.v | 77 +- makefile | 2 +- 4 files changed, 6095 insertions(+), 19 deletions(-) create mode 100755 alu diff --git a/alu b/alu new file mode 100755 index 0000000..2b142bf --- /dev/null +++ b/alu @@ -0,0 +1,6022 @@ +#! /usr/local/bin/vvp +:ivl_version "10.1 (stable)" "(v10_1-81-g95d3579)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision + 0; +:vpi_module "system"; +:vpi_module "vhdl_sys"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x18c4020 .scope module, "ALU" "ALU" 2 275; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 3 "command" +v0x194c3a0_0 .net "carryout", 0 0, v0x194acb0_0; 1 drivers +o0x7f93f4ee9018 .functor BUFZ 3, C4; HiZ drive +v0x194c490_0 .net "command", 2 0, o0x7f93f4ee9018; 0 drivers +v0x194c560_0 .net "invertB", 0 0, v0x17b6f60_0; 1 drivers +v0x194c630_0 .net "muxindex", 2 0, v0x17b8fa0_0; 1 drivers +o0x7f93f4eeeb98 .functor BUFZ 32, C4; HiZ drive +v0x194c6d0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; 0 drivers +o0x7f93f4eeebc8 .functor BUFZ 32, C4; HiZ drive +v0x194c7c0_0 .net "operandB", 31 0, o0x7f93f4eeebc8; 0 drivers +v0x194c880_0 .net "othercontrolsignal", 0 0, v0x17bafe0_0; 1 drivers +v0x194c9b0_0 .net "overflow", 0 0, v0x194b530_0; 1 drivers +v0x194ca50_0 .net "result", 31 0, v0x194be40_0; 1 drivers +v0x194cb80_0 .net "zero", 0 0, v0x194bee0_0; 1 drivers +S_0x190a3b0 .scope module, "controlLookup" "ALUcontrolLUT" 2 290, 2 191 0, S_0x18c4020; + .timescale 0 0; + .port_info 0 /OUTPUT 3 "muxindex" + .port_info 1 /OUTPUT 1 "invertB" + .port_info 2 /OUTPUT 1 "othercontrolsignal" + .port_info 3 /INPUT 3 "ALUcommand" +v0x18ce300_0 .net "ALUcommand", 2 0, o0x7f93f4ee9018; alias, 0 drivers +v0x17b6f60_0 .var "invertB", 0 0; +v0x17b8fa0_0 .var "muxindex", 2 0; +v0x17bafe0_0 .var "othercontrolsignal", 0 0; +E_0x1907540 .event edge, v0x18ce300_0; +S_0x17ef170 .scope module, "outputLookup" "ALUoutputLUT" 2 292, 2 221 0, S_0x18c4020; + .timescale 0 0; + .port_info 0 /INPUT 32 "operandA" + .port_info 1 /INPUT 32 "operandB" + .port_info 2 /INPUT 3 "muxindex" + .port_info 3 /INPUT 1 "invertB" + .port_info 4 /INPUT 1 "othercontrolsignal" + .port_info 5 /OUTPUT 32 "result" + .port_info 6 /OUTPUT 1 "carryout" + .port_info 7 /OUTPUT 1 "zero" + .port_info 8 /OUTPUT 1 "overflow" +v0x194acb0_0 .var "carryout", 0 0; +L_0x7f93f4ea0258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194ad90_0 .net "carryoutAND", 0 0, L_0x7f93f4ea0258; 1 drivers +v0x194ae50_0 .net "carryoutAddSub", 0 0, L_0x198b810; 1 drivers +v0x194aef0_0 .net "carryoutOR", 0 0, L_0x19d4670; 1 drivers +L_0x7f93f4ea0180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194afc0_0 .net "carryoutSLT", 0 0, L_0x7f93f4ea0180; 1 drivers +L_0x7f93f4ea0018 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194b0b0_0 .net "carryoutXor", 0 0, L_0x7f93f4ea0018; 1 drivers +v0x194b180_0 .net "invertB", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x194b220_0 .net "muxindex", 2 0, v0x17b8fa0_0; alias, 1 drivers +v0x194b2c0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x194b3f0_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x194b490_0 .net "othercontrolsignal", 0 0, v0x17bafe0_0; alias, 1 drivers +v0x194b530_0 .var "overflow", 0 0; +L_0x7f93f4ea02e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194b5d0_0 .net "overflowAND", 0 0, L_0x7f93f4ea02e8; 1 drivers +v0x194b6a0_0 .net "overflowAddSub", 0 0, L_0x198b250; 1 drivers +v0x194b770_0 .net "overflowOR", 0 0, L_0x19d48e0; 1 drivers +L_0x7f93f4ea0210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194b840_0 .net "overflowSLT", 0 0, L_0x7f93f4ea0210; 1 drivers +L_0x7f93f4ea00a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194b910_0 .net "overflowXor", 0 0, L_0x7f93f4ea00a8; 1 drivers +v0x194bac0_0 .net "resAddsub", 31 0, L_0x198b970; 1 drivers +v0x194bb60_0 .net "resAndnand", 31 0, L_0x19c9920; 1 drivers +v0x194bc00_0 .net "resNoror", 31 0, L_0x19d38f0; 1 drivers +L_0x7f93f4ea0138 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +RS_0x7f93f4ef6a28 .resolv tri, L_0x7f93f4ea0138, L_0x19aea00; +v0x194bca0_0 .net8 "resSlt", 31 0, RS_0x7f93f4ef6a28; 2 drivers +v0x194bd70_0 .net "resXor", 31 0, L_0x19937c0; 1 drivers +v0x194be40_0 .var "result", 31 0; +v0x194bee0_0 .var "zero", 0 0; +L_0x7f93f4ea02a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194bf80_0 .net "zeroAND", 0 0, L_0x7f93f4ea02a0; 1 drivers +v0x194c050_0 .net "zeroAddSub", 0 0, L_0x1988490; 1 drivers +v0x194c120_0 .net "zeroOR", 0 0, L_0x19d47d0; 1 drivers +L_0x7f93f4ea01c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194c1c0_0 .net "zeroSLT", 0 0, L_0x7f93f4ea01c8; 1 drivers +L_0x7f93f4ea0060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x194c290_0 .net "zeroXor", 0 0, L_0x7f93f4ea0060; 1 drivers +E_0x17bf140 .event edge, v0x17b8fa0_0; +S_0x180cd20 .scope module, "dut0" "AddSub" 2 257, 2 32 0, S_0x17ef170; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 1 "subtract" +L_0x198b250/d .functor XOR 1, L_0x1985950, L_0x198b810, C4<0>, C4<0>; +L_0x198b250 .delay 1 (20,20,20) L_0x198b250/d; +L_0x198c890/d .functor NOR 1, L_0x198c9f0, L_0x19883a0, C4<0>, C4<0>; +L_0x198c890 .delay 1 (10,10,10) L_0x198c890/d; +o0x7f93f4eef228 .functor BUFZ 1, C4; HiZ drive +L_0x1988490/d .functor NOR 1, o0x7f93f4eef228, L_0x198c590, C4<0>, C4<0>; +L_0x1988490 .delay 1 (10,10,10) L_0x1988490/d; +v0x17c3480_0 .net *"_s166", 0 0, L_0x198c9f0; 1 drivers +v0x17c1400_0 .net *"_s168", 0 0, L_0x19883a0; 1 drivers +v0x17af190_0 .net *"_s172", 0 0, L_0x198c590; 1 drivers +v0x17ad160_0 .net "carryout", 0 0, L_0x198b810; alias, 1 drivers +v0x17ab130 .array "carryoutmid", 0 30; +v0x17ab130_0 .net v0x17ab130 0, 0 0, L_0x198ad70; 1 drivers +v0x17ab130_1 .net v0x17ab130 1, 0 0, L_0x1972380; 1 drivers +v0x17ab130_2 .net v0x17ab130 2, 0 0, L_0x1972ed0; 1 drivers +v0x17ab130_3 .net v0x17ab130 3, 0 0, L_0x1973900; 1 drivers +v0x17ab130_4 .net v0x17ab130 4, 0 0, L_0x1974370; 1 drivers +v0x17ab130_5 .net v0x17ab130 5, 0 0, L_0x1974df0; 1 drivers +v0x17ab130_6 .net v0x17ab130 6, 0 0, L_0x1975780; 1 drivers +v0x17ab130_7 .net v0x17ab130 7, 0 0, L_0x19761d0; 1 drivers +v0x17ab130_8 .net v0x17ab130 8, 0 0, L_0x1976c10; 1 drivers +v0x17ab130_9 .net v0x17ab130 9, 0 0, L_0x1976580; 1 drivers +v0x17ab130_10 .net v0x17ab130 10, 0 0, L_0x19782c0; 1 drivers +v0x17ab130_11 .net v0x17ab130 11, 0 0, L_0x1978d30; 1 drivers +v0x17ab130_12 .net v0x17ab130 12, 0 0, L_0x19797b0; 1 drivers +v0x17ab130_13 .net v0x17ab130 13, 0 0, L_0x197a1a0; 1 drivers +v0x17ab130_14 .net v0x17ab130 14, 0 0, L_0x167f9f0; 1 drivers +v0x17ab130_15 .net v0x17ab130 15, 0 0, L_0x197ba20; 1 drivers +v0x17ab130_16 .net v0x17ab130 16, 0 0, L_0x197c490; 1 drivers +v0x17ab130_17 .net v0x17ab130 17, 0 0, L_0x197ced0; 1 drivers +v0x17ab130_18 .net v0x17ab130 18, 0 0, L_0x197d920; 1 drivers +v0x17ab130_19 .net v0x17ab130 19, 0 0, L_0x197e380; 1 drivers +v0x17ab130_20 .net v0x17ab130 20, 0 0, L_0x197edf0; 1 drivers +v0x17ab130_21 .net v0x17ab130 21, 0 0, L_0x197f870; 1 drivers +v0x17ab130_22 .net v0x17ab130 22, 0 0, L_0x1980260; 1 drivers +v0x17ab130_23 .net v0x17ab130 23, 0 0, L_0x1980cb0; 1 drivers +v0x17ab130_24 .net v0x17ab130 24, 0 0, L_0x1981710; 1 drivers +v0x17ab130_25 .net v0x17ab130 25, 0 0, L_0x1982180; 1 drivers +v0x17ab130_26 .net v0x17ab130 26, 0 0, L_0x1983030; 1 drivers +v0x17ab130_27 .net v0x17ab130 27, 0 0, L_0x1983a70; 1 drivers +v0x17ab130_28 .net v0x17ab130 28, 0 0, L_0x19844c0; 1 drivers +v0x17ab130_29 .net v0x17ab130 29, 0 0, L_0x1984f00; 1 drivers +v0x17ab130_30 .net v0x17ab130 30, 0 0, L_0x1985950; 1 drivers +v0x17ab1d0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x17a70d0_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x17a50a0_0 .net "overflow", 0 0, L_0x198b250; alias, 1 drivers +v0x17a3070_0 .net "result", 31 0, L_0x198b970; alias, 1 drivers +v0x17a1040_0 .net "subtract", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x17a10e0_0 .net "zero", 0 0, L_0x1988490; alias, 1 drivers +v0x190c830 .array "zeromid", 0 30; +v0x190c830_0 .net v0x190c830 0, 0 0, L_0x198c890; 1 drivers +v0x190c830_1 .net v0x190c830 1, 0 0, L_0x19852b0; 1 drivers +v0x190c830_2 .net v0x190c830 2, 0 0, L_0x1985fe0; 1 drivers +v0x190c830_3 .net v0x190c830 3, 0 0, L_0x1985d50; 1 drivers +v0x190c830_4 .net v0x190c830 4, 0 0, L_0x1986580; 1 drivers +v0x190c830_5 .net v0x190c830 5, 0 0, L_0x1986250; 1 drivers +v0x190c830_6 .net v0x190c830 6, 0 0, L_0x1986a00; 1 drivers +v0x190c830_7 .net v0x190c830 7, 0 0, L_0x19867a0; 1 drivers +v0x190c830_8 .net v0x190c830 8, 0 0, L_0x19864f0; 1 drivers +v0x190c830_9 .net v0x190c830 9, 0 0, L_0x1986c70; 1 drivers +v0x190c830_10 .net v0x190c830 10, 0 0, L_0x1987470; 1 drivers +v0x190c830_11 .net v0x190c830 11, 0 0, L_0x19871f0; 1 drivers +v0x190c830_12 .net v0x190c830 12, 0 0, L_0x1987970; 1 drivers +v0x190c830_13 .net v0x190c830 13, 0 0, L_0x19876e0; 1 drivers +v0x190c830_14 .net v0x190c830 14, 0 0, L_0x1987e80; 1 drivers +v0x190c830_15 .net v0x190c830 15, 0 0, L_0x1987be0; 1 drivers +v0x190c830_16 .net v0x190c830 16, 0 0, L_0x1986ee0; 1 drivers +v0x190c830_17 .net v0x190c830 17, 0 0, L_0x19880f0; 1 drivers +v0x190c830_18 .net v0x190c830 18, 0 0, L_0x1988960; 1 drivers +v0x190c830_19 .net v0x190c830 19, 0 0, L_0x19886a0; 1 drivers +v0x190c830_20 .net v0x190c830 20, 0 0, L_0x1988e00; 1 drivers +v0x190c830_21 .net v0x190c830 21, 0 0, L_0x1988b80; 1 drivers +v0x190c830_22 .net v0x190c830 22, 0 0, L_0x1989300; 1 drivers +v0x190c830_23 .net v0x190c830 23, 0 0, L_0x1989070; 1 drivers +v0x190c830_24 .net v0x190c830 24, 0 0, L_0x1989810; 1 drivers +v0x190c830_25 .net v0x190c830 25, 0 0, L_0x1989570; 1 drivers +v0x190c830_26 .net v0x190c830 26, 0 0, L_0x1989d30; 1 drivers +v0x190c830_27 .net v0x190c830 27, 0 0, L_0x1989a80; 1 drivers +v0x190c830_28 .net v0x190c830 28, 0 0, L_0x198a260; 1 drivers +v0x190c830_29 .net v0x190c830 29, 0 0, L_0x1989fa0; 1 drivers +v0x190c830_30 .net v0x190c830 30, 0 0, o0x7f93f4eef228; 0 drivers +L_0x19724e0 .part o0x7f93f4eeeb98, 1, 1; +L_0x1972750 .part o0x7f93f4eeebc8, 1, 1; +L_0x1973070 .part o0x7f93f4eeeb98, 2, 1; +L_0x1973190 .part o0x7f93f4eeebc8, 2, 1; +L_0x1973aa0 .part o0x7f93f4eeeb98, 3, 1; +L_0x1973bc0 .part o0x7f93f4eeebc8, 3, 1; +L_0x1974510 .part o0x7f93f4eeeb98, 4, 1; +L_0x1974630 .part o0x7f93f4eeebc8, 4, 1; +L_0x1974f50 .part o0x7f93f4eeeb98, 5, 1; +L_0x19750b0 .part o0x7f93f4eeebc8, 5, 1; +L_0x1975920 .part o0x7f93f4eeeb98, 6, 1; +L_0x1975a40 .part o0x7f93f4eeebc8, 6, 1; +L_0x1976370 .part o0x7f93f4eeeb98, 7, 1; +L_0x1976490 .part o0x7f93f4eeebc8, 7, 1; +L_0x1976db0 .part o0x7f93f4eeeb98, 8, 1; +L_0x1976ed0 .part o0x7f93f4eeebc8, 8, 1; +L_0x1977800 .part o0x7f93f4eeeb98, 9, 1; +L_0x1972640 .part o0x7f93f4eeebc8, 9, 1; +L_0x1978460 .part o0x7f93f4eeeb98, 10, 1; +L_0x1978580 .part o0x7f93f4eeebc8, 10, 1; +L_0x1978ed0 .part o0x7f93f4eeeb98, 11, 1; +L_0x1978ff0 .part o0x7f93f4eeebc8, 11, 1; +L_0x1979910 .part o0x7f93f4eeeb98, 12, 1; +L_0x1979a70 .part o0x7f93f4eeebc8, 12, 1; +L_0x197a340 .part o0x7f93f4eeeb98, 13, 1; +L_0x197a460 .part o0x7f93f4eeebc8, 13, 1; +L_0x167fb90 .part o0x7f93f4eeeb98, 14, 1; +L_0x167fcb0 .part o0x7f93f4eeebc8, 14, 1; +L_0x197bbc0 .part o0x7f93f4eeeb98, 15, 1; +L_0x197bce0 .part o0x7f93f4eeebc8, 15, 1; +L_0x197c630 .part o0x7f93f4eeeb98, 16, 1; +L_0x197c750 .part o0x7f93f4eeebc8, 16, 1; +L_0x197d070 .part o0x7f93f4eeeb98, 17, 1; +L_0x197d190 .part o0x7f93f4eeebc8, 17, 1; +L_0x197dac0 .part o0x7f93f4eeeb98, 18, 1; +L_0x197dbe0 .part o0x7f93f4eeebc8, 18, 1; +L_0x197e520 .part o0x7f93f4eeeb98, 19, 1; +L_0x197e640 .part o0x7f93f4eeebc8, 19, 1; +L_0x197ef90 .part o0x7f93f4eeeb98, 20, 1; +L_0x197f0b0 .part o0x7f93f4eeebc8, 20, 1; +L_0x197f9d0 .part o0x7f93f4eeeb98, 21, 1; +L_0x197fb30 .part o0x7f93f4eeebc8, 21, 1; +L_0x1980400 .part o0x7f93f4eeeb98, 22, 1; +L_0x1980520 .part o0x7f93f4eeebc8, 22, 1; +L_0x1980e50 .part o0x7f93f4eeeb98, 23, 1; +L_0x1980f70 .part o0x7f93f4eeebc8, 23, 1; +L_0x19818b0 .part o0x7f93f4eeeb98, 24, 1; +L_0x19819d0 .part o0x7f93f4eeebc8, 24, 1; +L_0x1982320 .part o0x7f93f4eeeb98, 25, 1; +L_0x1977920 .part o0x7f93f4eeebc8, 25, 1; +L_0x19831d0 .part o0x7f93f4eeeb98, 26, 1; +L_0x19832f0 .part o0x7f93f4eeebc8, 26, 1; +L_0x1983c10 .part o0x7f93f4eeeb98, 27, 1; +L_0x1983d30 .part o0x7f93f4eeebc8, 27, 1; +L_0x1984660 .part o0x7f93f4eeeb98, 28, 1; +L_0x1984780 .part o0x7f93f4eeebc8, 28, 1; +L_0x19850a0 .part o0x7f93f4eeeb98, 29, 1; +L_0x19851c0 .part o0x7f93f4eeebc8, 29, 1; +L_0x1985b40 .part o0x7f93f4eeeb98, 30, 1; +L_0x1985c60 .part o0x7f93f4eeebc8, 30, 1; +L_0x1985370 .part L_0x198b970, 1, 1; +L_0x19860f0 .part L_0x198b970, 2, 1; +L_0x1986450 .part L_0x198b970, 3, 1; +L_0x1986640 .part L_0x198b970, 4, 1; +L_0x19863b0 .part L_0x198b970, 5, 1; +L_0x1986b10 .part L_0x198b970, 6, 1; +L_0x1986900 .part L_0x198b970, 7, 1; +L_0x1987090 .part L_0x198b970, 8, 1; +L_0x1986d80 .part L_0x198b970, 9, 1; +L_0x1987580 .part L_0x198b970, 10, 1; +L_0x1987300 .part L_0x198b970, 11, 1; +L_0x1987a80 .part L_0x198b970, 12, 1; +L_0x19877f0 .part L_0x198b970, 13, 1; +L_0x1987f90 .part L_0x198b970, 14, 1; +L_0x1987cf0 .part L_0x198b970, 15, 1; +L_0x19885b0 .part L_0x198b970, 16, 1; +L_0x1988200 .part L_0x198b970, 17, 1; +L_0x1988a20 .part L_0x198b970, 18, 1; +L_0x19887b0 .part L_0x198b970, 19, 1; +L_0x1988f10 .part L_0x198b970, 20, 1; +L_0x1988c90 .part L_0x198b970, 21, 1; +L_0x1989410 .part L_0x198b970, 22, 1; +L_0x1989180 .part L_0x198b970, 23, 1; +L_0x1989920 .part L_0x198b970, 24, 1; +L_0x1989680 .part L_0x198b970, 25, 1; +L_0x1989e40 .part L_0x198b970, 26, 1; +L_0x1989b90 .part L_0x198b970, 27, 1; +L_0x198a370 .part L_0x198b970, 28, 1; +L_0x198a0b0 .part L_0x198b970, 29, 1; +L_0x198af60 .part o0x7f93f4eeeb98, 0, 1; +L_0x198a4d0 .part o0x7f93f4eeebc8, 0, 1; +LS_0x198b970_0_0 .concat8 [ 1 1 1 1], L_0x198a950, L_0x1971f60, L_0x1972ab0, L_0x19734e0; +LS_0x198b970_0_4 .concat8 [ 1 1 1 1], L_0x1973f50, L_0x19749d0, L_0x1975360, L_0x1975e00; +LS_0x198b970_0_8 .concat8 [ 1 1 1 1], L_0x19767f0, L_0x19772b0, L_0x1977ea0, L_0x1978910; +LS_0x198b970_0_12 .concat8 [ 1 1 1 1], L_0x1979390, L_0x1979d80, L_0x197a7d0, L_0x197b600; +LS_0x198b970_0_16 .concat8 [ 1 1 1 1], L_0x197c070, L_0x197cab0, L_0x197d500, L_0x197df60; +LS_0x198b970_0_20 .concat8 [ 1 1 1 1], L_0x197e9d0, L_0x197f450, L_0x197fe40, L_0x1980890; +LS_0x198b970_0_24 .concat8 [ 1 1 1 1], L_0x19812f0, L_0x1981d60, L_0x1982c60, L_0x1983650; +LS_0x198b970_0_28 .concat8 [ 1 1 1 1], L_0x19840a0, L_0x1984ae0, L_0x1985530, L_0x198b3f0; +LS_0x198b970_1_0 .concat8 [ 4 4 4 4], LS_0x198b970_0_0, LS_0x198b970_0_4, LS_0x198b970_0_8, LS_0x198b970_0_12; +LS_0x198b970_1_4 .concat8 [ 4 4 4 4], LS_0x198b970_0_16, LS_0x198b970_0_20, LS_0x198b970_0_24, LS_0x198b970_0_28; +L_0x198b970 .concat8 [ 16 16 0 0], LS_0x198b970_1_0, LS_0x198b970_1_4; +L_0x198b0c0 .part o0x7f93f4eeeb98, 31, 1; +L_0x198b160 .part o0x7f93f4eeebc8, 31, 1; +L_0x198c9f0 .part L_0x198b970, 0, 1; +L_0x19883a0 .part L_0x198b970, 1, 1; +L_0x198c590 .part L_0x198b970, 31, 1; +S_0x18ea4f0 .scope module, "adderfinal" "FullAdder1bit" 2 50, 2 8 0, S_0x180cd20; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x198b000/d .functor XOR 1, L_0x198b160, L_0x198b810, C4<0>, C4<0>; +L_0x198b000 .delay 1 (20,20,20) L_0x198b000/d; +L_0x198a650/d .functor XOR 1, L_0x198b0c0, L_0x198b000, C4<0>, C4<0>; +L_0x198a650 .delay 1 (20,20,20) L_0x198a650/d; +L_0x198b3f0/d .functor XOR 1, L_0x198a650, v0x17b6f60_0, C4<0>, C4<0>; +L_0x198b3f0 .delay 1 (20,20,20) L_0x198b3f0/d; +L_0x198b550/d .functor AND 1, L_0x198b0c0, L_0x198b000, C4<1>, C4<1>; +L_0x198b550 .delay 1 (20,20,20) L_0x198b550/d; +L_0x198b6b0/d .functor AND 1, L_0x198a650, v0x17b6f60_0, C4<1>, C4<1>; +L_0x198b6b0 .delay 1 (20,20,20) L_0x198b6b0/d; +L_0x198b810/d .functor OR 1, L_0x198b550, L_0x198b6b0, C4<0>, C4<0>; +L_0x198b810 .delay 1 (20,20,20) L_0x198b810/d; +v0x1810c30_0 .net "a", 0 0, L_0x198b0c0; 1 drivers +v0x1812000_0 .net "b", 0 0, L_0x198b000; 1 drivers +v0x18129e0_0 .net "b0", 0 0, L_0x198b160; 1 drivers +v0x1814a20_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1816a60_0 .net "carryout", 0 0, L_0x198b810; alias, 1 drivers +v0x1818aa0_0 .net "cout1", 0 0, L_0x198b550; 1 drivers +v0x181aae0_0 .net "cout2", 0 0, L_0x198b6b0; 1 drivers +v0x181cb20_0 .net "subtract", 0 0, L_0x198b810; alias, 1 drivers +v0x181eb60_0 .net "sum", 0 0, L_0x198b3f0; 1 drivers +v0x1820b90_0 .net "sumAB", 0 0, L_0x198a650; 1 drivers +S_0x18d0140 .scope module, "adderinit" "FullAdder1bit" 2 45, 2 8 0, S_0x180cd20; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x198a150/d .functor XOR 1, L_0x198a4d0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x198a150 .delay 1 (20,20,20) L_0x198a150/d; +L_0x198a7f0/d .functor XOR 1, L_0x198af60, L_0x198a150, C4<0>, C4<0>; +L_0x198a7f0 .delay 1 (20,20,20) L_0x198a7f0/d; +L_0x198a950/d .functor XOR 1, L_0x198a7f0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x198a950 .delay 1 (20,20,20) L_0x198a950/d; +L_0x198aab0/d .functor AND 1, L_0x198af60, L_0x198a150, C4<1>, C4<1>; +L_0x198aab0 .delay 1 (20,20,20) L_0x198aab0/d; +L_0x198ac10/d .functor AND 1, L_0x198a7f0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x198ac10 .delay 1 (20,20,20) L_0x198ac10/d; +L_0x198ad70/d .functor OR 1, L_0x198aab0, L_0x198ac10, C4<0>, C4<0>; +L_0x198ad70 .delay 1 (20,20,20) L_0x198ad70/d; +v0x1824c00_0 .net "a", 0 0, L_0x198af60; 1 drivers +v0x1826c30_0 .net "b", 0 0, L_0x198a150; 1 drivers +v0x1828c60_0 .net "b0", 0 0, L_0x198a4d0; 1 drivers +v0x182ad30_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x182cd60_0 .net "carryout", 0 0, L_0x198ad70; alias, 1 drivers +v0x182ed90_0 .net "cout1", 0 0, L_0x198aab0; 1 drivers +v0x1830dc0_0 .net "cout2", 0 0, L_0x198ac10; 1 drivers +v0x1832310_0 .net "subtract", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1832e00_0 .net "sum", 0 0, L_0x198a950; 1 drivers +v0x1834e50_0 .net "sumAB", 0 0, L_0x198a7f0; 1 drivers +S_0x1810150 .scope generate, "genblk1[1]" "genblk1[1]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x182ee50 .param/l "i" 0 2 47, +C4<01>; +S_0x1812380 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1810150; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1971d40/d .functor XOR 1, L_0x1972750, L_0x198ad70, C4<0>, C4<0>; +L_0x1971d40 .delay 1 (20,20,20) L_0x1971d40/d; +L_0x1971e00/d .functor XOR 1, L_0x19724e0, L_0x1971d40, C4<0>, C4<0>; +L_0x1971e00 .delay 1 (20,20,20) L_0x1971e00/d; +L_0x1971f60/d .functor XOR 1, L_0x1971e00, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1971f60 .delay 1 (20,20,20) L_0x1971f60/d; +L_0x19720c0/d .functor AND 1, L_0x19724e0, L_0x1971d40, C4<1>, C4<1>; +L_0x19720c0 .delay 1 (20,20,20) L_0x19720c0/d; +L_0x1972220/d .functor AND 1, L_0x1971e00, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1972220 .delay 1 (20,20,20) L_0x1972220/d; +L_0x1972380/d .functor OR 1, L_0x19720c0, L_0x1972220, C4<0>, C4<0>; +L_0x1972380 .delay 1 (20,20,20) L_0x1972380/d; +v0x1836ea0_0 .net "a", 0 0, L_0x19724e0; 1 drivers +v0x1838400_0 .net "b", 0 0, L_0x1971d40; 1 drivers +v0x1838ef0_0 .net "b0", 0 0, L_0x1972750; 1 drivers +v0x183a450_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x183c4a0_0 .net "carryout", 0 0, L_0x1972380; alias, 1 drivers +v0x183cf90_0 .net "cout1", 0 0, L_0x19720c0; 1 drivers +v0x183e4f0_0 .net "cout2", 0 0, L_0x1972220; 1 drivers +v0x183efe0_0 .net "subtract", 0 0, L_0x198ad70; alias, 1 drivers +v0x1840540_0 .net "sum", 0 0, L_0x1971f60; 1 drivers +v0x1841030_0 .net "sumAB", 0 0, L_0x1971e00; 1 drivers +S_0x18e2a90 .scope generate, "genblk1[2]" "genblk1[2]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x183c560 .param/l "i" 0 2 47, +C4<010>; +S_0x18e0a40 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18e2a90; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1938db0/d .functor XOR 1, L_0x1973190, L_0x1972380, C4<0>, C4<0>; +L_0x1938db0 .delay 1 (20,20,20) L_0x1938db0/d; +L_0x1972950/d .functor XOR 1, L_0x1973070, L_0x1938db0, C4<0>, C4<0>; +L_0x1972950 .delay 1 (20,20,20) L_0x1972950/d; +L_0x1972ab0/d .functor XOR 1, L_0x1972950, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1972ab0 .delay 1 (20,20,20) L_0x1972ab0/d; +L_0x1972c10/d .functor AND 1, L_0x1973070, L_0x1938db0, C4<1>, C4<1>; +L_0x1972c10 .delay 1 (20,20,20) L_0x1972c10/d; +L_0x1972d70/d .functor AND 1, L_0x1972950, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1972d70 .delay 1 (20,20,20) L_0x1972d70/d; +L_0x1972ed0/d .functor OR 1, L_0x1972c10, L_0x1972d70, C4<0>, C4<0>; +L_0x1972ed0 .delay 1 (20,20,20) L_0x1972ed0/d; +v0x18450a0_0 .net "a", 0 0, L_0x1973070; 1 drivers +v0x18472f0_0 .net "b", 0 0, L_0x1938db0; 1 drivers +v0x1847780_0 .net "b0", 0 0, L_0x1973190; 1 drivers +v0x1847e80_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1848350_0 .net "carryout", 0 0, L_0x1972ed0; alias, 1 drivers +v0x1848a20_0 .net "cout1", 0 0, L_0x1972c10; 1 drivers +v0x1848ef0_0 .net "cout2", 0 0, L_0x1972d70; 1 drivers +v0x18495c0_0 .net "subtract", 0 0, L_0x1972380; alias, 1 drivers +v0x1849a90_0 .net "sum", 0 0, L_0x1972ab0; 1 drivers +v0x184a630_0 .net "sumAB", 0 0, L_0x1972950; 1 drivers +S_0x18de9f0 .scope generate, "genblk1[3]" "genblk1[3]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1848fb0 .param/l "i" 0 2 47, +C4<011>; +S_0x18dc9a0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18de9f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1973280/d .functor XOR 1, L_0x1973bc0, L_0x1972ed0, C4<0>, C4<0>; +L_0x1973280 .delay 1 (20,20,20) L_0x1973280/d; +L_0x1973380/d .functor XOR 1, L_0x1973aa0, L_0x1973280, C4<0>, C4<0>; +L_0x1973380 .delay 1 (20,20,20) L_0x1973380/d; +L_0x19734e0/d .functor XOR 1, L_0x1973380, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19734e0 .delay 1 (20,20,20) L_0x19734e0/d; +L_0x1973640/d .functor AND 1, L_0x1973aa0, L_0x1973280, C4<1>, C4<1>; +L_0x1973640 .delay 1 (20,20,20) L_0x1973640/d; +L_0x19737a0/d .functor AND 1, L_0x1973380, v0x17b6f60_0, C4<1>, C4<1>; +L_0x19737a0 .delay 1 (20,20,20) L_0x19737a0/d; +L_0x1973900/d .functor OR 1, L_0x1973640, L_0x19737a0, C4<0>, C4<0>; +L_0x1973900 .delay 1 (20,20,20) L_0x1973900/d; +v0x184b1d0_0 .net "a", 0 0, L_0x1973aa0; 1 drivers +v0x184b8a0_0 .net "b", 0 0, L_0x1973280; 1 drivers +v0x184bd70_0 .net "b0", 0 0, L_0x1973bc0; 1 drivers +v0x184c440_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x184c910_0 .net "carryout", 0 0, L_0x1973900; alias, 1 drivers +v0x184cfe0_0 .net "cout1", 0 0, L_0x1973640; 1 drivers +v0x184d4b0_0 .net "cout2", 0 0, L_0x19737a0; 1 drivers +v0x184db80_0 .net "subtract", 0 0, L_0x1972ed0; alias, 1 drivers +v0x184e050_0 .net "sum", 0 0, L_0x19734e0; 1 drivers +v0x184e720_0 .net "sumAB", 0 0, L_0x1973380; 1 drivers +S_0x18da950 .scope generate, "genblk1[4]" "genblk1[4]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x184d570 .param/l "i" 0 2 47, +C4<0100>; +S_0x18d8900 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18da950; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1973cf0/d .functor XOR 1, L_0x1974630, L_0x1973900, C4<0>, C4<0>; +L_0x1973cf0 .delay 1 (20,20,20) L_0x1973cf0/d; +L_0x1973df0/d .functor XOR 1, L_0x1974510, L_0x1973cf0, C4<0>, C4<0>; +L_0x1973df0 .delay 1 (20,20,20) L_0x1973df0/d; +L_0x1973f50/d .functor XOR 1, L_0x1973df0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1973f50 .delay 1 (20,20,20) L_0x1973f50/d; +L_0x19740b0/d .functor AND 1, L_0x1974510, L_0x1973cf0, C4<1>, C4<1>; +L_0x19740b0 .delay 1 (20,20,20) L_0x19740b0/d; +L_0x1974210/d .functor AND 1, L_0x1973df0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1974210 .delay 1 (20,20,20) L_0x1974210/d; +L_0x1974370/d .functor OR 1, L_0x19740b0, L_0x1974210, C4<0>, C4<0>; +L_0x1974370 .delay 1 (20,20,20) L_0x1974370/d; +v0x184f2c0_0 .net "a", 0 0, L_0x1974510; 1 drivers +v0x184f790_0 .net "b", 0 0, L_0x1973cf0; 1 drivers +v0x184fe60_0 .net "b0", 0 0, L_0x1974630; 1 drivers +v0x1850330_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1850a00_0 .net "carryout", 0 0, L_0x1974370; alias, 1 drivers +v0x1850ed0_0 .net "cout1", 0 0, L_0x19740b0; 1 drivers +v0x18515a0_0 .net "cout2", 0 0, L_0x1974210; 1 drivers +v0x1851a70_0 .net "subtract", 0 0, L_0x1973900; alias, 1 drivers +v0x18520f0_0 .net "sum", 0 0, L_0x1973f50; 1 drivers +v0x1852ce0_0 .net "sumAB", 0 0, L_0x1973df0; 1 drivers +S_0x18d68b0 .scope generate, "genblk1[5]" "genblk1[5]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x184f850 .param/l "i" 0 2 47, +C4<0101>; +S_0x18d4bf0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18d68b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1974770/d .functor XOR 1, L_0x19750b0, L_0x1974370, C4<0>, C4<0>; +L_0x1974770 .delay 1 (20,20,20) L_0x1974770/d; +L_0x1974870/d .functor XOR 1, L_0x1974f50, L_0x1974770, C4<0>, C4<0>; +L_0x1974870 .delay 1 (20,20,20) L_0x1974870/d; +L_0x19749d0/d .functor XOR 1, L_0x1974870, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19749d0 .delay 1 (20,20,20) L_0x19749d0/d; +L_0x1974b30/d .functor AND 1, L_0x1974f50, L_0x1974770, C4<1>, C4<1>; +L_0x1974b30 .delay 1 (20,20,20) L_0x1974b30/d; +L_0x1974c90/d .functor AND 1, L_0x1974870, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1974c90 .delay 1 (20,20,20) L_0x1974c90/d; +L_0x1974df0/d .functor OR 1, L_0x1974b30, L_0x1974c90, C4<0>, C4<0>; +L_0x1974df0 .delay 1 (20,20,20) L_0x1974df0/d; +v0x18538a0_0 .net "a", 0 0, L_0x1974f50; 1 drivers +v0x1853d80_0 .net "b", 0 0, L_0x1974770; 1 drivers +v0x1854450_0 .net "b0", 0 0, L_0x19750b0; 1 drivers +v0x1854930_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18554e0_0 .net "carryout", 0 0, L_0x1974df0; alias, 1 drivers +v0x1855bb0_0 .net "cout1", 0 0, L_0x1974b30; 1 drivers +v0x1856090_0 .net "cout2", 0 0, L_0x1974c90; 1 drivers +v0x1856760_0 .net "subtract", 0 0, L_0x1974370; alias, 1 drivers +v0x1856c40_0 .net "sum", 0 0, L_0x19749d0; 1 drivers +v0x1857310_0 .net "sumAB", 0 0, L_0x1974870; 1 drivers +S_0x18d4870 .scope generate, "genblk1[6]" "genblk1[6]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1856150 .param/l "i" 0 2 47, +C4<0110>; +S_0x18d2bb0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18d4870; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1975150/d .functor XOR 1, L_0x1975a40, L_0x1974df0, C4<0>, C4<0>; +L_0x1975150 .delay 1 (20,20,20) L_0x1975150/d; +L_0x1975250/d .functor XOR 1, L_0x1975920, L_0x1975150, C4<0>, C4<0>; +L_0x1975250 .delay 1 (20,20,20) L_0x1975250/d; +L_0x1975360/d .functor XOR 1, L_0x1975250, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1975360 .delay 1 (20,20,20) L_0x1975360/d; +L_0x19754c0/d .functor AND 1, L_0x1975920, L_0x1975150, C4<1>, C4<1>; +L_0x19754c0 .delay 1 (20,20,20) L_0x19754c0/d; +L_0x1975620/d .functor AND 1, L_0x1975250, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1975620 .delay 1 (20,20,20) L_0x1975620/d; +L_0x1975780/d .functor OR 1, L_0x19754c0, L_0x1975620, C4<0>, C4<0>; +L_0x1975780 .delay 1 (20,20,20) L_0x1975780/d; +v0x1857ec0_0 .net "a", 0 0, L_0x1975920; 1 drivers +v0x18583a0_0 .net "b", 0 0, L_0x1975150; 1 drivers +v0x1858a70_0 .net "b0", 0 0, L_0x1975a40; 1 drivers +v0x1858f50_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1859620_0 .net "carryout", 0 0, L_0x1975780; alias, 1 drivers +v0x1859b00_0 .net "cout1", 0 0, L_0x19754c0; 1 drivers +v0x185a1d0_0 .net "cout2", 0 0, L_0x1975620; 1 drivers +v0x185a6b0_0 .net "subtract", 0 0, L_0x1974df0; alias, 1 drivers +v0x185ad80_0 .net "sum", 0 0, L_0x1975360; 1 drivers +v0x185b930_0 .net "sumAB", 0 0, L_0x1975250; 1 drivers +S_0x18d2830 .scope generate, "genblk1[7]" "genblk1[7]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x183e260 .param/l "i" 0 2 47, +C4<0111>; +S_0x18c09d0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18d2830; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1975ba0/d .functor XOR 1, L_0x1976490, L_0x1975780, C4<0>, C4<0>; +L_0x1975ba0 .delay 1 (20,20,20) L_0x1975ba0/d; +L_0x1975ca0/d .functor XOR 1, L_0x1976370, L_0x1975ba0, C4<0>, C4<0>; +L_0x1975ca0 .delay 1 (20,20,20) L_0x1975ca0/d; +L_0x1975e00/d .functor XOR 1, L_0x1975ca0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1975e00 .delay 1 (20,20,20) L_0x1975e00/d; +L_0x1975f60/d .functor AND 1, L_0x1976370, L_0x1975ba0, C4<1>, C4<1>; +L_0x1975f60 .delay 1 (20,20,20) L_0x1975f60/d; +L_0x1976070/d .functor AND 1, L_0x1975ca0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1976070 .delay 1 (20,20,20) L_0x1976070/d; +L_0x19761d0/d .functor OR 1, L_0x1975f60, L_0x1976070, C4<0>, C4<0>; +L_0x19761d0 .delay 1 (20,20,20) L_0x19761d0/d; +v0x1811ce0_0 .net "a", 0 0, L_0x1976370; 1 drivers +v0x185d4f0_0 .net "b", 0 0, L_0x1975ba0; 1 drivers +v0x185f380_0 .net "b0", 0 0, L_0x1976490; 1 drivers +v0x1813cd0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x185fec0_0 .net "carryout", 0 0, L_0x19761d0; alias, 1 drivers +v0x1860550_0 .net "cout1", 0 0, L_0x1975f60; 1 drivers +v0x1815d10_0 .net "cout2", 0 0, L_0x1976070; 1 drivers +v0x1817d50_0 .net "subtract", 0 0, L_0x1975780; alias, 1 drivers +v0x1819d90_0 .net "sum", 0 0, L_0x1975e00; 1 drivers +v0x181de10_0 .net "sumAB", 0 0, L_0x1975ca0; 1 drivers +S_0x18c0650 .scope generate, "genblk1[8]" "genblk1[8]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1815dd0 .param/l "i" 0 2 47, +C4<01000>; +S_0x18be990 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18c0650; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1975b30/d .functor XOR 1, L_0x1976ed0, L_0x19761d0, C4<0>, C4<0>; +L_0x1975b30 .delay 1 (20,20,20) L_0x1975b30/d; +L_0x1976690/d .functor XOR 1, L_0x1976db0, L_0x1975b30, C4<0>, C4<0>; +L_0x1976690 .delay 1 (20,20,20) L_0x1976690/d; +L_0x19767f0/d .functor XOR 1, L_0x1976690, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19767f0 .delay 1 (20,20,20) L_0x19767f0/d; +L_0x1976950/d .functor AND 1, L_0x1976db0, L_0x1975b30, C4<1>, C4<1>; +L_0x1976950 .delay 1 (20,20,20) L_0x1976950/d; +L_0x1976ab0/d .functor AND 1, L_0x1976690, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1976ab0 .delay 1 (20,20,20) L_0x1976ab0/d; +L_0x1976c10/d .functor OR 1, L_0x1976950, L_0x1976ab0, C4<0>, C4<0>; +L_0x1976c10 .delay 1 (20,20,20) L_0x1976c10/d; +v0x1821e80_0 .net "a", 0 0, L_0x1976db0; 1 drivers +v0x1832050_0 .net "b", 0 0, L_0x1975b30; 1 drivers +v0x1834040_0 .net "b0", 0 0, L_0x1976ed0; 1 drivers +v0x1836090_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18380e0_0 .net "carryout", 0 0, L_0x1976c10; alias, 1 drivers +v0x183a130_0 .net "cout1", 0 0, L_0x1976950; 1 drivers +v0x183c180_0 .net "cout2", 0 0, L_0x1976ab0; 1 drivers +v0x18614e0_0 .net "subtract", 0 0, L_0x19761d0; alias, 1 drivers +v0x187b8f0_0 .net "sum", 0 0, L_0x19767f0; 1 drivers +v0x187ca10_0 .net "sumAB", 0 0, L_0x1976690; 1 drivers +S_0x18be610 .scope generate, "genblk1[9]" "genblk1[9]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1832110 .param/l "i" 0 2 47, +C4<01001>; +S_0x18bc950 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18be610; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1977050/d .functor XOR 1, L_0x1972640, L_0x1976c10, C4<0>, C4<0>; +L_0x1977050 .delay 1 (20,20,20) L_0x1977050/d; +L_0x1977150/d .functor XOR 1, L_0x1977800, L_0x1977050, C4<0>, C4<0>; +L_0x1977150 .delay 1 (20,20,20) L_0x1977150/d; +L_0x19772b0/d .functor XOR 1, L_0x1977150, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19772b0 .delay 1 (20,20,20) L_0x19772b0/d; +L_0x1977410/d .functor AND 1, L_0x1977800, L_0x1977050, C4<1>, C4<1>; +L_0x1977410 .delay 1 (20,20,20) L_0x1977410/d; +L_0x1977570/d .functor AND 1, L_0x1977150, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1977570 .delay 1 (20,20,20) L_0x1977570/d; +L_0x1976580/d .functor OR 1, L_0x1977410, L_0x1977570, C4<0>, C4<0>; +L_0x1976580 .delay 1 (20,20,20) L_0x1976580/d; +v0x187db30_0 .net "a", 0 0, L_0x1977800; 1 drivers +v0x187e3c0_0 .net "b", 0 0, L_0x1977050; 1 drivers +v0x187ec50_0 .net "b0", 0 0, L_0x1972640; 1 drivers +v0x187f4e0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x187fd70_0 .net "carryout", 0 0, L_0x1976580; alias, 1 drivers +v0x1880600_0 .net "cout1", 0 0, L_0x1977410; 1 drivers +v0x1880e90_0 .net "cout2", 0 0, L_0x1977570; 1 drivers +v0x1881720_0 .net "subtract", 0 0, L_0x1976c10; alias, 1 drivers +v0x1881fb0_0 .net "sum", 0 0, L_0x19772b0; 1 drivers +v0x18830d0_0 .net "sumAB", 0 0, L_0x1977150; 1 drivers +S_0x18bc5d0 .scope generate, "genblk1[10]" "genblk1[10]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1880f50 .param/l "i" 0 2 47, +C4<01010>; +S_0x18ba910 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18bc5d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1972890/d .functor XOR 1, L_0x1978580, L_0x1976580, C4<0>, C4<0>; +L_0x1972890 .delay 1 (20,20,20) L_0x1972890/d; +L_0x1977d40/d .functor XOR 1, L_0x1978460, L_0x1972890, C4<0>, C4<0>; +L_0x1977d40 .delay 1 (20,20,20) L_0x1977d40/d; +L_0x1977ea0/d .functor XOR 1, L_0x1977d40, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1977ea0 .delay 1 (20,20,20) L_0x1977ea0/d; +L_0x1978000/d .functor AND 1, L_0x1978460, L_0x1972890, C4<1>, C4<1>; +L_0x1978000 .delay 1 (20,20,20) L_0x1978000/d; +L_0x1978160/d .functor AND 1, L_0x1977d40, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1978160 .delay 1 (20,20,20) L_0x1978160/d; +L_0x19782c0/d .functor OR 1, L_0x1978000, L_0x1978160, C4<0>, C4<0>; +L_0x19782c0 .delay 1 (20,20,20) L_0x19782c0/d; +v0x18841f0_0 .net "a", 0 0, L_0x1978460; 1 drivers +v0x1884a80_0 .net "b", 0 0, L_0x1972890; 1 drivers +v0x1885310_0 .net "b0", 0 0, L_0x1978580; 1 drivers +v0x1885ba0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1886430_0 .net "carryout", 0 0, L_0x19782c0; alias, 1 drivers +v0x1886cc0_0 .net "cout1", 0 0, L_0x1978000; 1 drivers +v0x1887550_0 .net "cout2", 0 0, L_0x1978160; 1 drivers +v0x1887de0_0 .net "subtract", 0 0, L_0x1976580; alias, 1 drivers +v0x1888670_0 .net "sum", 0 0, L_0x1977ea0; 1 drivers +v0x1889790_0 .net "sumAB", 0 0, L_0x1977d40; 1 drivers +S_0x18ba590 .scope generate, "genblk1[11]" "genblk1[11]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1884b40 .param/l "i" 0 2 47, +C4<01011>; +S_0x1861ba0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18ba590; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19727f0/d .functor XOR 1, L_0x1978ff0, L_0x19782c0, C4<0>, C4<0>; +L_0x19727f0 .delay 1 (20,20,20) L_0x19727f0/d; +L_0x19787b0/d .functor XOR 1, L_0x1978ed0, L_0x19727f0, C4<0>, C4<0>; +L_0x19787b0 .delay 1 (20,20,20) L_0x19787b0/d; +L_0x1978910/d .functor XOR 1, L_0x19787b0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1978910 .delay 1 (20,20,20) L_0x1978910/d; +L_0x1978a70/d .functor AND 1, L_0x1978ed0, L_0x19727f0, C4<1>, C4<1>; +L_0x1978a70 .delay 1 (20,20,20) L_0x1978a70/d; +L_0x1978bd0/d .functor AND 1, L_0x19787b0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1978bd0 .delay 1 (20,20,20) L_0x1978bd0/d; +L_0x1978d30/d .functor OR 1, L_0x1978a70, L_0x1978bd0, C4<0>, C4<0>; +L_0x1978d30 .delay 1 (20,20,20) L_0x1978d30/d; +v0x188a8b0_0 .net "a", 0 0, L_0x1978ed0; 1 drivers +v0x188b140_0 .net "b", 0 0, L_0x19727f0; 1 drivers +v0x188b9d0_0 .net "b0", 0 0, L_0x1978ff0; 1 drivers +v0x188bd10_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x188ce20_0 .net "carryout", 0 0, L_0x1978d30; alias, 1 drivers +v0x18a4f30_0 .net "cout1", 0 0, L_0x1978a70; 1 drivers +v0x18a57c0_0 .net "cout2", 0 0, L_0x1978bd0; 1 drivers +v0x18a6050_0 .net "subtract", 0 0, L_0x19782c0; alias, 1 drivers +v0x18a68e0_0 .net "sum", 0 0, L_0x1978910; 1 drivers +v0x18a7a00_0 .net "sumAB", 0 0, L_0x19787b0; 1 drivers +S_0x18617f0 .scope generate, "genblk1[12]" "genblk1[12]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18a5880 .param/l "i" 0 2 47, +C4<01100>; +S_0x1840920 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18617f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1978670/d .functor XOR 1, L_0x1979a70, L_0x1978d30, C4<0>, C4<0>; +L_0x1978670 .delay 1 (20,20,20) L_0x1978670/d; +L_0x1979230/d .functor XOR 1, L_0x1979910, L_0x1978670, C4<0>, C4<0>; +L_0x1979230 .delay 1 (20,20,20) L_0x1979230/d; +L_0x1979390/d .functor XOR 1, L_0x1979230, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1979390 .delay 1 (20,20,20) L_0x1979390/d; +L_0x19794f0/d .functor AND 1, L_0x1979910, L_0x1978670, C4<1>, C4<1>; +L_0x19794f0 .delay 1 (20,20,20) L_0x19794f0/d; +L_0x1979650/d .functor AND 1, L_0x1979230, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1979650 .delay 1 (20,20,20) L_0x1979650/d; +L_0x19797b0/d .functor OR 1, L_0x19794f0, L_0x1979650, C4<0>, C4<0>; +L_0x19797b0 .delay 1 (20,20,20) L_0x19797b0/d; +v0x18a8b20_0 .net "a", 0 0, L_0x1979910; 1 drivers +v0x18a93b0_0 .net "b", 0 0, L_0x1978670; 1 drivers +v0x18a9c40_0 .net "b0", 0 0, L_0x1979a70; 1 drivers +v0x18aa4d0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18aad60_0 .net "carryout", 0 0, L_0x19797b0; alias, 1 drivers +v0x18ab5f0_0 .net "cout1", 0 0, L_0x19794f0; 1 drivers +v0x18ac710_0 .net "cout2", 0 0, L_0x1979650; 1 drivers +v0x18acfa0_0 .net "subtract", 0 0, L_0x1978d30; alias, 1 drivers +v0x18ad830_0 .net "sum", 0 0, L_0x1979390; 1 drivers +v0x18ae950_0 .net "sumAB", 0 0, L_0x1979230; 1 drivers +S_0x183e8d0 .scope generate, "genblk1[13]" "genblk1[13]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18a9470 .param/l "i" 0 2 47, +C4<01101>; +S_0x183c880 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x183e8d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19790e0/d .functor XOR 1, L_0x197a460, L_0x19797b0, C4<0>, C4<0>; +L_0x19790e0 .delay 1 (20,20,20) L_0x19790e0/d; +L_0x1979c70/d .functor XOR 1, L_0x197a340, L_0x19790e0, C4<0>, C4<0>; +L_0x1979c70 .delay 1 (20,20,20) L_0x1979c70/d; +L_0x1979d80/d .functor XOR 1, L_0x1979c70, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1979d80 .delay 1 (20,20,20) L_0x1979d80/d; +L_0x1979ee0/d .functor AND 1, L_0x197a340, L_0x19790e0, C4<1>, C4<1>; +L_0x1979ee0 .delay 1 (20,20,20) L_0x1979ee0/d; +L_0x197a040/d .functor AND 1, L_0x1979c70, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197a040 .delay 1 (20,20,20) L_0x197a040/d; +L_0x197a1a0/d .functor OR 1, L_0x1979ee0, L_0x197a040, C4<0>, C4<0>; +L_0x197a1a0 .delay 1 (20,20,20) L_0x197a1a0/d; +v0x18afa70_0 .net "a", 0 0, L_0x197a340; 1 drivers +v0x18b0300_0 .net "b", 0 0, L_0x19790e0; 1 drivers +v0x18b0b90_0 .net "b0", 0 0, L_0x197a460; 1 drivers +v0x18b1420_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18b1cb0_0 .net "carryout", 0 0, L_0x197a1a0; alias, 1 drivers +v0x18b2540_0 .net "cout1", 0 0, L_0x1979ee0; 1 drivers +v0x18b2dd0_0 .net "cout2", 0 0, L_0x197a040; 1 drivers +v0x18b3660_0 .net "subtract", 0 0, L_0x19797b0; alias, 1 drivers +v0x18b3ef0_0 .net "sum", 0 0, L_0x1979d80; 1 drivers +v0x18b5010_0 .net "sumAB", 0 0, L_0x1979c70; 1 drivers +S_0x183a830 .scope generate, "genblk1[14]" "genblk1[14]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18b2e90 .param/l "i" 0 2 47, +C4<01110>; +S_0x18387e0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x183a830; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1979b10/d .functor XOR 1, L_0x167fcb0, L_0x197a1a0, C4<0>, C4<0>; +L_0x1979b10 .delay 1 (20,20,20) L_0x1979b10/d; +L_0x197a6c0/d .functor XOR 1, L_0x167fb90, L_0x1979b10, C4<0>, C4<0>; +L_0x197a6c0 .delay 1 (20,20,20) L_0x197a6c0/d; +L_0x197a7d0/d .functor XOR 1, L_0x197a6c0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197a7d0 .delay 1 (20,20,20) L_0x197a7d0/d; +L_0x197a930/d .functor AND 1, L_0x167fb90, L_0x1979b10, C4<1>, C4<1>; +L_0x197a930 .delay 1 (20,20,20) L_0x197a930/d; +L_0x197aa90/d .functor AND 1, L_0x197a6c0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197aa90 .delay 1 (20,20,20) L_0x197aa90/d; +L_0x167f9f0/d .functor OR 1, L_0x197a930, L_0x197aa90, C4<0>, C4<0>; +L_0x167f9f0 .delay 1 (20,20,20) L_0x167f9f0/d; +v0x18b8b80_0 .net "a", 0 0, L_0x167fb90; 1 drivers +v0x18bac00_0 .net "b", 0 0, L_0x1979b10; 1 drivers +v0x18bcc40_0 .net "b0", 0 0, L_0x167fcb0; 1 drivers +v0x18bec80_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18c0cc0_0 .net "carryout", 0 0, L_0x167f9f0; alias, 1 drivers +v0x18c2d00_0 .net "cout1", 0 0, L_0x197a930; 1 drivers +v0x18c4d30_0 .net "cout2", 0 0, L_0x197aa90; 1 drivers +v0x18c6d60_0 .net "subtract", 0 0, L_0x197a1a0; alias, 1 drivers +v0x18c8d90_0 .net "sum", 0 0, L_0x197a7d0; 1 drivers +v0x18ccdf0_0 .net "sumAB", 0 0, L_0x197a6c0; 1 drivers +S_0x1836790 .scope generate, "genblk1[15]" "genblk1[15]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18bacc0 .param/l "i" 0 2 47, +C4<01111>; +S_0x1834740 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1836790; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x167fda0/d .functor XOR 1, L_0x197bce0, L_0x167f9f0, C4<0>, C4<0>; +L_0x167fda0 .delay 1 (20,20,20) L_0x167fda0/d; +L_0x197b4f0/d .functor XOR 1, L_0x197bbc0, L_0x167fda0, C4<0>, C4<0>; +L_0x197b4f0 .delay 1 (20,20,20) L_0x197b4f0/d; +L_0x197b600/d .functor XOR 1, L_0x197b4f0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197b600 .delay 1 (20,20,20) L_0x197b600/d; +L_0x197b760/d .functor AND 1, L_0x197bbc0, L_0x167fda0, C4<1>, C4<1>; +L_0x197b760 .delay 1 (20,20,20) L_0x197b760/d; +L_0x197b8c0/d .functor AND 1, L_0x197b4f0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197b8c0 .delay 1 (20,20,20) L_0x197b8c0/d; +L_0x197ba20/d .functor OR 1, L_0x197b760, L_0x197b8c0, C4<0>, C4<0>; +L_0x197ba20 .delay 1 (20,20,20) L_0x197ba20/d; +v0x18d2ea0_0 .net "a", 0 0, L_0x197bbc0; 1 drivers +v0x18d4ee0_0 .net "b", 0 0, L_0x167fda0; 1 drivers +v0x18d64f0_0 .net "b0", 0 0, L_0x197bce0; 1 drivers +v0x18d6fc0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18d8520_0 .net "carryout", 0 0, L_0x197ba20; alias, 1 drivers +v0x18d9010_0 .net "cout1", 0 0, L_0x197b760; 1 drivers +v0x18da570_0 .net "cout2", 0 0, L_0x197b8c0; 1 drivers +v0x18db060_0 .net "subtract", 0 0, L_0x167f9f0; alias, 1 drivers +v0x18dc5c0_0 .net "sum", 0 0, L_0x197b600; 1 drivers +v0x18dd0b0_0 .net "sumAB", 0 0, L_0x197b4f0; 1 drivers +S_0x18326f0 .scope generate, "genblk1[16]" "genblk1[16]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18da630 .param/l "i" 0 2 47, +C4<010000>; +S_0x18208a0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18326f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197b400/d .functor XOR 1, L_0x197c750, L_0x197ba20, C4<0>, C4<0>; +L_0x197b400 .delay 1 (20,20,20) L_0x197b400/d; +L_0x197bf60/d .functor XOR 1, L_0x197c630, L_0x197b400, C4<0>, C4<0>; +L_0x197bf60 .delay 1 (20,20,20) L_0x197bf60/d; +L_0x197c070/d .functor XOR 1, L_0x197bf60, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197c070 .delay 1 (20,20,20) L_0x197c070/d; +L_0x197c1d0/d .functor AND 1, L_0x197c630, L_0x197b400, C4<1>, C4<1>; +L_0x197c1d0 .delay 1 (20,20,20) L_0x197c1d0/d; +L_0x197c330/d .functor AND 1, L_0x197bf60, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197c330 .delay 1 (20,20,20) L_0x197c330/d; +L_0x197c490/d .functor OR 1, L_0x197c1d0, L_0x197c330, C4<0>, C4<0>; +L_0x197c490 .delay 1 (20,20,20) L_0x197c490/d; +v0x18df100_0 .net "a", 0 0, L_0x197c630; 1 drivers +v0x18e0660_0 .net "b", 0 0, L_0x197b400; 1 drivers +v0x18e1150_0 .net "b0", 0 0, L_0x197c750; 1 drivers +v0x18e31a0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18e51d0_0 .net "carryout", 0 0, L_0x197c490; alias, 1 drivers +v0x18e7200_0 .net "cout1", 0 0, L_0x197c1d0; 1 drivers +v0x18e9230_0 .net "cout2", 0 0, L_0x197c330; 1 drivers +v0x18eb260_0 .net "subtract", 0 0, L_0x197ba20; alias, 1 drivers +v0x18ed290_0 .net "sum", 0 0, L_0x197c070; 1 drivers +v0x18f12f0_0 .net "sumAB", 0 0, L_0x197bf60; 1 drivers +S_0x1820520 .scope generate, "genblk1[17]" "genblk1[17]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18f13b0 .param/l "i" 0 2 47, +C4<010001>; +S_0x181e870 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1820520; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197bdd0/d .functor XOR 1, L_0x197d190, L_0x197c490, C4<0>, C4<0>; +L_0x197bdd0 .delay 1 (20,20,20) L_0x197bdd0/d; +L_0x197c950/d .functor XOR 1, L_0x197d070, L_0x197bdd0, C4<0>, C4<0>; +L_0x197c950 .delay 1 (20,20,20) L_0x197c950/d; +L_0x197cab0/d .functor XOR 1, L_0x197c950, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197cab0 .delay 1 (20,20,20) L_0x197cab0/d; +L_0x197cc10/d .functor AND 1, L_0x197d070, L_0x197bdd0, C4<1>, C4<1>; +L_0x197cc10 .delay 1 (20,20,20) L_0x197cc10/d; +L_0x197cd70/d .functor AND 1, L_0x197c950, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197cd70 .delay 1 (20,20,20) L_0x197cd70/d; +L_0x197ced0/d .functor OR 1, L_0x197cc10, L_0x197cd70, C4<0>, C4<0>; +L_0x197ced0 .delay 1 (20,20,20) L_0x197ced0/d; +v0x18f3520_0 .net "a", 0 0, L_0x197d070; 1 drivers +v0x18f4030_0 .net "b", 0 0, L_0x197bdd0; 1 drivers +v0x18f4c40_0 .net "b0", 0 0, L_0x197d190; 1 drivers +v0x18f51b0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18f57d0_0 .net "carryout", 0 0, L_0x197ced0; alias, 1 drivers +v0x18f63a0_0 .net "cout1", 0 0, L_0x197cc10; 1 drivers +v0x18f6910_0 .net "cout2", 0 0, L_0x197cd70; 1 drivers +v0x18f6f30_0 .net "subtract", 0 0, L_0x197c490; alias, 1 drivers +v0x18f7b00_0 .net "sum", 0 0, L_0x197cab0; 1 drivers +v0x18f8690_0 .net "sumAB", 0 0, L_0x197c950; 1 drivers +S_0x181e4f0 .scope generate, "genblk1[18]" "genblk1[18]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18f8750 .param/l "i" 0 2 47, +C4<010010>; +S_0x181c830 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x181e4f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197c840/d .functor XOR 1, L_0x197dbe0, L_0x197ced0, C4<0>, C4<0>; +L_0x197c840 .delay 1 (20,20,20) L_0x197c840/d; +L_0x197d3a0/d .functor XOR 1, L_0x197dac0, L_0x197c840, C4<0>, C4<0>; +L_0x197d3a0 .delay 1 (20,20,20) L_0x197d3a0/d; +L_0x197d500/d .functor XOR 1, L_0x197d3a0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197d500 .delay 1 (20,20,20) L_0x197d500/d; +L_0x197d660/d .functor AND 1, L_0x197dac0, L_0x197c840, C4<1>, C4<1>; +L_0x197d660 .delay 1 (20,20,20) L_0x197d660/d; +L_0x197d7c0/d .functor AND 1, L_0x197d3a0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197d7c0 .delay 1 (20,20,20) L_0x197d7c0/d; +L_0x197d920/d .functor OR 1, L_0x197d660, L_0x197d7c0, C4<0>, C4<0>; +L_0x197d920 .delay 1 (20,20,20) L_0x197d920/d; +v0x18f9260_0 .net "a", 0 0, L_0x197dac0; 1 drivers +v0x18f9790_0 .net "b", 0 0, L_0x197c840; 1 drivers +v0x18f9e10_0 .net "b0", 0 0, L_0x197dbe0; 1 drivers +v0x18fa340_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18fa9c0_0 .net "carryout", 0 0, L_0x197d920; alias, 1 drivers +v0x18faef0_0 .net "cout1", 0 0, L_0x197d660; 1 drivers +v0x18fb570_0 .net "cout2", 0 0, L_0x197d7c0; 1 drivers +v0x18fbaa0_0 .net "subtract", 0 0, L_0x197ced0; alias, 1 drivers +v0x18fc120_0 .net "sum", 0 0, L_0x197d500; 1 drivers +v0x18fccd0_0 .net "sumAB", 0 0, L_0x197d3a0; 1 drivers +S_0x181c4b0 .scope generate, "genblk1[19]" "genblk1[19]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18fcd90 .param/l "i" 0 2 47, +C4<010011>; +S_0x181a7f0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x181c4b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197d280/d .functor XOR 1, L_0x197e640, L_0x197d920, C4<0>, C4<0>; +L_0x197d280 .delay 1 (20,20,20) L_0x197d280/d; +L_0x197de00/d .functor XOR 1, L_0x197e520, L_0x197d280, C4<0>, C4<0>; +L_0x197de00 .delay 1 (20,20,20) L_0x197de00/d; +L_0x197df60/d .functor XOR 1, L_0x197de00, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197df60 .delay 1 (20,20,20) L_0x197df60/d; +L_0x197e0c0/d .functor AND 1, L_0x197e520, L_0x197d280, C4<1>, C4<1>; +L_0x197e0c0 .delay 1 (20,20,20) L_0x197e0c0/d; +L_0x197e220/d .functor AND 1, L_0x197de00, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197e220 .delay 1 (20,20,20) L_0x197e220/d; +L_0x197e380/d .functor OR 1, L_0x197e0c0, L_0x197e220, C4<0>, C4<0>; +L_0x197e380 .delay 1 (20,20,20) L_0x197e380/d; +v0x18fd880_0 .net "a", 0 0, L_0x197e520; 1 drivers +v0x18fddb0_0 .net "b", 0 0, L_0x197d280; 1 drivers +v0x18fe430_0 .net "b0", 0 0, L_0x197e640; 1 drivers +v0x18fe960_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18ff020_0 .net "carryout", 0 0, L_0x197e380; alias, 1 drivers +v0x18ff510_0 .net "cout1", 0 0, L_0x197e0c0; 1 drivers +v0x18ffbe0_0 .net "cout2", 0 0, L_0x197e220; 1 drivers +v0x19000c0_0 .net "subtract", 0 0, L_0x197d920; alias, 1 drivers +v0x1900790_0 .net "sum", 0 0, L_0x197df60; 1 drivers +v0x1901340_0 .net "sumAB", 0 0, L_0x197de00; 1 drivers +S_0x181a470 .scope generate, "genblk1[20]" "genblk1[20]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1901400 .param/l "i" 0 2 47, +C4<010100>; +S_0x18187b0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x181a470; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197dcd0/d .functor XOR 1, L_0x197f0b0, L_0x197e380, C4<0>, C4<0>; +L_0x197dcd0 .delay 1 (20,20,20) L_0x197dcd0/d; +L_0x197e870/d .functor XOR 1, L_0x197ef90, L_0x197dcd0, C4<0>, C4<0>; +L_0x197e870 .delay 1 (20,20,20) L_0x197e870/d; +L_0x197e9d0/d .functor XOR 1, L_0x197e870, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197e9d0 .delay 1 (20,20,20) L_0x197e9d0/d; +L_0x197eb30/d .functor AND 1, L_0x197ef90, L_0x197dcd0, C4<1>, C4<1>; +L_0x197eb30 .delay 1 (20,20,20) L_0x197eb30/d; +L_0x197ec90/d .functor AND 1, L_0x197e870, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197ec90 .delay 1 (20,20,20) L_0x197ec90/d; +L_0x197edf0/d .functor OR 1, L_0x197eb30, L_0x197ec90, C4<0>, C4<0>; +L_0x197edf0 .delay 1 (20,20,20) L_0x197edf0/d; +v0x1901ef0_0 .net "a", 0 0, L_0x197ef90; 1 drivers +v0x19023d0_0 .net "b", 0 0, L_0x197dcd0; 1 drivers +v0x1902aa0_0 .net "b0", 0 0, L_0x197f0b0; 1 drivers +v0x1903ba0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1904740_0 .net "carryout", 0 0, L_0x197edf0; alias, 1 drivers +v0x19052e0_0 .net "cout1", 0 0, L_0x197eb30; 1 drivers +v0x1905e80_0 .net "cout2", 0 0, L_0x197ec90; 1 drivers +v0x1906a20_0 .net "subtract", 0 0, L_0x197e380; alias, 1 drivers +v0x19075c0_0 .net "sum", 0 0, L_0x197e9d0; 1 drivers +v0x18b7d30_0 .net "sumAB", 0 0, L_0x197e870; 1 drivers +S_0x1818430 .scope generate, "genblk1[21]" "genblk1[21]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18b7df0 .param/l "i" 0 2 47, +C4<010101>; +S_0x1816770 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1818430; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197e730/d .functor XOR 1, L_0x197fb30, L_0x197edf0, C4<0>, C4<0>; +L_0x197e730 .delay 1 (20,20,20) L_0x197e730/d; +L_0x197f2f0/d .functor XOR 1, L_0x197f9d0, L_0x197e730, C4<0>, C4<0>; +L_0x197f2f0 .delay 1 (20,20,20) L_0x197f2f0/d; +L_0x197f450/d .functor XOR 1, L_0x197f2f0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197f450 .delay 1 (20,20,20) L_0x197f450/d; +L_0x197f5b0/d .functor AND 1, L_0x197f9d0, L_0x197e730, C4<1>, C4<1>; +L_0x197f5b0 .delay 1 (20,20,20) L_0x197f5b0/d; +L_0x197f710/d .functor AND 1, L_0x197f2f0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x197f710 .delay 1 (20,20,20) L_0x197f710/d; +L_0x197f870/d .functor OR 1, L_0x197f5b0, L_0x197f710, C4<0>, C4<0>; +L_0x197f870 .delay 1 (20,20,20) L_0x197f870/d; +v0x1909c30_0 .net "a", 0 0, L_0x197f9d0; 1 drivers +v0x18bff70_0 .net "b", 0 0, L_0x197e730; 1 drivers +v0x190be50_0 .net "b0", 0 0, L_0x197fb30; 1 drivers +v0x18c1fb0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18d2140_0 .net "carryout", 0 0, L_0x197f870; alias, 1 drivers +v0x18d4190_0 .net "cout1", 0 0, L_0x197f5b0; 1 drivers +v0x18d61d0_0 .net "cout2", 0 0, L_0x197f710; 1 drivers +v0x18d8200_0 .net "subtract", 0 0, L_0x197edf0; alias, 1 drivers +v0x18da250_0 .net "sum", 0 0, L_0x197f450; 1 drivers +v0x18de2f0_0 .net "sumAB", 0 0, L_0x197f2f0; 1 drivers +S_0x18163f0 .scope generate, "genblk1[22]" "genblk1[22]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18de3b0 .param/l "i" 0 2 47, +C4<010110>; +S_0x1814730 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18163f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197f1a0/d .functor XOR 1, L_0x1980520, L_0x197f870, C4<0>, C4<0>; +L_0x197f1a0 .delay 1 (20,20,20) L_0x197f1a0/d; +L_0x197fd30/d .functor XOR 1, L_0x1980400, L_0x197f1a0, C4<0>, C4<0>; +L_0x197fd30 .delay 1 (20,20,20) L_0x197fd30/d; +L_0x197fe40/d .functor XOR 1, L_0x197fd30, v0x17b6f60_0, C4<0>, C4<0>; +L_0x197fe40 .delay 1 (20,20,20) L_0x197fe40/d; +L_0x197ffa0/d .functor AND 1, L_0x1980400, L_0x197f1a0, C4<1>, C4<1>; +L_0x197ffa0 .delay 1 (20,20,20) L_0x197ffa0/d; +L_0x1980100/d .functor AND 1, L_0x197fd30, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1980100 .delay 1 (20,20,20) L_0x1980100/d; +L_0x1980260/d .functor OR 1, L_0x197ffa0, L_0x1980100, C4<0>, C4<0>; +L_0x1980260 .delay 1 (20,20,20) L_0x1980260/d; +v0x18e0340_0 .net "a", 0 0, L_0x1980400; 1 drivers +v0x18e2390_0 .net "b", 0 0, L_0x197f1a0; 1 drivers +v0x1855000_0 .net "b0", 0 0, L_0x1980520; 1 drivers +v0x1907c90_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1907d30_0 .net "carryout", 0 0, L_0x1980260; alias, 1 drivers +v0x19070f0_0 .net "cout1", 0 0, L_0x197ffa0; 1 drivers +v0x1906550_0 .net "cout2", 0 0, L_0x1980100; 1 drivers +v0x19059b0_0 .net "subtract", 0 0, L_0x197f870; alias, 1 drivers +v0x1905a50_0 .net "sum", 0 0, L_0x197fe40; 1 drivers +v0x1904270_0 .net "sumAB", 0 0, L_0x197fd30; 1 drivers +S_0x18143b0 .scope generate, "genblk1[23]" "genblk1[23]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1847f20 .param/l "i" 0 2 47, +C4<010111>; +S_0x17d7a30 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x18143b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x197fbd0/d .functor XOR 1, L_0x1980f70, L_0x1980260, C4<0>, C4<0>; +L_0x197fbd0 .delay 1 (20,20,20) L_0x197fbd0/d; +L_0x1980780/d .functor XOR 1, L_0x1980e50, L_0x197fbd0, C4<0>, C4<0>; +L_0x1980780 .delay 1 (20,20,20) L_0x1980780/d; +L_0x1980890/d .functor XOR 1, L_0x1980780, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1980890 .delay 1 (20,20,20) L_0x1980890/d; +L_0x19809f0/d .functor AND 1, L_0x1980e50, L_0x197fbd0, C4<1>, C4<1>; +L_0x19809f0 .delay 1 (20,20,20) L_0x19809f0/d; +L_0x1980b50/d .functor AND 1, L_0x1980780, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1980b50 .delay 1 (20,20,20) L_0x1980b50/d; +L_0x1980cb0/d .functor OR 1, L_0x19809f0, L_0x1980b50, C4<0>, C4<0>; +L_0x1980cb0 .delay 1 (20,20,20) L_0x1980cb0/d; +v0x1903770_0 .net "a", 0 0, L_0x1980e50; 1 drivers +v0x18f7460_0 .net "b", 0 0, L_0x197fbd0; 1 drivers +v0x18f5d00_0 .net "b0", 0 0, L_0x1980f70; 1 drivers +v0x18f5da0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18f45a0_0 .net "carryout", 0 0, L_0x1980cb0; alias, 1 drivers +v0x18f39c0_0 .net "cout1", 0 0, L_0x19809f0; 1 drivers +v0x18f28c0_0 .net "cout2", 0 0, L_0x1980b50; 1 drivers +v0x18f0890_0 .net "subtract", 0 0, L_0x1980260; alias, 1 drivers +v0x18f0930_0 .net "sum", 0 0, L_0x1980890; 1 drivers +v0x18ee860_0 .net "sumAB", 0 0, L_0x1980780; 1 drivers +S_0x17d59e0 .scope generate, "genblk1[24]" "genblk1[24]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x184be10 .param/l "i" 0 2 47, +C4<011000>; +S_0x17d3990 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17d59e0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1980610/d .functor XOR 1, L_0x19819d0, L_0x1980cb0, C4<0>, C4<0>; +L_0x1980610 .delay 1 (20,20,20) L_0x1980610/d; +L_0x19811e0/d .functor XOR 1, L_0x19818b0, L_0x1980610, C4<0>, C4<0>; +L_0x19811e0 .delay 1 (20,20,20) L_0x19811e0/d; +L_0x19812f0/d .functor XOR 1, L_0x19811e0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19812f0 .delay 1 (20,20,20) L_0x19812f0/d; +L_0x1981450/d .functor AND 1, L_0x19818b0, L_0x1980610, C4<1>, C4<1>; +L_0x1981450 .delay 1 (20,20,20) L_0x1981450/d; +L_0x19815b0/d .functor AND 1, L_0x19811e0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x19815b0 .delay 1 (20,20,20) L_0x19815b0/d; +L_0x1981710/d .functor OR 1, L_0x1981450, L_0x19815b0, C4<0>, C4<0>; +L_0x1981710 .delay 1 (20,20,20) L_0x1981710/d; +v0x18ec8d0_0 .net "a", 0 0, L_0x19818b0; 1 drivers +v0x18ea800_0 .net "b", 0 0, L_0x1980610; 1 drivers +v0x18e87d0_0 .net "b0", 0 0, L_0x19819d0; 1 drivers +v0x18e8870_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18e67a0_0 .net "carryout", 0 0, L_0x1981710; alias, 1 drivers +v0x18e4770_0 .net "cout1", 0 0, L_0x1981450; 1 drivers +v0x18d0440_0 .net "cout2", 0 0, L_0x19815b0; 1 drivers +v0x18ce410_0 .net "subtract", 0 0, L_0x1980cb0; alias, 1 drivers +v0x18ce4b0_0 .net "sum", 0 0, L_0x19812f0; 1 drivers +v0x18cc470_0 .net "sumAB", 0 0, L_0x19811e0; 1 drivers +S_0x17d1940 .scope generate, "genblk1[25]" "genblk1[25]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18503d0 .param/l "i" 0 2 47, +C4<011001>; +S_0x17bf760 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17d1940; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1981060/d .functor XOR 1, L_0x1977920, L_0x1981710, C4<0>, C4<0>; +L_0x1981060 .delay 1 (20,20,20) L_0x1981060/d; +L_0x1981c50/d .functor XOR 1, L_0x1982320, L_0x1981060, C4<0>, C4<0>; +L_0x1981c50 .delay 1 (20,20,20) L_0x1981c50/d; +L_0x1981d60/d .functor XOR 1, L_0x1981c50, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1981d60 .delay 1 (20,20,20) L_0x1981d60/d; +L_0x1981ec0/d .functor AND 1, L_0x1982320, L_0x1981060, C4<1>, C4<1>; +L_0x1981ec0 .delay 1 (20,20,20) L_0x1981ec0/d; +L_0x1982020/d .functor AND 1, L_0x1981c50, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1982020 .delay 1 (20,20,20) L_0x1982020/d; +L_0x1982180/d .functor OR 1, L_0x1981ec0, L_0x1982020, C4<0>, C4<0>; +L_0x1982180 .delay 1 (20,20,20) L_0x1982180/d; +v0x18ca450_0 .net "a", 0 0, L_0x1982320; 1 drivers +v0x18c8380_0 .net "b", 0 0, L_0x1981060; 1 drivers +v0x18c6350_0 .net "b0", 0 0, L_0x1977920; 1 drivers +v0x18c63f0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18c4320_0 .net "carryout", 0 0, L_0x1982180; alias, 1 drivers +v0x18c22f0_0 .net "cout1", 0 0, L_0x1981ec0; 1 drivers +v0x18a3c70_0 .net "cout2", 0 0, L_0x1982020; 1 drivers +v0x18a2ff0_0 .net "subtract", 0 0, L_0x1981710; alias, 1 drivers +v0x18a3090_0 .net "sum", 0 0, L_0x1981d60; 1 drivers +v0x18a2400_0 .net "sumAB", 0 0, L_0x1981c50; 1 drivers +S_0x17bd710 .scope generate, "genblk1[26]" "genblk1[26]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1854510 .param/l "i" 0 2 47, +C4<011010>; +S_0x17bb6c0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17bd710; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1977bb0/d .functor XOR 1, L_0x19832f0, L_0x1982180, C4<0>, C4<0>; +L_0x1977bb0 .delay 1 (20,20,20) L_0x1977bb0/d; +L_0x1977cb0/d .functor XOR 1, L_0x19831d0, L_0x1977bb0, C4<0>, C4<0>; +L_0x1977cb0 .delay 1 (20,20,20) L_0x1977cb0/d; +L_0x1982c60/d .functor XOR 1, L_0x1977cb0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1982c60 .delay 1 (20,20,20) L_0x1982c60/d; +L_0x1982d70/d .functor AND 1, L_0x19831d0, L_0x1977bb0, C4<1>, C4<1>; +L_0x1982d70 .delay 1 (20,20,20) L_0x1982d70/d; +L_0x1982ed0/d .functor AND 1, L_0x1977cb0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1982ed0 .delay 1 (20,20,20) L_0x1982ed0/d; +L_0x1983030/d .functor OR 1, L_0x1982d70, L_0x1982ed0, C4<0>, C4<0>; +L_0x1983030 .delay 1 (20,20,20) L_0x1983030/d; +v0x1891c50_0 .net "a", 0 0, L_0x19831d0; 1 drivers +v0x1890f30_0 .net "b", 0 0, L_0x1977bb0; 1 drivers +v0x18902b0_0 .net "b0", 0 0, L_0x19832f0; 1 drivers +v0x188f770_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x188f810_0 .net "carryout", 0 0, L_0x1983030; alias, 1 drivers +v0x188ec80_0 .net "cout1", 0 0, L_0x1982d70; 1 drivers +v0x188e190_0 .net "cout2", 0 0, L_0x1982ed0; 1 drivers +v0x188d6a0_0 .net "subtract", 0 0, L_0x1982180; alias, 1 drivers +v0x188d740_0 .net "sum", 0 0, L_0x1982c60; 1 drivers +v0x1871c80_0 .net "sumAB", 0 0, L_0x1977cb0; 1 drivers +S_0x17b9a00 .scope generate, "genblk1[27]" "genblk1[27]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x1858ff0 .param/l "i" 0 2 47, +C4<011011>; +S_0x17b9680 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17b9a00; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1977a10/d .functor XOR 1, L_0x1983d30, L_0x1983030, C4<0>, C4<0>; +L_0x1977a10 .delay 1 (20,20,20) L_0x1977a10/d; +L_0x1983590/d .functor XOR 1, L_0x1983c10, L_0x1977a10, C4<0>, C4<0>; +L_0x1983590 .delay 1 (20,20,20) L_0x1983590/d; +L_0x1983650/d .functor XOR 1, L_0x1983590, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1983650 .delay 1 (20,20,20) L_0x1983650/d; +L_0x19837b0/d .functor AND 1, L_0x1983c10, L_0x1977a10, C4<1>, C4<1>; +L_0x19837b0 .delay 1 (20,20,20) L_0x19837b0/d; +L_0x1983910/d .functor AND 1, L_0x1983590, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1983910 .delay 1 (20,20,20) L_0x1983910/d; +L_0x1983a70/d .functor OR 1, L_0x19837b0, L_0x1983910, C4<0>, C4<0>; +L_0x1983a70 .delay 1 (20,20,20) L_0x1983a70/d; +v0x1871010_0 .net "a", 0 0, L_0x1983c10; 1 drivers +v0x18702f0_0 .net "b", 0 0, L_0x1977a10; 1 drivers +v0x186f670_0 .net "b0", 0 0, L_0x1983d30; 1 drivers +v0x186f710_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x186e9f0_0 .net "carryout", 0 0, L_0x1983a70; alias, 1 drivers +v0x186dd70_0 .net "cout1", 0 0, L_0x19837b0; 1 drivers +v0x186d0f0_0 .net "cout2", 0 0, L_0x1983910; 1 drivers +v0x186c470_0 .net "subtract", 0 0, L_0x1983030; alias, 1 drivers +v0x186c510_0 .net "sum", 0 0, L_0x1983650; 1 drivers +v0x186b880_0 .net "sumAB", 0 0, L_0x1983590; 1 drivers +S_0x17b79c0 .scope generate, "genblk1[28]" "genblk1[28]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x185f420 .param/l "i" 0 2 47, +C4<011100>; +S_0x17b7640 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17b79c0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19833e0/d .functor XOR 1, L_0x1984780, L_0x1983a70, C4<0>, C4<0>; +L_0x19833e0 .delay 1 (20,20,20) L_0x19833e0/d; +L_0x1983fe0/d .functor XOR 1, L_0x1984660, L_0x19833e0, C4<0>, C4<0>; +L_0x1983fe0 .delay 1 (20,20,20) L_0x1983fe0/d; +L_0x19840a0/d .functor XOR 1, L_0x1983fe0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x19840a0 .delay 1 (20,20,20) L_0x19840a0/d; +L_0x1984200/d .functor AND 1, L_0x1984660, L_0x19833e0, C4<1>, C4<1>; +L_0x1984200 .delay 1 (20,20,20) L_0x1984200/d; +L_0x1984360/d .functor AND 1, L_0x1983fe0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1984360 .delay 1 (20,20,20) L_0x1984360/d; +L_0x19844c0/d .functor OR 1, L_0x1984200, L_0x1984360, C4<0>, C4<0>; +L_0x19844c0 .delay 1 (20,20,20) L_0x19844c0/d; +v0x186ac10_0 .net "a", 0 0, L_0x1984660; 1 drivers +v0x1869ef0_0 .net "b", 0 0, L_0x19833e0; 1 drivers +v0x1869270_0 .net "b0", 0 0, L_0x1984780; 1 drivers +v0x1869310_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x18685f0_0 .net "carryout", 0 0, L_0x19844c0; alias, 1 drivers +v0x1867970_0 .net "cout1", 0 0, L_0x1984200; 1 drivers +v0x1866cf0_0 .net "cout2", 0 0, L_0x1984360; 1 drivers +v0x1866070_0 .net "subtract", 0 0, L_0x1983a70; alias, 1 drivers +v0x1866110_0 .net "sum", 0 0, L_0x19840a0; 1 drivers +v0x1865480_0 .net "sumAB", 0 0, L_0x1983fe0; 1 drivers +S_0x17b5980 .scope generate, "genblk1[29]" "genblk1[29]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x18340e0 .param/l "i" 0 2 47, +C4<011101>; +S_0x17b5600 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17b5980; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1983e20/d .functor XOR 1, L_0x19851c0, L_0x19844c0, C4<0>, C4<0>; +L_0x1983e20 .delay 1 (20,20,20) L_0x1983e20/d; +L_0x1983f70/d .functor XOR 1, L_0x19850a0, L_0x1983e20, C4<0>, C4<0>; +L_0x1983f70 .delay 1 (20,20,20) L_0x1983f70/d; +L_0x1984ae0/d .functor XOR 1, L_0x1983f70, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1984ae0 .delay 1 (20,20,20) L_0x1984ae0/d; +L_0x1984c40/d .functor AND 1, L_0x19850a0, L_0x1983e20, C4<1>, C4<1>; +L_0x1984c40 .delay 1 (20,20,20) L_0x1984c40/d; +L_0x1984da0/d .functor AND 1, L_0x1983f70, v0x17b6f60_0, C4<1>, C4<1>; +L_0x1984da0 .delay 1 (20,20,20) L_0x1984da0/d; +L_0x1984f00/d .functor OR 1, L_0x1984c40, L_0x1984da0, C4<0>, C4<0>; +L_0x1984f00 .delay 1 (20,20,20) L_0x1984f00/d; +v0x1864810_0 .net "a", 0 0, L_0x19850a0; 1 drivers +v0x1863af0_0 .net "b", 0 0, L_0x1983e20; 1 drivers +v0x1862e70_0 .net "b0", 0 0, L_0x19851c0; 1 drivers +v0x1862f10_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x1862140_0 .net "carryout", 0 0, L_0x1984f00; alias, 1 drivers +v0x185bdf0_0 .net "cout1", 0 0, L_0x1984c40; 1 drivers +v0x180c3f0_0 .net "cout2", 0 0, L_0x1984da0; 1 drivers +v0x1846670_0 .net "subtract", 0 0, L_0x19844c0; alias, 1 drivers +v0x1846710_0 .net "sum", 0 0, L_0x1984ae0; 1 drivers +v0x18446d0_0 .net "sumAB", 0 0, L_0x1983f70; 1 drivers +S_0x17b3940 .scope generate, "genblk1[30]" "genblk1[30]" 2 47, 2 47 0, S_0x180cd20; + .timescale 0 0; +P_0x187d340 .param/l "i" 0 2 47, +C4<011110>; +S_0x17b35c0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17b3940; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1984870/d .functor XOR 1, L_0x1985c60, L_0x1984f00, C4<0>, C4<0>; +L_0x1984870 .delay 1 (20,20,20) L_0x1984870/d; +L_0x19849c0/d .functor XOR 1, L_0x1985b40, L_0x1984870, C4<0>, C4<0>; +L_0x19849c0 .delay 1 (20,20,20) L_0x19849c0/d; +L_0x1985530/d .functor XOR 1, L_0x19849c0, v0x17b6f60_0, C4<0>, C4<0>; +L_0x1985530 .delay 1 (20,20,20) L_0x1985530/d; +L_0x1985690/d .functor AND 1, L_0x1985b40, L_0x1984870, C4<1>, C4<1>; +L_0x1985690 .delay 1 (20,20,20) L_0x1985690/d; +L_0x19857f0/d .functor AND 1, L_0x19849c0, v0x17b6f60_0, C4<1>, C4<1>; +L_0x19857f0 .delay 1 (20,20,20) L_0x19857f0/d; +L_0x1985950/d .functor OR 1, L_0x1985690, L_0x19857f0, C4<0>, C4<0>; +L_0x1985950 .delay 1 (20,20,20) L_0x1985950/d; +v0x1842660_0 .net "a", 0 0, L_0x1985b40; 1 drivers +v0x1830360_0 .net "b", 0 0, L_0x1984870; 1 drivers +v0x182e330_0 .net "b0", 0 0, L_0x1985c60; 1 drivers +v0x182e3d0_0 .net "carryin", 0 0, v0x17b6f60_0; alias, 1 drivers +v0x182c300_0 .net "carryout", 0 0, L_0x1985950; alias, 1 drivers +v0x182a280_0 .net "cout1", 0 0, L_0x1985690; 1 drivers +v0x1828250_0 .net "cout2", 0 0, L_0x19857f0; 1 drivers +v0x1826220_0 .net "subtract", 0 0, L_0x1984f00; alias, 1 drivers +v0x18262c0_0 .net "sum", 0 0, L_0x1985530; 1 drivers +v0x1824280_0 .net "sumAB", 0 0, L_0x19849c0; 1 drivers +S_0x17b1900 .scope generate, "genblk2[0]" "genblk2[0]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x1882050 .param/l "j" 0 2 57, +C4<00>; +L_0x19852b0/d .functor NOR 1, L_0x198c890, L_0x1985370, C4<0>, C4<0>; +L_0x19852b0 .delay 1 (10,10,10) L_0x19852b0/d; +v0x18cee20_0 .net *"_s3", 0 0, L_0x1985370; 1 drivers +S_0x17b1580 .scope generate, "genblk2[1]" "genblk2[1]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x1885c40 .param/l "j" 0 2 57, +C4<01>; +L_0x1985fe0/d .functor NOR 1, L_0x19852b0, L_0x19860f0, C4<0>, C4<0>; +L_0x1985fe0 .delay 1 (10,10,10) L_0x1985fe0/d; +v0x18221c0_0 .net *"_s3", 0 0, L_0x19860f0; 1 drivers +S_0x179f720 .scope generate, "genblk2[2]" "genblk2[2]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x1888710 .param/l "j" 0 2 57, +C4<010>; +L_0x1985d50/d .functor NOR 1, L_0x1985fe0, L_0x1986450, C4<0>, C4<0>; +L_0x1985d50 .delay 1 (10,10,10) L_0x1985d50/d; +v0x1810400_0 .net *"_s3", 0 0, L_0x1986450; 1 drivers +S_0x179f3a0 .scope generate, "genblk2[3]" "genblk2[3]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x188a950 .param/l "j" 0 2 57, +C4<011>; +L_0x1986580/d .functor NOR 1, L_0x1985d50, L_0x1986640, C4<0>, C4<0>; +L_0x1986580 .delay 1 (10,10,10) L_0x1986580/d; +v0x180e600_0 .net *"_s3", 0 0, L_0x1986640; 1 drivers +S_0x18f2f90 .scope generate, "genblk2[4]" "genblk2[4]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x188bdb0 .param/l "j" 0 2 57, +C4<0100>; +L_0x1986250/d .functor NOR 1, L_0x1986580, L_0x19863b0, C4<0>, C4<0>; +L_0x1986250 .delay 1 (10,10,10) L_0x1986250/d; +v0x180c740_0 .net *"_s3", 0 0, L_0x19863b0; 1 drivers +S_0x18e2e10 .scope generate, "genblk2[5]" "genblk2[5]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18a6980 .param/l "j" 0 2 57, +C4<0101>; +L_0x1986a00/d .functor NOR 1, L_0x1986250, L_0x1986b10, C4<0>, C4<0>; +L_0x1986a00 .delay 1 (10,10,10) L_0x1986a00/d; +v0x17eca50_0 .net *"_s3", 0 0, L_0x1986b10; 1 drivers +S_0x18e0dc0 .scope generate, "genblk2[6]" "genblk2[6]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18a9ce0 .param/l "j" 0 2 57, +C4<0110>; +L_0x19867a0/d .functor NOR 1, L_0x1986a00, L_0x1986900, C4<0>, C4<0>; +L_0x19867a0 .delay 1 (10,10,10) L_0x19867a0/d; +v0x17ebeb0_0 .net *"_s3", 0 0, L_0x1986900; 1 drivers +S_0x18ded70 .scope generate, "genblk2[7]" "genblk2[7]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18ad040 .param/l "j" 0 2 57, +C4<0111>; +L_0x19864f0/d .functor NOR 1, L_0x19867a0, L_0x1987090, C4<0>, C4<0>; +L_0x19864f0 .delay 1 (10,10,10) L_0x19864f0/d; +v0x17eb310_0 .net *"_s3", 0 0, L_0x1987090; 1 drivers +S_0x18dcd20 .scope generate, "genblk2[8]" "genblk2[8]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18af280 .param/l "j" 0 2 57, +C4<01000>; +L_0x1986c70/d .functor NOR 1, L_0x19864f0, L_0x1986d80, C4<0>, C4<0>; +L_0x1986c70 .delay 1 (10,10,10) L_0x1986c70/d; +v0x17ea770_0 .net *"_s3", 0 0, L_0x1986d80; 1 drivers +S_0x18dacd0 .scope generate, "genblk2[9]" "genblk2[9]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18b0c30 .param/l "j" 0 2 57, +C4<01001>; +L_0x1987470/d .functor NOR 1, L_0x1986c70, L_0x1987580, C4<0>, C4<0>; +L_0x1987470 .delay 1 (10,10,10) L_0x1987470/d; +v0x17e9bd0_0 .net *"_s3", 0 0, L_0x1987580; 1 drivers +S_0x18d8c80 .scope generate, "genblk2[10]" "genblk2[10]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18b3700 .param/l "j" 0 2 57, +C4<01010>; +L_0x19871f0/d .functor NOR 1, L_0x1987470, L_0x1987300, C4<0>, C4<0>; +L_0x19871f0 .delay 1 (10,10,10) L_0x19871f0/d; +v0x17e9030_0 .net *"_s3", 0 0, L_0x1987300; 1 drivers +S_0x18d6c30 .scope generate, "genblk2[11]" "genblk2[11]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18b5b30 .param/l "j" 0 2 57, +C4<01011>; +L_0x1987970/d .functor NOR 1, L_0x19871f0, L_0x1987a80, C4<0>, C4<0>; +L_0x1987970 .delay 1 (10,10,10) L_0x1987970/d; +v0x17e8490_0 .net *"_s3", 0 0, L_0x1987a80; 1 drivers +S_0x18d0850 .scope generate, "genblk2[12]" "genblk2[12]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18bed20 .param/l "j" 0 2 57, +C4<01100>; +L_0x19876e0/d .functor NOR 1, L_0x1987970, L_0x19877f0, C4<0>, C4<0>; +L_0x19876e0 .delay 1 (10,10,10) L_0x19876e0/d; +v0x17e78f0_0 .net *"_s3", 0 0, L_0x19877f0; 1 drivers +S_0x18ce820 .scope generate, "genblk2[13]" "genblk2[13]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18c8e30 .param/l "j" 0 2 57, +C4<01101>; +L_0x1987e80/d .functor NOR 1, L_0x19876e0, L_0x1987f90, C4<0>, C4<0>; +L_0x1987e80 .delay 1 (10,10,10) L_0x1987e80/d; +v0x17e6d50_0 .net *"_s3", 0 0, L_0x1987f90; 1 drivers +S_0x18cc7f0 .scope generate, "genblk2[14]" "genblk2[14]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18d2f40 .param/l "j" 0 2 57, +C4<01110>; +L_0x1987be0/d .functor NOR 1, L_0x1987e80, L_0x1987cf0, C4<0>, C4<0>; +L_0x1987be0 .delay 1 (10,10,10) L_0x1987be0/d; +v0x17e61b0_0 .net *"_s3", 0 0, L_0x1987cf0; 1 drivers +S_0x18ca7c0 .scope generate, "genblk2[15]" "genblk2[15]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18d7060 .param/l "j" 0 2 57, +C4<01111>; +L_0x1986ee0/d .functor NOR 1, L_0x1987be0, L_0x19885b0, C4<0>, C4<0>; +L_0x1986ee0 .delay 1 (10,10,10) L_0x1986ee0/d; +v0x17e5610_0 .net *"_s3", 0 0, L_0x19885b0; 1 drivers +S_0x18c8790 .scope generate, "genblk2[16]" "genblk2[16]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18de6b0 .param/l "j" 0 2 57, +C4<010000>; +L_0x19880f0/d .functor NOR 1, L_0x1986ee0, L_0x1988200, C4<0>, C4<0>; +L_0x19880f0 .delay 1 (10,10,10) L_0x19880f0/d; +v0x17e4a70_0 .net *"_s3", 0 0, L_0x1988200; 1 drivers +S_0x18c6760 .scope generate, "genblk2[17]" "genblk2[17]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18e11f0 .param/l "j" 0 2 57, +C4<010001>; +L_0x1988960/d .functor NOR 1, L_0x19880f0, L_0x1988a20, C4<0>, C4<0>; +L_0x1988960 .delay 1 (10,10,10) L_0x1988960/d; +v0x17e3ed0_0 .net *"_s3", 0 0, L_0x1988a20; 1 drivers +S_0x18c4730 .scope generate, "genblk2[18]" "genblk2[18]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18eb300 .param/l "j" 0 2 57, +C4<010010>; +L_0x19886a0/d .functor NOR 1, L_0x1988960, L_0x19887b0, C4<0>, C4<0>; +L_0x19886a0 .delay 1 (10,10,10) L_0x19886a0/d; +v0x17dce40_0 .net *"_s3", 0 0, L_0x19887b0; 1 drivers +S_0x18c2700 .scope generate, "genblk2[19]" "genblk2[19]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18f33c0 .param/l "j" 0 2 57, +C4<010011>; +L_0x1988e00/d .functor NOR 1, L_0x19886a0, L_0x1988f10, C4<0>, C4<0>; +L_0x1988e00 .delay 1 (10,10,10) L_0x1988e00/d; +v0x17db6e0_0 .net *"_s3", 0 0, L_0x1988f10; 1 drivers +S_0x18b8480 .scope generate, "genblk2[20]" "genblk2[20]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18f4ce0 .param/l "j" 0 2 57, +C4<010100>; +L_0x1988b80/d .functor NOR 1, L_0x1988e00, L_0x1988c90, C4<0>, C4<0>; +L_0x1988b80 .delay 1 (10,10,10) L_0x1988b80/d; +v0x17d9f80_0 .net *"_s3", 0 0, L_0x1988c90; 1 drivers +S_0x185e090 .scope generate, "genblk2[21]" "genblk2[21]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18f6fd0 .param/l "j" 0 2 57, +C4<010101>; +L_0x1989300/d .functor NOR 1, L_0x1988b80, L_0x1989410, C4<0>, C4<0>; +L_0x1989300 .delay 1 (10,10,10) L_0x1989300/d; +v0x17d8810_0 .net *"_s3", 0 0, L_0x1989410; 1 drivers +S_0x185dca0 .scope generate, "genblk2[22]" "genblk2[22]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18f8c80 .param/l "j" 0 2 57, +C4<010110>; +L_0x1989070/d .functor NOR 1, L_0x1989300, L_0x1989180, C4<0>, C4<0>; +L_0x1989070 .delay 1 (10,10,10) L_0x1989070/d; +v0x17d8140_0 .net *"_s3", 0 0, L_0x1989180; 1 drivers +S_0x1840ca0 .scope generate, "genblk2[23]" "genblk2[23]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18f9eb0 .param/l "j" 0 2 57, +C4<010111>; +L_0x1989810/d .functor NOR 1, L_0x1989070, L_0x1989920, C4<0>, C4<0>; +L_0x1989810 .delay 1 (10,10,10) L_0x1989810/d; +v0x17cf5a0_0 .net *"_s3", 0 0, L_0x1989920; 1 drivers +S_0x183ec50 .scope generate, "genblk2[24]" "genblk2[24]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18fbb40 .param/l "j" 0 2 57, +C4<011000>; +L_0x1989570/d .functor NOR 1, L_0x1989810, L_0x1989680, C4<0>, C4<0>; +L_0x1989570 .delay 1 (10,10,10) L_0x1989570/d; +v0x17cd570_0 .net *"_s3", 0 0, L_0x1989680; 1 drivers +S_0x183cc00 .scope generate, "genblk2[25]" "genblk2[25]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18fd2a0 .param/l "j" 0 2 57, +C4<011001>; +L_0x1989d30/d .functor NOR 1, L_0x1989570, L_0x1989e40, C4<0>, C4<0>; +L_0x1989d30 .delay 1 (10,10,10) L_0x1989d30/d; +v0x17cb540_0 .net *"_s3", 0 0, L_0x1989e40; 1 drivers +S_0x183abb0 .scope generate, "genblk2[26]" "genblk2[26]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x18fe4d0 .param/l "j" 0 2 57, +C4<011010>; +L_0x1989a80/d .functor NOR 1, L_0x1989d30, L_0x1989b90, C4<0>, C4<0>; +L_0x1989a80 .delay 1 (10,10,10) L_0x1989a80/d; +v0x17c9510_0 .net *"_s3", 0 0, L_0x1989b90; 1 drivers +S_0x1838b60 .scope generate, "genblk2[27]" "genblk2[27]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x1900160 .param/l "j" 0 2 57, +C4<011011>; +L_0x198a260/d .functor NOR 1, L_0x1989a80, L_0x198a370, C4<0>, C4<0>; +L_0x198a260 .delay 1 (10,10,10) L_0x198a260/d; +v0x17c74e0_0 .net *"_s3", 0 0, L_0x198a370; 1 drivers +S_0x1836b10 .scope generate, "genblk2[28]" "genblk2[28]" 2 57, 2 57 0, S_0x180cd20; + .timescale 0 0; +P_0x19018c0 .param/l "j" 0 2 57, +C4<011100>; +L_0x1989fa0/d .functor NOR 1, L_0x198a260, L_0x198a0b0, C4<0>, C4<0>; +L_0x1989fa0 .delay 1 (10,10,10) L_0x1989fa0/d; +v0x17c54b0_0 .net *"_s3", 0 0, L_0x198a0b0; 1 drivers +S_0x1834ac0 .scope module, "dut1" "alu32bitxor" 2 258, 2 64 0, S_0x17ef170; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" +v0x190cdf0_0 .net *"_s0", 0 0, L_0x198c630; 1 drivers +v0x18b9e90_0 .net *"_s100", 0 0, L_0x198e690; 1 drivers +v0x18f2c80_0 .net *"_s104", 0 0, L_0x1982530; 1 drivers +v0x18f2d40_0 .net *"_s108", 0 0, L_0x1982b20; 1 drivers +v0x18f0fd0_0 .net *"_s112", 0 0, L_0x1982be0; 1 drivers +v0x18eefa0_0 .net *"_s116", 0 0, L_0x19931e0; 1 drivers +v0x18ecf70_0 .net *"_s12", 0 0, L_0x198d980; 1 drivers +v0x18eaf40_0 .net *"_s120", 0 0, L_0x19934a0; 1 drivers +v0x18e8f10_0 .net *"_s124", 0 0, L_0x19946f0; 1 drivers +v0x18e6ee0_0 .net *"_s16", 0 0, L_0x198dce0; 1 drivers +v0x18e4eb0_0 .net *"_s20", 0 0, L_0x198e050; 1 drivers +v0x18d0bd0_0 .net *"_s24", 0 0, L_0x198e380; 1 drivers +v0x18ceba0_0 .net *"_s28", 0 0, L_0x198e310; 1 drivers +v0x18ccb70_0 .net *"_s32", 0 0, L_0x198ea40; 1 drivers +v0x18cab40_0 .net *"_s36", 0 0, L_0x198e9b0; 1 drivers +v0x18c8b10_0 .net *"_s4", 0 0, L_0x198d360; 1 drivers +v0x18c6ae0_0 .net *"_s40", 0 0, L_0x198ed50; 1 drivers +v0x18c6b80_0 .net *"_s44", 0 0, L_0x198f090; 1 drivers +v0x18c2a80_0 .net *"_s48", 0 0, L_0x198f3e0; 1 drivers +v0x1846db0_0 .net *"_s52", 0 0, L_0x198f740; 1 drivers +v0x1844d80_0 .net *"_s56", 0 0, L_0x198fa60; 1 drivers +v0x1842d50_0 .net *"_s60", 0 0, L_0x198fd90; 1 drivers +v0x1830aa0_0 .net *"_s64", 0 0, L_0x19900d0; 1 drivers +v0x182ea70_0 .net *"_s68", 0 0, L_0x1990420; 1 drivers +v0x182ca40_0 .net *"_s72", 0 0, L_0x1990780; 1 drivers +v0x182aa10_0 .net *"_s76", 0 0, L_0x1990a80; 1 drivers +v0x18289e0_0 .net *"_s8", 0 0, L_0x198d670; 1 drivers +v0x18269b0_0 .net *"_s80", 0 0, L_0x1990d90; 1 drivers +v0x1824980_0 .net *"_s84", 0 0, L_0x19910b0; 1 drivers +v0x1822950_0 .net *"_s88", 0 0, L_0x19913e0; 1 drivers +v0x18109b0_0 .net *"_s92", 0 0, L_0x1991720; 1 drivers +v0x180ebb0_0 .net *"_s96", 0 0, L_0x1991a20; 1 drivers +v0x180ca10_0 .net "carryout", 0 0, L_0x7f93f4ea0018; alias, 1 drivers +v0x180cab0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x17cfce0_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x17cfda0_0 .net "overflow", 0 0, L_0x7f93f4ea00a8; alias, 1 drivers +v0x17cdcb0_0 .net "result", 31 0, L_0x19937c0; alias, 1 drivers +v0x17cbc80_0 .net "zero", 0 0, L_0x7f93f4ea0060; alias, 1 drivers +L_0x198c740 .part o0x7f93f4eeeb98, 0, 1; +L_0x198d2c0 .part o0x7f93f4eeebc8, 0, 1; +L_0x198d420 .part o0x7f93f4eeeb98, 1, 1; +L_0x198d580 .part o0x7f93f4eeebc8, 1, 1; +L_0x198d730 .part o0x7f93f4eeeb98, 2, 1; +L_0x198d890 .part o0x7f93f4eeebc8, 2, 1; +L_0x198da40 .part o0x7f93f4eeeb98, 3, 1; +L_0x198dba0 .part o0x7f93f4eeebc8, 3, 1; +L_0x198dda0 .part o0x7f93f4eeeb98, 4, 1; +L_0x198df00 .part o0x7f93f4eeebc8, 4, 1; +L_0x198e0c0 .part o0x7f93f4eeeb98, 5, 1; +L_0x198e220 .part o0x7f93f4eeebc8, 5, 1; +L_0x198e440 .part o0x7f93f4eeeb98, 6, 1; +L_0x198e5a0 .part o0x7f93f4eeebc8, 6, 1; +L_0x198e760 .part o0x7f93f4eeeb98, 7, 1; +L_0x198e8c0 .part o0x7f93f4eeebc8, 7, 1; +L_0x198eb00 .part o0x7f93f4eeeb98, 8, 1; +L_0x198ec60 .part o0x7f93f4eeebc8, 8, 1; +L_0x198ee40 .part o0x7f93f4eeeb98, 9, 1; +L_0x198efa0 .part o0x7f93f4eeebc8, 9, 1; +L_0x198f190 .part o0x7f93f4eeeb98, 10, 1; +L_0x198f2f0 .part o0x7f93f4eeebc8, 10, 1; +L_0x198f4f0 .part o0x7f93f4eeeb98, 11, 1; +L_0x198f650 .part o0x7f93f4eeebc8, 11, 1; +L_0x198f810 .part o0x7f93f4eeeb98, 12, 1; +L_0x198f970 .part o0x7f93f4eeebc8, 12, 1; +L_0x198fb40 .part o0x7f93f4eeeb98, 13, 1; +L_0x198fca0 .part o0x7f93f4eeebc8, 13, 1; +L_0x198fe80 .part o0x7f93f4eeeb98, 14, 1; +L_0x198ffe0 .part o0x7f93f4eeebc8, 14, 1; +L_0x19901d0 .part o0x7f93f4eeeb98, 15, 1; +L_0x1990330 .part o0x7f93f4eeebc8, 15, 1; +L_0x1990530 .part o0x7f93f4eeeb98, 16, 1; +L_0x1990690 .part o0x7f93f4eeebc8, 16, 1; +L_0x19908a0 .part o0x7f93f4eeeb98, 17, 1; +L_0x1990990 .part o0x7f93f4eeebc8, 17, 1; +L_0x1990bb0 .part o0x7f93f4eeeb98, 18, 1; +L_0x1990ca0 .part o0x7f93f4eeebc8, 18, 1; +L_0x1990ed0 .part o0x7f93f4eeeb98, 19, 1; +L_0x1990fc0 .part o0x7f93f4eeebc8, 19, 1; +L_0x1991200 .part o0x7f93f4eeeb98, 20, 1; +L_0x19912f0 .part o0x7f93f4eeebc8, 20, 1; +L_0x1991540 .part o0x7f93f4eeeb98, 21, 1; +L_0x1991630 .part o0x7f93f4eeebc8, 21, 1; +L_0x1991890 .part o0x7f93f4eeeb98, 22, 1; +L_0x1991930 .part o0x7f93f4eeebc8, 22, 1; +L_0x1991ba0 .part o0x7f93f4eeeb98, 23, 1; +L_0x1991c40 .part o0x7f93f4eeebc8, 23, 1; +L_0x1991ec0 .part o0x7f93f4eeeb98, 24, 1; +L_0x1982440 .part o0x7f93f4eeebc8, 24, 1; +L_0x1991d30 .part o0x7f93f4eeeb98, 25, 1; +L_0x19826d0 .part o0x7f93f4eeebc8, 25, 1; +L_0x19825f0 .part o0x7f93f4eeeb98, 26, 1; +L_0x19829c0 .part o0x7f93f4eeebc8, 26, 1; +L_0x19827c0 .part o0x7f93f4eeeb98, 27, 1; +L_0x1993140 .part o0x7f93f4eeebc8, 27, 1; +L_0x1992fd0 .part o0x7f93f4eeeb98, 28, 1; +L_0x19933b0 .part o0x7f93f4eeebc8, 28, 1; +L_0x19932a0 .part o0x7f93f4eeeb98, 29, 1; +L_0x19936d0 .part o0x7f93f4eeebc8, 29, 1; +L_0x1993560 .part o0x7f93f4eeeb98, 30, 1; +L_0x1993a00 .part o0x7f93f4eeebc8, 30, 1; +LS_0x19937c0_0_0 .concat8 [ 1 1 1 1], L_0x198c630, L_0x198d360, L_0x198d670, L_0x198d980; +LS_0x19937c0_0_4 .concat8 [ 1 1 1 1], L_0x198dce0, L_0x198e050, L_0x198e380, L_0x198e310; +LS_0x19937c0_0_8 .concat8 [ 1 1 1 1], L_0x198ea40, L_0x198e9b0, L_0x198ed50, L_0x198f090; +LS_0x19937c0_0_12 .concat8 [ 1 1 1 1], L_0x198f3e0, L_0x198f740, L_0x198fa60, L_0x198fd90; +LS_0x19937c0_0_16 .concat8 [ 1 1 1 1], L_0x19900d0, L_0x1990420, L_0x1990780, L_0x1990a80; +LS_0x19937c0_0_20 .concat8 [ 1 1 1 1], L_0x1990d90, L_0x19910b0, L_0x19913e0, L_0x1991720; +LS_0x19937c0_0_24 .concat8 [ 1 1 1 1], L_0x1991a20, L_0x198e690, L_0x1982530, L_0x1982b20; +LS_0x19937c0_0_28 .concat8 [ 1 1 1 1], L_0x1982be0, L_0x19931e0, L_0x19934a0, L_0x19946f0; +LS_0x19937c0_1_0 .concat8 [ 4 4 4 4], LS_0x19937c0_0_0, LS_0x19937c0_0_4, LS_0x19937c0_0_8, LS_0x19937c0_0_12; +LS_0x19937c0_1_4 .concat8 [ 4 4 4 4], LS_0x19937c0_0_16, LS_0x19937c0_0_20, LS_0x19937c0_0_24, LS_0x19937c0_0_28; +L_0x19937c0 .concat8 [ 16 16 0 0], LS_0x19937c0_1_0, LS_0x19937c0_1_4; +L_0x1994800 .part o0x7f93f4eeeb98, 31, 1; +L_0x1993af0 .part o0x7f93f4eeebc8, 31, 1; +S_0x1832a70 .scope generate, "ripple[0]" "ripple[0]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17a71b0 .param/l "i" 0 2 76, +C4<00>; +L_0x198c630/d .functor XOR 1, L_0x198c740, L_0x198d2c0, C4<0>, C4<0>; +L_0x198c630 .delay 1 (20,20,20) L_0x198c630/d; +v0x18e4460_0 .net *"_s0", 0 0, L_0x198c740; 1 drivers +v0x18ce110_0 .net *"_s1", 0 0, L_0x198d2c0; 1 drivers +S_0x1828660 .scope generate, "ripple[1]" "ripple[1]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18ce1f0 .param/l "i" 0 2 76, +C4<01>; +L_0x198d360/d .functor XOR 1, L_0x198d420, L_0x198d580, C4<0>, C4<0>; +L_0x198d360 .delay 1 (20,20,20) L_0x198d360/d; +v0x18cc0e0_0 .net *"_s0", 0 0, L_0x198d420; 1 drivers +v0x18ca0b0_0 .net *"_s1", 0 0, L_0x198d580; 1 drivers +S_0x1826630 .scope generate, "ripple[2]" "ripple[2]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18ca190 .param/l "i" 0 2 76, +C4<010>; +L_0x198d670/d .functor XOR 1, L_0x198d730, L_0x198d890, C4<0>, C4<0>; +L_0x198d670 .delay 1 (20,20,20) L_0x198d670/d; +v0x18c8080_0 .net *"_s0", 0 0, L_0x198d730; 1 drivers +v0x18c6050_0 .net *"_s1", 0 0, L_0x198d890; 1 drivers +S_0x1824600 .scope generate, "ripple[3]" "ripple[3]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1867a30 .param/l "i" 0 2 76, +C4<011>; +L_0x198d980/d .functor XOR 1, L_0x198da40, L_0x198dba0, C4<0>, C4<0>; +L_0x198d980 .delay 1 (20,20,20) L_0x198d980/d; +v0x1909880_0 .net *"_s0", 0 0, L_0x198da40; 1 drivers +v0x18f25b0_0 .net *"_s1", 0 0, L_0x198dba0; 1 drivers +S_0x18225d0 .scope generate, "ripple[4]" "ripple[4]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1863bb0 .param/l "i" 0 2 76, +C4<0100>; +L_0x198dce0/d .functor XOR 1, L_0x198dda0, L_0x198df00, C4<0>, C4<0>; +L_0x198dce0 .delay 1 (20,20,20) L_0x198dce0/d; +v0x18f0580_0 .net *"_s0", 0 0, L_0x198dda0; 1 drivers +v0x18ee550_0 .net *"_s1", 0 0, L_0x198df00; 1 drivers +S_0x18126f0 .scope generate, "ripple[5]" "ripple[5]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18ee630 .param/l "i" 0 2 76, +C4<0101>; +L_0x198e050/d .functor XOR 1, L_0x198e0c0, L_0x198e220, C4<0>, C4<0>; +L_0x198e050 .delay 1 (20,20,20) L_0x198e050/d; +v0x18ec520_0 .net *"_s0", 0 0, L_0x198e0c0; 1 drivers +v0x18a1600_0 .net *"_s1", 0 0, L_0x198e220; 1 drivers +S_0x18106d0 .scope generate, "ripple[6]" "ripple[6]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18a16e0 .param/l "i" 0 2 76, +C4<0110>; +L_0x198e380/d .functor XOR 1, L_0x198e440, L_0x198e5a0, C4<0>, C4<0>; +L_0x198e380 .delay 1 (20,20,20) L_0x198e380/d; +v0x18a0970_0 .net *"_s0", 0 0, L_0x198e440; 1 drivers +v0x189fce0_0 .net *"_s1", 0 0, L_0x198e5a0; 1 drivers +S_0x180e8d0 .scope generate, "ripple[7]" "ripple[7]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x189fdc0 .param/l "i" 0 2 76, +C4<0111>; +L_0x198e310/d .functor XOR 1, L_0x198e760, L_0x198e8c0, C4<0>, C4<0>; +L_0x198e310 .delay 1 (20,20,20) L_0x198e310/d; +v0x189f050_0 .net *"_s0", 0 0, L_0x198e760; 1 drivers +v0x189e3c0_0 .net *"_s1", 0 0, L_0x198e8c0; 1 drivers +S_0x17d7db0 .scope generate, "ripple[8]" "ripple[8]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18f2690 .param/l "i" 0 2 76, +C4<01000>; +L_0x198ea40/d .functor XOR 1, L_0x198eb00, L_0x198ec60, C4<0>, C4<0>; +L_0x198ea40 .delay 1 (20,20,20) L_0x198ea40/d; +v0x189d730_0 .net *"_s0", 0 0, L_0x198eb00; 1 drivers +v0x189caa0_0 .net *"_s1", 0 0, L_0x198ec60; 1 drivers +S_0x17d5d60 .scope generate, "ripple[9]" "ripple[9]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x189cb80 .param/l "i" 0 2 76, +C4<01001>; +L_0x198e9b0/d .functor XOR 1, L_0x198ee40, L_0x198efa0, C4<0>, C4<0>; +L_0x198e9b0 .delay 1 (20,20,20) L_0x198e9b0/d; +v0x189be10_0 .net *"_s0", 0 0, L_0x198ee40; 1 drivers +v0x189b180_0 .net *"_s1", 0 0, L_0x198efa0; 1 drivers +S_0x17d3d10 .scope generate, "ripple[10]" "ripple[10]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x189b260 .param/l "i" 0 2 76, +C4<01010>; +L_0x198ed50/d .functor XOR 1, L_0x198f190, L_0x198f2f0, C4<0>, C4<0>; +L_0x198ed50 .delay 1 (20,20,20) L_0x198ed50/d; +v0x189a4f0_0 .net *"_s0", 0 0, L_0x198f190; 1 drivers +v0x1899860_0 .net *"_s1", 0 0, L_0x198f2f0; 1 drivers +S_0x17d1cc0 .scope generate, "ripple[11]" "ripple[11]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1899940 .param/l "i" 0 2 76, +C4<01011>; +L_0x198f090/d .functor XOR 1, L_0x198f4f0, L_0x198f650, C4<0>, C4<0>; +L_0x198f090 .delay 1 (20,20,20) L_0x198f090/d; +v0x1898bd0_0 .net *"_s0", 0 0, L_0x198f4f0; 1 drivers +v0x1897f40_0 .net *"_s1", 0 0, L_0x198f650; 1 drivers +S_0x17bfae0 .scope generate, "ripple[12]" "ripple[12]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1898020 .param/l "i" 0 2 76, +C4<01100>; +L_0x198f3e0/d .functor XOR 1, L_0x198f810, L_0x198f970, C4<0>, C4<0>; +L_0x198f3e0 .delay 1 (20,20,20) L_0x198f3e0/d; +v0x1897300_0 .net *"_s0", 0 0, L_0x198f810; 1 drivers +v0x1896620_0 .net *"_s1", 0 0, L_0x198f970; 1 drivers +S_0x17bda90 .scope generate, "ripple[13]" "ripple[13]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1895990 .param/l "i" 0 2 76, +C4<01101>; +L_0x198f740/d .functor XOR 1, L_0x198fb40, L_0x198fca0, C4<0>, C4<0>; +L_0x198f740 .delay 1 (20,20,20) L_0x198f740/d; +v0x1894d00_0 .net *"_s0", 0 0, L_0x198fb40; 1 drivers +v0x1894070_0 .net *"_s1", 0 0, L_0x198fca0; 1 drivers +S_0x17bba40 .scope generate, "ripple[14]" "ripple[14]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1894150 .param/l "i" 0 2 76, +C4<01110>; +L_0x198fa60/d .functor XOR 1, L_0x198fe80, L_0x198ffe0, C4<0>, C4<0>; +L_0x198fa60 .delay 1 (20,20,20) L_0x198fa60/d; +v0x18933e0_0 .net *"_s0", 0 0, L_0x198fe80; 1 drivers +v0x1892750_0 .net *"_s1", 0 0, L_0x198ffe0; 1 drivers +S_0x17af5a0 .scope generate, "ripple[15]" "ripple[15]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1892830 .param/l "i" 0 2 76, +C4<01111>; +L_0x198fd90/d .functor XOR 1, L_0x19901d0, L_0x1990330, C4<0>, C4<0>; +L_0x198fd90 .delay 1 (20,20,20) L_0x198fd90/d; +v0x187a580_0 .net *"_s0", 0 0, L_0x19901d0; 1 drivers +v0x18798a0_0 .net *"_s1", 0 0, L_0x1990330; 1 drivers +S_0x17ad570 .scope generate, "ripple[16]" "ripple[16]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x18c8140 .param/l "i" 0 2 76, +C4<010000>; +L_0x19900d0/d .functor XOR 1, L_0x1990530, L_0x1990690, C4<0>, C4<0>; +L_0x19900d0 .delay 1 (20,20,20) L_0x19900d0/d; +v0x1877ff0_0 .net *"_s0", 0 0, L_0x1990530; 1 drivers +v0x18772f0_0 .net *"_s1", 0 0, L_0x1990690; 1 drivers +S_0x17ab540 .scope generate, "ripple[17]" "ripple[17]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1876660 .param/l "i" 0 2 76, +C4<010001>; +L_0x1990420/d .functor XOR 1, L_0x19908a0, L_0x1990990, C4<0>, C4<0>; +L_0x1990420 .delay 1 (20,20,20) L_0x1990420/d; +v0x18759d0_0 .net *"_s0", 0 0, L_0x19908a0; 1 drivers +v0x1874d40_0 .net *"_s1", 0 0, L_0x1990990; 1 drivers +S_0x17a9510 .scope generate, "ripple[18]" "ripple[18]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1874e20 .param/l "i" 0 2 76, +C4<010010>; +L_0x1990780/d .functor XOR 1, L_0x1990bb0, L_0x1990ca0, C4<0>, C4<0>; +L_0x1990780 .delay 1 (20,20,20) L_0x1990780/d; +v0x18740b0_0 .net *"_s0", 0 0, L_0x1990bb0; 1 drivers +v0x1873420_0 .net *"_s1", 0 0, L_0x1990ca0; 1 drivers +S_0x17a74e0 .scope generate, "ripple[19]" "ripple[19]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1873500 .param/l "i" 0 2 76, +C4<010011>; +L_0x1990a80/d .functor XOR 1, L_0x1990ed0, L_0x1990fc0, C4<0>, C4<0>; +L_0x1990a80 .delay 1 (20,20,20) L_0x1990a80/d; +v0x18727e0_0 .net *"_s0", 0 0, L_0x1990ed0; 1 drivers +v0x1830050_0 .net *"_s1", 0 0, L_0x1990fc0; 1 drivers +S_0x17a54b0 .scope generate, "ripple[20]" "ripple[20]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x182e020 .param/l "i" 0 2 76, +C4<010100>; +L_0x1990d90/d .functor XOR 1, L_0x1991200, L_0x19912f0, C4<0>, C4<0>; +L_0x1990d90 .delay 1 (20,20,20) L_0x1990d90/d; +v0x182bff0_0 .net *"_s0", 0 0, L_0x1991200; 1 drivers +v0x1829f80_0 .net *"_s1", 0 0, L_0x19912f0; 1 drivers +S_0x17a3480 .scope generate, "ripple[21]" "ripple[21]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x182a060 .param/l "i" 0 2 76, +C4<010101>; +L_0x19910b0/d .functor XOR 1, L_0x1991540, L_0x1991630, C4<0>, C4<0>; +L_0x19910b0 .delay 1 (20,20,20) L_0x19910b0/d; +v0x1827f50_0 .net *"_s0", 0 0, L_0x1991540; 1 drivers +v0x1825f20_0 .net *"_s1", 0 0, L_0x1991630; 1 drivers +S_0x17a1450 .scope generate, "ripple[22]" "ripple[22]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1826000 .param/l "i" 0 2 76, +C4<010110>; +L_0x19913e0/d .functor XOR 1, L_0x1991890, L_0x1991930, C4<0>, C4<0>; +L_0x19913e0 .delay 1 (20,20,20) L_0x19913e0/d; +v0x1823f40_0 .net *"_s0", 0 0, L_0x1991890; 1 drivers +v0x180e350_0 .net *"_s1", 0 0, L_0x1991930; 1 drivers +S_0x179d290 .scope generate, "ripple[23]" "ripple[23]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x185d880 .param/l "i" 0 2 76, +C4<010111>; +L_0x1991720/d .functor XOR 1, L_0x1991ba0, L_0x1991c40, C4<0>, C4<0>; +L_0x1991720 .delay 1 (20,20,20) L_0x1991720/d; +v0x1846360_0 .net *"_s0", 0 0, L_0x1991ba0; 1 drivers +v0x1844330_0 .net *"_s1", 0 0, L_0x1991c40; 1 drivers +S_0x18b8830 .scope generate, "ripple[24]" "ripple[24]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x1846440 .param/l "i" 0 2 76, +C4<011000>; +L_0x1991a20/d .functor XOR 1, L_0x1991ec0, L_0x1982440, C4<0>, C4<0>; +L_0x1991a20 .delay 1 (20,20,20) L_0x1991a20/d; +v0x18422a0_0 .net *"_s0", 0 0, L_0x1991ec0; 1 drivers +v0x17cd260_0 .net *"_s1", 0 0, L_0x1982440; 1 drivers +S_0x179d640 .scope generate, "ripple[25]" "ripple[25]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17cd340 .param/l "i" 0 2 76, +C4<011001>; +L_0x198e690/d .functor XOR 1, L_0x1991d30, L_0x19826d0, C4<0>, C4<0>; +L_0x198e690 .delay 1 (20,20,20) L_0x198e690/d; +v0x17cb230_0 .net *"_s0", 0 0, L_0x1991d30; 1 drivers +v0x17c9200_0 .net *"_s1", 0 0, L_0x19826d0; 1 drivers +S_0x18ecbf0 .scope generate, "ripple[26]" "ripple[26]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17cb310 .param/l "i" 0 2 76, +C4<011010>; +L_0x1982530/d .functor XOR 1, L_0x19825f0, L_0x19829c0, C4<0>, C4<0>; +L_0x1982530 .delay 1 (20,20,20) L_0x1982530/d; +v0x17c71d0_0 .net *"_s0", 0 0, L_0x19825f0; 1 drivers +v0x17c51a0_0 .net *"_s1", 0 0, L_0x19829c0; 1 drivers +S_0x18eabc0 .scope generate, "ripple[27]" "ripple[27]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17c5280 .param/l "i" 0 2 76, +C4<011011>; +L_0x1982b20/d .functor XOR 1, L_0x19827c0, L_0x1993140, C4<0>, C4<0>; +L_0x1982b20 .delay 1 (20,20,20) L_0x1982b20/d; +v0x17c3170_0 .net *"_s0", 0 0, L_0x19827c0; 1 drivers +v0x17c10e0_0 .net *"_s1", 0 0, L_0x1993140; 1 drivers +S_0x18e8b90 .scope generate, "ripple[28]" "ripple[28]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17c3250 .param/l "i" 0 2 76, +C4<011100>; +L_0x1982be0/d .functor XOR 1, L_0x1992fd0, L_0x19933b0, C4<0>, C4<0>; +L_0x1982be0 .delay 1 (20,20,20) L_0x1982be0/d; +v0x17aee90_0 .net *"_s0", 0 0, L_0x1992fd0; 1 drivers +v0x17ace60_0 .net *"_s1", 0 0, L_0x19933b0; 1 drivers +S_0x18e6b60 .scope generate, "ripple[29]" "ripple[29]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17acf40 .param/l "i" 0 2 76, +C4<011101>; +L_0x19931e0/d .functor XOR 1, L_0x19932a0, L_0x19936d0, C4<0>, C4<0>; +L_0x19931e0 .delay 1 (20,20,20) L_0x19931e0/d; +v0x17aae30_0 .net *"_s0", 0 0, L_0x19932a0; 1 drivers +v0x17a6dd0_0 .net *"_s1", 0 0, L_0x19936d0; 1 drivers +S_0x18e4b30 .scope generate, "ripple[30]" "ripple[30]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17aaf10 .param/l "i" 0 2 76, +C4<011110>; +L_0x19934a0/d .functor XOR 1, L_0x1993560, L_0x1993a00, C4<0>, C4<0>; +L_0x19934a0 .delay 1 (20,20,20) L_0x19934a0/d; +v0x17a4da0_0 .net *"_s0", 0 0, L_0x1993560; 1 drivers +v0x17ee640_0 .net *"_s1", 0 0, L_0x1993a00; 1 drivers +S_0x1846a30 .scope generate, "ripple[31]" "ripple[31]" 2 76, 2 76 0, S_0x1834ac0; + .timescale 0 0; +P_0x17ee720 .param/l "i" 0 2 76, +C4<011111>; +L_0x19946f0/d .functor XOR 1, L_0x1994800, L_0x1993af0, C4<0>, C4<0>; +L_0x19946f0 .delay 1 (20,20,20) L_0x19946f0/d; +v0x17a2d70_0 .net *"_s0", 0 0, L_0x1994800; 1 drivers +v0x17cf290_0 .net *"_s1", 0 0, L_0x1993af0; 1 drivers +S_0x18429d0 .scope module, "dut2" "alu32bitslt" 2 259, 2 90 0, S_0x17ef170; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" +L_0x19bf4f0/d .functor XOR 1, L_0x19bf5b0, L_0x19ad4c0, C4<0>, C4<0>; +L_0x19bf4f0 .delay 1 (20,20,20) L_0x19bf4f0/d; +v0x1927560_0 .net *"_s4", 0 0, L_0x19bf4f0; 1 drivers +v0x1927660_0 .net *"_s7", 0 0, L_0x19bf5b0; 1 drivers +v0x1927740_0 .net "carryout", 0 0, L_0x7f93f4ea0180; alias, 1 drivers +v0x19277e0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x19278a0_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x1927960_0 .net "overflow", 0 0, L_0x7f93f4ea0210; alias, 1 drivers +v0x1927a20_0 .net8 "result", 31 0, RS_0x7f93f4ef6a28; alias, 2 drivers +v0x1927b00_0 .net "subcarryout", 0 0, L_0x19ada80; 1 drivers +v0x1927ba0_0 .net "suboverflow", 0 0, L_0x19ad4c0; 1 drivers +v0x1927cd0_0 .net "subresult", 31 0, L_0x19adbe0; 1 drivers +v0x1927d70_0 .net "subzero", 0 0, L_0x19aa750; 1 drivers +v0x1927e10_0 .net "zero", 0 0, L_0x7f93f4ea01c8; alias, 1 drivers +L_0x19aea00 .part/pv L_0x19bf4f0, 0, 1, 32; +L_0x19bf5b0 .part L_0x19adbe0, 0, 1; +S_0x1830720 .scope module, "subtractor" "AddSub" 2 105, 2 32 0, S_0x18429d0; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 1 "subtract" +L_0x19ad4c0/d .functor XOR 1, L_0x19a7c10, L_0x19ada80, C4<0>, C4<0>; +L_0x19ad4c0 .delay 1 (20,20,20) L_0x19ad4c0/d; +L_0x19aeb00/d .functor NOR 1, L_0x19aec60, L_0x19aa660, C4<0>, C4<0>; +L_0x19aeb00 .delay 1 (10,10,10) L_0x19aeb00/d; +o0x7f93f4ef67e8 .functor BUFZ 1, C4; HiZ drive +L_0x19aa750/d .functor NOR 1, o0x7f93f4ef67e8, L_0x19ae800, C4<0>, C4<0>; +L_0x19aa750 .delay 1 (10,10,10) L_0x19aa750/d; +v0x1925a60_0 .net *"_s166", 0 0, L_0x19aec60; 1 drivers +v0x1925b60_0 .net *"_s168", 0 0, L_0x19aa660; 1 drivers +v0x1925c40_0 .net *"_s172", 0 0, L_0x19ae800; 1 drivers +v0x1925d30_0 .net "carryout", 0 0, L_0x19ada80; alias, 1 drivers +v0x1925e20 .array "carryoutmid", 0 30; +v0x1925e20_0 .net v0x1925e20 0, 0 0, L_0x19ad030; 1 drivers +v0x1925e20_1 .net v0x1925e20 1, 0 0, L_0x19951b0; 1 drivers +v0x1925e20_2 .net v0x1925e20 2, 0 0, L_0x1995b90; 1 drivers +v0x1925e20_3 .net v0x1925e20 3, 0 0, L_0x1996570; 1 drivers +v0x1925e20_4 .net v0x1925e20 4, 0 0, L_0x1996f50; 1 drivers +v0x1925e20_5 .net v0x1925e20 5, 0 0, L_0x1997980; 1 drivers +v0x1925e20_6 .net v0x1925e20 6, 0 0, L_0x1998370; 1 drivers +v0x1925e20_7 .net v0x1925e20 7, 0 0, L_0x1998dc0; 1 drivers +v0x1925e20_8 .net v0x1925e20 8, 0 0, L_0x1999760; 1 drivers +v0x1925e20_9 .net v0x1925e20 9, 0 0, L_0x19990d0; 1 drivers +v0x1925e20_10 .net v0x1925e20 10, 0 0, L_0x199abc0; 1 drivers +v0x1925e20_11 .net v0x1925e20 11, 0 0, L_0x199b5e0; 1 drivers +v0x1925e20_12 .net v0x1925e20 12, 0 0, L_0x199c010; 1 drivers +v0x1925e20_13 .net v0x1925e20 13, 0 0, L_0x199c9b0; 1 drivers +v0x1925e20_14 .net v0x1925e20 14, 0 0, L_0x199d3b0; 1 drivers +v0x1925e20_15 .net v0x1925e20 15, 0 0, L_0x199ddc0; 1 drivers +v0x1925e20_16 .net v0x1925e20 16, 0 0, L_0x191e9b0; 1 drivers +v0x1925e20_17 .net v0x1925e20 17, 0 0, L_0x199f610; 1 drivers +v0x1925e20_18 .net v0x1925e20 18, 0 0, L_0x19a0060; 1 drivers +v0x1925e20_19 .net v0x1925e20 19, 0 0, L_0x19a0ac0; 1 drivers +v0x1925e20_20 .net v0x1925e20 20, 0 0, L_0x19a1530; 1 drivers +v0x1925e20_21 .net v0x1925e20 21, 0 0, L_0x19a1fb0; 1 drivers +v0x1925e20_22 .net v0x1925e20 22, 0 0, L_0x19a29a0; 1 drivers +v0x1925e20_23 .net v0x1925e20 23, 0 0, L_0x19a33f0; 1 drivers +v0x1925e20_24 .net v0x1925e20 24, 0 0, L_0x19a3e50; 1 drivers +v0x1925e20_25 .net v0x1925e20 25, 0 0, L_0x19a48c0; 1 drivers +v0x1925e20_26 .net v0x1925e20 26, 0 0, L_0x19a5340; 1 drivers +v0x1925e20_27 .net v0x1925e20 27, 0 0, L_0x19a5d30; 1 drivers +v0x1925e20_28 .net v0x1925e20 28, 0 0, L_0x19a6780; 1 drivers +v0x1925e20_29 .net v0x1925e20 29, 0 0, L_0x19a71c0; 1 drivers +v0x1925e20_30 .net v0x1925e20 30, 0 0, L_0x19a7c10; 1 drivers +v0x1926970_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x1926a60_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x1926b70_0 .net "overflow", 0 0, L_0x19ad4c0; alias, 1 drivers +v0x1926c30_0 .net "result", 31 0, L_0x19adbe0; alias, 1 drivers +L_0x7f93f4ea00f0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; +v0x1926da0_0 .net "subtract", 0 0, L_0x7f93f4ea00f0; 1 drivers +v0x1926e40_0 .net "zero", 0 0, L_0x19aa750; alias, 1 drivers +v0x1926f00 .array "zeromid", 0 30; +v0x1926f00_0 .net v0x1926f00 0, 0 0, L_0x19aeb00; 1 drivers +v0x1926f00_1 .net v0x1926f00 1, 0 0, L_0x19a7570; 1 drivers +v0x1926f00_2 .net v0x1926f00 2, 0 0, L_0x19a82a0; 1 drivers +v0x1926f00_3 .net v0x1926f00 3, 0 0, L_0x19a8010; 1 drivers +v0x1926f00_4 .net v0x1926f00 4, 0 0, L_0x19a8840; 1 drivers +v0x1926f00_5 .net v0x1926f00 5, 0 0, L_0x19a8510; 1 drivers +v0x1926f00_6 .net v0x1926f00 6, 0 0, L_0x19a8cc0; 1 drivers +v0x1926f00_7 .net v0x1926f00 7, 0 0, L_0x19a8a60; 1 drivers +v0x1926f00_8 .net v0x1926f00 8, 0 0, L_0x19a87b0; 1 drivers +v0x1926f00_9 .net v0x1926f00 9, 0 0, L_0x19a8f30; 1 drivers +v0x1926f00_10 .net v0x1926f00 10, 0 0, L_0x19a9730; 1 drivers +v0x1926f00_11 .net v0x1926f00 11, 0 0, L_0x19a94b0; 1 drivers +v0x1926f00_12 .net v0x1926f00 12, 0 0, L_0x19a9c30; 1 drivers +v0x1926f00_13 .net v0x1926f00 13, 0 0, L_0x19a99a0; 1 drivers +v0x1926f00_14 .net v0x1926f00 14, 0 0, L_0x19aa140; 1 drivers +v0x1926f00_15 .net v0x1926f00 15, 0 0, L_0x19a9ea0; 1 drivers +v0x1926f00_16 .net v0x1926f00 16, 0 0, L_0x19a91a0; 1 drivers +v0x1926f00_17 .net v0x1926f00 17, 0 0, L_0x19aa3b0; 1 drivers +v0x1926f00_18 .net v0x1926f00 18, 0 0, L_0x19aac20; 1 drivers +v0x1926f00_19 .net v0x1926f00 19, 0 0, L_0x19aa960; 1 drivers +v0x1926f00_20 .net v0x1926f00 20, 0 0, L_0x19ab0c0; 1 drivers +v0x1926f00_21 .net v0x1926f00 21, 0 0, L_0x19aae40; 1 drivers +v0x1926f00_22 .net v0x1926f00 22, 0 0, L_0x19ab5c0; 1 drivers +v0x1926f00_23 .net v0x1926f00 23, 0 0, L_0x19ab330; 1 drivers +v0x1926f00_24 .net v0x1926f00 24, 0 0, L_0x19abad0; 1 drivers +v0x1926f00_25 .net v0x1926f00 25, 0 0, L_0x19ab830; 1 drivers +v0x1926f00_26 .net v0x1926f00 26, 0 0, L_0x19abff0; 1 drivers +v0x1926f00_27 .net v0x1926f00 27, 0 0, L_0x19abd40; 1 drivers +v0x1926f00_28 .net v0x1926f00 28, 0 0, L_0x19ac520; 1 drivers +v0x1926f00_29 .net v0x1926f00 29, 0 0, L_0x19ac260; 1 drivers +v0x1926f00_30 .net v0x1926f00 30, 0 0, o0x7f93f4ef67e8; 0 drivers +L_0x1995310 .part o0x7f93f4eeeb98, 1, 1; +L_0x1995470 .part o0x7f93f4eeebc8, 1, 1; +L_0x1995cf0 .part o0x7f93f4eeeb98, 2, 1; +L_0x1995e50 .part o0x7f93f4eeebc8, 2, 1; +L_0x19966d0 .part o0x7f93f4eeeb98, 3, 1; +L_0x1996830 .part o0x7f93f4eeebc8, 3, 1; +L_0x19970b0 .part o0x7f93f4eeeb98, 4, 1; +L_0x1997210 .part o0x7f93f4eeebc8, 4, 1; +L_0x1997ae0 .part o0x7f93f4eeeb98, 5, 1; +L_0x1997c40 .part o0x7f93f4eeebc8, 5, 1; +L_0x19984d0 .part o0x7f93f4eeeb98, 6, 1; +L_0x1998630 .part o0x7f93f4eeebc8, 6, 1; +L_0x1998ed0 .part o0x7f93f4eeeb98, 7, 1; +L_0x1999030 .part o0x7f93f4eeebc8, 7, 1; +L_0x19998c0 .part o0x7f93f4eeeb98, 8, 1; +L_0x1999a20 .part o0x7f93f4eeebc8, 8, 1; +L_0x199a300 .part o0x7f93f4eeeb98, 9, 1; +L_0x199a420 .part o0x7f93f4eeebc8, 9, 1; +L_0x199ad20 .part o0x7f93f4eeeb98, 10, 1; +L_0x199ae80 .part o0x7f93f4eeebc8, 10, 1; +L_0x199b740 .part o0x7f93f4eeeb98, 11, 1; +L_0x199b8a0 .part o0x7f93f4eeebc8, 11, 1; +L_0x199c170 .part o0x7f93f4eeeb98, 12, 1; +L_0x199c2d0 .part o0x7f93f4eeebc8, 12, 1; +L_0x199cb10 .part o0x7f93f4eeeb98, 13, 1; +L_0x199cc70 .part o0x7f93f4eeebc8, 13, 1; +L_0x199d510 .part o0x7f93f4eeeb98, 14, 1; +L_0x199d670 .part o0x7f93f4eeebc8, 14, 1; +L_0x199df20 .part o0x7f93f4eeeb98, 15, 1; +L_0x199e080 .part o0x7f93f4eeebc8, 15, 1; +L_0x199ed80 .part o0x7f93f4eeeb98, 16, 1; +L_0x199eee0 .part o0x7f93f4eeebc8, 16, 1; +L_0x199f7b0 .part o0x7f93f4eeeb98, 17, 1; +L_0x199f8d0 .part o0x7f93f4eeebc8, 17, 1; +L_0x19a0200 .part o0x7f93f4eeeb98, 18, 1; +L_0x19a0320 .part o0x7f93f4eeebc8, 18, 1; +L_0x19a0c60 .part o0x7f93f4eeeb98, 19, 1; +L_0x19a0d80 .part o0x7f93f4eeebc8, 19, 1; +L_0x19a16d0 .part o0x7f93f4eeeb98, 20, 1; +L_0x19a17f0 .part o0x7f93f4eeebc8, 20, 1; +L_0x19a2110 .part o0x7f93f4eeeb98, 21, 1; +L_0x19a2270 .part o0x7f93f4eeebc8, 21, 1; +L_0x19a2b40 .part o0x7f93f4eeeb98, 22, 1; +L_0x19a2c60 .part o0x7f93f4eeebc8, 22, 1; +L_0x19a3590 .part o0x7f93f4eeeb98, 23, 1; +L_0x19a36b0 .part o0x7f93f4eeebc8, 23, 1; +L_0x19a3ff0 .part o0x7f93f4eeeb98, 24, 1; +L_0x19a4110 .part o0x7f93f4eeebc8, 24, 1; +L_0x19a4a60 .part o0x7f93f4eeeb98, 25, 1; +L_0x19a4b80 .part o0x7f93f4eeebc8, 25, 1; +L_0x19a54a0 .part o0x7f93f4eeeb98, 26, 1; +L_0x19a5600 .part o0x7f93f4eeebc8, 26, 1; +L_0x19a5ed0 .part o0x7f93f4eeeb98, 27, 1; +L_0x19a5ff0 .part o0x7f93f4eeebc8, 27, 1; +L_0x19a6920 .part o0x7f93f4eeeb98, 28, 1; +L_0x19a6a40 .part o0x7f93f4eeebc8, 28, 1; +L_0x19a7360 .part o0x7f93f4eeeb98, 29, 1; +L_0x19a7480 .part o0x7f93f4eeebc8, 29, 1; +L_0x19a7e00 .part o0x7f93f4eeeb98, 30, 1; +L_0x19a7f20 .part o0x7f93f4eeebc8, 30, 1; +L_0x19a7630 .part L_0x19adbe0, 1, 1; +L_0x19a83b0 .part L_0x19adbe0, 2, 1; +L_0x19a8710 .part L_0x19adbe0, 3, 1; +L_0x19a8900 .part L_0x19adbe0, 4, 1; +L_0x19a8670 .part L_0x19adbe0, 5, 1; +L_0x19a8dd0 .part L_0x19adbe0, 6, 1; +L_0x19a8bc0 .part L_0x19adbe0, 7, 1; +L_0x19a9350 .part L_0x19adbe0, 8, 1; +L_0x19a9040 .part L_0x19adbe0, 9, 1; +L_0x19a9840 .part L_0x19adbe0, 10, 1; +L_0x19a95c0 .part L_0x19adbe0, 11, 1; +L_0x19a9d40 .part L_0x19adbe0, 12, 1; +L_0x19a9ab0 .part L_0x19adbe0, 13, 1; +L_0x19aa250 .part L_0x19adbe0, 14, 1; +L_0x19a9fb0 .part L_0x19adbe0, 15, 1; +L_0x19aa870 .part L_0x19adbe0, 16, 1; +L_0x19aa4c0 .part L_0x19adbe0, 17, 1; +L_0x19aace0 .part L_0x19adbe0, 18, 1; +L_0x19aaa70 .part L_0x19adbe0, 19, 1; +L_0x19ab1d0 .part L_0x19adbe0, 20, 1; +L_0x19aaf50 .part L_0x19adbe0, 21, 1; +L_0x19ab6d0 .part L_0x19adbe0, 22, 1; +L_0x19ab440 .part L_0x19adbe0, 23, 1; +L_0x19abbe0 .part L_0x19adbe0, 24, 1; +L_0x19ab940 .part L_0x19adbe0, 25, 1; +L_0x19ac100 .part L_0x19adbe0, 26, 1; +L_0x19abe50 .part L_0x19adbe0, 27, 1; +L_0x19ac630 .part L_0x19adbe0, 28, 1; +L_0x19ac370 .part L_0x19adbe0, 29, 1; +L_0x19ad1d0 .part o0x7f93f4eeeb98, 0, 1; +L_0x19ac790 .part o0x7f93f4eeebc8, 0, 1; +LS_0x19adbe0_0_0 .concat8 [ 1 1 1 1], L_0x19acc10, L_0x1994d90, L_0x1995770, L_0x1996150; +LS_0x19adbe0_0_4 .concat8 [ 1 1 1 1], L_0x1996b30, L_0x1997560, L_0x1997f50, L_0x19989a0; +LS_0x19adbe0_0_8 .concat8 [ 1 1 1 1], L_0x1999340, L_0x1999db0, L_0x199a7a0, L_0x199b1c0; +LS_0x19adbe0_0_12 .concat8 [ 1 1 1 1], L_0x199bbf0, L_0x199c590, L_0x199cf90, L_0x199d9a0; +LS_0x19adbe0_0_16 .concat8 [ 1 1 1 1], L_0x199e3c0, L_0x199f1f0, L_0x199fc40, L_0x19a06a0; +LS_0x19adbe0_0_20 .concat8 [ 1 1 1 1], L_0x19a1110, L_0x19a1b90, L_0x19a2580, L_0x19a2fd0; +LS_0x19adbe0_0_24 .concat8 [ 1 1 1 1], L_0x19a3a30, L_0x19a44a0, L_0x19a4f20, L_0x19a5910; +LS_0x19adbe0_0_28 .concat8 [ 1 1 1 1], L_0x19a6360, L_0x19a6da0, L_0x19a77f0, L_0x19ad660; +LS_0x19adbe0_1_0 .concat8 [ 4 4 4 4], LS_0x19adbe0_0_0, LS_0x19adbe0_0_4, LS_0x19adbe0_0_8, LS_0x19adbe0_0_12; +LS_0x19adbe0_1_4 .concat8 [ 4 4 4 4], LS_0x19adbe0_0_16, LS_0x19adbe0_0_20, LS_0x19adbe0_0_24, LS_0x19adbe0_0_28; +L_0x19adbe0 .concat8 [ 16 16 0 0], LS_0x19adbe0_1_0, LS_0x19adbe0_1_4; +L_0x19ad330 .part o0x7f93f4eeeb98, 31, 1; +L_0x19ad3d0 .part o0x7f93f4eeebc8, 31, 1; +L_0x19aec60 .part L_0x19adbe0, 0, 1; +L_0x19aa660 .part L_0x19adbe0, 1, 1; +L_0x19ae800 .part L_0x19adbe0, 31, 1; +S_0x182e6f0 .scope module, "adderfinal" "FullAdder1bit" 2 50, 2 8 0, S_0x1830720; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19ad270/d .functor XOR 1, L_0x19ad3d0, L_0x19ada80, C4<0>, C4<0>; +L_0x19ad270 .delay 1 (20,20,20) L_0x19ad270/d; +L_0x19ac910/d .functor XOR 1, L_0x19ad330, L_0x19ad270, C4<0>, C4<0>; +L_0x19ac910 .delay 1 (20,20,20) L_0x19ac910/d; +L_0x19ad660/d .functor XOR 1, L_0x19ac910, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19ad660 .delay 1 (20,20,20) L_0x19ad660/d; +L_0x19ad7c0/d .functor AND 1, L_0x19ad330, L_0x19ad270, C4<1>, C4<1>; +L_0x19ad7c0 .delay 1 (20,20,20) L_0x19ad7c0/d; +L_0x19ad920/d .functor AND 1, L_0x19ac910, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19ad920 .delay 1 (20,20,20) L_0x19ad920/d; +L_0x19ada80/d .functor OR 1, L_0x19ad7c0, L_0x19ad920, C4<0>, C4<0>; +L_0x19ada80 .delay 1 (20,20,20) L_0x19ada80/d; +v0x17c7c20_0 .net "a", 0 0, L_0x19ad330; 1 drivers +v0x17c5bf0_0 .net "b", 0 0, L_0x19ad270; 1 drivers +v0x17c5cb0_0 .net "b0", 0 0, L_0x19ad3d0; 1 drivers +v0x17c3bc0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x17c3c80_0 .net "carryout", 0 0, L_0x19ada80; alias, 1 drivers +v0x17c1b90_0 .net "cout1", 0 0, L_0x19ad7c0; 1 drivers +v0x17c1c50_0 .net "cout2", 0 0, L_0x19ad920; 1 drivers +v0x17af920_0 .net "subtract", 0 0, L_0x19ada80; alias, 1 drivers +v0x17af9c0_0 .net "sum", 0 0, L_0x19ad660; 1 drivers +v0x17ad8f0_0 .net "sumAB", 0 0, L_0x19ac910; 1 drivers +S_0x182c6c0 .scope module, "adderinit" "FullAdder1bit" 2 45, 2 8 0, S_0x1830720; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19ac410/d .functor XOR 1, L_0x19ac790, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19ac410 .delay 1 (20,20,20) L_0x19ac410/d; +L_0x19acab0/d .functor XOR 1, L_0x19ad1d0, L_0x19ac410, C4<0>, C4<0>; +L_0x19acab0 .delay 1 (20,20,20) L_0x19acab0/d; +L_0x19acc10/d .functor XOR 1, L_0x19acab0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19acc10 .delay 1 (20,20,20) L_0x19acc10/d; +L_0x19acd70/d .functor AND 1, L_0x19ad1d0, L_0x19ac410, C4<1>, C4<1>; +L_0x19acd70 .delay 1 (20,20,20) L_0x19acd70/d; +L_0x19aced0/d .functor AND 1, L_0x19acab0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19aced0 .delay 1 (20,20,20) L_0x19aced0/d; +L_0x19ad030/d .functor OR 1, L_0x19acd70, L_0x19aced0, C4<0>, C4<0>; +L_0x19ad030 .delay 1 (20,20,20) L_0x19ad030/d; +v0x17ab960_0 .net "a", 0 0, L_0x19ad1d0; 1 drivers +v0x17a9890_0 .net "b", 0 0, L_0x19ac410; 1 drivers +v0x17a9950_0 .net "b0", 0 0, L_0x19ac790; 1 drivers +v0x17a7860_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x17a7900_0 .net "carryout", 0 0, L_0x19ad030; alias, 1 drivers +v0x17a5830_0 .net "cout1", 0 0, L_0x19acd70; 1 drivers +v0x17a58d0_0 .net "cout2", 0 0, L_0x19aced0; 1 drivers +v0x17a3800_0 .net "subtract", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x17a17d0_0 .net "sum", 0 0, L_0x19acc10; 1 drivers +v0x18e84f0_0 .net "sumAB", 0 0, L_0x19acab0; 1 drivers +S_0x182a690 .scope generate, "genblk1[1]" "genblk1[1]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x182a850 .param/l "i" 0 2 47, +C4<01>; +S_0x17cf960 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x182a690; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1994b70/d .functor XOR 1, L_0x1995470, L_0x19ad030, C4<0>, C4<0>; +L_0x1994b70 .delay 1 (20,20,20) L_0x1994b70/d; +L_0x1994c30/d .functor XOR 1, L_0x1995310, L_0x1994b70, C4<0>, C4<0>; +L_0x1994c30 .delay 1 (20,20,20) L_0x1994c30/d; +L_0x1994d90/d .functor XOR 1, L_0x1994c30, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1994d90 .delay 1 (20,20,20) L_0x1994d90/d; +L_0x1994ef0/d .functor AND 1, L_0x1995310, L_0x1994b70, C4<1>, C4<1>; +L_0x1994ef0 .delay 1 (20,20,20) L_0x1994ef0/d; +L_0x1995050/d .functor AND 1, L_0x1994c30, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1995050 .delay 1 (20,20,20) L_0x1995050/d; +L_0x19951b0/d .functor OR 1, L_0x1994ef0, L_0x1995050, C4<0>, C4<0>; +L_0x19951b0 .delay 1 (20,20,20) L_0x19951b0/d; +v0x190a090_0 .net "a", 0 0, L_0x1995310; 1 drivers +v0x18e26b0_0 .net "b", 0 0, L_0x1994b70; 1 drivers +v0x18e2770_0 .net "b0", 0 0, L_0x1995470; 1 drivers +v0x18d44b0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x18d4550_0 .net "carryout", 0 0, L_0x19951b0; alias, 1 drivers +v0x18d2450_0 .net "cout1", 0 0, L_0x1994ef0; 1 drivers +v0x18d2510_0 .net "cout2", 0 0, L_0x1995050; 1 drivers +v0x18c0290_0 .net "subtract", 0 0, L_0x19ad030; alias, 1 drivers +v0x18c0330_0 .net "sum", 0 0, L_0x1994d90; 1 drivers +v0x18be2e0_0 .net "sumAB", 0 0, L_0x1994c30; 1 drivers +S_0x17cd930 .scope generate, "genblk1[2]" "genblk1[2]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17cdaf0 .param/l "i" 0 2 47, +C4<010>; +S_0x17cb900 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17cd930; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1995510/d .functor XOR 1, L_0x1995e50, L_0x19951b0, C4<0>, C4<0>; +L_0x1995510 .delay 1 (20,20,20) L_0x1995510/d; +L_0x1995610/d .functor XOR 1, L_0x1995cf0, L_0x1995510, C4<0>, C4<0>; +L_0x1995610 .delay 1 (20,20,20) L_0x1995610/d; +L_0x1995770/d .functor XOR 1, L_0x1995610, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1995770 .delay 1 (20,20,20) L_0x1995770/d; +L_0x19958d0/d .functor AND 1, L_0x1995cf0, L_0x1995510, C4<1>, C4<1>; +L_0x19958d0 .delay 1 (20,20,20) L_0x19958d0/d; +L_0x1995a30/d .functor AND 1, L_0x1995610, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1995a30 .delay 1 (20,20,20) L_0x1995a30/d; +L_0x1995b90/d .functor OR 1, L_0x19958d0, L_0x1995a30, C4<0>, C4<0>; +L_0x1995b90 .delay 1 (20,20,20) L_0x1995b90/d; +v0x18bc2b0_0 .net "a", 0 0, L_0x1995cf0; 1 drivers +v0x18ba1b0_0 .net "b", 0 0, L_0x1995510; 1 drivers +v0x18ba270_0 .net "b0", 0 0, L_0x1995e50; 1 drivers +v0x18b80a0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x188c8a0_0 .net "carryout", 0 0, L_0x1995b90; alias, 1 drivers +v0x188c960_0 .net "cout1", 0 0, L_0x19958d0; 1 drivers +v0x18470d0_0 .net "cout2", 0 0, L_0x1995a30; 1 drivers +v0x1847190_0 .net "subtract", 0 0, L_0x19951b0; alias, 1 drivers +v0x1820140_0 .net "sum", 0 0, L_0x1995770; 1 drivers +v0x181e130_0 .net "sumAB", 0 0, L_0x1995610; 1 drivers +S_0x17c98d0 .scope generate, "genblk1[3]" "genblk1[3]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17c9a90 .param/l "i" 0 2 47, +C4<011>; +S_0x17c78a0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17c98d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1995ef0/d .functor XOR 1, L_0x1996830, L_0x1995b90, C4<0>, C4<0>; +L_0x1995ef0 .delay 1 (20,20,20) L_0x1995ef0/d; +L_0x1995ff0/d .functor XOR 1, L_0x19966d0, L_0x1995ef0, C4<0>, C4<0>; +L_0x1995ff0 .delay 1 (20,20,20) L_0x1995ff0/d; +L_0x1996150/d .functor XOR 1, L_0x1995ff0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1996150 .delay 1 (20,20,20) L_0x1996150/d; +L_0x19962b0/d .functor AND 1, L_0x19966d0, L_0x1995ef0, C4<1>, C4<1>; +L_0x19962b0 .delay 1 (20,20,20) L_0x19962b0/d; +L_0x1996410/d .functor AND 1, L_0x1995ff0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1996410 .delay 1 (20,20,20) L_0x1996410/d; +L_0x1996570/d .functor OR 1, L_0x19962b0, L_0x1996410, C4<0>, C4<0>; +L_0x1996570 .delay 1 (20,20,20) L_0x1996570/d; +v0x181c190_0 .net "a", 0 0, L_0x19966d0; 1 drivers +v0x181a0b0_0 .net "b", 0 0, L_0x1995ef0; 1 drivers +v0x181a170_0 .net "b0", 0 0, L_0x1996830; 1 drivers +v0x1818070_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1818110_0 .net "carryout", 0 0, L_0x1996570; alias, 1 drivers +v0x1816030_0 .net "cout1", 0 0, L_0x19962b0; 1 drivers +v0x18160f0_0 .net "cout2", 0 0, L_0x1996410; 1 drivers +v0x1813ff0_0 .net "subtract", 0 0, L_0x1995b90; alias, 1 drivers +v0x1814090_0 .net "sum", 0 0, L_0x1996150; 1 drivers +v0x17b4fb0_0 .net "sumAB", 0 0, L_0x1995ff0; 1 drivers +S_0x17c5870 .scope generate, "genblk1[4]" "genblk1[4]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17c5a30 .param/l "i" 0 2 47, +C4<0100>; +S_0x17c3840 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17c5870; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19968d0/d .functor XOR 1, L_0x1997210, L_0x1996570, C4<0>, C4<0>; +L_0x19968d0 .delay 1 (20,20,20) L_0x19968d0/d; +L_0x19969d0/d .functor XOR 1, L_0x19970b0, L_0x19968d0, C4<0>, C4<0>; +L_0x19969d0 .delay 1 (20,20,20) L_0x19969d0/d; +L_0x1996b30/d .functor XOR 1, L_0x19969d0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1996b30 .delay 1 (20,20,20) L_0x1996b30/d; +L_0x1996c90/d .functor AND 1, L_0x19970b0, L_0x19968d0, C4<1>, C4<1>; +L_0x1996c90 .delay 1 (20,20,20) L_0x1996c90/d; +L_0x1996df0/d .functor AND 1, L_0x19969d0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1996df0 .delay 1 (20,20,20) L_0x1996df0/d; +L_0x1996f50/d .functor OR 1, L_0x1996c90, L_0x1996df0, C4<0>, C4<0>; +L_0x1996f50 .delay 1 (20,20,20) L_0x1996f50/d; +v0x17eee50_0 .net "a", 0 0, L_0x19970b0; 1 drivers +v0x17b92c0_0 .net "b", 0 0, L_0x19968d0; 1 drivers +v0x17b9380_0 .net "b0", 0 0, L_0x1997210; 1 drivers +v0x17b7280_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x17b7320_0 .net "carryout", 0 0, L_0x1996f50; alias, 1 drivers +v0x17b5240_0 .net "cout1", 0 0, L_0x1996c90; 1 drivers +v0x17b5300_0 .net "cout2", 0 0, L_0x1996df0; 1 drivers +v0x17b3200_0 .net "subtract", 0 0, L_0x1996570; alias, 1 drivers +v0x17b32a0_0 .net "sum", 0 0, L_0x1996b30; 1 drivers +v0x17b1230_0 .net "sumAB", 0 0, L_0x19969d0; 1 drivers +S_0x17c1810 .scope generate, "genblk1[5]" "genblk1[5]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17c19d0 .param/l "i" 0 2 47, +C4<0101>; +S_0x18f0c50 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17c1810; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1997300/d .functor XOR 1, L_0x1997c40, L_0x1996f50, C4<0>, C4<0>; +L_0x1997300 .delay 1 (20,20,20) L_0x1997300/d; +L_0x1997400/d .functor XOR 1, L_0x1997ae0, L_0x1997300, C4<0>, C4<0>; +L_0x1997400 .delay 1 (20,20,20) L_0x1997400/d; +L_0x1997560/d .functor XOR 1, L_0x1997400, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1997560 .delay 1 (20,20,20) L_0x1997560/d; +L_0x19976c0/d .functor AND 1, L_0x1997ae0, L_0x1997300, C4<1>, C4<1>; +L_0x19976c0 .delay 1 (20,20,20) L_0x19976c0/d; +L_0x1997820/d .functor AND 1, L_0x1997400, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1997820 .delay 1 (20,20,20) L_0x1997820/d; +L_0x1997980/d .functor OR 1, L_0x19976c0, L_0x1997820, C4<0>, C4<0>; +L_0x1997980 .delay 1 (20,20,20) L_0x1997980/d; +v0x179f060_0 .net "a", 0 0, L_0x1997ae0; 1 drivers +v0x179ceb0_0 .net "b", 0 0, L_0x1997300; 1 drivers +v0x179cf70_0 .net "b0", 0 0, L_0x1997c40; 1 drivers +v0x18c4ab0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x18c4b50_0 .net "carryout", 0 0, L_0x1997980; alias, 1 drivers +v0x185f540_0 .net "cout1", 0 0, L_0x19976c0; 1 drivers +v0x185f600_0 .net "cout2", 0 0, L_0x1997820; 1 drivers +v0x18abe30_0 .net "subtract", 0 0, L_0x1996f50; alias, 1 drivers +v0x18abed0_0 .net "sum", 0 0, L_0x1997560; 1 drivers +v0x17dda90_0 .net "sumAB", 0 0, L_0x1997400; 1 drivers +S_0x17f0f50 .scope generate, "genblk1[6]" "genblk1[6]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17f10f0 .param/l "i" 0 2 47, +C4<0110>; +S_0x185fb70 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x17f0f50; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1997d40/d .functor XOR 1, L_0x1998630, L_0x1997980, C4<0>, C4<0>; +L_0x1997d40 .delay 1 (20,20,20) L_0x1997d40/d; +L_0x1997e40/d .functor XOR 1, L_0x19984d0, L_0x1997d40, C4<0>, C4<0>; +L_0x1997e40 .delay 1 (20,20,20) L_0x1997e40/d; +L_0x1997f50/d .functor XOR 1, L_0x1997e40, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1997f50 .delay 1 (20,20,20) L_0x1997f50/d; +L_0x19980b0/d .functor AND 1, L_0x19984d0, L_0x1997d40, C4<1>, C4<1>; +L_0x19980b0 .delay 1 (20,20,20) L_0x19980b0/d; +L_0x1998210/d .functor AND 1, L_0x1997e40, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1998210 .delay 1 (20,20,20) L_0x1998210/d; +L_0x1998370/d .functor OR 1, L_0x19980b0, L_0x1998210, C4<0>, C4<0>; +L_0x1998370 .delay 1 (20,20,20) L_0x1998370/d; +v0x1902fd0_0 .net "a", 0 0, L_0x19984d0; 1 drivers +v0x1860790_0 .net "b", 0 0, L_0x1997d40; 1 drivers +v0x1860850_0 .net "b0", 0 0, L_0x1998630; 1 drivers +v0x18608f0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190c2a0_0 .net "carryout", 0 0, L_0x1998370; alias, 1 drivers +v0x17f0450_0 .net "cout1", 0 0, L_0x19980b0; 1 drivers +v0x17f04f0_0 .net "cout2", 0 0, L_0x1998210; 1 drivers +v0x17f05b0_0 .net "subtract", 0 0, L_0x1997980; alias, 1 drivers +v0x17f0650_0 .net "sum", 0 0, L_0x1997f50; 1 drivers +v0x190b660_0 .net "sumAB", 0 0, L_0x1997e40; 1 drivers +S_0x180b4b0 .scope generate, "genblk1[7]" "genblk1[7]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x17cbad0 .param/l "i" 0 2 47, +C4<0111>; +S_0x188c490 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x180b4b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1998740/d .functor XOR 1, L_0x1999030, L_0x1998370, C4<0>, C4<0>; +L_0x1998740 .delay 1 (20,20,20) L_0x1998740/d; +L_0x1998840/d .functor XOR 1, L_0x1998ed0, L_0x1998740, C4<0>, C4<0>; +L_0x1998840 .delay 1 (20,20,20) L_0x1998840/d; +L_0x19989a0/d .functor XOR 1, L_0x1998840, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19989a0 .delay 1 (20,20,20) L_0x19989a0/d; +L_0x1998b00/d .functor AND 1, L_0x1998ed0, L_0x1998740, C4<1>, C4<1>; +L_0x1998b00 .delay 1 (20,20,20) L_0x1998b00/d; +L_0x1998c60/d .functor AND 1, L_0x1998840, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1998c60 .delay 1 (20,20,20) L_0x1998c60/d; +L_0x1998dc0/d .functor OR 1, L_0x1998b00, L_0x1998c60, C4<0>, C4<0>; +L_0x1998dc0 .delay 1 (20,20,20) L_0x1998dc0/d; +v0x188c660_0 .net "a", 0 0, L_0x1998ed0; 1 drivers +v0x188c740_0 .net "b", 0 0, L_0x1998740; 1 drivers +v0x190b7e0_0 .net "b0", 0 0, L_0x1999030; 1 drivers +v0x190b880_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x168c960_0 .net "carryout", 0 0, L_0x1998dc0; alias, 1 drivers +v0x168ca50_0 .net "cout1", 0 0, L_0x1998b00; 1 drivers +v0x168caf0_0 .net "cout2", 0 0, L_0x1998c60; 1 drivers +v0x168cbb0_0 .net "subtract", 0 0, L_0x1998370; alias, 1 drivers +v0x168cc50_0 .net "sum", 0 0, L_0x19989a0; 1 drivers +v0x16921a0_0 .net "sumAB", 0 0, L_0x1998840; 1 drivers +S_0x1692320 .scope generate, "genblk1[8]" "genblk1[8]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x16924e0 .param/l "i" 0 2 47, +C4<01000>; +S_0x1679f50 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1692320; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19986d0/d .functor XOR 1, L_0x1999a20, L_0x1998dc0, C4<0>, C4<0>; +L_0x19986d0 .delay 1 (20,20,20) L_0x19986d0/d; +L_0x19991e0/d .functor XOR 1, L_0x19998c0, L_0x19986d0, C4<0>, C4<0>; +L_0x19991e0 .delay 1 (20,20,20) L_0x19991e0/d; +L_0x1999340/d .functor XOR 1, L_0x19991e0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1999340 .delay 1 (20,20,20) L_0x1999340/d; +L_0x19994a0/d .functor AND 1, L_0x19998c0, L_0x19986d0, C4<1>, C4<1>; +L_0x19994a0 .delay 1 (20,20,20) L_0x19994a0/d; +L_0x1999600/d .functor AND 1, L_0x19991e0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x1999600 .delay 1 (20,20,20) L_0x1999600/d; +L_0x1999760/d .functor OR 1, L_0x19994a0, L_0x1999600, C4<0>, C4<0>; +L_0x1999760 .delay 1 (20,20,20) L_0x1999760/d; +v0x167a1c0_0 .net "a", 0 0, L_0x19998c0; 1 drivers +v0x167a280_0 .net "b", 0 0, L_0x19986d0; 1 drivers +v0x1688e10_0 .net "b0", 0 0, L_0x1999a20; 1 drivers +v0x1688eb0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1688f50_0 .net "carryout", 0 0, L_0x1999760; alias, 1 drivers +v0x1689060_0 .net "cout1", 0 0, L_0x19994a0; 1 drivers +v0x1689120_0 .net "cout2", 0 0, L_0x1999600; 1 drivers +v0x169e700_0 .net "subtract", 0 0, L_0x1998dc0; alias, 1 drivers +v0x169e7a0_0 .net "sum", 0 0, L_0x1999340; 1 drivers +v0x169e8d0_0 .net "sumAB", 0 0, L_0x19991e0; 1 drivers +S_0x16853b0 .scope generate, "genblk1[9]" "genblk1[9]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x16891e0 .param/l "i" 0 2 47, +C4<01001>; +S_0x16855e0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x16853b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1999b50/d .functor XOR 1, L_0x199a420, L_0x1999760, C4<0>, C4<0>; +L_0x1999b50 .delay 1 (20,20,20) L_0x1999b50/d; +L_0x1999c50/d .functor XOR 1, L_0x199a300, L_0x1999b50, C4<0>, C4<0>; +L_0x1999c50 .delay 1 (20,20,20) L_0x1999c50/d; +L_0x1999db0/d .functor XOR 1, L_0x1999c50, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x1999db0 .delay 1 (20,20,20) L_0x1999db0/d; +L_0x1999f10/d .functor AND 1, L_0x199a300, L_0x1999b50, C4<1>, C4<1>; +L_0x1999f10 .delay 1 (20,20,20) L_0x1999f10/d; +L_0x199a070/d .functor AND 1, L_0x1999c50, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199a070 .delay 1 (20,20,20) L_0x199a070/d; +L_0x19990d0/d .functor OR 1, L_0x1999f10, L_0x199a070, C4<0>, C4<0>; +L_0x19990d0 .delay 1 (20,20,20) L_0x19990d0/d; +v0x1682280_0 .net "a", 0 0, L_0x199a300; 1 drivers +v0x1682340_0 .net "b", 0 0, L_0x1999b50; 1 drivers +v0x1682400_0 .net "b0", 0 0, L_0x199a420; 1 drivers +v0x16824a0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1682540_0 .net "carryout", 0 0, L_0x19990d0; alias, 1 drivers +v0x169bac0_0 .net "cout1", 0 0, L_0x1999f10; 1 drivers +v0x169bb80_0 .net "cout2", 0 0, L_0x199a070; 1 drivers +v0x169bc40_0 .net "subtract", 0 0, L_0x1999760; alias, 1 drivers +v0x169bce0_0 .net "sum", 0 0, L_0x1999db0; 1 drivers +v0x169be10_0 .net "sumAB", 0 0, L_0x1999c50; 1 drivers +S_0x1642cf0 .scope generate, "genblk1[10]" "genblk1[10]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1682650 .param/l "i" 0 2 47, +C4<01010>; +S_0x1642f20 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1642cf0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x1999ac0/d .functor XOR 1, L_0x199ae80, L_0x19990d0, C4<0>, C4<0>; +L_0x1999ac0 .delay 1 (20,20,20) L_0x1999ac0/d; +L_0x199a640/d .functor XOR 1, L_0x199ad20, L_0x1999ac0, C4<0>, C4<0>; +L_0x199a640 .delay 1 (20,20,20) L_0x199a640/d; +L_0x199a7a0/d .functor XOR 1, L_0x199a640, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199a7a0 .delay 1 (20,20,20) L_0x199a7a0/d; +L_0x199a900/d .functor AND 1, L_0x199ad20, L_0x1999ac0, C4<1>, C4<1>; +L_0x199a900 .delay 1 (20,20,20) L_0x199a900/d; +L_0x199aa60/d .functor AND 1, L_0x199a640, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199aa60 .delay 1 (20,20,20) L_0x199aa60/d; +L_0x199abc0/d .functor OR 1, L_0x199a900, L_0x199aa60, C4<0>, C4<0>; +L_0x199abc0 .delay 1 (20,20,20) L_0x199abc0/d; +v0x190d8a0_0 .net "a", 0 0, L_0x199ad20; 1 drivers +v0x190d940_0 .net "b", 0 0, L_0x1999ac0; 1 drivers +v0x190d9e0_0 .net "b0", 0 0, L_0x199ae80; 1 drivers +v0x190da80_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190db20_0 .net "carryout", 0 0, L_0x199abc0; alias, 1 drivers +v0x190dbc0_0 .net "cout1", 0 0, L_0x199a900; 1 drivers +v0x190dc60_0 .net "cout2", 0 0, L_0x199aa60; 1 drivers +v0x190dd00_0 .net "subtract", 0 0, L_0x19990d0; alias, 1 drivers +v0x190dda0_0 .net "sum", 0 0, L_0x199a7a0; 1 drivers +v0x190ded0_0 .net "sumAB", 0 0, L_0x199a640; 1 drivers +S_0x190df70 .scope generate, "genblk1[11]" "genblk1[11]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x18e4f90 .param/l "i" 0 2 47, +C4<01011>; +S_0x190e0f0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x190df70; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199a510/d .functor XOR 1, L_0x199b8a0, L_0x199abc0, C4<0>, C4<0>; +L_0x199a510 .delay 1 (20,20,20) L_0x199a510/d; +L_0x199b060/d .functor XOR 1, L_0x199b740, L_0x199a510, C4<0>, C4<0>; +L_0x199b060 .delay 1 (20,20,20) L_0x199b060/d; +L_0x199b1c0/d .functor XOR 1, L_0x199b060, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199b1c0 .delay 1 (20,20,20) L_0x199b1c0/d; +L_0x199b320/d .functor AND 1, L_0x199b740, L_0x199a510, C4<1>, C4<1>; +L_0x199b320 .delay 1 (20,20,20) L_0x199b320/d; +L_0x199b480/d .functor AND 1, L_0x199b060, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199b480 .delay 1 (20,20,20) L_0x199b480/d; +L_0x199b5e0/d .functor OR 1, L_0x199b320, L_0x199b480, C4<0>, C4<0>; +L_0x199b5e0 .delay 1 (20,20,20) L_0x199b5e0/d; +v0x190e310_0 .net "a", 0 0, L_0x199b740; 1 drivers +v0x190e3b0_0 .net "b", 0 0, L_0x199a510; 1 drivers +v0x190e450_0 .net "b0", 0 0, L_0x199b8a0; 1 drivers +v0x190e4f0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190e590_0 .net "carryout", 0 0, L_0x199b5e0; alias, 1 drivers +v0x190e630_0 .net "cout1", 0 0, L_0x199b320; 1 drivers +v0x190e6d0_0 .net "cout2", 0 0, L_0x199b480; 1 drivers +v0x190e770_0 .net "subtract", 0 0, L_0x199abc0; alias, 1 drivers +v0x190e810_0 .net "sum", 0 0, L_0x199b1c0; 1 drivers +v0x190e940_0 .net "sumAB", 0 0, L_0x199b060; 1 drivers +S_0x190e9e0 .scope generate, "genblk1[12]" "genblk1[12]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1844e60 .param/l "i" 0 2 47, +C4<01100>; +S_0x190eb60 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x190e9e0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199af20/d .functor XOR 1, L_0x199c2d0, L_0x199b5e0, C4<0>, C4<0>; +L_0x199af20 .delay 1 (20,20,20) L_0x199af20/d; +L_0x199ba90/d .functor XOR 1, L_0x199c170, L_0x199af20, C4<0>, C4<0>; +L_0x199ba90 .delay 1 (20,20,20) L_0x199ba90/d; +L_0x199bbf0/d .functor XOR 1, L_0x199ba90, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199bbf0 .delay 1 (20,20,20) L_0x199bbf0/d; +L_0x199bd50/d .functor AND 1, L_0x199c170, L_0x199af20, C4<1>, C4<1>; +L_0x199bd50 .delay 1 (20,20,20) L_0x199bd50/d; +L_0x199beb0/d .functor AND 1, L_0x199ba90, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199beb0 .delay 1 (20,20,20) L_0x199beb0/d; +L_0x199c010/d .functor OR 1, L_0x199bd50, L_0x199beb0, C4<0>, C4<0>; +L_0x199c010 .delay 1 (20,20,20) L_0x199c010/d; +v0x190ed80_0 .net "a", 0 0, L_0x199c170; 1 drivers +v0x190ee20_0 .net "b", 0 0, L_0x199af20; 1 drivers +v0x190eec0_0 .net "b0", 0 0, L_0x199c2d0; 1 drivers +v0x190ef60_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190f000_0 .net "carryout", 0 0, L_0x199c010; alias, 1 drivers +v0x190f0a0_0 .net "cout1", 0 0, L_0x199bd50; 1 drivers +v0x190f140_0 .net "cout2", 0 0, L_0x199beb0; 1 drivers +v0x190f1e0_0 .net "subtract", 0 0, L_0x199b5e0; alias, 1 drivers +v0x190f280_0 .net "sum", 0 0, L_0x199bbf0; 1 drivers +v0x190f3b0_0 .net "sumAB", 0 0, L_0x199ba90; 1 drivers +S_0x190f450 .scope generate, "genblk1[13]" "genblk1[13]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1824a60 .param/l "i" 0 2 47, +C4<01101>; +S_0x190f5d0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x190f450; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199b940/d .functor XOR 1, L_0x199cc70, L_0x199c010, C4<0>, C4<0>; +L_0x199b940 .delay 1 (20,20,20) L_0x199b940/d; +L_0x199c4d0/d .functor XOR 1, L_0x199cb10, L_0x199b940, C4<0>, C4<0>; +L_0x199c4d0 .delay 1 (20,20,20) L_0x199c4d0/d; +L_0x199c590/d .functor XOR 1, L_0x199c4d0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199c590 .delay 1 (20,20,20) L_0x199c590/d; +L_0x199c6f0/d .functor AND 1, L_0x199cb10, L_0x199b940, C4<1>, C4<1>; +L_0x199c6f0 .delay 1 (20,20,20) L_0x199c6f0/d; +L_0x199c850/d .functor AND 1, L_0x199c4d0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199c850 .delay 1 (20,20,20) L_0x199c850/d; +L_0x199c9b0/d .functor OR 1, L_0x199c6f0, L_0x199c850, C4<0>, C4<0>; +L_0x199c9b0 .delay 1 (20,20,20) L_0x199c9b0/d; +v0x190f7f0_0 .net "a", 0 0, L_0x199cb10; 1 drivers +v0x190f890_0 .net "b", 0 0, L_0x199b940; 1 drivers +v0x190f930_0 .net "b0", 0 0, L_0x199cc70; 1 drivers +v0x190f9d0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190fa70_0 .net "carryout", 0 0, L_0x199c9b0; alias, 1 drivers +v0x190fb10_0 .net "cout1", 0 0, L_0x199c6f0; 1 drivers +v0x190fbb0_0 .net "cout2", 0 0, L_0x199c850; 1 drivers +v0x190fc50_0 .net "subtract", 0 0, L_0x199c010; alias, 1 drivers +v0x190fcf0_0 .net "sum", 0 0, L_0x199c590; 1 drivers +v0x190fe20_0 .net "sumAB", 0 0, L_0x199c4d0; 1 drivers +S_0x190ff00 .scope generate, "genblk1[14]" "genblk1[14]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x19100c0 .param/l "i" 0 2 47, +C4<01110>; +S_0x1910180 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x190ff00; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199c370/d .functor XOR 1, L_0x199d670, L_0x199c9b0, C4<0>, C4<0>; +L_0x199c370 .delay 1 (20,20,20) L_0x199c370/d; +L_0x199ce80/d .functor XOR 1, L_0x199d510, L_0x199c370, C4<0>, C4<0>; +L_0x199ce80 .delay 1 (20,20,20) L_0x199ce80/d; +L_0x199cf90/d .functor XOR 1, L_0x199ce80, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199cf90 .delay 1 (20,20,20) L_0x199cf90/d; +L_0x199d0f0/d .functor AND 1, L_0x199d510, L_0x199c370, C4<1>, C4<1>; +L_0x199d0f0 .delay 1 (20,20,20) L_0x199d0f0/d; +L_0x199d250/d .functor AND 1, L_0x199ce80, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199d250 .delay 1 (20,20,20) L_0x199d250/d; +L_0x199d3b0/d .functor OR 1, L_0x199d0f0, L_0x199d250, C4<0>, C4<0>; +L_0x199d3b0 .delay 1 (20,20,20) L_0x199d3b0/d; +v0x19103f0_0 .net "a", 0 0, L_0x199d510; 1 drivers +v0x19104d0_0 .net "b", 0 0, L_0x199c370; 1 drivers +v0x1910590_0 .net "b0", 0 0, L_0x199d670; 1 drivers +v0x1910630_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x190c190_0 .net "carryout", 0 0, L_0x199d3b0; alias, 1 drivers +v0x19108e0_0 .net "cout1", 0 0, L_0x199d0f0; 1 drivers +v0x19109a0_0 .net "cout2", 0 0, L_0x199d250; 1 drivers +v0x1910a60_0 .net "subtract", 0 0, L_0x199c9b0; alias, 1 drivers +v0x1910b00_0 .net "sum", 0 0, L_0x199cf90; 1 drivers +v0x1910c50_0 .net "sumAB", 0 0, L_0x199ce80; 1 drivers +S_0x1910e10 .scope generate, "genblk1[15]" "genblk1[15]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x180b670 .param/l "i" 0 2 47, +C4<01111>; +S_0x1911130 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1910e10; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199cd10/d .functor XOR 1, L_0x199e080, L_0x199d3b0, C4<0>, C4<0>; +L_0x199cd10 .delay 1 (20,20,20) L_0x199cd10/d; +L_0x199d890/d .functor XOR 1, L_0x199df20, L_0x199cd10, C4<0>, C4<0>; +L_0x199d890 .delay 1 (20,20,20) L_0x199d890/d; +L_0x199d9a0/d .functor XOR 1, L_0x199d890, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199d9a0 .delay 1 (20,20,20) L_0x199d9a0/d; +L_0x199db00/d .functor AND 1, L_0x199df20, L_0x199cd10, C4<1>, C4<1>; +L_0x199db00 .delay 1 (20,20,20) L_0x199db00/d; +L_0x199dc60/d .functor AND 1, L_0x199d890, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199dc60 .delay 1 (20,20,20) L_0x199dc60/d; +L_0x199ddc0/d .functor OR 1, L_0x199db00, L_0x199dc60, C4<0>, C4<0>; +L_0x199ddc0 .delay 1 (20,20,20) L_0x199ddc0/d; +v0x19113a0_0 .net "a", 0 0, L_0x199df20; 1 drivers +v0x1911460_0 .net "b", 0 0, L_0x199cd10; 1 drivers +v0x1911520_0 .net "b0", 0 0, L_0x199e080; 1 drivers +v0x19115c0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1911660_0 .net "carryout", 0 0, L_0x199ddc0; alias, 1 drivers +v0x1911770_0 .net "cout1", 0 0, L_0x199db00; 1 drivers +v0x1911830_0 .net "cout2", 0 0, L_0x199dc60; 1 drivers +v0x19118f0_0 .net "subtract", 0 0, L_0x199d3b0; alias, 1 drivers +v0x1911990_0 .net "sum", 0 0, L_0x199d9a0; 1 drivers +v0x1911ac0_0 .net "sumAB", 0 0, L_0x199d890; 1 drivers +S_0x1911c80 .scope generate, "genblk1[16]" "genblk1[16]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1911e40 .param/l "i" 0 2 47, +C4<010000>; +S_0x1911f00 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1911c80; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199d710/d .functor XOR 1, L_0x199eee0, L_0x199ddc0, C4<0>, C4<0>; +L_0x199d710 .delay 1 (20,20,20) L_0x199d710/d; +L_0x199e2b0/d .functor XOR 1, L_0x199ed80, L_0x199d710, C4<0>, C4<0>; +L_0x199e2b0 .delay 1 (20,20,20) L_0x199e2b0/d; +L_0x199e3c0/d .functor XOR 1, L_0x199e2b0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199e3c0 .delay 1 (20,20,20) L_0x199e3c0/d; +L_0x191e6f0/d .functor AND 1, L_0x199ed80, L_0x199d710, C4<1>, C4<1>; +L_0x191e6f0 .delay 1 (20,20,20) L_0x191e6f0/d; +L_0x191e850/d .functor AND 1, L_0x199e2b0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x191e850 .delay 1 (20,20,20) L_0x191e850/d; +L_0x191e9b0/d .functor OR 1, L_0x191e6f0, L_0x191e850, C4<0>, C4<0>; +L_0x191e9b0 .delay 1 (20,20,20) L_0x191e9b0/d; +v0x1912170_0 .net "a", 0 0, L_0x199ed80; 1 drivers +v0x1912250_0 .net "b", 0 0, L_0x199d710; 1 drivers +v0x1912310_0 .net "b0", 0 0, L_0x199eee0; 1 drivers +v0x19123b0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1912450_0 .net "carryout", 0 0, L_0x191e9b0; alias, 1 drivers +v0x1912560_0 .net "cout1", 0 0, L_0x191e6f0; 1 drivers +v0x1912620_0 .net "cout2", 0 0, L_0x191e850; 1 drivers +v0x19126e0_0 .net "subtract", 0 0, L_0x199ddc0; alias, 1 drivers +v0x1912780_0 .net "sum", 0 0, L_0x199e3c0; 1 drivers +v0x19128b0_0 .net "sumAB", 0 0, L_0x199e2b0; 1 drivers +S_0x1912a70 .scope generate, "genblk1[17]" "genblk1[17]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1912c30 .param/l "i" 0 2 47, +C4<010001>; +S_0x1912cf0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1912a70; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199e120/d .functor XOR 1, L_0x199f8d0, L_0x191e9b0, C4<0>, C4<0>; +L_0x199e120 .delay 1 (20,20,20) L_0x199e120/d; +L_0x199f090/d .functor XOR 1, L_0x199f7b0, L_0x199e120, C4<0>, C4<0>; +L_0x199f090 .delay 1 (20,20,20) L_0x199f090/d; +L_0x199f1f0/d .functor XOR 1, L_0x199f090, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199f1f0 .delay 1 (20,20,20) L_0x199f1f0/d; +L_0x199f350/d .functor AND 1, L_0x199f7b0, L_0x199e120, C4<1>, C4<1>; +L_0x199f350 .delay 1 (20,20,20) L_0x199f350/d; +L_0x199f4b0/d .functor AND 1, L_0x199f090, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199f4b0 .delay 1 (20,20,20) L_0x199f4b0/d; +L_0x199f610/d .functor OR 1, L_0x199f350, L_0x199f4b0, C4<0>, C4<0>; +L_0x199f610 .delay 1 (20,20,20) L_0x199f610/d; +v0x1912f60_0 .net "a", 0 0, L_0x199f7b0; 1 drivers +v0x1913000_0 .net "b", 0 0, L_0x199e120; 1 drivers +v0x19130a0_0 .net "b0", 0 0, L_0x199f8d0; 1 drivers +v0x1913140_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x19131e0_0 .net "carryout", 0 0, L_0x199f610; alias, 1 drivers +v0x1913280_0 .net "cout1", 0 0, L_0x199f350; 1 drivers +v0x1913320_0 .net "cout2", 0 0, L_0x199f4b0; 1 drivers +v0x19133c0_0 .net "subtract", 0 0, L_0x191e9b0; alias, 1 drivers +v0x1913460_0 .net "sum", 0 0, L_0x199f1f0; 1 drivers +v0x1913590_0 .net "sumAB", 0 0, L_0x199f090; 1 drivers +S_0x1913670 .scope generate, "genblk1[18]" "genblk1[18]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1913840 .param/l "i" 0 2 47, +C4<010010>; +S_0x19138e0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1913670; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199ef80/d .functor XOR 1, L_0x19a0320, L_0x199f610, C4<0>, C4<0>; +L_0x199ef80 .delay 1 (20,20,20) L_0x199ef80/d; +L_0x199fae0/d .functor XOR 1, L_0x19a0200, L_0x199ef80, C4<0>, C4<0>; +L_0x199fae0 .delay 1 (20,20,20) L_0x199fae0/d; +L_0x199fc40/d .functor XOR 1, L_0x199fae0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x199fc40 .delay 1 (20,20,20) L_0x199fc40/d; +L_0x199fda0/d .functor AND 1, L_0x19a0200, L_0x199ef80, C4<1>, C4<1>; +L_0x199fda0 .delay 1 (20,20,20) L_0x199fda0/d; +L_0x199ff00/d .functor AND 1, L_0x199fae0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x199ff00 .delay 1 (20,20,20) L_0x199ff00/d; +L_0x19a0060/d .functor OR 1, L_0x199fda0, L_0x199ff00, C4<0>, C4<0>; +L_0x19a0060 .delay 1 (20,20,20) L_0x19a0060/d; +v0x1913b50_0 .net "a", 0 0, L_0x19a0200; 1 drivers +v0x1913bf0_0 .net "b", 0 0, L_0x199ef80; 1 drivers +v0x1913cd0_0 .net "b0", 0 0, L_0x19a0320; 1 drivers +v0x1913d70_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1913e10_0 .net "carryout", 0 0, L_0x19a0060; alias, 1 drivers +v0x1913f20_0 .net "cout1", 0 0, L_0x199fda0; 1 drivers +v0x1913fe0_0 .net "cout2", 0 0, L_0x199ff00; 1 drivers +v0x19140a0_0 .net "subtract", 0 0, L_0x199f610; alias, 1 drivers +v0x1914140_0 .net "sum", 0 0, L_0x199fc40; 1 drivers +v0x1914290_0 .net "sumAB", 0 0, L_0x199fae0; 1 drivers +S_0x1914450 .scope generate, "genblk1[19]" "genblk1[19]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1914610 .param/l "i" 0 2 47, +C4<010011>; +S_0x19146d0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1914450; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x199f9c0/d .functor XOR 1, L_0x19a0d80, L_0x19a0060, C4<0>, C4<0>; +L_0x199f9c0 .delay 1 (20,20,20) L_0x199f9c0/d; +L_0x19a0540/d .functor XOR 1, L_0x19a0c60, L_0x199f9c0, C4<0>, C4<0>; +L_0x19a0540 .delay 1 (20,20,20) L_0x19a0540/d; +L_0x19a06a0/d .functor XOR 1, L_0x19a0540, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a06a0 .delay 1 (20,20,20) L_0x19a06a0/d; +L_0x19a0800/d .functor AND 1, L_0x19a0c60, L_0x199f9c0, C4<1>, C4<1>; +L_0x19a0800 .delay 1 (20,20,20) L_0x19a0800/d; +L_0x19a0960/d .functor AND 1, L_0x19a0540, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a0960 .delay 1 (20,20,20) L_0x19a0960/d; +L_0x19a0ac0/d .functor OR 1, L_0x19a0800, L_0x19a0960, C4<0>, C4<0>; +L_0x19a0ac0 .delay 1 (20,20,20) L_0x19a0ac0/d; +v0x1914940_0 .net "a", 0 0, L_0x19a0c60; 1 drivers +v0x1914a20_0 .net "b", 0 0, L_0x199f9c0; 1 drivers +v0x1914ae0_0 .net "b0", 0 0, L_0x19a0d80; 1 drivers +v0x1914b80_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1914c20_0 .net "carryout", 0 0, L_0x19a0ac0; alias, 1 drivers +v0x1914d30_0 .net "cout1", 0 0, L_0x19a0800; 1 drivers +v0x1914df0_0 .net "cout2", 0 0, L_0x19a0960; 1 drivers +v0x1914eb0_0 .net "subtract", 0 0, L_0x19a0060; alias, 1 drivers +v0x1914f50_0 .net "sum", 0 0, L_0x19a06a0; 1 drivers +v0x1915080_0 .net "sumAB", 0 0, L_0x19a0540; 1 drivers +S_0x1915240 .scope generate, "genblk1[20]" "genblk1[20]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1915400 .param/l "i" 0 2 47, +C4<010100>; +S_0x19154c0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1915240; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a0410/d .functor XOR 1, L_0x19a17f0, L_0x19a0ac0, C4<0>, C4<0>; +L_0x19a0410 .delay 1 (20,20,20) L_0x19a0410/d; +L_0x19a0fb0/d .functor XOR 1, L_0x19a16d0, L_0x19a0410, C4<0>, C4<0>; +L_0x19a0fb0 .delay 1 (20,20,20) L_0x19a0fb0/d; +L_0x19a1110/d .functor XOR 1, L_0x19a0fb0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a1110 .delay 1 (20,20,20) L_0x19a1110/d; +L_0x19a1270/d .functor AND 1, L_0x19a16d0, L_0x19a0410, C4<1>, C4<1>; +L_0x19a1270 .delay 1 (20,20,20) L_0x19a1270/d; +L_0x19a13d0/d .functor AND 1, L_0x19a0fb0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a13d0 .delay 1 (20,20,20) L_0x19a13d0/d; +L_0x19a1530/d .functor OR 1, L_0x19a1270, L_0x19a13d0, C4<0>, C4<0>; +L_0x19a1530 .delay 1 (20,20,20) L_0x19a1530/d; +v0x1915730_0 .net "a", 0 0, L_0x19a16d0; 1 drivers +v0x1915810_0 .net "b", 0 0, L_0x19a0410; 1 drivers +v0x19158d0_0 .net "b0", 0 0, L_0x19a17f0; 1 drivers +v0x1915970_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1915a10_0 .net "carryout", 0 0, L_0x19a1530; alias, 1 drivers +v0x1915b20_0 .net "cout1", 0 0, L_0x19a1270; 1 drivers +v0x1915be0_0 .net "cout2", 0 0, L_0x19a13d0; 1 drivers +v0x1915ca0_0 .net "subtract", 0 0, L_0x19a0ac0; alias, 1 drivers +v0x1915d40_0 .net "sum", 0 0, L_0x19a1110; 1 drivers +v0x1915e70_0 .net "sumAB", 0 0, L_0x19a0fb0; 1 drivers +S_0x1916030 .scope generate, "genblk1[21]" "genblk1[21]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x19161f0 .param/l "i" 0 2 47, +C4<010101>; +S_0x19162b0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1916030; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a0e70/d .functor XOR 1, L_0x19a2270, L_0x19a1530, C4<0>, C4<0>; +L_0x19a0e70 .delay 1 (20,20,20) L_0x19a0e70/d; +L_0x19a1a30/d .functor XOR 1, L_0x19a2110, L_0x19a0e70, C4<0>, C4<0>; +L_0x19a1a30 .delay 1 (20,20,20) L_0x19a1a30/d; +L_0x19a1b90/d .functor XOR 1, L_0x19a1a30, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a1b90 .delay 1 (20,20,20) L_0x19a1b90/d; +L_0x19a1cf0/d .functor AND 1, L_0x19a2110, L_0x19a0e70, C4<1>, C4<1>; +L_0x19a1cf0 .delay 1 (20,20,20) L_0x19a1cf0/d; +L_0x19a1e50/d .functor AND 1, L_0x19a1a30, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a1e50 .delay 1 (20,20,20) L_0x19a1e50/d; +L_0x19a1fb0/d .functor OR 1, L_0x19a1cf0, L_0x19a1e50, C4<0>, C4<0>; +L_0x19a1fb0 .delay 1 (20,20,20) L_0x19a1fb0/d; +v0x1916520_0 .net "a", 0 0, L_0x19a2110; 1 drivers +v0x1916600_0 .net "b", 0 0, L_0x19a0e70; 1 drivers +v0x19166c0_0 .net "b0", 0 0, L_0x19a2270; 1 drivers +v0x1916760_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1916800_0 .net "carryout", 0 0, L_0x19a1fb0; alias, 1 drivers +v0x1916910_0 .net "cout1", 0 0, L_0x19a1cf0; 1 drivers +v0x19169d0_0 .net "cout2", 0 0, L_0x19a1e50; 1 drivers +v0x1916a90_0 .net "subtract", 0 0, L_0x19a1530; alias, 1 drivers +v0x1916b30_0 .net "sum", 0 0, L_0x19a1b90; 1 drivers +v0x1916c60_0 .net "sumAB", 0 0, L_0x19a1a30; 1 drivers +S_0x1916e20 .scope generate, "genblk1[22]" "genblk1[22]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1916fe0 .param/l "i" 0 2 47, +C4<010110>; +S_0x19170a0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1916e20; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a18e0/d .functor XOR 1, L_0x19a2c60, L_0x19a1fb0, C4<0>, C4<0>; +L_0x19a18e0 .delay 1 (20,20,20) L_0x19a18e0/d; +L_0x19a2470/d .functor XOR 1, L_0x19a2b40, L_0x19a18e0, C4<0>, C4<0>; +L_0x19a2470 .delay 1 (20,20,20) L_0x19a2470/d; +L_0x19a2580/d .functor XOR 1, L_0x19a2470, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a2580 .delay 1 (20,20,20) L_0x19a2580/d; +L_0x19a26e0/d .functor AND 1, L_0x19a2b40, L_0x19a18e0, C4<1>, C4<1>; +L_0x19a26e0 .delay 1 (20,20,20) L_0x19a26e0/d; +L_0x19a2840/d .functor AND 1, L_0x19a2470, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a2840 .delay 1 (20,20,20) L_0x19a2840/d; +L_0x19a29a0/d .functor OR 1, L_0x19a26e0, L_0x19a2840, C4<0>, C4<0>; +L_0x19a29a0 .delay 1 (20,20,20) L_0x19a29a0/d; +v0x1917310_0 .net "a", 0 0, L_0x19a2b40; 1 drivers +v0x19173f0_0 .net "b", 0 0, L_0x19a18e0; 1 drivers +v0x19174b0_0 .net "b0", 0 0, L_0x19a2c60; 1 drivers +v0x1917550_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x19175f0_0 .net "carryout", 0 0, L_0x19a29a0; alias, 1 drivers +v0x1917700_0 .net "cout1", 0 0, L_0x19a26e0; 1 drivers +v0x19177c0_0 .net "cout2", 0 0, L_0x19a2840; 1 drivers +v0x1917880_0 .net "subtract", 0 0, L_0x19a1fb0; alias, 1 drivers +v0x1917920_0 .net "sum", 0 0, L_0x19a2580; 1 drivers +v0x1917a50_0 .net "sumAB", 0 0, L_0x19a2470; 1 drivers +S_0x1917c10 .scope generate, "genblk1[23]" "genblk1[23]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1917dd0 .param/l "i" 0 2 47, +C4<010111>; +S_0x1917e90 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1917c10; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a2310/d .functor XOR 1, L_0x19a36b0, L_0x19a29a0, C4<0>, C4<0>; +L_0x19a2310 .delay 1 (20,20,20) L_0x19a2310/d; +L_0x19a2ec0/d .functor XOR 1, L_0x19a3590, L_0x19a2310, C4<0>, C4<0>; +L_0x19a2ec0 .delay 1 (20,20,20) L_0x19a2ec0/d; +L_0x19a2fd0/d .functor XOR 1, L_0x19a2ec0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a2fd0 .delay 1 (20,20,20) L_0x19a2fd0/d; +L_0x19a3130/d .functor AND 1, L_0x19a3590, L_0x19a2310, C4<1>, C4<1>; +L_0x19a3130 .delay 1 (20,20,20) L_0x19a3130/d; +L_0x19a3290/d .functor AND 1, L_0x19a2ec0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a3290 .delay 1 (20,20,20) L_0x19a3290/d; +L_0x19a33f0/d .functor OR 1, L_0x19a3130, L_0x19a3290, C4<0>, C4<0>; +L_0x19a33f0 .delay 1 (20,20,20) L_0x19a33f0/d; +v0x1918100_0 .net "a", 0 0, L_0x19a3590; 1 drivers +v0x19181e0_0 .net "b", 0 0, L_0x19a2310; 1 drivers +v0x19182a0_0 .net "b0", 0 0, L_0x19a36b0; 1 drivers +v0x1918370_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1918410_0 .net "carryout", 0 0, L_0x19a33f0; alias, 1 drivers +v0x1918520_0 .net "cout1", 0 0, L_0x19a3130; 1 drivers +v0x19185e0_0 .net "cout2", 0 0, L_0x19a3290; 1 drivers +v0x19186a0_0 .net "subtract", 0 0, L_0x19a29a0; alias, 1 drivers +v0x1918740_0 .net "sum", 0 0, L_0x19a2fd0; 1 drivers +v0x1918870_0 .net "sumAB", 0 0, L_0x19a2ec0; 1 drivers +S_0x1918a30 .scope generate, "genblk1[24]" "genblk1[24]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1918bf0 .param/l "i" 0 2 47, +C4<011000>; +S_0x1918cb0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1918a30; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a2d50/d .functor XOR 1, L_0x19a4110, L_0x19a33f0, C4<0>, C4<0>; +L_0x19a2d50 .delay 1 (20,20,20) L_0x19a2d50/d; +L_0x19a3920/d .functor XOR 1, L_0x19a3ff0, L_0x19a2d50, C4<0>, C4<0>; +L_0x19a3920 .delay 1 (20,20,20) L_0x19a3920/d; +L_0x19a3a30/d .functor XOR 1, L_0x19a3920, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a3a30 .delay 1 (20,20,20) L_0x19a3a30/d; +L_0x19a3b90/d .functor AND 1, L_0x19a3ff0, L_0x19a2d50, C4<1>, C4<1>; +L_0x19a3b90 .delay 1 (20,20,20) L_0x19a3b90/d; +L_0x19a3cf0/d .functor AND 1, L_0x19a3920, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a3cf0 .delay 1 (20,20,20) L_0x19a3cf0/d; +L_0x19a3e50/d .functor OR 1, L_0x19a3b90, L_0x19a3cf0, C4<0>, C4<0>; +L_0x19a3e50 .delay 1 (20,20,20) L_0x19a3e50/d; +v0x1918f20_0 .net "a", 0 0, L_0x19a3ff0; 1 drivers +v0x1919000_0 .net "b", 0 0, L_0x19a2d50; 1 drivers +v0x19190c0_0 .net "b0", 0 0, L_0x19a4110; 1 drivers +v0x1919190_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x1919230_0 .net "carryout", 0 0, L_0x19a3e50; alias, 1 drivers +v0x1919340_0 .net "cout1", 0 0, L_0x19a3b90; 1 drivers +v0x1919400_0 .net "cout2", 0 0, L_0x19a3cf0; 1 drivers +v0x19194c0_0 .net "subtract", 0 0, L_0x19a33f0; alias, 1 drivers +v0x1919560_0 .net "sum", 0 0, L_0x19a3a30; 1 drivers +v0x1919690_0 .net "sumAB", 0 0, L_0x19a3920; 1 drivers +S_0x1919850 .scope generate, "genblk1[25]" "genblk1[25]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x1919a10 .param/l "i" 0 2 47, +C4<011001>; +S_0x1919ad0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1919850; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a37a0/d .functor XOR 1, L_0x19a4b80, L_0x19a3e50, C4<0>, C4<0>; +L_0x19a37a0 .delay 1 (20,20,20) L_0x19a37a0/d; +L_0x19a4390/d .functor XOR 1, L_0x19a4a60, L_0x19a37a0, C4<0>, C4<0>; +L_0x19a4390 .delay 1 (20,20,20) L_0x19a4390/d; +L_0x19a44a0/d .functor XOR 1, L_0x19a4390, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a44a0 .delay 1 (20,20,20) L_0x19a44a0/d; +L_0x19a4600/d .functor AND 1, L_0x19a4a60, L_0x19a37a0, C4<1>, C4<1>; +L_0x19a4600 .delay 1 (20,20,20) L_0x19a4600/d; +L_0x19a4760/d .functor AND 1, L_0x19a4390, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a4760 .delay 1 (20,20,20) L_0x19a4760/d; +L_0x19a48c0/d .functor OR 1, L_0x19a4600, L_0x19a4760, C4<0>, C4<0>; +L_0x19a48c0 .delay 1 (20,20,20) L_0x19a48c0/d; +v0x1919d40_0 .net "a", 0 0, L_0x19a4a60; 1 drivers +v0x1919e20_0 .net "b", 0 0, L_0x19a37a0; 1 drivers +v0x1919ee0_0 .net "b0", 0 0, L_0x19a4b80; 1 drivers +v0x1919fb0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x191a050_0 .net "carryout", 0 0, L_0x19a48c0; alias, 1 drivers +v0x191a160_0 .net "cout1", 0 0, L_0x19a4600; 1 drivers +v0x191a220_0 .net "cout2", 0 0, L_0x19a4760; 1 drivers +v0x191a2e0_0 .net "subtract", 0 0, L_0x19a3e50; alias, 1 drivers +v0x191a380_0 .net "sum", 0 0, L_0x19a44a0; 1 drivers +v0x191a4b0_0 .net "sumAB", 0 0, L_0x19a4390; 1 drivers +S_0x191a670 .scope generate, "genblk1[26]" "genblk1[26]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x191a830 .param/l "i" 0 2 47, +C4<011010>; +S_0x191a8f0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x191a670; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a4200/d .functor XOR 1, L_0x19a5600, L_0x19a48c0, C4<0>, C4<0>; +L_0x19a4200 .delay 1 (20,20,20) L_0x19a4200/d; +L_0x19a4e10/d .functor XOR 1, L_0x19a54a0, L_0x19a4200, C4<0>, C4<0>; +L_0x19a4e10 .delay 1 (20,20,20) L_0x19a4e10/d; +L_0x19a4f20/d .functor XOR 1, L_0x19a4e10, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a4f20 .delay 1 (20,20,20) L_0x19a4f20/d; +L_0x19a5080/d .functor AND 1, L_0x19a54a0, L_0x19a4200, C4<1>, C4<1>; +L_0x19a5080 .delay 1 (20,20,20) L_0x19a5080/d; +L_0x19a51e0/d .functor AND 1, L_0x19a4e10, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a51e0 .delay 1 (20,20,20) L_0x19a51e0/d; +L_0x19a5340/d .functor OR 1, L_0x19a5080, L_0x19a51e0, C4<0>, C4<0>; +L_0x19a5340 .delay 1 (20,20,20) L_0x19a5340/d; +v0x191ab60_0 .net "a", 0 0, L_0x19a54a0; 1 drivers +v0x191ac40_0 .net "b", 0 0, L_0x19a4200; 1 drivers +v0x191ad00_0 .net "b0", 0 0, L_0x19a5600; 1 drivers +v0x191add0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x191ae70_0 .net "carryout", 0 0, L_0x19a5340; alias, 1 drivers +v0x191af80_0 .net "cout1", 0 0, L_0x19a5080; 1 drivers +v0x191b040_0 .net "cout2", 0 0, L_0x19a51e0; 1 drivers +v0x191b100_0 .net "subtract", 0 0, L_0x19a48c0; alias, 1 drivers +v0x191b1a0_0 .net "sum", 0 0, L_0x19a4f20; 1 drivers +v0x191b2d0_0 .net "sumAB", 0 0, L_0x19a4e10; 1 drivers +S_0x191b490 .scope generate, "genblk1[27]" "genblk1[27]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x191b650 .param/l "i" 0 2 47, +C4<011011>; +S_0x191b710 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x191b490; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a4c70/d .functor XOR 1, L_0x19a5ff0, L_0x19a5340, C4<0>, C4<0>; +L_0x19a4c70 .delay 1 (20,20,20) L_0x19a4c70/d; +L_0x19a5850/d .functor XOR 1, L_0x19a5ed0, L_0x19a4c70, C4<0>, C4<0>; +L_0x19a5850 .delay 1 (20,20,20) L_0x19a5850/d; +L_0x19a5910/d .functor XOR 1, L_0x19a5850, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a5910 .delay 1 (20,20,20) L_0x19a5910/d; +L_0x19a5a70/d .functor AND 1, L_0x19a5ed0, L_0x19a4c70, C4<1>, C4<1>; +L_0x19a5a70 .delay 1 (20,20,20) L_0x19a5a70/d; +L_0x19a5bd0/d .functor AND 1, L_0x19a5850, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a5bd0 .delay 1 (20,20,20) L_0x19a5bd0/d; +L_0x19a5d30/d .functor OR 1, L_0x19a5a70, L_0x19a5bd0, C4<0>, C4<0>; +L_0x19a5d30 .delay 1 (20,20,20) L_0x19a5d30/d; +v0x191b980_0 .net "a", 0 0, L_0x19a5ed0; 1 drivers +v0x191ba60_0 .net "b", 0 0, L_0x19a4c70; 1 drivers +v0x191bb20_0 .net "b0", 0 0, L_0x19a5ff0; 1 drivers +v0x191bbf0_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x191bc90_0 .net "carryout", 0 0, L_0x19a5d30; alias, 1 drivers +v0x191bda0_0 .net "cout1", 0 0, L_0x19a5a70; 1 drivers +v0x191be60_0 .net "cout2", 0 0, L_0x19a5bd0; 1 drivers +v0x191bf20_0 .net "subtract", 0 0, L_0x19a5340; alias, 1 drivers +v0x191bfc0_0 .net "sum", 0 0, L_0x19a5910; 1 drivers +v0x191c0f0_0 .net "sumAB", 0 0, L_0x19a5850; 1 drivers +S_0x191c2b0 .scope generate, "genblk1[28]" "genblk1[28]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x191c470 .param/l "i" 0 2 47, +C4<011100>; +S_0x191c530 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x191c2b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a56a0/d .functor XOR 1, L_0x19a6a40, L_0x19a5d30, C4<0>, C4<0>; +L_0x19a56a0 .delay 1 (20,20,20) L_0x19a56a0/d; +L_0x19a62a0/d .functor XOR 1, L_0x19a6920, L_0x19a56a0, C4<0>, C4<0>; +L_0x19a62a0 .delay 1 (20,20,20) L_0x19a62a0/d; +L_0x19a6360/d .functor XOR 1, L_0x19a62a0, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a6360 .delay 1 (20,20,20) L_0x19a6360/d; +L_0x19a64c0/d .functor AND 1, L_0x19a6920, L_0x19a56a0, C4<1>, C4<1>; +L_0x19a64c0 .delay 1 (20,20,20) L_0x19a64c0/d; +L_0x19a6620/d .functor AND 1, L_0x19a62a0, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a6620 .delay 1 (20,20,20) L_0x19a6620/d; +L_0x19a6780/d .functor OR 1, L_0x19a64c0, L_0x19a6620, C4<0>, C4<0>; +L_0x19a6780 .delay 1 (20,20,20) L_0x19a6780/d; +v0x191c7a0_0 .net "a", 0 0, L_0x19a6920; 1 drivers +v0x191c880_0 .net "b", 0 0, L_0x19a56a0; 1 drivers +v0x191c940_0 .net "b0", 0 0, L_0x19a6a40; 1 drivers +v0x191ca10_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x191cab0_0 .net "carryout", 0 0, L_0x19a6780; alias, 1 drivers +v0x191cbc0_0 .net "cout1", 0 0, L_0x19a64c0; 1 drivers +v0x191cc80_0 .net "cout2", 0 0, L_0x19a6620; 1 drivers +v0x191cd40_0 .net "subtract", 0 0, L_0x19a5d30; alias, 1 drivers +v0x191cde0_0 .net "sum", 0 0, L_0x19a6360; 1 drivers +v0x191cf10_0 .net "sumAB", 0 0, L_0x19a62a0; 1 drivers +S_0x191d0d0 .scope generate, "genblk1[29]" "genblk1[29]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x191d290 .param/l "i" 0 2 47, +C4<011101>; +S_0x191d350 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x191d0d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a60e0/d .functor XOR 1, L_0x19a7480, L_0x19a6780, C4<0>, C4<0>; +L_0x19a60e0 .delay 1 (20,20,20) L_0x19a60e0/d; +L_0x19a6230/d .functor XOR 1, L_0x19a7360, L_0x19a60e0, C4<0>, C4<0>; +L_0x19a6230 .delay 1 (20,20,20) L_0x19a6230/d; +L_0x19a6da0/d .functor XOR 1, L_0x19a6230, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a6da0 .delay 1 (20,20,20) L_0x19a6da0/d; +L_0x19a6f00/d .functor AND 1, L_0x19a7360, L_0x19a60e0, C4<1>, C4<1>; +L_0x19a6f00 .delay 1 (20,20,20) L_0x19a6f00/d; +L_0x19a7060/d .functor AND 1, L_0x19a6230, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a7060 .delay 1 (20,20,20) L_0x19a7060/d; +L_0x19a71c0/d .functor OR 1, L_0x19a6f00, L_0x19a7060, C4<0>, C4<0>; +L_0x19a71c0 .delay 1 (20,20,20) L_0x19a71c0/d; +v0x191d5c0_0 .net "a", 0 0, L_0x19a7360; 1 drivers +v0x191d6a0_0 .net "b", 0 0, L_0x19a60e0; 1 drivers +v0x191d760_0 .net "b0", 0 0, L_0x19a7480; 1 drivers +v0x191d830_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x191d8d0_0 .net "carryout", 0 0, L_0x19a71c0; alias, 1 drivers +v0x191d9e0_0 .net "cout1", 0 0, L_0x19a6f00; 1 drivers +v0x191daa0_0 .net "cout2", 0 0, L_0x19a7060; 1 drivers +v0x191db60_0 .net "subtract", 0 0, L_0x19a6780; alias, 1 drivers +v0x191dc00_0 .net "sum", 0 0, L_0x19a6da0; 1 drivers +v0x191dd30_0 .net "sumAB", 0 0, L_0x19a6230; 1 drivers +S_0x191def0 .scope generate, "genblk1[30]" "genblk1[30]" 2 47, 2 47 0, S_0x1830720; + .timescale 0 0; +P_0x191e0b0 .param/l "i" 0 2 47, +C4<011110>; +S_0x191e170 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x191def0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19a6b30/d .functor XOR 1, L_0x19a7f20, L_0x19a71c0, C4<0>, C4<0>; +L_0x19a6b30 .delay 1 (20,20,20) L_0x19a6b30/d; +L_0x19a6c80/d .functor XOR 1, L_0x19a7e00, L_0x19a6b30, C4<0>, C4<0>; +L_0x19a6c80 .delay 1 (20,20,20) L_0x19a6c80/d; +L_0x19a77f0/d .functor XOR 1, L_0x19a6c80, L_0x7f93f4ea00f0, C4<0>, C4<0>; +L_0x19a77f0 .delay 1 (20,20,20) L_0x19a77f0/d; +L_0x19a7950/d .functor AND 1, L_0x19a7e00, L_0x19a6b30, C4<1>, C4<1>; +L_0x19a7950 .delay 1 (20,20,20) L_0x19a7950/d; +L_0x19a7ab0/d .functor AND 1, L_0x19a6c80, L_0x7f93f4ea00f0, C4<1>, C4<1>; +L_0x19a7ab0 .delay 1 (20,20,20) L_0x19a7ab0/d; +L_0x19a7c10/d .functor OR 1, L_0x19a7950, L_0x19a7ab0, C4<0>, C4<0>; +L_0x19a7c10 .delay 1 (20,20,20) L_0x19a7c10/d; +v0x191e3e0_0 .net "a", 0 0, L_0x19a7e00; 1 drivers +v0x191e4c0_0 .net "b", 0 0, L_0x19a6b30; 1 drivers +v0x191e580_0 .net "b0", 0 0, L_0x19a7f20; 1 drivers +v0x191e650_0 .net "carryin", 0 0, L_0x7f93f4ea00f0; alias, 1 drivers +v0x19106d0_0 .net "carryout", 0 0, L_0x19a7c10; alias, 1 drivers +v0x19107e0_0 .net "cout1", 0 0, L_0x19a7950; 1 drivers +v0x191eb00_0 .net "cout2", 0 0, L_0x19a7ab0; 1 drivers +v0x191eba0_0 .net "subtract", 0 0, L_0x19a71c0; alias, 1 drivers +v0x191ec40_0 .net "sum", 0 0, L_0x19a77f0; 1 drivers +v0x191ed70_0 .net "sumAB", 0 0, L_0x19a6c80; 1 drivers +S_0x191eeb0 .scope generate, "genblk2[0]" "genblk2[0]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1910fd0 .param/l "j" 0 2 57, +C4<00>; +L_0x19a7570/d .functor NOR 1, L_0x19aeb00, L_0x19a7630, C4<0>, C4<0>; +L_0x19a7570 .delay 1 (10,10,10) L_0x19a7570/d; +v0x191f280_0 .net *"_s3", 0 0, L_0x19a7630; 1 drivers +S_0x191f320 .scope generate, "genblk2[1]" "genblk2[1]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x191f530 .param/l "j" 0 2 57, +C4<01>; +L_0x19a82a0/d .functor NOR 1, L_0x19a7570, L_0x19a83b0, C4<0>, C4<0>; +L_0x19a82a0 .delay 1 (10,10,10) L_0x19a82a0/d; +v0x191f5f0_0 .net *"_s3", 0 0, L_0x19a83b0; 1 drivers +S_0x191f6d0 .scope generate, "genblk2[2]" "genblk2[2]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x191f8e0 .param/l "j" 0 2 57, +C4<010>; +L_0x19a8010/d .functor NOR 1, L_0x19a82a0, L_0x19a8710, C4<0>, C4<0>; +L_0x19a8010 .delay 1 (10,10,10) L_0x19a8010/d; +v0x191f9a0_0 .net *"_s3", 0 0, L_0x19a8710; 1 drivers +S_0x191fa80 .scope generate, "genblk2[3]" "genblk2[3]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x191fc90 .param/l "j" 0 2 57, +C4<011>; +L_0x19a8840/d .functor NOR 1, L_0x19a8010, L_0x19a8900, C4<0>, C4<0>; +L_0x19a8840 .delay 1 (10,10,10) L_0x19a8840/d; +v0x191fd50_0 .net *"_s3", 0 0, L_0x19a8900; 1 drivers +S_0x191fe30 .scope generate, "genblk2[4]" "genblk2[4]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1920040 .param/l "j" 0 2 57, +C4<0100>; +L_0x19a8510/d .functor NOR 1, L_0x19a8840, L_0x19a8670, C4<0>, C4<0>; +L_0x19a8510 .delay 1 (10,10,10) L_0x19a8510/d; +v0x1920100_0 .net *"_s3", 0 0, L_0x19a8670; 1 drivers +S_0x19201e0 .scope generate, "genblk2[5]" "genblk2[5]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19203f0 .param/l "j" 0 2 57, +C4<0101>; +L_0x19a8cc0/d .functor NOR 1, L_0x19a8510, L_0x19a8dd0, C4<0>, C4<0>; +L_0x19a8cc0 .delay 1 (10,10,10) L_0x19a8cc0/d; +v0x19204b0_0 .net *"_s3", 0 0, L_0x19a8dd0; 1 drivers +S_0x1920590 .scope generate, "genblk2[6]" "genblk2[6]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19207a0 .param/l "j" 0 2 57, +C4<0110>; +L_0x19a8a60/d .functor NOR 1, L_0x19a8cc0, L_0x19a8bc0, C4<0>, C4<0>; +L_0x19a8a60 .delay 1 (10,10,10) L_0x19a8a60/d; +v0x1920860_0 .net *"_s3", 0 0, L_0x19a8bc0; 1 drivers +S_0x1920940 .scope generate, "genblk2[7]" "genblk2[7]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1920b50 .param/l "j" 0 2 57, +C4<0111>; +L_0x19a87b0/d .functor NOR 1, L_0x19a8a60, L_0x19a9350, C4<0>, C4<0>; +L_0x19a87b0 .delay 1 (10,10,10) L_0x19a87b0/d; +v0x1920c10_0 .net *"_s3", 0 0, L_0x19a9350; 1 drivers +S_0x1920cf0 .scope generate, "genblk2[8]" "genblk2[8]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1920f00 .param/l "j" 0 2 57, +C4<01000>; +L_0x19a8f30/d .functor NOR 1, L_0x19a87b0, L_0x19a9040, C4<0>, C4<0>; +L_0x19a8f30 .delay 1 (10,10,10) L_0x19a8f30/d; +v0x1920fc0_0 .net *"_s3", 0 0, L_0x19a9040; 1 drivers +S_0x19210a0 .scope generate, "genblk2[9]" "genblk2[9]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19212b0 .param/l "j" 0 2 57, +C4<01001>; +L_0x19a9730/d .functor NOR 1, L_0x19a8f30, L_0x19a9840, C4<0>, C4<0>; +L_0x19a9730 .delay 1 (10,10,10) L_0x19a9730/d; +v0x1921370_0 .net *"_s3", 0 0, L_0x19a9840; 1 drivers +S_0x1921450 .scope generate, "genblk2[10]" "genblk2[10]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1921660 .param/l "j" 0 2 57, +C4<01010>; +L_0x19a94b0/d .functor NOR 1, L_0x19a9730, L_0x19a95c0, C4<0>, C4<0>; +L_0x19a94b0 .delay 1 (10,10,10) L_0x19a94b0/d; +v0x1921720_0 .net *"_s3", 0 0, L_0x19a95c0; 1 drivers +S_0x1921800 .scope generate, "genblk2[11]" "genblk2[11]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1921a10 .param/l "j" 0 2 57, +C4<01011>; +L_0x19a9c30/d .functor NOR 1, L_0x19a94b0, L_0x19a9d40, C4<0>, C4<0>; +L_0x19a9c30 .delay 1 (10,10,10) L_0x19a9c30/d; +v0x1921ad0_0 .net *"_s3", 0 0, L_0x19a9d40; 1 drivers +S_0x1921bb0 .scope generate, "genblk2[12]" "genblk2[12]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1921dc0 .param/l "j" 0 2 57, +C4<01100>; +L_0x19a99a0/d .functor NOR 1, L_0x19a9c30, L_0x19a9ab0, C4<0>, C4<0>; +L_0x19a99a0 .delay 1 (10,10,10) L_0x19a99a0/d; +v0x1921e80_0 .net *"_s3", 0 0, L_0x19a9ab0; 1 drivers +S_0x1921f60 .scope generate, "genblk2[13]" "genblk2[13]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1922170 .param/l "j" 0 2 57, +C4<01101>; +L_0x19aa140/d .functor NOR 1, L_0x19a99a0, L_0x19aa250, C4<0>, C4<0>; +L_0x19aa140 .delay 1 (10,10,10) L_0x19aa140/d; +v0x1922230_0 .net *"_s3", 0 0, L_0x19aa250; 1 drivers +S_0x1922310 .scope generate, "genblk2[14]" "genblk2[14]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1922520 .param/l "j" 0 2 57, +C4<01110>; +L_0x19a9ea0/d .functor NOR 1, L_0x19aa140, L_0x19a9fb0, C4<0>, C4<0>; +L_0x19a9ea0 .delay 1 (10,10,10) L_0x19a9ea0/d; +v0x19225e0_0 .net *"_s3", 0 0, L_0x19a9fb0; 1 drivers +S_0x19226c0 .scope generate, "genblk2[15]" "genblk2[15]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19228d0 .param/l "j" 0 2 57, +C4<01111>; +L_0x19a91a0/d .functor NOR 1, L_0x19a9ea0, L_0x19aa870, C4<0>, C4<0>; +L_0x19a91a0 .delay 1 (10,10,10) L_0x19a91a0/d; +v0x1922990_0 .net *"_s3", 0 0, L_0x19aa870; 1 drivers +S_0x1922a70 .scope generate, "genblk2[16]" "genblk2[16]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1922c80 .param/l "j" 0 2 57, +C4<010000>; +L_0x19aa3b0/d .functor NOR 1, L_0x19a91a0, L_0x19aa4c0, C4<0>, C4<0>; +L_0x19aa3b0 .delay 1 (10,10,10) L_0x19aa3b0/d; +v0x1922d40_0 .net *"_s3", 0 0, L_0x19aa4c0; 1 drivers +S_0x1922e20 .scope generate, "genblk2[17]" "genblk2[17]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1923030 .param/l "j" 0 2 57, +C4<010001>; +L_0x19aac20/d .functor NOR 1, L_0x19aa3b0, L_0x19aace0, C4<0>, C4<0>; +L_0x19aac20 .delay 1 (10,10,10) L_0x19aac20/d; +v0x19230f0_0 .net *"_s3", 0 0, L_0x19aace0; 1 drivers +S_0x19231d0 .scope generate, "genblk2[18]" "genblk2[18]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19233e0 .param/l "j" 0 2 57, +C4<010010>; +L_0x19aa960/d .functor NOR 1, L_0x19aac20, L_0x19aaa70, C4<0>, C4<0>; +L_0x19aa960 .delay 1 (10,10,10) L_0x19aa960/d; +v0x19234a0_0 .net *"_s3", 0 0, L_0x19aaa70; 1 drivers +S_0x1923580 .scope generate, "genblk2[19]" "genblk2[19]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1923790 .param/l "j" 0 2 57, +C4<010011>; +L_0x19ab0c0/d .functor NOR 1, L_0x19aa960, L_0x19ab1d0, C4<0>, C4<0>; +L_0x19ab0c0 .delay 1 (10,10,10) L_0x19ab0c0/d; +v0x1923850_0 .net *"_s3", 0 0, L_0x19ab1d0; 1 drivers +S_0x1923930 .scope generate, "genblk2[20]" "genblk2[20]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1923b40 .param/l "j" 0 2 57, +C4<010100>; +L_0x19aae40/d .functor NOR 1, L_0x19ab0c0, L_0x19aaf50, C4<0>, C4<0>; +L_0x19aae40 .delay 1 (10,10,10) L_0x19aae40/d; +v0x1923c00_0 .net *"_s3", 0 0, L_0x19aaf50; 1 drivers +S_0x1923ce0 .scope generate, "genblk2[21]" "genblk2[21]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1923ef0 .param/l "j" 0 2 57, +C4<010101>; +L_0x19ab5c0/d .functor NOR 1, L_0x19aae40, L_0x19ab6d0, C4<0>, C4<0>; +L_0x19ab5c0 .delay 1 (10,10,10) L_0x19ab5c0/d; +v0x1923fb0_0 .net *"_s3", 0 0, L_0x19ab6d0; 1 drivers +S_0x1924090 .scope generate, "genblk2[22]" "genblk2[22]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19242a0 .param/l "j" 0 2 57, +C4<010110>; +L_0x19ab330/d .functor NOR 1, L_0x19ab5c0, L_0x19ab440, C4<0>, C4<0>; +L_0x19ab330 .delay 1 (10,10,10) L_0x19ab330/d; +v0x1924360_0 .net *"_s3", 0 0, L_0x19ab440; 1 drivers +S_0x1924440 .scope generate, "genblk2[23]" "genblk2[23]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1924650 .param/l "j" 0 2 57, +C4<010111>; +L_0x19abad0/d .functor NOR 1, L_0x19ab330, L_0x19abbe0, C4<0>, C4<0>; +L_0x19abad0 .delay 1 (10,10,10) L_0x19abad0/d; +v0x1924710_0 .net *"_s3", 0 0, L_0x19abbe0; 1 drivers +S_0x19247f0 .scope generate, "genblk2[24]" "genblk2[24]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1924a00 .param/l "j" 0 2 57, +C4<011000>; +L_0x19ab830/d .functor NOR 1, L_0x19abad0, L_0x19ab940, C4<0>, C4<0>; +L_0x19ab830 .delay 1 (10,10,10) L_0x19ab830/d; +v0x1924ac0_0 .net *"_s3", 0 0, L_0x19ab940; 1 drivers +S_0x1924ba0 .scope generate, "genblk2[25]" "genblk2[25]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1924db0 .param/l "j" 0 2 57, +C4<011001>; +L_0x19abff0/d .functor NOR 1, L_0x19ab830, L_0x19ac100, C4<0>, C4<0>; +L_0x19abff0 .delay 1 (10,10,10) L_0x19abff0/d; +v0x1924e70_0 .net *"_s3", 0 0, L_0x19ac100; 1 drivers +S_0x1924f50 .scope generate, "genblk2[26]" "genblk2[26]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1925160 .param/l "j" 0 2 57, +C4<011010>; +L_0x19abd40/d .functor NOR 1, L_0x19abff0, L_0x19abe50, C4<0>, C4<0>; +L_0x19abd40 .delay 1 (10,10,10) L_0x19abd40/d; +v0x1925220_0 .net *"_s3", 0 0, L_0x19abe50; 1 drivers +S_0x1925300 .scope generate, "genblk2[27]" "genblk2[27]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x1925510 .param/l "j" 0 2 57, +C4<011011>; +L_0x19ac520/d .functor NOR 1, L_0x19abd40, L_0x19ac630, C4<0>, C4<0>; +L_0x19ac520 .delay 1 (10,10,10) L_0x19ac520/d; +v0x19255d0_0 .net *"_s3", 0 0, L_0x19ac630; 1 drivers +S_0x19256b0 .scope generate, "genblk2[28]" "genblk2[28]" 2 57, 2 57 0, S_0x1830720; + .timescale 0 0; +P_0x19258c0 .param/l "j" 0 2 57, +C4<011100>; +L_0x19ac260/d .functor NOR 1, L_0x19ac520, L_0x19ac370, C4<0>, C4<0>; +L_0x19ac260 .delay 1 (10,10,10) L_0x19ac260/d; +v0x1925980_0 .net *"_s3", 0 0, L_0x19ac370; 1 drivers +S_0x1927f50 .scope module, "dut3" "alu32bitandn" 2 260, 2 118 0, S_0x17ef170; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 1 "othercontrolsignal" +v0x19367f0_0 .net *"_s100", 0 0, L_0x19c84e0; 1 drivers +v0x19368d0_0 .net *"_s102", 0 0, L_0x19c8640; 1 drivers +v0x19369b0_0 .net *"_s104", 0 0, L_0x19c87a0; 1 drivers +v0x1936aa0_0 .net *"_s106", 0 0, L_0x19c8900; 1 drivers +v0x1936b80_0 .net *"_s108", 0 0, L_0x19c8a60; 1 drivers +v0x1936cb0_0 .net *"_s110", 0 0, L_0x19c8bc0; 1 drivers +v0x1936d90_0 .net *"_s112", 0 0, L_0x19c8d20; 1 drivers +v0x1936e70_0 .net *"_s114", 0 0, L_0x19c8e80; 1 drivers +v0x1936f50_0 .net *"_s116", 0 0, L_0x19c8fe0; 1 drivers +v0x19370c0_0 .net *"_s118", 0 0, L_0x19c9140; 1 drivers +v0x19371a0_0 .net *"_s120", 0 0, L_0x19c7d00; 1 drivers +v0x1937280_0 .net *"_s122", 0 0, L_0x19c96b0; 1 drivers +v0x1937360_0 .net *"_s124", 0 0, L_0x19c97c0; 1 drivers +v0x1937440_0 .net *"_s126", 0 0, L_0x19ca540; 1 drivers +v0x1937520_0 .net *"_s64", 0 0, L_0x19c6820; 1 drivers +v0x1937600_0 .net *"_s66", 0 0, L_0x19c6980; 1 drivers +v0x19376e0_0 .net *"_s68", 0 0, L_0x19c6d70; 1 drivers +v0x1937890_0 .net *"_s70", 0 0, L_0x19c6e80; 1 drivers +v0x1937930_0 .net *"_s72", 0 0, L_0x19c70f0; 1 drivers +v0x1937a10_0 .net *"_s74", 0 0, L_0x19c7200; 1 drivers +v0x1937af0_0 .net *"_s76", 0 0, L_0x19c7360; 1 drivers +v0x1937bd0_0 .net *"_s78", 0 0, L_0x19c74c0; 1 drivers +v0x1937cb0_0 .net *"_s80", 0 0, L_0x19c7620; 1 drivers +v0x1937d90_0 .net *"_s82", 0 0, L_0x19c7780; 1 drivers +v0x1937e70_0 .net *"_s84", 0 0, L_0x19c78e0; 1 drivers +v0x1937f50_0 .net *"_s86", 0 0, L_0x19c7a40; 1 drivers +v0x1938030_0 .net *"_s88", 0 0, L_0x19c6fe0; 1 drivers +v0x1938110_0 .net *"_s90", 0 0, L_0x19c7e00; 1 drivers +v0x19381f0_0 .net *"_s92", 0 0, L_0x19c7f60; 1 drivers +v0x19382d0_0 .net *"_s94", 0 0, L_0x19c80c0; 1 drivers +v0x19383b0_0 .net *"_s96", 0 0, L_0x19c8220; 1 drivers +v0x1938490_0 .net *"_s98", 0 0, L_0x19c8380; 1 drivers +v0x1938570_0 .net "carryout", 0 0, L_0x7f93f4ea0258; alias, 1 drivers +v0x19377a0 .array "interresult", 0 31; +v0x19377a0_0 .net v0x19377a0 0, 0 0, L_0x19bf800; 1 drivers +v0x19377a0_1 .net v0x19377a0 1, 0 0, L_0x19bfb10; 1 drivers +v0x19377a0_2 .net v0x19377a0 2, 0 0, L_0x19bfe20; 1 drivers +v0x19377a0_3 .net v0x19377a0 3, 0 0, L_0x19c0130; 1 drivers +v0x19377a0_4 .net v0x19377a0 4, 0 0, L_0x19c0490; 1 drivers +v0x19377a0_5 .net v0x19377a0 5, 0 0, L_0x19c0800; 1 drivers +v0x19377a0_6 .net v0x19377a0 6, 0 0, L_0x19c0b30; 1 drivers +v0x19377a0_7 .net v0x19377a0 7, 0 0, L_0x19c0ac0; 1 drivers +v0x19377a0_8 .net v0x19377a0 8, 0 0, L_0x19c11f0; 1 drivers +v0x19377a0_9 .net v0x19377a0 9, 0 0, L_0x19c1160; 1 drivers +v0x19377a0_10 .net v0x19377a0 10, 0 0, L_0x19c1500; 1 drivers +v0x19377a0_11 .net v0x19377a0 11, 0 0, L_0x19c1840; 1 drivers +v0x19377a0_12 .net v0x19377a0 12, 0 0, L_0x19c1b90; 1 drivers +v0x19377a0_13 .net v0x19377a0 13, 0 0, L_0x19c1ef0; 1 drivers +v0x19377a0_14 .net v0x19377a0 14, 0 0, L_0x19c2210; 1 drivers +v0x19377a0_15 .net v0x19377a0 15, 0 0, L_0x19c2540; 1 drivers +v0x19377a0_16 .net v0x19377a0 16, 0 0, L_0x19c2880; 1 drivers +v0x19377a0_17 .net v0x19377a0 17, 0 0, L_0x19c2bd0; 1 drivers +v0x19377a0_18 .net v0x19377a0 18, 0 0, L_0x1938ac0; 1 drivers +v0x19377a0_19 .net v0x19377a0 19, 0 0, L_0x19c2f30; 1 drivers +v0x19377a0_20 .net v0x19377a0 20, 0 0, L_0x19c32b0; 1 drivers +v0x19377a0_21 .net v0x19377a0 21, 0 0, L_0x19c35d0; 1 drivers +v0x19377a0_22 .net v0x19377a0 22, 0 0, L_0x19c38b0; 1 drivers +v0x19377a0_23 .net v0x19377a0 23, 0 0, L_0x19c3bf0; 1 drivers +v0x19377a0_24 .net v0x19377a0 24, 0 0, L_0x19c3ef0; 1 drivers +v0x19377a0_25 .net v0x19377a0 25, 0 0, L_0x19c41b0; 1 drivers +v0x19377a0_26 .net v0x19377a0 26, 0 0, L_0x1992050; 1 drivers +v0x19377a0_27 .net v0x19377a0 27, 0 0, L_0x19923a0; 1 drivers +v0x19377a0_28 .net v0x19377a0 28, 0 0, L_0x1992700; 1 drivers +v0x19377a0_29 .net v0x19377a0 29, 0 0, L_0x1992a20; 1 drivers +v0x19377a0_30 .net v0x19377a0 30, 0 0, L_0x1992d50; 1 drivers +v0x19377a0_31 .net v0x19377a0 31, 0 0, L_0x19c64f0; 1 drivers +v0x1938cf0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x1938e40_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x1938f90_0 .net "othercontrolsignal", 0 0, v0x17bafe0_0; alias, 1 drivers +v0x1939030_0 .net "overflow", 0 0, L_0x7f93f4ea02e8; alias, 1 drivers +v0x19390d0_0 .net "result", 31 0, L_0x19c9920; alias, 1 drivers +v0x19391b0_0 .net "zero", 0 0, L_0x7f93f4ea02a0; alias, 1 drivers +L_0x19bf8c0 .part o0x7f93f4eeeb98, 0, 1; +L_0x19bfa20 .part o0x7f93f4eeebc8, 0, 1; +L_0x19bfbd0 .part o0x7f93f4eeeb98, 1, 1; +L_0x19bfd30 .part o0x7f93f4eeebc8, 1, 1; +L_0x19bfee0 .part o0x7f93f4eeeb98, 2, 1; +L_0x19c0040 .part o0x7f93f4eeebc8, 2, 1; +L_0x19c01f0 .part o0x7f93f4eeeb98, 3, 1; +L_0x19c0350 .part o0x7f93f4eeebc8, 3, 1; +L_0x19c0550 .part o0x7f93f4eeeb98, 4, 1; +L_0x19c06b0 .part o0x7f93f4eeebc8, 4, 1; +L_0x19c0870 .part o0x7f93f4eeeb98, 5, 1; +L_0x19c09d0 .part o0x7f93f4eeebc8, 5, 1; +L_0x19c0bf0 .part o0x7f93f4eeeb98, 6, 1; +L_0x19c0d50 .part o0x7f93f4eeebc8, 6, 1; +L_0x19c0f10 .part o0x7f93f4eeeb98, 7, 1; +L_0x19c1070 .part o0x7f93f4eeebc8, 7, 1; +L_0x19c12b0 .part o0x7f93f4eeeb98, 8, 1; +L_0x19c1410 .part o0x7f93f4eeebc8, 8, 1; +L_0x19c15f0 .part o0x7f93f4eeeb98, 9, 1; +L_0x19c1750 .part o0x7f93f4eeebc8, 9, 1; +L_0x19c1940 .part o0x7f93f4eeeb98, 10, 1; +L_0x19c1aa0 .part o0x7f93f4eeebc8, 10, 1; +L_0x19c1ca0 .part o0x7f93f4eeeb98, 11, 1; +L_0x19c1e00 .part o0x7f93f4eeebc8, 11, 1; +L_0x19c1fc0 .part o0x7f93f4eeeb98, 12, 1; +L_0x19c2120 .part o0x7f93f4eeebc8, 12, 1; +L_0x19c22f0 .part o0x7f93f4eeeb98, 13, 1; +L_0x19c2450 .part o0x7f93f4eeebc8, 13, 1; +L_0x19c2630 .part o0x7f93f4eeeb98, 14, 1; +L_0x19c2790 .part o0x7f93f4eeebc8, 14, 1; +L_0x19c2980 .part o0x7f93f4eeeb98, 15, 1; +L_0x19c2ae0 .part o0x7f93f4eeebc8, 15, 1; +L_0x19c2ce0 .part o0x7f93f4eeeb98, 16, 1; +L_0x19c2e40 .part o0x7f93f4eeebc8, 16, 1; +L_0x1938930 .part o0x7f93f4eeeb98, 17, 1; +L_0x1938a20 .part o0x7f93f4eeebc8, 17, 1; +L_0x19c3060 .part o0x7f93f4eeeb98, 18, 1; +L_0x19c31c0 .part o0x7f93f4eeebc8, 18, 1; +L_0x19c33f0 .part o0x7f93f4eeeb98, 19, 1; +L_0x19c34e0 .part o0x7f93f4eeebc8, 19, 1; +L_0x19c3720 .part o0x7f93f4eeeb98, 20, 1; +L_0x19c37c0 .part o0x7f93f4eeebc8, 20, 1; +L_0x19c3a10 .part o0x7f93f4eeeb98, 21, 1; +L_0x19c3b00 .part o0x7f93f4eeebc8, 21, 1; +L_0x19c3d60 .part o0x7f93f4eeeb98, 22, 1; +L_0x19c3e00 .part o0x7f93f4eeebc8, 22, 1; +L_0x19c4070 .part o0x7f93f4eeeb98, 23, 1; +L_0x19c4110 .part o0x7f93f4eeebc8, 23, 1; +L_0x19c4340 .part o0x7f93f4eeeb98, 24, 1; +L_0x1991f60 .part o0x7f93f4eeebc8, 24, 1; +L_0x19c4270 .part o0x7f93f4eeeb98, 25, 1; +L_0x1992240 .part o0x7f93f4eeebc8, 25, 1; +L_0x1992110 .part o0x7f93f4eeeb98, 26, 1; +L_0x19925a0 .part o0x7f93f4eeebc8, 26, 1; +L_0x1992460 .part o0x7f93f4eeeb98, 27, 1; +L_0x19928c0 .part o0x7f93f4eeebc8, 27, 1; +L_0x19927c0 .part o0x7f93f4eeeb98, 28, 1; +L_0x1992bf0 .part o0x7f93f4eeebc8, 28, 1; +L_0x1992a90 .part o0x7f93f4eeeb98, 29, 1; +L_0x19c6400 .part o0x7f93f4eeebc8, 29, 1; +L_0x1992e10 .part o0x7f93f4eeeb98, 30, 1; +L_0x19c6730 .part o0x7f93f4eeebc8, 30, 1; +L_0x19c65b0 .part o0x7f93f4eeeb98, 31, 1; +L_0x19c6a70 .part o0x7f93f4eeebc8, 31, 1; +LS_0x19c9920_0_0 .concat8 [ 1 1 1 1], L_0x19c6820, L_0x19c6980, L_0x19c6d70, L_0x19c6e80; +LS_0x19c9920_0_4 .concat8 [ 1 1 1 1], L_0x19c70f0, L_0x19c7200, L_0x19c7360, L_0x19c74c0; +LS_0x19c9920_0_8 .concat8 [ 1 1 1 1], L_0x19c7620, L_0x19c7780, L_0x19c78e0, L_0x19c7a40; +LS_0x19c9920_0_12 .concat8 [ 1 1 1 1], L_0x19c6fe0, L_0x19c7e00, L_0x19c7f60, L_0x19c80c0; +LS_0x19c9920_0_16 .concat8 [ 1 1 1 1], L_0x19c8220, L_0x19c8380, L_0x19c84e0, L_0x19c8640; +LS_0x19c9920_0_20 .concat8 [ 1 1 1 1], L_0x19c87a0, L_0x19c8900, L_0x19c8a60, L_0x19c8bc0; +LS_0x19c9920_0_24 .concat8 [ 1 1 1 1], L_0x19c8d20, L_0x19c8e80, L_0x19c8fe0, L_0x19c9140; +LS_0x19c9920_0_28 .concat8 [ 1 1 1 1], L_0x19c7d00, L_0x19c96b0, L_0x19c97c0, L_0x19ca540; +LS_0x19c9920_1_0 .concat8 [ 4 4 4 4], LS_0x19c9920_0_0, LS_0x19c9920_0_4, LS_0x19c9920_0_8, LS_0x19c9920_0_12; +LS_0x19c9920_1_4 .concat8 [ 4 4 4 4], LS_0x19c9920_0_16, LS_0x19c9920_0_20, LS_0x19c9920_0_24, LS_0x19c9920_0_28; +L_0x19c9920 .concat8 [ 16 16 0 0], LS_0x19c9920_1_0, LS_0x19c9920_1_4; +S_0x1928180 .scope generate, "ripple0[0]" "ripple0[0]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1928390 .param/l "i" 0 2 132, +C4<00>; +L_0x19bf800/d .functor AND 1, L_0x19bf8c0, L_0x19bfa20, C4<1>, C4<1>; +L_0x19bf800 .delay 1 (20,20,20) L_0x19bf800/d; +v0x1928470_0 .net *"_s1", 0 0, L_0x19bf8c0; 1 drivers +v0x1928550_0 .net *"_s2", 0 0, L_0x19bfa20; 1 drivers +S_0x1928630 .scope generate, "ripple0[1]" "ripple0[1]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1928840 .param/l "i" 0 2 132, +C4<01>; +L_0x19bfb10/d .functor AND 1, L_0x19bfbd0, L_0x19bfd30, C4<1>, C4<1>; +L_0x19bfb10 .delay 1 (20,20,20) L_0x19bfb10/d; +v0x1928900_0 .net *"_s1", 0 0, L_0x19bfbd0; 1 drivers +v0x19289e0_0 .net *"_s2", 0 0, L_0x19bfd30; 1 drivers +S_0x1928ac0 .scope generate, "ripple0[2]" "ripple0[2]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1928cd0 .param/l "i" 0 2 132, +C4<010>; +L_0x19bfe20/d .functor AND 1, L_0x19bfee0, L_0x19c0040, C4<1>, C4<1>; +L_0x19bfe20 .delay 1 (20,20,20) L_0x19bfe20/d; +v0x1928d70_0 .net *"_s1", 0 0, L_0x19bfee0; 1 drivers +v0x1928e50_0 .net *"_s2", 0 0, L_0x19c0040; 1 drivers +S_0x1928f30 .scope generate, "ripple0[3]" "ripple0[3]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1929140 .param/l "i" 0 2 132, +C4<011>; +L_0x19c0130/d .functor AND 1, L_0x19c01f0, L_0x19c0350, C4<1>, C4<1>; +L_0x19c0130 .delay 1 (20,20,20) L_0x19c0130/d; +v0x1929200_0 .net *"_s1", 0 0, L_0x19c01f0; 1 drivers +v0x19292e0_0 .net *"_s2", 0 0, L_0x19c0350; 1 drivers +S_0x19293c0 .scope generate, "ripple0[4]" "ripple0[4]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1929620 .param/l "i" 0 2 132, +C4<0100>; +L_0x19c0490/d .functor AND 1, L_0x19c0550, L_0x19c06b0, C4<1>, C4<1>; +L_0x19c0490 .delay 1 (20,20,20) L_0x19c0490/d; +v0x19296e0_0 .net *"_s1", 0 0, L_0x19c0550; 1 drivers +v0x19297c0_0 .net *"_s2", 0 0, L_0x19c06b0; 1 drivers +S_0x19298a0 .scope generate, "ripple0[5]" "ripple0[5]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1929ab0 .param/l "i" 0 2 132, +C4<0101>; +L_0x19c0800/d .functor AND 1, L_0x19c0870, L_0x19c09d0, C4<1>, C4<1>; +L_0x19c0800 .delay 1 (20,20,20) L_0x19c0800/d; +v0x1929b70_0 .net *"_s1", 0 0, L_0x19c0870; 1 drivers +v0x1929c50_0 .net *"_s2", 0 0, L_0x19c09d0; 1 drivers +S_0x1929d30 .scope generate, "ripple0[6]" "ripple0[6]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1929f40 .param/l "i" 0 2 132, +C4<0110>; +L_0x19c0b30/d .functor AND 1, L_0x19c0bf0, L_0x19c0d50, C4<1>, C4<1>; +L_0x19c0b30 .delay 1 (20,20,20) L_0x19c0b30/d; +v0x192a000_0 .net *"_s1", 0 0, L_0x19c0bf0; 1 drivers +v0x192a0e0_0 .net *"_s2", 0 0, L_0x19c0d50; 1 drivers +S_0x192a1c0 .scope generate, "ripple0[7]" "ripple0[7]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192a3d0 .param/l "i" 0 2 132, +C4<0111>; +L_0x19c0ac0/d .functor AND 1, L_0x19c0f10, L_0x19c1070, C4<1>, C4<1>; +L_0x19c0ac0 .delay 1 (20,20,20) L_0x19c0ac0/d; +v0x192a490_0 .net *"_s1", 0 0, L_0x19c0f10; 1 drivers +v0x192a570_0 .net *"_s2", 0 0, L_0x19c1070; 1 drivers +S_0x192a650 .scope generate, "ripple0[8]" "ripple0[8]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x19295d0 .param/l "i" 0 2 132, +C4<01000>; +L_0x19c11f0/d .functor AND 1, L_0x19c12b0, L_0x19c1410, C4<1>, C4<1>; +L_0x19c11f0 .delay 1 (20,20,20) L_0x19c11f0/d; +v0x192a960_0 .net *"_s1", 0 0, L_0x19c12b0; 1 drivers +v0x192aa40_0 .net *"_s2", 0 0, L_0x19c1410; 1 drivers +S_0x192ab20 .scope generate, "ripple0[9]" "ripple0[9]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192ad30 .param/l "i" 0 2 132, +C4<01001>; +L_0x19c1160/d .functor AND 1, L_0x19c15f0, L_0x19c1750, C4<1>, C4<1>; +L_0x19c1160 .delay 1 (20,20,20) L_0x19c1160/d; +v0x192adf0_0 .net *"_s1", 0 0, L_0x19c15f0; 1 drivers +v0x192aed0_0 .net *"_s2", 0 0, L_0x19c1750; 1 drivers +S_0x192afb0 .scope generate, "ripple0[10]" "ripple0[10]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192b1c0 .param/l "i" 0 2 132, +C4<01010>; +L_0x19c1500/d .functor AND 1, L_0x19c1940, L_0x19c1aa0, C4<1>, C4<1>; +L_0x19c1500 .delay 1 (20,20,20) L_0x19c1500/d; +v0x192b280_0 .net *"_s1", 0 0, L_0x19c1940; 1 drivers +v0x192b360_0 .net *"_s2", 0 0, L_0x19c1aa0; 1 drivers +S_0x192b440 .scope generate, "ripple0[11]" "ripple0[11]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192b650 .param/l "i" 0 2 132, +C4<01011>; +L_0x19c1840/d .functor AND 1, L_0x19c1ca0, L_0x19c1e00, C4<1>, C4<1>; +L_0x19c1840 .delay 1 (20,20,20) L_0x19c1840/d; +v0x192b710_0 .net *"_s1", 0 0, L_0x19c1ca0; 1 drivers +v0x192b7f0_0 .net *"_s2", 0 0, L_0x19c1e00; 1 drivers +S_0x192b8d0 .scope generate, "ripple0[12]" "ripple0[12]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192bae0 .param/l "i" 0 2 132, +C4<01100>; +L_0x19c1b90/d .functor AND 1, L_0x19c1fc0, L_0x19c2120, C4<1>, C4<1>; +L_0x19c1b90 .delay 1 (20,20,20) L_0x19c1b90/d; +v0x192bba0_0 .net *"_s1", 0 0, L_0x19c1fc0; 1 drivers +v0x192bc80_0 .net *"_s2", 0 0, L_0x19c2120; 1 drivers +S_0x192bd60 .scope generate, "ripple0[13]" "ripple0[13]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192bf70 .param/l "i" 0 2 132, +C4<01101>; +L_0x19c1ef0/d .functor AND 1, L_0x19c22f0, L_0x19c2450, C4<1>, C4<1>; +L_0x19c1ef0 .delay 1 (20,20,20) L_0x19c1ef0/d; +v0x192c030_0 .net *"_s1", 0 0, L_0x19c22f0; 1 drivers +v0x192c110_0 .net *"_s2", 0 0, L_0x19c2450; 1 drivers +S_0x192c1f0 .scope generate, "ripple0[14]" "ripple0[14]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192c400 .param/l "i" 0 2 132, +C4<01110>; +L_0x19c2210/d .functor AND 1, L_0x19c2630, L_0x19c2790, C4<1>, C4<1>; +L_0x19c2210 .delay 1 (20,20,20) L_0x19c2210/d; +v0x192c4c0_0 .net *"_s1", 0 0, L_0x19c2630; 1 drivers +v0x192c5a0_0 .net *"_s2", 0 0, L_0x19c2790; 1 drivers +S_0x192c680 .scope generate, "ripple0[15]" "ripple0[15]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192c890 .param/l "i" 0 2 132, +C4<01111>; +L_0x19c2540/d .functor AND 1, L_0x19c2980, L_0x19c2ae0, C4<1>, C4<1>; +L_0x19c2540 .delay 1 (20,20,20) L_0x19c2540/d; +v0x192c950_0 .net *"_s1", 0 0, L_0x19c2980; 1 drivers +v0x192ca30_0 .net *"_s2", 0 0, L_0x19c2ae0; 1 drivers +S_0x192cb10 .scope generate, "ripple0[16]" "ripple0[16]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192a860 .param/l "i" 0 2 132, +C4<010000>; +L_0x19c2880/d .functor AND 1, L_0x19c2ce0, L_0x19c2e40, C4<1>, C4<1>; +L_0x19c2880 .delay 1 (20,20,20) L_0x19c2880/d; +v0x192ce80_0 .net *"_s1", 0 0, L_0x19c2ce0; 1 drivers +v0x192cf40_0 .net *"_s2", 0 0, L_0x19c2e40; 1 drivers +S_0x192d020 .scope generate, "ripple0[17]" "ripple0[17]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192d230 .param/l "i" 0 2 132, +C4<010001>; +L_0x19c2bd0/d .functor AND 1, L_0x1938930, L_0x1938a20, C4<1>, C4<1>; +L_0x19c2bd0 .delay 1 (20,20,20) L_0x19c2bd0/d; +v0x192d2f0_0 .net *"_s1", 0 0, L_0x1938930; 1 drivers +v0x192d3d0_0 .net *"_s2", 0 0, L_0x1938a20; 1 drivers +S_0x192d4b0 .scope generate, "ripple0[18]" "ripple0[18]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192d6c0 .param/l "i" 0 2 132, +C4<010010>; +L_0x1938ac0/d .functor AND 1, L_0x19c3060, L_0x19c31c0, C4<1>, C4<1>; +L_0x1938ac0 .delay 1 (20,20,20) L_0x1938ac0/d; +v0x192d780_0 .net *"_s1", 0 0, L_0x19c3060; 1 drivers +v0x192d860_0 .net *"_s2", 0 0, L_0x19c31c0; 1 drivers +S_0x192d940 .scope generate, "ripple0[19]" "ripple0[19]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192db50 .param/l "i" 0 2 132, +C4<010011>; +L_0x19c2f30/d .functor AND 1, L_0x19c33f0, L_0x19c34e0, C4<1>, C4<1>; +L_0x19c2f30 .delay 1 (20,20,20) L_0x19c2f30/d; +v0x192dc10_0 .net *"_s1", 0 0, L_0x19c33f0; 1 drivers +v0x192dcf0_0 .net *"_s2", 0 0, L_0x19c34e0; 1 drivers +S_0x192ddd0 .scope generate, "ripple0[20]" "ripple0[20]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192dfe0 .param/l "i" 0 2 132, +C4<010100>; +L_0x19c32b0/d .functor AND 1, L_0x19c3720, L_0x19c37c0, C4<1>, C4<1>; +L_0x19c32b0 .delay 1 (20,20,20) L_0x19c32b0/d; +v0x192e0a0_0 .net *"_s1", 0 0, L_0x19c3720; 1 drivers +v0x192e180_0 .net *"_s2", 0 0, L_0x19c37c0; 1 drivers +S_0x192e260 .scope generate, "ripple0[21]" "ripple0[21]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192e470 .param/l "i" 0 2 132, +C4<010101>; +L_0x19c35d0/d .functor AND 1, L_0x19c3a10, L_0x19c3b00, C4<1>, C4<1>; +L_0x19c35d0 .delay 1 (20,20,20) L_0x19c35d0/d; +v0x192e530_0 .net *"_s1", 0 0, L_0x19c3a10; 1 drivers +v0x192e610_0 .net *"_s2", 0 0, L_0x19c3b00; 1 drivers +S_0x192e6f0 .scope generate, "ripple0[22]" "ripple0[22]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192e900 .param/l "i" 0 2 132, +C4<010110>; +L_0x19c38b0/d .functor AND 1, L_0x19c3d60, L_0x19c3e00, C4<1>, C4<1>; +L_0x19c38b0 .delay 1 (20,20,20) L_0x19c38b0/d; +v0x192e9c0_0 .net *"_s1", 0 0, L_0x19c3d60; 1 drivers +v0x192eaa0_0 .net *"_s2", 0 0, L_0x19c3e00; 1 drivers +S_0x192eb80 .scope generate, "ripple0[23]" "ripple0[23]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192ed90 .param/l "i" 0 2 132, +C4<010111>; +L_0x19c3bf0/d .functor AND 1, L_0x19c4070, L_0x19c4110, C4<1>, C4<1>; +L_0x19c3bf0 .delay 1 (20,20,20) L_0x19c3bf0/d; +v0x192ee50_0 .net *"_s1", 0 0, L_0x19c4070; 1 drivers +v0x192ef30_0 .net *"_s2", 0 0, L_0x19c4110; 1 drivers +S_0x192f010 .scope generate, "ripple0[24]" "ripple0[24]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192f220 .param/l "i" 0 2 132, +C4<011000>; +L_0x19c3ef0/d .functor AND 1, L_0x19c4340, L_0x1991f60, C4<1>, C4<1>; +L_0x19c3ef0 .delay 1 (20,20,20) L_0x19c3ef0/d; +v0x192f2e0_0 .net *"_s1", 0 0, L_0x19c4340; 1 drivers +v0x192f3c0_0 .net *"_s2", 0 0, L_0x1991f60; 1 drivers +S_0x192f4a0 .scope generate, "ripple0[25]" "ripple0[25]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192f6b0 .param/l "i" 0 2 132, +C4<011001>; +L_0x19c41b0/d .functor AND 1, L_0x19c4270, L_0x1992240, C4<1>, C4<1>; +L_0x19c41b0 .delay 1 (20,20,20) L_0x19c41b0/d; +v0x192f770_0 .net *"_s1", 0 0, L_0x19c4270; 1 drivers +v0x192f850_0 .net *"_s2", 0 0, L_0x1992240; 1 drivers +S_0x192f930 .scope generate, "ripple0[26]" "ripple0[26]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192fb40 .param/l "i" 0 2 132, +C4<011010>; +L_0x1992050/d .functor AND 1, L_0x1992110, L_0x19925a0, C4<1>, C4<1>; +L_0x1992050 .delay 1 (20,20,20) L_0x1992050/d; +v0x192fc00_0 .net *"_s1", 0 0, L_0x1992110; 1 drivers +v0x192fce0_0 .net *"_s2", 0 0, L_0x19925a0; 1 drivers +S_0x192fdc0 .scope generate, "ripple0[27]" "ripple0[27]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x192ffd0 .param/l "i" 0 2 132, +C4<011011>; +L_0x19923a0/d .functor AND 1, L_0x1992460, L_0x19928c0, C4<1>, C4<1>; +L_0x19923a0 .delay 1 (20,20,20) L_0x19923a0/d; +v0x1930090_0 .net *"_s1", 0 0, L_0x1992460; 1 drivers +v0x1930170_0 .net *"_s2", 0 0, L_0x19928c0; 1 drivers +S_0x1930250 .scope generate, "ripple0[28]" "ripple0[28]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1930460 .param/l "i" 0 2 132, +C4<011100>; +L_0x1992700/d .functor AND 1, L_0x19927c0, L_0x1992bf0, C4<1>, C4<1>; +L_0x1992700 .delay 1 (20,20,20) L_0x1992700/d; +v0x1930520_0 .net *"_s1", 0 0, L_0x19927c0; 1 drivers +v0x1930600_0 .net *"_s2", 0 0, L_0x1992bf0; 1 drivers +S_0x19306e0 .scope generate, "ripple0[29]" "ripple0[29]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x19308f0 .param/l "i" 0 2 132, +C4<011101>; +L_0x1992a20/d .functor AND 1, L_0x1992a90, L_0x19c6400, C4<1>, C4<1>; +L_0x1992a20 .delay 1 (20,20,20) L_0x1992a20/d; +v0x19309b0_0 .net *"_s1", 0 0, L_0x1992a90; 1 drivers +v0x1930a90_0 .net *"_s2", 0 0, L_0x19c6400; 1 drivers +S_0x1930b70 .scope generate, "ripple0[30]" "ripple0[30]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1930d80 .param/l "i" 0 2 132, +C4<011110>; +L_0x1992d50/d .functor AND 1, L_0x1992e10, L_0x19c6730, C4<1>, C4<1>; +L_0x1992d50 .delay 1 (20,20,20) L_0x1992d50/d; +v0x1930e40_0 .net *"_s1", 0 0, L_0x1992e10; 1 drivers +v0x1930f20_0 .net *"_s2", 0 0, L_0x19c6730; 1 drivers +S_0x1931000 .scope generate, "ripple0[31]" "ripple0[31]" 2 132, 2 132 0, S_0x1927f50; + .timescale 0 0; +P_0x1931210 .param/l "i" 0 2 132, +C4<011111>; +L_0x19c64f0/d .functor AND 1, L_0x19c65b0, L_0x19c6a70, C4<1>, C4<1>; +L_0x19c64f0 .delay 1 (20,20,20) L_0x19c64f0/d; +v0x19312d0_0 .net *"_s1", 0 0, L_0x19c65b0; 1 drivers +v0x19313b0_0 .net *"_s2", 0 0, L_0x19c6a70; 1 drivers +S_0x1931490 .scope generate, "ripple1[0]" "ripple1[0]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x192cd20 .param/l "j" 0 2 140, +C4<00>; +L_0x19c6820/d .functor XOR 1, v0x17bafe0_0, L_0x19bf800, C4<0>, C4<0>; +L_0x19c6820 .delay 1 (20,20,20) L_0x19c6820/d; +S_0x19318b0 .scope generate, "ripple1[1]" "ripple1[1]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1931a50 .param/l "j" 0 2 140, +C4<01>; +L_0x19c6980/d .functor XOR 1, v0x17bafe0_0, L_0x19bfb10, C4<0>, C4<0>; +L_0x19c6980 .delay 1 (20,20,20) L_0x19c6980/d; +S_0x1931b10 .scope generate, "ripple1[2]" "ripple1[2]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1931d00 .param/l "j" 0 2 140, +C4<010>; +L_0x19c6d70/d .functor XOR 1, v0x17bafe0_0, L_0x19bfe20, C4<0>, C4<0>; +L_0x19c6d70 .delay 1 (20,20,20) L_0x19c6d70/d; +S_0x1931dc0 .scope generate, "ripple1[3]" "ripple1[3]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1931fb0 .param/l "j" 0 2 140, +C4<011>; +L_0x19c6e80/d .functor XOR 1, v0x17bafe0_0, L_0x19c0130, C4<0>, C4<0>; +L_0x19c6e80 .delay 1 (20,20,20) L_0x19c6e80/d; +S_0x1932070 .scope generate, "ripple1[4]" "ripple1[4]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1932260 .param/l "j" 0 2 140, +C4<0100>; +L_0x19c70f0/d .functor XOR 1, v0x17bafe0_0, L_0x19c0490, C4<0>, C4<0>; +L_0x19c70f0 .delay 1 (20,20,20) L_0x19c70f0/d; +S_0x1932320 .scope generate, "ripple1[5]" "ripple1[5]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1932510 .param/l "j" 0 2 140, +C4<0101>; +L_0x19c7200/d .functor XOR 1, v0x17bafe0_0, L_0x19c0800, C4<0>, C4<0>; +L_0x19c7200 .delay 1 (20,20,20) L_0x19c7200/d; +S_0x19325d0 .scope generate, "ripple1[6]" "ripple1[6]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x19327c0 .param/l "j" 0 2 140, +C4<0110>; +L_0x19c7360/d .functor XOR 1, v0x17bafe0_0, L_0x19c0b30, C4<0>, C4<0>; +L_0x19c7360 .delay 1 (20,20,20) L_0x19c7360/d; +S_0x1932880 .scope generate, "ripple1[7]" "ripple1[7]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1932a70 .param/l "j" 0 2 140, +C4<0111>; +L_0x19c74c0/d .functor XOR 1, v0x17bafe0_0, L_0x19c0ac0, C4<0>, C4<0>; +L_0x19c74c0 .delay 1 (20,20,20) L_0x19c74c0/d; +S_0x1932b30 .scope generate, "ripple1[8]" "ripple1[8]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1932d20 .param/l "j" 0 2 140, +C4<01000>; +L_0x19c7620/d .functor XOR 1, v0x17bafe0_0, L_0x19c11f0, C4<0>, C4<0>; +L_0x19c7620 .delay 1 (20,20,20) L_0x19c7620/d; +S_0x1932de0 .scope generate, "ripple1[9]" "ripple1[9]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1932fd0 .param/l "j" 0 2 140, +C4<01001>; +L_0x19c7780/d .functor XOR 1, v0x17bafe0_0, L_0x19c1160, C4<0>, C4<0>; +L_0x19c7780 .delay 1 (20,20,20) L_0x19c7780/d; +S_0x1933090 .scope generate, "ripple1[10]" "ripple1[10]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1933280 .param/l "j" 0 2 140, +C4<01010>; +L_0x19c78e0/d .functor XOR 1, v0x17bafe0_0, L_0x19c1500, C4<0>, C4<0>; +L_0x19c78e0 .delay 1 (20,20,20) L_0x19c78e0/d; +S_0x1933340 .scope generate, "ripple1[11]" "ripple1[11]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1933530 .param/l "j" 0 2 140, +C4<01011>; +L_0x19c7a40/d .functor XOR 1, v0x17bafe0_0, L_0x19c1840, C4<0>, C4<0>; +L_0x19c7a40 .delay 1 (20,20,20) L_0x19c7a40/d; +S_0x19335f0 .scope generate, "ripple1[12]" "ripple1[12]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x19337e0 .param/l "j" 0 2 140, +C4<01100>; +L_0x19c6fe0/d .functor XOR 1, v0x17bafe0_0, L_0x19c1b90, C4<0>, C4<0>; +L_0x19c6fe0 .delay 1 (20,20,20) L_0x19c6fe0/d; +S_0x19338a0 .scope generate, "ripple1[13]" "ripple1[13]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1933a90 .param/l "j" 0 2 140, +C4<01101>; +L_0x19c7e00/d .functor XOR 1, v0x17bafe0_0, L_0x19c1ef0, C4<0>, C4<0>; +L_0x19c7e00 .delay 1 (20,20,20) L_0x19c7e00/d; +S_0x1933b50 .scope generate, "ripple1[14]" "ripple1[14]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1933d40 .param/l "j" 0 2 140, +C4<01110>; +L_0x19c7f60/d .functor XOR 1, v0x17bafe0_0, L_0x19c2210, C4<0>, C4<0>; +L_0x19c7f60 .delay 1 (20,20,20) L_0x19c7f60/d; +S_0x1933e00 .scope generate, "ripple1[15]" "ripple1[15]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1933ff0 .param/l "j" 0 2 140, +C4<01111>; +L_0x19c80c0/d .functor XOR 1, v0x17bafe0_0, L_0x19c2540, C4<0>, C4<0>; +L_0x19c80c0 .delay 1 (20,20,20) L_0x19c80c0/d; +S_0x1934090 .scope generate, "ripple1[16]" "ripple1[16]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1934260 .param/l "j" 0 2 140, +C4<010000>; +L_0x19c8220/d .functor XOR 1, v0x17bafe0_0, L_0x19c2880, C4<0>, C4<0>; +L_0x19c8220 .delay 1 (20,20,20) L_0x19c8220/d; +S_0x1934300 .scope generate, "ripple1[17]" "ripple1[17]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x19344d0 .param/l "j" 0 2 140, +C4<010001>; +L_0x19c8380/d .functor XOR 1, v0x17bafe0_0, L_0x19c2bd0, C4<0>, C4<0>; +L_0x19c8380 .delay 1 (20,20,20) L_0x19c8380/d; +S_0x1934570 .scope generate, "ripple1[18]" "ripple1[18]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1934740 .param/l "j" 0 2 140, +C4<010010>; +L_0x19c84e0/d .functor XOR 1, v0x17bafe0_0, L_0x1938ac0, C4<0>, C4<0>; +L_0x19c84e0 .delay 1 (20,20,20) L_0x19c84e0/d; +S_0x19347e0 .scope generate, "ripple1[19]" "ripple1[19]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x19349b0 .param/l "j" 0 2 140, +C4<010011>; +L_0x19c8640/d .functor XOR 1, v0x17bafe0_0, L_0x19c2f30, C4<0>, C4<0>; +L_0x19c8640 .delay 1 (20,20,20) L_0x19c8640/d; +S_0x1934a50 .scope generate, "ripple1[20]" "ripple1[20]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1934c20 .param/l "j" 0 2 140, +C4<010100>; +L_0x19c87a0/d .functor XOR 1, v0x17bafe0_0, L_0x19c32b0, C4<0>, C4<0>; +L_0x19c87a0 .delay 1 (20,20,20) L_0x19c87a0/d; +S_0x1934cc0 .scope generate, "ripple1[21]" "ripple1[21]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1934e90 .param/l "j" 0 2 140, +C4<010101>; +L_0x19c8900/d .functor XOR 1, v0x17bafe0_0, L_0x19c35d0, C4<0>, C4<0>; +L_0x19c8900 .delay 1 (20,20,20) L_0x19c8900/d; +S_0x1934f30 .scope generate, "ripple1[22]" "ripple1[22]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935100 .param/l "j" 0 2 140, +C4<010110>; +L_0x19c8a60/d .functor XOR 1, v0x17bafe0_0, L_0x19c38b0, C4<0>, C4<0>; +L_0x19c8a60 .delay 1 (20,20,20) L_0x19c8a60/d; +S_0x19351a0 .scope generate, "ripple1[23]" "ripple1[23]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935370 .param/l "j" 0 2 140, +C4<010111>; +L_0x19c8bc0/d .functor XOR 1, v0x17bafe0_0, L_0x19c3bf0, C4<0>, C4<0>; +L_0x19c8bc0 .delay 1 (20,20,20) L_0x19c8bc0/d; +S_0x1935410 .scope generate, "ripple1[24]" "ripple1[24]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x19355e0 .param/l "j" 0 2 140, +C4<011000>; +L_0x19c8d20/d .functor XOR 1, v0x17bafe0_0, L_0x19c3ef0, C4<0>, C4<0>; +L_0x19c8d20 .delay 1 (20,20,20) L_0x19c8d20/d; +S_0x1935680 .scope generate, "ripple1[25]" "ripple1[25]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935850 .param/l "j" 0 2 140, +C4<011001>; +L_0x19c8e80/d .functor XOR 1, v0x17bafe0_0, L_0x19c41b0, C4<0>, C4<0>; +L_0x19c8e80 .delay 1 (20,20,20) L_0x19c8e80/d; +S_0x19358f0 .scope generate, "ripple1[26]" "ripple1[26]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935ac0 .param/l "j" 0 2 140, +C4<011010>; +L_0x19c8fe0/d .functor XOR 1, v0x17bafe0_0, L_0x1992050, C4<0>, C4<0>; +L_0x19c8fe0 .delay 1 (20,20,20) L_0x19c8fe0/d; +S_0x1935b60 .scope generate, "ripple1[27]" "ripple1[27]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935d30 .param/l "j" 0 2 140, +C4<011011>; +L_0x19c9140/d .functor XOR 1, v0x17bafe0_0, L_0x19923a0, C4<0>, C4<0>; +L_0x19c9140 .delay 1 (20,20,20) L_0x19c9140/d; +S_0x1935dd0 .scope generate, "ripple1[28]" "ripple1[28]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1935fa0 .param/l "j" 0 2 140, +C4<011100>; +L_0x19c7d00/d .functor XOR 1, v0x17bafe0_0, L_0x1992700, C4<0>, C4<0>; +L_0x19c7d00 .delay 1 (20,20,20) L_0x19c7d00/d; +S_0x1936040 .scope generate, "ripple1[29]" "ripple1[29]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1936210 .param/l "j" 0 2 140, +C4<011101>; +L_0x19c96b0/d .functor XOR 1, v0x17bafe0_0, L_0x1992a20, C4<0>, C4<0>; +L_0x19c96b0 .delay 1 (20,20,20) L_0x19c96b0/d; +S_0x19362b0 .scope generate, "ripple1[30]" "ripple1[30]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1936480 .param/l "j" 0 2 140, +C4<011110>; +L_0x19c97c0/d .functor XOR 1, v0x17bafe0_0, L_0x1992d50, C4<0>, C4<0>; +L_0x19c97c0 .delay 1 (20,20,20) L_0x19c97c0/d; +S_0x1936540 .scope generate, "ripple1[31]" "ripple1[31]" 2 140, 2 140 0, S_0x1927f50; + .timescale 0 0; +P_0x1936730 .param/l "j" 0 2 140, +C4<011111>; +L_0x19ca540/d .functor XOR 1, v0x17bafe0_0, L_0x19c64f0, C4<0>, C4<0>; +L_0x19ca540 .delay 1 (20,20,20) L_0x19ca540/d; +S_0x1939390 .scope module, "dut4" "NOROR" 2 261, 2 153 0, S_0x17ef170; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 1 "invertnor" +L_0x7f93f4ea0330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x19d4670 .functor BUF 1, L_0x7f93f4ea0330, C4<0>, C4<0>, C4<0>; +L_0x7f93f4ea0378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x19d47d0 .functor BUF 1, L_0x7f93f4ea0378, C4<0>, C4<0>, C4<0>; +L_0x7f93f4ea03c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x19d48e0 .functor BUF 1, L_0x7f93f4ea03c0, C4<0>, C4<0>, C4<0>; +v0x1947fa0_0 .net *"_s100", 0 0, L_0x19d22d0; 1 drivers +v0x1948080_0 .net *"_s102", 0 0, L_0x19d2430; 1 drivers +v0x1948160_0 .net *"_s104", 0 0, L_0x19d2590; 1 drivers +v0x1948220_0 .net *"_s106", 0 0, L_0x19d26f0; 1 drivers +v0x1948300_0 .net *"_s108", 0 0, L_0x19d2850; 1 drivers +v0x1948430_0 .net *"_s110", 0 0, L_0x19d29b0; 1 drivers +v0x1948510_0 .net *"_s112", 0 0, L_0x19d2b10; 1 drivers +v0x19485f0_0 .net *"_s114", 0 0, L_0x19d2c70; 1 drivers +v0x19486d0_0 .net *"_s116", 0 0, L_0x19d2dd0; 1 drivers +v0x1948840_0 .net *"_s118", 0 0, L_0x19d2f30; 1 drivers +v0x1948920_0 .net *"_s120", 0 0, L_0x19c92a0; 1 drivers +v0x1948a00_0 .net *"_s122", 0 0, L_0x19c9400; 1 drivers +v0x1948ae0_0 .net *"_s124", 0 0, L_0x19c9560; 1 drivers +v0x1948bc0_0 .net *"_s126", 0 0, L_0x19d4510; 1 drivers +v0x1948ca0_0 .net/2u *"_s129", 0 0, L_0x7f93f4ea0330; 1 drivers +v0x1948d80_0 .net/2u *"_s131", 0 0, L_0x7f93f4ea0378; 1 drivers +v0x1948e60_0 .net/2u *"_s133", 0 0, L_0x7f93f4ea03c0; 1 drivers +v0x1949010_0 .net *"_s64", 0 0, L_0x19d06e0; 1 drivers +v0x19490b0_0 .net *"_s66", 0 0, L_0x19d0840; 1 drivers +v0x1949190_0 .net *"_s68", 0 0, L_0x19d0cd0; 1 drivers +v0x1949270_0 .net *"_s70", 0 0, L_0x19d0e30; 1 drivers +v0x1949350_0 .net *"_s72", 0 0, L_0x19d0f90; 1 drivers +v0x1949430_0 .net *"_s74", 0 0, L_0x19d10f0; 1 drivers +v0x1949510_0 .net *"_s76", 0 0, L_0x19d1250; 1 drivers +v0x19495f0_0 .net *"_s78", 0 0, L_0x19d13b0; 1 drivers +v0x19496d0_0 .net *"_s80", 0 0, L_0x19d1510; 1 drivers +v0x19497b0_0 .net *"_s82", 0 0, L_0x19d1670; 1 drivers +v0x1949890_0 .net *"_s84", 0 0, L_0x19d17d0; 1 drivers +v0x1949970_0 .net *"_s86", 0 0, L_0x19d1930; 1 drivers +v0x1949a50_0 .net *"_s88", 0 0, L_0x19d1a90; 1 drivers +v0x1949b30_0 .net *"_s90", 0 0, L_0x19d1bf0; 1 drivers +v0x1949c10_0 .net *"_s92", 0 0, L_0x19d1d50; 1 drivers +v0x1949cf0_0 .net *"_s94", 0 0, L_0x19d1eb0; 1 drivers +v0x1948f40_0 .net *"_s96", 0 0, L_0x19d2010; 1 drivers +v0x1949fc0_0 .net *"_s98", 0 0, L_0x19d2170; 1 drivers +v0x194a0a0_0 .net "carryout", 0 0, L_0x19d4670; alias, 1 drivers +v0x194a160_0 .net "invertnor", 0 0, v0x17bafe0_0; alias, 1 drivers +v0x194a200 .array "norres", 0 31; +v0x194a200_0 .net v0x194a200 0, 0 0, L_0x19ca850; 1 drivers +v0x194a200_1 .net v0x194a200 1, 0 0, L_0x19cab60; 1 drivers +v0x194a200_2 .net v0x194a200 2, 0 0, L_0x19cae70; 1 drivers +v0x194a200_3 .net v0x194a200 3, 0 0, L_0x19cb180; 1 drivers +v0x194a200_4 .net v0x194a200 4, 0 0, L_0x19cb4e0; 1 drivers +v0x194a200_5 .net v0x194a200 5, 0 0, L_0x19cb850; 1 drivers +v0x194a200_6 .net v0x194a200 6, 0 0, L_0x19cbb80; 1 drivers +v0x194a200_7 .net v0x194a200 7, 0 0, L_0x19cbb10; 1 drivers +v0x194a200_8 .net v0x194a200 8, 0 0, L_0x19cc240; 1 drivers +v0x194a200_9 .net v0x194a200 9, 0 0, L_0x19cc1b0; 1 drivers +v0x194a200_10 .net v0x194a200 10, 0 0, L_0x19cc550; 1 drivers +v0x194a200_11 .net v0x194a200 11, 0 0, L_0x19cc890; 1 drivers +v0x194a200_12 .net v0x194a200 12, 0 0, L_0x19ccbe0; 1 drivers +v0x194a200_13 .net v0x194a200 13, 0 0, L_0x19ccf40; 1 drivers +v0x194a200_14 .net v0x194a200 14, 0 0, L_0x19cd260; 1 drivers +v0x194a200_15 .net v0x194a200 15, 0 0, L_0x19cd590; 1 drivers +v0x194a200_16 .net v0x194a200 16, 0 0, L_0x19cd8d0; 1 drivers +v0x194a200_17 .net v0x194a200 17, 0 0, L_0x19cdc20; 1 drivers +v0x194a200_18 .net v0x194a200 18, 0 0, L_0x19cbe90; 1 drivers +v0x194a200_19 .net v0x194a200 19, 0 0, L_0x19cdf80; 1 drivers +v0x194a200_20 .net v0x194a200 20, 0 0, L_0x19ce240; 1 drivers +v0x194a200_21 .net v0x194a200 21, 0 0, L_0x19ce560; 1 drivers +v0x194a200_22 .net v0x194a200 22, 0 0, L_0x19ce890; 1 drivers +v0x194a200_23 .net v0x194a200 23, 0 0, L_0x19ceb80; 1 drivers +v0x194a200_24 .net v0x194a200 24, 0 0, L_0x19cee80; 1 drivers +v0x194a200_25 .net v0x194a200 25, 0 0, L_0x19cf190; 1 drivers +v0x194a200_26 .net v0x194a200 26, 0 0, L_0x19cf460; 1 drivers +v0x194a200_27 .net v0x194a200 27, 0 0, L_0x19cf790; 1 drivers +v0x194a200_28 .net v0x194a200 28, 0 0, L_0x19cfad0; 1 drivers +v0x194a200_29 .net v0x194a200 29, 0 0, L_0x19cfd80; 1 drivers +v0x194a200_30 .net v0x194a200 30, 0 0, L_0x19d0090; 1 drivers +v0x194a200_31 .net v0x194a200 31, 0 0, L_0x19d03b0; 1 drivers +v0x194a7b0_0 .net "operandA", 31 0, o0x7f93f4eeeb98; alias, 0 drivers +v0x194a870_0 .net "operandB", 31 0, o0x7f93f4eeebc8; alias, 0 drivers +v0x194a930_0 .net "overflow", 0 0, L_0x19d48e0; alias, 1 drivers +v0x194a9f0_0 .net "result", 31 0, L_0x19d38f0; alias, 1 drivers +v0x194aad0_0 .net "zero", 0 0, L_0x19d47d0; alias, 1 drivers +L_0x19ca910 .part o0x7f93f4eeeb98, 0, 1; +L_0x19caa70 .part o0x7f93f4eeebc8, 0, 1; +L_0x19cac20 .part o0x7f93f4eeeb98, 1, 1; +L_0x19cad80 .part o0x7f93f4eeebc8, 1, 1; +L_0x19caf30 .part o0x7f93f4eeeb98, 2, 1; +L_0x19cb090 .part o0x7f93f4eeebc8, 2, 1; +L_0x19cb240 .part o0x7f93f4eeeb98, 3, 1; +L_0x19cb3a0 .part o0x7f93f4eeebc8, 3, 1; +L_0x19cb5a0 .part o0x7f93f4eeeb98, 4, 1; +L_0x19cb700 .part o0x7f93f4eeebc8, 4, 1; +L_0x19cb8c0 .part o0x7f93f4eeeb98, 5, 1; +L_0x19cba20 .part o0x7f93f4eeebc8, 5, 1; +L_0x19cbc40 .part o0x7f93f4eeeb98, 6, 1; +L_0x19cbda0 .part o0x7f93f4eeebc8, 6, 1; +L_0x19cbf60 .part o0x7f93f4eeeb98, 7, 1; +L_0x19cc0c0 .part o0x7f93f4eeebc8, 7, 1; +L_0x19cc300 .part o0x7f93f4eeeb98, 8, 1; +L_0x19cc460 .part o0x7f93f4eeebc8, 8, 1; +L_0x19cc640 .part o0x7f93f4eeeb98, 9, 1; +L_0x19cc7a0 .part o0x7f93f4eeebc8, 9, 1; +L_0x19cc990 .part o0x7f93f4eeeb98, 10, 1; +L_0x19ccaf0 .part o0x7f93f4eeebc8, 10, 1; +L_0x19cccf0 .part o0x7f93f4eeeb98, 11, 1; +L_0x19cce50 .part o0x7f93f4eeebc8, 11, 1; +L_0x19cd010 .part o0x7f93f4eeeb98, 12, 1; +L_0x19cd170 .part o0x7f93f4eeebc8, 12, 1; +L_0x19cd340 .part o0x7f93f4eeeb98, 13, 1; +L_0x19cd4a0 .part o0x7f93f4eeebc8, 13, 1; +L_0x19cd680 .part o0x7f93f4eeeb98, 14, 1; +L_0x19cd7e0 .part o0x7f93f4eeebc8, 14, 1; +L_0x19cd9d0 .part o0x7f93f4eeeb98, 15, 1; +L_0x19cdb30 .part o0x7f93f4eeebc8, 15, 1; +L_0x19cdd30 .part o0x7f93f4eeeb98, 16, 1; +L_0x19cde90 .part o0x7f93f4eeebc8, 16, 1; +L_0x194a3d0 .part o0x7f93f4eeeb98, 17, 1; +L_0x194a4c0 .part o0x7f93f4eeebc8, 17, 1; +L_0x19ce0b0 .part o0x7f93f4eeeb98, 18, 1; +L_0x19ce150 .part o0x7f93f4eeebc8, 18, 1; +L_0x19ce380 .part o0x7f93f4eeeb98, 19, 1; +L_0x19ce470 .part o0x7f93f4eeebc8, 19, 1; +L_0x19ce6b0 .part o0x7f93f4eeeb98, 20, 1; +L_0x19ce7a0 .part o0x7f93f4eeebc8, 20, 1; +L_0x19ce9f0 .part o0x7f93f4eeeb98, 21, 1; +L_0x19cea90 .part o0x7f93f4eeebc8, 21, 1; +L_0x19cecf0 .part o0x7f93f4eeeb98, 22, 1; +L_0x19ced90 .part o0x7f93f4eeebc8, 22, 1; +L_0x19cf000 .part o0x7f93f4eeeb98, 23, 1; +L_0x19cf0a0 .part o0x7f93f4eeebc8, 23, 1; +L_0x19cf320 .part o0x7f93f4eeeb98, 24, 1; +L_0x19cf3c0 .part o0x7f93f4eeebc8, 24, 1; +L_0x19cf600 .part o0x7f93f4eeeb98, 25, 1; +L_0x19cf6a0 .part o0x7f93f4eeebc8, 25, 1; +L_0x19cf940 .part o0x7f93f4eeeb98, 26, 1; +L_0x19cf9e0 .part o0x7f93f4eeebc8, 26, 1; +L_0x19cf8a0 .part o0x7f93f4eeeb98, 27, 1; +L_0x19cfc90 .part o0x7f93f4eeebc8, 27, 1; +L_0x19cfbe0 .part o0x7f93f4eeeb98, 28, 1; +L_0x19cffa0 .part o0x7f93f4eeebc8, 28, 1; +L_0x19cfe40 .part o0x7f93f4eeeb98, 29, 1; +L_0x19d02c0 .part o0x7f93f4eeebc8, 29, 1; +L_0x19d0150 .part o0x7f93f4eeeb98, 30, 1; +L_0x19d05f0 .part o0x7f93f4eeebc8, 30, 1; +L_0x19d0470 .part o0x7f93f4eeeb98, 31, 1; +L_0x19d0930 .part o0x7f93f4eeebc8, 31, 1; +LS_0x19d38f0_0_0 .concat8 [ 1 1 1 1], L_0x19d06e0, L_0x19d0840, L_0x19d0cd0, L_0x19d0e30; +LS_0x19d38f0_0_4 .concat8 [ 1 1 1 1], L_0x19d0f90, L_0x19d10f0, L_0x19d1250, L_0x19d13b0; +LS_0x19d38f0_0_8 .concat8 [ 1 1 1 1], L_0x19d1510, L_0x19d1670, L_0x19d17d0, L_0x19d1930; +LS_0x19d38f0_0_12 .concat8 [ 1 1 1 1], L_0x19d1a90, L_0x19d1bf0, L_0x19d1d50, L_0x19d1eb0; +LS_0x19d38f0_0_16 .concat8 [ 1 1 1 1], L_0x19d2010, L_0x19d2170, L_0x19d22d0, L_0x19d2430; +LS_0x19d38f0_0_20 .concat8 [ 1 1 1 1], L_0x19d2590, L_0x19d26f0, L_0x19d2850, L_0x19d29b0; +LS_0x19d38f0_0_24 .concat8 [ 1 1 1 1], L_0x19d2b10, L_0x19d2c70, L_0x19d2dd0, L_0x19d2f30; +LS_0x19d38f0_0_28 .concat8 [ 1 1 1 1], L_0x19c92a0, L_0x19c9400, L_0x19c9560, L_0x19d4510; +LS_0x19d38f0_1_0 .concat8 [ 4 4 4 4], LS_0x19d38f0_0_0, LS_0x19d38f0_0_4, LS_0x19d38f0_0_8, LS_0x19d38f0_0_12; +LS_0x19d38f0_1_4 .concat8 [ 4 4 4 4], LS_0x19d38f0_0_16, LS_0x19d38f0_0_20, LS_0x19d38f0_0_24, LS_0x19d38f0_0_28; +L_0x19d38f0 .concat8 [ 16 16 0 0], LS_0x19d38f0_1_0, LS_0x19d38f0_1_4; +S_0x19395c0 .scope generate, "genblk1[0]" "genblk1[0]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1939780 .param/l "i" 0 2 166, +C4<00>; +L_0x19ca850/d .functor NOR 1, L_0x19ca910, L_0x19caa70, C4<0>, C4<0>; +L_0x19ca850 .delay 1 (10,10,10) L_0x19ca850/d; +v0x1939860_0 .net *"_s1", 0 0, L_0x19ca910; 1 drivers +v0x1939940_0 .net *"_s2", 0 0, L_0x19caa70; 1 drivers +S_0x1939a20 .scope generate, "genblk1[1]" "genblk1[1]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1939c30 .param/l "i" 0 2 166, +C4<01>; +L_0x19cab60/d .functor NOR 1, L_0x19cac20, L_0x19cad80, C4<0>, C4<0>; +L_0x19cab60 .delay 1 (10,10,10) L_0x19cab60/d; +v0x1939cf0_0 .net *"_s1", 0 0, L_0x19cac20; 1 drivers +v0x1939dd0_0 .net *"_s2", 0 0, L_0x19cad80; 1 drivers +S_0x1939eb0 .scope generate, "genblk1[2]" "genblk1[2]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193a0c0 .param/l "i" 0 2 166, +C4<010>; +L_0x19cae70/d .functor NOR 1, L_0x19caf30, L_0x19cb090, C4<0>, C4<0>; +L_0x19cae70 .delay 1 (10,10,10) L_0x19cae70/d; +v0x193a160_0 .net *"_s1", 0 0, L_0x19caf30; 1 drivers +v0x193a240_0 .net *"_s2", 0 0, L_0x19cb090; 1 drivers +S_0x193a320 .scope generate, "genblk1[3]" "genblk1[3]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193a530 .param/l "i" 0 2 166, +C4<011>; +L_0x19cb180/d .functor NOR 1, L_0x19cb240, L_0x19cb3a0, C4<0>, C4<0>; +L_0x19cb180 .delay 1 (10,10,10) L_0x19cb180/d; +v0x193a5f0_0 .net *"_s1", 0 0, L_0x19cb240; 1 drivers +v0x193a6d0_0 .net *"_s2", 0 0, L_0x19cb3a0; 1 drivers +S_0x193a7b0 .scope generate, "genblk1[4]" "genblk1[4]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193aa10 .param/l "i" 0 2 166, +C4<0100>; +L_0x19cb4e0/d .functor NOR 1, L_0x19cb5a0, L_0x19cb700, C4<0>, C4<0>; +L_0x19cb4e0 .delay 1 (10,10,10) L_0x19cb4e0/d; +v0x193aad0_0 .net *"_s1", 0 0, L_0x19cb5a0; 1 drivers +v0x193abb0_0 .net *"_s2", 0 0, L_0x19cb700; 1 drivers +S_0x193ac90 .scope generate, "genblk1[5]" "genblk1[5]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193aea0 .param/l "i" 0 2 166, +C4<0101>; +L_0x19cb850/d .functor NOR 1, L_0x19cb8c0, L_0x19cba20, C4<0>, C4<0>; +L_0x19cb850 .delay 1 (10,10,10) L_0x19cb850/d; +v0x193af60_0 .net *"_s1", 0 0, L_0x19cb8c0; 1 drivers +v0x193b040_0 .net *"_s2", 0 0, L_0x19cba20; 1 drivers +S_0x193b120 .scope generate, "genblk1[6]" "genblk1[6]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193b330 .param/l "i" 0 2 166, +C4<0110>; +L_0x19cbb80/d .functor NOR 1, L_0x19cbc40, L_0x19cbda0, C4<0>, C4<0>; +L_0x19cbb80 .delay 1 (10,10,10) L_0x19cbb80/d; +v0x193b3f0_0 .net *"_s1", 0 0, L_0x19cbc40; 1 drivers +v0x193b4d0_0 .net *"_s2", 0 0, L_0x19cbda0; 1 drivers +S_0x193b5b0 .scope generate, "genblk1[7]" "genblk1[7]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193b7c0 .param/l "i" 0 2 166, +C4<0111>; +L_0x19cbb10/d .functor NOR 1, L_0x19cbf60, L_0x19cc0c0, C4<0>, C4<0>; +L_0x19cbb10 .delay 1 (10,10,10) L_0x19cbb10/d; +v0x193b880_0 .net *"_s1", 0 0, L_0x19cbf60; 1 drivers +v0x193b960_0 .net *"_s2", 0 0, L_0x19cc0c0; 1 drivers +S_0x193ba40 .scope generate, "genblk1[8]" "genblk1[8]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193a9c0 .param/l "i" 0 2 166, +C4<01000>; +L_0x19cc240/d .functor NOR 1, L_0x19cc300, L_0x19cc460, C4<0>, C4<0>; +L_0x19cc240 .delay 1 (10,10,10) L_0x19cc240/d; +v0x193bd50_0 .net *"_s1", 0 0, L_0x19cc300; 1 drivers +v0x193be30_0 .net *"_s2", 0 0, L_0x19cc460; 1 drivers +S_0x193bf10 .scope generate, "genblk1[9]" "genblk1[9]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193c120 .param/l "i" 0 2 166, +C4<01001>; +L_0x19cc1b0/d .functor NOR 1, L_0x19cc640, L_0x19cc7a0, C4<0>, C4<0>; +L_0x19cc1b0 .delay 1 (10,10,10) L_0x19cc1b0/d; +v0x193c1e0_0 .net *"_s1", 0 0, L_0x19cc640; 1 drivers +v0x193c2c0_0 .net *"_s2", 0 0, L_0x19cc7a0; 1 drivers +S_0x193c3a0 .scope generate, "genblk1[10]" "genblk1[10]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193c5b0 .param/l "i" 0 2 166, +C4<01010>; +L_0x19cc550/d .functor NOR 1, L_0x19cc990, L_0x19ccaf0, C4<0>, C4<0>; +L_0x19cc550 .delay 1 (10,10,10) L_0x19cc550/d; +v0x193c670_0 .net *"_s1", 0 0, L_0x19cc990; 1 drivers +v0x193c750_0 .net *"_s2", 0 0, L_0x19ccaf0; 1 drivers +S_0x193c830 .scope generate, "genblk1[11]" "genblk1[11]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193ca40 .param/l "i" 0 2 166, +C4<01011>; +L_0x19cc890/d .functor NOR 1, L_0x19cccf0, L_0x19cce50, C4<0>, C4<0>; +L_0x19cc890 .delay 1 (10,10,10) L_0x19cc890/d; +v0x193cb00_0 .net *"_s1", 0 0, L_0x19cccf0; 1 drivers +v0x193cbe0_0 .net *"_s2", 0 0, L_0x19cce50; 1 drivers +S_0x193ccc0 .scope generate, "genblk1[12]" "genblk1[12]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193ced0 .param/l "i" 0 2 166, +C4<01100>; +L_0x19ccbe0/d .functor NOR 1, L_0x19cd010, L_0x19cd170, C4<0>, C4<0>; +L_0x19ccbe0 .delay 1 (10,10,10) L_0x19ccbe0/d; +v0x193cf90_0 .net *"_s1", 0 0, L_0x19cd010; 1 drivers +v0x193d070_0 .net *"_s2", 0 0, L_0x19cd170; 1 drivers +S_0x193d150 .scope generate, "genblk1[13]" "genblk1[13]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193d360 .param/l "i" 0 2 166, +C4<01101>; +L_0x19ccf40/d .functor NOR 1, L_0x19cd340, L_0x19cd4a0, C4<0>, C4<0>; +L_0x19ccf40 .delay 1 (10,10,10) L_0x19ccf40/d; +v0x193d420_0 .net *"_s1", 0 0, L_0x19cd340; 1 drivers +v0x193d500_0 .net *"_s2", 0 0, L_0x19cd4a0; 1 drivers +S_0x193d5e0 .scope generate, "genblk1[14]" "genblk1[14]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193d7f0 .param/l "i" 0 2 166, +C4<01110>; +L_0x19cd260/d .functor NOR 1, L_0x19cd680, L_0x19cd7e0, C4<0>, C4<0>; +L_0x19cd260 .delay 1 (10,10,10) L_0x19cd260/d; +v0x193d8b0_0 .net *"_s1", 0 0, L_0x19cd680; 1 drivers +v0x193d990_0 .net *"_s2", 0 0, L_0x19cd7e0; 1 drivers +S_0x193da70 .scope generate, "genblk1[15]" "genblk1[15]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193dc80 .param/l "i" 0 2 166, +C4<01111>; +L_0x19cd590/d .functor NOR 1, L_0x19cd9d0, L_0x19cdb30, C4<0>, C4<0>; +L_0x19cd590 .delay 1 (10,10,10) L_0x19cd590/d; +v0x193dd40_0 .net *"_s1", 0 0, L_0x19cd9d0; 1 drivers +v0x193de20_0 .net *"_s2", 0 0, L_0x19cdb30; 1 drivers +S_0x193df00 .scope generate, "genblk1[16]" "genblk1[16]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193bc50 .param/l "i" 0 2 166, +C4<010000>; +L_0x19cd8d0/d .functor NOR 1, L_0x19cdd30, L_0x19cde90, C4<0>, C4<0>; +L_0x19cd8d0 .delay 1 (10,10,10) L_0x19cd8d0/d; +v0x193e270_0 .net *"_s1", 0 0, L_0x19cdd30; 1 drivers +v0x193e330_0 .net *"_s2", 0 0, L_0x19cde90; 1 drivers +S_0x193e410 .scope generate, "genblk1[17]" "genblk1[17]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193e620 .param/l "i" 0 2 166, +C4<010001>; +L_0x19cdc20/d .functor NOR 1, L_0x194a3d0, L_0x194a4c0, C4<0>, C4<0>; +L_0x19cdc20 .delay 1 (10,10,10) L_0x19cdc20/d; +v0x193e6e0_0 .net *"_s1", 0 0, L_0x194a3d0; 1 drivers +v0x193e7c0_0 .net *"_s2", 0 0, L_0x194a4c0; 1 drivers +S_0x193e8a0 .scope generate, "genblk1[18]" "genblk1[18]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193eab0 .param/l "i" 0 2 166, +C4<010010>; +L_0x19cbe90/d .functor NOR 1, L_0x19ce0b0, L_0x19ce150, C4<0>, C4<0>; +L_0x19cbe90 .delay 1 (10,10,10) L_0x19cbe90/d; +v0x193eb70_0 .net *"_s1", 0 0, L_0x19ce0b0; 1 drivers +v0x193ec50_0 .net *"_s2", 0 0, L_0x19ce150; 1 drivers +S_0x193ed30 .scope generate, "genblk1[19]" "genblk1[19]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193ef40 .param/l "i" 0 2 166, +C4<010011>; +L_0x19cdf80/d .functor NOR 1, L_0x19ce380, L_0x19ce470, C4<0>, C4<0>; +L_0x19cdf80 .delay 1 (10,10,10) L_0x19cdf80/d; +v0x193f000_0 .net *"_s1", 0 0, L_0x19ce380; 1 drivers +v0x193f0e0_0 .net *"_s2", 0 0, L_0x19ce470; 1 drivers +S_0x193f1c0 .scope generate, "genblk1[20]" "genblk1[20]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193f3d0 .param/l "i" 0 2 166, +C4<010100>; +L_0x19ce240/d .functor NOR 1, L_0x19ce6b0, L_0x19ce7a0, C4<0>, C4<0>; +L_0x19ce240 .delay 1 (10,10,10) L_0x19ce240/d; +v0x193f490_0 .net *"_s1", 0 0, L_0x19ce6b0; 1 drivers +v0x193f570_0 .net *"_s2", 0 0, L_0x19ce7a0; 1 drivers +S_0x193f650 .scope generate, "genblk1[21]" "genblk1[21]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193f860 .param/l "i" 0 2 166, +C4<010101>; +L_0x19ce560/d .functor NOR 1, L_0x19ce9f0, L_0x19cea90, C4<0>, C4<0>; +L_0x19ce560 .delay 1 (10,10,10) L_0x19ce560/d; +v0x193f920_0 .net *"_s1", 0 0, L_0x19ce9f0; 1 drivers +v0x193fa00_0 .net *"_s2", 0 0, L_0x19cea90; 1 drivers +S_0x193fae0 .scope generate, "genblk1[22]" "genblk1[22]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x193fcf0 .param/l "i" 0 2 166, +C4<010110>; +L_0x19ce890/d .functor NOR 1, L_0x19cecf0, L_0x19ced90, C4<0>, C4<0>; +L_0x19ce890 .delay 1 (10,10,10) L_0x19ce890/d; +v0x193fdb0_0 .net *"_s1", 0 0, L_0x19cecf0; 1 drivers +v0x193fe90_0 .net *"_s2", 0 0, L_0x19ced90; 1 drivers +S_0x193ff70 .scope generate, "genblk1[23]" "genblk1[23]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1940180 .param/l "i" 0 2 166, +C4<010111>; +L_0x19ceb80/d .functor NOR 1, L_0x19cf000, L_0x19cf0a0, C4<0>, C4<0>; +L_0x19ceb80 .delay 1 (10,10,10) L_0x19ceb80/d; +v0x1940240_0 .net *"_s1", 0 0, L_0x19cf000; 1 drivers +v0x1940320_0 .net *"_s2", 0 0, L_0x19cf0a0; 1 drivers +S_0x1940400 .scope generate, "genblk1[24]" "genblk1[24]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1940610 .param/l "i" 0 2 166, +C4<011000>; +L_0x19cee80/d .functor NOR 1, L_0x19cf320, L_0x19cf3c0, C4<0>, C4<0>; +L_0x19cee80 .delay 1 (10,10,10) L_0x19cee80/d; +v0x19406d0_0 .net *"_s1", 0 0, L_0x19cf320; 1 drivers +v0x19407b0_0 .net *"_s2", 0 0, L_0x19cf3c0; 1 drivers +S_0x1940890 .scope generate, "genblk1[25]" "genblk1[25]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1940aa0 .param/l "i" 0 2 166, +C4<011001>; +L_0x19cf190/d .functor NOR 1, L_0x19cf600, L_0x19cf6a0, C4<0>, C4<0>; +L_0x19cf190 .delay 1 (10,10,10) L_0x19cf190/d; +v0x1940b60_0 .net *"_s1", 0 0, L_0x19cf600; 1 drivers +v0x1940c40_0 .net *"_s2", 0 0, L_0x19cf6a0; 1 drivers +S_0x1940d20 .scope generate, "genblk1[26]" "genblk1[26]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1940f30 .param/l "i" 0 2 166, +C4<011010>; +L_0x19cf460/d .functor NOR 1, L_0x19cf940, L_0x19cf9e0, C4<0>, C4<0>; +L_0x19cf460 .delay 1 (10,10,10) L_0x19cf460/d; +v0x1940ff0_0 .net *"_s1", 0 0, L_0x19cf940; 1 drivers +v0x19410d0_0 .net *"_s2", 0 0, L_0x19cf9e0; 1 drivers +S_0x19411b0 .scope generate, "genblk1[27]" "genblk1[27]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x19413c0 .param/l "i" 0 2 166, +C4<011011>; +L_0x19cf790/d .functor NOR 1, L_0x19cf8a0, L_0x19cfc90, C4<0>, C4<0>; +L_0x19cf790 .delay 1 (10,10,10) L_0x19cf790/d; +v0x1941480_0 .net *"_s1", 0 0, L_0x19cf8a0; 1 drivers +v0x1941560_0 .net *"_s2", 0 0, L_0x19cfc90; 1 drivers +S_0x1941640 .scope generate, "genblk1[28]" "genblk1[28]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1941850 .param/l "i" 0 2 166, +C4<011100>; +L_0x19cfad0/d .functor NOR 1, L_0x19cfbe0, L_0x19cffa0, C4<0>, C4<0>; +L_0x19cfad0 .delay 1 (10,10,10) L_0x19cfad0/d; +v0x1941910_0 .net *"_s1", 0 0, L_0x19cfbe0; 1 drivers +v0x19419f0_0 .net *"_s2", 0 0, L_0x19cffa0; 1 drivers +S_0x1941ad0 .scope generate, "genblk1[29]" "genblk1[29]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1941ce0 .param/l "i" 0 2 166, +C4<011101>; +L_0x19cfd80/d .functor NOR 1, L_0x19cfe40, L_0x19d02c0, C4<0>, C4<0>; +L_0x19cfd80 .delay 1 (10,10,10) L_0x19cfd80/d; +v0x1941da0_0 .net *"_s1", 0 0, L_0x19cfe40; 1 drivers +v0x1941e80_0 .net *"_s2", 0 0, L_0x19d02c0; 1 drivers +S_0x1941f60 .scope generate, "genblk1[30]" "genblk1[30]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1942170 .param/l "i" 0 2 166, +C4<011110>; +L_0x19d0090/d .functor NOR 1, L_0x19d0150, L_0x19d05f0, C4<0>, C4<0>; +L_0x19d0090 .delay 1 (10,10,10) L_0x19d0090/d; +v0x1942230_0 .net *"_s1", 0 0, L_0x19d0150; 1 drivers +v0x1942310_0 .net *"_s2", 0 0, L_0x19d05f0; 1 drivers +S_0x19423f0 .scope generate, "genblk1[31]" "genblk1[31]" 2 166, 2 166 0, S_0x1939390; + .timescale 0 0; +P_0x1942600 .param/l "i" 0 2 166, +C4<011111>; +L_0x19d03b0/d .functor NOR 1, L_0x19d0470, L_0x19d0930, C4<0>, C4<0>; +L_0x19d03b0 .delay 1 (10,10,10) L_0x19d03b0/d; +v0x19426c0_0 .net *"_s1", 0 0, L_0x19d0470; 1 drivers +v0x19427a0_0 .net *"_s2", 0 0, L_0x19d0930; 1 drivers +S_0x1942880 .scope generate, "genblk2[0]" "genblk2[0]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x193e110 .param/l "j" 0 2 171, +C4<00>; +L_0x19d06e0/d .functor XOR 1, v0x17bafe0_0, L_0x19ca850, C4<0>, C4<0>; +L_0x19d06e0 .delay 1 (20,20,20) L_0x19d06e0/d; +S_0x1942ca0 .scope generate, "genblk2[1]" "genblk2[1]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1942e40 .param/l "j" 0 2 171, +C4<01>; +L_0x19d0840/d .functor XOR 1, v0x17bafe0_0, L_0x19cab60, C4<0>, C4<0>; +L_0x19d0840 .delay 1 (20,20,20) L_0x19d0840/d; +S_0x1942f00 .scope generate, "genblk2[2]" "genblk2[2]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19430f0 .param/l "j" 0 2 171, +C4<010>; +L_0x19d0cd0/d .functor XOR 1, v0x17bafe0_0, L_0x19cae70, C4<0>, C4<0>; +L_0x19d0cd0 .delay 1 (20,20,20) L_0x19d0cd0/d; +S_0x19431b0 .scope generate, "genblk2[3]" "genblk2[3]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19433a0 .param/l "j" 0 2 171, +C4<011>; +L_0x19d0e30/d .functor XOR 1, v0x17bafe0_0, L_0x19cb180, C4<0>, C4<0>; +L_0x19d0e30 .delay 1 (20,20,20) L_0x19d0e30/d; +S_0x1943460 .scope generate, "genblk2[4]" "genblk2[4]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1943650 .param/l "j" 0 2 171, +C4<0100>; +L_0x19d0f90/d .functor XOR 1, v0x17bafe0_0, L_0x19cb4e0, C4<0>, C4<0>; +L_0x19d0f90 .delay 1 (20,20,20) L_0x19d0f90/d; +S_0x1943710 .scope generate, "genblk2[5]" "genblk2[5]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1943900 .param/l "j" 0 2 171, +C4<0101>; +L_0x19d10f0/d .functor XOR 1, v0x17bafe0_0, L_0x19cb850, C4<0>, C4<0>; +L_0x19d10f0 .delay 1 (20,20,20) L_0x19d10f0/d; +S_0x19439c0 .scope generate, "genblk2[6]" "genblk2[6]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1943bb0 .param/l "j" 0 2 171, +C4<0110>; +L_0x19d1250/d .functor XOR 1, v0x17bafe0_0, L_0x19cbb80, C4<0>, C4<0>; +L_0x19d1250 .delay 1 (20,20,20) L_0x19d1250/d; +S_0x1943c70 .scope generate, "genblk2[7]" "genblk2[7]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1943e60 .param/l "j" 0 2 171, +C4<0111>; +L_0x19d13b0/d .functor XOR 1, v0x17bafe0_0, L_0x19cbb10, C4<0>, C4<0>; +L_0x19d13b0 .delay 1 (20,20,20) L_0x19d13b0/d; +S_0x1943f20 .scope generate, "genblk2[8]" "genblk2[8]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1944110 .param/l "j" 0 2 171, +C4<01000>; +L_0x19d1510/d .functor XOR 1, v0x17bafe0_0, L_0x19cc240, C4<0>, C4<0>; +L_0x19d1510 .delay 1 (20,20,20) L_0x19d1510/d; +S_0x19441d0 .scope generate, "genblk2[9]" "genblk2[9]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19443c0 .param/l "j" 0 2 171, +C4<01001>; +L_0x19d1670/d .functor XOR 1, v0x17bafe0_0, L_0x19cc1b0, C4<0>, C4<0>; +L_0x19d1670 .delay 1 (20,20,20) L_0x19d1670/d; +S_0x1944480 .scope generate, "genblk2[10]" "genblk2[10]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1944670 .param/l "j" 0 2 171, +C4<01010>; +L_0x19d17d0/d .functor XOR 1, v0x17bafe0_0, L_0x19cc550, C4<0>, C4<0>; +L_0x19d17d0 .delay 1 (20,20,20) L_0x19d17d0/d; +S_0x1944730 .scope generate, "genblk2[11]" "genblk2[11]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1944920 .param/l "j" 0 2 171, +C4<01011>; +L_0x19d1930/d .functor XOR 1, v0x17bafe0_0, L_0x19cc890, C4<0>, C4<0>; +L_0x19d1930 .delay 1 (20,20,20) L_0x19d1930/d; +S_0x19449e0 .scope generate, "genblk2[12]" "genblk2[12]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1944bd0 .param/l "j" 0 2 171, +C4<01100>; +L_0x19d1a90/d .functor XOR 1, v0x17bafe0_0, L_0x19ccbe0, C4<0>, C4<0>; +L_0x19d1a90 .delay 1 (20,20,20) L_0x19d1a90/d; +S_0x1944c90 .scope generate, "genblk2[13]" "genblk2[13]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1944e80 .param/l "j" 0 2 171, +C4<01101>; +L_0x19d1bf0/d .functor XOR 1, v0x17bafe0_0, L_0x19ccf40, C4<0>, C4<0>; +L_0x19d1bf0 .delay 1 (20,20,20) L_0x19d1bf0/d; +S_0x1944f40 .scope generate, "genblk2[14]" "genblk2[14]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1945130 .param/l "j" 0 2 171, +C4<01110>; +L_0x19d1d50/d .functor XOR 1, v0x17bafe0_0, L_0x19cd260, C4<0>, C4<0>; +L_0x19d1d50 .delay 1 (20,20,20) L_0x19d1d50/d; +S_0x19451f0 .scope generate, "genblk2[15]" "genblk2[15]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19453e0 .param/l "j" 0 2 171, +C4<01111>; +L_0x19d1eb0/d .functor XOR 1, v0x17bafe0_0, L_0x19cd590, C4<0>, C4<0>; +L_0x19d1eb0 .delay 1 (20,20,20) L_0x19d1eb0/d; +S_0x19454a0 .scope generate, "genblk2[16]" "genblk2[16]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1945690 .param/l "j" 0 2 171, +C4<010000>; +L_0x19d2010/d .functor XOR 1, v0x17bafe0_0, L_0x19cd8d0, C4<0>, C4<0>; +L_0x19d2010 .delay 1 (20,20,20) L_0x19d2010/d; +S_0x1945750 .scope generate, "genblk2[17]" "genblk2[17]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1945940 .param/l "j" 0 2 171, +C4<010001>; +L_0x19d2170/d .functor XOR 1, v0x17bafe0_0, L_0x19cdc20, C4<0>, C4<0>; +L_0x19d2170 .delay 1 (20,20,20) L_0x19d2170/d; +S_0x1945a00 .scope generate, "genblk2[18]" "genblk2[18]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1945bf0 .param/l "j" 0 2 171, +C4<010010>; +L_0x19d22d0/d .functor XOR 1, v0x17bafe0_0, L_0x19cbe90, C4<0>, C4<0>; +L_0x19d22d0 .delay 1 (20,20,20) L_0x19d22d0/d; +S_0x1945cb0 .scope generate, "genblk2[19]" "genblk2[19]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1945ea0 .param/l "j" 0 2 171, +C4<010011>; +L_0x19d2430/d .functor XOR 1, v0x17bafe0_0, L_0x19cdf80, C4<0>, C4<0>; +L_0x19d2430 .delay 1 (20,20,20) L_0x19d2430/d; +S_0x1945f60 .scope generate, "genblk2[20]" "genblk2[20]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1946150 .param/l "j" 0 2 171, +C4<010100>; +L_0x19d2590/d .functor XOR 1, v0x17bafe0_0, L_0x19ce240, C4<0>, C4<0>; +L_0x19d2590 .delay 1 (20,20,20) L_0x19d2590/d; +S_0x1946210 .scope generate, "genblk2[21]" "genblk2[21]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1946400 .param/l "j" 0 2 171, +C4<010101>; +L_0x19d26f0/d .functor XOR 1, v0x17bafe0_0, L_0x19ce560, C4<0>, C4<0>; +L_0x19d26f0 .delay 1 (20,20,20) L_0x19d26f0/d; +S_0x19464c0 .scope generate, "genblk2[22]" "genblk2[22]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19466b0 .param/l "j" 0 2 171, +C4<010110>; +L_0x19d2850/d .functor XOR 1, v0x17bafe0_0, L_0x19ce890, C4<0>, C4<0>; +L_0x19d2850 .delay 1 (20,20,20) L_0x19d2850/d; +S_0x1946770 .scope generate, "genblk2[23]" "genblk2[23]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1946960 .param/l "j" 0 2 171, +C4<010111>; +L_0x19d29b0/d .functor XOR 1, v0x17bafe0_0, L_0x19ceb80, C4<0>, C4<0>; +L_0x19d29b0 .delay 1 (20,20,20) L_0x19d29b0/d; +S_0x1946a20 .scope generate, "genblk2[24]" "genblk2[24]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1946c10 .param/l "j" 0 2 171, +C4<011000>; +L_0x19d2b10/d .functor XOR 1, v0x17bafe0_0, L_0x19cee80, C4<0>, C4<0>; +L_0x19d2b10 .delay 1 (20,20,20) L_0x19d2b10/d; +S_0x1946cd0 .scope generate, "genblk2[25]" "genblk2[25]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1946ec0 .param/l "j" 0 2 171, +C4<011001>; +L_0x19d2c70/d .functor XOR 1, v0x17bafe0_0, L_0x19cf190, C4<0>, C4<0>; +L_0x19d2c70 .delay 1 (20,20,20) L_0x19d2c70/d; +S_0x1946f80 .scope generate, "genblk2[26]" "genblk2[26]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1947170 .param/l "j" 0 2 171, +C4<011010>; +L_0x19d2dd0/d .functor XOR 1, v0x17bafe0_0, L_0x19cf460, C4<0>, C4<0>; +L_0x19d2dd0 .delay 1 (20,20,20) L_0x19d2dd0/d; +S_0x1947230 .scope generate, "genblk2[27]" "genblk2[27]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1947420 .param/l "j" 0 2 171, +C4<011011>; +L_0x19d2f30/d .functor XOR 1, v0x17bafe0_0, L_0x19cf790, C4<0>, C4<0>; +L_0x19d2f30 .delay 1 (20,20,20) L_0x19d2f30/d; +S_0x19474e0 .scope generate, "genblk2[28]" "genblk2[28]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x19476d0 .param/l "j" 0 2 171, +C4<011100>; +L_0x19c92a0/d .functor XOR 1, v0x17bafe0_0, L_0x19cfad0, C4<0>, C4<0>; +L_0x19c92a0 .delay 1 (20,20,20) L_0x19c92a0/d; +S_0x1947790 .scope generate, "genblk2[29]" "genblk2[29]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1947980 .param/l "j" 0 2 171, +C4<011101>; +L_0x19c9400/d .functor XOR 1, v0x17bafe0_0, L_0x19cfd80, C4<0>, C4<0>; +L_0x19c9400 .delay 1 (20,20,20) L_0x19c9400/d; +S_0x1947a40 .scope generate, "genblk2[30]" "genblk2[30]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1947c30 .param/l "j" 0 2 171, +C4<011110>; +L_0x19c9560/d .functor XOR 1, v0x17bafe0_0, L_0x19d0090, C4<0>, C4<0>; +L_0x19c9560 .delay 1 (20,20,20) L_0x19c9560/d; +S_0x1947cf0 .scope generate, "genblk2[31]" "genblk2[31]" 2 171, 2 171 0, S_0x1939390; + .timescale 0 0; +P_0x1947ee0 .param/l "j" 0 2 171, +C4<011111>; +L_0x19d4510/d .functor XOR 1, v0x17bafe0_0, L_0x19d03b0, C4<0>, C4<0>; +L_0x19d4510 .delay 1 (20,20,20) L_0x19d4510/d; +S_0x17a8e00 .scope module, "TEST" "TEST" 2 295; + .timescale 0 0; +v0x1971940_0 .net "carryout", 0 0, L_0x19edfe0; 1 drivers +v0x1971a00_0 .var "operandA", 31 0; +v0x1971ac0_0 .var "operandB", 31 0; +v0x1971b60_0 .net "overflow", 0 0, L_0x19eda30; 1 drivers +v0x1971c00_0 .net "result", 31 0, L_0x19ee140; 1 drivers +v0x1971ca0_0 .net "zero", 0 0, L_0x19ea8b0; 1 drivers +S_0x194ccf0 .scope module, "add" "AddSub" 2 305, 2 32 0, S_0x17a8e00; + .timescale 0 0; + .port_info 0 /OUTPUT 32 "result" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /OUTPUT 1 "zero" + .port_info 3 /OUTPUT 1 "overflow" + .port_info 4 /INPUT 32 "operandA" + .port_info 5 /INPUT 32 "operandB" + .port_info 6 /INPUT 1 "subtract" +L_0x19eda30/d .functor XOR 1, L_0x19e7dc0, L_0x19edfe0, C4<0>, C4<0>; +L_0x19eda30 .delay 1 (20,20,20) L_0x19eda30/d; +L_0x19ef060/d .functor NOR 1, L_0x19ef1c0, L_0x19ea7c0, C4<0>, C4<0>; +L_0x19ef060 .delay 1 (10,10,10) L_0x19ef060/d; +o0x7f93f4f004d8 .functor BUFZ 1, C4; HiZ drive +L_0x19ea8b0/d .functor NOR 1, o0x7f93f4f004d8, L_0x19eed60, C4<0>, C4<0>; +L_0x19ea8b0 .delay 1 (10,10,10) L_0x19ea8b0/d; +v0x196fea0_0 .net *"_s166", 0 0, L_0x19ef1c0; 1 drivers +v0x196ffa0_0 .net *"_s168", 0 0, L_0x19ea7c0; 1 drivers +v0x1970080_0 .net *"_s172", 0 0, L_0x19eed60; 1 drivers +v0x1970170_0 .net "carryout", 0 0, L_0x19edfe0; alias, 1 drivers +v0x1970260 .array "carryoutmid", 0 30; +v0x1970260_0 .net v0x1970260 0, 0 0, L_0x19ed190; 1 drivers +v0x1970260_1 .net v0x1970260 1, 0 0, L_0x19d5030; 1 drivers +v0x1970260_2 .net v0x1970260 2, 0 0, L_0x19d5ab0; 1 drivers +v0x1970260_3 .net v0x1970260 3, 0 0, L_0x19d6490; 1 drivers +v0x1970260_4 .net v0x1970260 4, 0 0, L_0x19d6ed0; 1 drivers +v0x1970260_5 .net v0x1970260 5, 0 0, L_0x19d7900; 1 drivers +v0x1970260_6 .net v0x1970260 6, 0 0, L_0x19d82f0; 1 drivers +v0x1970260_7 .net v0x1970260 7, 0 0, L_0x19d8d40; 1 drivers +v0x1970260_8 .net v0x1970260 8, 0 0, L_0x19d9840; 1 drivers +v0x1970260_9 .net v0x1970260 9, 0 0, L_0x19da220; 1 drivers +v0x1970260_10 .net v0x1970260 10, 0 0, L_0x19dac30; 1 drivers +v0x1970260_11 .net v0x1970260 11, 0 0, L_0x19db650; 1 drivers +v0x1970260_12 .net v0x1970260 12, 0 0, L_0x19dc080; 1 drivers +v0x1970260_13 .net v0x1970260 13, 0 0, L_0x19dca70; 1 drivers +v0x1970260_14 .net v0x1970260 14, 0 0, L_0x19dd470; 1 drivers +v0x1970260_15 .net v0x1970260 15, 0 0, L_0x19dde80; 1 drivers +v0x1970260_16 .net v0x1970260 16, 0 0, L_0x1968d90; 1 drivers +v0x1970260_17 .net v0x1970260 17, 0 0, L_0x19df860; 1 drivers +v0x1970260_18 .net v0x1970260 18, 0 0, L_0x19e0260; 1 drivers +v0x1970260_19 .net v0x1970260 19, 0 0, L_0x19e0c70; 1 drivers +v0x1970260_20 .net v0x1970260 20, 0 0, L_0x19e16e0; 1 drivers +v0x1970260_21 .net v0x1970260 21, 0 0, L_0x19e2160; 1 drivers +v0x1970260_22 .net v0x1970260 22, 0 0, L_0x19e2b50; 1 drivers +v0x1970260_23 .net v0x1970260 23, 0 0, L_0x19e35a0; 1 drivers +v0x1970260_24 .net v0x1970260 24, 0 0, L_0x19e4000; 1 drivers +v0x1970260_25 .net v0x1970260 25, 0 0, L_0x19e4a70; 1 drivers +v0x1970260_26 .net v0x1970260 26, 0 0, L_0x19e54f0; 1 drivers +v0x1970260_27 .net v0x1970260 27, 0 0, L_0x19e5ee0; 1 drivers +v0x1970260_28 .net v0x1970260 28, 0 0, L_0x19e6930; 1 drivers +v0x1970260_29 .net v0x1970260 29, 0 0, L_0x19e7370; 1 drivers +v0x1970260_30 .net v0x1970260 30, 0 0, L_0x19e7dc0; 1 drivers +v0x1970db0_0 .net "operandA", 31 0, v0x1971a00_0; 1 drivers +v0x1970e70_0 .net "operandB", 31 0, v0x1971ac0_0; 1 drivers +v0x1970f50_0 .net "overflow", 0 0, L_0x19eda30; alias, 1 drivers +v0x1971010_0 .net "result", 31 0, L_0x19ee140; alias, 1 drivers +L_0x7f93f4ea0408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x1971180_0 .net "subtract", 0 0, L_0x7f93f4ea0408; 1 drivers +v0x1971220_0 .net "zero", 0 0, L_0x19ea8b0; alias, 1 drivers +v0x19712e0 .array "zeromid", 0 30; +v0x19712e0_0 .net v0x19712e0 0, 0 0, L_0x19ef060; 1 drivers +v0x19712e0_1 .net v0x19712e0 1, 0 0, L_0x19e7720; 1 drivers +v0x19712e0_2 .net v0x19712e0 2, 0 0, L_0x19e8400; 1 drivers +v0x19712e0_3 .net v0x19712e0 3, 0 0, L_0x19e8170; 1 drivers +v0x19712e0_4 .net v0x19712e0 4, 0 0, L_0x19e89a0; 1 drivers +v0x19712e0_5 .net v0x19712e0 5, 0 0, L_0x19e8670; 1 drivers +v0x19712e0_6 .net v0x19712e0 6, 0 0, L_0x19e8e20; 1 drivers +v0x19712e0_7 .net v0x19712e0 7, 0 0, L_0x19e8bc0; 1 drivers +v0x19712e0_8 .net v0x19712e0 8, 0 0, L_0x19e8910; 1 drivers +v0x19712e0_9 .net v0x19712e0 9, 0 0, L_0x19e9090; 1 drivers +v0x19712e0_10 .net v0x19712e0 10, 0 0, L_0x19e9890; 1 drivers +v0x19712e0_11 .net v0x19712e0 11, 0 0, L_0x19e9610; 1 drivers +v0x19712e0_12 .net v0x19712e0 12, 0 0, L_0x19e9d90; 1 drivers +v0x19712e0_13 .net v0x19712e0 13, 0 0, L_0x19e9b00; 1 drivers +v0x19712e0_14 .net v0x19712e0 14, 0 0, L_0x19ea2a0; 1 drivers +v0x19712e0_15 .net v0x19712e0 15, 0 0, L_0x19ea000; 1 drivers +v0x19712e0_16 .net v0x19712e0 16, 0 0, L_0x19e9300; 1 drivers +v0x19712e0_17 .net v0x19712e0 17, 0 0, L_0x19ea510; 1 drivers +v0x19712e0_18 .net v0x19712e0 18, 0 0, L_0x19ead80; 1 drivers +v0x19712e0_19 .net v0x19712e0 19, 0 0, L_0x19eaac0; 1 drivers +v0x19712e0_20 .net v0x19712e0 20, 0 0, L_0x19eb220; 1 drivers +v0x19712e0_21 .net v0x19712e0 21, 0 0, L_0x19eafa0; 1 drivers +v0x19712e0_22 .net v0x19712e0 22, 0 0, L_0x19eb720; 1 drivers +v0x19712e0_23 .net v0x19712e0 23, 0 0, L_0x19eb490; 1 drivers +v0x19712e0_24 .net v0x19712e0 24, 0 0, L_0x19ebc30; 1 drivers +v0x19712e0_25 .net v0x19712e0 25, 0 0, L_0x19eb990; 1 drivers +v0x19712e0_26 .net v0x19712e0 26, 0 0, L_0x19ec150; 1 drivers +v0x19712e0_27 .net v0x19712e0 27, 0 0, L_0x19ebea0; 1 drivers +v0x19712e0_28 .net v0x19712e0 28, 0 0, L_0x19ec680; 1 drivers +v0x19712e0_29 .net v0x19712e0 29, 0 0, L_0x19ec3c0; 1 drivers +v0x19712e0_30 .net v0x19712e0 30, 0 0, o0x7f93f4f004d8; 0 drivers +L_0x19d5190 .part v0x1971a00_0, 1, 1; +L_0x19d52f0 .part v0x1971ac0_0, 1, 1; +L_0x19d5c10 .part v0x1971a00_0, 2, 1; +L_0x19d5d70 .part v0x1971ac0_0, 2, 1; +L_0x19d65f0 .part v0x1971a00_0, 3, 1; +L_0x19d67e0 .part v0x1971ac0_0, 3, 1; +L_0x19d7030 .part v0x1971a00_0, 4, 1; +L_0x19d7190 .part v0x1971ac0_0, 4, 1; +L_0x19d7a60 .part v0x1971a00_0, 5, 1; +L_0x19d7bc0 .part v0x1971ac0_0, 5, 1; +L_0x19d8450 .part v0x1971a00_0, 6, 1; +L_0x19d85b0 .part v0x1971ac0_0, 6, 1; +L_0x19d8ea0 .part v0x1971a00_0, 7, 1; +L_0x19d9110 .part v0x1971ac0_0, 7, 1; +L_0x19d99a0 .part v0x1971a00_0, 8, 1; +L_0x19d9b00 .part v0x1971ac0_0, 8, 1; +L_0x19da380 .part v0x1971a00_0, 9, 1; +L_0x19da4e0 .part v0x1971ac0_0, 9, 1; +L_0x19dad90 .part v0x1971a00_0, 10, 1; +L_0x19daef0 .part v0x1971ac0_0, 10, 1; +L_0x19db7b0 .part v0x1971a00_0, 11, 1; +L_0x19db910 .part v0x1971ac0_0, 11, 1; +L_0x19dc1e0 .part v0x1971a00_0, 12, 1; +L_0x19dc340 .part v0x1971ac0_0, 12, 1; +L_0x19dcbd0 .part v0x1971a00_0, 13, 1; +L_0x19dcd30 .part v0x1971ac0_0, 13, 1; +L_0x19dd5d0 .part v0x1971a00_0, 14, 1; +L_0x19dd730 .part v0x1971ac0_0, 14, 1; +L_0x19ddfe0 .part v0x1971a00_0, 15, 1; +L_0x19d9000 .part v0x1971ac0_0, 15, 1; +L_0x19defe0 .part v0x1971a00_0, 16, 1; +L_0x19df140 .part v0x1971ac0_0, 16, 1; +L_0x19df9c0 .part v0x1971a00_0, 17, 1; +L_0x19dfb20 .part v0x1971ac0_0, 17, 1; +L_0x19e03c0 .part v0x1971a00_0, 18, 1; +L_0x19e0520 .part v0x1971ac0_0, 18, 1; +L_0x19e0e10 .part v0x1971a00_0, 19, 1; +L_0x19e0f30 .part v0x1971ac0_0, 19, 1; +L_0x19e1880 .part v0x1971a00_0, 20, 1; +L_0x19e19a0 .part v0x1971ac0_0, 20, 1; +L_0x19e22c0 .part v0x1971a00_0, 21, 1; +L_0x19e2420 .part v0x1971ac0_0, 21, 1; +L_0x19e2cf0 .part v0x1971a00_0, 22, 1; +L_0x19e2e10 .part v0x1971ac0_0, 22, 1; +L_0x19e3740 .part v0x1971a00_0, 23, 1; +L_0x19e3860 .part v0x1971ac0_0, 23, 1; +L_0x19e41a0 .part v0x1971a00_0, 24, 1; +L_0x19e42c0 .part v0x1971ac0_0, 24, 1; +L_0x19e4c10 .part v0x1971a00_0, 25, 1; +L_0x19e4d30 .part v0x1971ac0_0, 25, 1; +L_0x19e5650 .part v0x1971a00_0, 26, 1; +L_0x19e57b0 .part v0x1971ac0_0, 26, 1; +L_0x19e6080 .part v0x1971a00_0, 27, 1; +L_0x19e61a0 .part v0x1971ac0_0, 27, 1; +L_0x19e6ad0 .part v0x1971a00_0, 28, 1; +L_0x19e6bf0 .part v0x1971ac0_0, 28, 1; +L_0x19e7510 .part v0x1971a00_0, 29, 1; +L_0x19e7630 .part v0x1971ac0_0, 29, 1; +L_0x19e7f60 .part v0x1971a00_0, 30, 1; +L_0x19e8080 .part v0x1971ac0_0, 30, 1; +L_0x19e77e0 .part L_0x19ee140, 1, 1; +L_0x19e8510 .part L_0x19ee140, 2, 1; +L_0x19e8870 .part L_0x19ee140, 3, 1; +L_0x19e8a60 .part L_0x19ee140, 4, 1; +L_0x19e87d0 .part L_0x19ee140, 5, 1; +L_0x19e8f30 .part L_0x19ee140, 6, 1; +L_0x19e8d20 .part L_0x19ee140, 7, 1; +L_0x19e94b0 .part L_0x19ee140, 8, 1; +L_0x19e91a0 .part L_0x19ee140, 9, 1; +L_0x19e99a0 .part L_0x19ee140, 10, 1; +L_0x19e9720 .part L_0x19ee140, 11, 1; +L_0x19e9ea0 .part L_0x19ee140, 12, 1; +L_0x19e9c10 .part L_0x19ee140, 13, 1; +L_0x19ea3b0 .part L_0x19ee140, 14, 1; +L_0x19ea110 .part L_0x19ee140, 15, 1; +L_0x19ea9d0 .part L_0x19ee140, 16, 1; +L_0x19ea620 .part L_0x19ee140, 17, 1; +L_0x19eae40 .part L_0x19ee140, 18, 1; +L_0x19eabd0 .part L_0x19ee140, 19, 1; +L_0x19eb330 .part L_0x19ee140, 20, 1; +L_0x19eb0b0 .part L_0x19ee140, 21, 1; +L_0x19eb830 .part L_0x19ee140, 22, 1; +L_0x19eb5a0 .part L_0x19ee140, 23, 1; +L_0x19ebd40 .part L_0x19ee140, 24, 1; +L_0x19ebaa0 .part L_0x19ee140, 25, 1; +L_0x19ec260 .part L_0x19ee140, 26, 1; +L_0x19ebfb0 .part L_0x19ee140, 27, 1; +L_0x19ec790 .part L_0x19ee140, 28, 1; +L_0x19ec4d0 .part L_0x19ee140, 29, 1; +L_0x19ed330 .part v0x1971a00_0, 0, 1; +L_0x19de140 .part v0x1971ac0_0, 0, 1; +LS_0x19ee140_0_0 .concat8 [ 1 1 1 1], L_0x19ecd70, L_0x19d4c10, L_0x19d5690, L_0x19d6070; +LS_0x19ee140_0_4 .concat8 [ 1 1 1 1], L_0x19d6ab0, L_0x19d74e0, L_0x19d7ed0, L_0x19d8920; +LS_0x19ee140_0_8 .concat8 [ 1 1 1 1], L_0x19d9420, L_0x19d9e00, L_0x19da810, L_0x19db230; +LS_0x19ee140_0_12 .concat8 [ 1 1 1 1], L_0x19dbc60, L_0x19dc650, L_0x19dd050, L_0x19dda60; +LS_0x19ee140_0_16 .concat8 [ 1 1 1 1], L_0x19de670, L_0x19df440, L_0x19dfe40, L_0x19e0850; +LS_0x19ee140_0_20 .concat8 [ 1 1 1 1], L_0x19e12c0, L_0x19e1d40, L_0x19e2730, L_0x19e3180; +LS_0x19ee140_0_24 .concat8 [ 1 1 1 1], L_0x19e3be0, L_0x19e4650, L_0x19e50d0, L_0x19e5ac0; +LS_0x19ee140_0_28 .concat8 [ 1 1 1 1], L_0x19e6510, L_0x19e6f50, L_0x19e79a0, L_0x19de420; +LS_0x19ee140_1_0 .concat8 [ 4 4 4 4], LS_0x19ee140_0_0, LS_0x19ee140_0_4, LS_0x19ee140_0_8, LS_0x19ee140_0_12; +LS_0x19ee140_1_4 .concat8 [ 4 4 4 4], LS_0x19ee140_0_16, LS_0x19ee140_0_20, LS_0x19ee140_0_24, LS_0x19ee140_0_28; +L_0x19ee140 .concat8 [ 16 16 0 0], LS_0x19ee140_1_0, LS_0x19ee140_1_4; +L_0x19ed8a0 .part v0x1971a00_0, 31, 1; +L_0x19ed940 .part v0x1971ac0_0, 31, 1; +L_0x19ef1c0 .part L_0x19ee140, 0, 1; +L_0x19ea7c0 .part L_0x19ee140, 1, 1; +L_0x19eed60 .part L_0x19ee140, 31, 1; +S_0x194cf40 .scope module, "adderfinal" "FullAdder1bit" 2 50, 2 8 0, S_0x194ccf0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19ed3d0/d .functor XOR 1, L_0x19ed940, L_0x19edfe0, C4<0>, C4<0>; +L_0x19ed3d0 .delay 1 (20,20,20) L_0x19ed3d0/d; +L_0x19de2c0/d .functor XOR 1, L_0x19ed8a0, L_0x19ed3d0, C4<0>, C4<0>; +L_0x19de2c0 .delay 1 (20,20,20) L_0x19de2c0/d; +L_0x19de420/d .functor XOR 1, L_0x19de2c0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19de420 .delay 1 (20,20,20) L_0x19de420/d; +L_0x19ec940/d .functor AND 1, L_0x19ed8a0, L_0x19ed3d0, C4<1>, C4<1>; +L_0x19ec940 .delay 1 (20,20,20) L_0x19ec940/d; +L_0x19ecaa0/d .functor AND 1, L_0x19de2c0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19ecaa0 .delay 1 (20,20,20) L_0x19ecaa0/d; +L_0x19edfe0/d .functor OR 1, L_0x19ec940, L_0x19ecaa0, C4<0>, C4<0>; +L_0x19edfe0 .delay 1 (20,20,20) L_0x19edfe0/d; +v0x194d1c0_0 .net "a", 0 0, L_0x19ed8a0; 1 drivers +v0x194d2a0_0 .net "b", 0 0, L_0x19ed3d0; 1 drivers +v0x194d360_0 .net "b0", 0 0, L_0x19ed940; 1 drivers +v0x194d430_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x194d4f0_0 .net "carryout", 0 0, L_0x19edfe0; alias, 1 drivers +v0x194d600_0 .net "cout1", 0 0, L_0x19ec940; 1 drivers +v0x194d6c0_0 .net "cout2", 0 0, L_0x19ecaa0; 1 drivers +v0x194d780_0 .net "subtract", 0 0, L_0x19edfe0; alias, 1 drivers +v0x194d820_0 .net "sum", 0 0, L_0x19de420; 1 drivers +v0x194d950_0 .net "sumAB", 0 0, L_0x19de2c0; 1 drivers +S_0x194db10 .scope module, "adderinit" "FullAdder1bit" 2 45, 2 8 0, S_0x194ccf0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19ec570/d .functor XOR 1, L_0x19de140, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19ec570 .delay 1 (20,20,20) L_0x19ec570/d; +L_0x19ecc10/d .functor XOR 1, L_0x19ed330, L_0x19ec570, C4<0>, C4<0>; +L_0x19ecc10 .delay 1 (20,20,20) L_0x19ecc10/d; +L_0x19ecd70/d .functor XOR 1, L_0x19ecc10, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19ecd70 .delay 1 (20,20,20) L_0x19ecd70/d; +L_0x19eced0/d .functor AND 1, L_0x19ed330, L_0x19ec570, C4<1>, C4<1>; +L_0x19eced0 .delay 1 (20,20,20) L_0x19eced0/d; +L_0x19ed030/d .functor AND 1, L_0x19ecc10, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19ed030 .delay 1 (20,20,20) L_0x19ed030/d; +L_0x19ed190/d .functor OR 1, L_0x19eced0, L_0x19ed030, C4<0>, C4<0>; +L_0x19ed190 .delay 1 (20,20,20) L_0x19ed190/d; +v0x194dd50_0 .net "a", 0 0, L_0x19ed330; 1 drivers +v0x194de10_0 .net "b", 0 0, L_0x19ec570; 1 drivers +v0x194ded0_0 .net "b0", 0 0, L_0x19de140; 1 drivers +v0x194dfa0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x194e070_0 .net "carryout", 0 0, L_0x19ed190; alias, 1 drivers +v0x194e160_0 .net "cout1", 0 0, L_0x19eced0; 1 drivers +v0x194e220_0 .net "cout2", 0 0, L_0x19ed030; 1 drivers +v0x194e2e0_0 .net "subtract", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x194e3d0_0 .net "sum", 0 0, L_0x19ecd70; 1 drivers +v0x194e520_0 .net "sumAB", 0 0, L_0x19ecc10; 1 drivers +S_0x194e6e0 .scope generate, "genblk1[1]" "genblk1[1]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x194e8a0 .param/l "i" 0 2 47, +C4<01>; +S_0x194e940 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x194e6e0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d49f0/d .functor XOR 1, L_0x19d52f0, L_0x19ed190, C4<0>, C4<0>; +L_0x19d49f0 .delay 1 (20,20,20) L_0x19d49f0/d; +L_0x19d4ab0/d .functor XOR 1, L_0x19d5190, L_0x19d49f0, C4<0>, C4<0>; +L_0x19d4ab0 .delay 1 (20,20,20) L_0x19d4ab0/d; +L_0x19d4c10/d .functor XOR 1, L_0x19d4ab0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d4c10 .delay 1 (20,20,20) L_0x19d4c10/d; +L_0x19d4d70/d .functor AND 1, L_0x19d5190, L_0x19d49f0, C4<1>, C4<1>; +L_0x19d4d70 .delay 1 (20,20,20) L_0x19d4d70/d; +L_0x19d4ed0/d .functor AND 1, L_0x19d4ab0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d4ed0 .delay 1 (20,20,20) L_0x19d4ed0/d; +L_0x19d5030/d .functor OR 1, L_0x19d4d70, L_0x19d4ed0, C4<0>, C4<0>; +L_0x19d5030 .delay 1 (20,20,20) L_0x19d5030/d; +v0x194ebb0_0 .net "a", 0 0, L_0x19d5190; 1 drivers +v0x194ec90_0 .net "b", 0 0, L_0x19d49f0; 1 drivers +v0x194ed50_0 .net "b0", 0 0, L_0x19d52f0; 1 drivers +v0x194edf0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x194ee90_0 .net "carryout", 0 0, L_0x19d5030; alias, 1 drivers +v0x194efa0_0 .net "cout1", 0 0, L_0x19d4d70; 1 drivers +v0x194f060_0 .net "cout2", 0 0, L_0x19d4ed0; 1 drivers +v0x194f120_0 .net "subtract", 0 0, L_0x19ed190; alias, 1 drivers +v0x194f1c0_0 .net "sum", 0 0, L_0x19d4c10; 1 drivers +v0x194f2f0_0 .net "sumAB", 0 0, L_0x19d4ab0; 1 drivers +S_0x194f4b0 .scope generate, "genblk1[2]" "genblk1[2]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x194f670 .param/l "i" 0 2 47, +C4<010>; +S_0x194f730 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x194f4b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d5430/d .functor XOR 1, L_0x19d5d70, L_0x19d5030, C4<0>, C4<0>; +L_0x19d5430 .delay 1 (20,20,20) L_0x19d5430/d; +L_0x19d5530/d .functor XOR 1, L_0x19d5c10, L_0x19d5430, C4<0>, C4<0>; +L_0x19d5530 .delay 1 (20,20,20) L_0x19d5530/d; +L_0x19d5690/d .functor XOR 1, L_0x19d5530, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d5690 .delay 1 (20,20,20) L_0x19d5690/d; +L_0x19d57f0/d .functor AND 1, L_0x19d5c10, L_0x19d5430, C4<1>, C4<1>; +L_0x19d57f0 .delay 1 (20,20,20) L_0x19d57f0/d; +L_0x19d5950/d .functor AND 1, L_0x19d5530, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d5950 .delay 1 (20,20,20) L_0x19d5950/d; +L_0x19d5ab0/d .functor OR 1, L_0x19d57f0, L_0x19d5950, C4<0>, C4<0>; +L_0x19d5ab0 .delay 1 (20,20,20) L_0x19d5ab0/d; +v0x194f9a0_0 .net "a", 0 0, L_0x19d5c10; 1 drivers +v0x194fa80_0 .net "b", 0 0, L_0x19d5430; 1 drivers +v0x194fb40_0 .net "b0", 0 0, L_0x19d5d70; 1 drivers +v0x194fc10_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x194fd40_0 .net "carryout", 0 0, L_0x19d5ab0; alias, 1 drivers +v0x194fe00_0 .net "cout1", 0 0, L_0x19d57f0; 1 drivers +v0x194fec0_0 .net "cout2", 0 0, L_0x19d5950; 1 drivers +v0x194ff80_0 .net "subtract", 0 0, L_0x19d5030; alias, 1 drivers +v0x1950020_0 .net "sum", 0 0, L_0x19d5690; 1 drivers +v0x1950150_0 .net "sumAB", 0 0, L_0x19d5530; 1 drivers +S_0x1950310 .scope generate, "genblk1[3]" "genblk1[3]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1950520 .param/l "i" 0 2 47, +C4<011>; +S_0x19505e0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1950310; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d5e10/d .functor XOR 1, L_0x19d67e0, L_0x19d5ab0, C4<0>, C4<0>; +L_0x19d5e10 .delay 1 (20,20,20) L_0x19d5e10/d; +L_0x19d5f10/d .functor XOR 1, L_0x19d65f0, L_0x19d5e10, C4<0>, C4<0>; +L_0x19d5f10 .delay 1 (20,20,20) L_0x19d5f10/d; +L_0x19d6070/d .functor XOR 1, L_0x19d5f10, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d6070 .delay 1 (20,20,20) L_0x19d6070/d; +L_0x19d61d0/d .functor AND 1, L_0x19d65f0, L_0x19d5e10, C4<1>, C4<1>; +L_0x19d61d0 .delay 1 (20,20,20) L_0x19d61d0/d; +L_0x19d6330/d .functor AND 1, L_0x19d5f10, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d6330 .delay 1 (20,20,20) L_0x19d6330/d; +L_0x19d6490/d .functor OR 1, L_0x19d61d0, L_0x19d6330, C4<0>, C4<0>; +L_0x19d6490 .delay 1 (20,20,20) L_0x19d6490/d; +v0x1950850_0 .net "a", 0 0, L_0x19d65f0; 1 drivers +v0x1950930_0 .net "b", 0 0, L_0x19d5e10; 1 drivers +v0x19509f0_0 .net "b0", 0 0, L_0x19d67e0; 1 drivers +v0x1950a90_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1950b30_0 .net "carryout", 0 0, L_0x19d6490; alias, 1 drivers +v0x1950c40_0 .net "cout1", 0 0, L_0x19d61d0; 1 drivers +v0x1950d00_0 .net "cout2", 0 0, L_0x19d6330; 1 drivers +v0x1950dc0_0 .net "subtract", 0 0, L_0x19d5ab0; alias, 1 drivers +v0x1950e60_0 .net "sum", 0 0, L_0x19d6070; 1 drivers +v0x1950f90_0 .net "sumAB", 0 0, L_0x19d5f10; 1 drivers +S_0x1951150 .scope generate, "genblk1[4]" "genblk1[4]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1951310 .param/l "i" 0 2 47, +C4<0100>; +S_0x19513d0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1951150; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d6690/d .functor XOR 1, L_0x19d7190, L_0x19d6490, C4<0>, C4<0>; +L_0x19d6690 .delay 1 (20,20,20) L_0x19d6690/d; +L_0x19d69a0/d .functor XOR 1, L_0x19d7030, L_0x19d6690, C4<0>, C4<0>; +L_0x19d69a0 .delay 1 (20,20,20) L_0x19d69a0/d; +L_0x19d6ab0/d .functor XOR 1, L_0x19d69a0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d6ab0 .delay 1 (20,20,20) L_0x19d6ab0/d; +L_0x19d6c10/d .functor AND 1, L_0x19d7030, L_0x19d6690, C4<1>, C4<1>; +L_0x19d6c10 .delay 1 (20,20,20) L_0x19d6c10/d; +L_0x19d6d70/d .functor AND 1, L_0x19d69a0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d6d70 .delay 1 (20,20,20) L_0x19d6d70/d; +L_0x19d6ed0/d .functor OR 1, L_0x19d6c10, L_0x19d6d70, C4<0>, C4<0>; +L_0x19d6ed0 .delay 1 (20,20,20) L_0x19d6ed0/d; +v0x1951640_0 .net "a", 0 0, L_0x19d7030; 1 drivers +v0x1951720_0 .net "b", 0 0, L_0x19d6690; 1 drivers +v0x19517e0_0 .net "b0", 0 0, L_0x19d7190; 1 drivers +v0x19518b0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1951950_0 .net "carryout", 0 0, L_0x19d6ed0; alias, 1 drivers +v0x1951a60_0 .net "cout1", 0 0, L_0x19d6c10; 1 drivers +v0x1951b20_0 .net "cout2", 0 0, L_0x19d6d70; 1 drivers +v0x1951be0_0 .net "subtract", 0 0, L_0x19d6490; alias, 1 drivers +v0x1951c80_0 .net "sum", 0 0, L_0x19d6ab0; 1 drivers +v0x1951db0_0 .net "sumAB", 0 0, L_0x19d69a0; 1 drivers +S_0x1951f70 .scope generate, "genblk1[5]" "genblk1[5]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1952130 .param/l "i" 0 2 47, +C4<0101>; +S_0x19521f0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1951f70; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d7280/d .functor XOR 1, L_0x19d7bc0, L_0x19d6ed0, C4<0>, C4<0>; +L_0x19d7280 .delay 1 (20,20,20) L_0x19d7280/d; +L_0x19d7380/d .functor XOR 1, L_0x19d7a60, L_0x19d7280, C4<0>, C4<0>; +L_0x19d7380 .delay 1 (20,20,20) L_0x19d7380/d; +L_0x19d74e0/d .functor XOR 1, L_0x19d7380, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d74e0 .delay 1 (20,20,20) L_0x19d74e0/d; +L_0x19d7640/d .functor AND 1, L_0x19d7a60, L_0x19d7280, C4<1>, C4<1>; +L_0x19d7640 .delay 1 (20,20,20) L_0x19d7640/d; +L_0x19d77a0/d .functor AND 1, L_0x19d7380, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d77a0 .delay 1 (20,20,20) L_0x19d77a0/d; +L_0x19d7900/d .functor OR 1, L_0x19d7640, L_0x19d77a0, C4<0>, C4<0>; +L_0x19d7900 .delay 1 (20,20,20) L_0x19d7900/d; +v0x1952460_0 .net "a", 0 0, L_0x19d7a60; 1 drivers +v0x1952540_0 .net "b", 0 0, L_0x19d7280; 1 drivers +v0x1952600_0 .net "b0", 0 0, L_0x19d7bc0; 1 drivers +v0x19526d0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1952770_0 .net "carryout", 0 0, L_0x19d7900; alias, 1 drivers +v0x1952880_0 .net "cout1", 0 0, L_0x19d7640; 1 drivers +v0x1952940_0 .net "cout2", 0 0, L_0x19d77a0; 1 drivers +v0x1952a00_0 .net "subtract", 0 0, L_0x19d6ed0; alias, 1 drivers +v0x1952aa0_0 .net "sum", 0 0, L_0x19d74e0; 1 drivers +v0x1952bd0_0 .net "sumAB", 0 0, L_0x19d7380; 1 drivers +S_0x1952d90 .scope generate, "genblk1[6]" "genblk1[6]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1952f50 .param/l "i" 0 2 47, +C4<0110>; +S_0x1953010 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1952d90; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d7cc0/d .functor XOR 1, L_0x19d85b0, L_0x19d7900, C4<0>, C4<0>; +L_0x19d7cc0 .delay 1 (20,20,20) L_0x19d7cc0/d; +L_0x19d7dc0/d .functor XOR 1, L_0x19d8450, L_0x19d7cc0, C4<0>, C4<0>; +L_0x19d7dc0 .delay 1 (20,20,20) L_0x19d7dc0/d; +L_0x19d7ed0/d .functor XOR 1, L_0x19d7dc0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d7ed0 .delay 1 (20,20,20) L_0x19d7ed0/d; +L_0x19d8030/d .functor AND 1, L_0x19d8450, L_0x19d7cc0, C4<1>, C4<1>; +L_0x19d8030 .delay 1 (20,20,20) L_0x19d8030/d; +L_0x19d8190/d .functor AND 1, L_0x19d7dc0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d8190 .delay 1 (20,20,20) L_0x19d8190/d; +L_0x19d82f0/d .functor OR 1, L_0x19d8030, L_0x19d8190, C4<0>, C4<0>; +L_0x19d82f0 .delay 1 (20,20,20) L_0x19d82f0/d; +v0x1953280_0 .net "a", 0 0, L_0x19d8450; 1 drivers +v0x1953360_0 .net "b", 0 0, L_0x19d7cc0; 1 drivers +v0x1953420_0 .net "b0", 0 0, L_0x19d85b0; 1 drivers +v0x19534f0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x19536a0_0 .net "carryout", 0 0, L_0x19d82f0; alias, 1 drivers +v0x1953740_0 .net "cout1", 0 0, L_0x19d8030; 1 drivers +v0x19537e0_0 .net "cout2", 0 0, L_0x19d8190; 1 drivers +v0x19538a0_0 .net "subtract", 0 0, L_0x19d7900; alias, 1 drivers +v0x1953940_0 .net "sum", 0 0, L_0x19d7ed0; 1 drivers +v0x1953a70_0 .net "sumAB", 0 0, L_0x19d7dc0; 1 drivers +S_0x1953c30 .scope generate, "genblk1[7]" "genblk1[7]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x19504d0 .param/l "i" 0 2 47, +C4<0111>; +S_0x1953ef0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1953c30; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d86c0/d .functor XOR 1, L_0x19d9110, L_0x19d82f0, C4<0>, C4<0>; +L_0x19d86c0 .delay 1 (20,20,20) L_0x19d86c0/d; +L_0x19d87c0/d .functor XOR 1, L_0x19d8ea0, L_0x19d86c0, C4<0>, C4<0>; +L_0x19d87c0 .delay 1 (20,20,20) L_0x19d87c0/d; +L_0x19d8920/d .functor XOR 1, L_0x19d87c0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d8920 .delay 1 (20,20,20) L_0x19d8920/d; +L_0x19d8a80/d .functor AND 1, L_0x19d8ea0, L_0x19d86c0, C4<1>, C4<1>; +L_0x19d8a80 .delay 1 (20,20,20) L_0x19d8a80/d; +L_0x19d8be0/d .functor AND 1, L_0x19d87c0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d8be0 .delay 1 (20,20,20) L_0x19d8be0/d; +L_0x19d8d40/d .functor OR 1, L_0x19d8a80, L_0x19d8be0, C4<0>, C4<0>; +L_0x19d8d40 .delay 1 (20,20,20) L_0x19d8d40/d; +v0x1954160_0 .net "a", 0 0, L_0x19d8ea0; 1 drivers +v0x1954240_0 .net "b", 0 0, L_0x19d86c0; 1 drivers +v0x1954300_0 .net "b0", 0 0, L_0x19d9110; 1 drivers +v0x19543d0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1954470_0 .net "carryout", 0 0, L_0x19d8d40; alias, 1 drivers +v0x1954580_0 .net "cout1", 0 0, L_0x19d8a80; 1 drivers +v0x1954640_0 .net "cout2", 0 0, L_0x19d8be0; 1 drivers +v0x1954700_0 .net "subtract", 0 0, L_0x19d82f0; alias, 1 drivers +v0x19547a0_0 .net "sum", 0 0, L_0x19d8920; 1 drivers +v0x19548d0_0 .net "sumAB", 0 0, L_0x19d87c0; 1 drivers +S_0x1954a90 .scope generate, "genblk1[8]" "genblk1[8]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1954c50 .param/l "i" 0 2 47, +C4<01000>; +S_0x1954d10 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1954a90; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d8650/d .functor XOR 1, L_0x19d9b00, L_0x19d8d40, C4<0>, C4<0>; +L_0x19d8650 .delay 1 (20,20,20) L_0x19d8650/d; +L_0x19d92c0/d .functor XOR 1, L_0x19d99a0, L_0x19d8650, C4<0>, C4<0>; +L_0x19d92c0 .delay 1 (20,20,20) L_0x19d92c0/d; +L_0x19d9420/d .functor XOR 1, L_0x19d92c0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d9420 .delay 1 (20,20,20) L_0x19d9420/d; +L_0x19d9580/d .functor AND 1, L_0x19d99a0, L_0x19d8650, C4<1>, C4<1>; +L_0x19d9580 .delay 1 (20,20,20) L_0x19d9580/d; +L_0x19d96e0/d .functor AND 1, L_0x19d92c0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19d96e0 .delay 1 (20,20,20) L_0x19d96e0/d; +L_0x19d9840/d .functor OR 1, L_0x19d9580, L_0x19d96e0, C4<0>, C4<0>; +L_0x19d9840 .delay 1 (20,20,20) L_0x19d9840/d; +v0x1954f80_0 .net "a", 0 0, L_0x19d99a0; 1 drivers +v0x1955060_0 .net "b", 0 0, L_0x19d8650; 1 drivers +v0x1955120_0 .net "b0", 0 0, L_0x19d9b00; 1 drivers +v0x19551f0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1955290_0 .net "carryout", 0 0, L_0x19d9840; alias, 1 drivers +v0x19553a0_0 .net "cout1", 0 0, L_0x19d9580; 1 drivers +v0x1955460_0 .net "cout2", 0 0, L_0x19d96e0; 1 drivers +v0x1955520_0 .net "subtract", 0 0, L_0x19d8d40; alias, 1 drivers +v0x19555c0_0 .net "sum", 0 0, L_0x19d9420; 1 drivers +v0x19556f0_0 .net "sumAB", 0 0, L_0x19d92c0; 1 drivers +S_0x19558b0 .scope generate, "genblk1[9]" "genblk1[9]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1955a70 .param/l "i" 0 2 47, +C4<01001>; +S_0x1955b30 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19558b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d9ba0/d .functor XOR 1, L_0x19da4e0, L_0x19d9840, C4<0>, C4<0>; +L_0x19d9ba0 .delay 1 (20,20,20) L_0x19d9ba0/d; +L_0x19d9ca0/d .functor XOR 1, L_0x19da380, L_0x19d9ba0, C4<0>, C4<0>; +L_0x19d9ca0 .delay 1 (20,20,20) L_0x19d9ca0/d; +L_0x19d9e00/d .functor XOR 1, L_0x19d9ca0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19d9e00 .delay 1 (20,20,20) L_0x19d9e00/d; +L_0x19d9f60/d .functor AND 1, L_0x19da380, L_0x19d9ba0, C4<1>, C4<1>; +L_0x19d9f60 .delay 1 (20,20,20) L_0x19d9f60/d; +L_0x19da0c0/d .functor AND 1, L_0x19d9ca0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19da0c0 .delay 1 (20,20,20) L_0x19da0c0/d; +L_0x19da220/d .functor OR 1, L_0x19d9f60, L_0x19da0c0, C4<0>, C4<0>; +L_0x19da220 .delay 1 (20,20,20) L_0x19da220/d; +v0x1955da0_0 .net "a", 0 0, L_0x19da380; 1 drivers +v0x1955e80_0 .net "b", 0 0, L_0x19d9ba0; 1 drivers +v0x1955f40_0 .net "b0", 0 0, L_0x19da4e0; 1 drivers +v0x1956010_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x19560b0_0 .net "carryout", 0 0, L_0x19da220; alias, 1 drivers +v0x19561c0_0 .net "cout1", 0 0, L_0x19d9f60; 1 drivers +v0x1956280_0 .net "cout2", 0 0, L_0x19da0c0; 1 drivers +v0x1956340_0 .net "subtract", 0 0, L_0x19d9840; alias, 1 drivers +v0x19563e0_0 .net "sum", 0 0, L_0x19d9e00; 1 drivers +v0x1956510_0 .net "sumAB", 0 0, L_0x19d9ca0; 1 drivers +S_0x19566d0 .scope generate, "genblk1[10]" "genblk1[10]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1956890 .param/l "i" 0 2 47, +C4<01010>; +S_0x1956950 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19566d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d6750/d .functor XOR 1, L_0x19daef0, L_0x19da220, C4<0>, C4<0>; +L_0x19d6750 .delay 1 (20,20,20) L_0x19d6750/d; +L_0x19da6b0/d .functor XOR 1, L_0x19dad90, L_0x19d6750, C4<0>, C4<0>; +L_0x19da6b0 .delay 1 (20,20,20) L_0x19da6b0/d; +L_0x19da810/d .functor XOR 1, L_0x19da6b0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19da810 .delay 1 (20,20,20) L_0x19da810/d; +L_0x19da970/d .functor AND 1, L_0x19dad90, L_0x19d6750, C4<1>, C4<1>; +L_0x19da970 .delay 1 (20,20,20) L_0x19da970/d; +L_0x19daad0/d .functor AND 1, L_0x19da6b0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19daad0 .delay 1 (20,20,20) L_0x19daad0/d; +L_0x19dac30/d .functor OR 1, L_0x19da970, L_0x19daad0, C4<0>, C4<0>; +L_0x19dac30 .delay 1 (20,20,20) L_0x19dac30/d; +v0x1956bc0_0 .net "a", 0 0, L_0x19dad90; 1 drivers +v0x1956ca0_0 .net "b", 0 0, L_0x19d6750; 1 drivers +v0x1956d60_0 .net "b0", 0 0, L_0x19daef0; 1 drivers +v0x1956e30_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1956ed0_0 .net "carryout", 0 0, L_0x19dac30; alias, 1 drivers +v0x1956fe0_0 .net "cout1", 0 0, L_0x19da970; 1 drivers +v0x19570a0_0 .net "cout2", 0 0, L_0x19daad0; 1 drivers +v0x1957160_0 .net "subtract", 0 0, L_0x19da220; alias, 1 drivers +v0x1957200_0 .net "sum", 0 0, L_0x19da810; 1 drivers +v0x1957330_0 .net "sumAB", 0 0, L_0x19da6b0; 1 drivers +S_0x19574f0 .scope generate, "genblk1[11]" "genblk1[11]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x19576b0 .param/l "i" 0 2 47, +C4<01011>; +S_0x1957770 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19574f0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19da580/d .functor XOR 1, L_0x19db910, L_0x19dac30, C4<0>, C4<0>; +L_0x19da580 .delay 1 (20,20,20) L_0x19da580/d; +L_0x19db0d0/d .functor XOR 1, L_0x19db7b0, L_0x19da580, C4<0>, C4<0>; +L_0x19db0d0 .delay 1 (20,20,20) L_0x19db0d0/d; +L_0x19db230/d .functor XOR 1, L_0x19db0d0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19db230 .delay 1 (20,20,20) L_0x19db230/d; +L_0x19db390/d .functor AND 1, L_0x19db7b0, L_0x19da580, C4<1>, C4<1>; +L_0x19db390 .delay 1 (20,20,20) L_0x19db390/d; +L_0x19db4f0/d .functor AND 1, L_0x19db0d0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19db4f0 .delay 1 (20,20,20) L_0x19db4f0/d; +L_0x19db650/d .functor OR 1, L_0x19db390, L_0x19db4f0, C4<0>, C4<0>; +L_0x19db650 .delay 1 (20,20,20) L_0x19db650/d; +v0x19579e0_0 .net "a", 0 0, L_0x19db7b0; 1 drivers +v0x1957ac0_0 .net "b", 0 0, L_0x19da580; 1 drivers +v0x1957b80_0 .net "b0", 0 0, L_0x19db910; 1 drivers +v0x1957c50_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1957cf0_0 .net "carryout", 0 0, L_0x19db650; alias, 1 drivers +v0x1957e00_0 .net "cout1", 0 0, L_0x19db390; 1 drivers +v0x1957ec0_0 .net "cout2", 0 0, L_0x19db4f0; 1 drivers +v0x1957f80_0 .net "subtract", 0 0, L_0x19dac30; alias, 1 drivers +v0x1958020_0 .net "sum", 0 0, L_0x19db230; 1 drivers +v0x1958150_0 .net "sumAB", 0 0, L_0x19db0d0; 1 drivers +S_0x1958310 .scope generate, "genblk1[12]" "genblk1[12]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x19584d0 .param/l "i" 0 2 47, +C4<01100>; +S_0x1958590 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1958310; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19daf90/d .functor XOR 1, L_0x19dc340, L_0x19db650, C4<0>, C4<0>; +L_0x19daf90 .delay 1 (20,20,20) L_0x19daf90/d; +L_0x19dbb00/d .functor XOR 1, L_0x19dc1e0, L_0x19daf90, C4<0>, C4<0>; +L_0x19dbb00 .delay 1 (20,20,20) L_0x19dbb00/d; +L_0x19dbc60/d .functor XOR 1, L_0x19dbb00, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19dbc60 .delay 1 (20,20,20) L_0x19dbc60/d; +L_0x19dbdc0/d .functor AND 1, L_0x19dc1e0, L_0x19daf90, C4<1>, C4<1>; +L_0x19dbdc0 .delay 1 (20,20,20) L_0x19dbdc0/d; +L_0x19dbf20/d .functor AND 1, L_0x19dbb00, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19dbf20 .delay 1 (20,20,20) L_0x19dbf20/d; +L_0x19dc080/d .functor OR 1, L_0x19dbdc0, L_0x19dbf20, C4<0>, C4<0>; +L_0x19dc080 .delay 1 (20,20,20) L_0x19dc080/d; +v0x1958800_0 .net "a", 0 0, L_0x19dc1e0; 1 drivers +v0x19588e0_0 .net "b", 0 0, L_0x19daf90; 1 drivers +v0x19589a0_0 .net "b0", 0 0, L_0x19dc340; 1 drivers +v0x1958a70_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1958b10_0 .net "carryout", 0 0, L_0x19dc080; alias, 1 drivers +v0x1958c20_0 .net "cout1", 0 0, L_0x19dbdc0; 1 drivers +v0x1958ce0_0 .net "cout2", 0 0, L_0x19dbf20; 1 drivers +v0x1958da0_0 .net "subtract", 0 0, L_0x19db650; alias, 1 drivers +v0x1958e40_0 .net "sum", 0 0, L_0x19dbc60; 1 drivers +v0x1958f70_0 .net "sumAB", 0 0, L_0x19dbb00; 1 drivers +S_0x1959130 .scope generate, "genblk1[13]" "genblk1[13]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x19592f0 .param/l "i" 0 2 47, +C4<01101>; +S_0x19593b0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1959130; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19db9b0/d .functor XOR 1, L_0x19dcd30, L_0x19dc080, C4<0>, C4<0>; +L_0x19db9b0 .delay 1 (20,20,20) L_0x19db9b0/d; +L_0x19dc540/d .functor XOR 1, L_0x19dcbd0, L_0x19db9b0, C4<0>, C4<0>; +L_0x19dc540 .delay 1 (20,20,20) L_0x19dc540/d; +L_0x19dc650/d .functor XOR 1, L_0x19dc540, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19dc650 .delay 1 (20,20,20) L_0x19dc650/d; +L_0x19dc7b0/d .functor AND 1, L_0x19dcbd0, L_0x19db9b0, C4<1>, C4<1>; +L_0x19dc7b0 .delay 1 (20,20,20) L_0x19dc7b0/d; +L_0x19dc910/d .functor AND 1, L_0x19dc540, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19dc910 .delay 1 (20,20,20) L_0x19dc910/d; +L_0x19dca70/d .functor OR 1, L_0x19dc7b0, L_0x19dc910, C4<0>, C4<0>; +L_0x19dca70 .delay 1 (20,20,20) L_0x19dca70/d; +v0x1959620_0 .net "a", 0 0, L_0x19dcbd0; 1 drivers +v0x1959700_0 .net "b", 0 0, L_0x19db9b0; 1 drivers +v0x19597c0_0 .net "b0", 0 0, L_0x19dcd30; 1 drivers +v0x1959890_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1959930_0 .net "carryout", 0 0, L_0x19dca70; alias, 1 drivers +v0x1959a40_0 .net "cout1", 0 0, L_0x19dc7b0; 1 drivers +v0x1959b00_0 .net "cout2", 0 0, L_0x19dc910; 1 drivers +v0x1959bc0_0 .net "subtract", 0 0, L_0x19dc080; alias, 1 drivers +v0x1959c60_0 .net "sum", 0 0, L_0x19dc650; 1 drivers +v0x1959d90_0 .net "sumAB", 0 0, L_0x19dc540; 1 drivers +S_0x1959f50 .scope generate, "genblk1[14]" "genblk1[14]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195a110 .param/l "i" 0 2 47, +C4<01110>; +S_0x195a1d0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1959f50; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19dc3e0/d .functor XOR 1, L_0x19dd730, L_0x19dca70, C4<0>, C4<0>; +L_0x19dc3e0 .delay 1 (20,20,20) L_0x19dc3e0/d; +L_0x19dcf40/d .functor XOR 1, L_0x19dd5d0, L_0x19dc3e0, C4<0>, C4<0>; +L_0x19dcf40 .delay 1 (20,20,20) L_0x19dcf40/d; +L_0x19dd050/d .functor XOR 1, L_0x19dcf40, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19dd050 .delay 1 (20,20,20) L_0x19dd050/d; +L_0x19dd1b0/d .functor AND 1, L_0x19dd5d0, L_0x19dc3e0, C4<1>, C4<1>; +L_0x19dd1b0 .delay 1 (20,20,20) L_0x19dd1b0/d; +L_0x19dd310/d .functor AND 1, L_0x19dcf40, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19dd310 .delay 1 (20,20,20) L_0x19dd310/d; +L_0x19dd470/d .functor OR 1, L_0x19dd1b0, L_0x19dd310, C4<0>, C4<0>; +L_0x19dd470 .delay 1 (20,20,20) L_0x19dd470/d; +v0x195a440_0 .net "a", 0 0, L_0x19dd5d0; 1 drivers +v0x195a520_0 .net "b", 0 0, L_0x19dc3e0; 1 drivers +v0x195a5e0_0 .net "b0", 0 0, L_0x19dd730; 1 drivers +v0x195a6b0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1953590_0 .net "carryout", 0 0, L_0x19dd470; alias, 1 drivers +v0x195a960_0 .net "cout1", 0 0, L_0x19dd1b0; 1 drivers +v0x195aa20_0 .net "cout2", 0 0, L_0x19dd310; 1 drivers +v0x195aae0_0 .net "subtract", 0 0, L_0x19dca70; alias, 1 drivers +v0x195ab80_0 .net "sum", 0 0, L_0x19dd050; 1 drivers +v0x195acb0_0 .net "sumAB", 0 0, L_0x19dcf40; 1 drivers +S_0x195ae70 .scope generate, "genblk1[15]" "genblk1[15]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1953df0 .param/l "i" 0 2 47, +C4<01111>; +S_0x195b190 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195ae70; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19dcdd0/d .functor XOR 1, L_0x19d9000, L_0x19dd470, C4<0>, C4<0>; +L_0x19dcdd0 .delay 1 (20,20,20) L_0x19dcdd0/d; +L_0x19dd950/d .functor XOR 1, L_0x19ddfe0, L_0x19dcdd0, C4<0>, C4<0>; +L_0x19dd950 .delay 1 (20,20,20) L_0x19dd950/d; +L_0x19dda60/d .functor XOR 1, L_0x19dd950, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19dda60 .delay 1 (20,20,20) L_0x19dda60/d; +L_0x19ddbc0/d .functor AND 1, L_0x19ddfe0, L_0x19dcdd0, C4<1>, C4<1>; +L_0x19ddbc0 .delay 1 (20,20,20) L_0x19ddbc0/d; +L_0x19ddd20/d .functor AND 1, L_0x19dd950, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19ddd20 .delay 1 (20,20,20) L_0x19ddd20/d; +L_0x19dde80/d .functor OR 1, L_0x19ddbc0, L_0x19ddd20, C4<0>, C4<0>; +L_0x19dde80 .delay 1 (20,20,20) L_0x19dde80/d; +v0x195b400_0 .net "a", 0 0, L_0x19ddfe0; 1 drivers +v0x195b4c0_0 .net "b", 0 0, L_0x19dcdd0; 1 drivers +v0x195b580_0 .net "b0", 0 0, L_0x19d9000; 1 drivers +v0x195b650_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195b6f0_0 .net "carryout", 0 0, L_0x19dde80; alias, 1 drivers +v0x195b800_0 .net "cout1", 0 0, L_0x19ddbc0; 1 drivers +v0x195b8c0_0 .net "cout2", 0 0, L_0x19ddd20; 1 drivers +v0x195b980_0 .net "subtract", 0 0, L_0x19dd470; alias, 1 drivers +v0x195ba20_0 .net "sum", 0 0, L_0x19dda60; 1 drivers +v0x195bb50_0 .net "sumAB", 0 0, L_0x19dd950; 1 drivers +S_0x195bd10 .scope generate, "genblk1[16]" "genblk1[16]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195bed0 .param/l "i" 0 2 47, +C4<010000>; +S_0x195bf90 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195bd10; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d90a0/d .functor XOR 1, L_0x19df140, L_0x19dde80, C4<0>, C4<0>; +L_0x19d90a0 .delay 1 (20,20,20) L_0x19d90a0/d; +L_0x19de560/d .functor XOR 1, L_0x19defe0, L_0x19d90a0, C4<0>, C4<0>; +L_0x19de560 .delay 1 (20,20,20) L_0x19de560/d; +L_0x19de670/d .functor XOR 1, L_0x19de560, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19de670 .delay 1 (20,20,20) L_0x19de670/d; +L_0x1968ad0/d .functor AND 1, L_0x19defe0, L_0x19d90a0, C4<1>, C4<1>; +L_0x1968ad0 .delay 1 (20,20,20) L_0x1968ad0/d; +L_0x1968c30/d .functor AND 1, L_0x19de560, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x1968c30 .delay 1 (20,20,20) L_0x1968c30/d; +L_0x1968d90/d .functor OR 1, L_0x1968ad0, L_0x1968c30, C4<0>, C4<0>; +L_0x1968d90 .delay 1 (20,20,20) L_0x1968d90/d; +v0x195c200_0 .net "a", 0 0, L_0x19defe0; 1 drivers +v0x195c2e0_0 .net "b", 0 0, L_0x19d90a0; 1 drivers +v0x195c3a0_0 .net "b0", 0 0, L_0x19df140; 1 drivers +v0x195c470_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195c510_0 .net "carryout", 0 0, L_0x1968d90; alias, 1 drivers +v0x195c620_0 .net "cout1", 0 0, L_0x1968ad0; 1 drivers +v0x195c6e0_0 .net "cout2", 0 0, L_0x1968c30; 1 drivers +v0x195c7a0_0 .net "subtract", 0 0, L_0x19dde80; alias, 1 drivers +v0x195c840_0 .net "sum", 0 0, L_0x19de670; 1 drivers +v0x195c970_0 .net "sumAB", 0 0, L_0x19de560; 1 drivers +S_0x195cb30 .scope generate, "genblk1[17]" "genblk1[17]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195ccf0 .param/l "i" 0 2 47, +C4<010001>; +S_0x195cdb0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195cb30; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19df1e0/d .functor XOR 1, L_0x19dfb20, L_0x1968d90, C4<0>, C4<0>; +L_0x19df1e0 .delay 1 (20,20,20) L_0x19df1e0/d; +L_0x19df2e0/d .functor XOR 1, L_0x19df9c0, L_0x19df1e0, C4<0>, C4<0>; +L_0x19df2e0 .delay 1 (20,20,20) L_0x19df2e0/d; +L_0x19df440/d .functor XOR 1, L_0x19df2e0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19df440 .delay 1 (20,20,20) L_0x19df440/d; +L_0x19df5a0/d .functor AND 1, L_0x19df9c0, L_0x19df1e0, C4<1>, C4<1>; +L_0x19df5a0 .delay 1 (20,20,20) L_0x19df5a0/d; +L_0x19df700/d .functor AND 1, L_0x19df2e0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19df700 .delay 1 (20,20,20) L_0x19df700/d; +L_0x19df860/d .functor OR 1, L_0x19df5a0, L_0x19df700, C4<0>, C4<0>; +L_0x19df860 .delay 1 (20,20,20) L_0x19df860/d; +v0x195d020_0 .net "a", 0 0, L_0x19df9c0; 1 drivers +v0x195d100_0 .net "b", 0 0, L_0x19df1e0; 1 drivers +v0x195d1c0_0 .net "b0", 0 0, L_0x19dfb20; 1 drivers +v0x195d290_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195d330_0 .net "carryout", 0 0, L_0x19df860; alias, 1 drivers +v0x195d440_0 .net "cout1", 0 0, L_0x19df5a0; 1 drivers +v0x195d500_0 .net "cout2", 0 0, L_0x19df700; 1 drivers +v0x195d5c0_0 .net "subtract", 0 0, L_0x1968d90; alias, 1 drivers +v0x195d660_0 .net "sum", 0 0, L_0x19df440; 1 drivers +v0x195d790_0 .net "sumAB", 0 0, L_0x19df2e0; 1 drivers +S_0x195d950 .scope generate, "genblk1[18]" "genblk1[18]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195db10 .param/l "i" 0 2 47, +C4<010010>; +S_0x195dbd0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195d950; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19d91b0/d .functor XOR 1, L_0x19e0520, L_0x19df860, C4<0>, C4<0>; +L_0x19d91b0 .delay 1 (20,20,20) L_0x19d91b0/d; +L_0x19dfce0/d .functor XOR 1, L_0x19e03c0, L_0x19d91b0, C4<0>, C4<0>; +L_0x19dfce0 .delay 1 (20,20,20) L_0x19dfce0/d; +L_0x19dfe40/d .functor XOR 1, L_0x19dfce0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19dfe40 .delay 1 (20,20,20) L_0x19dfe40/d; +L_0x19dffa0/d .functor AND 1, L_0x19e03c0, L_0x19d91b0, C4<1>, C4<1>; +L_0x19dffa0 .delay 1 (20,20,20) L_0x19dffa0/d; +L_0x19e0100/d .functor AND 1, L_0x19dfce0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e0100 .delay 1 (20,20,20) L_0x19e0100/d; +L_0x19e0260/d .functor OR 1, L_0x19dffa0, L_0x19e0100, C4<0>, C4<0>; +L_0x19e0260 .delay 1 (20,20,20) L_0x19e0260/d; +v0x195de40_0 .net "a", 0 0, L_0x19e03c0; 1 drivers +v0x195df20_0 .net "b", 0 0, L_0x19d91b0; 1 drivers +v0x195dfe0_0 .net "b0", 0 0, L_0x19e0520; 1 drivers +v0x195e0b0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195e150_0 .net "carryout", 0 0, L_0x19e0260; alias, 1 drivers +v0x195e260_0 .net "cout1", 0 0, L_0x19dffa0; 1 drivers +v0x195e320_0 .net "cout2", 0 0, L_0x19e0100; 1 drivers +v0x195e3e0_0 .net "subtract", 0 0, L_0x19df860; alias, 1 drivers +v0x195e480_0 .net "sum", 0 0, L_0x19dfe40; 1 drivers +v0x195e5b0_0 .net "sumAB", 0 0, L_0x19dfce0; 1 drivers +S_0x195e770 .scope generate, "genblk1[19]" "genblk1[19]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195e930 .param/l "i" 0 2 47, +C4<010011>; +S_0x195e9f0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195e770; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19dfbc0/d .functor XOR 1, L_0x19e0f30, L_0x19e0260, C4<0>, C4<0>; +L_0x19dfbc0 .delay 1 (20,20,20) L_0x19dfbc0/d; +L_0x19e06f0/d .functor XOR 1, L_0x19e0e10, L_0x19dfbc0, C4<0>, C4<0>; +L_0x19e06f0 .delay 1 (20,20,20) L_0x19e06f0/d; +L_0x19e0850/d .functor XOR 1, L_0x19e06f0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e0850 .delay 1 (20,20,20) L_0x19e0850/d; +L_0x19e09b0/d .functor AND 1, L_0x19e0e10, L_0x19dfbc0, C4<1>, C4<1>; +L_0x19e09b0 .delay 1 (20,20,20) L_0x19e09b0/d; +L_0x19e0b10/d .functor AND 1, L_0x19e06f0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e0b10 .delay 1 (20,20,20) L_0x19e0b10/d; +L_0x19e0c70/d .functor OR 1, L_0x19e09b0, L_0x19e0b10, C4<0>, C4<0>; +L_0x19e0c70 .delay 1 (20,20,20) L_0x19e0c70/d; +v0x195ec60_0 .net "a", 0 0, L_0x19e0e10; 1 drivers +v0x195ed40_0 .net "b", 0 0, L_0x19dfbc0; 1 drivers +v0x195ee00_0 .net "b0", 0 0, L_0x19e0f30; 1 drivers +v0x195eed0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195ef70_0 .net "carryout", 0 0, L_0x19e0c70; alias, 1 drivers +v0x195f080_0 .net "cout1", 0 0, L_0x19e09b0; 1 drivers +v0x195f140_0 .net "cout2", 0 0, L_0x19e0b10; 1 drivers +v0x195f200_0 .net "subtract", 0 0, L_0x19e0260; alias, 1 drivers +v0x195f2a0_0 .net "sum", 0 0, L_0x19e0850; 1 drivers +v0x195f3d0_0 .net "sumAB", 0 0, L_0x19e06f0; 1 drivers +S_0x195f590 .scope generate, "genblk1[20]" "genblk1[20]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x195f750 .param/l "i" 0 2 47, +C4<010100>; +S_0x195f810 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x195f590; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e05c0/d .functor XOR 1, L_0x19e19a0, L_0x19e0c70, C4<0>, C4<0>; +L_0x19e05c0 .delay 1 (20,20,20) L_0x19e05c0/d; +L_0x19e1160/d .functor XOR 1, L_0x19e1880, L_0x19e05c0, C4<0>, C4<0>; +L_0x19e1160 .delay 1 (20,20,20) L_0x19e1160/d; +L_0x19e12c0/d .functor XOR 1, L_0x19e1160, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e12c0 .delay 1 (20,20,20) L_0x19e12c0/d; +L_0x19e1420/d .functor AND 1, L_0x19e1880, L_0x19e05c0, C4<1>, C4<1>; +L_0x19e1420 .delay 1 (20,20,20) L_0x19e1420/d; +L_0x19e1580/d .functor AND 1, L_0x19e1160, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e1580 .delay 1 (20,20,20) L_0x19e1580/d; +L_0x19e16e0/d .functor OR 1, L_0x19e1420, L_0x19e1580, C4<0>, C4<0>; +L_0x19e16e0 .delay 1 (20,20,20) L_0x19e16e0/d; +v0x195fa80_0 .net "a", 0 0, L_0x19e1880; 1 drivers +v0x195fb60_0 .net "b", 0 0, L_0x19e05c0; 1 drivers +v0x195fc20_0 .net "b0", 0 0, L_0x19e19a0; 1 drivers +v0x195fcf0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195fd90_0 .net "carryout", 0 0, L_0x19e16e0; alias, 1 drivers +v0x195fea0_0 .net "cout1", 0 0, L_0x19e1420; 1 drivers +v0x195ff60_0 .net "cout2", 0 0, L_0x19e1580; 1 drivers +v0x1960020_0 .net "subtract", 0 0, L_0x19e0c70; alias, 1 drivers +v0x19600c0_0 .net "sum", 0 0, L_0x19e12c0; 1 drivers +v0x19601f0_0 .net "sumAB", 0 0, L_0x19e1160; 1 drivers +S_0x19603b0 .scope generate, "genblk1[21]" "genblk1[21]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1960570 .param/l "i" 0 2 47, +C4<010101>; +S_0x1960630 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19603b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e1020/d .functor XOR 1, L_0x19e2420, L_0x19e16e0, C4<0>, C4<0>; +L_0x19e1020 .delay 1 (20,20,20) L_0x19e1020/d; +L_0x19e1be0/d .functor XOR 1, L_0x19e22c0, L_0x19e1020, C4<0>, C4<0>; +L_0x19e1be0 .delay 1 (20,20,20) L_0x19e1be0/d; +L_0x19e1d40/d .functor XOR 1, L_0x19e1be0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e1d40 .delay 1 (20,20,20) L_0x19e1d40/d; +L_0x19e1ea0/d .functor AND 1, L_0x19e22c0, L_0x19e1020, C4<1>, C4<1>; +L_0x19e1ea0 .delay 1 (20,20,20) L_0x19e1ea0/d; +L_0x19e2000/d .functor AND 1, L_0x19e1be0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e2000 .delay 1 (20,20,20) L_0x19e2000/d; +L_0x19e2160/d .functor OR 1, L_0x19e1ea0, L_0x19e2000, C4<0>, C4<0>; +L_0x19e2160 .delay 1 (20,20,20) L_0x19e2160/d; +v0x19608a0_0 .net "a", 0 0, L_0x19e22c0; 1 drivers +v0x1960980_0 .net "b", 0 0, L_0x19e1020; 1 drivers +v0x1960a40_0 .net "b0", 0 0, L_0x19e2420; 1 drivers +v0x1960b10_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1960bb0_0 .net "carryout", 0 0, L_0x19e2160; alias, 1 drivers +v0x1960cc0_0 .net "cout1", 0 0, L_0x19e1ea0; 1 drivers +v0x1960d80_0 .net "cout2", 0 0, L_0x19e2000; 1 drivers +v0x1960e40_0 .net "subtract", 0 0, L_0x19e16e0; alias, 1 drivers +v0x1960ee0_0 .net "sum", 0 0, L_0x19e1d40; 1 drivers +v0x1961010_0 .net "sumAB", 0 0, L_0x19e1be0; 1 drivers +S_0x19611d0 .scope generate, "genblk1[22]" "genblk1[22]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1961390 .param/l "i" 0 2 47, +C4<010110>; +S_0x1961450 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19611d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e1a90/d .functor XOR 1, L_0x19e2e10, L_0x19e2160, C4<0>, C4<0>; +L_0x19e1a90 .delay 1 (20,20,20) L_0x19e1a90/d; +L_0x19e2620/d .functor XOR 1, L_0x19e2cf0, L_0x19e1a90, C4<0>, C4<0>; +L_0x19e2620 .delay 1 (20,20,20) L_0x19e2620/d; +L_0x19e2730/d .functor XOR 1, L_0x19e2620, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e2730 .delay 1 (20,20,20) L_0x19e2730/d; +L_0x19e2890/d .functor AND 1, L_0x19e2cf0, L_0x19e1a90, C4<1>, C4<1>; +L_0x19e2890 .delay 1 (20,20,20) L_0x19e2890/d; +L_0x19e29f0/d .functor AND 1, L_0x19e2620, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e29f0 .delay 1 (20,20,20) L_0x19e29f0/d; +L_0x19e2b50/d .functor OR 1, L_0x19e2890, L_0x19e29f0, C4<0>, C4<0>; +L_0x19e2b50 .delay 1 (20,20,20) L_0x19e2b50/d; +v0x19616c0_0 .net "a", 0 0, L_0x19e2cf0; 1 drivers +v0x19617a0_0 .net "b", 0 0, L_0x19e1a90; 1 drivers +v0x1961860_0 .net "b0", 0 0, L_0x19e2e10; 1 drivers +v0x1961930_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x19619d0_0 .net "carryout", 0 0, L_0x19e2b50; alias, 1 drivers +v0x1961ae0_0 .net "cout1", 0 0, L_0x19e2890; 1 drivers +v0x1961ba0_0 .net "cout2", 0 0, L_0x19e29f0; 1 drivers +v0x1961c60_0 .net "subtract", 0 0, L_0x19e2160; alias, 1 drivers +v0x1961d00_0 .net "sum", 0 0, L_0x19e2730; 1 drivers +v0x1961e30_0 .net "sumAB", 0 0, L_0x19e2620; 1 drivers +S_0x1961ff0 .scope generate, "genblk1[23]" "genblk1[23]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x19621b0 .param/l "i" 0 2 47, +C4<010111>; +S_0x1962270 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1961ff0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e24c0/d .functor XOR 1, L_0x19e3860, L_0x19e2b50, C4<0>, C4<0>; +L_0x19e24c0 .delay 1 (20,20,20) L_0x19e24c0/d; +L_0x19e3070/d .functor XOR 1, L_0x19e3740, L_0x19e24c0, C4<0>, C4<0>; +L_0x19e3070 .delay 1 (20,20,20) L_0x19e3070/d; +L_0x19e3180/d .functor XOR 1, L_0x19e3070, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e3180 .delay 1 (20,20,20) L_0x19e3180/d; +L_0x19e32e0/d .functor AND 1, L_0x19e3740, L_0x19e24c0, C4<1>, C4<1>; +L_0x19e32e0 .delay 1 (20,20,20) L_0x19e32e0/d; +L_0x19e3440/d .functor AND 1, L_0x19e3070, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e3440 .delay 1 (20,20,20) L_0x19e3440/d; +L_0x19e35a0/d .functor OR 1, L_0x19e32e0, L_0x19e3440, C4<0>, C4<0>; +L_0x19e35a0 .delay 1 (20,20,20) L_0x19e35a0/d; +v0x19624e0_0 .net "a", 0 0, L_0x19e3740; 1 drivers +v0x19625c0_0 .net "b", 0 0, L_0x19e24c0; 1 drivers +v0x1962680_0 .net "b0", 0 0, L_0x19e3860; 1 drivers +v0x1962750_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x19627f0_0 .net "carryout", 0 0, L_0x19e35a0; alias, 1 drivers +v0x1962900_0 .net "cout1", 0 0, L_0x19e32e0; 1 drivers +v0x19629c0_0 .net "cout2", 0 0, L_0x19e3440; 1 drivers +v0x1962a80_0 .net "subtract", 0 0, L_0x19e2b50; alias, 1 drivers +v0x1962b20_0 .net "sum", 0 0, L_0x19e3180; 1 drivers +v0x1962c50_0 .net "sumAB", 0 0, L_0x19e3070; 1 drivers +S_0x1962e10 .scope generate, "genblk1[24]" "genblk1[24]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1962fd0 .param/l "i" 0 2 47, +C4<011000>; +S_0x1963090 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1962e10; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e2f00/d .functor XOR 1, L_0x19e42c0, L_0x19e35a0, C4<0>, C4<0>; +L_0x19e2f00 .delay 1 (20,20,20) L_0x19e2f00/d; +L_0x19e3ad0/d .functor XOR 1, L_0x19e41a0, L_0x19e2f00, C4<0>, C4<0>; +L_0x19e3ad0 .delay 1 (20,20,20) L_0x19e3ad0/d; +L_0x19e3be0/d .functor XOR 1, L_0x19e3ad0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e3be0 .delay 1 (20,20,20) L_0x19e3be0/d; +L_0x19e3d40/d .functor AND 1, L_0x19e41a0, L_0x19e2f00, C4<1>, C4<1>; +L_0x19e3d40 .delay 1 (20,20,20) L_0x19e3d40/d; +L_0x19e3ea0/d .functor AND 1, L_0x19e3ad0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e3ea0 .delay 1 (20,20,20) L_0x19e3ea0/d; +L_0x19e4000/d .functor OR 1, L_0x19e3d40, L_0x19e3ea0, C4<0>, C4<0>; +L_0x19e4000 .delay 1 (20,20,20) L_0x19e4000/d; +v0x1963300_0 .net "a", 0 0, L_0x19e41a0; 1 drivers +v0x19633e0_0 .net "b", 0 0, L_0x19e2f00; 1 drivers +v0x19634a0_0 .net "b0", 0 0, L_0x19e42c0; 1 drivers +v0x1963570_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1963610_0 .net "carryout", 0 0, L_0x19e4000; alias, 1 drivers +v0x1963720_0 .net "cout1", 0 0, L_0x19e3d40; 1 drivers +v0x19637e0_0 .net "cout2", 0 0, L_0x19e3ea0; 1 drivers +v0x19638a0_0 .net "subtract", 0 0, L_0x19e35a0; alias, 1 drivers +v0x1963940_0 .net "sum", 0 0, L_0x19e3be0; 1 drivers +v0x1963a70_0 .net "sumAB", 0 0, L_0x19e3ad0; 1 drivers +S_0x1963c30 .scope generate, "genblk1[25]" "genblk1[25]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1963df0 .param/l "i" 0 2 47, +C4<011001>; +S_0x1963eb0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1963c30; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e3950/d .functor XOR 1, L_0x19e4d30, L_0x19e4000, C4<0>, C4<0>; +L_0x19e3950 .delay 1 (20,20,20) L_0x19e3950/d; +L_0x19e4540/d .functor XOR 1, L_0x19e4c10, L_0x19e3950, C4<0>, C4<0>; +L_0x19e4540 .delay 1 (20,20,20) L_0x19e4540/d; +L_0x19e4650/d .functor XOR 1, L_0x19e4540, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e4650 .delay 1 (20,20,20) L_0x19e4650/d; +L_0x19e47b0/d .functor AND 1, L_0x19e4c10, L_0x19e3950, C4<1>, C4<1>; +L_0x19e47b0 .delay 1 (20,20,20) L_0x19e47b0/d; +L_0x19e4910/d .functor AND 1, L_0x19e4540, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e4910 .delay 1 (20,20,20) L_0x19e4910/d; +L_0x19e4a70/d .functor OR 1, L_0x19e47b0, L_0x19e4910, C4<0>, C4<0>; +L_0x19e4a70 .delay 1 (20,20,20) L_0x19e4a70/d; +v0x1964120_0 .net "a", 0 0, L_0x19e4c10; 1 drivers +v0x1964200_0 .net "b", 0 0, L_0x19e3950; 1 drivers +v0x19642c0_0 .net "b0", 0 0, L_0x19e4d30; 1 drivers +v0x1964390_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1964430_0 .net "carryout", 0 0, L_0x19e4a70; alias, 1 drivers +v0x1964540_0 .net "cout1", 0 0, L_0x19e47b0; 1 drivers +v0x1964600_0 .net "cout2", 0 0, L_0x19e4910; 1 drivers +v0x19646c0_0 .net "subtract", 0 0, L_0x19e4000; alias, 1 drivers +v0x1964760_0 .net "sum", 0 0, L_0x19e4650; 1 drivers +v0x1964890_0 .net "sumAB", 0 0, L_0x19e4540; 1 drivers +S_0x1964a50 .scope generate, "genblk1[26]" "genblk1[26]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1964c10 .param/l "i" 0 2 47, +C4<011010>; +S_0x1964cd0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1964a50; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e43b0/d .functor XOR 1, L_0x19e57b0, L_0x19e4a70, C4<0>, C4<0>; +L_0x19e43b0 .delay 1 (20,20,20) L_0x19e43b0/d; +L_0x19e4fc0/d .functor XOR 1, L_0x19e5650, L_0x19e43b0, C4<0>, C4<0>; +L_0x19e4fc0 .delay 1 (20,20,20) L_0x19e4fc0/d; +L_0x19e50d0/d .functor XOR 1, L_0x19e4fc0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e50d0 .delay 1 (20,20,20) L_0x19e50d0/d; +L_0x19e5230/d .functor AND 1, L_0x19e5650, L_0x19e43b0, C4<1>, C4<1>; +L_0x19e5230 .delay 1 (20,20,20) L_0x19e5230/d; +L_0x19e5390/d .functor AND 1, L_0x19e4fc0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e5390 .delay 1 (20,20,20) L_0x19e5390/d; +L_0x19e54f0/d .functor OR 1, L_0x19e5230, L_0x19e5390, C4<0>, C4<0>; +L_0x19e54f0 .delay 1 (20,20,20) L_0x19e54f0/d; +v0x1964f40_0 .net "a", 0 0, L_0x19e5650; 1 drivers +v0x1965020_0 .net "b", 0 0, L_0x19e43b0; 1 drivers +v0x19650e0_0 .net "b0", 0 0, L_0x19e57b0; 1 drivers +v0x19651b0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1965250_0 .net "carryout", 0 0, L_0x19e54f0; alias, 1 drivers +v0x1965360_0 .net "cout1", 0 0, L_0x19e5230; 1 drivers +v0x1965420_0 .net "cout2", 0 0, L_0x19e5390; 1 drivers +v0x19654e0_0 .net "subtract", 0 0, L_0x19e4a70; alias, 1 drivers +v0x1965580_0 .net "sum", 0 0, L_0x19e50d0; 1 drivers +v0x19656b0_0 .net "sumAB", 0 0, L_0x19e4fc0; 1 drivers +S_0x1965870 .scope generate, "genblk1[27]" "genblk1[27]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1965a30 .param/l "i" 0 2 47, +C4<011011>; +S_0x1965af0 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1965870; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e4e20/d .functor XOR 1, L_0x19e61a0, L_0x19e54f0, C4<0>, C4<0>; +L_0x19e4e20 .delay 1 (20,20,20) L_0x19e4e20/d; +L_0x19e5a00/d .functor XOR 1, L_0x19e6080, L_0x19e4e20, C4<0>, C4<0>; +L_0x19e5a00 .delay 1 (20,20,20) L_0x19e5a00/d; +L_0x19e5ac0/d .functor XOR 1, L_0x19e5a00, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e5ac0 .delay 1 (20,20,20) L_0x19e5ac0/d; +L_0x19e5c20/d .functor AND 1, L_0x19e6080, L_0x19e4e20, C4<1>, C4<1>; +L_0x19e5c20 .delay 1 (20,20,20) L_0x19e5c20/d; +L_0x19e5d80/d .functor AND 1, L_0x19e5a00, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e5d80 .delay 1 (20,20,20) L_0x19e5d80/d; +L_0x19e5ee0/d .functor OR 1, L_0x19e5c20, L_0x19e5d80, C4<0>, C4<0>; +L_0x19e5ee0 .delay 1 (20,20,20) L_0x19e5ee0/d; +v0x1965d60_0 .net "a", 0 0, L_0x19e6080; 1 drivers +v0x1965e40_0 .net "b", 0 0, L_0x19e4e20; 1 drivers +v0x1965f00_0 .net "b0", 0 0, L_0x19e61a0; 1 drivers +v0x1965fd0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1966070_0 .net "carryout", 0 0, L_0x19e5ee0; alias, 1 drivers +v0x1966180_0 .net "cout1", 0 0, L_0x19e5c20; 1 drivers +v0x1966240_0 .net "cout2", 0 0, L_0x19e5d80; 1 drivers +v0x1966300_0 .net "subtract", 0 0, L_0x19e54f0; alias, 1 drivers +v0x19663a0_0 .net "sum", 0 0, L_0x19e5ac0; 1 drivers +v0x19664d0_0 .net "sumAB", 0 0, L_0x19e5a00; 1 drivers +S_0x1966690 .scope generate, "genblk1[28]" "genblk1[28]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1966850 .param/l "i" 0 2 47, +C4<011100>; +S_0x1966910 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x1966690; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e5850/d .functor XOR 1, L_0x19e6bf0, L_0x19e5ee0, C4<0>, C4<0>; +L_0x19e5850 .delay 1 (20,20,20) L_0x19e5850/d; +L_0x19e6450/d .functor XOR 1, L_0x19e6ad0, L_0x19e5850, C4<0>, C4<0>; +L_0x19e6450 .delay 1 (20,20,20) L_0x19e6450/d; +L_0x19e6510/d .functor XOR 1, L_0x19e6450, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e6510 .delay 1 (20,20,20) L_0x19e6510/d; +L_0x19e6670/d .functor AND 1, L_0x19e6ad0, L_0x19e5850, C4<1>, C4<1>; +L_0x19e6670 .delay 1 (20,20,20) L_0x19e6670/d; +L_0x19e67d0/d .functor AND 1, L_0x19e6450, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e67d0 .delay 1 (20,20,20) L_0x19e67d0/d; +L_0x19e6930/d .functor OR 1, L_0x19e6670, L_0x19e67d0, C4<0>, C4<0>; +L_0x19e6930 .delay 1 (20,20,20) L_0x19e6930/d; +v0x1966b80_0 .net "a", 0 0, L_0x19e6ad0; 1 drivers +v0x1966c60_0 .net "b", 0 0, L_0x19e5850; 1 drivers +v0x1966d20_0 .net "b0", 0 0, L_0x19e6bf0; 1 drivers +v0x1966df0_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1966e90_0 .net "carryout", 0 0, L_0x19e6930; alias, 1 drivers +v0x1966fa0_0 .net "cout1", 0 0, L_0x19e6670; 1 drivers +v0x1967060_0 .net "cout2", 0 0, L_0x19e67d0; 1 drivers +v0x1967120_0 .net "subtract", 0 0, L_0x19e5ee0; alias, 1 drivers +v0x19671c0_0 .net "sum", 0 0, L_0x19e6510; 1 drivers +v0x19672f0_0 .net "sumAB", 0 0, L_0x19e6450; 1 drivers +S_0x19674b0 .scope generate, "genblk1[29]" "genblk1[29]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1967670 .param/l "i" 0 2 47, +C4<011101>; +S_0x1967730 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19674b0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e6290/d .functor XOR 1, L_0x19e7630, L_0x19e6930, C4<0>, C4<0>; +L_0x19e6290 .delay 1 (20,20,20) L_0x19e6290/d; +L_0x19e63e0/d .functor XOR 1, L_0x19e7510, L_0x19e6290, C4<0>, C4<0>; +L_0x19e63e0 .delay 1 (20,20,20) L_0x19e63e0/d; +L_0x19e6f50/d .functor XOR 1, L_0x19e63e0, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e6f50 .delay 1 (20,20,20) L_0x19e6f50/d; +L_0x19e70b0/d .functor AND 1, L_0x19e7510, L_0x19e6290, C4<1>, C4<1>; +L_0x19e70b0 .delay 1 (20,20,20) L_0x19e70b0/d; +L_0x19e7210/d .functor AND 1, L_0x19e63e0, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e7210 .delay 1 (20,20,20) L_0x19e7210/d; +L_0x19e7370/d .functor OR 1, L_0x19e70b0, L_0x19e7210, C4<0>, C4<0>; +L_0x19e7370 .delay 1 (20,20,20) L_0x19e7370/d; +v0x19679a0_0 .net "a", 0 0, L_0x19e7510; 1 drivers +v0x1967a80_0 .net "b", 0 0, L_0x19e6290; 1 drivers +v0x1967b40_0 .net "b0", 0 0, L_0x19e7630; 1 drivers +v0x1967c10_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x1967cb0_0 .net "carryout", 0 0, L_0x19e7370; alias, 1 drivers +v0x1967dc0_0 .net "cout1", 0 0, L_0x19e70b0; 1 drivers +v0x1967e80_0 .net "cout2", 0 0, L_0x19e7210; 1 drivers +v0x1967f40_0 .net "subtract", 0 0, L_0x19e6930; alias, 1 drivers +v0x1967fe0_0 .net "sum", 0 0, L_0x19e6f50; 1 drivers +v0x1968110_0 .net "sumAB", 0 0, L_0x19e63e0; 1 drivers +S_0x19682d0 .scope generate, "genblk1[30]" "genblk1[30]" 2 47, 2 47 0, S_0x194ccf0; + .timescale 0 0; +P_0x1968490 .param/l "i" 0 2 47, +C4<011110>; +S_0x1968550 .scope module, "addermid" "FullAdder1bit" 2 48, 2 8 0, S_0x19682d0; + .timescale 0 0; + .port_info 0 /OUTPUT 1 "sum" + .port_info 1 /OUTPUT 1 "carryout" + .port_info 2 /INPUT 1 "a" + .port_info 3 /INPUT 1 "b0" + .port_info 4 /INPUT 1 "carryin" + .port_info 5 /INPUT 1 "subtract" +L_0x19e6ce0/d .functor XOR 1, L_0x19e8080, L_0x19e7370, C4<0>, C4<0>; +L_0x19e6ce0 .delay 1 (20,20,20) L_0x19e6ce0/d; +L_0x19e6e30/d .functor XOR 1, L_0x19e7f60, L_0x19e6ce0, C4<0>, C4<0>; +L_0x19e6e30 .delay 1 (20,20,20) L_0x19e6e30/d; +L_0x19e79a0/d .functor XOR 1, L_0x19e6e30, L_0x7f93f4ea0408, C4<0>, C4<0>; +L_0x19e79a0 .delay 1 (20,20,20) L_0x19e79a0/d; +L_0x19e7b00/d .functor AND 1, L_0x19e7f60, L_0x19e6ce0, C4<1>, C4<1>; +L_0x19e7b00 .delay 1 (20,20,20) L_0x19e7b00/d; +L_0x19e7c60/d .functor AND 1, L_0x19e6e30, L_0x7f93f4ea0408, C4<1>, C4<1>; +L_0x19e7c60 .delay 1 (20,20,20) L_0x19e7c60/d; +L_0x19e7dc0/d .functor OR 1, L_0x19e7b00, L_0x19e7c60, C4<0>, C4<0>; +L_0x19e7dc0 .delay 1 (20,20,20) L_0x19e7dc0/d; +v0x19687c0_0 .net "a", 0 0, L_0x19e7f60; 1 drivers +v0x19688a0_0 .net "b", 0 0, L_0x19e6ce0; 1 drivers +v0x1968960_0 .net "b0", 0 0, L_0x19e8080; 1 drivers +v0x1968a30_0 .net "carryin", 0 0, L_0x7f93f4ea0408; alias, 1 drivers +v0x195a750_0 .net "carryout", 0 0, L_0x19e7dc0; alias, 1 drivers +v0x195a860_0 .net "cout1", 0 0, L_0x19e7b00; 1 drivers +v0x1968ee0_0 .net "cout2", 0 0, L_0x19e7c60; 1 drivers +v0x1968f80_0 .net "subtract", 0 0, L_0x19e7370; alias, 1 drivers +v0x1969020_0 .net "sum", 0 0, L_0x19e79a0; 1 drivers +v0x1969150_0 .net "sumAB", 0 0, L_0x19e6e30; 1 drivers +S_0x19692f0 .scope generate, "genblk2[0]" "genblk2[0]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x195b030 .param/l "j" 0 2 57, +C4<00>; +L_0x19e7720/d .functor NOR 1, L_0x19ef060, L_0x19e77e0, C4<0>, C4<0>; +L_0x19e7720 .delay 1 (10,10,10) L_0x19e7720/d; +v0x19696c0_0 .net *"_s3", 0 0, L_0x19e77e0; 1 drivers +S_0x1969760 .scope generate, "genblk2[1]" "genblk2[1]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x1969970 .param/l "j" 0 2 57, +C4<01>; +L_0x19e8400/d .functor NOR 1, L_0x19e7720, L_0x19e8510, C4<0>, C4<0>; +L_0x19e8400 .delay 1 (10,10,10) L_0x19e8400/d; +v0x1969a30_0 .net *"_s3", 0 0, L_0x19e8510; 1 drivers +S_0x1969b10 .scope generate, "genblk2[2]" "genblk2[2]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x1969d20 .param/l "j" 0 2 57, +C4<010>; +L_0x19e8170/d .functor NOR 1, L_0x19e8400, L_0x19e8870, C4<0>, C4<0>; +L_0x19e8170 .delay 1 (10,10,10) L_0x19e8170/d; +v0x1969de0_0 .net *"_s3", 0 0, L_0x19e8870; 1 drivers +S_0x1969ec0 .scope generate, "genblk2[3]" "genblk2[3]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196a0d0 .param/l "j" 0 2 57, +C4<011>; +L_0x19e89a0/d .functor NOR 1, L_0x19e8170, L_0x19e8a60, C4<0>, C4<0>; +L_0x19e89a0 .delay 1 (10,10,10) L_0x19e89a0/d; +v0x196a190_0 .net *"_s3", 0 0, L_0x19e8a60; 1 drivers +S_0x196a270 .scope generate, "genblk2[4]" "genblk2[4]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196a480 .param/l "j" 0 2 57, +C4<0100>; +L_0x19e8670/d .functor NOR 1, L_0x19e89a0, L_0x19e87d0, C4<0>, C4<0>; +L_0x19e8670 .delay 1 (10,10,10) L_0x19e8670/d; +v0x196a540_0 .net *"_s3", 0 0, L_0x19e87d0; 1 drivers +S_0x196a620 .scope generate, "genblk2[5]" "genblk2[5]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196a830 .param/l "j" 0 2 57, +C4<0101>; +L_0x19e8e20/d .functor NOR 1, L_0x19e8670, L_0x19e8f30, C4<0>, C4<0>; +L_0x19e8e20 .delay 1 (10,10,10) L_0x19e8e20/d; +v0x196a8f0_0 .net *"_s3", 0 0, L_0x19e8f30; 1 drivers +S_0x196a9d0 .scope generate, "genblk2[6]" "genblk2[6]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196abe0 .param/l "j" 0 2 57, +C4<0110>; +L_0x19e8bc0/d .functor NOR 1, L_0x19e8e20, L_0x19e8d20, C4<0>, C4<0>; +L_0x19e8bc0 .delay 1 (10,10,10) L_0x19e8bc0/d; +v0x196aca0_0 .net *"_s3", 0 0, L_0x19e8d20; 1 drivers +S_0x196ad80 .scope generate, "genblk2[7]" "genblk2[7]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196af90 .param/l "j" 0 2 57, +C4<0111>; +L_0x19e8910/d .functor NOR 1, L_0x19e8bc0, L_0x19e94b0, C4<0>, C4<0>; +L_0x19e8910 .delay 1 (10,10,10) L_0x19e8910/d; +v0x196b050_0 .net *"_s3", 0 0, L_0x19e94b0; 1 drivers +S_0x196b130 .scope generate, "genblk2[8]" "genblk2[8]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196b340 .param/l "j" 0 2 57, +C4<01000>; +L_0x19e9090/d .functor NOR 1, L_0x19e8910, L_0x19e91a0, C4<0>, C4<0>; +L_0x19e9090 .delay 1 (10,10,10) L_0x19e9090/d; +v0x196b400_0 .net *"_s3", 0 0, L_0x19e91a0; 1 drivers +S_0x196b4e0 .scope generate, "genblk2[9]" "genblk2[9]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196b6f0 .param/l "j" 0 2 57, +C4<01001>; +L_0x19e9890/d .functor NOR 1, L_0x19e9090, L_0x19e99a0, C4<0>, C4<0>; +L_0x19e9890 .delay 1 (10,10,10) L_0x19e9890/d; +v0x196b7b0_0 .net *"_s3", 0 0, L_0x19e99a0; 1 drivers +S_0x196b890 .scope generate, "genblk2[10]" "genblk2[10]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196baa0 .param/l "j" 0 2 57, +C4<01010>; +L_0x19e9610/d .functor NOR 1, L_0x19e9890, L_0x19e9720, C4<0>, C4<0>; +L_0x19e9610 .delay 1 (10,10,10) L_0x19e9610/d; +v0x196bb60_0 .net *"_s3", 0 0, L_0x19e9720; 1 drivers +S_0x196bc40 .scope generate, "genblk2[11]" "genblk2[11]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196be50 .param/l "j" 0 2 57, +C4<01011>; +L_0x19e9d90/d .functor NOR 1, L_0x19e9610, L_0x19e9ea0, C4<0>, C4<0>; +L_0x19e9d90 .delay 1 (10,10,10) L_0x19e9d90/d; +v0x196bf10_0 .net *"_s3", 0 0, L_0x19e9ea0; 1 drivers +S_0x196bff0 .scope generate, "genblk2[12]" "genblk2[12]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196c200 .param/l "j" 0 2 57, +C4<01100>; +L_0x19e9b00/d .functor NOR 1, L_0x19e9d90, L_0x19e9c10, C4<0>, C4<0>; +L_0x19e9b00 .delay 1 (10,10,10) L_0x19e9b00/d; +v0x196c2c0_0 .net *"_s3", 0 0, L_0x19e9c10; 1 drivers +S_0x196c3a0 .scope generate, "genblk2[13]" "genblk2[13]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196c5b0 .param/l "j" 0 2 57, +C4<01101>; +L_0x19ea2a0/d .functor NOR 1, L_0x19e9b00, L_0x19ea3b0, C4<0>, C4<0>; +L_0x19ea2a0 .delay 1 (10,10,10) L_0x19ea2a0/d; +v0x196c670_0 .net *"_s3", 0 0, L_0x19ea3b0; 1 drivers +S_0x196c750 .scope generate, "genblk2[14]" "genblk2[14]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196c960 .param/l "j" 0 2 57, +C4<01110>; +L_0x19ea000/d .functor NOR 1, L_0x19ea2a0, L_0x19ea110, C4<0>, C4<0>; +L_0x19ea000 .delay 1 (10,10,10) L_0x19ea000/d; +v0x196ca20_0 .net *"_s3", 0 0, L_0x19ea110; 1 drivers +S_0x196cb00 .scope generate, "genblk2[15]" "genblk2[15]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196cd10 .param/l "j" 0 2 57, +C4<01111>; +L_0x19e9300/d .functor NOR 1, L_0x19ea000, L_0x19ea9d0, C4<0>, C4<0>; +L_0x19e9300 .delay 1 (10,10,10) L_0x19e9300/d; +v0x196cdd0_0 .net *"_s3", 0 0, L_0x19ea9d0; 1 drivers +S_0x196ceb0 .scope generate, "genblk2[16]" "genblk2[16]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196d0c0 .param/l "j" 0 2 57, +C4<010000>; +L_0x19ea510/d .functor NOR 1, L_0x19e9300, L_0x19ea620, C4<0>, C4<0>; +L_0x19ea510 .delay 1 (10,10,10) L_0x19ea510/d; +v0x196d180_0 .net *"_s3", 0 0, L_0x19ea620; 1 drivers +S_0x196d260 .scope generate, "genblk2[17]" "genblk2[17]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196d470 .param/l "j" 0 2 57, +C4<010001>; +L_0x19ead80/d .functor NOR 1, L_0x19ea510, L_0x19eae40, C4<0>, C4<0>; +L_0x19ead80 .delay 1 (10,10,10) L_0x19ead80/d; +v0x196d530_0 .net *"_s3", 0 0, L_0x19eae40; 1 drivers +S_0x196d610 .scope generate, "genblk2[18]" "genblk2[18]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196d820 .param/l "j" 0 2 57, +C4<010010>; +L_0x19eaac0/d .functor NOR 1, L_0x19ead80, L_0x19eabd0, C4<0>, C4<0>; +L_0x19eaac0 .delay 1 (10,10,10) L_0x19eaac0/d; +v0x196d8e0_0 .net *"_s3", 0 0, L_0x19eabd0; 1 drivers +S_0x196d9c0 .scope generate, "genblk2[19]" "genblk2[19]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196dbd0 .param/l "j" 0 2 57, +C4<010011>; +L_0x19eb220/d .functor NOR 1, L_0x19eaac0, L_0x19eb330, C4<0>, C4<0>; +L_0x19eb220 .delay 1 (10,10,10) L_0x19eb220/d; +v0x196dc90_0 .net *"_s3", 0 0, L_0x19eb330; 1 drivers +S_0x196dd70 .scope generate, "genblk2[20]" "genblk2[20]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196df80 .param/l "j" 0 2 57, +C4<010100>; +L_0x19eafa0/d .functor NOR 1, L_0x19eb220, L_0x19eb0b0, C4<0>, C4<0>; +L_0x19eafa0 .delay 1 (10,10,10) L_0x19eafa0/d; +v0x196e040_0 .net *"_s3", 0 0, L_0x19eb0b0; 1 drivers +S_0x196e120 .scope generate, "genblk2[21]" "genblk2[21]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196e330 .param/l "j" 0 2 57, +C4<010101>; +L_0x19eb720/d .functor NOR 1, L_0x19eafa0, L_0x19eb830, C4<0>, C4<0>; +L_0x19eb720 .delay 1 (10,10,10) L_0x19eb720/d; +v0x196e3f0_0 .net *"_s3", 0 0, L_0x19eb830; 1 drivers +S_0x196e4d0 .scope generate, "genblk2[22]" "genblk2[22]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196e6e0 .param/l "j" 0 2 57, +C4<010110>; +L_0x19eb490/d .functor NOR 1, L_0x19eb720, L_0x19eb5a0, C4<0>, C4<0>; +L_0x19eb490 .delay 1 (10,10,10) L_0x19eb490/d; +v0x196e7a0_0 .net *"_s3", 0 0, L_0x19eb5a0; 1 drivers +S_0x196e880 .scope generate, "genblk2[23]" "genblk2[23]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196ea90 .param/l "j" 0 2 57, +C4<010111>; +L_0x19ebc30/d .functor NOR 1, L_0x19eb490, L_0x19ebd40, C4<0>, C4<0>; +L_0x19ebc30 .delay 1 (10,10,10) L_0x19ebc30/d; +v0x196eb50_0 .net *"_s3", 0 0, L_0x19ebd40; 1 drivers +S_0x196ec30 .scope generate, "genblk2[24]" "genblk2[24]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196ee40 .param/l "j" 0 2 57, +C4<011000>; +L_0x19eb990/d .functor NOR 1, L_0x19ebc30, L_0x19ebaa0, C4<0>, C4<0>; +L_0x19eb990 .delay 1 (10,10,10) L_0x19eb990/d; +v0x196ef00_0 .net *"_s3", 0 0, L_0x19ebaa0; 1 drivers +S_0x196efe0 .scope generate, "genblk2[25]" "genblk2[25]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196f1f0 .param/l "j" 0 2 57, +C4<011001>; +L_0x19ec150/d .functor NOR 1, L_0x19eb990, L_0x19ec260, C4<0>, C4<0>; +L_0x19ec150 .delay 1 (10,10,10) L_0x19ec150/d; +v0x196f2b0_0 .net *"_s3", 0 0, L_0x19ec260; 1 drivers +S_0x196f390 .scope generate, "genblk2[26]" "genblk2[26]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196f5a0 .param/l "j" 0 2 57, +C4<011010>; +L_0x19ebea0/d .functor NOR 1, L_0x19ec150, L_0x19ebfb0, C4<0>, C4<0>; +L_0x19ebea0 .delay 1 (10,10,10) L_0x19ebea0/d; +v0x196f660_0 .net *"_s3", 0 0, L_0x19ebfb0; 1 drivers +S_0x196f740 .scope generate, "genblk2[27]" "genblk2[27]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196f950 .param/l "j" 0 2 57, +C4<011011>; +L_0x19ec680/d .functor NOR 1, L_0x19ebea0, L_0x19ec790, C4<0>, C4<0>; +L_0x19ec680 .delay 1 (10,10,10) L_0x19ec680/d; +v0x196fa10_0 .net *"_s3", 0 0, L_0x19ec790; 1 drivers +S_0x196faf0 .scope generate, "genblk2[28]" "genblk2[28]" 2 57, 2 57 0, S_0x194ccf0; + .timescale 0 0; +P_0x196fd00 .param/l "j" 0 2 57, +C4<011100>; +L_0x19ec3c0/d .functor NOR 1, L_0x19ec680, L_0x19ec4d0, C4<0>, C4<0>; +L_0x19ec3c0 .delay 1 (10,10,10) L_0x19ec3c0/d; +v0x196fdc0_0 .net *"_s3", 0 0, L_0x19ec4d0; 1 drivers + .scope S_0x190a3b0; +T_0 ; + %wait E_0x1907540; + %load/vec4 v0x18ce300_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_0.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_0.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_0.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 3; + %cmp/u; + %jmp/1 T_0.3, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_0.4, 6; + %dup/vec4; + %pushi/vec4 5, 0, 3; + %cmp/u; + %jmp/1 T_0.5, 6; + %dup/vec4; + %pushi/vec4 6, 0, 3; + %cmp/u; + %jmp/1 T_0.6, 6; + %dup/vec4; + %pushi/vec4 7, 0, 3; + %cmp/u; + %jmp/1 T_0.7, 6; + %jmp T_0.8; +T_0.0 ; + %pushi/vec4 0, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.1 ; + %pushi/vec4 0, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.2 ; + %pushi/vec4 1, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.3 ; + %pushi/vec4 2, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.4 ; + %pushi/vec4 3, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.5 ; + %pushi/vec4 3, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.6 ; + %pushi/vec4 4, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.7 ; + %pushi/vec4 4, 0, 3; + %store/vec4 v0x17b8fa0_0, 0, 3; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17b6f60_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x17bafe0_0, 0, 1; + %jmp T_0.8; +T_0.8 ; + %pop/vec4 1; + %jmp T_0; + .thread T_0, $push; + .scope S_0x17ef170; +T_1 ; + %wait E_0x17bf140; + %load/vec4 v0x194b220_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_1.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_1.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_1.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 3; + %cmp/u; + %jmp/1 T_1.3, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_1.4, 6; + %jmp T_1.5; +T_1.0 ; + %load/vec4 v0x194bac0_0; + %store/vec4 v0x194be40_0, 0, 32; + %load/vec4 v0x194ae50_0; + %store/vec4 v0x194acb0_0, 0, 1; + %load/vec4 v0x194c050_0; + %store/vec4 v0x194bee0_0, 0, 1; + %load/vec4 v0x194b6a0_0; + %store/vec4 v0x194b530_0, 0, 1; + %jmp T_1.5; +T_1.1 ; + %load/vec4 v0x194bd70_0; + %store/vec4 v0x194be40_0, 0, 32; + %load/vec4 v0x194b0b0_0; + %store/vec4 v0x194acb0_0, 0, 1; + %load/vec4 v0x194c290_0; + %store/vec4 v0x194bee0_0, 0, 1; + %load/vec4 v0x194b910_0; + %store/vec4 v0x194b530_0, 0, 1; + %jmp T_1.5; +T_1.2 ; + %load/vec4 v0x194bca0_0; + %store/vec4 v0x194be40_0, 0, 32; + %load/vec4 v0x194afc0_0; + %store/vec4 v0x194acb0_0, 0, 1; + %load/vec4 v0x194c1c0_0; + %store/vec4 v0x194bee0_0, 0, 1; + %load/vec4 v0x194b840_0; + %store/vec4 v0x194b530_0, 0, 1; + %jmp T_1.5; +T_1.3 ; + %load/vec4 v0x194bb60_0; + %store/vec4 v0x194be40_0, 0, 32; + %load/vec4 v0x194ad90_0; + %store/vec4 v0x194acb0_0, 0, 1; + %load/vec4 v0x194bf80_0; + %store/vec4 v0x194bee0_0, 0, 1; + %load/vec4 v0x194b5d0_0; + %store/vec4 v0x194b530_0, 0, 1; + %jmp T_1.5; +T_1.4 ; + %load/vec4 v0x194bc00_0; + %store/vec4 v0x194be40_0, 0, 32; + %load/vec4 v0x194aef0_0; + %store/vec4 v0x194acb0_0, 0, 1; + %load/vec4 v0x194c120_0; + %store/vec4 v0x194bee0_0, 0, 1; + %load/vec4 v0x194b770_0; + %store/vec4 v0x194b530_0, 0, 1; + %jmp T_1.5; +T_1.5 ; + %pop/vec4 1; + %jmp T_1; + .thread T_1, $push; + .scope S_0x17a8e00; +T_2 ; + %pushi/vec4 10, 0, 32; + %store/vec4 v0x1971a00_0, 0, 32; + %pushi/vec4 18, 0, 32; + %store/vec4 v0x1971ac0_0, 0, 32; + %vpi_call 2 312 "$display", v0x1971c00_0 {0 0 0}; + %end; + .thread T_2; +# The file index is used to find the file name in the following table. +:file_names 3; + "N/A"; + ""; + "alu.v"; diff --git a/alu.t.v b/alu.t.v index b20700e..12ea2a0 100644 --- a/alu.t.v +++ b/alu.t.v @@ -2,8 +2,11 @@ `include "alu.v" module testalu (); - reg operandA, operandB, command; - wire result, carryout, zero, overflow; + reg[31:0] operandA; + reg[31:0] operandB; + reg[2:0] command; + wire[31:0] result; + wire carryout, zero, overflow; ALU dut (result, carryout, zero, overflow, operandA, operandB, command); @@ -19,8 +22,8 @@ module testalu (); // Xor test cases // two inputs the same; two inputs totally different; two inputs with some bits corresponding - operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b010; - if (result !== 00000000000000000000000000000000) $display("Xor test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b010; + if (result !== 00000000000000000000000000000000) $display(result); //("Xor test case 1 (inputs same) failed"); operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b010; if (result !== 11111111111111111111111111111111) $display("Xor test case 2 (inputs different) failed"); operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b010; @@ -64,3 +67,5 @@ module testalu (); if (result !== 11111111111111111111111111111111) $display("Nor test case 2 (inputs different) failed"); operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b111; if (result !== 01011111010111110101111101011111) $display("Nor test case 3 (inputs somewhat corresponding) failed"); +end +endmodule // testalu \ No newline at end of file diff --git a/alu.v b/alu.v index a7e3f6b..5a971e5 100644 --- a/alu.v +++ b/alu.v @@ -102,7 +102,7 @@ wire subzero; wire suboverflow; //set invertB to 1 because subtraction is needed -AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1); +AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); assign result = 32'b0; @@ -225,7 +225,10 @@ input[31:0] operandB, input[2:0] muxindex, input invertB, input othercontrolsignal, -output reg[31:0] result +output reg[31:0] result, +output reg carryout, +output reg zero, +output reg overflow ); wire[31:0] resAddsub; wire[31:0] resXor; @@ -233,20 +236,37 @@ wire[31:0] resSlt; wire[31:0] resAndnand; wire[31:0] resNoror; - -AddSub dut0 (resAddsub, carryout, zero, overflow, operandA, operandB, invertB); -alu32bitxor dut1 (resXor, carryout, zero, overflow, operandA, operandB); -alu32bitslt dut2 (resSlt, carryout, zero, overflow, operandA, operandB); -alu32bitandn dut3 (resAndnand, carryout, zero, overflow, operandA, operandB, othercontrolsignal); -NOROR dut4 (resNoror, carryout, zero, overflow, operandA, operandB, othercontrolsignal); +wire carryoutAddSub; +wire carryoutXor; +wire carryoutSLT; +wire carryoutAND; +wire carryoutOR; + +wire zeroAddSub; +wire zeroXor; +wire zeroSLT; +wire zeroAND; +wire zeroOR; + +wire overflowAddSub; +wire overflowXor; +wire overflowSLT; +wire overflowAND; +wire overflowOR; + +AddSub dut0 (resAddsub, carryoutAddSub, zeroAddSub, overflowAddSub, operandA, operandB, invertB); +alu32bitxor dut1 (resXor, carryoutXor, zeroXor, overflowXor, operandA, operandB); +alu32bitslt dut2 (resSlt, carryoutSLT, zeroSLT, overflowSLT, operandA, operandB); +alu32bitandn dut3 (resAndnand, carryoutAND, zeroAND, overflowAND, operandA, operandB, othercontrolsignal); +NOROR dut4 (resNoror, carryoutOR, zeroOR, overflowOR, operandA, operandB, othercontrolsignal); always @(muxindex) begin case(muxindex) - `ADDSUB: begin result = resAddsub; end - `XOR: begin result = resXor; end - `SLT: begin result = resSlt; end - `ANDNAND: begin result = resAndnand; end - `NOROR: begin result = resNoror; end + `ADDSUB: begin result = resAddsub; carryout = carryoutAddSub; zero = zeroAddSub; overflow = overflowAddSub; end + `XOR: begin result = resXor; carryout = carryoutXor; zero = zeroXor; overflow = overflowXor; end + `SLT: begin result = resSlt; carryout = carryoutSLT; zero = zeroSLT; overflow = overflowSLT; end + `ANDNAND: begin result = resAndnand; carryout = carryoutAND; zero = zeroAND; overflow = overflowAND; end + `NOROR: begin result = resNoror; carryout = carryoutOR; zero = zeroOR; overflow = overflowOR; end endcase end endmodule @@ -262,5 +282,34 @@ input[31:0] operandA, input[31:0] operandB, input[2:0] command ); - // Your code here + +wire[2:0] muxindex; +wire invertB; +wire othercontrolsignal; + +ALUcontrolLUT controlLookup (muxindex, invertB, othercontrolsignal, command); + +ALUoutputLUT outputLookup (operandA, operandB, muxindex, invertB, othercontrolsignal, result, carryout, zero, overflow); endmodule + + module TEST(); + reg[31:0] operandA; + reg[31:0] operandB; +// reg[2:0] command; + + wire[31:0] result; + wire carryout; + wire zero; + wire overflow; + + AddSub add(result, carryout, zero, overflow, operandA, operandB, 1'b0); +// ALU alu(result, carryout, zero, overflow, operandA, operandB, command); + initial begin + operandA = 32'd10; + operandB = 32'd18; + //command = 'b001; + + $display(result); + + end + endmodule \ No newline at end of file diff --git a/makefile b/makefile index 4127e9f..b8795fe 100644 --- a/makefile +++ b/makefile @@ -1,2 +1,2 @@ -alu: alu.v +alu: alu.v alu.t.v iverilog -o alu alu.v \ No newline at end of file From f2b6cc478caedeee3428de4fcfaf0ce535ea2b33 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Wed, 11 Oct 2017 21:03:38 -0400 Subject: [PATCH 14/26] Fix subtractor and remove for loop. --- alu.v | 13 +++++-------- 1 file changed, 5 insertions(+), 8 deletions(-) diff --git a/alu.v b/alu.v index 5a971e5..80489a9 100644 --- a/alu.v +++ b/alu.v @@ -47,18 +47,13 @@ genvar i; for (i = 1; i < 31; i = i + 1) begin FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], subtract, carryoutmid[i- 1]); end -FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryout); +FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryoutmid[30]); `XOR overflowdetection(overflow, carryoutmid[30], carryout); `NOR zeroinit(zeromid[0], result[0], result[1]); -genvar j; -for (j = 0; j < 29; j = j + 1) begin - `NOR (zeromid[j + 1], zeromid[j], result[j + 1]); -end - -`NOR (zero, zeromid[30], result[31]); +`NOR norall(zero, result[31:0], 32'b0); endmodule module alu32bitxor @@ -103,10 +98,12 @@ wire suboverflow; //set invertB to 1 because subtraction is needed AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); +// AddSub subtractor (result, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); assign result = 32'b0; -`XOR final (result[0], subresult[0], suboverflow); +// `XOR final (result[0], subresult[31], suboverflow); +`XOR final (result[0], subresult[31] , subresult[31]); //doesn't need to set a flag assign carryout = 0; From dbc9ca99b4321829a890e05fef252669d9c3b976 Mon Sep 17 00:00:00 2001 From: Prava Dhulipalla Date: Wed, 11 Oct 2017 21:26:55 -0400 Subject: [PATCH 15/26] ALU almost implemented --- alu.v | 171 ++++++++++++++++++++++++++++++++++++++-------------------- 1 file changed, 114 insertions(+), 57 deletions(-) diff --git a/alu.v b/alu.v index a7e3f6b..bbf80c8 100644 --- a/alu.v +++ b/alu.v @@ -3,7 +3,6 @@ `define AND and #20 `define XOR xor #20 - // Implementation of a 1-bit full adder. module FullAdder1bit ( @@ -44,21 +43,19 @@ wire carryoutmid[30:0]; wire zeromid[30:0]; FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); genvar i; -for (i = 1; i < 31; i = i + 1) begin - FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], subtract, carryoutmid[i- 1]); -end -FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryout); +generate + for (i = 1; i < 31; i = i + 1) + begin: ripple + FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], subtract, carryoutmid[i- 1]); + end +endgenerate +FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryoutmid[30]); `XOR overflowdetection(overflow, carryoutmid[30], carryout); `NOR zeroinit(zeromid[0], result[0], result[1]); -genvar j; -for (j = 0; j < 29; j = j + 1) begin - `NOR (zeromid[j + 1], zeromid[j], result[j + 1]); -end - -`NOR (zero, zeromid[30], result[31]); +`NOR norall(zero, result[31:0], 32'b0); endmodule module alu32bitxor @@ -80,9 +77,9 @@ generate endgenerate //doesn't need to set a flag -assign carryout = 0; -assign zero = 0; -assign overflow = 0; +// assign carryout = 0; +// assign zero = 0; +// assign overflow = 0; endmodule @@ -104,9 +101,9 @@ wire suboverflow; //set invertB to 1 because subtraction is needed AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1); -assign result = 32'b0; +assign result[31:1] = 31'b0; -`XOR final (result[0], subresult[0], suboverflow); +`XOR final (result[0], subresult[31], suboverflow); //doesn't need to set a flag assign carryout = 0; @@ -163,14 +160,20 @@ input invertnor wire norres[31:0]; genvar i; -for (i = 0; i < 32; i = i + 1) begin +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple0 `NOR norgate (norres[i], operandA[i], operandB[i]); -end + end +endgenerate genvar j; -for (j = 0; j < 32; j = j + 1) begin +generate + for (j = 0; j < 32; j = j + 1) + begin: ripple1 `XOR final (result[j], invertnor, norres[j]); -end + end +endgenerate buf setcarryout (carryout, 'b0); buf setzero (zero, 'b0); @@ -178,14 +181,14 @@ buf setoverflow (overflow, 'b0); endmodule // defining command numbers -`define ADD 3'd0 -`define SUB 3'd1 -`define XOR 3'd2 -`define SLT 3'd3 -`define AND 3'd4 -`define NAND 3'd5 -`define NOR 3'd6 -`define OR 3'd7 +`define CADD 3'd0 +`define CSUB 3'd1 +`define CXOR 3'd2 +`define CSLT 3'd3 +`define CAND 3'd4 +`define CNAND 3'd5 +`define CNOR 3'd6 +`define COR 3'd7 // implementing a control logic LUT to determine ALU operation module ALUcontrolLUT @@ -198,24 +201,24 @@ input[2:0] ALUcommand always @(ALUcommand) begin case (ALUcommand) - `ADD: begin muxindex = 0; invertB=0; othercontrolsignal = 0; end - `SUB: begin muxindex = 0; invertB=1; othercontrolsignal = 0; end - `XOR: begin muxindex = 1; invertB=0; othercontrolsignal = 0; end - `SLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end - `AND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end - `NAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end - `NOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end - `OR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end + `CADD: begin muxindex = 0; invertB=0; othercontrolsignal = 0; end + `CSUB: begin muxindex = 0; invertB=1; othercontrolsignal = 0; end + `CXOR: begin muxindex = 1; invertB=0; othercontrolsignal = 0; end + `CSLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end + `CAND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end + `CNAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end + `CNOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end + `COR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end endcase end endmodule // defining macros for second LUT -`define ADDSUB 3'd0 -`define XOR 3'd1 -`define SLT 3'd2 -`define ANDNAND 3'd3 -`define NOROR 3'd4 +`define MADDSUB 3'd0 +`define MXOR 3'd1 +`define MSLT 3'd2 +`define MANDNAND 3'd3 +`define MNOROR 3'd4 // decides which operation to take based on the results of the previous look up table module ALUoutputLUT @@ -225,7 +228,10 @@ input[31:0] operandB, input[2:0] muxindex, input invertB, input othercontrolsignal, -output reg[31:0] result +output reg[31:0] result, +output reg carryout, +output reg zero, +output reg overflow ); wire[31:0] resAddsub; wire[31:0] resXor; @@ -233,22 +239,41 @@ wire[31:0] resSlt; wire[31:0] resAndnand; wire[31:0] resNoror; +wire carryoutAddSub; +wire carryoutXor; +wire carryoutSLT; +wire carryoutAND; +wire carryoutOR; + +wire zeroAddSub; +wire zeroXor; +wire zeroSLT; +wire zeroAND; +wire zeroOR; + +wire overflowAddSub; +wire overflowXor; +wire overflowSLT; +wire overflowAND; +wire overflowOR; + +AddSub dut0 (resAddsub, carryoutAddSub, zeroAddSub, overflowAddSub, operandA, operandB, invertB); +alu32bitxor dut1 (resXor, carryoutXor, zeroXor, overflowXor, operandA, operandB); +alu32bitslt dut2 (resSlt, carryoutSLT, zeroSLT, overflowSLT, operandA, operandB); +alu32bitandn dut3 (resAndnand, carryoutAND, zeroAND, overflowAND, operandA, operandB, othercontrolsignal); +NOROR dut4 (resNoror, carryoutOR, zeroOR, overflowOR, operandA, operandB, othercontrolsignal); + +always @(muxindex or resAddsub or resXor or resSlt or resAndnand or resNoror) begin + case(muxindex) + `MADDSUB: begin result = resAddsub; carryout = carryoutAddSub; zero = zeroAddSub; overflow = overflowAddSub; end + `MXOR: begin result = resXor; carryout = carryoutXor; zero = zeroXor; overflow = overflowXor; end + `MSLT: begin result = resSlt; carryout = carryoutSLT; zero = zeroSLT; overflow = overflowSLT; end + `MANDNAND: begin result = resAndnand; carryout = carryoutAND; zero = zeroAND; overflow = overflowAND; end + `MNOROR: begin result = resNoror; carryout = carryoutOR; zero = zeroOR; overflow = overflowOR; end + endcase +end -AddSub dut0 (resAddsub, carryout, zero, overflow, operandA, operandB, invertB); -alu32bitxor dut1 (resXor, carryout, zero, overflow, operandA, operandB); -alu32bitslt dut2 (resSlt, carryout, zero, overflow, operandA, operandB); -alu32bitandn dut3 (resAndnand, carryout, zero, overflow, operandA, operandB, othercontrolsignal); -NOROR dut4 (resNoror, carryout, zero, overflow, operandA, operandB, othercontrolsignal); - always @(muxindex) begin - case(muxindex) - `ADDSUB: begin result = resAddsub; end - `XOR: begin result = resXor; end - `SLT: begin result = resSlt; end - `ANDNAND: begin result = resAndnand; end - `NOROR: begin result = resNoror; end - endcase - end endmodule //module to run the alu @@ -262,5 +287,37 @@ input[31:0] operandA, input[31:0] operandB, input[2:0] command ); - // Your code here + +wire[2:0] muxindex; +wire invertB; +wire othercontrolsignal; + +ALUcontrolLUT controlLookup (muxindex, invertB, othercontrolsignal, command); + +ALUoutputLUT outputLookup (operandA, operandB, muxindex, invertB, othercontrolsignal, result, carryout, zero, overflow); + +endmodule + + +module TEST(); + reg[31:0] operandA; + reg[31:0] operandB; + reg control; + reg[2:0] command; + + wire[31:0] result; + wire carryout; + wire zero; + wire overflow; + + ALU alu(result, carryout, zero, overflow, operandA, operandB, command); + + initial begin + operandA = 32'b10101010101010101010101010101010; operandB = 32'b01000000000000000000000000001010; command = 3'b111; #100000 + + $displayb("operandA: %b", operandA); + $displayb("operandB: %b", operandB); + $displayb("result: %b", result); + + end endmodule From 2fc4418125c3d84115f602a229cec8dde8768609 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Wed, 11 Oct 2017 21:43:50 -0400 Subject: [PATCH 16/26] Fix inverting or bug. --- alu.v | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/alu.v b/alu.v index 865124a..44286be 100644 --- a/alu.v +++ b/alu.v @@ -158,7 +158,7 @@ output zero, output overflow, input[31:0] operandA, input[31:0] operandB, -input invertnor +input invertor ); wire norres[31:0]; @@ -174,7 +174,7 @@ genvar j; generate for (j = 0; j < 32; j = j + 1) begin: ripple1 - `XOR final (result[j], invertnor, norres[j]); + `XOR final (result[j], invertor, norres[j]); end endgenerate @@ -210,8 +210,8 @@ input[2:0] ALUcommand `CSLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end `CAND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end `CNAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end - `CNOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end - `COR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end + `CNOR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end + `COR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end endcase end endmodule From ecda66b8bb8d554d8c89c3ef78bfa48f3bf1326f Mon Sep 17 00:00:00 2001 From: prava-d Date: Thu, 12 Oct 2017 08:26:33 -0400 Subject: [PATCH 17/26] Almost complete test bench Still needs some work --- alu.t.v | 100 +++++++++++++++++++++++++++++++++++--------------------- 1 file changed, 62 insertions(+), 38 deletions(-) diff --git a/alu.t.v b/alu.t.v index 12ea2a0..53bc438 100644 --- a/alu.t.v +++ b/alu.t.v @@ -2,70 +2,94 @@ `include "alu.v" module testalu (); - reg[31:0] operandA; - reg[31:0] operandB; - reg[2:0] command; - wire[31:0] result; - wire carryout, zero, overflow; +reg[31:0] operandA; +reg[31:0] operandB; +reg[2:0] command; - ALU dut (result, carryout, zero, overflow, operandA, operandB, command); +wire[31:0] result; +wire carryout; +wire zero; +wire overflow; + + ALU alu(result, carryout, zero, overflow, operandA, operandB, command); initial begin $dumpfile("alu.vcd"); // add test cases // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 - + operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000000; command = 3'b000; #1000 + if (result !== 32'b11100000000000000000000000000000) $display("Add test case 1 (Cout = 0, Overflow = 0) result failed"); + if (carryout !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Cout failed"); + if (overflow !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Overflow failed"); + operandA = 32'b00000000000000000000000000001110; operandB = 32'b00000000000000000000000000001100; command = 3'b000; #1000 + if (result !== 32'b10100000000000000000000000000000) $displayb(result);//("Add test case 2 (Cout = 1, Overflow = 0) result failed"); + if (carryout !== 1) $displayb(carryout);//("Add test case 1 (Cout = 1, Overflow = 0) Cout failed"); + if (overflow !== 0) $display("Add test case 1 (Cout = 1, Overflow = 0) Overflow failed"); // subtract test cases // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 // Xor test cases // two inputs the same; two inputs totally different; two inputs with some bits corresponding - operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b010; - if (result !== 00000000000000000000000000000000) $display(result); //("Xor test case 1 (inputs same) failed"); - operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b010; - if (result !== 11111111111111111111111111111111) $display("Xor test case 2 (inputs different) failed"); - operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b010; - if (result !== 1111000011110000111100001111000) $display("Xor test case 3 (inputs somewhat corresponding) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b010; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("Xor test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b10101010101010101010101010101010; command = 3'b010; #1000 + if (result !== 32'b11111111111111111111111111111111) $display("Xor test case 2 (inputs different) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b010; #1000 + if (result !== 32'b00001111000011110000111100001111) $display("Xor test case 3 (inputs somewhat corresponding) failed"); // SLT test cases // inputs greater than, less than, equal to; for positive, negatives, and combinations of each + operandA = 32'd5000; operandB = 32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("SLT test case 1 (postive greater) failed"); + operandA = 32'd50; operandB = 32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000001) $display("SLT test case 1 (postive less) failed"); + operandA = 32'd100; operandB = 32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("SLT test case 3 (postive equal) failed"); + operandA = -32'd5000; operandB = -32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000001) $display("SLT test case 4 (negative less) failed"); + operandA = -32'd100; operandB = -32'd5000; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("SLT test case 5 (negative greater) failed"); + operandA = -32'd100; operandB = 32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000001) $display("SLT test case 6 (negative and positive) failed"); + operandA = 32'd100; operandB = -32'd100; command = 3'b011; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("SLT test case 7 (positive and negative) failed"); // And test cases // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding - operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b100; - if (result !== 01010101010101010101010101010101) $display("And test case 1 (inputs same) failed"); - operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b100; - if (result !== 00000000000000000000000000000000) $display("And test case 2 (inputs different) failed"); - operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b100; - if (result !== 00001111000011110000111100001111) $display("And test case 3 (inputs somewhat corresponding) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b100; #1000 + if (result !== 32'b01010101010101010101010101010101) $display("And test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b10101010101010101010101010101010; command = 3'b100; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("And test case 2 (inputs different) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b100; #1000 + if (result !== 32'b01010000010100000101000001010000) $display("And test case 3 (inputs somewhat corresponding) failed"); // Nand test cases // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding - operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b101; - if (result !== 10101010101010101010101010101010) $display("Nand test case 1 (inputs same) failed"); - operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b101; - if (result !== 11111111111111111111111111111111) $display("Nand test case 2 (inputs different) failed"); - operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b101; - if (result !== 11110000111100001111000011110000) $display("Nand test case 3 (inputs somewhat corresponding) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b101; #1000 + if (result !== 32'b10101010101010101010101010101010) $display("Nand test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b10101010101010101010101010101010; command = 3'b101; #1000 + if (result !== 32'b11111111111111111111111111111111) $display("Nand test case 2 (inputs different) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b101; #1000 + if (result !== 32'b10101111101011111010111110101111) $displayb("Nand test case 3 (inputs somewhat corresponding) failed"); // Nor test cases // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding - operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b110; - if (result !== 10101010101010101010101010101010) $display("Nor test case 1 (inputs same) failed"); - operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b110; - if (result !== 00000000000000000000000000000000) $display("Nor test case 2 (inputs different) failed"); - operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b110; - if (result !== 10100000101000001010000010100000) $display("Nor test case 3 (inputs somewhat corresponding) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b111; #1000 + if (result !== 32'b10101010101010101010101010101010) $display("Nor test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b10101010101010101010101010101010; command = 3'b111; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("Nor test case 2 (inputs different) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b111; #1000 + if (result !== 32'b10100000101000001010000010100000) $display("Nor test case 3 (inputs somewhat corresponding) failed"); // Or test cases // two inputs exactly the same; two inputs totally different; two inputs with some bits corresponding - operandA = 01010101010101010101010101010101; operandB = 01010101010101010101010101010101; command = 3'b111; - if (result !== 01010101010101010101010101010101) $display("Nor test case 1 (inputs same) failed"); - operandA = 01010101010101010101010101010101; operandB = 10101010101010101010101010101010; command = 3'b111; - if (result !== 11111111111111111111111111111111) $display("Nor test case 2 (inputs different) failed"); - operandA = 01010101010101010101010101010101; operandB = 01011010010110100101101001011010; command = 3'b111; - if (result !== 01011111010111110101111101011111) $display("Nor test case 3 (inputs somewhat corresponding) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01010101010101010101010101010101; command = 3'b110; #1000 + if (result !== 32'b01010101010101010101010101010101) $display("Or test case 1 (inputs same) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b10101010101010101010101010101010; command = 3'b110; #1000 + if (result !== 32'b11111111111111111111111111111111) $display("Or test case 2 (inputs different) failed"); + operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b110; #1000 + if (result !== 32'b01011111010111110101111101011111) $display("Or test case 3 (inputs somewhat corresponding) failed"); end -endmodule // testalu \ No newline at end of file +endmodule // testalu From 1eeedeb9115d5d438522bfe600e38f397bdbffde Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 12 Oct 2017 08:53:27 -0400 Subject: [PATCH 18/26] Fix switched operands. --- alu.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/alu.v b/alu.v index 44286be..2397c20 100644 --- a/alu.v +++ b/alu.v @@ -47,11 +47,11 @@ genvar i; generate for (i = 1; i < 31; i = i + 1) begin: ripple - FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], subtract, carryoutmid[i- 1]); + FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], carryoutmid[i- 1], subtract); end endgenerate -FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], subtract, carryoutmid[30]); +FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carryoutmid[30], subtract); `XOR overflowdetection(overflow, carryoutmid[30], carryout); From 26999f0bdcc84872fe9eb6f685a8b8e4830e98e9 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 12 Oct 2017 20:01:39 -0400 Subject: [PATCH 19/26] Debugging. --- alu.t.v | 9 ++++++--- alu.v | 55 +++++++++++++++++++++++++++++++------------------------ 2 files changed, 37 insertions(+), 27 deletions(-) diff --git a/alu.t.v b/alu.t.v index 53bc438..73b4103 100644 --- a/alu.t.v +++ b/alu.t.v @@ -18,13 +18,16 @@ wire overflow; // add test cases // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 - operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000000; command = 3'b000; #1000 + // operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000111; command = 3'b000; #100000 + operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000000; command = 3'b000; #100000 + $display("command: %b", command); + $display("result: %b, carryout: %b, zero: %b overflow: %b", result, carryout, zero, overflow); if (result !== 32'b11100000000000000000000000000000) $display("Add test case 1 (Cout = 0, Overflow = 0) result failed"); if (carryout !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Cout failed"); if (overflow !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Overflow failed"); operandA = 32'b00000000000000000000000000001110; operandB = 32'b00000000000000000000000000001100; command = 3'b000; #1000 - if (result !== 32'b10100000000000000000000000000000) $displayb(result);//("Add test case 2 (Cout = 1, Overflow = 0) result failed"); - if (carryout !== 1) $displayb(carryout);//("Add test case 1 (Cout = 1, Overflow = 0) Cout failed"); + if (result !== 32'b10100000000000000000000000000000) $display("result case 2: %b", result);//("Add test case 2 (Cout = 1, Overflow = 0) result failed"); + if (carryout !== 1) $display("carryout case 2: %b ", carryout);//("Add test case 1 (Cout = 1, Overflow = 0) Cout failed"); if (overflow !== 0) $display("Add test case 1 (Cout = 1, Overflow = 0) Overflow failed"); // subtract test cases diff --git a/alu.v b/alu.v index 2397c20..84fec48 100644 --- a/alu.v +++ b/alu.v @@ -2,6 +2,7 @@ `define OR or #20 `define AND and #20 `define XOR xor #20 +`define NOT not #10 // Implementation of a 1-bit full adder. module FullAdder1bit @@ -39,10 +40,15 @@ input[31:0] operandB, input subtract ); -wire carryoutmid[30:0]; -wire zeromid[30:0]; +wire[30:0] carryoutmid; +wire nzero; + FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); +initial begin + $display("comid: %b", subtract); +end + genvar i; generate for (i = 1; i < 31; i = i + 1) @@ -55,9 +61,9 @@ FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carr `XOR overflowdetection(overflow, carryoutmid[30], carryout); -`NOR zeroinit(zeromid[0], result[0], result[1]); +`OR orbits(nzero, result[31:0], 32'b0); +`NOT norbits(zero, nzero); -`NOR norall(zero, result[31:0], 32'b0); endmodule module alu32bitxor @@ -210,8 +216,8 @@ input[2:0] ALUcommand `CSLT: begin muxindex = 2; invertB=0; othercontrolsignal = 0; end `CAND: begin muxindex = 3; invertB=0; othercontrolsignal = 0; end `CNAND: begin muxindex = 3; invertB=0; othercontrolsignal = 1; end - `CNOR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end - `COR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end + `CNOR: begin muxindex = 4; invertB=0; othercontrolsignal = 1; end + `COR: begin muxindex = 4; invertB=0; othercontrolsignal = 0; end endcase end endmodule @@ -260,7 +266,8 @@ wire overflowSLT; wire overflowAND; wire overflowOR; -AddSub dut0 (resAddsub, carryoutAddSub, zeroAddSub, overflowAddSub, operandA, operandB, invertB); + +AddSub #1000 dut0 (resAddsub, carryoutAddSub, zeroAddSub, overflowAddSub, operandA, operandB, invertB); alu32bitxor dut1 (resXor, carryoutXor, zeroXor, overflowXor, operandA, operandB); alu32bitslt dut2 (resSlt, carryoutSLT, zeroSLT, overflowSLT, operandA, operandB); alu32bitandn dut3 (resAndnand, carryoutAND, zeroAND, overflowAND, operandA, operandB, othercontrolsignal); @@ -301,24 +308,24 @@ ALUoutputLUT outputLookup (operandA, operandB, muxindex, invertB, othercontrolsi endmodule -module TEST(); - reg[31:0] operandA; - reg[31:0] operandB; - reg control; - reg[2:0] command; +// module TEST(); +// reg[31:0] operandA; +// reg[31:0] operandB; +// reg control; +// reg[2:0] command; - wire[31:0] result; - wire carryout; - wire zero; - wire overflow; +// wire[31:0] result; +// wire carryout; +// wire zero; +// wire overflow; - ALU alu(result, carryout, zero, overflow, operandA, operandB, command); +// ALU alu(result, carryout, zero, overflow, operandA, operandB, command); - initial begin - operandA = 32'b10101010101010101010101010101010; operandB = 32'b01000000000000000000000000001010; command = 3'b111; #100000 - $displayb("operandA: %b", operandA); - $displayb("operandB: %b", operandB); - $displayb("result: %b", result); - end +// initial begin +// operandA = 32'b10101010101010101010101010101010; operandB = 32'b01000000000000000000000000001010; command = 3'b111; #100000 +// $displayb("operandA: %b", operandA); +// $displayb("operandB: %b", operandB); +// $displayb("result: %b", result); +// end -endmodule +// endmodule From 5b2b8d7c80f26121b06bd935ae8a847d7b204c60 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Thu, 12 Oct 2017 23:18:59 -0400 Subject: [PATCH 20/26] Add comments --- alu.v | 32 ++++++++++++++------------------ 1 file changed, 14 insertions(+), 18 deletions(-) diff --git a/alu.v b/alu.v index 84fec48..ae2bd3d 100644 --- a/alu.v +++ b/alu.v @@ -4,7 +4,7 @@ `define XOR xor #20 `define NOT not #10 -// Implementation of a 1-bit full adder. +// Implementation of a 1-bit full adder. When true, subtract inverts input B. module FullAdder1bit ( output sum, @@ -29,6 +29,7 @@ module FullAdder1bit `OR orcarries(carryout, cout1, cout2); endmodule +// Implementation of a 32 bit adder/subtractor. module AddSub ( output[31:0] result, @@ -45,10 +46,6 @@ wire nzero; FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); -initial begin - $display("comid: %b", subtract); -end - genvar i; generate for (i = 1; i < 31; i = i + 1) @@ -66,6 +63,7 @@ FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carr endmodule +// Implementation of a 32 bit XOR operation. module alu32bitxor ( output[31:0] result, @@ -84,14 +82,9 @@ generate end endgenerate -//doesn't need to set a flag -// assign carryout = 0; -// assign zero = 0; -// assign overflow = 0; - endmodule -//the set-less-than command, uses the 32-bit subtractor +// Implementation of the set-less-than operation. Uses the 32-bit subtractor. module alu32bitslt ( output[31:0] result, @@ -106,9 +99,8 @@ wire subcarryout; wire subzero; wire suboverflow; -//set invertB to 1 because subtraction is needed +// Set invertB to 1 because subtraction is needed. AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); -// AddSub subtractor (result, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); assign result[31:1] = 31'b0; @@ -156,6 +148,9 @@ assign overflow = 0; endmodule +// Implementation of a 32 bit NOR or OR operation. +// When 1, the invertnor input indicates a NOR operation. +// When invertor is 0, this module performs an OR operation. module NOROR ( output[31:0] result, @@ -189,7 +184,7 @@ buf setzero (zero, 'b0); buf setoverflow (overflow, 'b0); endmodule -// defining command numbers +// Definine command numbers `define CADD 3'd0 `define CSUB 3'd1 `define CXOR 3'd2 @@ -199,7 +194,7 @@ endmodule `define CNOR 3'd6 `define COR 3'd7 -// implementing a control logic LUT to determine ALU operation +// Implementation of a control logic LUT to determine ALU operation. module ALUcontrolLUT ( output reg[2:0] muxindex, @@ -222,14 +217,15 @@ input[2:0] ALUcommand end endmodule -// defining macros for second LUT +// Define macros for second LUT. `define MADDSUB 3'd0 `define MXOR 3'd1 `define MSLT 3'd2 `define MANDNAND 3'd3 `define MNOROR 3'd4 -// decides which operation to take based on the results of the previous look up table +// Decide which operation results to output based on the results of the index +// generated by the control LUT. module ALUoutputLUT ( input[31:0] operandA, @@ -285,7 +281,7 @@ end endmodule -//module to run the alu +// Implementation of the ALU in it's entirety. module ALU ( output[31:0] result, From f8c1335acccec1101d76104e38c73e6f89f54728 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Fri, 13 Oct 2017 00:46:33 -0400 Subject: [PATCH 21/26] Add test cases. --- alu.t.v | 65 ++++++++++++++++++++++++++++++++++++++++++++------------- 1 file changed, 51 insertions(+), 14 deletions(-) diff --git a/alu.t.v b/alu.t.v index 73b4103..a84b461 100644 --- a/alu.t.v +++ b/alu.t.v @@ -15,23 +15,59 @@ wire overflow; initial begin $dumpfile("alu.vcd"); - + $dumpvars(); // add test cases - // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 - // operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000111; command = 3'b000; #100000 - operandA = 32'b00100000000000000000000000000000; operandB = 32'b11000000000000000000000000000000; command = 3'b000; #100000 - $display("command: %b", command); - $display("result: %b, carryout: %b, zero: %b overflow: %b", result, carryout, zero, overflow); - if (result !== 32'b11100000000000000000000000000000) $display("Add test case 1 (Cout = 0, Overflow = 0) result failed"); - if (carryout !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Cout failed"); - if (overflow !== 0) $display("Add test case 1 (Cout = 0, Overflow = 0) Overflow failed"); - operandA = 32'b00000000000000000000000000001110; operandB = 32'b00000000000000000000000000001100; command = 3'b000; #1000 - if (result !== 32'b10100000000000000000000000000000) $display("result case 2: %b", result);//("Add test case 2 (Cout = 1, Overflow = 0) result failed"); - if (carryout !== 1) $display("carryout case 2: %b ", carryout);//("Add test case 1 (Cout = 1, Overflow = 0) Cout failed"); - if (overflow !== 0) $display("Add test case 1 (Cout = 1, Overflow = 0) Overflow failed"); + $display("Add test cases"); + // Case 1: Inputs consist of all 0s. + operandA = 32'b00000000000000000000000000000000; operandB = 32'b00000000000000000000000000000000; command = 3'b000; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("Add test case 1 result failed.\nExpected result: %b\tActual result: %b", 32'd0, result); + if (carryout !== 0) $display("Add test case 1 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b0, carryout); + if (overflow !== 0) $display("Add test case 1 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b0, overflow); + if (zero !== 1) $display("Add test case 1 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b1, zero); + + // Case 2: Inputs consist of all 1s. + operandA = 32'b11111111111111111111111111111111; operandB = 32'b11111111111111111111111111111111; command = 3'b000; #1000 + if (result != 32'b11111111111111111111111111111110) $display("Add test case 2 result failed.\nExpected result: %b\tActual result: %b", 32'b11111111111111111111111111111110, result); + if (carryout !== 1) $display("Add test case 2 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b1, carryout); + if (overflow !== 0) $display("Add test case 2 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b0, overflow); + if (zero !== 0) $display("Add test case 2 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b0, zero); + + // Case 3: Overflow and Carryout. + operandA = 32'b10000011111111111111111111111111; operandB = 32'b10111111111111111111111111111111; command = 3'b000; #1000 + if (result != 32'b010000111111111111111111111111) $display("Add test case 3 result failed.\nExpected result: %b\tActual result: %b", 32'b010000111111111111111111111111, result); + if (carryout !== 1) $display("Add test case 3 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b1, carryout); + if (overflow !== 1) $display("Add test case Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b1, overflow); + if (zero !== 0) $display("Add test case Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b0, zero); + + // Case 4: Overflow only. + operandA = 32'b01000000000000000000000000001110; operandB = 32'b01000000000000000000000000001100; command = 3'b000; #1000 + if (result != 32'b10000000000000000000000000011010) $display("Add test case 4 result failed.\nExpected result: %b\tActual result: %b", 32'b10000000000000000000000000011010, result); + if (carryout !== 0) $display("Add test case 4 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b1, carryout); + if (overflow !== 1) $display("Add test case 4 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b1, overflow); + if (zero !== 0) $display("Add test case 4 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b0, zero); // subtract test cases - // Cout = 0, Overflow = 0; Cout = 1, Overflow = 0; Cout = 0, Overflow = 1; Cout = 1, Overflow = 1 + $display("Subtract test cases"); + // Case 1: All zeros + operandA = 32'b00000000000000000000000000000000; operandB = 32'b00000000000000000000000000000000; command = 3'b001; #1000 + if (result !== 32'b00000000000000000000000000000000) $display("Subtract test case 1 result failed.\nExpected result: %b\tActual result: %b", 32'd0, result); + if (carryout !== 1) $display("Subtract test case 1 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b1, carryout); + if (overflow !== 0) $display("Subtract test case 1 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b0, overflow); + if (zero !== 1) $display("Subtract test case 1 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b1, zero); + + // Case 2: Subtract a negative number + operandA = 32'b00000000000000000000000000000000; operandB = 32'b11111111111111111111111111111111; command = 3'b001; #1000 + if (result !== 32'b00000000000000000000000000000001) $display("Subtract test case 2 result failed.\nExpected result: %b\tActual result: %b", 32'b00000000000000000000000000000001, result); + if (carryout !== 0) $display("Subtract test case 2 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b0, carryout); + if (overflow !== 0) $display("Subtract test case 2 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b0, overflow); + if (zero !== 0) $display("Subtract test case 2 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b0, zero); + + // Case 3: Subtract a positive number + operandA = 32'b00000000000000000000000000000000; operandB = 32'b00011111111111111111111111111111; command = 3'b001; #1000 + if (result !== 32'b11100000000000000000000000000001) $display("Subtract test case 2 result failed.\nExpected result: %b\tActual result: %b", 32'b11100000000000000000000000000001, result); + if (carryout !== 0) $display("Subtract test case 2 Cout failed\nExpected Cout: %b\tActual Cout: %b", 1'b0, carryout); + if (overflow !== 0) $display("Subtract test case 2 Overflow failed\nExpected Overflow: %b\tActual Overflow: %b", 1'b0, overflow); + if (zero !== 0) $display("Subtract test case 2 Zero failed\nExpected Zero: %b\tActual Zero: %b", 1'b0, zero); // Xor test cases // two inputs the same; two inputs totally different; two inputs with some bits corresponding @@ -94,5 +130,6 @@ wire overflow; if (result !== 32'b11111111111111111111111111111111) $display("Or test case 2 (inputs different) failed"); operandA = 32'b01010101010101010101010101010101; operandB = 32'b01011010010110100101101001011010; command = 3'b110; #1000 if (result !== 32'b01011111010111110101111101011111) $display("Or test case 3 (inputs somewhat corresponding) failed"); + $finish(); end endmodule // testalu From 8e9d4903983517afe306595e74650c802410406d Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Fri, 13 Oct 2017 00:47:17 -0400 Subject: [PATCH 22/26] Split Large file into two smaller ones. --- alu.v | 219 +++------------------------------------------------ operations.v | 190 ++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 200 insertions(+), 209 deletions(-) create mode 100644 operations.v diff --git a/alu.v b/alu.v index ae2bd3d..6c517e4 100644 --- a/alu.v +++ b/alu.v @@ -1,188 +1,6 @@ -`define NOR nor #10 -`define OR or #20 -`define AND and #20 -`define XOR xor #20 -`define NOT not #10 +// Implementation of an ALU that performs addition, subtraction, XOR, SLT, OR, NOR, NAND, and AND operations. -// Implementation of a 1-bit full adder. When true, subtract inverts input B. -module FullAdder1bit -( - output sum, - output carryout, - input a, - input b0, - input carryin, - input subtract -); - wire cout1; - wire cout2; - wire sumAB; - wire b; - - `XOR b0xorsubtract(b, b0, subtract); - `XOR AxorB(sumAB, a, b); - `XOR sumABxorCin(sum, sumAB, carryin); - - `AND AandB(cout1, a, b); - `AND sumABandCin(cout2, sumAB, carryin); - - `OR orcarries(carryout, cout1, cout2); -endmodule - -// Implementation of a 32 bit adder/subtractor. -module AddSub -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB, -input subtract -); - -wire[30:0] carryoutmid; -wire nzero; - -FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); - -genvar i; -generate - for (i = 1; i < 31; i = i + 1) - begin: ripple - FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], carryoutmid[i- 1], subtract); - end -endgenerate - -FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carryoutmid[30], subtract); - -`XOR overflowdetection(overflow, carryoutmid[30], carryout); - -`OR orbits(nzero, result[31:0], 32'b0); -`NOT norbits(zero, nzero); - -endmodule - -// Implementation of a 32 bit XOR operation. -module alu32bitxor -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB -); - -genvar i; -generate - for (i = 0; i < 32; i = i + 1) - begin: ripple - `XOR xorgate (result[i], operandA[i], operandB[i]); - end -endgenerate - -endmodule - -// Implementation of the set-less-than operation. Uses the 32-bit subtractor. -module alu32bitslt -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB -); -wire[31:0] subresult; -wire subcarryout; -wire subzero; -wire suboverflow; - -// Set invertB to 1 because subtraction is needed. -AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); - -assign result[31:1] = 31'b0; - -`XOR final (result[0], subresult[31], suboverflow); - -//doesn't need to set a flag -assign carryout = 0; -assign zero = 0; -assign overflow = 0; - -endmodule - -module alu32bitandn -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB, -input othercontrolsignal -); -wire interresult[31:0]; - -genvar i; -generate - for (i = 0; i < 32; i = i + 1) - begin: ripple0 - `AND andgate (interresult[i], operandA[i], operandB[i]); - end -endgenerate - -genvar j; -generate - for (j = 0; j < 32; j = j + 1) - begin: ripple1 - `XOR final (result[j], othercontrolsignal, interresult[j]); - end -endgenerate - -//doesn't need to set a flag -assign carryout = 0; -assign zero = 0; -assign overflow = 0; - -endmodule - -// Implementation of a 32 bit NOR or OR operation. -// When 1, the invertnor input indicates a NOR operation. -// When invertor is 0, this module performs an OR operation. -module NOROR -( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB, -input invertor -); - -wire norres[31:0]; -genvar i; -generate - for (i = 0; i < 32; i = i + 1) - begin: ripple0 - `NOR norgate (norres[i], operandA[i], operandB[i]); - end -endgenerate - -genvar j; -generate - for (j = 0; j < 32; j = j + 1) - begin: ripple1 - `XOR final (result[j], invertor, norres[j]); - end -endgenerate - -buf setcarryout (carryout, 'b0); -buf setzero (zero, 'b0); -buf setoverflow (overflow, 'b0); -endmodule +`include "operations.v" // Definine command numbers `define CADD 3'd0 @@ -238,37 +56,43 @@ output reg carryout, output reg zero, output reg overflow ); + +// The results of each module. wire[31:0] resAddsub; wire[31:0] resXor; wire[31:0] resSlt; wire[31:0] resAndnand; wire[31:0] resNoror; +// The carryout flags of each module. wire carryoutAddSub; wire carryoutXor; wire carryoutSLT; wire carryoutAND; wire carryoutOR; +// The zero flags of each module. wire zeroAddSub; wire zeroXor; wire zeroSLT; wire zeroAND; wire zeroOR; +// The overflow flags of each module. wire overflowAddSub; wire overflowXor; wire overflowSLT; wire overflowAND; wire overflowOR; - AddSub #1000 dut0 (resAddsub, carryoutAddSub, zeroAddSub, overflowAddSub, operandA, operandB, invertB); alu32bitxor dut1 (resXor, carryoutXor, zeroXor, overflowXor, operandA, operandB); alu32bitslt dut2 (resSlt, carryoutSLT, zeroSLT, overflowSLT, operandA, operandB); alu32bitandn dut3 (resAndnand, carryoutAND, zeroAND, overflowAND, operandA, operandB, othercontrolsignal); NOROR dut4 (resNoror, carryoutOR, zeroOR, overflowOR, operandA, operandB, othercontrolsignal); +// The LUT behaves as a set of muxes that choose each bit of the result and each flag based on the muxindex +// it is passed as an address. always @(muxindex or resAddsub or resXor or resSlt or resAndnand or resNoror) begin case(muxindex) `MADDSUB: begin result = resAddsub; carryout = carryoutAddSub; zero = zeroAddSub; overflow = overflowAddSub; end @@ -301,27 +125,4 @@ ALUcontrolLUT controlLookup (muxindex, invertB, othercontrolsignal, command); ALUoutputLUT outputLookup (operandA, operandB, muxindex, invertB, othercontrolsignal, result, carryout, zero, overflow); -endmodule - - -// module TEST(); -// reg[31:0] operandA; -// reg[31:0] operandB; -// reg control; -// reg[2:0] command; - -// wire[31:0] result; -// wire carryout; -// wire zero; -// wire overflow; - -// ALU alu(result, carryout, zero, overflow, operandA, operandB, command); - -// initial begin -// operandA = 32'b10101010101010101010101010101010; operandB = 32'b01000000000000000000000000001010; command = 3'b111; #100000 -// $displayb("operandA: %b", operandA); -// $displayb("operandB: %b", operandB); -// $displayb("result: %b", result); -// end - -// endmodule +endmodule \ No newline at end of file diff --git a/operations.v b/operations.v new file mode 100644 index 0000000..0a92ed6 --- /dev/null +++ b/operations.v @@ -0,0 +1,190 @@ +`define NOR nor #10 +`define OR or #20 +`define AND and #20 +`define XOR xor #20 +`define NOT not #10 + +// Implementation of a 1-bit full adder. When true, subtract inverts input B. +module FullAdder1bit +( + output sum, + output carryout, + input a, + input b0, + input carryin, + input subtract +); + wire cout1; + wire cout2; + wire sumAB; + wire b; + + // B is inverted for subtraction operations. + `XOR b0xorsubtract(b, b0, subtract); + `XOR AxorB(sumAB, a, b); + `XOR sumABxorCin(sum, sumAB, carryin); + + `AND AandB(cout1, a, b); + `AND sumABandCin(cout2, sumAB, carryin); + + `OR orcarries(carryout, cout1, cout2); +endmodule + +// Implementation of a 32 bit adder/subtractor. +module AddSub +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input subtract +); + +wire[30:0] carryoutmid; +wire nzero; + +FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); + +genvar i; +generate + for (i = 1; i < 31; i = i + 1) + begin: ripple + FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], carryoutmid[i- 1], subtract); + end +endgenerate + +FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carryoutmid[30], subtract); + +`XOR overflowdetection(overflow, carryoutmid[30], carryout); + +`OR orbits(nzero, result[31:0], 32'b0); +`NOT norbits(zero, nzero); + +endmodule + +// Implementation of a 32 bit XOR operation. +module alu32bitxor +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); + +genvar i; +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple + `XOR xorgate (result[i], operandA[i], operandB[i]); + end +endgenerate + +endmodule + +// Implementation of the set-less-than operation. Uses the 32-bit subtractor. +module alu32bitslt +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB +); +wire[31:0] subresult; +wire subcarryout; +wire subzero; +wire suboverflow; + +// Set invertB to 1 because subtraction is needed. +AddSub subtractor (subresult, subcarryout, subzero, suboverflow, operandA, operandB, 1'b1); + +assign result[31:1] = 31'b0; + +`XOR final (result[0], subresult[31], suboverflow); + +// Doesn't need to set a flag. +assign carryout = 0; +assign zero = 0; +assign overflow = 0; + +endmodule + + +// Implementation of a 32 bit NAND or AND operation. +// When 1, the invertand input indicates a NAND operation. +// When invertand is 0, this module performs an AND operation. +module alu32bitandn +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input invertand +); +wire interresult[31:0]; + +genvar i; +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple0 + `AND andgate (interresult[i], operandA[i], operandB[i]); + end +endgenerate + +genvar j; +generate + for (j = 0; j < 32; j = j + 1) + begin: ripple1 + `XOR final (result[j], invertand, interresult[j]); + end +endgenerate + +// Doesn't need to set a flag. +assign carryout = 0; +assign zero = 0; +assign overflow = 0; + +endmodule + +// Implementation of a 32 bit NOR or OR operation. +// When 1, the invertnor input indicates a NOR operation. +// When invertor is 0, this module performs an OR operation. +module NOROR +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input invertor +); + +wire norres[31:0]; +genvar i; +generate + for (i = 0; i < 32; i = i + 1) + begin: ripple0 + `NOR norgate (norres[i], operandA[i], operandB[i]); + end +endgenerate + +genvar j; +generate + for (j = 0; j < 32; j = j + 1) + begin: ripple1 + `XOR final (result[j], invertor, norres[j]); + end +endgenerate + +buf setcarryout (carryout, 'b0); +buf setzero (zero, 'b0); +buf setoverflow (overflow, 'b0); +endmodule \ No newline at end of file From bc4c7698c8001ff83e1991e99acabee5a4cd2e9d Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Fri, 13 Oct 2017 00:48:12 -0400 Subject: [PATCH 23/26] Create gtkwave file. --- alu.vcd | 47629 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 47629 insertions(+) create mode 100644 alu.vcd diff --git a/alu.vcd b/alu.vcd new file mode 100644 index 0000000..106ab92 --- /dev/null +++ b/alu.vcd @@ -0,0 +1,47629 @@ +$date + Fri Oct 13 00:44:23 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1s +$end +$scope module testalu $end +$var wire 1 ! zero $end +$var wire 32 " result [31:0] $end +$var wire 1 # overflow $end +$var wire 1 $ carryout $end +$var reg 3 % command [2:0] $end +$var reg 32 & operandA [31:0] $end +$var reg 32 ' operandB [31:0] $end +$scope module alu $end +$var wire 3 ( command [2:0] $end +$var wire 32 ) operandA [31:0] $end +$var wire 32 * operandB [31:0] $end +$var wire 1 ! zero $end +$var wire 32 + result [31:0] $end +$var wire 1 # overflow $end +$var wire 1 , othercontrolsignal $end +$var wire 3 - muxindex [2:0] $end +$var wire 1 . invertB $end +$var wire 1 $ carryout $end +$scope module controlLookup $end +$var wire 3 / ALUcommand [2:0] $end +$var reg 1 . invertB $end +$var reg 3 0 muxindex [2:0] $end +$var reg 1 , othercontrolsignal $end +$upscope $end +$scope module outputLookup $end +$var wire 1 1 carryoutAND $end +$var wire 1 2 carryoutSLT $end +$var wire 1 3 carryoutXor $end +$var wire 1 . invertB $end +$var wire 3 4 muxindex [2:0] $end +$var wire 32 5 operandA [31:0] $end +$var wire 32 6 operandB [31:0] $end +$var wire 1 , othercontrolsignal $end +$var wire 1 7 overflowAND $end +$var wire 1 8 overflowSLT $end +$var wire 1 9 overflowXor $end +$var wire 1 : zeroAND $end +$var wire 1 ; zeroSLT $end +$var wire 1 < zeroXor $end +$var wire 1 = zeroOR $end +$var wire 1 > zeroAddSub $end +$var wire 32 ? resXor [31:0] $end +$var wire 32 @ resSlt [31:0] $end +$var wire 32 A resNoror [31:0] $end +$var wire 32 B resAndnand [31:0] $end +$var wire 32 C resAddsub [31:0] $end +$var wire 1 D overflowOR $end +$var wire 1 E overflowAddSub $end +$var wire 1 F carryoutOR $end +$var wire 1 G carryoutAddSub $end +$var reg 1 $ carryout $end +$var reg 1 # overflow $end +$var reg 32 H result [31:0] $end +$var reg 1 ! zero $end +$scope module dut0 $end +$var wire 1 I nzero $end +$var wire 32 J operandA [31:0] $end +$var wire 32 K operandB [31:0] $end +$var wire 1 E overflow $end +$var wire 1 . subtract $end +$var wire 1 > zero $end +$var wire 32 L result [31:0] $end +$var wire 31 M carryoutmid [30:0] $end +$var wire 1 G carryout $end +$scope begin ripple[1] $end +$scope module addermid $end +$var wire 1 N a $end +$var wire 1 O b $end +$var wire 1 P b0 $end +$var wire 1 Q carryin $end +$var wire 1 R carryout $end +$var wire 1 S cout1 $end +$var wire 1 T cout2 $end +$var wire 1 . subtract $end +$var wire 1 U sum $end +$var wire 1 V sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[2] $end +$scope module addermid $end +$var wire 1 W a $end +$var wire 1 X b $end +$var wire 1 Y b0 $end +$var wire 1 Z carryin $end +$var wire 1 [ carryout $end +$var wire 1 \ cout1 $end +$var wire 1 ] cout2 $end +$var wire 1 . subtract $end +$var wire 1 ^ sum $end +$var wire 1 _ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[3] $end +$scope module addermid $end +$var wire 1 ` a $end +$var wire 1 a b $end +$var wire 1 b b0 $end +$var wire 1 c carryin $end +$var wire 1 d carryout $end +$var wire 1 e cout1 $end +$var wire 1 f cout2 $end +$var wire 1 . subtract $end +$var wire 1 g sum $end +$var wire 1 h sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[4] $end +$scope module addermid $end +$var wire 1 i a $end +$var wire 1 j b $end +$var wire 1 k b0 $end +$var wire 1 l carryin $end +$var wire 1 m carryout $end +$var wire 1 n cout1 $end +$var wire 1 o cout2 $end +$var wire 1 . subtract $end +$var wire 1 p sum $end +$var wire 1 q sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[5] $end +$scope module addermid $end +$var wire 1 r a $end +$var wire 1 s b $end +$var wire 1 t b0 $end +$var wire 1 u carryin $end +$var wire 1 v carryout $end +$var wire 1 w cout1 $end +$var wire 1 x cout2 $end +$var wire 1 . subtract $end +$var wire 1 y sum $end +$var wire 1 z sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[6] $end +$scope module addermid $end +$var wire 1 { a $end +$var wire 1 | b $end +$var wire 1 } b0 $end +$var wire 1 ~ carryin $end +$var wire 1 !" carryout $end +$var wire 1 "" cout1 $end +$var wire 1 #" cout2 $end +$var wire 1 . subtract $end +$var wire 1 $" sum $end +$var wire 1 %" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[7] $end +$scope module addermid $end +$var wire 1 &" a $end +$var wire 1 '" b $end +$var wire 1 (" b0 $end +$var wire 1 )" carryin $end +$var wire 1 *" carryout $end +$var wire 1 +" cout1 $end +$var wire 1 ," cout2 $end +$var wire 1 . subtract $end +$var wire 1 -" sum $end +$var wire 1 ." sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[8] $end +$scope module addermid $end +$var wire 1 /" a $end +$var wire 1 0" b $end +$var wire 1 1" b0 $end +$var wire 1 2" carryin $end +$var wire 1 3" carryout $end +$var wire 1 4" cout1 $end +$var wire 1 5" cout2 $end +$var wire 1 . subtract $end +$var wire 1 6" sum $end +$var wire 1 7" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[9] $end +$scope module addermid $end +$var wire 1 8" a $end +$var wire 1 9" b $end +$var wire 1 :" b0 $end +$var wire 1 ;" carryin $end +$var wire 1 <" carryout $end +$var wire 1 =" cout1 $end +$var wire 1 >" cout2 $end +$var wire 1 . subtract $end +$var wire 1 ?" sum $end +$var wire 1 @" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[10] $end +$scope module addermid $end +$var wire 1 A" a $end +$var wire 1 B" b $end +$var wire 1 C" b0 $end +$var wire 1 D" carryin $end +$var wire 1 E" carryout $end +$var wire 1 F" cout1 $end +$var wire 1 G" cout2 $end +$var wire 1 . subtract $end +$var wire 1 H" sum $end +$var wire 1 I" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[11] $end +$scope module addermid $end +$var wire 1 J" a $end +$var wire 1 K" b $end +$var wire 1 L" b0 $end +$var wire 1 M" carryin $end +$var wire 1 N" carryout $end +$var wire 1 O" cout1 $end +$var wire 1 P" cout2 $end +$var wire 1 . subtract $end +$var wire 1 Q" sum $end +$var wire 1 R" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[12] $end +$scope module addermid $end +$var wire 1 S" a $end +$var wire 1 T" b $end +$var wire 1 U" b0 $end +$var wire 1 V" carryin $end +$var wire 1 W" carryout $end +$var wire 1 X" cout1 $end +$var wire 1 Y" cout2 $end +$var wire 1 . subtract $end +$var wire 1 Z" sum $end +$var wire 1 [" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[13] $end +$scope module addermid $end +$var wire 1 \" a $end +$var wire 1 ]" b $end +$var wire 1 ^" b0 $end +$var wire 1 _" carryin $end +$var wire 1 `" carryout $end +$var wire 1 a" cout1 $end +$var wire 1 b" cout2 $end +$var wire 1 . subtract $end +$var wire 1 c" sum $end +$var wire 1 d" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[14] $end +$scope module addermid $end +$var wire 1 e" a $end +$var wire 1 f" b $end +$var wire 1 g" b0 $end +$var wire 1 h" carryin $end +$var wire 1 i" carryout $end +$var wire 1 j" cout1 $end +$var wire 1 k" cout2 $end +$var wire 1 . subtract $end +$var wire 1 l" sum $end +$var wire 1 m" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[15] $end +$scope module addermid $end +$var wire 1 n" a $end +$var wire 1 o" b $end +$var wire 1 p" b0 $end +$var wire 1 q" carryin $end +$var wire 1 r" carryout $end +$var wire 1 s" cout1 $end +$var wire 1 t" cout2 $end +$var wire 1 . subtract $end +$var wire 1 u" sum $end +$var wire 1 v" sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[16] $end +$scope module addermid $end +$var wire 1 w" a $end +$var wire 1 x" b $end +$var wire 1 y" b0 $end +$var wire 1 z" carryin $end +$var wire 1 {" carryout $end +$var wire 1 |" cout1 $end +$var wire 1 }" cout2 $end +$var wire 1 . subtract $end +$var wire 1 ~" sum $end +$var wire 1 !# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[17] $end +$scope module addermid $end +$var wire 1 "# a $end +$var wire 1 ## b $end +$var wire 1 $# b0 $end +$var wire 1 %# carryin $end +$var wire 1 &# carryout $end +$var wire 1 '# cout1 $end +$var wire 1 (# cout2 $end +$var wire 1 . subtract $end +$var wire 1 )# sum $end +$var wire 1 *# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[18] $end +$scope module addermid $end +$var wire 1 +# a $end +$var wire 1 ,# b $end +$var wire 1 -# b0 $end +$var wire 1 .# carryin $end +$var wire 1 /# carryout $end +$var wire 1 0# cout1 $end +$var wire 1 1# cout2 $end +$var wire 1 . subtract $end +$var wire 1 2# sum $end +$var wire 1 3# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[19] $end +$scope module addermid $end +$var wire 1 4# a $end +$var wire 1 5# b $end +$var wire 1 6# b0 $end +$var wire 1 7# carryin $end +$var wire 1 8# carryout $end +$var wire 1 9# cout1 $end +$var wire 1 :# cout2 $end +$var wire 1 . subtract $end +$var wire 1 ;# sum $end +$var wire 1 <# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[20] $end +$scope module addermid $end +$var wire 1 =# a $end +$var wire 1 ># b $end +$var wire 1 ?# b0 $end +$var wire 1 @# carryin $end +$var wire 1 A# carryout $end +$var wire 1 B# cout1 $end +$var wire 1 C# cout2 $end +$var wire 1 . subtract $end +$var wire 1 D# sum $end +$var wire 1 E# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[21] $end +$scope module addermid $end +$var wire 1 F# a $end +$var wire 1 G# b $end +$var wire 1 H# b0 $end +$var wire 1 I# carryin $end +$var wire 1 J# carryout $end +$var wire 1 K# cout1 $end +$var wire 1 L# cout2 $end +$var wire 1 . subtract $end +$var wire 1 M# sum $end +$var wire 1 N# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[22] $end +$scope module addermid $end +$var wire 1 O# a $end +$var wire 1 P# b $end +$var wire 1 Q# b0 $end +$var wire 1 R# carryin $end +$var wire 1 S# carryout $end +$var wire 1 T# cout1 $end +$var wire 1 U# cout2 $end +$var wire 1 . subtract $end +$var wire 1 V# sum $end +$var wire 1 W# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[23] $end +$scope module addermid $end +$var wire 1 X# a $end +$var wire 1 Y# b $end +$var wire 1 Z# b0 $end +$var wire 1 [# carryin $end +$var wire 1 \# carryout $end +$var wire 1 ]# cout1 $end +$var wire 1 ^# cout2 $end +$var wire 1 . subtract $end +$var wire 1 _# sum $end +$var wire 1 `# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[24] $end +$scope module addermid $end +$var wire 1 a# a $end +$var wire 1 b# b $end +$var wire 1 c# b0 $end +$var wire 1 d# carryin $end +$var wire 1 e# carryout $end +$var wire 1 f# cout1 $end +$var wire 1 g# cout2 $end +$var wire 1 . subtract $end +$var wire 1 h# sum $end +$var wire 1 i# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[25] $end +$scope module addermid $end +$var wire 1 j# a $end +$var wire 1 k# b $end +$var wire 1 l# b0 $end +$var wire 1 m# carryin $end +$var wire 1 n# carryout $end +$var wire 1 o# cout1 $end +$var wire 1 p# cout2 $end +$var wire 1 . subtract $end +$var wire 1 q# sum $end +$var wire 1 r# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[26] $end +$scope module addermid $end +$var wire 1 s# a $end +$var wire 1 t# b $end +$var wire 1 u# b0 $end +$var wire 1 v# carryin $end +$var wire 1 w# carryout $end +$var wire 1 x# cout1 $end +$var wire 1 y# cout2 $end +$var wire 1 . subtract $end +$var wire 1 z# sum $end +$var wire 1 {# sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[27] $end +$scope module addermid $end +$var wire 1 |# a $end +$var wire 1 }# b $end +$var wire 1 ~# b0 $end +$var wire 1 !$ carryin $end +$var wire 1 "$ carryout $end +$var wire 1 #$ cout1 $end +$var wire 1 $$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 %$ sum $end +$var wire 1 &$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[28] $end +$scope module addermid $end +$var wire 1 '$ a $end +$var wire 1 ($ b $end +$var wire 1 )$ b0 $end +$var wire 1 *$ carryin $end +$var wire 1 +$ carryout $end +$var wire 1 ,$ cout1 $end +$var wire 1 -$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 .$ sum $end +$var wire 1 /$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[29] $end +$scope module addermid $end +$var wire 1 0$ a $end +$var wire 1 1$ b $end +$var wire 1 2$ b0 $end +$var wire 1 3$ carryin $end +$var wire 1 4$ carryout $end +$var wire 1 5$ cout1 $end +$var wire 1 6$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 7$ sum $end +$var wire 1 8$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[30] $end +$scope module addermid $end +$var wire 1 9$ a $end +$var wire 1 :$ b $end +$var wire 1 ;$ b0 $end +$var wire 1 <$ carryin $end +$var wire 1 =$ carryout $end +$var wire 1 >$ cout1 $end +$var wire 1 ?$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 @$ sum $end +$var wire 1 A$ sumAB $end +$upscope $end +$upscope $end +$scope module adderfinal $end +$var wire 1 B$ a $end +$var wire 1 C$ b $end +$var wire 1 D$ b0 $end +$var wire 1 E$ carryin $end +$var wire 1 G carryout $end +$var wire 1 F$ cout1 $end +$var wire 1 G$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 H$ sum $end +$var wire 1 I$ sumAB $end +$upscope $end +$scope module adderinit $end +$var wire 1 J$ a $end +$var wire 1 K$ b $end +$var wire 1 L$ b0 $end +$var wire 1 . carryin $end +$var wire 1 M$ carryout $end +$var wire 1 N$ cout1 $end +$var wire 1 O$ cout2 $end +$var wire 1 . subtract $end +$var wire 1 P$ sum $end +$var wire 1 Q$ sumAB $end +$upscope $end +$upscope $end +$scope module dut1 $end +$var wire 1 3 carryout $end +$var wire 32 R$ operandA [31:0] $end +$var wire 32 S$ operandB [31:0] $end +$var wire 1 9 overflow $end +$var wire 1 < zero $end +$var wire 32 T$ result [31:0] $end +$scope begin ripple[0] $end +$upscope $end +$scope begin ripple[1] $end +$upscope $end +$scope begin ripple[2] $end +$upscope $end +$scope begin ripple[3] $end +$upscope $end +$scope begin ripple[4] $end +$upscope $end +$scope begin ripple[5] $end +$upscope $end +$scope begin ripple[6] $end +$upscope $end +$scope begin ripple[7] $end +$upscope $end +$scope begin ripple[8] $end +$upscope $end +$scope begin ripple[9] $end +$upscope $end +$scope begin ripple[10] $end +$upscope $end +$scope begin ripple[11] $end +$upscope $end +$scope begin ripple[12] $end +$upscope $end +$scope begin ripple[13] $end +$upscope $end +$scope begin ripple[14] $end +$upscope $end +$scope begin ripple[15] $end +$upscope $end +$scope begin ripple[16] $end +$upscope $end +$scope begin ripple[17] $end +$upscope $end +$scope begin ripple[18] $end +$upscope $end +$scope begin ripple[19] $end +$upscope $end +$scope begin ripple[20] $end +$upscope $end +$scope begin ripple[21] $end +$upscope $end +$scope begin ripple[22] $end +$upscope $end +$scope begin ripple[23] $end +$upscope $end +$scope begin ripple[24] $end +$upscope $end +$scope begin ripple[25] $end +$upscope $end +$scope begin ripple[26] $end +$upscope $end +$scope begin ripple[27] $end +$upscope $end +$scope begin ripple[28] $end +$upscope $end +$scope begin ripple[29] $end +$upscope $end +$scope begin ripple[30] $end +$upscope $end +$scope begin ripple[31] $end +$upscope $end +$upscope $end +$scope module dut2 $end +$var wire 1 2 carryout $end +$var wire 32 U$ operandA [31:0] $end +$var wire 32 V$ operandB [31:0] $end +$var wire 1 8 overflow $end +$var wire 1 ; zero $end +$var wire 1 W$ subzero $end +$var wire 32 X$ subresult [31:0] $end +$var wire 1 Y$ suboverflow $end +$var wire 1 Z$ subcarryout $end +$var wire 32 [$ result [31:0] $end +$scope module subtractor $end +$var wire 1 \$ nzero $end +$var wire 32 ]$ operandA [31:0] $end +$var wire 32 ^$ operandB [31:0] $end +$var wire 1 Y$ overflow $end +$var wire 1 _$ subtract $end +$var wire 1 W$ zero $end +$var wire 32 `$ result [31:0] $end +$var wire 31 a$ carryoutmid [30:0] $end +$var wire 1 Z$ carryout $end +$scope begin ripple[1] $end +$scope module addermid $end +$var wire 1 b$ a $end +$var wire 1 c$ b $end +$var wire 1 d$ b0 $end +$var wire 1 e$ carryin $end +$var wire 1 f$ carryout $end +$var wire 1 g$ cout1 $end +$var wire 1 h$ cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 i$ sum $end +$var wire 1 j$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[2] $end +$scope module addermid $end +$var wire 1 k$ a $end +$var wire 1 l$ b $end +$var wire 1 m$ b0 $end +$var wire 1 n$ carryin $end +$var wire 1 o$ carryout $end +$var wire 1 p$ cout1 $end +$var wire 1 q$ cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 r$ sum $end +$var wire 1 s$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[3] $end +$scope module addermid $end +$var wire 1 t$ a $end +$var wire 1 u$ b $end +$var wire 1 v$ b0 $end +$var wire 1 w$ carryin $end +$var wire 1 x$ carryout $end +$var wire 1 y$ cout1 $end +$var wire 1 z$ cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 {$ sum $end +$var wire 1 |$ sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[4] $end +$scope module addermid $end +$var wire 1 }$ a $end +$var wire 1 ~$ b $end +$var wire 1 !% b0 $end +$var wire 1 "% carryin $end +$var wire 1 #% carryout $end +$var wire 1 $% cout1 $end +$var wire 1 %% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 &% sum $end +$var wire 1 '% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[5] $end +$scope module addermid $end +$var wire 1 (% a $end +$var wire 1 )% b $end +$var wire 1 *% b0 $end +$var wire 1 +% carryin $end +$var wire 1 ,% carryout $end +$var wire 1 -% cout1 $end +$var wire 1 .% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 /% sum $end +$var wire 1 0% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[6] $end +$scope module addermid $end +$var wire 1 1% a $end +$var wire 1 2% b $end +$var wire 1 3% b0 $end +$var wire 1 4% carryin $end +$var wire 1 5% carryout $end +$var wire 1 6% cout1 $end +$var wire 1 7% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 8% sum $end +$var wire 1 9% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[7] $end +$scope module addermid $end +$var wire 1 :% a $end +$var wire 1 ;% b $end +$var wire 1 <% b0 $end +$var wire 1 =% carryin $end +$var wire 1 >% carryout $end +$var wire 1 ?% cout1 $end +$var wire 1 @% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 A% sum $end +$var wire 1 B% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[8] $end +$scope module addermid $end +$var wire 1 C% a $end +$var wire 1 D% b $end +$var wire 1 E% b0 $end +$var wire 1 F% carryin $end +$var wire 1 G% carryout $end +$var wire 1 H% cout1 $end +$var wire 1 I% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 J% sum $end +$var wire 1 K% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[9] $end +$scope module addermid $end +$var wire 1 L% a $end +$var wire 1 M% b $end +$var wire 1 N% b0 $end +$var wire 1 O% carryin $end +$var wire 1 P% carryout $end +$var wire 1 Q% cout1 $end +$var wire 1 R% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 S% sum $end +$var wire 1 T% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[10] $end +$scope module addermid $end +$var wire 1 U% a $end +$var wire 1 V% b $end +$var wire 1 W% b0 $end +$var wire 1 X% carryin $end +$var wire 1 Y% carryout $end +$var wire 1 Z% cout1 $end +$var wire 1 [% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 \% sum $end +$var wire 1 ]% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[11] $end +$scope module addermid $end +$var wire 1 ^% a $end +$var wire 1 _% b $end +$var wire 1 `% b0 $end +$var wire 1 a% carryin $end +$var wire 1 b% carryout $end +$var wire 1 c% cout1 $end +$var wire 1 d% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 e% sum $end +$var wire 1 f% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[12] $end +$scope module addermid $end +$var wire 1 g% a $end +$var wire 1 h% b $end +$var wire 1 i% b0 $end +$var wire 1 j% carryin $end +$var wire 1 k% carryout $end +$var wire 1 l% cout1 $end +$var wire 1 m% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 n% sum $end +$var wire 1 o% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[13] $end +$scope module addermid $end +$var wire 1 p% a $end +$var wire 1 q% b $end +$var wire 1 r% b0 $end +$var wire 1 s% carryin $end +$var wire 1 t% carryout $end +$var wire 1 u% cout1 $end +$var wire 1 v% cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 w% sum $end +$var wire 1 x% sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[14] $end +$scope module addermid $end +$var wire 1 y% a $end +$var wire 1 z% b $end +$var wire 1 {% b0 $end +$var wire 1 |% carryin $end +$var wire 1 }% carryout $end +$var wire 1 ~% cout1 $end +$var wire 1 !& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 "& sum $end +$var wire 1 #& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[15] $end +$scope module addermid $end +$var wire 1 $& a $end +$var wire 1 %& b $end +$var wire 1 && b0 $end +$var wire 1 '& carryin $end +$var wire 1 (& carryout $end +$var wire 1 )& cout1 $end +$var wire 1 *& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 +& sum $end +$var wire 1 ,& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[16] $end +$scope module addermid $end +$var wire 1 -& a $end +$var wire 1 .& b $end +$var wire 1 /& b0 $end +$var wire 1 0& carryin $end +$var wire 1 1& carryout $end +$var wire 1 2& cout1 $end +$var wire 1 3& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 4& sum $end +$var wire 1 5& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[17] $end +$scope module addermid $end +$var wire 1 6& a $end +$var wire 1 7& b $end +$var wire 1 8& b0 $end +$var wire 1 9& carryin $end +$var wire 1 :& carryout $end +$var wire 1 ;& cout1 $end +$var wire 1 <& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 =& sum $end +$var wire 1 >& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[18] $end +$scope module addermid $end +$var wire 1 ?& a $end +$var wire 1 @& b $end +$var wire 1 A& b0 $end +$var wire 1 B& carryin $end +$var wire 1 C& carryout $end +$var wire 1 D& cout1 $end +$var wire 1 E& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 F& sum $end +$var wire 1 G& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[19] $end +$scope module addermid $end +$var wire 1 H& a $end +$var wire 1 I& b $end +$var wire 1 J& b0 $end +$var wire 1 K& carryin $end +$var wire 1 L& carryout $end +$var wire 1 M& cout1 $end +$var wire 1 N& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 O& sum $end +$var wire 1 P& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[20] $end +$scope module addermid $end +$var wire 1 Q& a $end +$var wire 1 R& b $end +$var wire 1 S& b0 $end +$var wire 1 T& carryin $end +$var wire 1 U& carryout $end +$var wire 1 V& cout1 $end +$var wire 1 W& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 X& sum $end +$var wire 1 Y& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[21] $end +$scope module addermid $end +$var wire 1 Z& a $end +$var wire 1 [& b $end +$var wire 1 \& b0 $end +$var wire 1 ]& carryin $end +$var wire 1 ^& carryout $end +$var wire 1 _& cout1 $end +$var wire 1 `& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 a& sum $end +$var wire 1 b& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[22] $end +$scope module addermid $end +$var wire 1 c& a $end +$var wire 1 d& b $end +$var wire 1 e& b0 $end +$var wire 1 f& carryin $end +$var wire 1 g& carryout $end +$var wire 1 h& cout1 $end +$var wire 1 i& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 j& sum $end +$var wire 1 k& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[23] $end +$scope module addermid $end +$var wire 1 l& a $end +$var wire 1 m& b $end +$var wire 1 n& b0 $end +$var wire 1 o& carryin $end +$var wire 1 p& carryout $end +$var wire 1 q& cout1 $end +$var wire 1 r& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 s& sum $end +$var wire 1 t& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[24] $end +$scope module addermid $end +$var wire 1 u& a $end +$var wire 1 v& b $end +$var wire 1 w& b0 $end +$var wire 1 x& carryin $end +$var wire 1 y& carryout $end +$var wire 1 z& cout1 $end +$var wire 1 {& cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 |& sum $end +$var wire 1 }& sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[25] $end +$scope module addermid $end +$var wire 1 ~& a $end +$var wire 1 !' b $end +$var wire 1 "' b0 $end +$var wire 1 #' carryin $end +$var wire 1 $' carryout $end +$var wire 1 %' cout1 $end +$var wire 1 &' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 '' sum $end +$var wire 1 (' sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[26] $end +$scope module addermid $end +$var wire 1 )' a $end +$var wire 1 *' b $end +$var wire 1 +' b0 $end +$var wire 1 ,' carryin $end +$var wire 1 -' carryout $end +$var wire 1 .' cout1 $end +$var wire 1 /' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 0' sum $end +$var wire 1 1' sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[27] $end +$scope module addermid $end +$var wire 1 2' a $end +$var wire 1 3' b $end +$var wire 1 4' b0 $end +$var wire 1 5' carryin $end +$var wire 1 6' carryout $end +$var wire 1 7' cout1 $end +$var wire 1 8' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 9' sum $end +$var wire 1 :' sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[28] $end +$scope module addermid $end +$var wire 1 ;' a $end +$var wire 1 <' b $end +$var wire 1 =' b0 $end +$var wire 1 >' carryin $end +$var wire 1 ?' carryout $end +$var wire 1 @' cout1 $end +$var wire 1 A' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 B' sum $end +$var wire 1 C' sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[29] $end +$scope module addermid $end +$var wire 1 D' a $end +$var wire 1 E' b $end +$var wire 1 F' b0 $end +$var wire 1 G' carryin $end +$var wire 1 H' carryout $end +$var wire 1 I' cout1 $end +$var wire 1 J' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 K' sum $end +$var wire 1 L' sumAB $end +$upscope $end +$upscope $end +$scope begin ripple[30] $end +$scope module addermid $end +$var wire 1 M' a $end +$var wire 1 N' b $end +$var wire 1 O' b0 $end +$var wire 1 P' carryin $end +$var wire 1 Q' carryout $end +$var wire 1 R' cout1 $end +$var wire 1 S' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 T' sum $end +$var wire 1 U' sumAB $end +$upscope $end +$upscope $end +$scope module adderfinal $end +$var wire 1 V' a $end +$var wire 1 W' b $end +$var wire 1 X' b0 $end +$var wire 1 Y' carryin $end +$var wire 1 Z$ carryout $end +$var wire 1 Z' cout1 $end +$var wire 1 [' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 \' sum $end +$var wire 1 ]' sumAB $end +$upscope $end +$scope module adderinit $end +$var wire 1 ^' a $end +$var wire 1 _' b $end +$var wire 1 `' b0 $end +$var wire 1 _$ carryin $end +$var wire 1 a' carryout $end +$var wire 1 b' cout1 $end +$var wire 1 c' cout2 $end +$var wire 1 _$ subtract $end +$var wire 1 d' sum $end +$var wire 1 e' sumAB $end +$upscope $end +$upscope $end +$upscope $end +$scope module dut3 $end +$var wire 1 1 carryout $end +$var wire 1 , invertand $end +$var wire 32 f' operandA [31:0] $end +$var wire 32 g' operandB [31:0] $end +$var wire 1 7 overflow $end +$var wire 1 : zero $end +$var wire 32 h' result [31:0] $end +$scope begin ripple0[0] $end +$upscope $end +$scope begin ripple0[1] $end +$upscope $end +$scope begin ripple0[2] $end +$upscope $end +$scope begin ripple0[3] $end +$upscope $end +$scope begin ripple0[4] $end +$upscope $end +$scope begin ripple0[5] $end +$upscope $end +$scope begin ripple0[6] $end +$upscope $end +$scope begin ripple0[7] $end +$upscope $end +$scope begin ripple0[8] $end +$upscope $end +$scope begin ripple0[9] $end +$upscope $end +$scope begin ripple0[10] $end +$upscope $end +$scope begin ripple0[11] $end +$upscope $end +$scope begin ripple0[12] $end +$upscope $end +$scope begin ripple0[13] $end +$upscope $end +$scope begin ripple0[14] $end +$upscope $end +$scope begin ripple0[15] $end +$upscope $end +$scope begin ripple0[16] $end +$upscope $end +$scope begin ripple0[17] $end +$upscope $end +$scope begin ripple0[18] $end +$upscope $end +$scope begin ripple0[19] $end +$upscope $end +$scope begin ripple0[20] $end +$upscope $end +$scope begin ripple0[21] $end +$upscope $end +$scope begin ripple0[22] $end +$upscope $end +$scope begin ripple0[23] $end +$upscope $end +$scope begin ripple0[24] $end +$upscope $end +$scope begin ripple0[25] $end +$upscope $end +$scope begin ripple0[26] $end +$upscope $end +$scope begin ripple0[27] $end +$upscope $end +$scope begin ripple0[28] $end +$upscope $end +$scope begin ripple0[29] $end +$upscope $end +$scope begin ripple0[30] $end +$upscope $end +$scope begin ripple0[31] $end +$upscope $end +$scope begin ripple1[0] $end +$upscope $end +$scope begin ripple1[1] $end +$upscope $end +$scope begin ripple1[2] $end +$upscope $end +$scope begin ripple1[3] $end +$upscope $end +$scope begin ripple1[4] $end +$upscope $end +$scope begin ripple1[5] $end +$upscope $end +$scope begin ripple1[6] $end +$upscope $end +$scope begin ripple1[7] $end +$upscope $end +$scope begin ripple1[8] $end +$upscope $end +$scope begin ripple1[9] $end +$upscope $end +$scope begin ripple1[10] $end +$upscope $end +$scope begin ripple1[11] $end +$upscope $end +$scope begin ripple1[12] $end +$upscope $end +$scope begin ripple1[13] $end +$upscope $end +$scope begin ripple1[14] $end +$upscope $end +$scope begin ripple1[15] $end +$upscope $end +$scope begin ripple1[16] $end +$upscope $end +$scope begin ripple1[17] $end +$upscope $end +$scope begin ripple1[18] $end +$upscope $end +$scope begin ripple1[19] $end +$upscope $end +$scope begin ripple1[20] $end +$upscope $end +$scope begin ripple1[21] $end +$upscope $end +$scope begin ripple1[22] $end +$upscope $end +$scope begin ripple1[23] $end +$upscope $end +$scope begin ripple1[24] $end +$upscope $end +$scope begin ripple1[25] $end +$upscope $end +$scope begin ripple1[26] $end +$upscope $end +$scope begin ripple1[27] $end +$upscope $end +$scope begin ripple1[28] $end +$upscope $end +$scope begin ripple1[29] $end +$upscope $end +$scope begin ripple1[30] $end +$upscope $end +$scope begin ripple1[31] $end +$upscope $end +$upscope $end +$scope module dut4 $end +$var wire 1 F carryout $end +$var wire 1 , invertor $end +$var wire 32 i' operandA [31:0] $end +$var wire 32 j' operandB [31:0] $end +$var wire 1 D overflow $end +$var wire 1 = zero $end +$var wire 32 k' result [31:0] $end +$scope begin ripple0[0] $end +$upscope $end +$scope begin ripple0[1] $end +$upscope $end +$scope begin ripple0[2] $end +$upscope $end +$scope begin ripple0[3] $end +$upscope $end +$scope begin ripple0[4] $end +$upscope $end +$scope begin ripple0[5] $end +$upscope $end +$scope begin ripple0[6] $end +$upscope $end +$scope begin ripple0[7] $end +$upscope $end +$scope begin ripple0[8] $end +$upscope $end +$scope begin ripple0[9] $end +$upscope $end +$scope begin ripple0[10] $end +$upscope $end +$scope begin ripple0[11] $end +$upscope $end +$scope begin ripple0[12] $end +$upscope $end +$scope begin ripple0[13] $end +$upscope $end +$scope begin ripple0[14] $end +$upscope $end +$scope begin ripple0[15] $end +$upscope $end +$scope begin ripple0[16] $end +$upscope $end +$scope begin ripple0[17] $end +$upscope $end +$scope begin ripple0[18] $end +$upscope $end +$scope begin ripple0[19] $end +$upscope $end +$scope begin ripple0[20] $end +$upscope $end +$scope begin ripple0[21] $end +$upscope $end +$scope begin ripple0[22] $end +$upscope $end +$scope begin ripple0[23] $end +$upscope $end +$scope begin ripple0[24] $end +$upscope $end +$scope begin ripple0[25] $end +$upscope $end +$scope begin ripple0[26] $end +$upscope $end +$scope begin ripple0[27] $end +$upscope $end +$scope begin ripple0[28] $end +$upscope $end +$scope begin ripple0[29] $end +$upscope $end +$scope begin ripple0[30] $end +$upscope $end +$scope begin ripple0[31] $end +$upscope $end +$scope begin ripple1[0] $end +$upscope $end +$scope begin ripple1[1] $end +$upscope $end +$scope begin ripple1[2] $end +$upscope $end +$scope begin ripple1[3] $end +$upscope $end +$scope begin ripple1[4] $end +$upscope $end +$scope begin ripple1[5] $end +$upscope $end +$scope begin ripple1[6] $end +$upscope $end +$scope begin ripple1[7] $end +$upscope $end +$scope begin ripple1[8] $end +$upscope $end +$scope begin ripple1[9] $end +$upscope $end +$scope begin ripple1[10] $end +$upscope $end +$scope begin ripple1[11] $end +$upscope $end +$scope begin ripple1[12] $end +$upscope $end +$scope begin ripple1[13] $end +$upscope $end +$scope begin ripple1[14] $end +$upscope $end +$scope begin ripple1[15] $end +$upscope $end +$scope begin ripple1[16] $end +$upscope $end +$scope begin ripple1[17] $end +$upscope $end +$scope begin ripple1[18] $end +$upscope $end +$scope begin ripple1[19] $end +$upscope $end +$scope begin ripple1[20] $end +$upscope $end +$scope begin ripple1[21] $end +$upscope $end +$scope begin ripple1[22] $end +$upscope $end +$scope begin ripple1[23] $end +$upscope $end +$scope begin ripple1[24] $end +$upscope $end +$scope begin ripple1[25] $end +$upscope $end +$scope begin ripple1[26] $end +$upscope $end +$scope begin ripple1[27] $end +$upscope $end +$scope begin ripple1[28] $end +$upscope $end +$scope begin ripple1[29] $end +$upscope $end +$scope begin ripple1[30] $end +$upscope $end +$scope begin ripple1[31] $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +bx k' +b0 j' +b0 i' +bx h' +b0 g' +b0 f' +xe' +xd' +xc' +xb' +xa' +0`' +x_' +0^' +x]' +x\' +x[' +xZ' +xY' +0X' +xW' +0V' +xU' +xT' +xS' +xR' +xQ' +xP' +0O' +xN' +0M' +xL' +xK' +xJ' +xI' +xH' +xG' +0F' +xE' +0D' +xC' +xB' +xA' +x@' +x?' +x>' +0=' +x<' +0;' +x:' +x9' +x8' +x7' +x6' +x5' +04' +x3' +02' +x1' +x0' +x/' +x.' +x-' +x,' +0+' +x*' +0)' +x(' +x'' +x&' +x%' +x$' +x#' +0"' +x!' +0~& +x}& +x|& +x{& +xz& +xy& +xx& +0w& +xv& +0u& +xt& +xs& +xr& +xq& +xp& +xo& +0n& +xm& +0l& +xk& +xj& +xi& +xh& +xg& +xf& +0e& +xd& +0c& +xb& +xa& +x`& +x_& +x^& +x]& +0\& +x[& +0Z& +xY& +xX& +xW& +xV& +xU& +xT& +0S& +xR& +0Q& +xP& +xO& +xN& +xM& +xL& +xK& +0J& +xI& +0H& +xG& +xF& +xE& +xD& +xC& +xB& +0A& +x@& +0?& +x>& +x=& +x<& +x;& +x:& +x9& +08& +x7& +06& +x5& +x4& +x3& +x2& +x1& +x0& +0/& +x.& +0-& +x,& +x+& +x*& +x)& +x(& +x'& +0&& +x%& +0$& +x#& +x"& +x!& +x~% +x}% +x|% +0{% +xz% +0y% +xx% +xw% +xv% +xu% +xt% +xs% +0r% +xq% +0p% +xo% +xn% +xm% +xl% +xk% +xj% +0i% +xh% +0g% +xf% +xe% +xd% +xc% +xb% +xa% +0`% +x_% +0^% +x]% +x\% +x[% +xZ% +xY% +xX% +0W% +xV% +0U% +xT% +xS% +xR% +xQ% +xP% +xO% +0N% +xM% +0L% +xK% +xJ% +xI% +xH% +xG% +xF% +0E% +xD% +0C% +xB% +xA% +x@% +x?% +x>% +x=% +0<% +x;% +0:% +x9% +x8% +x7% +x6% +x5% +x4% +03% +x2% +01% +x0% +x/% +x.% +x-% +x,% +x+% +0*% +x)% +0(% +x'% +x&% +x%% +x$% +x#% +x"% +0!% +x~$ +0}$ +x|$ +x{$ +xz$ +xy$ +xx$ +xw$ +0v$ +xu$ +0t$ +xs$ +xr$ +xq$ +xp$ +xo$ +xn$ +0m$ +xl$ +0k$ +xj$ +xi$ +xh$ +xg$ +xf$ +xe$ +0d$ +xc$ +0b$ +bx a$ +bx `$ +1_$ +b0 ^$ +b0 ]$ +x\$ +b0x [$ +xZ$ +xY$ +bx X$ +xW$ +b0 V$ +b0 U$ +bx T$ +b0 S$ +b0 R$ +xQ$ +xP$ +xO$ +xN$ +xM$ +0L$ +xK$ +0J$ +xI$ +xH$ +xG$ +xF$ +xE$ +0D$ +xC$ +0B$ +xA$ +x@$ +x?$ +x>$ +x=$ +x<$ +0;$ +x:$ +09$ +x8$ +x7$ +x6$ +x5$ +x4$ +x3$ +02$ +x1$ +00$ +x/$ +x.$ +x-$ +x,$ +x+$ +x*$ +0)$ +x($ +0'$ +x&$ +x%$ +x$$ +x#$ +x"$ +x!$ +0~# +x}# +0|# +x{# +xz# +xy# +xx# +xw# +xv# +0u# +xt# +0s# +xr# +xq# +xp# +xo# +xn# +xm# +0l# +xk# +0j# +xi# +xh# +xg# +xf# +xe# +xd# +0c# +xb# +0a# +x`# +x_# +x^# +x]# +x\# +x[# +0Z# +xY# +0X# +xW# +xV# +xU# +xT# +xS# +xR# +0Q# +xP# +0O# +xN# +xM# +xL# +xK# +xJ# +xI# +0H# +xG# +0F# +xE# +xD# +xC# +xB# +xA# +x@# +0?# +x># +0=# +x<# +x;# +x:# +x9# +x8# +x7# +06# +x5# +04# +x3# +x2# +x1# +x0# +x/# +x.# +0-# +x,# +0+# +x*# +x)# +x(# +x'# +x&# +x%# +0$# +x## +0"# +x!# +x~" +x}" +x|" +x{" +xz" +0y" +xx" +0w" +xv" +xu" +xt" +xs" +xr" +xq" +0p" +xo" +0n" +xm" +xl" +xk" +xj" +xi" +xh" +0g" +xf" +0e" +xd" +xc" +xb" +xa" +x`" +x_" +0^" +x]" +0\" +x[" +xZ" +xY" +xX" +xW" +xV" +0U" +xT" +0S" +xR" +xQ" +xP" +xO" +xN" +xM" +0L" +xK" +0J" +xI" +xH" +xG" +xF" +xE" +xD" +0C" +xB" +0A" +x@" +x?" +x>" +x=" +x<" +x;" +0:" +x9" +08" +x7" +x6" +x5" +x4" +x3" +x2" +01" +x0" +0/" +x." +x-" +x," +x+" +x*" +x)" +0(" +x'" +0&" +x%" +x$" +x#" +x"" +x!" +x~ +0} +x| +0{ +xz +xy +xx +xw +xv +xu +0t +xs +0r +xq +xp +xo +xn +xm +xl +0k +xj +0i +xh +xg +xf +xe +xd +xc +0b +xa +0` +x_ +x^ +x] +x\ +x[ +xZ +0Y +xX +0W +xV +xU +xT +xS +xR +xQ +0P +xO +0N +bx M +bx L +b0 K +b0 J +xI +bx H +xG +0F +xE +0D +bx C +bx B +bx A +b0x @ +bx ? +x> +0= +z< +0; +0: +z9 +08 +07 +b0 6 +b0 5 +b0 4 +z3 +02 +01 +b0 0 +b0 / +0. +b0 - +0, +bx + +b0 * +b0 ) +b0 ( +b0 ' +b0 & +b0 % +x$ +x# +bx " +x! +$end +#20 +0O$ +0O +0X +0a +0j +0s +0| +0'" +00" +09" +0B" +0K" +0T" +0]" +0f" +0o" +0x" +0## +0,# +05# +0># +0G# +0P# +0Y# +0b# +0k# +0t# +0}# +0($ +01$ +0:$ +0K$ +0C$ +1c$ +1l$ +1u$ +1~$ +1)% +12% +1;% +1D% +1M% +1V% +1_% +1h% +1q% +1z% +1%& +1.& +17& +1@& +1I& +1R& +1[& +1d& +1m& +1v& +1!' +1*' +13' +1<' +1E' +1N' +1_' +1W' +0S +0\ +0e +0n +0w +0"" +0+" +04" +0=" +0F" +0O" +0X" +0a" +0j" +0s" +0|" +0'# +00# +09# +0B# +0K# +0T# +0]# +0f# +0o# +0x# +0#$ +0,$ +05$ +0>$ +0N$ +0F$ +b0 ? +b0 T$ +0g$ +0p$ +0y$ +0$% +0-% +06% +0?% +0H% +0Q% +0Z% +0c% +0l% +0u% +0~% +0)& +02& +0;& +0D& +0M& +0V& +0_& +0h& +0q& +0z& +0%' +0.' +07' +0@' +0I' +0R' +0b' +0Z' +#30 +b11111111111111111111111111111111 A +b11111111111111111111111111111111 k' +#40 +0Q +0V +0_ +0h +0q +0z +0%" +0." +07" +0@" +0I" +0R" +0[" +0d" +0m" +0v" +0!# +0*# +03# +0<# +0E# +0N# +0W# +0`# +0i# +0r# +0{# +0&$ +0/$ +08$ +0A$ +0Q$ +0I$ +1j$ +1s$ +1|$ +1'% +10% +19% +1B% +1K% +1T% +1]% +1f% +1o% +1x% +1#& +1,& +15& +1>& +1G& +1P& +1Y& +1b& +1k& +1t& +1}& +1(' +11' +1:' +1C' +1L' +1U' +1e' +1]' +bx0 M +0M$ +b0 B +b0 h' +#60 +bx00 " +bx00 + +bx00 H +0U +0T +0] +0f +0o +0x +0#" +0," +05" +0>" +0G" +0P" +0Y" +0b" +0k" +0t" +0}" +0(# +01# +0:# +0C# +0L# +0U# +0^# +0g# +0p# +0y# +0$$ +0-$ +06$ +0?$ +bx00 C +bx00 L +0P$ +0G$ +bx0 X$ +bx0 `$ +0d' +1c' +#80 +0Z +0c +0l +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +1e$ +0I +0\$ +0R +0[ +0d +0m +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b0 M +0=$ +0G +bx1 a$ +1a' +#90 +1> +1W$ +#100 +0# +1! +0$ +b0 " +b0 + +b0 H +0^ +0g +0p +0y +0$" +0-" +06" +0?" +0H" +0Q" +0Z" +0c" +0l" +0u" +0~" +0)# +02# +0;# +0D# +0M# +0V# +0_# +0h# +0q# +0z# +0%$ +0.$ +07$ +0@$ +b0 C +b0 L +0H$ +0E +bx00 X$ +bx00 `$ +0i$ +1h$ +#120 +1n$ +bx11 a$ +1f$ +#140 +bx000 X$ +bx000 `$ +0r$ +1q$ +#160 +1w$ +bx111 a$ +1o$ +#180 +bx0000 X$ +bx0000 `$ +0{$ +1z$ +#200 +1"% +bx1111 a$ +1x$ +#220 +bx00000 X$ +bx00000 `$ +0&% +1%% +#240 +1+% +bx11111 a$ +1#% +#260 +bx000000 X$ +bx000000 `$ +0/% +1.% +#280 +14% +bx111111 a$ +1,% +#300 +bx0000000 X$ +bx0000000 `$ +08% +17% +#320 +1=% +bx1111111 a$ +15% +#340 +bx00000000 X$ +bx00000000 `$ +0A% +1@% +#360 +1F% +bx11111111 a$ +1>% +#380 +bx000000000 X$ +bx000000000 `$ +0J% +1I% +#400 +1O% +bx111111111 a$ +1G% +#420 +bx0000000000 X$ +bx0000000000 `$ +0S% +1R% +#440 +1X% +bx1111111111 a$ +1P% +#460 +bx00000000000 X$ +bx00000000000 `$ +0\% +1[% +#480 +1a% +bx11111111111 a$ +1Y% +#500 +bx000000000000 X$ +bx000000000000 `$ +0e% +1d% +#520 +1j% +bx111111111111 a$ +1b% +#540 +bx0000000000000 X$ +bx0000000000000 `$ +0n% +1m% +#560 +1s% +bx1111111111111 a$ +1k% +#580 +bx00000000000000 X$ +bx00000000000000 `$ +0w% +1v% +#600 +1|% +bx11111111111111 a$ +1t% +#620 +bx000000000000000 X$ +bx000000000000000 `$ +0"& +1!& +#640 +1'& +bx111111111111111 a$ +1}% +#660 +bx0000000000000000 X$ +bx0000000000000000 `$ +0+& +1*& +#680 +10& +bx1111111111111111 a$ +1(& +#700 +bx00000000000000000 X$ +bx00000000000000000 `$ +04& +13& +#720 +19& +bx11111111111111111 a$ +11& +#740 +bx000000000000000000 X$ +bx000000000000000000 `$ +0=& +1<& +#760 +1B& +bx111111111111111111 a$ +1:& +#780 +bx0000000000000000000 X$ +bx0000000000000000000 `$ +0F& +1E& +#800 +1K& +bx1111111111111111111 a$ +1C& +#820 +bx00000000000000000000 X$ +bx00000000000000000000 `$ +0O& +1N& +#840 +1T& +bx11111111111111111111 a$ +1L& +#860 +bx000000000000000000000 X$ +bx000000000000000000000 `$ +0X& +1W& +#880 +1]& +bx111111111111111111111 a$ +1U& +#900 +bx0000000000000000000000 X$ +bx0000000000000000000000 `$ +0a& +1`& +#920 +1f& +bx1111111111111111111111 a$ +1^& +#940 +bx00000000000000000000000 X$ +bx00000000000000000000000 `$ +0j& +1i& +#960 +1o& +bx11111111111111111111111 a$ +1g& +#980 +bx000000000000000000000000 X$ +bx000000000000000000000000 `$ +0s& +1r& +#1000 +1x& +1P +1Y +1b +1k +1t +1} +1(" +11" +1:" +1C" +1L" +1U" +1^" +1g" +1p" +1y" +1$# +1-# +16# +1?# +1H# +1Q# +1Z# +1c# +1l# +1u# +1~# +1)$ +12$ +1;$ +1L$ +1D$ +1d$ +1m$ +1v$ +1!% +1*% +13% +1<% +1E% +1N% +1W% +1`% +1i% +1r% +1{% +1&& +1/& +18& +1A& +1J& +1S& +1\& +1e& +1n& +1w& +1"' +1+' +14' +1=' +1F' +1O' +1`' +1X' +1N +1W +1` +1i +1r +1{ +1&" +1/" +18" +1A" +1J" +1S" +1\" +1e" +1n" +1w" +1"# +1+# +14# +1=# +1F# +1O# +1X# +1a# +1j# +1s# +1|# +1'$ +10$ +19$ +1J$ +1B$ +1b$ +1k$ +1t$ +1}$ +1(% +11% +1:% +1C% +1L% +1U% +1^% +1g% +1p% +1y% +1$& +1-& +16& +1?& +1H& +1Q& +1Z& +1c& +1l& +1u& +1~& +1)' +12' +1;' +1D' +1M' +1^' +1V' +bx111111111111111111111111 a$ +1p& +b11111111111111111111111111111111 ' +b11111111111111111111111111111111 * +b11111111111111111111111111111111 6 +b11111111111111111111111111111111 K +b11111111111111111111111111111111 S$ +b11111111111111111111111111111111 V$ +b11111111111111111111111111111111 ^$ +b11111111111111111111111111111111 g' +b11111111111111111111111111111111 j' +b11111111111111111111111111111111 & +b11111111111111111111111111111111 ) +b11111111111111111111111111111111 5 +b11111111111111111111111111111111 J +b11111111111111111111111111111111 R$ +b11111111111111111111111111111111 U$ +b11111111111111111111111111111111 ]$ +b11111111111111111111111111111111 f' +b11111111111111111111111111111111 i' +#1020 +bx0000000000000000000000000 X$ +bx0000000000000000000000000 `$ +0|& +1{& +1O +1X +1a +1j +1s +1| +1'" +10" +19" +1B" +1K" +1T" +1]" +1f" +1o" +1x" +1## +1,# +15# +1># +1G# +1P# +1Y# +1b# +1k# +1t# +1}# +1($ +11$ +1:$ +1K$ +1C$ +0c$ +0l$ +0u$ +0~$ +0)% +02% +0;% +0D% +0M% +0V% +0_% +0h% +0q% +0z% +0%& +0.& +07& +0@& +0I& +0R& +0[& +0d& +0m& +0v& +0!' +0*' +03' +0<' +0E' +0N' +0_' +0W' +1V +1_ +1h +1q +1z +1%" +1." +17" +1@" +1I" +1R" +1[" +1d" +1m" +1v" +1!# +1*# +13# +1<# +1E# +1N# +1W# +1`# +1i# +1r# +1{# +1&$ +1/$ +18$ +1A$ +1Q$ +1I$ +0j$ +1g$ +0s$ +1p$ +0|$ +1y$ +0'% +1$% +00% +1-% +09% +16% +0B% +1?% +0K% +1H% +0T% +1Q% +0]% +1Z% +0f% +1c% +0o% +1l% +0x% +1u% +0#& +1~% +0,& +1)& +05& +12& +0>& +1;& +0G& +1D& +0P& +1M& +0Y& +1V& +0b& +1_& +0k& +1h& +0t& +1q& +0}& +1z& +0(' +1%' +01' +1.' +0:' +17' +0C' +1@' +0L' +1I' +0U' +1R' +0e' +1b' +0]' +1Z' +#1030 +b0 A +b0 k' +#1040 +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b11111111111111111111111111111111 " +b11111111111111111111111111111111 + +b11111111111111111111111111111111 H +0V +1S +0_ +1\ +0h +1e +0q +1n +0z +1w +0%" +1"" +0." +1+" +07" +14" +0@" +1=" +0I" +1F" +0R" +1O" +0[" +1X" +0d" +1a" +0m" +1j" +0v" +1s" +0!# +1|" +0*# +1'# +03# +10# +0<# +19# +0E# +1B# +0N# +1K# +0W# +1T# +0`# +1]# +0i# +1f# +0r# +1o# +0{# +1x# +0&$ +1#$ +0/$ +1,$ +08$ +15$ +0A$ +1>$ +0Q$ +1N$ +0I$ +1F$ +1j$ +0g$ +1s$ +0p$ +1|$ +0y$ +1'% +0$% +10% +0-% +19% +06% +1B% +0?% +1K% +0H% +1T% +0Q% +1]% +0Z% +1f% +0c% +1o% +0l% +1x% +0u% +1#& +0~% +1,& +0)& +15& +02& +1>& +0;& +1G& +0D& +1P& +0M& +1Y& +0V& +1b& +0_& +1k& +0h& +1t& +0q& +1}& +0z& +1(' +0%' +11' +0.' +1:' +07' +1C' +0@' +1L' +0I' +1U' +0R' +1e' +0b' +1]' +0Z' +1U +1^ +1g +1p +1y +1$" +1-" +16" +1?" +1H" +1Q" +1Z" +1c" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +1%$ +1.$ +17$ +1@$ +1P$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1y& +0&' +1$' +0/' +1-' +08' +16' +0A' +1?' +0J' +1H' +0S' +b1111111111111111111111111111111 a$ +1Q' +bx1111111111111111111111111 X$ +bx1111111111111111111111111 `$ +1d' +0c' +0[' +1Z$ +b11111111111111111111111111111111 B +b11111111111111111111111111111111 h' +#1060 +1Z +1c +1l +1u +1~ +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1Q +1$ +b0 " +b0 + +b0 H +0n$ +0w$ +0"% +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0e$ +1I +0Y$ +1\$ +0U +1R +0^ +1[ +0g +1d +0p +1m +0y +1v +0$" +1!" +0-" +1*" +06" +13" +0?" +1<" +0H" +1E" +0Q" +1N" +0Z" +1W" +0c" +1`" +0l" +1i" +0u" +1r" +0~" +1{" +0)# +1&# +02# +1/# +0;# +18# +0D# +1A# +0M# +1J# +0V# +1S# +0_# +1\# +0h# +1e# +0q# +1n# +0z# +1w# +0%$ +1"$ +0.$ +1+$ +07$ +14$ +0@$ +1=$ +0P$ +b1111111111111111111111111111111 M +1M$ +b0 C +b0 L +0H$ +1G +0i$ +1h$ +0r$ +1q$ +0{$ +1z$ +0&% +1%% +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0d' +1c' +b0 X$ +b0 `$ +0\' +1[' +0f$ +0o$ +0x$ +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +b0 a$ +0a' +0Z$ +#1070 +0> +0W$ +#1080 +0! +b11111111111111111111111111111110 " +b11111111111111111111111111111110 + +b11111111111111111111111111111110 H +1n$ +1w$ +1"% +1+% +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1e$ +1^ +1g +1p +1y +1$" +1-" +16" +1?" +1H" +1Q" +1Z" +1c" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +1%$ +1.$ +17$ +1@$ +1H$ +0I +b11111111111111111111111111111110 C +b11111111111111111111111111111110 L +1U +0\$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111111110 X$ +b11111111111111111111111111111110 `$ +1i$ +0h$ +b0 @ +b0 [$ +1f$ +1o$ +1x$ +1#% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +b1111111111111111111111111111111 a$ +1a' +1Z$ +#1090 +1> +1W$ +#1100 +1! +0w$ +0"% +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0n$ +b1 @ +b1 [$ +0r$ +1q$ +0{$ +1z$ +0&% +1%% +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0i$ +1h$ +0o$ +0x$ +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1 a$ +0f$ +#1120 +1w$ +1"% +1+% +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1n$ +b0 @ +b0 [$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111111100 X$ +b11111111111111111111111111111100 `$ +1r$ +0q$ +1o$ +1x$ +1#% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1f$ +#1140 +0"% +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0w$ +b1 @ +b1 [$ +0{$ +1z$ +0&% +1%% +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0r$ +1q$ +0x$ +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11 a$ +0o$ +#1160 +1"% +1+% +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1w$ +b0 @ +b0 [$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111111000 X$ +b11111111111111111111111111111000 `$ +1{$ +0z$ +1x$ +1#% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1o$ +#1180 +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0"% +b1 @ +b1 [$ +0&% +1%% +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0{$ +1z$ +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111 a$ +0x$ +#1200 +1+% +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1"% +b0 @ +b0 [$ +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111110000 X$ +b11111111111111111111111111110000 `$ +1&% +0%% +1#% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1x$ +#1220 +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0+% +b1 @ +b1 [$ +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0&% +1%% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111 a$ +0#% +#1240 +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1+% +b0 @ +b0 [$ +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +1/% +0.% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1#% +#1260 +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +04% +b1 @ +b1 [$ +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0/% +1.% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111 a$ +0,% +#1280 +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +14% +b0 @ +b0 [$ +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111111000000 X$ +b11111111111111111111111111000000 `$ +18% +07% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1,% +#1300 +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0=% +b1 @ +b1 [$ +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +08% +17% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111 a$ +05% +#1320 +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1=% +b0 @ +b0 [$ +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111110000000 X$ +b11111111111111111111111110000000 `$ +1A% +0@% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +15% +#1340 +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0F% +b1 @ +b1 [$ +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0A% +1@% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111 a$ +0>% +#1360 +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1F% +b0 @ +b0 [$ +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111100000000 X$ +b11111111111111111111111100000000 `$ +1J% +0I% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1>% +#1380 +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0O% +b1 @ +b1 [$ +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0J% +1I% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111 a$ +0G% +#1400 +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1O% +b0 @ +b0 [$ +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111111000000000 X$ +b11111111111111111111111000000000 `$ +1S% +0R% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1G% +#1420 +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0X% +b1 @ +b1 [$ +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0S% +1R% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111 a$ +0P% +#1440 +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1X% +b0 @ +b0 [$ +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111110000000000 X$ +b11111111111111111111110000000000 `$ +1\% +0[% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1P% +#1460 +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0a% +b1 @ +b1 [$ +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0\% +1[% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111111 a$ +0Y% +#1480 +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1a% +b0 @ +b0 [$ +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111100000000000 X$ +b11111111111111111111100000000000 `$ +1e% +0d% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1Y% +#1500 +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0j% +b1 @ +b1 [$ +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0e% +1d% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111111 a$ +0b% +#1520 +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1j% +b0 @ +b0 [$ +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111111000000000000 X$ +b11111111111111111111000000000000 `$ +1n% +0m% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1b% +#1540 +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0s% +b1 @ +b1 [$ +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0n% +1m% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111111 a$ +0k% +#1560 +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1s% +b0 @ +b0 [$ +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111110000000000000 X$ +b11111111111111111110000000000000 `$ +1w% +0v% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1k% +#1580 +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0|% +b1 @ +b1 [$ +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0w% +1v% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111111111 a$ +0t% +#1600 +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1|% +b0 @ +b0 [$ +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111100000000000000 X$ +b11111111111111111100000000000000 `$ +1"& +0!& +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1t% +#1620 +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0'& +b1 @ +b1 [$ +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0"& +1!& +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111111111 a$ +0}% +#1640 +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1'& +b0 @ +b0 [$ +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111111000000000000000 X$ +b11111111111111111000000000000000 `$ +1+& +0*& +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1}% +#1660 +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +00& +b1 @ +b1 [$ +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0+& +1*& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111111111 a$ +0(& +#1680 +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +10& +b0 @ +b0 [$ +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111110000000000000000 X$ +b11111111111111110000000000000000 `$ +14& +03& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1(& +#1700 +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +09& +b1 @ +b1 [$ +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +04& +13& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111111111111 a$ +01& +#1720 +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +19& +b0 @ +b0 [$ +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111100000000000000000 X$ +b11111111111111100000000000000000 `$ +1=& +0<& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +11& +#1740 +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0B& +b1 @ +b1 [$ +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0=& +1<& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111111111111 a$ +0:& +#1760 +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1B& +b0 @ +b0 [$ +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111111000000000000000000 X$ +b11111111111111000000000000000000 `$ +1F& +0E& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1:& +#1780 +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0K& +b1 @ +b1 [$ +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0F& +1E& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111111111111 a$ +0C& +#1800 +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1K& +b0 @ +b0 [$ +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111110000000000000000000 X$ +b11111111111110000000000000000000 `$ +1O& +0N& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1C& +#1820 +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0T& +b1 @ +b1 [$ +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0O& +1N& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111111111111111 a$ +0L& +#1840 +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1T& +b0 @ +b0 [$ +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111100000000000000000000 X$ +b11111111111100000000000000000000 `$ +1X& +0W& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1L& +#1860 +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0]& +b1 @ +b1 [$ +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0X& +1W& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111111111111111 a$ +0U& +#1880 +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1]& +b0 @ +b0 [$ +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111111000000000000000000000 X$ +b11111111111000000000000000000000 `$ +1a& +0`& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1U& +#1900 +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0f& +b1 @ +b1 [$ +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0a& +1`& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111111111111111 a$ +0^& +#1920 +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1f& +b0 @ +b0 [$ +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111110000000000000000000000 X$ +b11111111110000000000000000000000 `$ +1j& +0i& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1^& +#1940 +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0o& +b1 @ +b1 [$ +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0j& +1i& +0p& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b1111111111111111111111 a$ +0g& +#1960 +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1o& +b0 @ +b0 [$ +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111100000000000000000000000 X$ +b11111111100000000000000000000000 `$ +1s& +0r& +1p& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1g& +#1980 +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0x& +b1 @ +b1 [$ +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0s& +1r& +0y& +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b11111111111111111111111 a$ +0p& +#2000 +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1x& +0;$ +0O' +0s# +0|# +0'$ +00$ +09$ +0)' +02' +0;' +0D' +0M' +b0 @ +b0 [$ +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +b11111111000000000000000000000000 X$ +b11111111000000000000000000000000 `$ +1|& +0{& +1y& +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1p& +b10111111111111111111111111111111 ' +b10111111111111111111111111111111 * +b10111111111111111111111111111111 6 +b10111111111111111111111111111111 K +b10111111111111111111111111111111 S$ +b10111111111111111111111111111111 V$ +b10111111111111111111111111111111 ^$ +b10111111111111111111111111111111 g' +b10111111111111111111111111111111 j' +b10000011111111111111111111111111 & +b10000011111111111111111111111111 ) +b10000011111111111111111111111111 5 +b10000011111111111111111111111111 J +b10000011111111111111111111111111 R$ +b10000011111111111111111111111111 U$ +b10000011111111111111111111111111 ]$ +b10000011111111111111111111111111 f' +b10000011111111111111111111111111 i' +#2020 +0,' +05' +0>' +0G' +0P' +0Y' +0#' +b1 @ +b1 [$ +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +0\' +1[' +b0 X$ +b0 `$ +0|& +1{& +0:$ +1N' +1{# +0x# +1&$ +0#$ +1/$ +0,$ +18$ +05$ +1A$ +0>$ +b111100000000000000000000000000 ? +b111100000000000000000000000000 T$ +01' +0:' +0C' +0L' +0U' +0$' +0-' +06' +0?' +0H' +0Q' +0Z$ +b111111111111111111111111 a$ +0y& +#2030 +b1000000000000000000000000000000 A +b1000000000000000000000000000000 k' +#2040 +1,' +15' +1>' +1G' +1P' +1Y' +1#' +0!$ +0*$ +03$ +0<$ +0E$ +b10000011111111111111111111111110 " +b10000011111111111111111111111110 + +b10000011111111111111111111111110 H +b0 @ +b0 [$ +1\' +0[' +b10000010000000000000000000000000 X$ +b10000010000000000000000000000000 `$ +1'' +0&' +1$' +1-' +16' +1?' +1H' +1Q' +1Z$ +b1111111111111111111111111111111 a$ +1y& +0A$ +1U' +0z# +1y# +0w# +0%$ +1$$ +0"$ +0.$ +1-$ +0+$ +07$ +16$ +04$ +b10000011111111111111111111111110 C +b10000011111111111111111111111110 L +0@$ +1?$ +b11111111111111111111111111 M +0=$ +0/' +08' +0A' +0J' +0S' +b10000011111111111111111111111111 B +b10000011111111111111111111111111 h' +#2060 +1# +b111011111111111111111111111110 " +b111011111111111111111111111110 + +b111011111111111111111111111110 H +0,' +1!$ +1*$ +13$ +1<$ +1E$ +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +10' +19' +1B' +1K' +1S' +0\' +1[' +b111100000000000000000000000000 X$ +b111100000000000000000000000000 `$ +0'' +1&' +1%$ +0$$ +1.$ +0-$ +17$ +06$ +b111011111111111111111111111110 C +b111011111111111111111111111110 L +0H$ +1E +0Z$ +0$' +0?$ +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111111 M +1=$ +0-' +06' +0?' +0H' +b1111111111111111111111111 a$ +0Q' +#2080 +0# +b11000011111111111111111111111110 " +b11000011111111111111111111111110 + +b11000011111111111111111111111110 H +1Y' +1,' +0*$ +03$ +0<$ +0E$ +b0 @ +b0 [$ +00' +0%$ +1$$ +0.$ +1-$ +07$ +16$ +1@$ +b11000011111111111111111111111110 C +b11000011111111111111111111111110 L +1H$ +0E +09' +0B' +0K' +1T' +0S' +b11000000000000000000000000000000 X$ +b11000000000000000000000000000000 `$ +1\' +0[' +1Q' +1Z$ +b1000011111111111111111111111111 a$ +1$' +0"$ +0+$ +04$ +b111111111111111111111111111 M +0=$ +#2100 +1# +b110011111111111111111111111110 " +b110011111111111111111111111110 + +b110011111111111111111111111110 H +1*$ +13$ +1<$ +0Y' +b1 @ +b1 [$ +0\' +1[' +b1000100000000000000000000000000 X$ +b1000100000000000000000000000000 `$ +10' +1.$ +0-$ +17$ +06$ +0@$ +b110011111111111111111111111110 C +b110011111111111111111111111110 L +0H$ +1E +1"$ +1+$ +b111111111111111111111111111111 M +14$ +b11111111111111111111111111 a$ +0Q' +0Z$ +#2120 +b1000011111111111111111111111110 " +b1000011111111111111111111111110 + +b1000011111111111111111111111110 H +03$ +0<$ +b0 @ +b0 [$ +0.$ +1-$ +07$ +16$ +b1000011111111111111111111111110 C +b1000011111111111111111111111110 L +1@$ +b11000100000000000000000000000000 X$ +b11000100000000000000000000000000 `$ +1\' +0[' +1Z$ +0+$ +b1111111111111111111111111111 M +04$ +#2140 +b100011111111111111111111111110 " +b100011111111111111111111111110 + +b100011111111111111111111111110 H +13$ +1<$ +b1 @ +b1 [$ +17$ +06$ +b100011111111111111111111111110 C +b100011111111111111111111111110 L +0@$ +1+$ +b111111111111111111111111111111 M +14$ +0Z$ +1Y$ +#2160 +0<$ +b1000011111111111111111111111110 " +b1000011111111111111111111111110 + +b1000011111111111111111111111110 H +07$ +16$ +b1000011111111111111111111111110 C +b1000011111111111111111111111110 L +1@$ +b11111111111111111111111111111 M +04$ +0Y$ +b0 @ +b0 [$ +#2180 +1<$ +b11111111111111111111111110 " +b11111111111111111111111110 + +b11111111111111111111111110 H +b11111111111111111111111110 C +b11111111111111111111111110 L +0@$ +b111111111111111111111111111111 M +14$ +b1 @ +b1 [$ +#2200 +b1000011111111111111111111111110 " +b1000011111111111111111111111110 + +b1000011111111111111111111111110 H +b1000011111111111111111111111110 C +b1000011111111111111111111111110 L +1@$ +#3000 +0P +0k +0t +0} +0(" +01" +0:" +0C" +0L" +0U" +0^" +0g" +0p" +0y" +0$# +0-# +06# +0?# +0H# +0Q# +0Z# +0c# +0l# +0u# +0~# +0)$ +02$ +1;$ +0L$ +0D$ +0d$ +0!% +0*% +03% +0<% +0E% +0N% +0W% +0`% +0i% +0r% +0{% +0&& +0/& +08& +0A& +0J& +0S& +0\& +0e& +0n& +0w& +0"' +0+' +04' +0=' +0F' +1O' +0`' +0X' +0i +0r +0{ +0&" +0/" +08" +0A" +0J" +0S" +0\" +0e" +0n" +0w" +0"# +0+# +04# +0=# +0F# +0O# +0X# +0a# +0j# +19$ +0J$ +0B$ +0}$ +0(% +01% +0:% +0C% +0L% +0U% +0^% +0g% +0p% +0y% +0$& +0-& +06& +0?& +0H& +0Q& +0Z& +0c& +0l& +0u& +0~& +1M' +0^' +0V' +b1000000000000000000000000001100 ' +b1000000000000000000000000001100 * +b1000000000000000000000000001100 6 +b1000000000000000000000000001100 K +b1000000000000000000000000001100 S$ +b1000000000000000000000000001100 V$ +b1000000000000000000000000001100 ^$ +b1000000000000000000000000001100 g' +b1000000000000000000000000001100 j' +b1000000000000000000000000001110 & +b1000000000000000000000000001110 ) +b1000000000000000000000000001110 5 +b1000000000000000000000000001110 J +b1000000000000000000000000001110 R$ +b1000000000000000000000000001110 U$ +b1000000000000000000000000001110 ]$ +b1000000000000000000000000001110 f' +b1000000000000000000000000001110 i' +#3020 +0O +0j +0s +0| +0'" +00" +09" +0B" +0K" +0T" +0]" +0f" +0o" +0x" +0## +0,# +05# +0># +0G# +0P# +0Y# +0b# +0k# +0t# +0}# +0($ +01$ +1:$ +0K$ +0C$ +b10 ? +b10 T$ +1c$ +1~$ +1)% +12% +1;% +1D% +1M% +1V% +1_% +1h% +1q% +1z% +1%& +1.& +17& +1@& +1I& +1R& +1[& +1d& +1m& +1v& +1!' +1*' +13' +1<' +1E' +0N' +1_' +1W' +1q +0n +1z +0w +1%" +0"" +1." +0+" +17" +04" +1@" +0=" +1I" +0F" +1R" +0O" +1[" +0X" +1d" +0a" +1m" +0j" +1v" +0s" +1!# +0|" +1*# +0'# +13# +00# +1<# +09# +1E# +0B# +1N# +0K# +1W# +0T# +1`# +0]# +1i# +0f# +1r# +0o# +1A$ +1Q$ +0N$ +1I$ +0F$ +0'% +00% +09% +0B% +0K% +0T% +0]% +0f% +0o% +0x% +0#& +0,& +05& +0>& +0G& +0P& +0Y& +0b& +0k& +0t& +0}& +0(' +0U' +1R' +0e' +0]' +#3030 +b10111111111111111111111111110001 A +b10111111111111111111111111110001 k' +#3040 +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0Q +1Y' +0$ +b10000000000000000000000000001111 " +b10000000000000000000000000001111 + +b10000000000000000000000000001111 H +1V +0S +0q +0z +0%" +0." +07" +0@" +0I" +0R" +0[" +0d" +0m" +0v" +0!# +0*# +03# +0<# +0E# +0N# +0W# +0`# +0i# +0r# +0{# +0&$ +0/$ +08$ +0A$ +1>$ +0Q$ +0I$ +0j$ +1g$ +1'% +10% +19% +1B% +1K% +1T% +1]% +1f% +1o% +1x% +1#& +1,& +15& +1>& +1G& +1P& +1Y& +1b& +1k& +1t& +1}& +1(' +11' +1:' +1C' +1L' +1U' +0R' +1e' +1]' +0p +1o +0m +0y +1x +0v +0$" +1#" +0!" +0-" +1," +0*" +06" +15" +03" +0?" +1>" +0<" +0H" +1G" +0E" +0Q" +1P" +0N" +0Z" +1Y" +0W" +0c" +1b" +0`" +0l" +1k" +0i" +0u" +1t" +0r" +0~" +1}" +0{" +0)# +1(# +0&# +02# +11# +0/# +0;# +1:# +08# +0D# +1C# +0A# +0M# +1L# +0J# +0V# +1U# +0S# +0_# +1^# +0\# +0h# +1g# +0e# +0q# +1p# +0n# +0@$ +1?$ +1P$ +b111100000000000000000000001110 M +0M$ +b10000000000000000000000000001111 C +b10000000000000000000000000001111 L +1H$ +0G +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +0T' +b1000011111111111111111111111111 a$ +1Q' +1d' +0c' +b111111111111111111111110001 X$ +b111111111111111111111110001 `$ +0\' +b1000000000000000000000000001100 B +b1000000000000000000000000001100 h' +#3060 +0Z +0# +b1111000000000000000000000011110 " +b1111000000000000000000000011110 + +b1111000000000000000000000011110 H +0Y' +1u +1~ +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1E$ +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +0e$ +1I +1Y$ +1\$ +b0 @ +b0 [$ +0R +1p +0o +0x +0#" +0," +05" +0>" +0G" +0P" +0Y" +0b" +0k" +0t" +0}" +0(# +01# +0:# +0C# +0L# +0U# +0^# +0g# +0p# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +0P$ +b1111000000000000000000000011110 C +b1111000000000000000000000011110 L +0H$ +1i$ +0h$ +0&% +1%% +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +19' +1B' +1K' +1T' +0Q' +b1111000000000000000000000000010 X$ +b1111000000000000000000000000010 `$ +0d' +1c' +1[' +1m +1v +1!" +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +b1111111111111111111111111111100 M +1=$ +0E +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +b1110 a$ +0a' +#3070 +0> +0W$ +#3080 +1# +0! +b11111111111111111111111111111010 " +b11111111111111111111111111111010 + +b11111111111111111111111111111010 H +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +1+% +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1e$ +0^ +0I +1\' +0[' +0Y$ +0\$ +1y +1$" +1-" +16" +1?" +1H" +1Q" +1Z" +1c" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +b11111111111111111111111111111010 C +b11111111111111111111111111111010 L +1H$ +1E +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +0i$ +b1 @ +b1 [$ +0m +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +b1000000000000000000000000001100 M +04$ +1#% +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +b111111111111111111111111111 a$ +1a' +1Z$ +#3090 +1> +1W$ +#3100 +1! +b10000000000000000000000000011010 " +b10000000000000000000000000011010 + +b10000000000000000000000000011010 H +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0y +0$" +0-" +06" +0?" +0H" +0Q" +0Z" +0c" +0l" +0u" +0~" +0)# +02# +0;# +0D# +0M# +0V# +0_# +0h# +0q# +0z# +0%$ +0.$ +07$ +b10000000000000000000000000011010 C +b10000000000000000000000000011010 L +0@$ +0/% +1.% +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +b11110000000000000000000000000010 X$ +b11110000000000000000000000000010 `$ +1i$ +0Z$ +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +b11111 a$ +0-' +1Y$ +#3120 +14% +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +b11111111111111111111111111000010 X$ +b11111111111111111111111111000010 `$ +19' +08' +1,% +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +b1111111111111111111111111111 a$ +16' +0Y$ +b0 @ +b0 [$ +#3140 +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +08% +17% +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +b11100000000000000000000000000010 X$ +b11100000000000000000000000000010 `$ +0B' +1A' +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +b111111 a$ +06' +b1 @ +b1 [$ +#3160 +1=% +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +b11111111111111111111111110000010 X$ +b11111111111111111111111110000010 `$ +1B' +0A' +15% +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +b11111111111111111111111111111 a$ +1?' +#3180 +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0A% +1@% +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +b11000000000000000000000000000010 X$ +b11000000000000000000000000000010 `$ +0K' +1J' +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +b1111111 a$ +0?' +#3200 +1F% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +b11111111111111111111111100000010 X$ +b11111111111111111111111100000010 `$ +1K' +0J' +1>% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +b111111111111111111111111111111 a$ +1H' +#3220 +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0J% +1I% +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +b10000000000000000000000000000010 X$ +b10000000000000000000000000000010 `$ +0T' +1S' +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +b11111111 a$ +0H' +#3240 +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +b11111111111111111111111000000010 X$ +b11111111111111111111111000000010 `$ +1T' +0S' +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +#3260 +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0S% +1R% +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +1Y$ +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b111111111 a$ +0Q' +#3280 +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111111110000000010 X$ +b11111111111111111111110000000010 `$ +1\' +0[' +0Y$ +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3300 +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +0\% +1[% +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1111111111 a$ +0Q' +0Z$ +#3320 +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111111100000000010 X$ +b11111111111111111111100000000010 `$ +1\' +0[' +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3340 +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0e% +1d% +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b11111111111 a$ +0Q' +0Z$ +#3360 +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111111000000000010 X$ +b11111111111111111111000000000010 `$ +1\' +0[' +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3380 +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0n% +1m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b111111111111 a$ +0Q' +0Z$ +#3400 +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111110000000000010 X$ +b11111111111111111110000000000010 `$ +1\' +0[' +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3420 +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1111111111111 a$ +0Q' +0Z$ +#3440 +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111100000000000010 X$ +b11111111111111111100000000000010 `$ +1\' +0[' +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3460 +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b11111111111111 a$ +0Q' +0Z$ +#3480 +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111000000000000010 X$ +b11111111111111111000000000000010 `$ +1\' +0[' +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3500 +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b111111111111111 a$ +0Q' +0Z$ +#3520 +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111110000000000000010 X$ +b11111111111111110000000000000010 `$ +1\' +0[' +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3540 +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1111111111111111 a$ +0Q' +0Z$ +#3560 +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111100000000000000010 X$ +b11111111111111100000000000000010 `$ +1\' +0[' +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3580 +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b11111111111111111 a$ +0Q' +0Z$ +#3600 +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111000000000000000010 X$ +b11111111111111000000000000000010 `$ +1\' +0[' +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3620 +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b111111111111111111 a$ +0Q' +0Z$ +#3640 +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111110000000000000000010 X$ +b11111111111110000000000000000010 `$ +1\' +0[' +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3660 +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1111111111111111111 a$ +0Q' +0Z$ +#3680 +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111100000000000000000010 X$ +b11111111111100000000000000000010 `$ +1\' +0[' +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3700 +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b11111111111111111111 a$ +0Q' +0Z$ +#3720 +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111000000000000000000010 X$ +b11111111111000000000000000000010 `$ +1\' +0[' +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3740 +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b111111111111111111111 a$ +0Q' +0Z$ +#3760 +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111110000000000000000000010 X$ +b11111111110000000000000000000010 `$ +1\' +0[' +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3780 +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1111111111111111111111 a$ +0Q' +0Z$ +#3800 +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111100000000000000000000010 X$ +b11111111100000000000000000000010 `$ +1\' +0[' +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3820 +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0p& +0y& +0$' +0-' +06' +0?' +0H' +b11111111111111111111111 a$ +0Q' +0Z$ +#3840 +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111000000000000000000000010 X$ +b11111111000000000000000000000010 `$ +1\' +0[' +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3860 +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0y& +0$' +0-' +06' +0?' +0H' +b111111111111111111111111 a$ +0Q' +0Z$ +#3880 +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111110000000000000000000000010 X$ +b11111110000000000000000000000010 `$ +1\' +0[' +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3900 +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0$' +0-' +06' +0?' +0H' +b1111111111111111111111111 a$ +0Q' +0Z$ +#3920 +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111100000000000000000000000010 X$ +b11111100000000000000000000000010 `$ +1\' +0[' +1$' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3940 +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +0-' +06' +0?' +0H' +b11111111111111111111111111 a$ +0Q' +0Z$ +#3960 +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111000000000000000000000000010 X$ +b11111000000000000000000000000010 `$ +1\' +0[' +1-' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +#3980 +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +06' +0?' +0H' +b111111111111111111111111111 a$ +0Q' +0Z$ +#4000 +1>' +1G' +1P' +1Y' +1. +0Y +0b +0;$ +0m$ +0v$ +0O' +0N +0W +0` +09$ +0b$ +0k$ +0t$ +0M' +b0 @ +b0 [$ +1B' +0A' +1K' +0J' +1T' +0S' +b11110000000000000000000000000010 X$ +b11110000000000000000000000000010 `$ +1\' +0[' +16' +1?' +1H' +b1111111111111111111111111111111 a$ +1Q' +1Z$ +b1 % +b1 ( +b1 / +b0 ' +b0 * +b0 6 +b0 K +b0 S$ +b0 V$ +b0 ^$ +b0 g' +b0 j' +b0 & +b0 ) +b0 5 +b0 J +b0 R$ +b0 U$ +b0 ]$ +b0 f' +b0 i' +#4020 +b10000000000000000000000000011011 " +b10000000000000000000000000011011 + +b10000000000000000000000000011011 H +0G' +0P' +0Y' +b1 @ +b1 [$ +0B' +1A' +0K' +1J' +0T' +1S' +b10 X$ +b10 `$ +0\' +1[' +1C$ +1K$ +b10000000000000000000000000011011 C +b10000000000000000000000000011011 L +1P$ +1O +1j +1s +1| +1'" +10" +19" +1B" +1K" +1T" +1]" +1f" +1o" +1x" +1## +1,# +15# +1># +1G# +1P# +1Y# +1b# +1k# +1t# +1}# +1($ +11$ +1l$ +1u$ +1N' +0V +1_ +0\ +1h +0e +1A$ +0>$ +b0 ? +b0 T$ +1j$ +0g$ +0s$ +0|$ +0U' +0?' +0H' +b1111111111111111111111111111 a$ +0Q' +0Z$ +#4030 +b11111111111111111111111111111111 A +b11111111111111111111111111111111 k' +#4040 +1G' +1P' +1Y' +0c +0l +0E$ +0n$ +b11000000000000000000000000010101 " +b11000000000000000000000000010101 + +b11000000000000000000000000010101 H +b0 @ +b0 [$ +1I +1K' +0J' +1\' +0[' +1?' +1H' +1Q' +1Z$ +1I$ +1Q$ +1V +1q +1z +1%" +1." +17" +1@" +1I" +1R" +1[" +1d" +1m" +1v" +1!# +1*# +13# +1<# +1E# +1N# +1W# +1`# +1i# +1r# +1{# +1&$ +1/$ +18$ +1s$ +1|$ +1U' +0U +1^ +0[ +0g +1f +0d +b11000000000000000000000000010101 C +b11000000000000000000000000010101 L +1@$ +b0 M +0=$ +0i$ +1h$ +b1111111111111111111111111111101 a$ +0f$ +1r$ +0q$ +b10100000000000000000000000001100 X$ +b10100000000000000000000000001100 `$ +1{$ +0z$ +0S' +b0 B +b0 h' +#4050 +0> +#4060 +0P' +0# +0! +b11111111111111111111111111111110 " +b11111111111111111111111111111110 + +b11111111111111111111111111111110 H +1l +1n$ +0w$ +0"% +0Y' +b1 @ +b1 [$ +0K' +1J' +1S' +0\' +1[' +1g +0f +0E +0H' +0Z$ +0P$ +1O$ +1U +1y +1$" +1-" +16" +1?" +1H" +1Q" +1Z" +1c" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +1%$ +1.$ +b11111111111111111111111111111110 C +b11111111111111111111111111111110 L +17$ +b100 X$ +b100 `$ +0{$ +1z$ +b1000 M +1d +1f$ +0o$ +0x$ +b11111111111111111111111110011 a$ +0Q' +#4080 +b11111111111111111111111111101110 " +b11111111111111111111111111101110 + +b11111111111111111111111111101110 H +1P' +1Y' +0l +1Q +1"% +b0 @ +b0 [$ +1T' +0S' +0I +b11111111111111111111111111101110 C +b11111111111111111111111111101110 L +0p +1o +0r$ +1q$ +1{$ +0z$ +1&% +0%% +b11000000000000000000000000011000 X$ +b11000000000000000000000000011000 `$ +1\' +0[' +1H' +1Q' +1Z$ +0d +b1 M +1M$ +b1111111111111111111111111111011 a$ +1x$ +#4090 +1> +#4100 +1! +b11111111111111111111111111111100 " +b11111111111111111111111111111100 + +b11111111111111111111111111111100 H +0Y' +1u +1w$ +0"% +0+% +b1 @ +b1 [$ +0T' +1S' +0\' +1[' +1p +0o +b11111111111111111111111111111100 C +b11111111111111111111111111111100 L +0U +1T +b1000 X$ +b1000 `$ +0&% +1%% +0Q' +b10001 M +1m +1o$ +0x$ +b111111111111111111111111100111 a$ +0#% +0Z$ +#4120 +b11111111111111111111111111011100 " +b11111111111111111111111111011100 + +b11111111111111111111111111011100 H +1Y' +0u +1Z +1+% +b0 @ +b0 [$ +1\' +0[' +b11111111111111111111111111011100 C +b11111111111111111111111111011100 L +0y +1x +0{$ +1z$ +1&% +0%% +b10000000000000000000000000110000 X$ +b10000000000000000000000000110000 `$ +1/% +0.% +1Q' +1Z$ +0m +b11 M +1R +b1111111111111111111111111110111 a$ +1#% +#4140 +b11111111111111111111111111111000 " +b11111111111111111111111111111000 + +b11111111111111111111111111111000 H +1~ +1"% +0+% +04% +b1 @ +b1 [$ +0\' +1[' +1y +0x +b11111111111111111111111111111000 C +b11111111111111111111111111111000 L +0^ +1] +b10000 X$ +b10000 `$ +0/% +1.% +0Z$ +b100011 M +1v +1x$ +0#% +b1111111111111111111111111001111 a$ +0,% +#4160 +b11111111111111111111111110111000 " +b11111111111111111111111110111000 + +b11111111111111111111111110111000 H +0~ +1c +14% +b0 @ +b0 [$ +b11111111111111111111111110111000 C +b11111111111111111111111110111000 L +0$" +1#" +0&% +1%% +1/% +0.% +b1100000 X$ +b1100000 `$ +18% +07% +1Z$ +0v +b111 M +1[ +b1111111111111111111111111101111 a$ +1,% +1Y$ +#4180 +1)" +1+% +04% +0=% +b11111111111111111111111111110000 " +b11111111111111111111111111110000 + +b11111111111111111111111111110000 H +1$" +0#" +b11111111111111111111111111110000 C +b11111111111111111111111111110000 L +0g +1f +b100000 X$ +b100000 `$ +08% +17% +b1000111 M +1!" +1#% +0,% +b1111111111111111111111110011111 a$ +05% +0Y$ +b1 @ +b1 [$ +#4200 +0)" +1l +1=% +b11111111111111111111111101110000 " +b11111111111111111111111101110000 + +b11111111111111111111111101110000 H +b11111111111111111111111101110000 C +b11111111111111111111111101110000 L +0-" +1," +0/% +1.% +18% +07% +b11000000 X$ +b11000000 `$ +1A% +0@% +0!" +b1111 M +1d +b1111111111111111111111111011111 a$ +15% +b0 @ +b0 [$ +#4220 +b11111111111111111111111111100000 " +b11111111111111111111111111100000 + +b11111111111111111111111111100000 H +12" +14% +0=% +0F% +1-" +0," +b11111111111111111111111111100000 C +b11111111111111111111111111100000 L +0p +1o +b1000000 X$ +b1000000 `$ +0A% +1@% +b10001111 M +1*" +1,% +05% +b1111111111111111111111100111111 a$ +0>% +#4240 +b11111111111111111111111011100000 " +b11111111111111111111111011100000 + +b11111111111111111111111011100000 H +02" +1u +1F% +b11111111111111111111111011100000 C +b11111111111111111111111011100000 L +06" +15" +08% +17% +1A% +0@% +b110000000 X$ +b110000000 `$ +1J% +0I% +0*" +b11111 M +1m +b1111111111111111111111110111111 a$ +1>% +#4260 +b11111111111111111111111111000000 " +b11111111111111111111111111000000 + +b11111111111111111111111111000000 H +1;" +1=% +0F% +0O% +16" +05" +b11111111111111111111111111000000 C +b11111111111111111111111111000000 L +0y +1x +b10000000 X$ +b10000000 `$ +0J% +1I% +b100011111 M +13" +15% +0>% +b1111111111111111111111001111111 a$ +0G% +#4280 +b11111111111111111111110111000000 " +b11111111111111111111110111000000 + +b11111111111111111111110111000000 H +0;" +1~ +1O% +b11111111111111111111110111000000 C +b11111111111111111111110111000000 L +0?" +1>" +0A% +1@% +1J% +0I% +b1100000000 X$ +b1100000000 `$ +1S% +0R% +03" +b111111 M +1v +b1111111111111111111111101111111 a$ +1G% +#4300 +b11111111111111111111111110000000 " +b11111111111111111111111110000000 + +b11111111111111111111111110000000 H +1D" +1F% +0O% +0X% +1?" +0>" +b11111111111111111111111110000000 C +b11111111111111111111111110000000 L +0$" +1#" +b100000000 X$ +b100000000 `$ +0S% +1R% +b1000111111 M +1<" +1>% +0G% +b1111111111111111111110011111111 a$ +0P% +#4320 +b11111111111111111111101110000000 " +b11111111111111111111101110000000 + +b11111111111111111111101110000000 H +0D" +1)" +1X% +b11111111111111111111101110000000 C +b11111111111111111111101110000000 L +0H" +1G" +0J% +1I% +1S% +0R% +b11000000000 X$ +b11000000000 `$ +1\% +0[% +0<" +b1111111 M +1!" +b1111111111111111111111011111111 a$ +1P% +#4340 +b11111111111111111111111100000000 " +b11111111111111111111111100000000 + +b11111111111111111111111100000000 H +1M" +1O% +0X% +0a% +1H" +0G" +b11111111111111111111111100000000 C +b11111111111111111111111100000000 L +0-" +1," +b1000000000 X$ +b1000000000 `$ +0\% +1[% +b10001111111 M +1E" +1G% +0P% +b1111111111111111111100111111111 a$ +0Y% +#4360 +b11111111111111111111011100000000 " +b11111111111111111111011100000000 + +b11111111111111111111011100000000 H +0M" +12" +1a% +b11111111111111111111011100000000 C +b11111111111111111111011100000000 L +0Q" +1P" +0S% +1R% +1\% +0[% +b110000000000 X$ +b110000000000 `$ +1e% +0d% +0E" +b11111111 M +1*" +b1111111111111111111110111111111 a$ +1Y% +#4380 +b11111111111111111111111000000000 " +b11111111111111111111111000000000 + +b11111111111111111111111000000000 H +1V" +1X% +0a% +0j% +1Q" +0P" +b11111111111111111111111000000000 C +b11111111111111111111111000000000 L +06" +15" +b10000000000 X$ +b10000000000 `$ +0e% +1d% +b100011111111 M +1N" +1P% +0Y% +b1111111111111111111001111111111 a$ +0b% +#4400 +b11111111111111111110111000000000 " +b11111111111111111110111000000000 + +b11111111111111111110111000000000 H +0V" +1;" +1j% +b11111111111111111110111000000000 C +b11111111111111111110111000000000 L +0Z" +1Y" +0\% +1[% +1e% +0d% +b1100000000000 X$ +b1100000000000 `$ +1n% +0m% +0N" +b111111111 M +13" +b1111111111111111111101111111111 a$ +1b% +#4420 +b11111111111111111111110000000000 " +b11111111111111111111110000000000 + +b11111111111111111111110000000000 H +1_" +1a% +0j% +0s% +1Z" +0Y" +b11111111111111111111110000000000 C +b11111111111111111111110000000000 L +0?" +1>" +b100000000000 X$ +b100000000000 `$ +0n% +1m% +b1000111111111 M +1W" +1Y% +0b% +b1111111111111111110011111111111 a$ +0k% +#4440 +b11111111111111111101110000000000 " +b11111111111111111101110000000000 + +b11111111111111111101110000000000 H +0_" +1D" +1s% +b11111111111111111101110000000000 C +b11111111111111111101110000000000 L +0c" +1b" +0e% +1d% +1n% +0m% +b11000000000000 X$ +b11000000000000 `$ +1w% +0v% +0W" +b1111111111 M +1<" +b1111111111111111111011111111111 a$ +1k% +#4460 +b11111111111111111111100000000000 " +b11111111111111111111100000000000 + +b11111111111111111111100000000000 H +1h" +1j% +0s% +0|% +1c" +0b" +b11111111111111111111100000000000 C +b11111111111111111111100000000000 L +0H" +1G" +b1000000000000 X$ +b1000000000000 `$ +0w% +1v% +b10001111111111 M +1`" +1b% +0k% +b1111111111111111100111111111111 a$ +0t% +#4480 +b11111111111111111011100000000000 " +b11111111111111111011100000000000 + +b11111111111111111011100000000000 H +0h" +1M" +1|% +b11111111111111111011100000000000 C +b11111111111111111011100000000000 L +0l" +1k" +0n% +1m% +1w% +0v% +b110000000000000 X$ +b110000000000000 `$ +1"& +0!& +0`" +b11111111111 M +1E" +b1111111111111111110111111111111 a$ +1t% +#4500 +b11111111111111111111000000000000 " +b11111111111111111111000000000000 + +b11111111111111111111000000000000 H +1q" +1s% +0|% +0'& +1l" +0k" +b11111111111111111111000000000000 C +b11111111111111111111000000000000 L +0Q" +1P" +b10000000000000 X$ +b10000000000000 `$ +0"& +1!& +b100011111111111 M +1i" +1k% +0t% +b1111111111111111001111111111111 a$ +0}% +#4520 +b11111111111111110111000000000000 " +b11111111111111110111000000000000 + +b11111111111111110111000000000000 H +0q" +1V" +1'& +b11111111111111110111000000000000 C +b11111111111111110111000000000000 L +0u" +1t" +0w% +1v% +1"& +0!& +b1100000000000000 X$ +b1100000000000000 `$ +1+& +0*& +0i" +b111111111111 M +1N" +b1111111111111111101111111111111 a$ +1}% +#4540 +b11111111111111111110000000000000 " +b11111111111111111110000000000000 + +b11111111111111111110000000000000 H +1z" +1|% +0'& +00& +1u" +0t" +b11111111111111111110000000000000 C +b11111111111111111110000000000000 L +0Z" +1Y" +b100000000000000 X$ +b100000000000000 `$ +0+& +1*& +b1000111111111111 M +1r" +1t% +0}% +b1111111111111110011111111111111 a$ +0(& +#4560 +b11111111111111101110000000000000 " +b11111111111111101110000000000000 + +b11111111111111101110000000000000 H +0z" +1_" +10& +b11111111111111101110000000000000 C +b11111111111111101110000000000000 L +0~" +1}" +0"& +1!& +1+& +0*& +b11000000000000000 X$ +b11000000000000000 `$ +14& +03& +0r" +b1111111111111 M +1W" +b1111111111111111011111111111111 a$ +1(& +#4580 +b11111111111111111100000000000000 " +b11111111111111111100000000000000 + +b11111111111111111100000000000000 H +1%# +1'& +00& +09& +1~" +0}" +b11111111111111111100000000000000 C +b11111111111111111100000000000000 L +0c" +1b" +b1000000000000000 X$ +b1000000000000000 `$ +04& +13& +b10001111111111111 M +1{" +1}% +0(& +b1111111111111100111111111111111 a$ +01& +#4600 +b11111111111111011100000000000000 " +b11111111111111011100000000000000 + +b11111111111111011100000000000000 H +0%# +1h" +19& +b11111111111111011100000000000000 C +b11111111111111011100000000000000 L +0)# +1(# +0+& +1*& +14& +03& +b110000000000000000 X$ +b110000000000000000 `$ +1=& +0<& +0{" +b11111111111111 M +1`" +b1111111111111110111111111111111 a$ +11& +#4620 +b11111111111111111000000000000000 " +b11111111111111111000000000000000 + +b11111111111111111000000000000000 H +1.# +10& +09& +0B& +1)# +0(# +b11111111111111111000000000000000 C +b11111111111111111000000000000000 L +0l" +1k" +b10000000000000000 X$ +b10000000000000000 `$ +0=& +1<& +b100011111111111111 M +1&# +1(& +01& +b1111111111111001111111111111111 a$ +0:& +#4640 +b11111111111110111000000000000000 " +b11111111111110111000000000000000 + +b11111111111110111000000000000000 H +0.# +1q" +1B& +b11111111111110111000000000000000 C +b11111111111110111000000000000000 L +02# +11# +04& +13& +1=& +0<& +b1100000000000000000 X$ +b1100000000000000000 `$ +1F& +0E& +0&# +b111111111111111 M +1i" +b1111111111111101111111111111111 a$ +1:& +#4660 +b11111111111111110000000000000000 " +b11111111111111110000000000000000 + +b11111111111111110000000000000000 H +17# +19& +0B& +0K& +12# +01# +b11111111111111110000000000000000 C +b11111111111111110000000000000000 L +0u" +1t" +b100000000000000000 X$ +b100000000000000000 `$ +0F& +1E& +b1000111111111111111 M +1/# +11& +0:& +b1111111111110011111111111111111 a$ +0C& +#4680 +b11111111111101110000000000000000 " +b11111111111101110000000000000000 + +b11111111111101110000000000000000 H +07# +1z" +1K& +b11111111111101110000000000000000 C +b11111111111101110000000000000000 L +0;# +1:# +0=& +1<& +1F& +0E& +b11000000000000000000 X$ +b11000000000000000000 `$ +1O& +0N& +0/# +b1111111111111111 M +1r" +b1111111111111011111111111111111 a$ +1C& +#4700 +b11111111111111100000000000000000 " +b11111111111111100000000000000000 + +b11111111111111100000000000000000 H +1@# +1B& +0K& +0T& +1;# +0:# +b11111111111111100000000000000000 C +b11111111111111100000000000000000 L +0~" +1}" +b1000000000000000000 X$ +b1000000000000000000 `$ +0O& +1N& +b10001111111111111111 M +18# +1:& +0C& +b1111111111100111111111111111111 a$ +0L& +#4720 +b11111111111011100000000000000000 " +b11111111111011100000000000000000 + +b11111111111011100000000000000000 H +0@# +1%# +1T& +b11111111111011100000000000000000 C +b11111111111011100000000000000000 L +0D# +1C# +0F& +1E& +1O& +0N& +b110000000000000000000 X$ +b110000000000000000000 `$ +1X& +0W& +08# +b11111111111111111 M +1{" +b1111111111110111111111111111111 a$ +1L& +#4740 +b11111111111111000000000000000000 " +b11111111111111000000000000000000 + +b11111111111111000000000000000000 H +1I# +1K& +0T& +0]& +1D# +0C# +b11111111111111000000000000000000 C +b11111111111111000000000000000000 L +0)# +1(# +b10000000000000000000 X$ +b10000000000000000000 `$ +0X& +1W& +b100011111111111111111 M +1A# +1C& +0L& +b1111111111001111111111111111111 a$ +0U& +#4760 +b11111111110111000000000000000000 " +b11111111110111000000000000000000 + +b11111111110111000000000000000000 H +0I# +1.# +1]& +b11111111110111000000000000000000 C +b11111111110111000000000000000000 L +0M# +1L# +0O& +1N& +1X& +0W& +b1100000000000000000000 X$ +b1100000000000000000000 `$ +1a& +0`& +0A# +b111111111111111111 M +1&# +b1111111111101111111111111111111 a$ +1U& +#4780 +b11111111111110000000000000000000 " +b11111111111110000000000000000000 + +b11111111111110000000000000000000 H +1R# +1T& +0]& +0f& +1M# +0L# +b11111111111110000000000000000000 C +b11111111111110000000000000000000 L +02# +11# +b100000000000000000000 X$ +b100000000000000000000 `$ +0a& +1`& +b1000111111111111111111 M +1J# +1L& +0U& +b1111111110011111111111111111111 a$ +0^& +#4800 +b11111111101110000000000000000000 " +b11111111101110000000000000000000 + +b11111111101110000000000000000000 H +0R# +17# +1f& +b11111111101110000000000000000000 C +b11111111101110000000000000000000 L +0V# +1U# +0X& +1W& +1a& +0`& +b11000000000000000000000 X$ +b11000000000000000000000 `$ +1j& +0i& +0J# +b1111111111111111111 M +1/# +b1111111111011111111111111111111 a$ +1^& +#4820 +b11111111111100000000000000000000 " +b11111111111100000000000000000000 + +b11111111111100000000000000000000 H +1[# +1]& +0f& +0o& +1V# +0U# +b11111111111100000000000000000000 C +b11111111111100000000000000000000 L +0;# +1:# +b1000000000000000000000 X$ +b1000000000000000000000 `$ +0j& +1i& +b10001111111111111111111 M +1S# +1U& +0^& +b1111111100111111111111111111111 a$ +0g& +#4840 +b11111111011100000000000000000000 " +b11111111011100000000000000000000 + +b11111111011100000000000000000000 H +0[# +1@# +1o& +b11111111011100000000000000000000 C +b11111111011100000000000000000000 L +0_# +1^# +0a& +1`& +1j& +0i& +b110000000000000000000000 X$ +b110000000000000000000000 `$ +1s& +0r& +0S# +b11111111111111111111 M +18# +b1111111110111111111111111111111 a$ +1g& +#4860 +b11111111111000000000000000000000 " +b11111111111000000000000000000000 + +b11111111111000000000000000000000 H +1d# +1f& +0o& +0x& +1_# +0^# +b11111111111000000000000000000000 C +b11111111111000000000000000000000 L +0D# +1C# +b10000000000000000000000 X$ +b10000000000000000000000 `$ +0s& +1r& +b100011111111111111111111 M +1\# +1^& +0g& +b1111111001111111111111111111111 a$ +0p& +#4880 +b11111110111000000000000000000000 " +b11111110111000000000000000000000 + +b11111110111000000000000000000000 H +0d# +1I# +1x& +b11111110111000000000000000000000 C +b11111110111000000000000000000000 L +0h# +1g# +0j& +1i& +1s& +0r& +b1100000000000000000000000 X$ +b1100000000000000000000000 `$ +1|& +0{& +0\# +b111111111111111111111 M +1A# +b1111111101111111111111111111111 a$ +1p& +#4900 +b11111111110000000000000000000000 " +b11111111110000000000000000000000 + +b11111111110000000000000000000000 H +1m# +1o& +0x& +0#' +1h# +0g# +b11111111110000000000000000000000 C +b11111111110000000000000000000000 L +0M# +1L# +b100000000000000000000000 X$ +b100000000000000000000000 `$ +0|& +1{& +b1000111111111111111111111 M +1e# +1g& +0p& +b1111110011111111111111111111111 a$ +0y& +#4920 +b11111101110000000000000000000000 " +b11111101110000000000000000000000 + +b11111101110000000000000000000000 H +0m# +1R# +1#' +b11111101110000000000000000000000 C +b11111101110000000000000000000000 L +0q# +1p# +0s& +1r& +1|& +0{& +b11000000000000000000000000 X$ +b11000000000000000000000000 `$ +1'' +0&' +0e# +b1111111111111111111111 M +1J# +b1111111011111111111111111111111 a$ +1y& +#4940 +b11111111100000000000000000000000 " +b11111111100000000000000000000000 + +b11111111100000000000000000000000 H +1v# +1x& +0#' +0,' +1q# +0p# +b11111111100000000000000000000000 C +b11111111100000000000000000000000 L +0V# +1U# +b1000000000000000000000000 X$ +b1000000000000000000000000 `$ +0'' +1&' +b10001111111111111111111111 M +1n# +1p& +0y& +b1111100111111111111111111111111 a$ +0$' +#4960 +b11111011100000000000000000000000 " +b11111011100000000000000000000000 + +b11111011100000000000000000000000 H +0v# +1[# +1,' +b11111011100000000000000000000000 C +b11111011100000000000000000000000 L +0z# +1y# +0|& +1{& +1'' +0&' +b110000000000000000000000000 X$ +b110000000000000000000000000 `$ +10' +0/' +0n# +b11111111111111111111111 M +1S# +b1111110111111111111111111111111 a$ +1$' +#4980 +b11111111000000000000000000000000 " +b11111111000000000000000000000000 + +b11111111000000000000000000000000 H +1!$ +1#' +0,' +05' +1z# +0y# +b11111111000000000000000000000000 C +b11111111000000000000000000000000 L +0_# +1^# +b10000000000000000000000000 X$ +b10000000000000000000000000 `$ +00' +1/' +b100011111111111111111111111 M +1w# +1y& +0$' +b1111001111111111111111111111111 a$ +0-' +#5000 +b11110111000000000000000000000000 " +b11110111000000000000000000000000 + +b11110111000000000000000000000000 H +0!$ +1d# +15' +1P +1Y +1b +1k +1t +1} +1(" +11" +1:" +1C" +1L" +1U" +1^" +1g" +1p" +1y" +1$# +1-# +16# +1?# +1H# +1Q# +1Z# +1c# +1l# +1u# +1~# +1)$ +12$ +1;$ +1L$ +1D$ +1d$ +1m$ +1v$ +1!% +1*% +13% +1<% +1E% +1N% +1W% +1`% +1i% +1r% +1{% +1&& +1/& +18& +1A& +1J& +1S& +1\& +1e& +1n& +1w& +1"' +1+' +14' +1=' +1F' +1O' +1`' +1X' +b11110111000000000000000000000000 C +b11110111000000000000000000000000 L +0%$ +1$$ +0'' +1&' +10' +0/' +b1100000000000000000000000000 X$ +b1100000000000000000000000000 `$ +19' +08' +0w# +b111111111111111111111111 M +1\# +b1111101111111111111111111111111 a$ +1-' +b11111111111111111111111111111111 ' +b11111111111111111111111111111111 * +b11111111111111111111111111111111 6 +b11111111111111111111111111111111 K +b11111111111111111111111111111111 S$ +b11111111111111111111111111111111 V$ +b11111111111111111111111111111111 ^$ +b11111111111111111111111111111111 g' +b11111111111111111111111111111111 j' +#5020 +b11111110000000000000000000000000 " +b11111110000000000000000000000000 + +b11111110000000000000000000000000 H +1*$ +1,' +05' +0>' +1%$ +0$$ +b11111110000000000000000000000000 C +b11111110000000000000000000000000 L +0h# +1g# +b100000000000000000000000000 X$ +b100000000000000000000000000 `$ +09' +18' +0O +0X +0a +0j +0s +0| +0'" +00" +09" +0B" +0K" +0T" +0]" +0f" +0o" +0x" +0## +0,# +05# +0># +0G# +0P# +0Y# +0b# +0k# +0t# +0}# +0($ +01$ +0:$ +0K$ +0C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +0l$ +0u$ +0~$ +0)% +02% +0;% +0D% +0M% +0V% +0_% +0h% +0q% +0z% +0%& +0.& +07& +0@& +0I& +0R& +0[& +0d& +0m& +0v& +0!' +0*' +03' +0<' +0E' +0N' +0_' +0W' +b1000111111111111111111111111 M +1"$ +1$' +0-' +b1110011111111111111111111111111 a$ +06' +#5030 +b0 A +b0 k' +#5040 +b11101110000000000000000000000000 " +b11101110000000000000000000000000 + +b11101110000000000000000000000000 H +0*$ +1m# +1>' +b11101110000000000000000000000000 C +b11101110000000000000000000000000 L +0.$ +1-$ +00' +1/' +19' +08' +b11000000000000000000000000000 X$ +b11000000000000000000000000000 `$ +1B' +0A' +0"$ +b1111111111111111111111111 M +1e# +b1111011111111111111111111111111 a$ +16' +0V +0_ +0h +0q +0z +0%" +0." +07" +0@" +0I" +0R" +0[" +0d" +0m" +0v" +0!# +0*# +03# +0<# +0E# +0N# +0W# +0`# +0i# +0r# +0{# +0&$ +0/$ +08$ +0A$ +0Q$ +0I$ +0j$ +0s$ +0|$ +0'% +00% +09% +0B% +0K% +0T% +0]% +0f% +0o% +0x% +0#& +0,& +05& +0>& +0G& +0P& +0Y& +0b& +0k& +0t& +0}& +0(' +01' +0:' +0C' +0L' +0U' +0e' +0]' +#5060 +13$ +15' +0>' +0G' +b11111111111111111111111111 " +b11111111111111111111111111 + +b11111111111111111111111111 H +b10001111111111111111111111111 M +1+$ +1-' +06' +b1100111111111111111111111111111 a$ +0?' +1U +0T +1^ +0] +1g +0f +1p +0o +1y +0x +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +0z# +0%$ +0-$ +07$ +0@$ +1P$ +0O$ +b11111111111111111111111111 C +b11111111111111111111111111 L +0H$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +09' +1K' +0J' +1T' +0S' +1d' +0c' +b11110111111111111111111111111111 X$ +b11110111111111111111111111111111 `$ +1\' +0[' +#5080 +b100011111111111111111111111111 " +b100011111111111111111111111111 + +b100011111111111111111111111111 H +0Z +0c +0l +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +03$ +0Q +0n$ +0w$ +0"% +0+% +04% +0=% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0P' +0Y' +0e$ +b100011111111111111111111111111 C +b100011111111111111111111111111 L +17$ +19' +0B' +b11001111111111111111111111111111 X$ +b11001111111111111111111111111111 `$ +0K' +1I +1\$ +b1 @ +b1 [$ +0R +0[ +0d +0m +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0+$ +b0 M +0M$ +0f$ +0o$ +0x$ +0#% +0,% +05% +0>% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +0H' +0Q' +b0 a$ +0a' +0Z$ +#5090 +0> +0W$ +#5100 +0! +b1 " +b1 + +b1 H +0^ +0g +0p +0y +0$" +0-" +06" +0?" +0H" +0Q" +0Z" +0c" +0l" +0u" +0~" +0)# +02# +0;# +0D# +0M# +0V# +0_# +0h# +0q# +07$ +b1 C +b1 L +0U +0r$ +0{$ +0&% +0/% +08% +0A% +0J% +0S% +0\% +0e% +0n% +0w% +0"& +0+& +04& +0=& +0F& +0O& +0X& +0a& +0j& +0s& +0|& +0'' +00' +09' +0T' +0\' +b1 X$ +b1 `$ +0i$ +#5120 +b0 @ +b0 [$ +#6000 +02$ +0;$ +0D$ +0F' +0O' +0X' +b11111111111111111111111111111 ' +b11111111111111111111111111111 * +b11111111111111111111111111111 6 +b11111111111111111111111111111 K +b11111111111111111111111111111 S$ +b11111111111111111111111111111 V$ +b11111111111111111111111111111 ^$ +b11111111111111111111111111111 g' +b11111111111111111111111111111 j' +#6020 +11$ +1:$ +1C$ +b11111111111111111111111111111 ? +b11111111111111111111111111111 T$ +1E' +1N' +1W' +#6030 +b11100000000000000000000000000000 A +b11100000000000000000000000000000 k' +#6040 +18$ +1A$ +1I$ +1L' +1U' +1]' +#6060 +b11100000000000000000000000000001 " +b11100000000000000000000000000001 + +b11100000000000000000000000000001 H +17$ +1@$ +b11100000000000000000000000000001 C +b11100000000000000000000000000001 L +1H$ +1K' +1T' +b11100000000000000000000000000001 X$ +b11100000000000000000000000000001 `$ +1\' +#6080 +b1 @ +b1 [$ +#7000 +z# +z! +z$ +b11111111111111111111111111111 " +b11111111111111111111111111111 + +b11111111111111111111111111111 H +0. +b1 - +b1 0 +b1 4 +0P +0b +0t +0(" +0:" +0L" +0^" +0p" +0$# +06# +0H# +0Z# +0l# +0~# +1;$ +0d$ +0v$ +0*% +0<% +0N% +0`% +0r% +0&& +08& +0J& +0\& +0n& +0"' +04' +1O' +1W +1i +1{ +1/" +1A" +1S" +1e" +1w" +1+# +1=# +1O# +1a# +1s# +1'$ +19$ +1J$ +1k$ +1}$ +11% +1C% +1U% +1g% +1y% +1-& +1?& +1Q& +1c& +1u& +1)' +1;' +1M' +1^' +b10 % +b10 ( +b10 / +b1010101010101010101010101010101 ' +b1010101010101010101010101010101 * +b1010101010101010101010101010101 6 +b1010101010101010101010101010101 K +b1010101010101010101010101010101 S$ +b1010101010101010101010101010101 V$ +b1010101010101010101010101010101 ^$ +b1010101010101010101010101010101 g' +b1010101010101010101010101010101 j' +b1010101010101010101010101010101 & +b1010101010101010101010101010101 ) +b1010101010101010101010101010101 5 +b1010101010101010101010101010101 J +b1010101010101010101010101010101 R$ +b1010101010101010101010101010101 U$ +b1010101010101010101010101010101 ]$ +b1010101010101010101010101010101 f' +b1010101010101010101010101010101 i' +#7020 +b0 " +b0 + +b0 H +0C$ +1K$ +b11100000000000000000000000000000 C +b11100000000000000000000000000000 L +0P$ +1X +1j +1| +10" +1B" +1T" +1f" +1x" +1,# +1># +1P# +1b# +1t# +1($ +01$ +1c$ +1u$ +1)% +1;% +1M% +1_% +1q% +1%& +17& +1I& +1[& +1m& +1!' +13' +0N' +1_ +1q +1%" +17" +1I" +1[" +1m" +1!# +13# +1E# +1W# +1i# +1{# +1/$ +0A$ +1>$ +1Q$ +b0 ? +b0 T$ +1s$ +1'% +19% +1K% +1]% +1o% +1#& +15& +1G& +1Y& +1k& +1}& +11' +1C' +0U' +1R' +1e' +#7030 +b10101010101010101010101010101010 A +b10101010101010101010101010101010 k' +#7040 +1E$ +1Y' +0I +0I$ +0Q$ +1N$ +0_ +1\ +0q +1n +0%" +1"" +07" +14" +0I" +1F" +0[" +1X" +0m" +1j" +0!# +1|" +03# +10# +0E# +1B# +0W# +1T# +0i# +1f# +0{# +1x# +0/$ +1,$ +08$ +1j$ +1|$ +10% +1B% +1T% +1f% +1x% +1,& +1>& +1P& +1b& +1t& +1(' +1:' +1U' +0R' +1^ +1p +1$" +16" +1H" +1Z" +1l" +1~" +12# +1D# +1V# +1h# +1z# +1.$ +0@$ +b1000000000000000000000000000000 M +1=$ +b10110101010101010101010101010101 C +b10110101010101010101010101010101 L +1P$ +1r$ +1&% +18% +1J% +1\% +1n% +1"& +14& +1F& +1X& +1j& +1|& +10' +1B' +0T' +b1000000000000000000000000000000 a$ +1Q' +b10110101010101010101010101010100 X$ +b10110101010101010101010101010100 `$ +0d' +1c' +b1010101010101010101010101010101 B +b1010101010101010101010101010101 h' +#7050 +1> +#7060 +1Q +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +0Y' +1e$ +1E +1I +0\' +1[' +1Y$ +0\$ +0P$ +1M$ +0^ +1[ +0p +1m +0$" +1!" +06" +13" +0H" +1E" +0Z" +1W" +0l" +1i" +0~" +1{" +02# +1/# +0D# +1A# +0V# +1S# +0h# +1e# +0z# +1w# +0.$ +b1010101010101010101010101010101 M +1+$ +b10000000000000000000000000000000 C +b10000000000000000000000000000000 L +07$ +1i$ +1{$ +1/% +1A% +1S% +1e% +1w% +1+& +1=& +1O& +1a& +1s& +1'' +19' +b1111111111111111111111111111110 X$ +b1111111111111111111111111111110 `$ +1T' +0Q' +b1 a$ +1a' +#7070 +0> +1W$ +#7080 +0I +1U +1g +1y +1-" +1?" +1Q" +1c" +1u" +1)# +1;# +1M# +1_# +1q# +1%$ +b10101010101010101010101010101010 C +b10101010101010101010101010101010 L +17$ +1\' +0[' +0Y$ +b11111111111111111111111111111100 X$ +b11111111111111111111111111111100 `$ +0i$ +1h$ +1Z$ +#7090 +1> +#7100 +1n$ +0Z$ +b11 a$ +1f$ +1Y$ +#7120 +b11111111111111111111111111111000 X$ +b11111111111111111111111111111000 `$ +0r$ +1q$ +0Y$ +b0 @ +b0 [$ +#7140 +1w$ +b111 a$ +1o$ +b1 @ +b1 [$ +#7160 +b11111111111111111111111111110000 X$ +b11111111111111111111111111110000 `$ +0{$ +1z$ +#7180 +1"% +b1111 a$ +1x$ +#7200 +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +0&% +1%% +#7220 +1+% +b11111 a$ +1#% +#7240 +b11111111111111111111111111000000 X$ +b11111111111111111111111111000000 `$ +0/% +1.% +#7260 +14% +b111111 a$ +1,% +#7280 +b11111111111111111111111110000000 X$ +b11111111111111111111111110000000 `$ +08% +17% +#7300 +1=% +b1111111 a$ +15% +#7320 +b11111111111111111111111100000000 X$ +b11111111111111111111111100000000 `$ +0A% +1@% +#7340 +1F% +b11111111 a$ +1>% +#7360 +b11111111111111111111111000000000 X$ +b11111111111111111111111000000000 `$ +0J% +1I% +#7380 +1O% +b111111111 a$ +1G% +#7400 +b11111111111111111111110000000000 X$ +b11111111111111111111110000000000 `$ +0S% +1R% +#7420 +1X% +b1111111111 a$ +1P% +#7440 +b11111111111111111111100000000000 X$ +b11111111111111111111100000000000 `$ +0\% +1[% +#7460 +1a% +b11111111111 a$ +1Y% +#7480 +b11111111111111111111000000000000 X$ +b11111111111111111111000000000000 `$ +0e% +1d% +#7500 +1j% +b111111111111 a$ +1b% +#7520 +b11111111111111111110000000000000 X$ +b11111111111111111110000000000000 `$ +0n% +1m% +#7540 +1s% +b1111111111111 a$ +1k% +#7560 +b11111111111111111100000000000000 X$ +b11111111111111111100000000000000 `$ +0w% +1v% +#7580 +1|% +b11111111111111 a$ +1t% +#7600 +b11111111111111111000000000000000 X$ +b11111111111111111000000000000000 `$ +0"& +1!& +#7620 +1'& +b111111111111111 a$ +1}% +#7640 +b11111111111111110000000000000000 X$ +b11111111111111110000000000000000 `$ +0+& +1*& +#7660 +10& +b1111111111111111 a$ +1(& +#7680 +b11111111111111100000000000000000 X$ +b11111111111111100000000000000000 `$ +04& +13& +#7700 +19& +b11111111111111111 a$ +11& +#7720 +b11111111111111000000000000000000 X$ +b11111111111111000000000000000000 `$ +0=& +1<& +#7740 +1B& +b111111111111111111 a$ +1:& +#7760 +b11111111111110000000000000000000 X$ +b11111111111110000000000000000000 `$ +0F& +1E& +#7780 +1K& +b1111111111111111111 a$ +1C& +#7800 +b11111111111100000000000000000000 X$ +b11111111111100000000000000000000 `$ +0O& +1N& +#7820 +1T& +b11111111111111111111 a$ +1L& +#7840 +b11111111111000000000000000000000 X$ +b11111111111000000000000000000000 `$ +0X& +1W& +#7860 +1]& +b111111111111111111111 a$ +1U& +#7880 +b11111111110000000000000000000000 X$ +b11111111110000000000000000000000 `$ +0a& +1`& +#7900 +1f& +b1111111111111111111111 a$ +1^& +#7920 +b11111111100000000000000000000000 X$ +b11111111100000000000000000000000 `$ +0j& +1i& +#7940 +1o& +b11111111111111111111111 a$ +1g& +#7960 +b11111111000000000000000000000000 X$ +b11111111000000000000000000000000 `$ +0s& +1r& +#7980 +1x& +b111111111111111111111111 a$ +1p& +#8000 +1P +0Y +1b +0k +1t +0} +1(" +01" +1:" +0C" +1L" +0U" +1^" +0g" +1p" +0y" +1$# +0-# +16# +0?# +1H# +0Q# +1Z# +0c# +1l# +0u# +1~# +0)$ +12$ +0;$ +0L$ +1D$ +1d$ +0m$ +1v$ +0!% +1*% +03% +1<% +0E% +1N% +0W% +1`% +0i% +1r% +0{% +1&& +0/& +18& +0A& +1J& +0S& +1\& +0e& +1n& +0w& +1"' +0+' +14' +0=' +1F' +0O' +0`' +1X' +b11111110000000000000000000000000 X$ +b11111110000000000000000000000000 `$ +0|& +1{& +b10101010101010101010101010101010 ' +b10101010101010101010101010101010 * +b10101010101010101010101010101010 6 +b10101010101010101010101010101010 K +b10101010101010101010101010101010 S$ +b10101010101010101010101010101010 V$ +b10101010101010101010101010101010 ^$ +b10101010101010101010101010101010 g' +b10101010101010101010101010101010 j' +#8020 +b11111111111111111111111111111111 " +b11111111111111111111111111111111 + +b11111111111111111111111111111111 H +1#' +1O +0X +1a +0j +1s +0| +1'" +00" +19" +0B" +1K" +0T" +1]" +0f" +1o" +0x" +1## +0,# +15# +0># +1G# +0P# +1Y# +0b# +1k# +0t# +1}# +0($ +11$ +0:$ +0K$ +1C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +1l$ +0u$ +1~$ +0)% +12% +0;% +1D% +0M% +1V% +0_% +1h% +0q% +1z% +0%& +1.& +07& +1@& +0I& +1R& +0[& +1d& +0m& +1v& +0!' +1*' +03' +1<' +0E' +1N' +1_' +0W' +b1111111111111111111111111 a$ +1y& +#8030 +b0 A +b0 k' +#8040 +b11111100000000000000000000000000 X$ +b11111100000000000000000000000000 `$ +0'' +1&' +1V +1_ +0\ +1h +1q +0n +1z +1%" +0"" +1." +17" +04" +1@" +1I" +0F" +1R" +1[" +0X" +1d" +1m" +0j" +1v" +1!# +0|" +1*# +13# +00# +1<# +1E# +0B# +1N# +1W# +0T# +1`# +1i# +0f# +1r# +1{# +0x# +1&$ +1/$ +0,$ +18$ +1A$ +0>$ +1Q$ +0N$ +1I$ +0j$ +0s$ +1p$ +0|$ +0'% +1$% +00% +09% +16% +0B% +0K% +1H% +0T% +0]% +1Z% +0f% +0o% +1l% +0x% +0#& +1~% +0,& +05& +12& +0>& +0G& +1D& +0P& +0Y& +1V& +0b& +0k& +1h& +0t& +0}& +1z& +0(' +01' +1.' +0:' +0C' +1@' +0L' +0U' +1R' +0e' +1b' +0]' +b0 B +b0 h' +#8060 +1,' +0c +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0Q +15' +1G' +1Y' +1$' +0U +1T +1^ +0[ +0g +1f +1p +0m +0y +1x +1$" +0!" +0-" +1," +16" +03" +0?" +1>" +1H" +0E" +0Q" +1P" +1Z" +0W" +0c" +1b" +1l" +0i" +0u" +1t" +1~" +0{" +0)# +1(# +12# +0/# +0;# +1:# +1D# +0A# +0M# +1L# +1V# +0S# +0_# +1^# +1h# +0e# +0q# +1p# +1z# +0w# +0%$ +1$$ +1.$ +0+$ +07$ +16$ +1@$ +0=$ +1P$ +b0 M +0M$ +b1010101010101010101010101010101 C +b1010101010101010101010101010101 L +0H$ +1G$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +00' +1-' +09' +0B' +1?' +0K' +0T' +b1010111111111111111111111111111 a$ +1Q' +1d' +0c' +b11111111111111111111111111 X$ +b11111111111111111111111111 `$ +0\' +#8080 +1Z +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +0n$ +0"% +04% +0F% +0X% +0j% +0|% +00& +0B& +0T& +0f& +0x& +0,' +10' +1g +0f +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +1I +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1U +0T +19' +1K' +b10101111111111111111111111111111 X$ +b10101111111111111111111111111111 `$ +1\' +1Y$ +1\$ +b0 @ +b0 [$ +1R +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101010 M +14$ +1G +0f$ +0x$ +0,% +0>% +0P% +0b% +0t% +0(& +0:& +0L& +0^& +0p& +b1010101010101010101010101010101 a$ +0$' +#8090 +0> +0W$ +#8100 +0l +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0Z +0^ +1] +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101011 C +b10101010101010101010101010101011 L +0@$ +1?$ +0r$ +0&% +08% +0J% +0\% +0n% +0"& +04& +0F& +0X& +0j& +0|& +b10101010101010101010101010101011 X$ +b10101010101010101010101010101011 `$ +00' +0d +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0R +1E +#8120 +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1p +0o +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1^ +0] +1[ +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010100 M +1=$ +0E +#8140 +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0c +0g +1f +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101010111 C +b1010101010101010101010101010111 L +0H$ +1G$ +1E +0m +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0[ +#8160 +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1g +0f +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101000 M +14$ +1G +#8180 +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0l +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101111 C +b10101010101010101010101010101111 L +0@$ +1?$ +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0d +1E +#8200 +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1p +0o +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010000 M +1=$ +0E +#8220 +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0u +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101011111 C +b1010101010101010101010101011111 L +0H$ +1G$ +1E +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0m +#8240 +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1y +0x +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010100000 M +14$ +1G +#8260 +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0~ +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010111111 C +b10101010101010101010101010111111 L +0@$ +1?$ +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0v +1E +#8280 +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1$" +0#" +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101000000 M +1=$ +0E +#8300 +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0)" +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101111111 C +b1010101010101010101010101111111 L +0H$ +1G$ +1E +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0!" +#8320 +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1-" +0," +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010000000 M +14$ +1G +#8340 +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +02" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101011111111 C +b10101010101010101010101011111111 L +0@$ +1?$ +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0*" +1E +#8360 +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +16" +05" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010100000000 M +1=$ +0E +#8380 +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0;" +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010111111111 C +b1010101010101010101010111111111 L +0H$ +1G$ +1E +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +03" +#8400 +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1?" +0>" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101000000000 M +14$ +1G +#8420 +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0D" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101111111111 C +b10101010101010101010101111111111 L +0@$ +1?$ +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0<" +1E +#8440 +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H" +0G" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010000000000 M +1=$ +0E +#8460 +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0M" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101011111111111 C +b1010101010101010101011111111111 L +0H$ +1G$ +1E +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0E" +#8480 +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Q" +0P" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010100000000000 M +14$ +1G +#8500 +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0V" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010111111111111 C +b10101010101010101010111111111111 L +0@$ +1?$ +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0N" +1E +#8520 +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Z" +0Y" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101000000000000 M +1=$ +0E +#8540 +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0_" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101111111111111 C +b1010101010101010101111111111111 L +0H$ +1G$ +1E +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0W" +#8560 +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1c" +0b" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010000000000000 M +14$ +1G +#8580 +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0h" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101011111111111111 C +b10101010101010101011111111111111 L +0@$ +1?$ +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0`" +1E +#8600 +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1l" +0k" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010100000000000000 M +1=$ +0E +#8620 +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0q" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010111111111111111 C +b1010101010101010111111111111111 L +0H$ +1G$ +1E +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0i" +#8640 +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1u" +0t" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101000000000000000 M +14$ +1G +#8660 +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0z" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101111111111111111 C +b10101010101010101111111111111111 L +0@$ +1?$ +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0r" +1E +#8680 +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1~" +0}" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010000000000000000 M +1=$ +0E +#8700 +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0%# +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101011111111111111111 C +b1010101010101011111111111111111 L +0H$ +1G$ +1E +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0{" +#8720 +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1)# +0(# +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010100000000000000000 M +14$ +1G +#8740 +0@# +0R# +0d# +0v# +0*$ +0<$ +0.# +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010111111111111111111 C +b10101010101010111111111111111111 L +0@$ +1?$ +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0&# +1E +#8760 +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +12# +01# +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101000000000000000000 M +1=$ +0E +#8780 +0I# +0[# +0m# +0!$ +03$ +0E$ +07# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101111111111111111111 C +b1010101010101111111111111111111 L +0H$ +1G$ +1E +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0/# +#8800 +1@# +1R# +1d# +1v# +1*$ +1<$ +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1;# +0:# +18# +1J# +1\# +1n# +1"$ +b101010101010000000000000000000 M +14$ +1G +#8820 +0R# +0d# +0v# +0*$ +0<$ +0@# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101011111111111111111111 C +b10101010101011111111111111111111 L +0@$ +1?$ +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +08# +1E +#8840 +1I# +1[# +1m# +1!$ +13$ +1E$ +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1D# +0C# +1A# +1S# +1e# +1w# +1+$ +b1010101010100000000000000000000 M +1=$ +0E +#8860 +0[# +0m# +0!$ +03$ +0E$ +0I# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010111111111111111111111 C +b1010101010111111111111111111111 L +0H$ +1G$ +1E +0S# +0e# +0w# +0+$ +0=$ +b0 M +0A# +#8880 +1R# +1d# +1v# +1*$ +1<$ +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1M# +0L# +1J# +1\# +1n# +1"$ +b101010101000000000000000000000 M +14$ +1G +#8900 +0d# +0v# +0*$ +0<$ +0R# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101111111111111111111111 C +b10101010101111111111111111111111 L +0@$ +1?$ +0\# +0n# +0"$ +04$ +0G +b0 M +0J# +1E +#8920 +1[# +1m# +1!$ +13$ +1E$ +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1V# +0U# +1S# +1e# +1w# +1+$ +b1010101010000000000000000000000 M +1=$ +0E +#8940 +0m# +0!$ +03$ +0E$ +0[# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101011111111111111111111111 C +b1010101011111111111111111111111 L +0H$ +1G$ +1E +0e# +0w# +0+$ +0=$ +b0 M +0S# +#8960 +1d# +1v# +1*$ +1<$ +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1_# +0^# +1\# +1n# +1"$ +b101010100000000000000000000000 M +14$ +1G +#8980 +0v# +0*$ +0<$ +0d# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010111111111111111111111111 C +b10101010111111111111111111111111 L +0@$ +1?$ +0n# +0"$ +04$ +0G +b0 M +0\# +1E +#9000 +1m# +1!$ +13$ +1E$ +1k +0t +1} +0(" +1U" +0^" +1g" +0p" +1?# +0H# +1Q# +0Z# +1)$ +02$ +1;$ +0D$ +1!% +0*% +13% +0<% +1i% +0r% +1{% +0&& +1S& +0\& +1e& +0n& +1=' +0F' +1O' +0X' +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1h# +0g# +1e# +1w# +1+$ +b1010101000000000000000000000000 M +1=$ +0E +b1011010010110100101101001011010 ' +b1011010010110100101101001011010 * +b1011010010110100101101001011010 6 +b1011010010110100101101001011010 K +b1011010010110100101101001011010 S$ +b1011010010110100101101001011010 V$ +b1011010010110100101101001011010 ^$ +b1011010010110100101101001011010 g' +b1011010010110100101101001011010 j' +#9020 +b1111000011110000111100001111 " +b1111000011110000111100001111 + +b1111000011110000111100001111 H +0!$ +03$ +0E$ +0m# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101111111111111111111111111 C +b1010101111111111111111111111111 L +0H$ +1G$ +1E +1j +0s +1| +0'" +1T" +0]" +1f" +0o" +1># +0G# +1P# +0Y# +1($ +01$ +1:$ +0C$ +b1111000011110000111100001111 ? +b1111000011110000111100001111 T$ +0~$ +1)% +02% +1;% +0h% +1q% +0z% +1%& +0R& +1[& +0d& +1m& +0<' +1E' +0N' +1W' +0w# +0+$ +0=$ +b0 M +0e# +#9030 +b10100000101000001010000010100000 A +b10100000101000001010000010100000 k' +#9040 +1v# +1*$ +1<$ +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1q# +0p# +1n# +1"$ +b101010000000000000000000000000 M +14$ +1G +0q +1n +0z +0%" +1"" +0." +0[" +1X" +0d" +0m" +1j" +0v" +0E# +1B# +0N# +0W# +1T# +0`# +0/$ +1,$ +08$ +0A$ +1>$ +0I$ +1'% +0$% +10% +19% +06% +1B% +1o% +0l% +1x% +1#& +0~% +1,& +1Y& +0V& +1b& +1k& +0h& +1t& +1C' +0@' +1L' +1U' +0R' +1]' +b1010000010100000101000001010000 B +b1010000010100000101000001010000 h' +#9060 +0*$ +0<$ +0v# +1u +1)" +1_" +1q" +1I# +1[# +13$ +1E$ +0+% +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0z# +1y# +0"$ +04$ +0G +0n# +1E +0p +1m +0y +0$" +1!" +0-" +0Z" +1W" +0c" +0l" +1i" +0u" +0D# +1A# +0M# +0V# +1S# +0_# +1+$ +07$ +b1010000010100000101000001010000 M +1=$ +b1011011000011110000111100001111 C +b1011011000011110000111100001111 L +0H$ +1&% +0#% +0/% +1.% +18% +05% +0A% +1@% +1n% +0k% +0w% +1v% +1"& +0}% +0+& +1*& +1X& +0U& +0a& +1`& +1j& +0g& +0s& +1r& +1B' +0?' +0K' +1J' +1T' +b101000001010000010100000101 a$ +0Q' +b1011010010110100101101001011011 X$ +b1011010010110100101101001011011 `$ +0\' +1[' +#9080 +1!$ +14% +1F% +1|% +10& +1f& +1x& +1P' +0.$ +0@$ +1z# +0y# +1y +1-" +1c" +1u" +1M# +1_# +17$ +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1H$ +1/% +0.% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +b1 @ +b1 [$ +b1010100010100000101000001010000 M +1w# +1,% +1>% +1t% +1(& +1^& +1p& +b100101101001011010010110100101 a$ +1H' +1Z$ +#9100 +0!$ +04% +0F% +0|% +00& +0f& +0x& +0P' +b10100111101011111010111110101111 C +b10100111101011111010111110101111 L +0%$ +1$$ +08% +17% +1J% +0"& +1!& +14& +0j& +1i& +1|& +b10111011101110111011101110111011 X$ +b10111011101110111011101110111011 `$ +0T' +1S' +b1010000010100000101000001010000 M +0w# +0,% +0>% +0t% +0(& +0^& +0p& +b101000001010000010100000101 a$ +0H' +0Z$ +1Y$ +#9120 +1*$ +1=% +1'& +1o& +1Y' +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1%$ +0$$ +18% +07% +0J% +1"& +0!& +04& +1j& +0i& +0|& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1T' +0S' +b1011000010100000101000001010000 M +1"$ +15% +1}% +1g& +b1000101010001010100010101000101 a$ +1Q' +0Y$ +b0 @ +b0 [$ +#9140 +0*$ +0=% +0'& +0o& +0Y' +b10111111101011111010111110101111 C +b10111111101011111010111110101111 L +1.$ +0A% +1@% +0+& +1*& +0s& +1r& +b1111010011110100111101001111011 X$ +b1111010011110100111101001111011 `$ +0\' +1[' +1Y$ +b1010000010100000101000001010000 M +0"$ +05% +0}% +0g& +b101000001010000010100000101 a$ +0Q' +b1 @ +b1 [$ +#9160 +1F% +10& +1x& +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +0.$ +1A% +0@% +1+& +0*& +1s& +0r& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +1>% +1(& +b101100001011000010110000101 a$ +1p& +1Z$ +#9180 +0F% +00& +0x& +1J% +14& +b11111011111110111111101111111011 X$ +b11111011111110111111101111111011 `$ +1|& +0>% +0(& +b101000001010000010100000101 a$ +0p& +0Z$ +1Y$ +#9200 +0J% +04& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +0|& +0Y$ +b0 @ +b0 [$ +#9220 +b1 @ +b1 [$ +#10000 +0# +0! +0$ +b1 " +b1 + +b1 H +b10 - +b10 0 +b10 4 +0P +1Y +0b +0k +1t +0:" +0L" +0U" +0g" +0$# +06# +0?# +0Q# +0l# +0~# +0)$ +0;$ +0d$ +1m$ +0v$ +0!% +1*% +0N% +0`% +0i% +0{% +08& +0J& +0S& +0e& +0"' +04' +0=' +0O' +0W +1` +0i +0{ +1&" +18" +0A" +0e" +0w" +0+# +0=# +0O# +0a# +0s# +0'$ +09$ +0J$ +0k$ +1t$ +0}$ +01% +1:% +1L% +0U% +0y% +0-& +0?& +0Q& +0c& +0u& +0)' +0;' +0M' +0^' +b11 % +b11 ( +b11 / +b1100100 ' +b1100100 * +b1100100 6 +b1100100 K +b1100100 S$ +b1100100 V$ +b1100100 ^$ +b1100100 g' +b1100100 j' +b1001110001000 & +b1001110001000 ) +b1001110001000 5 +b1001110001000 J +b1001110001000 R$ +b1001110001000 U$ +b1001110001000 ]$ +b1001110001000 f' +b1001110001000 i' +#10020 +0O +1X +0a +0j +1s +09" +0K" +0T" +0f" +0## +05# +0># +0P# +0k# +0}# +0($ +0:$ +1c$ +0l$ +1u$ +1~$ +0)% +1M% +1_% +1h% +1z% +17& +1I& +1R& +1d& +1!' +13' +1<' +1N' +0_ +0h +1e +1q +0n +1%" +0"" +1." +0@" +1=" +0I" +1m" +0j" +0!# +03# +1E# +0B# +1W# +0T# +0i# +0{# +1/$ +0,$ +1A$ +0>$ +0Q$ +b1001111101100 ? +b1001111101100 T$ +1s$ +0p$ +1|$ +0'% +09% +0B% +1?% +1T% +1]% +0Z% +0#& +15& +02& +1G& +0D& +0Y& +0k& +1}& +0z& +11' +0.' +0C' +0U' +1e' +0b' +#10030 +b11111111111111111110110000010011 A +b11111111111111111110110000010011 k' +#10040 +1l +0u +0)" +1D" +0q" +0I# +0[# +03$ +0E$ +0w$ +1F% +0a% +09& +0K& +0#' +05' +0e$ +0V +1_ +1h +0e +0q +1z +1@" +0=" +0R" +1[" +0X" +0m" +0*# +0<# +0E# +0W# +0r# +0&$ +0/$ +0A$ +1j$ +0s$ +0|$ +1y$ +1'% +00% +0T% +1Q% +1f% +0o% +1l% +1#& +1>& +1P& +1Y& +1k& +1(' +1:' +1C' +1U' +0^ +0g +1d +1p +0m +1$" +0!" +0-" +1," +0?" +1<" +0H" +1l" +0i" +0~" +02# +1D# +0A# +1V# +0S# +0h# +0z# +1.$ +0+$ +1@$ +b1001000001000 M +0=$ +b11111010111110101110100101110010 C +b11111010111110101110100101110010 L +0P$ +1r$ +0o$ +0{$ +1z$ +0&% +08% +0A% +1>% +0S% +1R% +1\% +0Y% +0"& +14& +01& +1F& +0C& +0X& +0j& +1|& +0y& +10' +0-' +0B' +0T' +b10101111101011111011110000100110 X$ +b10101111101011111011110000100110 `$ +0d' +1c' +b110000000 a$ +0a' +b0 B +b0 h' +#10060 +0l +0D" +0_" +1s% +12" +1"% +1X% +1e$ +1-" +0," +1H" +0u" +0M# +0_# +07$ +0H$ +0E +0I +1J% +0\$ +0U +1^ +1g +0d +1?" +0<" +0Q" +1Z" +0W" +0l" +0)# +0;# +0D# +0V# +0q# +0%$ +0.$ +b11011111111100 C +b11011111111100 L +0@$ +0r$ +0z$ +1&% +0/% +1S% +0R% +0n% +1k% +1"& +1X& +1j& +1B' +b11111111111111111110111100010010 X$ +b11111111111111111110111100010010 `$ +1T' +b10000000 M +1*" +1x$ +1P% +b1001110001001 a$ +1a' +#10070 +1> +1W$ +#10080 +02" +0p +0H" +0c" +0w% +1v% +b1001011101100 C +b1001011101100 L +06" +15" +0&% +1%% +0\% +1[% +b11111111111111111100101100000000 X$ +b11111111111111111100101100000000 `$ +0i$ +1h$ +b0 M +0*" +#10100 +1|% +1;" +1+% +1a% +1n$ +b1001111101100 C +b1001111101100 L +16" +05" +1t% +b100000000 M +13" +1#% +1Y% +b11011110011011 a$ +1f$ +#10120 +0;" +0"& +1!& +b1000111101100 C +b1000111101100 L +0?" +1>" +1/% +0e% +1d% +b11111111111111111000001100100100 X$ +b11111111111111111000001100100100 `$ +1r$ +b0 M +03" +#10140 +1'& +1D" +1j% +b1001111101100 C +b1001111101100 L +1?" +0>" +1}% +b1000000000 M +1<" +b111111110011011 a$ +1b% +#10160 +0D" +0+& +1*& +b1011111101100 C +b1011111101100 L +1H" +b11111111111111110001001100100100 X$ +b11111111111111110001001100100100 `$ +1n% +b0 M +0<" +#10180 +10& +b1001111101100 C +b1001111101100 L +0H" +b1111111110011011 a$ +1(& +#10200 +b11111111111111100001001100100100 X$ +b11111111111111100001001100100100 `$ +04& +13& +#10220 +19& +b11111111110011011 a$ +11& +#10240 +b11111111111111000001001100100100 X$ +b11111111111111000001001100100100 `$ +0=& +1<& +#10260 +1B& +b111111111110011011 a$ +1:& +#10280 +b11111111111110000001001100100100 X$ +b11111111111110000001001100100100 `$ +0F& +1E& +#10300 +1K& +b1111111111110011011 a$ +1C& +#10320 +b11111111111100000001001100100100 X$ +b11111111111100000001001100100100 `$ +0O& +1N& +#10340 +1T& +b11111111111110011011 a$ +1L& +#10360 +b11111111111000000001001100100100 X$ +b11111111111000000001001100100100 `$ +0X& +1W& +#10380 +1]& +b111111111111110011011 a$ +1U& +#10400 +b11111111110000000001001100100100 X$ +b11111111110000000001001100100100 `$ +0a& +1`& +#10420 +1f& +b1111111111111110011011 a$ +1^& +#10440 +b11111111100000000001001100100100 X$ +b11111111100000000001001100100100 `$ +0j& +1i& +#10460 +1o& +b11111111111111110011011 a$ +1g& +#10480 +b11111111000000000001001100100100 X$ +b11111111000000000001001100100100 `$ +0s& +1r& +#10500 +1x& +b111111111111111110011011 a$ +1p& +#10520 +b11111110000000000001001100100100 X$ +b11111110000000000001001100100100 `$ +0|& +1{& +#10540 +1#' +b1111111111111111110011011 a$ +1y& +#10560 +b11111100000000000001001100100100 X$ +b11111100000000000001001100100100 `$ +0'' +1&' +#10580 +1,' +b11111111111111111110011011 a$ +1$' +#10600 +b11111000000000000001001100100100 X$ +b11111000000000000001001100100100 `$ +00' +1/' +#10620 +15' +b111111111111111111110011011 a$ +1-' +#10640 +b11110000000000000001001100100100 X$ +b11110000000000000001001100100100 `$ +09' +18' +#10660 +1>' +b1111111111111111111110011011 a$ +16' +#10680 +b11100000000000000001001100100100 X$ +b11100000000000000001001100100100 `$ +0B' +1A' +#10700 +1G' +b11111111111111111111110011011 a$ +1?' +#10720 +b11000000000000000001001100100100 X$ +b11000000000000000001001100100100 `$ +0K' +1J' +#10740 +1P' +b111111111111111111111110011011 a$ +1H' +#10760 +b10000000000000000001001100100100 X$ +b10000000000000000001001100100100 `$ +0T' +1S' +#10780 +1Y' +b1111111111111111111111110011011 a$ +1Q' +#10800 +b1001100100100 X$ +b1001100100100 `$ +0\' +1[' +1Y$ +#10820 +1Z$ +#10840 +0Y$ +#10860 +b0 " +b0 + +b0 H +b0 @ +b0 [$ +#11000 +1N +0` +1i +1r +0&" +0/" +08" +0S" +1b$ +0t$ +1}$ +1(% +0:% +0C% +0L% +0g% +b110010 & +b110010 ) +b110010 5 +b110010 J +b110010 R$ +b110010 U$ +b110010 ]$ +b110010 f' +b110010 i' +#11020 +1V +0h +1q +0z +1w +0." +07" +0@" +0[" +b1010110 ? +b1010110 T$ +0j$ +1g$ +1|$ +0y$ +0'% +1$% +10% +1B% +0?% +1K% +0H% +1T% +0Q% +1o% +0l% +#11030 +b11111111111111111111111110001001 A +b11111111111111111111111110001001 k' +#11040 +1~ +0"% +0F% +0O% +0X% +0s% +1U +0g +1p +0y +b100000 M +1v +0-" +06" +0?" +b1010110 C +b1010110 L +0Z" +1i$ +0h$ +1{$ +0x$ +1&% +0%% +0/% +1.% +1A% +0>% +0J% +1I% +0G% +0S% +1R% +0P% +b10011110 X$ +b10011110 `$ +0n% +1m% +b1111111111111111110110000010011 a$ +0k% +b100000 B +b100000 h' +#11060 +14% +1O% +1X% +1s% +b10110 C +b10110 L +0$" +1#" +0&% +1J% +0I% +1S% +0R% +1\% +0[% +b10011110001110 X$ +b10011110001110 `$ +1w% +0v% +1,% +1G% +1P% +b1111111111111111111111100110011 a$ +1k% +#11080 +1)" +0O% +0X% +0a% +0|% +18% +0S% +1R% +0\% +1[% +b111001110 X$ +b111001110 `$ +0w% +1v% +b1100000 M +1!" +0G% +0P% +0Y% +b1111111111111111101100000110011 a$ +0t% +#11100 +1X% +1a% +1|% +b10010110 C +b10010110 L +1-" +1S% +0R% +1\% +0[% +1e% +0d% +b100111111001110 X$ +b100111111001110 `$ +1"& +0!& +1P% +1Y% +b1111111111111111111111000110011 a$ +1t% +#11120 +0X% +0a% +0j% +0'& +0\% +1[% +0e% +1d% +b1111001110 X$ +b1111001110 `$ +0"& +1!& +0P% +0Y% +0b% +b1111111111111111011000000110011 a$ +0}% +#11140 +1a% +1j% +1'& +1\% +0[% +1e% +0d% +1n% +0m% +b1001111111001110 X$ +b1001111111001110 `$ +1+& +0*& +1Y% +1b% +b1111111111111111111110000110011 a$ +1}% +#11160 +0a% +0j% +0s% +00& +0e% +1d% +0n% +1m% +b11111001110 X$ +b11111001110 `$ +0+& +1*& +0Y% +0b% +0k% +b1111111111111110110000000110011 a$ +0(& +#11180 +1j% +1s% +10& +1e% +0d% +1n% +0m% +1w% +0v% +b10011111111001110 X$ +b10011111111001110 `$ +14& +03& +1b% +1k% +b1111111111111111111100000110011 a$ +1(& +#11200 +0j% +0s% +0|% +09& +0n% +1m% +0w% +1v% +b111111001110 X$ +b111111001110 `$ +04& +13& +0b% +0k% +0t% +b1111111111111101100000000110011 a$ +01& +#11220 +1s% +1|% +19& +1n% +0m% +1w% +0v% +1"& +0!& +b100111111111001110 X$ +b100111111111001110 `$ +1=& +0<& +1k% +1t% +b1111111111111111111000000110011 a$ +11& +#11240 +0s% +0|% +0'& +0B& +0w% +1v% +0"& +1!& +b1111111001110 X$ +b1111111001110 `$ +0=& +1<& +0k% +0t% +0}% +b1111111111111011000000000110011 a$ +0:& +#11260 +1|% +1'& +1B& +1w% +0v% +1"& +0!& +1+& +0*& +b1001111111111001110 X$ +b1001111111111001110 `$ +1F& +0E& +1t% +1}% +b1111111111111111110000000110011 a$ +1:& +#11280 +0|% +0'& +00& +0K& +0"& +1!& +0+& +1*& +b11111111001110 X$ +b11111111001110 `$ +0F& +1E& +0t% +0}% +0(& +b1111111111110110000000000110011 a$ +0C& +#11300 +1'& +10& +1K& +1"& +0!& +1+& +0*& +14& +03& +b10011111111111001110 X$ +b10011111111111001110 `$ +1O& +0N& +1}% +1(& +b1111111111111111100000000110011 a$ +1C& +#11320 +0'& +00& +09& +0T& +0+& +1*& +04& +13& +b111111111001110 X$ +b111111111001110 `$ +0O& +1N& +0}% +0(& +01& +b1111111111101100000000000110011 a$ +0L& +#11340 +10& +19& +1T& +1+& +0*& +14& +03& +1=& +0<& +b100111111111111001110 X$ +b100111111111111001110 `$ +1X& +0W& +1(& +11& +b1111111111111111000000000110011 a$ +1L& +#11360 +00& +09& +0B& +0]& +04& +13& +0=& +1<& +b1111111111001110 X$ +b1111111111001110 `$ +0X& +1W& +0(& +01& +0:& +b1111111111011000000000000110011 a$ +0U& +#11380 +19& +1B& +1]& +14& +03& +1=& +0<& +1F& +0E& +b1001111111111111001110 X$ +b1001111111111111001110 `$ +1a& +0`& +11& +1:& +b1111111111111110000000000110011 a$ +1U& +#11400 +09& +0B& +0K& +0f& +0=& +1<& +0F& +1E& +b11111111111001110 X$ +b11111111111001110 `$ +0a& +1`& +01& +0:& +0C& +b1111111110110000000000000110011 a$ +0^& +#11420 +1B& +1K& +1f& +1=& +0<& +1F& +0E& +1O& +0N& +b10011111111111111001110 X$ +b10011111111111111001110 `$ +1j& +0i& +1:& +1C& +b1111111111111100000000000110011 a$ +1^& +#11440 +0B& +0K& +0T& +0o& +0F& +1E& +0O& +1N& +b111111111111001110 X$ +b111111111111001110 `$ +0j& +1i& +0:& +0C& +0L& +b1111111101100000000000000110011 a$ +0g& +#11460 +1K& +1T& +1o& +1F& +0E& +1O& +0N& +1X& +0W& +b100111111111111111001110 X$ +b100111111111111111001110 `$ +1s& +0r& +1C& +1L& +b1111111111111000000000000110011 a$ +1g& +#11480 +0K& +0T& +0]& +0x& +0O& +1N& +0X& +1W& +b1111111111111001110 X$ +b1111111111111001110 `$ +0s& +1r& +0C& +0L& +0U& +b1111111011000000000000000110011 a$ +0p& +#11500 +1T& +1]& +1x& +1O& +0N& +1X& +0W& +1a& +0`& +b1001111111111111111001110 X$ +b1001111111111111111001110 `$ +1|& +0{& +1L& +1U& +b1111111111110000000000000110011 a$ +1p& +#11520 +0T& +0]& +0f& +0#' +0X& +1W& +0a& +1`& +b11111111111111001110 X$ +b11111111111111001110 `$ +0|& +1{& +0L& +0U& +0^& +b1111110110000000000000000110011 a$ +0y& +#11540 +1]& +1f& +1#' +1X& +0W& +1a& +0`& +1j& +0i& +b10011111111111111111001110 X$ +b10011111111111111111001110 `$ +1'' +0&' +1U& +1^& +b1111111111100000000000000110011 a$ +1y& +#11560 +0]& +0f& +0o& +0,' +0a& +1`& +0j& +1i& +b111111111111111001110 X$ +b111111111111111001110 `$ +0'' +1&' +0U& +0^& +0g& +b1111101100000000000000000110011 a$ +0$' +#11580 +1f& +1o& +1,' +1a& +0`& +1j& +0i& +1s& +0r& +b100111111111111111111001110 X$ +b100111111111111111111001110 `$ +10' +0/' +1^& +1g& +b1111111111000000000000000110011 a$ +1$' +#11600 +0f& +0o& +0x& +05' +0j& +1i& +0s& +1r& +b1111111111111111001110 X$ +b1111111111111111001110 `$ +00' +1/' +0^& +0g& +0p& +b1111011000000000000000000110011 a$ +0-' +#11620 +1o& +1x& +15' +1j& +0i& +1s& +0r& +1|& +0{& +b1001111111111111111111001110 X$ +b1001111111111111111111001110 `$ +19' +08' +1g& +1p& +b1111111110000000000000000110011 a$ +1-' +#11640 +0o& +0x& +0#' +0>' +0s& +1r& +0|& +1{& +b11111111111111111001110 X$ +b11111111111111111001110 `$ +09' +18' +0g& +0p& +0y& +b1110110000000000000000000110011 a$ +06' +#11660 +1x& +1#' +1>' +1s& +0r& +1|& +0{& +1'' +0&' +b10011111111111111111111001110 X$ +b10011111111111111111111001110 `$ +1B' +0A' +1p& +1y& +b1111111100000000000000000110011 a$ +16' +#11680 +0x& +0#' +0,' +0G' +0|& +1{& +0'' +1&' +b111111111111111111001110 X$ +b111111111111111111001110 `$ +0B' +1A' +0p& +0y& +0$' +b1101100000000000000000000110011 a$ +0?' +#11700 +1#' +1,' +1G' +1|& +0{& +1'' +0&' +10' +0/' +b100111111111111111111111001110 X$ +b100111111111111111111111001110 `$ +1K' +0J' +1y& +1$' +b1111111000000000000000000110011 a$ +1?' +#11720 +0#' +0,' +05' +0P' +0'' +1&' +00' +1/' +b1111111111111111111001110 X$ +b1111111111111111111001110 `$ +0K' +1J' +0y& +0$' +0-' +b1011000000000000000000000110011 a$ +0H' +#11740 +1,' +15' +1P' +1'' +0&' +10' +0/' +19' +08' +b1001111111111111111111111001110 X$ +b1001111111111111111111111001110 `$ +1T' +0S' +1$' +1-' +b1111110000000000000000000110011 a$ +1H' +#11760 +0,' +05' +0>' +0Y' +00' +1/' +09' +18' +b11111111111111111111001110 X$ +b11111111111111111111001110 `$ +0T' +1S' +0$' +0-' +06' +b110000000000000000000000110011 a$ +0Q' +#11780 +15' +1>' +1Y' +10' +0/' +19' +08' +1B' +0A' +b10011111111111111111111111001110 X$ +b10011111111111111111111111001110 `$ +1\' +0[' +1Y$ +1-' +16' +b1111100000000000000000000110011 a$ +1Q' +#11800 +05' +0>' +0G' +09' +18' +0B' +1A' +b111111111111111111111001110 X$ +b111111111111111111111001110 `$ +0\' +1[' +0Y$ +0-' +06' +b1100000000000000000000000110011 a$ +0?' +0Z$ +#11820 +1>' +1G' +19' +08' +1B' +0A' +b111111111111111111111111001110 X$ +b111111111111111111111111001110 `$ +1K' +0J' +16' +b1111000000000000000000000110011 a$ +1?' +1Z$ +1Y$ +#11840 +0>' +0G' +0P' +b1 " +b1 + +b1 H +0B' +1A' +b1111111111111111111111001110 X$ +b1111111111111111111111001110 `$ +0K' +1J' +06' +0?' +b1000000000000000000000000110011 a$ +0H' +0Y$ +b1 @ +b1 [$ +#11860 +1G' +1P' +b0 " +b0 + +b0 H +1B' +0A' +1K' +0J' +b1111111111111111111111111001110 X$ +b1111111111111111111111111001110 `$ +1T' +0S' +1?' +b1110000000000000000000000110011 a$ +1H' +b0 @ +b0 [$ +#11880 +0G' +0P' +0Y' +0K' +1J' +b11111111111111111111111001110 X$ +b11111111111111111111111001110 `$ +0T' +1S' +0?' +0H' +b110011 a$ +0Q' +#11900 +1P' +1Y' +1K' +0J' +1T' +0S' +b11111111111111111111111111001110 X$ +b11111111111111111111111111001110 `$ +1\' +0[' +1Y$ +1H' +b1100000000000000000000000110011 a$ +1Q' +#11920 +0P' +0Y' +0T' +1S' +b111111111111111111111111001110 X$ +b111111111111111111111111001110 `$ +0\' +1[' +0Y$ +0H' +b110011 a$ +0Q' +0Z$ +#11940 +1Y' +1T' +0S' +b11111111111111111111111111001110 X$ +b11111111111111111111111111001110 `$ +1\' +0[' +b1000000000000000000000000110011 a$ +1Q' +1Z$ +#11960 +b1 " +b1 + +b1 H +0Y' +b1 @ +b1 [$ +b1111111111111111111111111001110 X$ +b1111111111111111111111111001110 `$ +0\' +1[' +b110011 a$ +0Q' +0Z$ +#11980 +b0 " +b0 + +b0 H +b0 @ +b0 [$ +b11111111111111111111111111001110 X$ +b11111111111111111111111111001110 `$ +1\' +0[' +1Z$ +#12000 +b1 " +b1 + +b1 H +0N +1W +0i +1{ +0b$ +1k$ +0}$ +11% +b1 @ +b1 [$ +0Z$ +1Y$ +b1100100 & +b1100100 ) +b1100100 5 +b1100100 J +b1100100 R$ +b1100100 U$ +b1100100 ]$ +b1100100 f' +b1100100 i' +#12020 +b0 " +b0 + +b0 H +0V +0_ +1\ +0q +0%" +1"" +b0 ? +b0 T$ +1j$ +0g$ +1s$ +1'% +0$% +19% +0Y$ +b0 @ +b0 [$ +#12030 +b11111111111111111111111110011011 A +b11111111111111111111111110011011 k' +#12040 +1c +0n$ +0+% +b1 " +b1 + +b1 H +0U +0^ +b1100100 M +1[ +0p +b11000000 C +b11000000 L +1$" +0#" +0i$ +1h$ +0f$ +0r$ +1q$ +1&% +b100001 a$ +0#% +b11111111111111111111111110011000 X$ +b11111111111111111111111110011000 `$ +08% +17% +b1100100 B +b1100100 h' +b1 @ +b1 [$ +#12060 +1n$ +1w$ +1=% +b11001000 C +b11001000 L +1g +1r$ +0q$ +b11111111111111111111111110111100 X$ +b11111111111111111111111110111100 `$ +1/% +0.% +1f$ +1o$ +b1100111 a$ +15% +#12080 +0w$ +04% +0r$ +1q$ +0{$ +1z$ +b11111111111111111111111100110000 X$ +b11111111111111111111111100110000 `$ +0A% +1@% +0o$ +b1000011 a$ +0,% +#12100 +1w$ +1"% +1F% +1{$ +0z$ +b11111111111111111111111101111000 X$ +b11111111111111111111111101111000 `$ +18% +07% +1o$ +1x$ +b11001111 a$ +1>% +#12120 +0"% +0=% +0{$ +1z$ +0&% +1%% +b11111111111111111111111001100000 X$ +b11111111111111111111111001100000 `$ +0J% +1I% +0x$ +b10000111 a$ +05% +#12140 +1"% +1+% +1O% +1&% +0%% +b11111111111111111111111011110000 X$ +b11111111111111111111111011110000 `$ +1A% +0@% +1x$ +1#% +b110011111 a$ +1G% +#12160 +0+% +0F% +0&% +1%% +0/% +1.% +b11111111111111111111110011000000 X$ +b11111111111111111111110011000000 `$ +0S% +1R% +0#% +b100001111 a$ +0>% +#12180 +1+% +14% +1X% +1/% +0.% +b11111111111111111111110111100000 X$ +b11111111111111111111110111100000 `$ +1J% +0I% +1#% +1,% +b1100111111 a$ +1P% +#12200 +04% +0O% +0/% +1.% +08% +17% +b11111111111111111111100110000000 X$ +b11111111111111111111100110000000 `$ +0\% +1[% +0,% +b1000011111 a$ +0G% +#12220 +14% +1=% +1a% +18% +07% +b11111111111111111111101111000000 X$ +b11111111111111111111101111000000 `$ +1S% +0R% +1,% +15% +b11001111111 a$ +1Y% +#12240 +0=% +0X% +08% +17% +0A% +1@% +b11111111111111111111001100000000 X$ +b11111111111111111111001100000000 `$ +0e% +1d% +05% +b10000111111 a$ +0P% +#12260 +1=% +1F% +1j% +1A% +0@% +b11111111111111111111011110000000 X$ +b11111111111111111111011110000000 `$ +1\% +0[% +15% +1>% +b110011111111 a$ +1b% +#12280 +0F% +0a% +0A% +1@% +0J% +1I% +b11111111111111111110011000000000 X$ +b11111111111111111110011000000000 `$ +0n% +1m% +0>% +b100001111111 a$ +0Y% +#12300 +1F% +1O% +1s% +1J% +0I% +b11111111111111111110111100000000 X$ +b11111111111111111110111100000000 `$ +1e% +0d% +1>% +1G% +b1100111111111 a$ +1k% +#12320 +0O% +0j% +0J% +1I% +0S% +1R% +b11111111111111111100110000000000 X$ +b11111111111111111100110000000000 `$ +0w% +1v% +0G% +b1000011111111 a$ +0b% +#12340 +1O% +1X% +1|% +1S% +0R% +b11111111111111111101111000000000 X$ +b11111111111111111101111000000000 `$ +1n% +0m% +1G% +1P% +b11001111111111 a$ +1t% +#12360 +0X% +0s% +0S% +1R% +0\% +1[% +b11111111111111111001100000000000 X$ +b11111111111111111001100000000000 `$ +0"& +1!& +0P% +b10000111111111 a$ +0k% +#12380 +1X% +1a% +1'& +1\% +0[% +b11111111111111111011110000000000 X$ +b11111111111111111011110000000000 `$ +1w% +0v% +1P% +1Y% +b110011111111111 a$ +1}% +#12400 +0a% +0|% +0\% +1[% +0e% +1d% +b11111111111111110011000000000000 X$ +b11111111111111110011000000000000 `$ +0+& +1*& +0Y% +b100001111111111 a$ +0t% +#12420 +1a% +1j% +10& +1e% +0d% +b11111111111111110111100000000000 X$ +b11111111111111110111100000000000 `$ +1"& +0!& +1Y% +1b% +b1100111111111111 a$ +1(& +#12440 +0j% +0'& +0e% +1d% +0n% +1m% +b11111111111111100110000000000000 X$ +b11111111111111100110000000000000 `$ +04& +13& +0b% +b1000011111111111 a$ +0}% +#12460 +1j% +1s% +19& +1n% +0m% +b11111111111111101111000000000000 X$ +b11111111111111101111000000000000 `$ +1+& +0*& +1b% +1k% +b11001111111111111 a$ +11& +#12480 +0s% +00& +0n% +1m% +0w% +1v% +b11111111111111001100000000000000 X$ +b11111111111111001100000000000000 `$ +0=& +1<& +0k% +b10000111111111111 a$ +0(& +#12500 +1s% +1|% +1B& +1w% +0v% +b11111111111111011110000000000000 X$ +b11111111111111011110000000000000 `$ +14& +03& +1k% +1t% +b110011111111111111 a$ +1:& +#12520 +0|% +09& +0w% +1v% +0"& +1!& +b11111111111110011000000000000000 X$ +b11111111111110011000000000000000 `$ +0F& +1E& +0t% +b100001111111111111 a$ +01& +#12540 +1|% +1'& +1K& +1"& +0!& +b11111111111110111100000000000000 X$ +b11111111111110111100000000000000 `$ +1=& +0<& +1t% +1}% +b1100111111111111111 a$ +1C& +#12560 +0'& +0B& +0"& +1!& +0+& +1*& +b11111111111100110000000000000000 X$ +b11111111111100110000000000000000 `$ +0O& +1N& +0}% +b1000011111111111111 a$ +0:& +#12580 +1'& +10& +1T& +1+& +0*& +b11111111111101111000000000000000 X$ +b11111111111101111000000000000000 `$ +1F& +0E& +1}% +1(& +b11001111111111111111 a$ +1L& +#12600 +00& +0K& +0+& +1*& +04& +13& +b11111111111001100000000000000000 X$ +b11111111111001100000000000000000 `$ +0X& +1W& +0(& +b10000111111111111111 a$ +0C& +#12620 +10& +19& +1]& +14& +03& +b11111111111011110000000000000000 X$ +b11111111111011110000000000000000 `$ +1O& +0N& +1(& +11& +b110011111111111111111 a$ +1U& +#12640 +09& +0T& +04& +13& +0=& +1<& +b11111111110011000000000000000000 X$ +b11111111110011000000000000000000 `$ +0a& +1`& +01& +b100001111111111111111 a$ +0L& +#12660 +19& +1B& +1f& +1=& +0<& +b11111111110111100000000000000000 X$ +b11111111110111100000000000000000 `$ +1X& +0W& +11& +1:& +b1100111111111111111111 a$ +1^& +#12680 +0B& +0]& +0=& +1<& +0F& +1E& +b11111111100110000000000000000000 X$ +b11111111100110000000000000000000 `$ +0j& +1i& +0:& +b1000011111111111111111 a$ +0U& +#12700 +1B& +1K& +1o& +1F& +0E& +b11111111101111000000000000000000 X$ +b11111111101111000000000000000000 `$ +1a& +0`& +1:& +1C& +b11001111111111111111111 a$ +1g& +#12720 +0K& +0f& +0F& +1E& +0O& +1N& +b11111111001100000000000000000000 X$ +b11111111001100000000000000000000 `$ +0s& +1r& +0C& +b10000111111111111111111 a$ +0^& +#12740 +1K& +1T& +1x& +1O& +0N& +b11111111011110000000000000000000 X$ +b11111111011110000000000000000000 `$ +1j& +0i& +1C& +1L& +b110011111111111111111111 a$ +1p& +#12760 +0T& +0o& +0O& +1N& +0X& +1W& +b11111110011000000000000000000000 X$ +b11111110011000000000000000000000 `$ +0|& +1{& +0L& +b100001111111111111111111 a$ +0g& +#12780 +1T& +1]& +1#' +1X& +0W& +b11111110111100000000000000000000 X$ +b11111110111100000000000000000000 `$ +1s& +0r& +1L& +1U& +b1100111111111111111111111 a$ +1y& +#12800 +0]& +0x& +0X& +1W& +0a& +1`& +b11111100110000000000000000000000 X$ +b11111100110000000000000000000000 `$ +0'' +1&' +0U& +b1000011111111111111111111 a$ +0p& +#12820 +1]& +1f& +1,' +1a& +0`& +b11111101111000000000000000000000 X$ +b11111101111000000000000000000000 `$ +1|& +0{& +1U& +1^& +b11001111111111111111111111 a$ +1$' +#12840 +0f& +0#' +0a& +1`& +0j& +1i& +b11111001100000000000000000000000 X$ +b11111001100000000000000000000000 `$ +00' +1/' +0^& +b10000111111111111111111111 a$ +0y& +#12860 +1f& +1o& +15' +1j& +0i& +b11111011110000000000000000000000 X$ +b11111011110000000000000000000000 `$ +1'' +0&' +1^& +1g& +b110011111111111111111111111 a$ +1-' +#12880 +0o& +0,' +0j& +1i& +0s& +1r& +b11110011000000000000000000000000 X$ +b11110011000000000000000000000000 `$ +09' +18' +0g& +b100001111111111111111111111 a$ +0$' +#12900 +1o& +1x& +1>' +1s& +0r& +b11110111100000000000000000000000 X$ +b11110111100000000000000000000000 `$ +10' +0/' +1g& +1p& +b1100111111111111111111111111 a$ +16' +#12920 +0x& +05' +0s& +1r& +0|& +1{& +b11100110000000000000000000000000 X$ +b11100110000000000000000000000000 `$ +0B' +1A' +0p& +b1000011111111111111111111111 a$ +0-' +#12940 +1x& +1#' +1G' +1|& +0{& +b11101111000000000000000000000000 X$ +b11101111000000000000000000000000 `$ +19' +08' +1p& +1y& +b11001111111111111111111111111 a$ +1?' +#12960 +0#' +0>' +0|& +1{& +0'' +1&' +b11001100000000000000000000000000 X$ +b11001100000000000000000000000000 `$ +0K' +1J' +0y& +b10000111111111111111111111111 a$ +06' +#12980 +1#' +1,' +1P' +1'' +0&' +b11011110000000000000000000000000 X$ +b11011110000000000000000000000000 `$ +1B' +0A' +1y& +1$' +b110011111111111111111111111111 a$ +1H' +#13000 +0,' +0G' +1b +1k +0t +0} +1(" +11" +1:" +1C" +1L" +1U" +1^" +1g" +1p" +1y" +1$# +1-# +16# +1?# +1H# +1Q# +1Z# +1c# +1l# +1u# +1~# +1)$ +12$ +1;$ +1D$ +1v$ +1!% +0*% +03% +1<% +1E% +1N% +1W% +1`% +1i% +1r% +1{% +1&& +1/& +18& +1A& +1J& +1S& +1\& +1e& +1n& +1w& +1"' +1+' +14' +1=' +1F' +1O' +1X' +0W +1` +1i +1A" +1J" +1\" +1e" +1n" +1w" +1"# +1+# +14# +1=# +1F# +1O# +1X# +1a# +1j# +1s# +1|# +1'$ +10$ +19$ +1B$ +0k$ +1t$ +1}$ +1U% +1^% +1p% +1y% +1$& +1-& +16& +1?& +1H& +1Q& +1Z& +1c& +1l& +1u& +1~& +1)' +12' +1;' +1D' +1M' +1V' +0'' +1&' +00' +1/' +b10011000000000000000000000000000 X$ +b10011000000000000000000000000000 `$ +0T' +1S' +0$' +b100001111111111111111111111111 a$ +0?' +b11111111111111111111111110011100 ' +b11111111111111111111111110011100 * +b11111111111111111111111110011100 6 +b11111111111111111111111110011100 K +b11111111111111111111111110011100 S$ +b11111111111111111111111110011100 V$ +b11111111111111111111111110011100 ^$ +b11111111111111111111111110011100 g' +b11111111111111111111111110011100 j' +b11111111111111111110110001111000 & +b11111111111111111110110001111000 ) +b11111111111111111110110001111000 5 +b11111111111111111110110001111000 J +b11111111111111111110110001111000 R$ +b11111111111111111110110001111000 U$ +b11111111111111111110110001111000 ]$ +b11111111111111111110110001111000 f' +b11111111111111111110110001111000 i' +#13020 +1,' +15' +1Y' +10' +0/' +b10111100000000000000000000000000 X$ +b10111100000000000000000000000000 `$ +1K' +0J' +1a +1j +0s +0| +1'" +10" +19" +1B" +1K" +1T" +1]" +1f" +1o" +1x" +1## +1,# +15# +1># +1G# +1P# +1Y# +1b# +1k# +1t# +1}# +1($ +11$ +1:$ +1C$ +0u$ +0~$ +1)% +12% +0;% +0D% +0M% +0V% +0_% +0h% +0q% +0z% +0%& +0.& +07& +0@& +0I& +0R& +0[& +0d& +0m& +0v& +0!' +0*' +03' +0<' +0E' +0N' +0W' +1_ +0\ +1h +1q +1I" +1R" +1d" +1m" +1v" +1!# +1*# +13# +1<# +1E# +1N# +1W# +1`# +1i# +1r# +1{# +1&$ +1/$ +18$ +1A$ +1I$ +b1001111100100 ? +b1001111100100 T$ +0s$ +0|$ +1y$ +0'% +1$% +0]% +1Z% +0f% +1c% +0x% +1u% +0#& +1~% +0,& +1)& +05& +12& +0>& +1;& +0G& +1D& +0P& +1M& +0Y& +1V& +0b& +1_& +0k& +1h& +0t& +1q& +0}& +1z& +0(' +1%' +01' +1.' +0:' +17' +0C' +1@' +0L' +1I' +0U' +1R' +0]' +1Z' +1$' +1-' +b1100111111111111111111111111111 a$ +1Q' +#13030 +b11 A +b11 k' +#13040 +0c +1>' +1G' +1Y$ +0h +1e +0q +1n +1z +0w +1%" +0"" +1." +17" +1@" +0I" +1F" +0R" +1O" +1[" +0d" +1a" +0m" +1j" +0v" +1s" +0!# +1|" +0*# +1'# +03# +10# +0<# +19# +0E# +1B# +0N# +1K# +0W# +1T# +0`# +1]# +0i# +1f# +0r# +1o# +0{# +1x# +0&$ +1#$ +0/$ +1,$ +08$ +15$ +0A$ +1>$ +0I$ +1F$ +1|$ +0y$ +1'% +0$% +00% +1-% +09% +16% +0B% +0K% +0T% +1]% +0Z% +1f% +0c% +0o% +1x% +0u% +1#& +0~% +1,& +0)& +15& +02& +1>& +0;& +1G& +0D& +1P& +0M& +1Y& +0V& +1b& +0_& +1k& +0h& +1t& +0q& +1}& +0z& +1(' +0%' +11' +0.' +1:' +07' +1C' +0@' +1L' +0I' +1U' +0R' +1]' +0Z' +1^ +b1100000 M +0[ +0g +1f +1p +1H" +1Q" +1c" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +1%$ +1.$ +17$ +1@$ +b11111111111111111110110011010100 C +b11111111111111111110110011010100 L +1H$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1\% +0[% +1e% +0d% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +16' +0B' +b1111111111111111111111111111111 a$ +1?' +0K' +b11001111111111111110110000011100 X$ +b11001111111111111110110000011100 `$ +1T' +0S' +1Z$ +b11111111111111111110110000011000 B +b11111111111111111110110000011000 h' +#13060 +1u +0~ +0)" +1M" +1V" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +b0 " +b0 + +b0 H +05' +0>' +0G' +0P' +1l +0w$ +0"% +0+% +0a% +0j% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +0Y' +b0 @ +b0 [$ +0f +0p +1m +1y +0v +0$" +1#" +0!" +0-" +1," +16" +1?" +0H" +1E" +0Q" +1N" +1Z" +0c" +1`" +0l" +1i" +0u" +1r" +0~" +1{" +0)# +1&# +02# +1/# +0;# +18# +0D# +1A# +0M# +1J# +0V# +1S# +0_# +1\# +0h# +1e# +0q# +1n# +0z# +1w# +0%$ +1"$ +0.$ +1+$ +07$ +14$ +0@$ +1=$ +b1001100100100 C +b1001100100100 L +0H$ +1G +0{$ +1z$ +0&% +1%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +0\% +1[% +0e% +1d% +1n% +0m% +0w% +1v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +0-' +09' +18' +06' +1A' +0?' +1J' +0H' +0T' +1S' +b1001111100100 X$ +b1001111100100 `$ +0\' +1[' +0Z$ +b1111111111111111110110000011000 M +1d +0o$ +0x$ +0#% +0Y% +0b% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +b1001111100011 a$ +0Q' +0Y$ +#13080 +1)" +12" +1"% +1+% +0F% +0O% +0X% +1a% +1j% +0s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +0y +1x +1$" +0#" +1-" +0," +1Q" +0Z" +1Y" +1l" +1u" +1~" +1)# +12# +1;# +1D# +1M# +1V# +1_# +1h# +1q# +1z# +1%$ +1.$ +17$ +1@$ +1H$ +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111100101111010100 C +b11111111111111111100101111010100 L +1p +1{$ +0z$ +1&% +0%% +0/% +1e% +0d% +0n% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +b11111111111111111100101111011100 X$ +b11111111111111111100101111011100 `$ +1\' +0[' +1!" +b1111111111111111110110011011000 M +1*" +1x$ +1#% +0>% +0G% +0P% +1Y% +1b% +0k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111110110001111011 a$ +1Q' +1Z$ +#13100 +b1 " +b1 + +b1 H +1~ +0)" +02" +1_" +0>' +0G' +0P' +0Y' +0"% +0+% +0j% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +b1 @ +b1 [$ +0-" +1," +b11111111111111111100101001010100 C +b11111111111111111100101001010100 L +06" +15" +0&% +1%% +1/% +0J% +0S% +1\% +0[% +0e% +1d% +1n% +1w% +0v% +0"& +1!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b11010011101100 X$ +b11010011101100 `$ +0\' +1[' +1v +0!" +0*" +b1111111111111111111110000111000 M +1W" +06' +0?' +0H' +0Q' +0x$ +0#% +0b% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +b10010001100011 a$ +0-' +0Z$ +#13120 +b0 " +b0 + +b0 H +12" +1;" +1+% +0a% +1j% +0|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +0$" +1#" +1-" +0," +16" +05" +b11111111111111111110101110010100 C +b11111111111111111110101110010100 L +1c" +1B' +0A' +1K' +0J' +1T' +0S' +1\' +0[' +1&% +0%% +0/% +0n% +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +b11111111111111111010010011011100 X$ +b11111111111111111010010011011100 `$ +19' +08' +1*" +b1111111111111111111110110111000 M +13" +1#% +0Y% +1b% +0t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111100100001110011 a$ +1Q' +1Z$ +#13140 +b1 " +b1 + +b1 H +1)" +02" +0;" +0G' +0P' +0Y' +0+% +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +b1 @ +b1 [$ +06" +15" +b11111111111111111110100010010100 C +b11111111111111111110100010010100 L +0?" +1>" +1/% +1e% +0d% +1n% +1"& +0!& +0+& +1*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b111110011111100 X$ +b111110011111100 `$ +0\' +1[' +1!" +0*" +b1111111111111111111110001111000 M +03" +0?' +0H' +0Q' +0Z$ +0#% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +b100100001100011 a$ +06' +#13160 +b0 " +b0 + +b0 H +1;" +1D" +0j% +0'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +0-" +1," +16" +05" +b11111111111111111110101100010100 C +b11111111111111111110101100010100 L +1?" +0>" +1K' +0J' +1T' +0S' +1\' +0[' +0/% +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +b11111111111111110111110011011100 X$ +b11111111111111110111110011011100 `$ +1B' +0A' +13" +b1111111111111111111111101111000 M +1<" +0b% +0}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111000000001100011 a$ +1Q' +1Z$ +#13180 +b1 " +b1 + +b1 H +12" +0;" +0D" +0P' +0Y' +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +b1 @ +b1 [$ +0?" +1>" +b11111111111111111110110100010100 C +b11111111111111111110110100010100 L +1H" +0n% +1+& +0*& +04& +13& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b1110110011011100 X$ +b1110110011011100 `$ +0\' +1[' +1*" +03" +b1111111111111111111110011111000 M +0<" +0H' +0Q' +0Z$ +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +b1000000001100011 a$ +0?' +#13200 +b0 " +b0 + +b0 H +1D" +00& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +06" +15" +1?" +0>" +b11111111111111111110101000010100 C +b11111111111111111110101000010100 L +0H" +1T' +0S' +1\' +0[' +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +b11111111111111101110110011011100 X$ +b11111111111111101110110011011100 `$ +1K' +0J' +b1111111111111111111111011111000 M +1<" +0(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111110000000001100011 a$ +1Q' +1Z$ +#13220 +b1 " +b1 + +b1 H +1;" +0D" +0Y' +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +b1 @ +b1 [$ +b11111111111111111110111000010100 C +b11111111111111111110111000010100 L +1H" +14& +03& +0=& +1<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b11110110011011100 X$ +b11110110011011100 `$ +0\' +1[' +13" +b1111111111111111111110111111000 M +0<" +0Q' +0Z$ +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +b10000000001100011 a$ +0H' +#13240 +b0 " +b0 + +b0 H +09& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +0?" +1>" +b11111111111111111110100000010100 C +b11111111111111111110100000010100 L +0H" +1\' +0[' +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +b11111111111111011110110011011100 X$ +b11111111111111011110110011011100 `$ +1T' +0S' +01& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111100000000001100011 a$ +1Q' +1Z$ +#13260 +b1 " +b1 + +b1 H +1D" +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1=& +0<& +0F& +1E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b111110110011011100 X$ +b111110110011011100 `$ +0\' +1[' +b1111111111111111111111111111000 M +1<" +0Z$ +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b100000000001100011 a$ +0Q' +#13280 +b0 " +b0 + +b0 H +0B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1H" +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111110111110110011011100 X$ +b11111111111110111110110011011100 `$ +1\' +0[' +0:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111000000000001100011 a$ +1Q' +1Z$ +#13300 +b1 " +b1 + +b1 H +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1F& +0E& +0O& +1N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b1111110110011011100 X$ +b1111110110011011100 `$ +0\' +1[' +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1000000000001100011 a$ +0Q' +0Z$ +#13320 +b0 " +b0 + +b0 H +0K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111101111110110011011100 X$ +b11111111111101111110110011011100 `$ +1\' +0[' +0C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111110000000000001100011 a$ +1Q' +1Z$ +#13340 +b1 " +b1 + +b1 H +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1O& +0N& +0X& +1W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b11111110110011011100 X$ +b11111110110011011100 `$ +0\' +1[' +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b10000000000001100011 a$ +0Q' +0Z$ +#13360 +b0 " +b0 + +b0 H +0T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111011111110110011011100 X$ +b11111111111011111110110011011100 `$ +1\' +0[' +0L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111100000000000001100011 a$ +1Q' +1Z$ +#13380 +b1 " +b1 + +b1 H +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1X& +0W& +0a& +1`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b111111110110011011100 X$ +b111111110110011011100 `$ +0\' +1[' +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b100000000000001100011 a$ +0Q' +0Z$ +#13400 +b0 " +b0 + +b0 H +0]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111110111111110110011011100 X$ +b11111111110111111110110011011100 `$ +1\' +0[' +0U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111000000000000001100011 a$ +1Q' +1Z$ +#13420 +b1 " +b1 + +b1 H +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1a& +0`& +0j& +1i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b1111111110110011011100 X$ +b1111111110110011011100 `$ +0\' +1[' +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1000000000000001100011 a$ +0Q' +0Z$ +#13440 +b0 " +b0 + +b0 H +0f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111101111111110110011011100 X$ +b11111111101111111110110011011100 `$ +1\' +0[' +0^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111110000000000000001100011 a$ +1Q' +1Z$ +#13460 +b1 " +b1 + +b1 H +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1j& +0i& +0s& +1r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b11111111110110011011100 X$ +b11111111110110011011100 `$ +0\' +1[' +0p& +0y& +0$' +0-' +06' +0?' +0H' +b10000000000000001100011 a$ +0Q' +0Z$ +#13480 +b0 " +b0 + +b0 H +0o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111011111111110110011011100 X$ +b11111111011111111110110011011100 `$ +1\' +0[' +0g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111100000000000000001100011 a$ +1Q' +1Z$ +#13500 +b1 " +b1 + +b1 H +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1s& +0r& +0|& +1{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b111111111110110011011100 X$ +b111111111110110011011100 `$ +0\' +1[' +0y& +0$' +0-' +06' +0?' +0H' +b100000000000000001100011 a$ +0Q' +0Z$ +#13520 +b0 " +b0 + +b0 H +0x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111110111111111110110011011100 X$ +b11111110111111111110110011011100 `$ +1\' +0[' +0p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111000000000000000001100011 a$ +1Q' +1Z$ +#13540 +b1 " +b1 + +b1 H +0,' +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1|& +0{& +0'' +1&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b1111111111110110011011100 X$ +b1111111111110110011011100 `$ +0\' +1[' +0$' +0-' +06' +0?' +0H' +b1000000000000000001100011 a$ +0Q' +0Z$ +#13560 +b0 " +b0 + +b0 H +0#' +1,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111101111111111110110011011100 X$ +b11111101111111111110110011011100 `$ +1\' +0[' +0y& +1$' +1-' +16' +1?' +1H' +b1111110000000000000000001100011 a$ +1Q' +1Z$ +#13580 +b1 " +b1 + +b1 H +05' +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +1'' +0&' +00' +1/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b11111111111110110011011100 X$ +b11111111111110110011011100 `$ +0\' +1[' +0-' +06' +0?' +0H' +b10000000000000000001100011 a$ +0Q' +0Z$ +#13600 +b0 " +b0 + +b0 H +0,' +15' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111011111111111110110011011100 X$ +b11111011111111111110110011011100 `$ +1\' +0[' +0$' +1-' +16' +1?' +1H' +b1111100000000000000000001100011 a$ +1Q' +1Z$ +#13620 +b1 " +b1 + +b1 H +0>' +0G' +0P' +0Y' +b1 @ +b1 [$ +10' +0/' +09' +18' +0B' +1A' +0K' +1J' +0T' +1S' +b111111111111110110011011100 X$ +b111111111111110110011011100 `$ +0\' +1[' +06' +0?' +0H' +b100000000000000000001100011 a$ +0Q' +0Z$ +#13640 +b0 " +b0 + +b0 H +05' +1>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1B' +0A' +1K' +0J' +1T' +0S' +b11110111111111111110110011011100 X$ +b11110111111111111110110011011100 `$ +1\' +0[' +0-' +16' +1?' +1H' +b1111000000000000000000001100011 a$ +1Q' +1Z$ +#13660 +b1 " +b1 + +b1 H +0G' +0P' +0Y' +b1 @ +b1 [$ +19' +08' +0B' +1A' +0K' +1J' +0T' +1S' +b1111111111111110110011011100 X$ +b1111111111111110110011011100 `$ +0\' +1[' +0?' +0H' +b1000000000000000000001100011 a$ +0Q' +0Z$ +#13680 +b0 " +b0 + +b0 H +0>' +1G' +1P' +1Y' +b0 @ +b0 [$ +1K' +0J' +1T' +0S' +b11101111111111111110110011011100 X$ +b11101111111111111110110011011100 `$ +1\' +0[' +06' +1?' +1H' +b1110000000000000000000001100011 a$ +1Q' +1Z$ +#13700 +b1 " +b1 + +b1 H +0P' +0Y' +b1 @ +b1 [$ +1B' +0A' +0K' +1J' +0T' +1S' +b11111111111111110110011011100 X$ +b11111111111111110110011011100 `$ +0\' +1[' +0H' +b10000000000000000000001100011 a$ +0Q' +0Z$ +#13720 +b0 " +b0 + +b0 H +0G' +1P' +1Y' +b0 @ +b0 [$ +1T' +0S' +b11011111111111111110110011011100 X$ +b11011111111111111110110011011100 `$ +1\' +0[' +0?' +1H' +b1100000000000000000000001100011 a$ +1Q' +1Z$ +#13740 +b1 " +b1 + +b1 H +0Y' +b1 @ +b1 [$ +1K' +0J' +0T' +1S' +b111111111111111110110011011100 X$ +b111111111111111110110011011100 `$ +0\' +1[' +b100000000000000000000001100011 a$ +0Q' +0Z$ +#13760 +b0 " +b0 + +b0 H +0P' +1Y' +b0 @ +b0 [$ +b10111111111111111110110011011100 X$ +b10111111111111111110110011011100 `$ +1\' +0[' +0H' +b1000000000000000000000001100011 a$ +1Q' +1Z$ +#13780 +b1 " +b1 + +b1 H +b1 @ +b1 [$ +1T' +0S' +b1111111111111111110110011011100 X$ +b1111111111111111110110011011100 `$ +0\' +1[' +0Z$ +#13800 +b0 " +b0 + +b0 H +0Y' +b0 @ +b0 [$ +b1100011 a$ +0Q' +1Z$ +1Y$ +#13820 +b1 " +b1 + +b1 H +b11111111111111111110110011011100 X$ +b11111111111111111110110011011100 `$ +1\' +0[' +b1 @ +b1 [$ +#13840 +b0 " +b0 + +b0 H +b0 @ +b0 [$ +0Z$ +#13860 +0Y$ +#13880 +b1 " +b1 + +b1 H +b1 @ +b1 [$ +#14000 +0Y +1t +1} +0(" +01" +0:" +0U" +0m$ +1*% +13% +0<% +0E% +0N% +0i% +1W +0r +0{ +1&" +1/" +18" +1S" +1k$ +0(% +01% +1:% +1C% +1L% +1g% +b11111111111111111110110001111000 ' +b11111111111111111110110001111000 * +b11111111111111111110110001111000 6 +b11111111111111111110110001111000 K +b11111111111111111110110001111000 S$ +b11111111111111111110110001111000 V$ +b11111111111111111110110001111000 ^$ +b11111111111111111110110001111000 g' +b11111111111111111110110001111000 j' +b11111111111111111111111110011100 & +b11111111111111111111111110011100 ) +b11111111111111111111111110011100 5 +b11111111111111111111111110011100 J +b11111111111111111111111110011100 R$ +b11111111111111111111111110011100 U$ +b11111111111111111111111110011100 ]$ +b11111111111111111111111110011100 f' +b11111111111111111111111110011100 i' +#14020 +0X +1s +1| +0'" +00" +09" +0T" +1l$ +0)% +02% +1;% +1D% +1M% +1h% +0_ +1\ +0z +0%" +0." +1+" +07" +14" +0@" +1=" +0[" +1X" +1s$ +10% +0-% +19% +06% +1B% +1K% +1T% +1o% +#14040 +1c +04% +0=% +1_ +0\ +1z +1%" +1." +0+" +17" +04" +1@" +0=" +1[" +0X" +0s$ +1p$ +00% +09% +0B% +1?% +0K% +1H% +0T% +1Q% +0o% +1l% +0^ +b1111111111111111111111111111100 M +1[ +1y +0x +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +b11111111111111111111111111110000 C +b11111111111111111111111111110000 L +1Z" +0Y" +0r$ +1q$ +1/% +0,% +08% +17% +b11 a$ +05% +0A% +1@% +1J% +1S% +b11111111111111111111111100111000 X$ +b11111111111111111111111100111000 `$ +1n% +#14060 +0c +1O% +1X% +1s% +0~ +0)" +02" +0;" +0D" +0_" +1w$ +1=% +1F% +1g +1^ +0[ +0y +1x +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +b11111111111111111110110000011100 C +b11111111111111111110110000011100 L +0Z" +1Y" +1r$ +0q$ +0/% +07% +0@% +0J% +1G% +0S% +1P% +b11111111111111111110110000011100 X$ +b11111111111111111110110000011100 `$ +0n% +1k% +0v +0!" +0*" +03" +0<" +b1111111111111111110110000011000 M +0W" +1o$ +15% +b1001111000111 a$ +1>% +#14080 +1~ +1)" +12" +1;" +1D" +1_" +0=% +0g +1S% +0\% +1[% +0w% +1v% +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +0H" +b11111111111111111100101111010100 C +b11111111111111111100101111010100 L +0c" +0{$ +1z$ +1A% +b11111111111111111100101110010100 X$ +b11111111111111111100101110010100 `$ +1J% +1v +1!" +1*" +13" +1<" +b1111111111111111111111111111000 M +1W" +b1001110000111 a$ +05% +#14100 +1a% +1|% +0)" +02" +0;" +0D" +1"% +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +1H" +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1c" +b11111111111111111100101100010100 X$ +b11111111111111111100101100010100 `$ +0A% +1Y% +1t% +0!" +0*" +03" +b1111111111111111111110000111000 M +0<" +b11011110001111 a$ +1x$ +#14120 +1)" +12" +1;" +1D" +0e% +1d% +0"& +1!& +1-" +0," +16" +05" +1?" +0>" +b11111111111111111110101110010100 C +b11111111111111111110101110010100 L +0H" +b11111111111111111000001100000100 X$ +b11111111111111111000001100000100 `$ +0&% +1%% +1!" +1*" +13" +b1111111111111111111111111111000 M +1<" +#14140 +1j% +1'& +02" +0;" +0D" +1+% +0-" +1," +06" +15" +0?" +1>" +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1H" +1b% +1}% +0*" +03" +b1111111111111111111110001111000 M +0<" +b111111110011111 a$ +1#% +#14160 +12" +1;" +1D" +1n% +0+& +1*& +16" +05" +1?" +0>" +b11111111111111111110101100010100 C +b11111111111111111110101100010100 L +0H" +b11111111111111110001001100100100 X$ +b11111111111111110001001100100100 `$ +1/% +1*" +13" +b1111111111111111111111111111000 M +1<" +#14180 +10& +0;" +0D" +06" +15" +0?" +1>" +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1H" +b1111111110011111 a$ +1(& +03" +b1111111111111111111110011111000 M +0<" +#14200 +1;" +1D" +b11111111111111100001001100100100 X$ +b11111111111111100001001100100100 `$ +04& +13& +1?" +0>" +b11111111111111111110101000010100 C +b11111111111111111110101000010100 L +0H" +13" +b1111111111111111111111111111000 M +1<" +#14220 +19& +0D" +0?" +1>" +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1H" +b11111111110011111 a$ +11& +b1111111111111111111110111111000 M +0<" +#14240 +1D" +b11111111111111000001001100100100 X$ +b11111111111111000001001100100100 `$ +0=& +1<& +b11111111111111111110100000010100 C +b11111111111111111110100000010100 L +0H" +b1111111111111111111111111111000 M +1<" +#14260 +1B& +b11111111111111111110110000010100 C +b11111111111111111110110000010100 L +1H" +b111111111110011111 a$ +1:& +#14280 +b11111111111110000001001100100100 X$ +b11111111111110000001001100100100 `$ +0F& +1E& +#14300 +1K& +b1111111111110011111 a$ +1C& +#14320 +b11111111111100000001001100100100 X$ +b11111111111100000001001100100100 `$ +0O& +1N& +#14340 +1T& +b11111111111110011111 a$ +1L& +#14360 +b11111111111000000001001100100100 X$ +b11111111111000000001001100100100 `$ +0X& +1W& +#14380 +1]& +b111111111111110011111 a$ +1U& +#14400 +b11111111110000000001001100100100 X$ +b11111111110000000001001100100100 `$ +0a& +1`& +#14420 +1f& +b1111111111111110011111 a$ +1^& +#14440 +b11111111100000000001001100100100 X$ +b11111111100000000001001100100100 `$ +0j& +1i& +#14460 +1o& +b11111111111111110011111 a$ +1g& +#14480 +b11111111000000000001001100100100 X$ +b11111111000000000001001100100100 `$ +0s& +1r& +#14500 +1x& +b111111111111111110011111 a$ +1p& +#14520 +b11111110000000000001001100100100 X$ +b11111110000000000001001100100100 `$ +0|& +1{& +#14540 +1#' +b1111111111111111110011111 a$ +1y& +#14560 +b11111100000000000001001100100100 X$ +b11111100000000000001001100100100 `$ +0'' +1&' +#14580 +1,' +b11111111111111111110011111 a$ +1$' +#14600 +b11111000000000000001001100100100 X$ +b11111000000000000001001100100100 `$ +00' +1/' +#14620 +15' +b111111111111111111110011111 a$ +1-' +#14640 +b11110000000000000001001100100100 X$ +b11110000000000000001001100100100 `$ +09' +18' +#14660 +1>' +b1111111111111111111110011111 a$ +16' +#14680 +b11100000000000000001001100100100 X$ +b11100000000000000001001100100100 `$ +0B' +1A' +#14700 +1G' +b11111111111111111111110011111 a$ +1?' +#14720 +b11000000000000000001001100100100 X$ +b11000000000000000001001100100100 `$ +0K' +1J' +#14740 +1P' +b111111111111111111111110011111 a$ +1H' +#14760 +b10000000000000000001001100100100 X$ +b10000000000000000001001100100100 `$ +0T' +1S' +#14780 +1Y' +b1111111111111111111111110011111 a$ +1Q' +#14800 +b1001100100100 X$ +b1001100100100 `$ +0\' +1[' +1Y$ +#14820 +1Z$ +#14840 +0Y$ +#14860 +b0 " +b0 + +b0 H +b0 @ +b0 [$ +#15000 +1Y +0b +0k +0C" +0L" +0^" +0g" +0p" +0y" +0$# +0-# +06# +0?# +0H# +0Q# +0Z# +0c# +0l# +0u# +0~# +0)$ +02$ +0;$ +0D$ +1m$ +0v$ +0!% +0W% +0`% +0r% +0{% +0&& +0/& +08& +0A& +0J& +0S& +0\& +0e& +0n& +0w& +0"' +0+' +04' +0=' +0F' +0O' +0X' +b1100100 ' +b1100100 * +b1100100 6 +b1100100 K +b1100100 S$ +b1100100 V$ +b1100100 ^$ +b1100100 g' +b1100100 j' +#15020 +1X +0a +0j +0B" +0K" +0]" +0f" +0o" +0x" +0## +0,# +05# +0># +0G# +0P# +0Y# +0b# +0k# +0t# +0}# +0($ +01$ +0:$ +0C$ +b11111111111111111111111111111000 ? +b11111111111111111111111111111000 T$ +0l$ +1u$ +1~$ +1V% +1_% +1q% +1z% +1%& +1.& +17& +1@& +1I& +1R& +1[& +1d& +1m& +1v& +1!' +1*' +13' +1<' +1E' +1N' +1W' +#15040 +0_ +1\ +1h +0e +1q +0n +1I" +0F" +1R" +0O" +1d" +0a" +1m" +0j" +1v" +0s" +1!# +0|" +1*# +0'# +13# +00# +1<# +09# +1E# +0B# +1N# +0K# +1W# +0T# +1`# +0]# +1i# +0f# +1r# +0o# +1{# +0x# +1&$ +0#$ +1/$ +0,$ +18$ +05$ +1A$ +0>$ +1I$ +0F$ +1s$ +0p$ +0|$ +1y$ +0'% +1$% +0]% +1Z% +0f% +1c% +0x% +1u% +0#& +1~% +0,& +1)& +05& +12& +0>& +1;& +0G& +1D& +0P& +1M& +0Y& +1V& +0b& +1_& +0k& +1h& +0t& +1q& +0}& +1z& +0(' +1%' +01' +1.' +0:' +17' +0C' +1@' +0L' +1I' +0U' +1R' +0]' +1Z' +b100 B +b100 h' +#15060 +1c +0l +0u +0M" +0V" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0w$ +0^ +1[ +1g +0d +0p +1o +0m +0H" +1G" +0E" +0Q" +1P" +0N" +0c" +1b" +0`" +0l" +1k" +0i" +0u" +1t" +0r" +0~" +1}" +0{" +0)# +1(# +0&# +02# +11# +0/# +0;# +1:# +08# +0D# +1C# +0A# +0M# +1L# +0J# +0V# +1U# +0S# +0_# +1^# +0\# +0h# +1g# +0e# +0q# +1p# +0n# +0z# +1y# +0w# +0%$ +1$$ +0"$ +0.$ +1-$ +0+$ +07$ +16$ +04$ +0@$ +1?$ +b1001111100100 M +0=$ +b1000 C +b1000 L +0H$ +1G$ +0G +0r$ +1q$ +b1111111111111111111111110011011 a$ +0o$ +1{$ +0z$ +1&% +0%% +1\% +0[% +1e% +0d% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1'' +0&' +10' +0/' +19' +08' +1B' +0A' +1K' +0J' +1T' +0S' +b11111111111111111111111100111000 X$ +b11111111111111111111111100111000 `$ +1\' +0[' +#15080 +b1 " +b1 + +b1 H +1u +1M" +1V" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1w$ +0g +1f +1p +0o +1y +0x +1Q" +0P" +1Z" +0Y" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111101100000110000 C +b11111111111111111101100000110000 L +1H$ +0G$ +b11111111111111111111111100110000 X$ +b11111111111111111111111100110000 `$ +0{$ +b1 @ +b1 [$ +1m +1E" +1N" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111110100 M +1=$ +1G +b1111111111111111111111110011111 a$ +1o$ +#15100 +1l +0u +0~ +0V" +0_" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0y +1x +0Q" +1P" +0Z" +1Y" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b10000 C +b10000 L +0H$ +1G$ +b11111111111111111111111100111000 X$ +b11111111111111111111111100111000 `$ +1{$ +1d +0m +0v +0N" +0W" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b10011111001100 M +0=$ +0G +#15120 +1~ +1V" +1_" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0p +1o +1y +0x +1$" +0#" +1Z" +0Y" +1c" +0b" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111011000001100000 C +b11111111111111111011000001100000 L +1H$ +0G$ +1v +1N" +1W" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111101100 M +1=$ +1G +#15140 +1u +0~ +0)" +0_" +0h" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0$" +1#" +0Z" +1Y" +0c" +1b" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b100000 C +b100000 L +0H$ +1G$ +1m +0v +0!" +0W" +0`" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b100111110011100 M +0=$ +0G +#15160 +1)" +1_" +1h" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0y +1x +1$" +0#" +1-" +0," +1c" +0b" +1l" +0k" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111110110000011000000 C +b11111111111111110110000011000000 L +1H$ +0G$ +1!" +1W" +1`" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111011100 M +1=$ +1G +#15180 +1~ +0)" +02" +0h" +0q" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0-" +1," +0c" +1b" +0l" +1k" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b1000000 C +b1000000 L +0H$ +1G$ +1v +0!" +0*" +0`" +0i" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1001111100111100 M +0=$ +0G +#15200 +12" +1h" +1q" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0$" +1#" +1-" +0," +16" +05" +1l" +0k" +1u" +0t" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111101100000110000000 C +b11111111111111101100000110000000 L +1H$ +0G$ +1*" +1`" +1i" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111110111100 M +1=$ +1G +#15220 +1)" +02" +0;" +0q" +0z" +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +06" +15" +0l" +1k" +0u" +1t" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b10000000 C +b10000000 L +0H$ +1G$ +1!" +0*" +03" +0i" +0r" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b10011111001111100 M +0=$ +0G +#15240 +1;" +1q" +1z" +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0-" +1," +16" +05" +1?" +0>" +1u" +0t" +1~" +0}" +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111011000001100000000 C +b11111111111111011000001100000000 L +1H$ +0G$ +13" +1i" +1r" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111101111100 M +1=$ +1G +#15260 +12" +0;" +0D" +0z" +0%# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0?" +1>" +0u" +1t" +0~" +1}" +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b100000000 C +b100000000 L +0H$ +1G$ +1*" +03" +0<" +0r" +0{" +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b100111110011111100 M +0=$ +0G +#15280 +1D" +1z" +1%# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +06" +15" +1?" +0>" +1H" +0G" +1~" +0}" +1)# +0(# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111110110000011000000000 C +b11111111111110110000011000000000 L +1H$ +0G$ +1<" +1r" +1{" +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111011111100 M +1=$ +1G +#15300 +1;" +0D" +0M" +0%# +0.# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0H" +1G" +0~" +1}" +0)# +1(# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b1000000000 C +b1000000000 L +0H$ +1G$ +13" +0<" +0E" +0{" +0&# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1001111100111111100 M +0=$ +0G +#15320 +1M" +1%# +1.# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0?" +1>" +1H" +0G" +1Q" +0P" +1)# +0(# +12# +01# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111101100000110000000000 C +b11111111111101100000110000000000 L +1H$ +0G$ +1E" +1{" +1&# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111110111111100 M +1=$ +1G +#15340 +1D" +0M" +0V" +0.# +07# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0Q" +1P" +0)# +1(# +02# +11# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b10000000000 C +b10000000000 L +0H$ +1G$ +1<" +0E" +0N" +0&# +0/# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b10011111001111111100 M +0=$ +0G +#15360 +1V" +1.# +17# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0H" +1G" +1Q" +0P" +1Z" +0Y" +12# +01# +1;# +0:# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111011000001100000000000 C +b11111111111011000001100000000000 L +1H$ +0G$ +1N" +1&# +1/# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111101111111100 M +1=$ +1G +#15380 +1M" +0V" +0_" +07# +0@# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0Z" +1Y" +02# +11# +0;# +1:# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b100000000000 C +b100000000000 L +0H$ +1G$ +1E" +0N" +0W" +0/# +08# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b100111110011111111100 M +0=$ +0G +#15400 +1_" +17# +1@# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0Q" +1P" +1Z" +0Y" +1c" +0b" +1;# +0:# +1D# +0C# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111110110000011000000000000 C +b11111111110110000011000000000000 L +1H$ +0G$ +1W" +1/# +18# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111011111111100 M +1=$ +1G +#15420 +1V" +0_" +0h" +0@# +0I# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0c" +1b" +0;# +1:# +0D# +1C# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b1000000000000 C +b1000000000000 L +0H$ +1G$ +1N" +0W" +0`" +08# +0A# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1001111100111111111100 M +0=$ +0G +#15440 +1h" +1@# +1I# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0Z" +1Y" +1c" +0b" +1l" +0k" +1D# +0C# +1M# +0L# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111101100000110000000000000 C +b11111111101100000110000000000000 L +1H$ +0G$ +1`" +18# +1A# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111110111111111100 M +1=$ +1G +#15460 +1_" +0h" +0q" +0I# +0R# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0l" +1k" +0D# +1C# +0M# +1L# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b10000000000000 C +b10000000000000 L +0H$ +1G$ +1W" +0`" +0i" +0A# +0J# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b10011111001111111111100 M +0=$ +0G +#15480 +1q" +1I# +1R# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0c" +1b" +1l" +0k" +1u" +0t" +1M# +0L# +1V# +0U# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111011000001100000000000000 C +b11111111011000001100000000000000 L +1H$ +0G$ +1i" +1A# +1J# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111101111111111100 M +1=$ +1G +#15500 +1h" +0q" +0z" +0R# +0[# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0u" +1t" +0M# +1L# +0V# +1U# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b100000000000000 C +b100000000000000 L +0H$ +1G$ +1`" +0i" +0r" +0J# +0S# +0e# +0n# +0w# +0"$ +0+$ +04$ +b100111110011111111111100 M +0=$ +0G +#15520 +1z" +1R# +1[# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0l" +1k" +1u" +0t" +1~" +0}" +1V# +0U# +1_# +0^# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111110110000011000000000000000 C +b11111110110000011000000000000000 L +1H$ +0G$ +1r" +1J# +1S# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111011111111111100 M +1=$ +1G +#15540 +1q" +0z" +0%# +0[# +0d# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0~" +1}" +0V# +1U# +0_# +1^# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b1000000000000000 C +b1000000000000000 L +0H$ +1G$ +1i" +0r" +0{" +0S# +0\# +0n# +0w# +0"$ +0+$ +04$ +b1001111100111111111111100 M +0=$ +0G +#15560 +1%# +1[# +1d# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +0u" +1t" +1~" +0}" +1)# +0(# +1_# +0^# +1h# +0g# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111101100000110000000000000000 C +b11111101100000110000000000000000 L +1H$ +0G$ +1{" +1S# +1\# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111110111111111111100 M +1=$ +1G +#15580 +1z" +0%# +0.# +0d# +0m# +0!$ +0*$ +03$ +0<$ +0E$ +0)# +1(# +0_# +1^# +0h# +1g# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b10000000000000000 C +b10000000000000000 L +0H$ +1G$ +1r" +0{" +0&# +0\# +0e# +0w# +0"$ +0+$ +04$ +b10011111001111111111111100 M +0=$ +0G +#15600 +1.# +1d# +1m# +1!$ +1*$ +13$ +1<$ +1E$ +0~" +1}" +1)# +0(# +12# +01# +1h# +0g# +1q# +0p# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111011000001100000000000000000 C +b11111011000001100000000000000000 L +1H$ +0G$ +1&# +1\# +1e# +1w# +1"$ +1+$ +14$ +b1111111111111101111111111111100 M +1=$ +1G +#15620 +1%# +0.# +07# +0m# +0v# +0*$ +03$ +0<$ +0E$ +02# +11# +0h# +1g# +0q# +1p# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b100000000000000000 C +b100000000000000000 L +0H$ +1G$ +1{" +0&# +0/# +0e# +0n# +0"$ +0+$ +04$ +b100111110011111111111111100 M +0=$ +0G +#15640 +17# +1m# +1v# +1*$ +13$ +1<$ +1E$ +0)# +1(# +12# +01# +1;# +0:# +1q# +0p# +1z# +0y# +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11110110000011000000000000000000 C +b11110110000011000000000000000000 L +1H$ +0G$ +1/# +1e# +1n# +1"$ +1+$ +14$ +b1111111111111011111111111111100 M +1=$ +1G +#15660 +1.# +07# +0@# +0v# +0!$ +03$ +0<$ +0E$ +0;# +1:# +0q# +1p# +0z# +1y# +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b1000000000000000000 C +b1000000000000000000 L +0H$ +1G$ +1&# +0/# +08# +0n# +0w# +0+$ +04$ +b1001111100111111111111111100 M +0=$ +0G +#15680 +1@# +1v# +1!$ +13$ +1<$ +1E$ +02# +11# +1;# +0:# +1D# +0C# +1z# +0y# +1%$ +0$$ +17$ +06$ +1@$ +0?$ +b11101100000110000000000000000000 C +b11101100000110000000000000000000 L +1H$ +0G$ +18# +1n# +1w# +1+$ +14$ +b1111111111110111111111111111100 M +1=$ +1G +#15700 +17# +0@# +0I# +0!$ +0*$ +0<$ +0E$ +0D# +1C# +0z# +1y# +0%$ +1$$ +07$ +16$ +0@$ +1?$ +b10000000000000000000 C +b10000000000000000000 L +0H$ +1G$ +1/# +08# +0A# +0w# +0"$ +04$ +b10011111001111111111111111100 M +0=$ +0G +#15720 +1I# +1!$ +1*$ +1<$ +1E$ +0;# +1:# +1D# +0C# +1M# +0L# +1%$ +0$$ +1.$ +0-$ +1@$ +0?$ +b11011000001100000000000000000000 C +b11011000001100000000000000000000 L +1H$ +0G$ +1A# +1w# +1"$ +14$ +b1111111111101111111111111111100 M +1=$ +1G +#15740 +1@# +0I# +0R# +0*$ +03$ +0E$ +0M# +1L# +0%$ +1$$ +0.$ +1-$ +0@$ +1?$ +b100000000000000000000 C +b100000000000000000000 L +0H$ +1G$ +18# +0A# +0J# +0"$ +0+$ +b100111110011111111111111111100 M +0=$ +0G +#15760 +1R# +1*$ +13$ +1E$ +0D# +1C# +1M# +0L# +1V# +0U# +1.$ +0-$ +17$ +06$ +b10110000011000000000000000000000 C +b10110000011000000000000000000000 L +1H$ +0G$ +1J# +1"$ +1+$ +b1111111111011111111111111111100 M +1=$ +1G +#15780 +1I# +0R# +0[# +03$ +0<$ +0V# +1U# +0.$ +1-$ +07$ +16$ +b1000000000000000000000 C +b1000000000000000000000 L +0H$ +1G$ +1A# +0J# +0S# +0+$ +b1001111100111111111111111111100 M +04$ +0G +#15800 +1[# +13$ +1<$ +0M# +1L# +1V# +0U# +1_# +0^# +17$ +06$ +b1100000110000000000000000000000 C +b1100000110000000000000000000000 L +1@$ +0?$ +1S# +1+$ +b1111111110111111111111111111100 M +14$ +1G +1E +#15820 +1R# +0[# +0d# +0<$ +0E$ +0_# +1^# +07$ +16$ +b10000000000000000000000 C +b10000000000000000000000 L +0@$ +1?$ +1J# +0S# +0\# +04$ +b11111001111111111111111111100 M +0=$ +0E +#15840 +1d# +1<$ +1E$ +0V# +1U# +1_# +0^# +1h# +0g# +1@$ +0?$ +b11000001100000000000000000000000 C +b11000001100000000000000000000000 L +1H$ +0G$ +1E +1\# +14$ +b1111111101111111111111111111100 M +1=$ +#15860 +1[# +0d# +0m# +0E$ +0h# +1g# +0@$ +1?$ +b100000000000000000000000 C +b100000000000000000000000 L +0H$ +1G$ +0E +1S# +0\# +0e# +b111110011111111111111111111100 M +0=$ +0G +#15880 +1m# +1E$ +0_# +1^# +1h# +0g# +1q# +0p# +b10000011000000000000000000000000 C +b10000011000000000000000000000000 L +1H$ +0G$ +1e# +b1111111011111111111111111111100 M +1=$ +1G +#15900 +1d# +0m# +0v# +0q# +1p# +b1000000000000000000000000 C +b1000000000000000000000000 L +0H$ +1G$ +1\# +0e# +b1111100111111111111111111111100 M +0n# +0G +#15920 +1v# +0h# +1g# +1q# +0p# +b110000000000000000000000000 C +b110000000000000000000000000 L +1z# +0y# +b1111110111111111111111111111100 M +1n# +1G +1E +#15940 +1m# +0v# +0!$ +b10000000000000000000000000 C +b10000000000000000000000000 L +0z# +1y# +1e# +0n# +b1111001111111111111111111111100 M +0w# +0E +#15960 +1!$ +0q# +1p# +1z# +0y# +b1100000000000000000000000000 C +b1100000000000000000000000000 L +1%$ +0$$ +b1111101111111111111111111111100 M +1w# +#15980 +1v# +0!$ +0*$ +b100000000000000000000000000 C +b100000000000000000000000000 L +0%$ +1$$ +1n# +0w# +b1110011111111111111111111111100 M +0"$ +#16000 +1*$ +1b +1k +0t +0} +1(" +11" +1:" +1C" +1L" +1U" +1^" +1g" +1p" +1y" +1$# +1-# +16# +1?# +1H# +1Q# +1Z# +1c# +1l# +1u# +1~# +1)$ +12$ +1;$ +1D$ +1v$ +1!% +0*% +03% +1<% +1E% +1N% +1W% +1`% +1i% +1r% +1{% +1&& +1/& +18& +1A& +1J& +1S& +1\& +1e& +1n& +1w& +1"' +1+' +14' +1=' +1F' +1O' +1X' +0` +0i +1r +1{ +0&" +0/" +08" +0A" +0J" +0S" +0\" +0e" +0n" +0w" +0"# +0+# +04# +0=# +0F# +0O# +0X# +0a# +0j# +0s# +0|# +0'$ +00$ +09$ +0B$ +0t$ +0}$ +1(% +11% +0:% +0C% +0L% +0U% +0^% +0g% +0p% +0y% +0$& +0-& +06& +0?& +0H& +0Q& +0Z& +0c& +0l& +0u& +0~& +0)' +02' +0;' +0D' +0M' +0V' +0z# +1y# +1%$ +0$$ +b11000000000000000000000000000 C +b11000000000000000000000000000 L +1.$ +0-$ +b1111011111111111111111111111100 M +1"$ +b11111111111111111111111110011100 ' +b11111111111111111111111110011100 * +b11111111111111111111111110011100 6 +b11111111111111111111111110011100 K +b11111111111111111111111110011100 S$ +b11111111111111111111111110011100 V$ +b11111111111111111111111110011100 ^$ +b11111111111111111111111110011100 g' +b11111111111111111111111110011100 j' +b1100100 & +b1100100 ) +b1100100 5 +b1100100 J +b1100100 R$ +b1100100 U$ +b1100100 ]$ +b1100100 f' +b1100100 i' +#16020 +1!$ +0*$ +03$ +b1000000000000000000000000000 C +b1000000000000000000000000000 L +0.$ +1-$ +1a +1j +0s +0| +1'" +10" +19" +1B" +1K" +1T" +1]" +1f" +1o" +1x" +1## +1,# +15# +1># +1G# +1P# +1Y# +1b# +1k# +1t# +1}# +1($ +11$ +1:$ +1C$ +0u$ +0~$ +1)% +12% +0;% +0D% +0M% +0V% +0_% +0h% +0q% +0z% +0%& +0.& +07& +0@& +0I& +0R& +0[& +0d& +0m& +0v& +0!' +0*' +03' +0<' +0E' +0N' +0W' +0h +0q +0z +1w +0%" +1"" +0." +07" +0@" +0I" +0R" +0[" +0d" +0m" +0v" +0!# +0*# +03# +0<# +0E# +0N# +0W# +0`# +0i# +0r# +0{# +0&$ +0/$ +08$ +0A$ +0I$ +1|$ +0y$ +1'% +0$% +10% +19% +1B% +0?% +1K% +0H% +1T% +0Q% +1]% +0Z% +1f% +0c% +1o% +0l% +1x% +0u% +1#& +0~% +1,& +0)& +15& +02& +1>& +0;& +1G& +0D& +1P& +0M& +1Y& +0V& +1b& +0_& +1k& +0h& +1t& +0q& +1}& +0z& +1(' +0%' +11' +0.' +1:' +07' +1C' +0@' +1L' +0I' +1U' +0R' +1]' +0Z' +1w# +0"$ +b1100111111111111111111111111100 M +0+$ +#16040 +13$ +0"% +0+% +0F% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +b1110111111111111111111111111100 M +1+$ +1h +1q +1z +0w +1%" +0"" +1." +17" +1@" +1I" +1R" +1[" +1d" +1m" +1v" +1!# +1*# +13# +1<# +1E# +1N# +1W# +1`# +1i# +1r# +1{# +1&$ +1/$ +18$ +1A$ +1I$ +0|$ +0'% +00% +1-% +09% +16% +0B% +0K% +0T% +0]% +0f% +0o% +0x% +0#& +0,& +05& +0>& +0G& +0P& +0Y& +0b& +0k& +0t& +0}& +0(' +01' +0:' +0C' +0L' +0U' +0]' +1g +0f +1p +0o +1y +0x +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +0-$ +06$ +1@$ +0?$ +b11001111111111111111111111111000 C +b11001111111111111111111111111000 L +1H$ +0G$ +0{$ +1z$ +0x$ +0&% +1%% +0#% +0/% +1.% +18% +1A% +0>% +0J% +1I% +0G% +0S% +1R% +0P% +0\% +1[% +0Y% +0e% +1d% +0b% +0n% +1m% +0k% +0w% +1v% +0t% +0"& +1!& +0}% +0+& +1*& +0(& +04& +13& +01& +0=& +1<& +0:& +0F& +1E& +0C& +0O& +1N& +0L& +0X& +1W& +0U& +0a& +1`& +0^& +0j& +1i& +0g& +0s& +1r& +0p& +0|& +1{& +0y& +0'' +1&' +0$' +00' +1/' +0-' +09' +18' +06' +0B' +1A' +0?' +0K' +1J' +0H' +0T' +1S' +b111 a$ +0Q' +b11000000 X$ +b11000000 `$ +0\' +1[' +0Z$ +#16060 +b0 " +b0 + +b0 H +1=% +0l +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +03$ +0<$ +0E$ +1"% +1+% +14% +1O% +1X% +1a% +1j% +1s% +1|% +1'& +10& +19& +1B& +1K& +1T& +1]& +1f& +1o& +1x& +1#' +1,' +15' +1>' +1G' +1P' +1Y' +16$ +b0 @ +b0 [$ +0g +1f +0p +1o +0y +1x +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +1.$ +0@$ +1?$ +b10000000000000000000000000000 C +b10000000000000000000000000000 L +0H$ +1G$ +1{$ +0z$ +0%% +0.% +08% +15% +b1000 X$ +b1000 `$ +0A% +0I% +0R% +0[% +0d% +0m% +0v% +0!& +0*& +03& +0<& +0E& +0N& +0W& +0`& +0i& +0r& +0{& +0&' +0/' +08' +0A' +0J' +0S' +0[' +0d +0m +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0+$ +04$ +b100 M +0=$ +0G +1x$ +1#% +1,% +1G% +1P% +1Y% +1b% +1k% +1t% +1}% +1(& +11& +1:& +1C& +1L& +1U& +1^& +1g& +1p& +1y& +1$' +1-' +16' +1?' +1H' +b1111111111111111111111101111111 a$ +1Q' +1Z$ +#16080 +1<$ +1l +1u +1~ +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +1E$ +0"% +0+% +0O% +0X% +0a% +0j% +0s% +0|% +0'& +00& +09& +0B& +0K& +0T& +0]& +0f& +0o& +0x& +0#' +0,' +05' +0>' +0G' +0P' +0Y' +1A% +1p +0o +1y +0x +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +17$ +06$ +1@$ +0?$ +b11111111111111111111111111110000 C +b11111111111111111111111111110000 L +1H$ +0G$ +1&% +1/% +18% +1S% +1\% +1e% +1n% +1w% +1"& +1+& +14& +1=& +1F& +1O& +1X& +1a& +1j& +1s& +1|& +1'' +10' +19' +1B' +1K' +1T' +b11111111111111111111111011111000 X$ +b11111111111111111111111011111000 `$ +1\' +14$ +1d +1m +1v +1!" +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +b1101111111111111111111111111100 M +1=$ +1G +0x$ +0#% +0G% +0P% +0Y% +0b% +0k% +0t% +0}% +0(& +01& +0:& +0C& +0L& +0U& +0^& +0g& +0p& +0y& +0$' +0-' +06' +0?' +0H' +b1100111 a$ +0Q' +0Z$ +#16100 +b1 " +b1 + +b1 H +0u +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +0<$ +0E$ +b1 @ +b1 [$ +0@$ +1?$ +0p +1o +0y +1x +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +b100000000000000000000000000000 C +b100000000000000000000000000000 L +0H$ +1G$ +0&% +0/% +0S% +0\% +0e% +0n% +0w% +0"& +0+& +04& +0=& +0F& +0O& +0X& +0a& +0j& +0s& +0|& +0'' +00' +09' +0B' +0K' +0T' +b11001000 X$ +b11001000 `$ +0\' +0m +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +04$ +b1100 M +0=$ +0G +#16120 +b0 " +b0 + +b0 H +1E$ +1u +1~ +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +b0 @ +b0 [$ +1y +0x +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111100000 C +b11111111111111111111111111100000 L +1H$ +0G$ +1=$ +1m +1v +1!" +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +b1011111111111111111111111111100 M +1+$ +1G +#16140 +0~ +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0E$ +0H$ +1G$ +0y +1x +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +b1000000000000000000000000000000 C +b1000000000000000000000000000000 L +07$ +16$ +0v +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +b11100 M +0=$ +0G +#16160 +1~ +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1$" +0#" +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +b11111111111111111111111111000000 C +b11111111111111111111111111000000 L +1H$ +0G$ +1G +1v +1!" +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +b111111111111111111111111111100 M +14$ +#16180 +0)" +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0$" +1#" +0-" +1," +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +b10000000000000000000000000000000 C +b10000000000000000000000000000000 L +0@$ +1?$ +0!" +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +b111100 M +04$ +0G +1E +#16200 +1)" +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1-" +0," +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +b11111111111111111111111110000000 C +b11111111111111111111111110000000 L +1@$ +0?$ +1!" +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +0E +#16220 +02" +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0-" +1," +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +1E +0*" +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111100 M +0=$ +#16240 +12" +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +16" +05" +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111111111100000000 C +b11111111111111111111111100000000 L +1H$ +0G$ +0E +1*" +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16260 +0;" +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +06" +15" +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +03" +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111100 M +0=$ +0G +#16280 +1;" +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1?" +0>" +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111111111000000000 C +b11111111111111111111111000000000 L +1H$ +0G$ +13" +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16300 +0D" +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0?" +1>" +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0<" +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111100 M +0=$ +0G +#16320 +1D" +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1H" +0G" +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111111110000000000 C +b11111111111111111111110000000000 L +1H$ +0G$ +1<" +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16340 +0M" +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0H" +1G" +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0E" +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111111100 M +0=$ +0G +#16360 +1M" +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1Q" +0P" +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111111100000000000 C +b11111111111111111111100000000000 L +1H$ +0G$ +1E" +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16380 +0V" +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0Q" +1P" +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0N" +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111111100 M +0=$ +0G +#16400 +1V" +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1Z" +0Y" +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111111000000000000 C +b11111111111111111111000000000000 L +1H$ +0G$ +1N" +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16420 +0_" +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0Z" +1Y" +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0W" +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111111100 M +0=$ +0G +#16440 +1_" +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1c" +0b" +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111110000000000000 C +b11111111111111111110000000000000 L +1H$ +0G$ +1W" +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16460 +0h" +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0c" +1b" +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0`" +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111111111100 M +0=$ +0G +#16480 +1h" +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1l" +0k" +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111100000000000000 C +b11111111111111111100000000000000 L +1H$ +0G$ +1`" +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16500 +0q" +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0l" +1k" +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0i" +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111111111100 M +0=$ +0G +#16520 +1q" +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1u" +0t" +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111111000000000000000 C +b11111111111111111000000000000000 L +1H$ +0G$ +1i" +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16540 +0z" +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0u" +1t" +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0r" +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111111111100 M +0=$ +0G +#16560 +1z" +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1~" +0}" +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111110000000000000000 C +b11111111111111110000000000000000 L +1H$ +0G$ +1r" +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16580 +0%# +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0~" +1}" +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0{" +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111111111111100 M +0=$ +0G +#16600 +1%# +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1)# +0(# +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111100000000000000000 C +b11111111111111100000000000000000 L +1H$ +0G$ +1{" +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16620 +0.# +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0)# +1(# +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0&# +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111111111111100 M +0=$ +0G +#16640 +1.# +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +12# +01# +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111111000000000000000000 C +b11111111111111000000000000000000 L +1H$ +0G$ +1&# +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16660 +07# +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +02# +11# +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0/# +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111111111111100 M +0=$ +0G +#16680 +17# +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1;# +0:# +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111110000000000000000000 C +b11111111111110000000000000000000 L +1H$ +0G$ +1/# +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16700 +0@# +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0;# +1:# +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +08# +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111111111111111100 M +0=$ +0G +#16720 +1@# +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1D# +0C# +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111100000000000000000000 C +b11111111111100000000000000000000 L +1H$ +0G$ +18# +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16740 +0I# +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0D# +1C# +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0A# +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111111111111111100 M +0=$ +0G +#16760 +1I# +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1M# +0L# +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111111000000000000000000000 C +b11111111111000000000000000000000 L +1H$ +0G$ +1A# +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16780 +0R# +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0M# +1L# +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0J# +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111111111111111100 M +0=$ +0G +#16800 +1R# +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1V# +0U# +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111110000000000000000000000 C +b11111111110000000000000000000000 L +1H$ +0G$ +1J# +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16820 +0[# +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0V# +1U# +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0S# +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b1111111111111111111100 M +0=$ +0G +#16840 +1[# +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1_# +0^# +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111100000000000000000000000 C +b11111111100000000000000000000000 L +1H$ +0G$ +1S# +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16860 +0d# +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0_# +1^# +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0\# +0e# +0n# +0w# +0"$ +0+$ +04$ +b11111111111111111111100 M +0=$ +0G +#16880 +1d# +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1h# +0g# +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111111000000000000000000000000 C +b11111111000000000000000000000000 L +1H$ +0G$ +1\# +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16900 +0m# +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0h# +1g# +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0e# +0n# +0w# +0"$ +0+$ +04$ +b111111111111111111111100 M +0=$ +0G +#16920 +1m# +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1q# +0p# +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111110000000000000000000000000 C +b11111110000000000000000000000000 L +1H$ +0G$ +1e# +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16940 +0v# +0!$ +0*$ +03$ +0<$ +0E$ +0q# +1p# +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0n# +0w# +0"$ +0+$ +04$ +b1111111111111111111111100 M +0=$ +0G +#16960 +1v# +1!$ +1*$ +13$ +1<$ +1E$ +1z# +0y# +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111100000000000000000000000000 C +b11111100000000000000000000000000 L +1H$ +0G$ +1n# +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +#16980 +0!$ +0*$ +03$ +0<$ +0E$ +0z# +1y# +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0w# +0"$ +0+$ +04$ +b11111111111111111111111100 M +0=$ +0G +#17000 +b100 " +b100 + +b100 H +1!$ +1*$ +13$ +1<$ +1E$ +b11 - +b11 0 +b11 4 +0b +1} +0(" +0:" +0L" +0^" +0p" +0$# +06# +0H# +0Z# +0l# +0~# +02$ +1L$ +0D$ +0v$ +13% +0<% +0N% +0`% +0r% +0&& +08& +0J& +0\& +0n& +0"' +04' +0F' +1`' +0X' +1i +0r +1/" +1A" +1S" +1e" +1w" +1+# +1=# +1O# +1a# +1s# +1'$ +19$ +1J$ +1}$ +0(% +1C% +1U% +1g% +1y% +1-& +1?& +1Q& +1c& +1u& +1)' +1;' +1M' +1^' +1%$ +0$$ +1.$ +0-$ +17$ +06$ +1@$ +0?$ +b11111000000000000000000000000000 C +b11111000000000000000000000000000 L +1H$ +0G$ +1w# +1"$ +1+$ +14$ +b1111111111111111111111111111100 M +1=$ +1G +b100 % +b100 ( +b100 / +b1010101010101010101010101010101 ' +b1010101010101010101010101010101 * +b1010101010101010101010101010101 6 +b1010101010101010101010101010101 K +b1010101010101010101010101010101 S$ +b1010101010101010101010101010101 V$ +b1010101010101010101010101010101 ^$ +b1010101010101010101010101010101 g' +b1010101010101010101010101010101 j' +b1010101010101010101010101010101 & +b1010101010101010101010101010101 ) +b1010101010101010101010101010101 5 +b1010101010101010101010101010101 J +b1010101010101010101010101010101 R$ +b1010101010101010101010101010101 U$ +b1010101010101010101010101010101 ]$ +b1010101010101010101010101010101 f' +b1010101010101010101010101010101 i' +#17020 +0*$ +03$ +0<$ +0E$ +0%$ +1$$ +0.$ +1-$ +07$ +16$ +0@$ +1?$ +b0 C +b0 L +0H$ +1G$ +0a +1| +0'" +09" +0K" +0]" +0o" +0## +05# +0G# +0Y# +0k# +0}# +01$ +1K$ +0C$ +1u$ +02% +1;% +1M% +1_% +1q% +1%& +17& +1I& +1[& +1m& +1!' +13' +1E' +0_' +1W' +0q +1n +0z +07" +14" +0I" +1F" +0[" +1X" +0m" +1j" +0!# +1|" +03# +10# +0E# +1B# +0W# +1T# +0i# +1f# +0{# +1x# +0/$ +1,$ +0A$ +1>$ +1Q$ +b0 ? +b0 T$ +1'% +10% +0-% +1K% +1]% +1o% +1#& +15& +1G& +1Y& +1k& +1}& +11' +1C' +1U' +0e' +1b' +0"$ +0+$ +04$ +b111111111111111111111111100 M +0=$ +0G +#17030 +b10101010101010101010101010101010 A +b10101010101010101010101010101010 k' +#17040 +1*$ +1<$ +13$ +1E$ +04% +b1010101010101010101010101010101 " +b1010101010101010101010101010101 + +b1010101010101010101010101010101 H +17$ +06$ +1H$ +0G$ +1"$ +14$ +1G +0h +0%" +1"" +0." +0@" +0R" +0d" +0v" +0*# +0<# +0N# +0`# +0r# +0&$ +08$ +0Q$ +1N$ +0I$ +1|$ +19% +06% +1B% +1T% +1f% +1x% +1,& +1>& +1P& +1b& +1t& +1(' +1:' +1L' +1e' +0b' +1]' +1p +0o +1y +0x +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +0-$ +1+$ +0?$ +b1111111111111111111111111111100 M +1=$ +b10100101010101010101010100110001 C +b10100101010101010101010100110001 L +1P$ +1&% +1/% +b1000111 a$ +0,% +1J% +1\% +1n% +1"& +14& +1F& +1X& +1j& +1|& +10' +1B' +1T' +b1010101010101010101010111111001 X$ +b1010101010101010101010111111001 `$ +1d' +0c' +b1010101010101010101010101010101 B +b1010101010101010101010101010101 h' +#17060 +0<$ +1Q +0=% +0~ +0e$ +1.$ +1@$ +1I +1\$ +04$ +0G +1g +0f +1$" +0#" +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +b11111111111111111111111111111000 C +b11111111111111111111111111111000 L +0P$ +1M$ +0{$ +1z$ +05% +0A% +1@% +1S% +1e% +1w% +1+& +1=& +1O& +1a& +1s& +1'' +19' +1K' +0d' +1c' +b11111111111111111111111101110000 X$ +b11111111111111111111111101110000 `$ +1\' +b1011111111111111111111111011101 M +0v +b110 a$ +0a' +#17070 +0> +0W$ +#17080 +0l +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +1"% +1F% +1e$ +0@$ +0I +1U +1A% +0@% +0\$ +b1 @ +b1 [$ +b10111111111111111111111110111010 C +b10111111111111111111111110111010 L +0$" +b11111111111111111111111111110010 X$ +b11111111111111111111111111110010 `$ +1i$ +0h$ +1E +0d +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +b1010101010101010101010101010101 M +0"$ +1x$ +1>% +b10001111 a$ +1a' +#17090 +1> +1W$ +#17100 +0F% +0n$ +0p +06" +0H" +0Z" +0l" +0~" +02# +0D# +0V# +0h# +0z# +b10101010101010101010101010101010 C +b10101010101010101010101010101010 L +0.$ +0&% +1%% +0J% +1I% +b11111111111111111111111011100000 X$ +b11111111111111111111111011100000 `$ +0i$ +1h$ +0>% +b1101 a$ +0f$ +#17120 +1+% +1O% +1n$ +1J% +0I% +b11111111111111111111111111100100 X$ +b11111111111111111111111111100100 `$ +1r$ +0q$ +1#% +1G% +b100011111 a$ +1f$ +#17140 +0O% +0w$ +0/% +1.% +0S% +1R% +b11111111111111111111110111000000 X$ +b11111111111111111111110111000000 `$ +0r$ +1q$ +0G% +b11011 a$ +0o$ +#17160 +14% +1X% +1w$ +1S% +0R% +b11111111111111111111111111001000 X$ +b11111111111111111111111111001000 `$ +1{$ +0z$ +1,% +1P% +b1000111111 a$ +1o$ +#17180 +0X% +0"% +08% +17% +0\% +1[% +b11111111111111111111101110000000 X$ +b11111111111111111111101110000000 `$ +0{$ +1z$ +0P% +b110111 a$ +0x$ +#17200 +1=% +1a% +1"% +1\% +0[% +b11111111111111111111111110010000 X$ +b11111111111111111111111110010000 `$ +1&% +0%% +15% +1Y% +b10001111111 a$ +1x$ +#17220 +0a% +0+% +0A% +1@% +0e% +1d% +b11111111111111111111011100000000 X$ +b11111111111111111111011100000000 `$ +0&% +1%% +0Y% +b1101111 a$ +0#% +#17240 +1F% +1j% +1+% +1e% +0d% +b11111111111111111111111100100000 X$ +b11111111111111111111111100100000 `$ +1/% +0.% +1>% +1b% +b100011111111 a$ +1#% +#17260 +0j% +04% +0J% +1I% +0n% +1m% +b11111111111111111110111000000000 X$ +b11111111111111111110111000000000 `$ +0/% +1.% +0b% +b11011111 a$ +0,% +#17280 +1O% +1s% +14% +1n% +0m% +b11111111111111111111111001000000 X$ +b11111111111111111111111001000000 `$ +18% +07% +1G% +1k% +b1000111111111 a$ +1,% +#17300 +0s% +0=% +0S% +1R% +0w% +1v% +b11111111111111111101110000000000 X$ +b11111111111111111101110000000000 `$ +08% +17% +0k% +b110111111 a$ +05% +#17320 +1X% +1|% +1=% +1w% +0v% +b11111111111111111111110010000000 X$ +b11111111111111111111110010000000 `$ +1A% +0@% +1P% +1t% +b10001111111111 a$ +15% +#17340 +0|% +0F% +0\% +1[% +0"& +1!& +b11111111111111111011100000000000 X$ +b11111111111111111011100000000000 `$ +0A% +1@% +0t% +b1101111111 a$ +0>% +#17360 +1a% +1'& +1F% +1"& +0!& +b11111111111111111111100100000000 X$ +b11111111111111111111100100000000 `$ +1J% +0I% +1Y% +1}% +b100011111111111 a$ +1>% +#17380 +0'& +0O% +0e% +1d% +0+& +1*& +b11111111111111110111000000000000 X$ +b11111111111111110111000000000000 `$ +0J% +1I% +0}% +b11011111111 a$ +0G% +#17400 +1j% +10& +1O% +1+& +0*& +b11111111111111111111001000000000 X$ +b11111111111111111111001000000000 `$ +1S% +0R% +1b% +1(& +b1000111111111111 a$ +1G% +#17420 +00& +0X% +0n% +1m% +04& +13& +b11111111111111101110000000000000 X$ +b11111111111111101110000000000000 `$ +0S% +1R% +0(& +b110111111111 a$ +0P% +#17440 +1s% +19& +1X% +14& +03& +b11111111111111111110010000000000 X$ +b11111111111111111110010000000000 `$ +1\% +0[% +1k% +11& +b10001111111111111 a$ +1P% +#17460 +09& +0a% +0w% +1v% +0=& +1<& +b11111111111111011100000000000000 X$ +b11111111111111011100000000000000 `$ +0\% +1[% +01& +b1101111111111 a$ +0Y% +#17480 +1|% +1B& +1a% +1=& +0<& +b11111111111111111100100000000000 X$ +b11111111111111111100100000000000 `$ +1e% +0d% +1t% +1:& +b100011111111111111 a$ +1Y% +#17500 +0B& +0j% +0"& +1!& +0F& +1E& +b11111111111110111000000000000000 X$ +b11111111111110111000000000000000 `$ +0e% +1d% +0:& +b11011111111111 a$ +0b% +#17520 +1'& +1K& +1j% +1F& +0E& +b11111111111111111001000000000000 X$ +b11111111111111111001000000000000 `$ +1n% +0m% +1}% +1C& +b1000111111111111111 a$ +1b% +#17540 +0K& +0s% +0+& +1*& +0O& +1N& +b11111111111101110000000000000000 X$ +b11111111111101110000000000000000 `$ +0n% +1m% +0C& +b110111111111111 a$ +0k% +#17560 +10& +1T& +1s% +1O& +0N& +b11111111111111110010000000000000 X$ +b11111111111111110010000000000000 `$ +1w% +0v% +1(& +1L& +b10001111111111111111 a$ +1k% +#17580 +0T& +0|% +04& +13& +0X& +1W& +b11111111111011100000000000000000 X$ +b11111111111011100000000000000000 `$ +0w% +1v% +0L& +b1101111111111111 a$ +0t% +#17600 +19& +1]& +1|% +1X& +0W& +b11111111111111100100000000000000 X$ +b11111111111111100100000000000000 `$ +1"& +0!& +11& +1U& +b100011111111111111111 a$ +1t% +#17620 +0]& +0'& +0=& +1<& +0a& +1`& +b11111111110111000000000000000000 X$ +b11111111110111000000000000000000 `$ +0"& +1!& +0U& +b11011111111111111 a$ +0}% +#17640 +1B& +1f& +1'& +1a& +0`& +b11111111111111001000000000000000 X$ +b11111111111111001000000000000000 `$ +1+& +0*& +1:& +1^& +b1000111111111111111111 a$ +1}% +#17660 +0f& +00& +0F& +1E& +0j& +1i& +b11111111101110000000000000000000 X$ +b11111111101110000000000000000000 `$ +0+& +1*& +0^& +b110111111111111111 a$ +0(& +#17680 +1K& +1o& +10& +1j& +0i& +b11111111111110010000000000000000 X$ +b11111111111110010000000000000000 `$ +14& +03& +1C& +1g& +b10001111111111111111111 a$ +1(& +#17700 +0o& +09& +0O& +1N& +0s& +1r& +b11111111011100000000000000000000 X$ +b11111111011100000000000000000000 `$ +04& +13& +0g& +b1101111111111111111 a$ +01& +#17720 +1T& +1x& +19& +1s& +0r& +b11111111111100100000000000000000 X$ +b11111111111100100000000000000000 `$ +1=& +0<& +1L& +1p& +b100011111111111111111111 a$ +11& +#17740 +0x& +0B& +0X& +1W& +0|& +1{& +b11111110111000000000000000000000 X$ +b11111110111000000000000000000000 `$ +0=& +1<& +0p& +b11011111111111111111 a$ +0:& +#17760 +1]& +1#' +1B& +1|& +0{& +b11111111111001000000000000000000 X$ +b11111111111001000000000000000000 `$ +1F& +0E& +1U& +1y& +b1000111111111111111111111 a$ +1:& +#17780 +0#' +0K& +0a& +1`& +0'' +1&' +b11111101110000000000000000000000 X$ +b11111101110000000000000000000000 `$ +0F& +1E& +0y& +b110111111111111111111 a$ +0C& +#17800 +1f& +1,' +1K& +1'' +0&' +b11111111110010000000000000000000 X$ +b11111111110010000000000000000000 `$ +1O& +0N& +1^& +1$' +b10001111111111111111111111 a$ +1C& +#17820 +0,' +0T& +0j& +1i& +00' +1/' +b11111011100000000000000000000000 X$ +b11111011100000000000000000000000 `$ +0O& +1N& +0$' +b1101111111111111111111 a$ +0L& +#17840 +1o& +15' +1T& +10' +0/' +b11111111100100000000000000000000 X$ +b11111111100100000000000000000000 `$ +1X& +0W& +1g& +1-' +b100011111111111111111111111 a$ +1L& +#17860 +05' +0]& +0s& +1r& +09' +18' +b11110111000000000000000000000000 X$ +b11110111000000000000000000000000 `$ +0X& +1W& +0-' +b11011111111111111111111 a$ +0U& +#17880 +1x& +1>' +1]& +19' +08' +b11111111001000000000000000000000 X$ +b11111111001000000000000000000000 `$ +1a& +0`& +1p& +16' +b1000111111111111111111111111 a$ +1U& +#17900 +0>' +0f& +0|& +1{& +0B' +1A' +b11101110000000000000000000000000 X$ +b11101110000000000000000000000000 `$ +0a& +1`& +06' +b110111111111111111111111 a$ +0^& +#17920 +1#' +1G' +1f& +1B' +0A' +b11111110010000000000000000000000 X$ +b11111110010000000000000000000000 `$ +1j& +0i& +1y& +1?' +b10001111111111111111111111111 a$ +1^& +#17940 +0G' +0o& +0'' +1&' +0K' +1J' +b11011100000000000000000000000000 X$ +b11011100000000000000000000000000 `$ +0j& +1i& +0?' +b1101111111111111111111111 a$ +0g& +#17960 +1,' +1P' +1o& +1K' +0J' +b11111100100000000000000000000000 X$ +b11111100100000000000000000000000 `$ +1s& +0r& +1$' +1H' +b100011111111111111111111111111 a$ +1g& +#17980 +0P' +0x& +00' +1/' +0T' +1S' +b10111000000000000000000000000000 X$ +b10111000000000000000000000000000 `$ +0s& +1r& +0H' +b11011111111111111111111111 a$ +0p& +#18000 +15' +1Y' +1x& +1P +0Y +1b +0k +1t +0} +1(" +01" +1:" +0C" +1L" +0U" +1^" +0g" +1p" +0y" +1$# +0-# +16# +0?# +1H# +0Q# +1Z# +0c# +1l# +0u# +1~# +0)$ +12$ +0;$ +0L$ +1D$ +1d$ +0m$ +1v$ +0!% +1*% +03% +1<% +0E% +1N% +0W% +1`% +0i% +1r% +0{% +1&& +0/& +18& +0A& +1J& +0S& +1\& +0e& +1n& +0w& +1"' +0+' +14' +0=' +1F' +0O' +0`' +1X' +1T' +0S' +b11111001000000000000000000000000 X$ +b11111001000000000000000000000000 `$ +1|& +0{& +1-' +1Q' +b1000111111111111111111111111111 a$ +1p& +b10101010101010101010101010101010 ' +b10101010101010101010101010101010 * +b10101010101010101010101010101010 6 +b10101010101010101010101010101010 K +b10101010101010101010101010101010 S$ +b10101010101010101010101010101010 V$ +b10101010101010101010101010101010 ^$ +b10101010101010101010101010101010 g' +b10101010101010101010101010101010 j' +#18020 +0Y' +0#' +09' +18' +0\' +1[' +1Y$ +b1110000000000000000000000000000 X$ +b1110000000000000000000000000000 `$ +0|& +1{& +1O +0X +1a +0j +1s +0| +1'" +00" +19" +0B" +1K" +0T" +1]" +0f" +1o" +0x" +1## +0,# +15# +0># +1G# +0P# +1Y# +0b# +1k# +0t# +1}# +0($ +11$ +0:$ +0K$ +1C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +1l$ +0u$ +1~$ +0)% +12% +0;% +1D% +0M% +1V% +0_% +1h% +0q% +1z% +0%& +1.& +07& +1@& +0I& +1R& +0[& +1d& +0m& +1v& +0!' +1*' +03' +1<' +0E' +1N' +1_' +0W' +0Q' +b110111111111111111111111111 a$ +0y& +#18030 +b0 A +b0 k' +#18040 +1>' +1#' +b0 " +b0 + +b0 H +1\' +0[' +0Y$ +b11110010000000000000000000000000 X$ +b11110010000000000000000000000000 `$ +1'' +0&' +16' +1Z$ +b1111111111111111111111111111 a$ +1y& +1V +1_ +0\ +1h +1q +0n +1z +1%" +0"" +1." +17" +04" +1@" +1I" +0F" +1R" +1[" +0X" +1d" +1m" +0j" +1v" +1!# +0|" +1*# +13# +00# +1<# +1E# +0B# +1N# +1W# +0T# +1`# +1i# +0f# +1r# +1{# +0x# +1&$ +1/$ +0,$ +18$ +1A$ +0>$ +1Q$ +0N$ +1I$ +0j$ +0s$ +1p$ +0|$ +0'% +1$% +00% +09% +16% +0B% +0K% +1H% +0T% +0]% +1Z% +0f% +0o% +1l% +0x% +0#& +1~% +0,& +05& +12& +0>& +0G& +1D& +0P& +0Y& +1V& +0b& +0k& +1h& +0t& +0}& +1z& +0(' +01' +1.' +0:' +0C' +1@' +0L' +0U' +1R' +0e' +1b' +0]' +b0 B +b0 h' +#18060 +0,' +0c +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0Q +1G' +1Y' +0Z$ +0$' +1Y$ +0U +1T +1^ +0[ +0g +1f +1p +0m +0y +1x +1$" +0!" +0-" +1," +16" +03" +0?" +1>" +1H" +0E" +0Q" +1P" +1Z" +0W" +0c" +1b" +1l" +0i" +0u" +1t" +1~" +0{" +0)# +1(# +12# +0/# +0;# +1:# +1D# +0A# +0M# +1L# +1V# +0S# +0_# +1^# +1h# +0e# +0q# +1p# +1z# +0w# +0%$ +1$$ +1.$ +0+$ +07$ +16$ +1@$ +0=$ +1P$ +b0 M +0M$ +b1010101010101010101010101010101 C +b1010101010101010101010101010101 L +0H$ +1G$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +10' +0/' +19' +08' +1?' +0K' +0T' +b1011101111111111111111111111111 a$ +1Q' +1d' +0c' +b11111111111111111111111111111 X$ +b11111111111111111111111111111 `$ +0\' +#18080 +1Z +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +0n$ +0"% +04% +0F% +0X% +0j% +0|% +00& +0B& +0T& +0f& +0x& +0>' +00' +1g +0f +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +1I +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1U +0T +1K' +b10111011111111111111111111111111 X$ +b10111011111111111111111111111111 `$ +1\' +1\$ +1R +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101010 M +14$ +1G +0f$ +0x$ +0,% +0>% +0P% +0b% +0t% +0(& +0:& +0L& +0^& +0p& +b1010101010101010101010101010101 a$ +06' +#18090 +0> +0W$ +#18100 +0l +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0Z +b0 @ +b0 [$ +0^ +1] +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101011 C +b10101010101010101010101010101011 L +0@$ +1?$ +0r$ +0&% +08% +0J% +0\% +0n% +0"& +04& +0F& +0X& +0j& +0|& +b10101010101010101010101010101011 X$ +b10101010101010101010101010101011 `$ +0B' +0d +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0R +1E +#18120 +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1p +0o +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1^ +0] +1[ +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010100 M +1=$ +0E +#18140 +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0c +0g +1f +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101010111 C +b1010101010101010101010101010111 L +0H$ +1G$ +1E +0m +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0[ +#18160 +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1g +0f +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101000 M +14$ +1G +#18180 +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0l +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101111 C +b10101010101010101010101010101111 L +0@$ +1?$ +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0d +1E +#18200 +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1p +0o +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010000 M +1=$ +0E +#18220 +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0u +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101011111 C +b1010101010101010101010101011111 L +0H$ +1G$ +1E +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0m +#18240 +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1y +0x +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010100000 M +14$ +1G +#18260 +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0~ +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010111111 C +b10101010101010101010101010111111 L +0@$ +1?$ +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0v +1E +#18280 +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1$" +0#" +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101000000 M +1=$ +0E +#18300 +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0)" +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101111111 C +b1010101010101010101010101111111 L +0H$ +1G$ +1E +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0!" +#18320 +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1-" +0," +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010000000 M +14$ +1G +#18340 +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +02" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101011111111 C +b10101010101010101010101011111111 L +0@$ +1?$ +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0*" +1E +#18360 +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +16" +05" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010100000000 M +1=$ +0E +#18380 +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0;" +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010111111111 C +b1010101010101010101010111111111 L +0H$ +1G$ +1E +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +03" +#18400 +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1?" +0>" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101000000000 M +14$ +1G +#18420 +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0D" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101111111111 C +b10101010101010101010101111111111 L +0@$ +1?$ +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0<" +1E +#18440 +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H" +0G" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010000000000 M +1=$ +0E +#18460 +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0M" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101011111111111 C +b1010101010101010101011111111111 L +0H$ +1G$ +1E +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0E" +#18480 +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Q" +0P" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010100000000000 M +14$ +1G +#18500 +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0V" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010111111111111 C +b10101010101010101010111111111111 L +0@$ +1?$ +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0N" +1E +#18520 +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Z" +0Y" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101000000000000 M +1=$ +0E +#18540 +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0_" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101111111111111 C +b1010101010101010101111111111111 L +0H$ +1G$ +1E +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0W" +#18560 +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1c" +0b" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010000000000000 M +14$ +1G +#18580 +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0h" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101011111111111111 C +b10101010101010101011111111111111 L +0@$ +1?$ +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0`" +1E +#18600 +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1l" +0k" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010100000000000000 M +1=$ +0E +#18620 +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0q" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010111111111111111 C +b1010101010101010111111111111111 L +0H$ +1G$ +1E +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0i" +#18640 +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1u" +0t" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101000000000000000 M +14$ +1G +#18660 +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0z" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101111111111111111 C +b10101010101010101111111111111111 L +0@$ +1?$ +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0r" +1E +#18680 +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1~" +0}" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010000000000000000 M +1=$ +0E +#18700 +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0%# +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101011111111111111111 C +b1010101010101011111111111111111 L +0H$ +1G$ +1E +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0{" +#18720 +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1)# +0(# +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010100000000000000000 M +14$ +1G +#18740 +0@# +0R# +0d# +0v# +0*$ +0<$ +0.# +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010111111111111111111 C +b10101010101010111111111111111111 L +0@$ +1?$ +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0&# +1E +#18760 +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +12# +01# +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101000000000000000000 M +1=$ +0E +#18780 +0I# +0[# +0m# +0!$ +03$ +0E$ +07# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101111111111111111111 C +b1010101010101111111111111111111 L +0H$ +1G$ +1E +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0/# +#18800 +1@# +1R# +1d# +1v# +1*$ +1<$ +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1;# +0:# +18# +1J# +1\# +1n# +1"$ +b101010101010000000000000000000 M +14$ +1G +#18820 +0R# +0d# +0v# +0*$ +0<$ +0@# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101011111111111111111111 C +b10101010101011111111111111111111 L +0@$ +1?$ +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +08# +1E +#18840 +1I# +1[# +1m# +1!$ +13$ +1E$ +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1D# +0C# +1A# +1S# +1e# +1w# +1+$ +b1010101010100000000000000000000 M +1=$ +0E +#18860 +0[# +0m# +0!$ +03$ +0E$ +0I# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010111111111111111111111 C +b1010101010111111111111111111111 L +0H$ +1G$ +1E +0S# +0e# +0w# +0+$ +0=$ +b0 M +0A# +#18880 +1R# +1d# +1v# +1*$ +1<$ +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1M# +0L# +1J# +1\# +1n# +1"$ +b101010101000000000000000000000 M +14$ +1G +#18900 +0d# +0v# +0*$ +0<$ +0R# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101111111111111111111111 C +b10101010101111111111111111111111 L +0@$ +1?$ +0\# +0n# +0"$ +04$ +0G +b0 M +0J# +1E +#18920 +1[# +1m# +1!$ +13$ +1E$ +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1V# +0U# +1S# +1e# +1w# +1+$ +b1010101010000000000000000000000 M +1=$ +0E +#18940 +0m# +0!$ +03$ +0E$ +0[# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101011111111111111111111111 C +b1010101011111111111111111111111 L +0H$ +1G$ +1E +0e# +0w# +0+$ +0=$ +b0 M +0S# +#18960 +1d# +1v# +1*$ +1<$ +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1_# +0^# +1\# +1n# +1"$ +b101010100000000000000000000000 M +14$ +1G +#18980 +0v# +0*$ +0<$ +0d# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010111111111111111111111111 C +b10101010111111111111111111111111 L +0@$ +1?$ +0n# +0"$ +04$ +0G +b0 M +0\# +1E +#19000 +1m# +1!$ +13$ +1E$ +1k +0t +1} +0(" +1U" +0^" +1g" +0p" +1?# +0H# +1Q# +0Z# +1)$ +02$ +1;$ +0D$ +1!% +0*% +13% +0<% +1i% +0r% +1{% +0&& +1S& +0\& +1e& +0n& +1=' +0F' +1O' +0X' +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1h# +0g# +1e# +1w# +1+$ +b1010101000000000000000000000000 M +1=$ +0E +b1011010010110100101101001011010 ' +b1011010010110100101101001011010 * +b1011010010110100101101001011010 6 +b1011010010110100101101001011010 K +b1011010010110100101101001011010 S$ +b1011010010110100101101001011010 V$ +b1011010010110100101101001011010 ^$ +b1011010010110100101101001011010 g' +b1011010010110100101101001011010 j' +#19020 +0!$ +03$ +0E$ +0m# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101111111111111111111111111 C +b1010101111111111111111111111111 L +0H$ +1G$ +1E +1j +0s +1| +0'" +1T" +0]" +1f" +0o" +1># +0G# +1P# +0Y# +1($ +01$ +1:$ +0C$ +b1111000011110000111100001111 ? +b1111000011110000111100001111 T$ +0~$ +1)% +02% +1;% +0h% +1q% +0z% +1%& +0R& +1[& +0d& +1m& +0<' +1E' +0N' +1W' +0w# +0+$ +0=$ +b0 M +0e# +#19030 +b10100000101000001010000010100000 A +b10100000101000001010000010100000 k' +#19040 +1v# +1*$ +1<$ +b1010000010100000101000001010000 " +b1010000010100000101000001010000 + +b1010000010100000101000001010000 H +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1q# +0p# +1n# +1"$ +b101010000000000000000000000000 M +14$ +1G +0q +1n +0z +0%" +1"" +0." +0[" +1X" +0d" +0m" +1j" +0v" +0E# +1B# +0N# +0W# +1T# +0`# +0/$ +1,$ +08$ +0A$ +1>$ +0I$ +1'% +0$% +10% +19% +06% +1B% +1o% +0l% +1x% +1#& +0~% +1,& +1Y& +0V& +1b& +1k& +0h& +1t& +1C' +0@' +1L' +1U' +0R' +1]' +b1010000010100000101000001010000 B +b1010000010100000101000001010000 h' +#19060 +0*$ +0<$ +0v# +1u +1)" +1_" +1q" +1I# +1[# +13$ +1E$ +0+% +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0z# +1y# +0"$ +04$ +0G +0n# +1E +0p +1m +0y +0$" +1!" +0-" +0Z" +1W" +0c" +0l" +1i" +0u" +0D# +1A# +0M# +0V# +1S# +0_# +1+$ +07$ +b1010000010100000101000001010000 M +1=$ +b1011011000011110000111100001111 C +b1011011000011110000111100001111 L +0H$ +1&% +0#% +0/% +1.% +18% +05% +0A% +1@% +1n% +0k% +0w% +1v% +1"& +0}% +0+& +1*& +1X& +0U& +0a& +1`& +1j& +0g& +0s& +1r& +1B' +0?' +0K' +1J' +1T' +b101000001010000010100000101 a$ +0Q' +b1011010010110100101101001011011 X$ +b1011010010110100101101001011011 `$ +0\' +1[' +#19080 +1!$ +14% +1F% +1|% +10& +1f& +1x& +1P' +0.$ +0@$ +1z# +0y# +1y +1-" +1c" +1u" +1M# +1_# +17$ +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1H$ +1/% +0.% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +b1 @ +b1 [$ +b1010100010100000101000001010000 M +1w# +1,% +1>% +1t% +1(& +1^& +1p& +b100101101001011010010110100101 a$ +1H' +1Z$ +#19100 +0!$ +04% +0F% +0|% +00& +0f& +0x& +0P' +b10100111101011111010111110101111 C +b10100111101011111010111110101111 L +0%$ +1$$ +08% +17% +1J% +0"& +1!& +14& +0j& +1i& +1|& +b10111011101110111011101110111011 X$ +b10111011101110111011101110111011 `$ +0T' +1S' +b1010000010100000101000001010000 M +0w# +0,% +0>% +0t% +0(& +0^& +0p& +b101000001010000010100000101 a$ +0H' +0Z$ +1Y$ +#19120 +1*$ +1=% +1'& +1o& +1Y' +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1%$ +0$$ +18% +07% +0J% +1"& +0!& +04& +1j& +0i& +0|& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1T' +0S' +b1011000010100000101000001010000 M +1"$ +15% +1}% +1g& +b1000101010001010100010101000101 a$ +1Q' +0Y$ +b0 @ +b0 [$ +#19140 +0*$ +0=% +0'& +0o& +0Y' +b10111111101011111010111110101111 C +b10111111101011111010111110101111 L +1.$ +0A% +1@% +0+& +1*& +0s& +1r& +b1111010011110100111101001111011 X$ +b1111010011110100111101001111011 `$ +0\' +1[' +1Y$ +b1010000010100000101000001010000 M +0"$ +05% +0}% +0g& +b101000001010000010100000101 a$ +0Q' +b1 @ +b1 [$ +#19160 +1F% +10& +1x& +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +0.$ +1A% +0@% +1+& +0*& +1s& +0r& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +1>% +1(& +b101100001011000010110000101 a$ +1p& +1Z$ +#19180 +0F% +00& +0x& +1J% +14& +b11111011111110111111101111111011 X$ +b11111011111110111111101111111011 `$ +1|& +0>% +0(& +b101000001010000010100000101 a$ +0p& +0Z$ +1Y$ +#19200 +0J% +04& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +0|& +0Y$ +b0 @ +b0 [$ +#19220 +b1 @ +b1 [$ +#20000 +1, +0P +1Y +0b +11" +0:" +1C" +0L" +1y" +0$# +1-# +06# +1c# +0l# +1u# +0~# +1L$ +0d$ +1m$ +0v$ +1E% +0N% +1W% +0`% +1/& +08& +1A& +0J& +1w& +0"' +1+' +04' +1`' +b101 % +b101 ( +b101 / +b1010101010101010101010101010101 ' +b1010101010101010101010101010101 * +b1010101010101010101010101010101 6 +b1010101010101010101010101010101 K +b1010101010101010101010101010101 S$ +b1010101010101010101010101010101 V$ +b1010101010101010101010101010101 ^$ +b1010101010101010101010101010101 g' +b1010101010101010101010101010101 j' +#20020 +b10101111101011111010111110101111 " +b10101111101011111010111110101111 + +b10101111101011111010111110101111 H +b10101111101011111010111110101111 B +b10101111101011111010111110101111 h' +b1010101010101010101010101010101 A +b1010101010101010101010101010101 k' +0O +1X +0a +10" +09" +1B" +0K" +1x" +0## +1,# +05# +1b# +0k# +1t# +0}# +1K$ +b0 ? +b0 T$ +1c$ +0l$ +1u$ +0D% +1M% +0V% +1_% +0.& +17& +0@& +1I& +0v& +1!' +0*' +13' +0_' +#20040 +b10101010101010101010101010101010 " +b10101010101010101010101010101010 + +b10101010101010101010101010101010 H +0V +0_ +1\ +0h +07" +14" +0@" +0I" +1F" +0R" +0!# +1|" +0*# +03# +10# +0<# +0i# +1f# +0r# +0{# +1x# +0&$ +0Q$ +1N$ +1j$ +1s$ +0p$ +1|$ +1K% +0H% +1T% +1]% +0Z% +1f% +15& +02& +1>& +1G& +0D& +1P& +1}& +0z& +1(' +11' +0.' +1:' +1e' +0b' +b10101010101010101010101010101010 B +b10101010101010101010101010101010 h' +#20060 +1c +1;" +1M" +1%# +17# +1m# +1!$ +1Q +0w$ +0O% +0a% +09& +0K& +0#' +05' +0e$ +0U +0^ +1[ +0g +06" +13" +0?" +0H" +1E" +0Q" +0~" +1{" +0)# +02# +1/# +0;# +0h# +1e# +0q# +0z# +1w# +0%$ +b10100000101000001010000010100000 C +b10100000101000001010000010100000 L +0P$ +b1010101010101010101010101010101 M +1M$ +0i$ +1h$ +1r$ +0o$ +0{$ +1z$ +1J% +0G% +0S% +1R% +1\% +0Y% +0e% +1d% +14& +01& +0=& +1<& +1F& +0C& +0O& +1N& +1|& +0y& +0'' +1&' +10' +0-' +09' +18' +b11110101111101011111010111110100 X$ +b11110101111101011111010111110100 `$ +0d' +1c' +b0 a$ +0a' +#20080 +1n$ +1"% +1X% +1j% +1B& +1T& +1,' +1>' +1e$ +1g +1?" +1Q" +1)# +1;# +1q# +1%$ +0I +b10101010101010101010101010101010 C +b10101010101010101010101010101010 L +1U +1{$ +0z$ +1S% +0R% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +0\$ +b11111111111111111111111111111110 X$ +b11111111111111111111111111111110 `$ +1i$ +0h$ +1f$ +1x$ +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101000001011 a$ +1a' +#20090 +1> +1W$ +#20100 +0"% +0X% +0j% +0B& +0T& +0,' +0>' +0n$ +0r$ +1q$ +0&% +1%% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110101111101000 X$ +b11101011111010111110101111101000 `$ +0i$ +1h$ +0x$ +0P% +0b% +0:& +0L& +0$' +06' +b1 a$ +0f$ +#20120 +1w$ +1+% +1a% +1s% +1K& +1]& +15' +1G' +1n$ +1&% +0%% +1\% +0[% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111111111111100 X$ +b11111111111111111111111111111100 `$ +1r$ +0q$ +1o$ +1#% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001010000010111 a$ +1f$ +#20140 +0+% +0a% +0s% +0K& +0]& +05' +0G' +0w$ +0{$ +1z$ +0/% +1.% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101011111010000 X$ +b11010111110101111101011111010000 `$ +0r$ +1q$ +0#% +0Y% +0k% +0C& +0U& +0-' +0?' +b11 a$ +0o$ +#20160 +1"% +14% +1j% +1|% +1T& +1f& +1>' +1P' +1w$ +1/% +0.% +1e% +0d% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111111111111000 X$ +b11111111111111111111111111111000 `$ +1{$ +0z$ +1x$ +1,% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010100000101111 a$ +1o$ +#20180 +04% +0j% +0|% +0T& +0f& +0>' +0P' +0"% +0&% +1%% +08% +17% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010111110100000 X$ +b10101111101011111010111110100000 `$ +0{$ +1z$ +0,% +0b% +0t% +0L& +0^& +06' +0H' +b111 a$ +0x$ +#20200 +1+% +1=% +1s% +1'& +1]& +1o& +1G' +1Y' +1"% +18% +07% +1n% +0m% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111111111110000 X$ +b11111111111111111111111111110000 `$ +1&% +0%% +1#% +15% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101000001011111 a$ +1x$ +#20220 +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0+% +0/% +1.% +0A% +1@% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110101111101000000 X$ +b1011111010111110101111101000000 `$ +0&% +1%% +05% +0k% +0}% +0U& +0g& +0?' +0Q' +b1111 a$ +0#% +#20240 +14% +1F% +1|% +10& +1f& +1x& +1P' +1+% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +1/% +0.% +1,% +1>% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001010000010111111 a$ +1#% +#20260 +0F% +0|% +00& +0f& +0x& +0P' +04% +08% +17% +0J% +1I% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101011111010000000 X$ +b10111110101111101011111010000000 `$ +0/% +1.% +0>% +0t% +0(& +0^& +0p& +0H' +0Z$ +b11111 a$ +0,% +1Y$ +#20280 +1=% +1O% +1'& +19& +1o& +1#' +1Y' +14% +1J% +0I% +1"& +0!& +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111111111111000000 X$ +b11111111111111111111111111000000 `$ +18% +07% +15% +1G% +1}% +11& +1g& +1y& +1Q' +b1000001010000010100000101111111 a$ +1,% +0Y$ +b0 @ +b0 [$ +#20300 +0O% +0'& +09& +0o& +0#' +0Y' +0=% +0A% +1@% +0S% +1R% +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010111110100000000 X$ +b1111101011111010111110100000000 `$ +08% +17% +0G% +0}% +01& +0g& +0y& +0Q' +b111111 a$ +05% +b1 @ +b1 [$ +#20320 +1F% +1X% +10& +1B& +1x& +1,' +1=% +1S% +0R% +1+& +0*& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111111111110000000 X$ +b11111111111111111111111110000000 `$ +1A% +0@% +1>% +1P% +1(& +1:& +1p& +1$' +1Z$ +b10100000101000001011111111 a$ +15% +#20340 +0X% +00& +0B& +0x& +0,' +0F% +0J% +1I% +0\% +1[% +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110101111101000000000 X$ +b11111010111110101111101000000000 `$ +0A% +1@% +0P% +0(& +0:& +0p& +0$' +0Z$ +b1111111 a$ +0>% +1Y$ +#20360 +1O% +1a% +19& +1K& +1#' +15' +1F% +1\% +0[% +14& +03& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111111111111100000000 X$ +b11111111111111111111111100000000 `$ +1J% +0I% +1G% +1Y% +11& +1C& +1y& +1-' +b101000001010000010111111111 a$ +1>% +0Y$ +b0 @ +b0 [$ +#20380 +0a% +09& +0K& +0#' +05' +0O% +0S% +1R% +0e% +1d% +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101011111010000000000 X$ +b11110101111101011111010000000000 `$ +0J% +1I% +0Y% +01& +0C& +0y& +0-' +b11111111 a$ +0G% +b1 @ +b1 [$ +#20400 +1X% +1j% +1B& +1T& +1,' +1>' +1O% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111111111111000000000 X$ +b11111111111111111111111000000000 `$ +1S% +0R% +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101111111111 a$ +1G% +#20420 +0j% +0B& +0T& +0,' +0>' +0X% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110100000000000 X$ +b11101011111010111110100000000000 `$ +0S% +1R% +0b% +0:& +0L& +0$' +06' +b111111111 a$ +0P% +#20440 +1a% +1s% +1K& +1]& +15' +1G' +1X% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111110000000000 X$ +b11111111111111111111110000000000 `$ +1\% +0[% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001011111111111 a$ +1P% +#20460 +0s% +0K& +0]& +05' +0G' +0a% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101000000000000 X$ +b11010111110101111101000000000000 `$ +0\% +1[% +0k% +0C& +0U& +0-' +0?' +b1111111111 a$ +0Y% +#20480 +1j% +1|% +1T& +1f& +1>' +1P' +1a% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111100000000000 X$ +b11111111111111111111100000000000 `$ +1e% +0d% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010111111111111 a$ +1Y% +#20500 +0|% +0T& +0f& +0>' +0P' +0j% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010000000000000 X$ +b10101111101011111010000000000000 `$ +0e% +1d% +0t% +0L& +0^& +06' +0H' +b11111111111 a$ +0b% +#20520 +1s% +1'& +1]& +1o& +1G' +1Y' +1j% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111000000000000 X$ +b11111111111111111111000000000000 `$ +1n% +0m% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101111111111111 a$ +1b% +#20540 +0'& +0]& +0o& +0G' +0Y' +0s% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110100000000000000 X$ +b1011111010111110100000000000000 `$ +0n% +1m% +0}% +0U& +0g& +0?' +0Q' +b111111111111 a$ +0k% +#20560 +1|% +10& +1f& +1x& +1P' +1s% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111110000000000000 X$ +b11111111111111111110000000000000 `$ +1w% +0v% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001011111111111111 a$ +1k% +#20580 +00& +0f& +0x& +0P' +0|% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101000000000000000 X$ +b10111110101111101000000000000000 `$ +0w% +1v% +0(& +0^& +0p& +0H' +0Z$ +b1111111111111 a$ +0t% +1Y$ +#20600 +1'& +19& +1o& +1#' +1Y' +1|% +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111100000000000000 X$ +b11111111111111111100000000000000 `$ +1"& +0!& +1}% +11& +1g& +1y& +1Q' +b1000001010000010111111111111111 a$ +1t% +0Y$ +b0 @ +b0 [$ +#20620 +09& +0o& +0#' +0Y' +0'& +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010000000000000000 X$ +b1111101011111010000000000000000 `$ +0"& +1!& +01& +0g& +0y& +0Q' +b11111111111111 a$ +0}% +b1 @ +b1 [$ +#20640 +10& +1B& +1x& +1,' +1'& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111000000000000000 X$ +b11111111111111111000000000000000 `$ +1+& +0*& +1(& +1:& +1p& +1$' +1Z$ +b10100000101111111111111111 a$ +1}% +#20660 +0B& +0x& +0,' +00& +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110100000000000000000 X$ +b11111010111110100000000000000000 `$ +0+& +1*& +0:& +0p& +0$' +0Z$ +b111111111111111 a$ +0(& +1Y$ +#20680 +19& +1K& +1#' +15' +10& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111110000000000000000 X$ +b11111111111111110000000000000000 `$ +14& +03& +11& +1C& +1y& +1-' +b101000001011111111111111111 a$ +1(& +0Y$ +b0 @ +b0 [$ +#20700 +0K& +0#' +05' +09& +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101000000000000000000 X$ +b11110101111101000000000000000000 `$ +04& +13& +0C& +0y& +0-' +b1111111111111111 a$ +01& +b1 @ +b1 [$ +#20720 +1B& +1T& +1,' +1>' +19& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111100000000000000000 X$ +b11111111111111100000000000000000 `$ +1=& +0<& +1:& +1L& +1$' +16' +b1010000010111111111111111111 a$ +11& +#20740 +0T& +0,' +0>' +0B& +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010000000000000000000 X$ +b11101011111010000000000000000000 `$ +0=& +1<& +0L& +0$' +06' +b11111111111111111 a$ +0:& +#20760 +1K& +1]& +15' +1G' +1B& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111000000000000000000 X$ +b11111111111111000000000000000000 `$ +1F& +0E& +1C& +1U& +1-' +1?' +b10100000101111111111111111111 a$ +1:& +#20780 +0]& +05' +0G' +0K& +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110100000000000000000000 X$ +b11010111110100000000000000000000 `$ +0F& +1E& +0U& +0-' +0?' +b111111111111111111 a$ +0C& +#20800 +1T& +1f& +1>' +1P' +1K& +1a& +0`& +19' +08' +1K' +0J' +b11111111111110000000000000000000 X$ +b11111111111110000000000000000000 `$ +1O& +0N& +1L& +1^& +16' +1H' +b101000001011111111111111111111 a$ +1C& +#20820 +0f& +0>' +0P' +0T& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101000000000000000000000 X$ +b10101111101000000000000000000000 `$ +0O& +1N& +0^& +06' +0H' +b1111111111111111111 a$ +0L& +#20840 +1]& +1o& +1G' +1Y' +1T& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111100000000000000000000 X$ +b11111111111100000000000000000000 `$ +1X& +0W& +1U& +1g& +1?' +1Q' +b1010000010111111111111111111111 a$ +1L& +#20860 +0o& +0G' +0Y' +0]& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010000000000000000000000 X$ +b1011111010000000000000000000000 `$ +0X& +1W& +0g& +0?' +0Q' +b11111111111111111111 a$ +0U& +#20880 +1f& +1x& +1P' +1]& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111000000000000000000000 X$ +b11111111111000000000000000000000 `$ +1a& +0`& +1^& +1p& +1H' +1Z$ +b100000101111111111111111111111 a$ +1U& +#20900 +0x& +0P' +0f& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110100000000000000000000000 X$ +b10111110100000000000000000000000 `$ +0a& +1`& +0p& +0H' +0Z$ +b111111111111111111111 a$ +0^& +1Y$ +#20920 +1o& +1#' +1Y' +1f& +1|& +0{& +1T' +0S' +b11111111110000000000000000000000 X$ +b11111111110000000000000000000000 `$ +1j& +0i& +1g& +1y& +1Q' +b1000001011111111111111111111111 a$ +1^& +0Y$ +b0 @ +b0 [$ +#20940 +0#' +0Y' +0o& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101000000000000000000000000 X$ +b1111101000000000000000000000000 `$ +0j& +1i& +0y& +0Q' +b1111111111111111111111 a$ +0g& +b1 @ +b1 [$ +#20960 +1x& +1,' +1o& +1'' +0&' +1\' +0[' +0Y$ +b11111111100000000000000000000000 X$ +b11111111100000000000000000000000 `$ +1s& +0r& +1p& +1$' +1Z$ +b10111111111111111111111111 a$ +1g& +#20980 +0,' +0x& +0|& +1{& +00' +1/' +b11111010000000000000000000000000 X$ +b11111010000000000000000000000000 `$ +0s& +1r& +0$' +0Z$ +b11111111111111111111111 a$ +0p& +1Y$ +#21000 +1#' +15' +1x& +1P +0Y +1b +0k +1t +0} +1(" +01" +1:" +0C" +1L" +0U" +1^" +0g" +1p" +0y" +1$# +0-# +16# +0?# +1H# +0Q# +1Z# +0c# +1l# +0u# +1~# +0)$ +12$ +0;$ +0L$ +1D$ +1d$ +0m$ +1v$ +0!% +1*% +03% +1<% +0E% +1N% +0W% +1`% +0i% +1r% +0{% +1&& +0/& +18& +0A& +1J& +0S& +1\& +0e& +1n& +0w& +1"' +0+' +14' +0=' +1F' +0O' +0`' +1X' +10' +0/' +b11111111000000000000000000000000 X$ +b11111111000000000000000000000000 `$ +1|& +0{& +1y& +1-' +b101111111111111111111111111 a$ +1p& +0Y$ +b0 @ +b0 [$ +b10101010101010101010101010101010 ' +b10101010101010101010101010101010 * +b10101010101010101010101010101010 6 +b10101010101010101010101010101010 K +b10101010101010101010101010101010 S$ +b10101010101010101010101010101010 V$ +b10101010101010101010101010101010 ^$ +b10101010101010101010101010101010 g' +b10101010101010101010101010101010 j' +#21020 +05' +0#' +0'' +1&' +09' +18' +b11110100000000000000000000000000 X$ +b11110100000000000000000000000000 `$ +0|& +1{& +1O +0X +1a +0j +1s +0| +1'" +00" +19" +0B" +1K" +0T" +1]" +0f" +1o" +0x" +1## +0,# +15# +0># +1G# +0P# +1Y# +0b# +1k# +0t# +1}# +0($ +11$ +0:$ +0K$ +1C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +1l$ +0u$ +1~$ +0)% +12% +0;% +1D% +0M% +1V% +0_% +1h% +0q% +1z% +0%& +1.& +07& +1@& +0I& +1R& +0[& +1d& +0m& +1v& +0!' +1*' +03' +1<' +0E' +1N' +1_' +0W' +0-' +b111111111111111111111111 a$ +0y& +b1 @ +b1 [$ +#21030 +b11111111111111111111111111111111 A +b11111111111111111111111111111111 k' +#21040 +1,' +1>' +1#' +b11111111111111111111111111111111 " +b11111111111111111111111111111111 + +b11111111111111111111111111111111 H +19' +08' +b11111110000000000000000000000000 X$ +b11111110000000000000000000000000 `$ +1'' +0&' +1$' +16' +b1011111111111111111111111111 a$ +1y& +1V +1_ +0\ +1h +1q +0n +1z +1%" +0"" +1." +17" +04" +1@" +1I" +0F" +1R" +1[" +0X" +1d" +1m" +0j" +1v" +1!# +0|" +1*# +13# +00# +1<# +1E# +0B# +1N# +1W# +0T# +1`# +1i# +0f# +1r# +1{# +0x# +1&$ +1/$ +0,$ +18$ +1A$ +0>$ +1Q$ +0N$ +1I$ +0j$ +0s$ +1p$ +0|$ +0'% +1$% +00% +09% +16% +0B% +0K% +1H% +0T% +0]% +1Z% +0f% +0o% +1l% +0x% +0#& +1~% +0,& +05& +12& +0>& +0G& +1D& +0P& +0Y& +1V& +0b& +0k& +1h& +0t& +0}& +1z& +0(' +01' +1.' +0:' +0C' +1@' +0L' +0U' +1R' +0e' +1b' +0]' +b11111111111111111111111111111111 B +b11111111111111111111111111111111 h' +#21060 +0>' +0,' +0c +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0Q +15' +1G' +1Y' +06' +0$' +0U +1T +1^ +0[ +0g +1f +1p +0m +0y +1x +1$" +0!" +0-" +1," +16" +03" +0?" +1>" +1H" +0E" +0Q" +1P" +1Z" +0W" +0c" +1b" +1l" +0i" +0u" +1t" +1~" +0{" +0)# +1(# +12# +0/# +0;# +1:# +1D# +0A# +0M# +1L# +1V# +0S# +0_# +1^# +1h# +0e# +0q# +1p# +1z# +0w# +0%$ +1$$ +1.$ +0+$ +07$ +16$ +1@$ +0=$ +1P$ +b0 M +0M$ +b1010101010101010101010101010101 C +b1010101010101010101010101010101 L +0H$ +1G$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1-' +09' +1?' +0K' +0T' +b1010101111111111111111111111111 a$ +1Q' +1d' +0c' +b10111111111111111111111111111 X$ +b10111111111111111111111111111 `$ +0\' +#21080 +1Z +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +0n$ +0"% +04% +0F% +0X% +0j% +0|% +00& +0B& +0T& +0f& +0x& +0B' +00' +1g +0f +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +1I +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1U +0T +19' +1K' +b10101011111111111111111111111111 X$ +b10101011111111111111111111111111 `$ +1\' +1Y$ +1\$ +b0 @ +b0 [$ +1R +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101010 M +14$ +1G +0f$ +0x$ +0,% +0>% +0P% +0b% +0t% +0(& +0:& +0L& +0^& +b1010101010101010101010101010101 a$ +0p& +#21090 +0> +0W$ +#21100 +0l +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0Z +0^ +1] +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101011 C +b10101010101010101010101010101011 L +0@$ +1?$ +0r$ +0&% +08% +0J% +0\% +0n% +0"& +04& +0F& +0X& +0j& +b10101010101010101010101010101011 X$ +b10101010101010101010101010101011 `$ +0|& +0d +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0R +1E +#21120 +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1p +0o +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1^ +0] +1[ +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010100 M +1=$ +0E +#21140 +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0c +0g +1f +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101010111 C +b1010101010101010101010101010111 L +0H$ +1G$ +1E +0m +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0[ +#21160 +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1g +0f +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101000 M +14$ +1G +#21180 +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0l +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101111 C +b10101010101010101010101010101111 L +0@$ +1?$ +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0d +1E +#21200 +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1p +0o +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010000 M +1=$ +0E +#21220 +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0u +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101011111 C +b1010101010101010101010101011111 L +0H$ +1G$ +1E +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0m +#21240 +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1y +0x +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010100000 M +14$ +1G +#21260 +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0~ +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010111111 C +b10101010101010101010101010111111 L +0@$ +1?$ +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0v +1E +#21280 +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1$" +0#" +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101000000 M +1=$ +0E +#21300 +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0)" +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101111111 C +b1010101010101010101010101111111 L +0H$ +1G$ +1E +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0!" +#21320 +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1-" +0," +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010000000 M +14$ +1G +#21340 +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +02" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101011111111 C +b10101010101010101010101011111111 L +0@$ +1?$ +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0*" +1E +#21360 +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +16" +05" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010100000000 M +1=$ +0E +#21380 +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0;" +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010111111111 C +b1010101010101010101010111111111 L +0H$ +1G$ +1E +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +03" +#21400 +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1?" +0>" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101000000000 M +14$ +1G +#21420 +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0D" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101111111111 C +b10101010101010101010101111111111 L +0@$ +1?$ +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0<" +1E +#21440 +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H" +0G" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010000000000 M +1=$ +0E +#21460 +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0M" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101011111111111 C +b1010101010101010101011111111111 L +0H$ +1G$ +1E +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0E" +#21480 +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Q" +0P" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010100000000000 M +14$ +1G +#21500 +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0V" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010111111111111 C +b10101010101010101010111111111111 L +0@$ +1?$ +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0N" +1E +#21520 +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Z" +0Y" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101000000000000 M +1=$ +0E +#21540 +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0_" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101111111111111 C +b1010101010101010101111111111111 L +0H$ +1G$ +1E +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0W" +#21560 +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1c" +0b" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010000000000000 M +14$ +1G +#21580 +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0h" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101011111111111111 C +b10101010101010101011111111111111 L +0@$ +1?$ +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0`" +1E +#21600 +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1l" +0k" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010100000000000000 M +1=$ +0E +#21620 +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0q" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010111111111111111 C +b1010101010101010111111111111111 L +0H$ +1G$ +1E +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0i" +#21640 +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1u" +0t" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101000000000000000 M +14$ +1G +#21660 +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0z" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101111111111111111 C +b10101010101010101111111111111111 L +0@$ +1?$ +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0r" +1E +#21680 +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1~" +0}" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010000000000000000 M +1=$ +0E +#21700 +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0%# +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101011111111111111111 C +b1010101010101011111111111111111 L +0H$ +1G$ +1E +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0{" +#21720 +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1)# +0(# +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010100000000000000000 M +14$ +1G +#21740 +0@# +0R# +0d# +0v# +0*$ +0<$ +0.# +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010111111111111111111 C +b10101010101010111111111111111111 L +0@$ +1?$ +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0&# +1E +#21760 +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +12# +01# +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101000000000000000000 M +1=$ +0E +#21780 +0I# +0[# +0m# +0!$ +03$ +0E$ +07# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101111111111111111111 C +b1010101010101111111111111111111 L +0H$ +1G$ +1E +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0/# +#21800 +1@# +1R# +1d# +1v# +1*$ +1<$ +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1;# +0:# +18# +1J# +1\# +1n# +1"$ +b101010101010000000000000000000 M +14$ +1G +#21820 +0R# +0d# +0v# +0*$ +0<$ +0@# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101011111111111111111111 C +b10101010101011111111111111111111 L +0@$ +1?$ +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +08# +1E +#21840 +1I# +1[# +1m# +1!$ +13$ +1E$ +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1D# +0C# +1A# +1S# +1e# +1w# +1+$ +b1010101010100000000000000000000 M +1=$ +0E +#21860 +0[# +0m# +0!$ +03$ +0E$ +0I# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010111111111111111111111 C +b1010101010111111111111111111111 L +0H$ +1G$ +1E +0S# +0e# +0w# +0+$ +0=$ +b0 M +0A# +#21880 +1R# +1d# +1v# +1*$ +1<$ +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1M# +0L# +1J# +1\# +1n# +1"$ +b101010101000000000000000000000 M +14$ +1G +#21900 +0d# +0v# +0*$ +0<$ +0R# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101111111111111111111111 C +b10101010101111111111111111111111 L +0@$ +1?$ +0\# +0n# +0"$ +04$ +0G +b0 M +0J# +1E +#21920 +1[# +1m# +1!$ +13$ +1E$ +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1V# +0U# +1S# +1e# +1w# +1+$ +b1010101010000000000000000000000 M +1=$ +0E +#21940 +0m# +0!$ +03$ +0E$ +0[# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101011111111111111111111111 C +b1010101011111111111111111111111 L +0H$ +1G$ +1E +0e# +0w# +0+$ +0=$ +b0 M +0S# +#21960 +1d# +1v# +1*$ +1<$ +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1_# +0^# +1\# +1n# +1"$ +b101010100000000000000000000000 M +14$ +1G +#21980 +0v# +0*$ +0<$ +0d# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010111111111111111111111111 C +b10101010111111111111111111111111 L +0@$ +1?$ +0n# +0"$ +04$ +0G +b0 M +0\# +1E +#22000 +1m# +1!$ +13$ +1E$ +1k +0t +1} +0(" +1U" +0^" +1g" +0p" +1?# +0H# +1Q# +0Z# +1)$ +02$ +1;$ +0D$ +1!% +0*% +13% +0<% +1i% +0r% +1{% +0&& +1S& +0\& +1e& +0n& +1=' +0F' +1O' +0X' +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1h# +0g# +1e# +1w# +1+$ +b1010101000000000000000000000000 M +1=$ +0E +b1011010010110100101101001011010 ' +b1011010010110100101101001011010 * +b1011010010110100101101001011010 6 +b1011010010110100101101001011010 K +b1011010010110100101101001011010 S$ +b1011010010110100101101001011010 V$ +b1011010010110100101101001011010 ^$ +b1011010010110100101101001011010 g' +b1011010010110100101101001011010 j' +#22020 +0!$ +03$ +0E$ +0m# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101111111111111111111111111 C +b1010101111111111111111111111111 L +0H$ +1G$ +1E +1j +0s +1| +0'" +1T" +0]" +1f" +0o" +1># +0G# +1P# +0Y# +1($ +01$ +1:$ +0C$ +b1111000011110000111100001111 ? +b1111000011110000111100001111 T$ +0~$ +1)% +02% +1;% +0h% +1q% +0z% +1%& +0R& +1[& +0d& +1m& +0<' +1E' +0N' +1W' +0w# +0+$ +0=$ +b0 M +0e# +#22030 +b1011111010111110101111101011111 A +b1011111010111110101111101011111 k' +#22040 +1v# +1*$ +1<$ +b10101111101011111010111110101111 " +b10101111101011111010111110101111 + +b10101111101011111010111110101111 H +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1q# +0p# +1n# +1"$ +b101010000000000000000000000000 M +14$ +1G +0q +1n +0z +0%" +1"" +0." +0[" +1X" +0d" +0m" +1j" +0v" +0E# +1B# +0N# +0W# +1T# +0`# +0/$ +1,$ +08$ +0A$ +1>$ +0I$ +1'% +0$% +10% +19% +06% +1B% +1o% +0l% +1x% +1#& +0~% +1,& +1Y& +0V& +1b& +1k& +0h& +1t& +1C' +0@' +1L' +1U' +0R' +1]' +b10101111101011111010111110101111 B +b10101111101011111010111110101111 h' +#22060 +0*$ +0<$ +0v# +1u +1)" +1_" +1q" +1I# +1[# +13$ +1E$ +0+% +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0z# +1y# +0"$ +04$ +0G +0n# +1E +0p +1m +0y +0$" +1!" +0-" +0Z" +1W" +0c" +0l" +1i" +0u" +0D# +1A# +0M# +0V# +1S# +0_# +1+$ +07$ +b1010000010100000101000001010000 M +1=$ +b1011011000011110000111100001111 C +b1011011000011110000111100001111 L +0H$ +1&% +0#% +0/% +1.% +18% +05% +0A% +1@% +1n% +0k% +0w% +1v% +1"& +0}% +0+& +1*& +1X& +0U& +0a& +1`& +1j& +0g& +0s& +1r& +1B' +0?' +0K' +1J' +1T' +b101000001010000010100000101 a$ +0Q' +b1011010010110100101101001011011 X$ +b1011010010110100101101001011011 `$ +0\' +1[' +#22080 +1!$ +14% +1F% +1|% +10& +1f& +1x& +1P' +0.$ +0@$ +1z# +0y# +1y +1-" +1c" +1u" +1M# +1_# +17$ +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1H$ +1/% +0.% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +b1 @ +b1 [$ +b1010100010100000101000001010000 M +1w# +1,% +1>% +1t% +1(& +1^& +1p& +b100101101001011010010110100101 a$ +1H' +1Z$ +#22100 +0!$ +04% +0F% +0|% +00& +0f& +0x& +0P' +b10100111101011111010111110101111 C +b10100111101011111010111110101111 L +0%$ +1$$ +08% +17% +1J% +0"& +1!& +14& +0j& +1i& +1|& +b10111011101110111011101110111011 X$ +b10111011101110111011101110111011 `$ +0T' +1S' +b1010000010100000101000001010000 M +0w# +0,% +0>% +0t% +0(& +0^& +0p& +b101000001010000010100000101 a$ +0H' +0Z$ +1Y$ +#22120 +1*$ +1=% +1'& +1o& +1Y' +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1%$ +0$$ +18% +07% +0J% +1"& +0!& +04& +1j& +0i& +0|& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1T' +0S' +b1011000010100000101000001010000 M +1"$ +15% +1}% +1g& +b1000101010001010100010101000101 a$ +1Q' +0Y$ +b0 @ +b0 [$ +#22140 +0*$ +0=% +0'& +0o& +0Y' +b10111111101011111010111110101111 C +b10111111101011111010111110101111 L +1.$ +0A% +1@% +0+& +1*& +0s& +1r& +b1111010011110100111101001111011 X$ +b1111010011110100111101001111011 `$ +0\' +1[' +1Y$ +b1010000010100000101000001010000 M +0"$ +05% +0}% +0g& +b101000001010000010100000101 a$ +0Q' +b1 @ +b1 [$ +#22160 +1F% +10& +1x& +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +0.$ +1A% +0@% +1+& +0*& +1s& +0r& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +1>% +1(& +b101100001011000010110000101 a$ +1p& +1Z$ +#22180 +0F% +00& +0x& +1J% +14& +b11111011111110111111101111111011 X$ +b11111011111110111111101111111011 `$ +1|& +0>% +0(& +b101000001010000010100000101 a$ +0p& +0Z$ +1Y$ +#22200 +0J% +04& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +0|& +0Y$ +b0 @ +b0 [$ +#22220 +b1 @ +b1 [$ +#23000 +b1011111010111110101111101011111 " +b1011111010111110101111101011111 + +b1011111010111110101111101011111 H +0, +b100 - +b100 0 +b100 4 +0P +1Y +0b +11" +0:" +1C" +0L" +1y" +0$# +1-# +06# +1c# +0l# +1u# +0~# +1L$ +0d$ +1m$ +0v$ +1E% +0N% +1W% +0`% +1/& +08& +1A& +0J& +1w& +0"' +1+' +04' +1`' +b111 % +b111 ( +b111 / +b1010101010101010101010101010101 ' +b1010101010101010101010101010101 * +b1010101010101010101010101010101 6 +b1010101010101010101010101010101 K +b1010101010101010101010101010101 S$ +b1010101010101010101010101010101 V$ +b1010101010101010101010101010101 ^$ +b1010101010101010101010101010101 g' +b1010101010101010101010101010101 j' +#23020 +b10101010101010101010101010101010 " +b10101010101010101010101010101010 + +b10101010101010101010101010101010 H +b1010000010100000101000001010000 B +b1010000010100000101000001010000 h' +b10101010101010101010101010101010 A +b10101010101010101010101010101010 k' +0O +1X +0a +10" +09" +1B" +0K" +1x" +0## +1,# +05# +1b# +0k# +1t# +0}# +1K$ +b0 ? +b0 T$ +1c$ +0l$ +1u$ +0D% +1M% +0V% +1_% +0.& +17& +0@& +1I& +0v& +1!' +0*' +13' +0_' +#23040 +0V +0_ +1\ +0h +07" +14" +0@" +0I" +1F" +0R" +0!# +1|" +0*# +03# +10# +0<# +0i# +1f# +0r# +0{# +1x# +0&$ +0Q$ +1N$ +1j$ +1s$ +0p$ +1|$ +1K% +0H% +1T% +1]% +0Z% +1f% +15& +02& +1>& +1G& +0D& +1P& +1}& +0z& +1(' +11' +0.' +1:' +1e' +0b' +b1010101010101010101010101010101 B +b1010101010101010101010101010101 h' +#23060 +1c +1;" +1M" +1%# +17# +1m# +1!$ +1Q +0w$ +0O% +0a% +09& +0K& +0#' +05' +0e$ +0U +0^ +1[ +0g +06" +13" +0?" +0H" +1E" +0Q" +0~" +1{" +0)# +02# +1/# +0;# +0h# +1e# +0q# +0z# +1w# +0%$ +b10100000101000001010000010100000 C +b10100000101000001010000010100000 L +0P$ +b1010101010101010101010101010101 M +1M$ +0i$ +1h$ +1r$ +0o$ +0{$ +1z$ +1J% +0G% +0S% +1R% +1\% +0Y% +0e% +1d% +14& +01& +0=& +1<& +1F& +0C& +0O& +1N& +1|& +0y& +0'' +1&' +10' +0-' +09' +18' +b11110101111101011111010111110100 X$ +b11110101111101011111010111110100 `$ +0d' +1c' +b0 a$ +0a' +#23080 +1n$ +1"% +1X% +1j% +1B& +1T& +1,' +1>' +1e$ +1g +1?" +1Q" +1)# +1;# +1q# +1%$ +0I +b10101010101010101010101010101010 C +b10101010101010101010101010101010 L +1U +1{$ +0z$ +1S% +0R% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +0\$ +b11111111111111111111111111111110 X$ +b11111111111111111111111111111110 `$ +1i$ +0h$ +1f$ +1x$ +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101000001011 a$ +1a' +#23090 +1> +1W$ +#23100 +0"% +0X% +0j% +0B& +0T& +0,' +0>' +0n$ +0r$ +1q$ +0&% +1%% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110101111101000 X$ +b11101011111010111110101111101000 `$ +0i$ +1h$ +0x$ +0P% +0b% +0:& +0L& +0$' +06' +b1 a$ +0f$ +#23120 +1w$ +1+% +1a% +1s% +1K& +1]& +15' +1G' +1n$ +1&% +0%% +1\% +0[% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111111111111100 X$ +b11111111111111111111111111111100 `$ +1r$ +0q$ +1o$ +1#% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001010000010111 a$ +1f$ +#23140 +0+% +0a% +0s% +0K& +0]& +05' +0G' +0w$ +0{$ +1z$ +0/% +1.% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101011111010000 X$ +b11010111110101111101011111010000 `$ +0r$ +1q$ +0#% +0Y% +0k% +0C& +0U& +0-' +0?' +b11 a$ +0o$ +#23160 +1"% +14% +1j% +1|% +1T& +1f& +1>' +1P' +1w$ +1/% +0.% +1e% +0d% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111111111111000 X$ +b11111111111111111111111111111000 `$ +1{$ +0z$ +1x$ +1,% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010100000101111 a$ +1o$ +#23180 +04% +0j% +0|% +0T& +0f& +0>' +0P' +0"% +0&% +1%% +08% +17% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010111110100000 X$ +b10101111101011111010111110100000 `$ +0{$ +1z$ +0,% +0b% +0t% +0L& +0^& +06' +0H' +b111 a$ +0x$ +#23200 +1+% +1=% +1s% +1'& +1]& +1o& +1G' +1Y' +1"% +18% +07% +1n% +0m% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111111111110000 X$ +b11111111111111111111111111110000 `$ +1&% +0%% +1#% +15% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101000001011111 a$ +1x$ +#23220 +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0+% +0/% +1.% +0A% +1@% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110101111101000000 X$ +b1011111010111110101111101000000 `$ +0&% +1%% +05% +0k% +0}% +0U& +0g& +0?' +0Q' +b1111 a$ +0#% +#23240 +14% +1F% +1|% +10& +1f& +1x& +1P' +1+% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +1/% +0.% +1,% +1>% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001010000010111111 a$ +1#% +#23260 +0F% +0|% +00& +0f& +0x& +0P' +04% +08% +17% +0J% +1I% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101011111010000000 X$ +b10111110101111101011111010000000 `$ +0/% +1.% +0>% +0t% +0(& +0^& +0p& +0H' +0Z$ +b11111 a$ +0,% +1Y$ +#23280 +1=% +1O% +1'& +19& +1o& +1#' +1Y' +14% +1J% +0I% +1"& +0!& +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111111111111000000 X$ +b11111111111111111111111111000000 `$ +18% +07% +15% +1G% +1}% +11& +1g& +1y& +1Q' +b1000001010000010100000101111111 a$ +1,% +0Y$ +b0 @ +b0 [$ +#23300 +0O% +0'& +09& +0o& +0#' +0Y' +0=% +0A% +1@% +0S% +1R% +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010111110100000000 X$ +b1111101011111010111110100000000 `$ +08% +17% +0G% +0}% +01& +0g& +0y& +0Q' +b111111 a$ +05% +b1 @ +b1 [$ +#23320 +1F% +1X% +10& +1B& +1x& +1,' +1=% +1S% +0R% +1+& +0*& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111111111110000000 X$ +b11111111111111111111111110000000 `$ +1A% +0@% +1>% +1P% +1(& +1:& +1p& +1$' +1Z$ +b10100000101000001011111111 a$ +15% +#23340 +0X% +00& +0B& +0x& +0,' +0F% +0J% +1I% +0\% +1[% +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110101111101000000000 X$ +b11111010111110101111101000000000 `$ +0A% +1@% +0P% +0(& +0:& +0p& +0$' +0Z$ +b1111111 a$ +0>% +1Y$ +#23360 +1O% +1a% +19& +1K& +1#' +15' +1F% +1\% +0[% +14& +03& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111111111111100000000 X$ +b11111111111111111111111100000000 `$ +1J% +0I% +1G% +1Y% +11& +1C& +1y& +1-' +b101000001010000010111111111 a$ +1>% +0Y$ +b0 @ +b0 [$ +#23380 +0a% +09& +0K& +0#' +05' +0O% +0S% +1R% +0e% +1d% +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101011111010000000000 X$ +b11110101111101011111010000000000 `$ +0J% +1I% +0Y% +01& +0C& +0y& +0-' +b11111111 a$ +0G% +b1 @ +b1 [$ +#23400 +1X% +1j% +1B& +1T& +1,' +1>' +1O% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111111111111000000000 X$ +b11111111111111111111111000000000 `$ +1S% +0R% +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101111111111 a$ +1G% +#23420 +0j% +0B& +0T& +0,' +0>' +0X% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110100000000000 X$ +b11101011111010111110100000000000 `$ +0S% +1R% +0b% +0:& +0L& +0$' +06' +b111111111 a$ +0P% +#23440 +1a% +1s% +1K& +1]& +15' +1G' +1X% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111110000000000 X$ +b11111111111111111111110000000000 `$ +1\% +0[% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001011111111111 a$ +1P% +#23460 +0s% +0K& +0]& +05' +0G' +0a% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101000000000000 X$ +b11010111110101111101000000000000 `$ +0\% +1[% +0k% +0C& +0U& +0-' +0?' +b1111111111 a$ +0Y% +#23480 +1j% +1|% +1T& +1f& +1>' +1P' +1a% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111100000000000 X$ +b11111111111111111111100000000000 `$ +1e% +0d% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010111111111111 a$ +1Y% +#23500 +0|% +0T& +0f& +0>' +0P' +0j% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010000000000000 X$ +b10101111101011111010000000000000 `$ +0e% +1d% +0t% +0L& +0^& +06' +0H' +b11111111111 a$ +0b% +#23520 +1s% +1'& +1]& +1o& +1G' +1Y' +1j% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111000000000000 X$ +b11111111111111111111000000000000 `$ +1n% +0m% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101111111111111 a$ +1b% +#23540 +0'& +0]& +0o& +0G' +0Y' +0s% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110100000000000000 X$ +b1011111010111110100000000000000 `$ +0n% +1m% +0}% +0U& +0g& +0?' +0Q' +b111111111111 a$ +0k% +#23560 +1|% +10& +1f& +1x& +1P' +1s% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111110000000000000 X$ +b11111111111111111110000000000000 `$ +1w% +0v% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001011111111111111 a$ +1k% +#23580 +00& +0f& +0x& +0P' +0|% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101000000000000000 X$ +b10111110101111101000000000000000 `$ +0w% +1v% +0(& +0^& +0p& +0H' +0Z$ +b1111111111111 a$ +0t% +1Y$ +#23600 +1'& +19& +1o& +1#' +1Y' +1|% +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111100000000000000 X$ +b11111111111111111100000000000000 `$ +1"& +0!& +1}% +11& +1g& +1y& +1Q' +b1000001010000010111111111111111 a$ +1t% +0Y$ +b0 @ +b0 [$ +#23620 +09& +0o& +0#' +0Y' +0'& +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010000000000000000 X$ +b1111101011111010000000000000000 `$ +0"& +1!& +01& +0g& +0y& +0Q' +b11111111111111 a$ +0}% +b1 @ +b1 [$ +#23640 +10& +1B& +1x& +1,' +1'& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111000000000000000 X$ +b11111111111111111000000000000000 `$ +1+& +0*& +1(& +1:& +1p& +1$' +1Z$ +b10100000101111111111111111 a$ +1}% +#23660 +0B& +0x& +0,' +00& +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110100000000000000000 X$ +b11111010111110100000000000000000 `$ +0+& +1*& +0:& +0p& +0$' +0Z$ +b111111111111111 a$ +0(& +1Y$ +#23680 +19& +1K& +1#' +15' +10& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111110000000000000000 X$ +b11111111111111110000000000000000 `$ +14& +03& +11& +1C& +1y& +1-' +b101000001011111111111111111 a$ +1(& +0Y$ +b0 @ +b0 [$ +#23700 +0K& +0#' +05' +09& +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101000000000000000000 X$ +b11110101111101000000000000000000 `$ +04& +13& +0C& +0y& +0-' +b1111111111111111 a$ +01& +b1 @ +b1 [$ +#23720 +1B& +1T& +1,' +1>' +19& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111100000000000000000 X$ +b11111111111111100000000000000000 `$ +1=& +0<& +1:& +1L& +1$' +16' +b1010000010111111111111111111 a$ +11& +#23740 +0T& +0,' +0>' +0B& +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010000000000000000000 X$ +b11101011111010000000000000000000 `$ +0=& +1<& +0L& +0$' +06' +b11111111111111111 a$ +0:& +#23760 +1K& +1]& +15' +1G' +1B& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111000000000000000000 X$ +b11111111111111000000000000000000 `$ +1F& +0E& +1C& +1U& +1-' +1?' +b10100000101111111111111111111 a$ +1:& +#23780 +0]& +05' +0G' +0K& +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110100000000000000000000 X$ +b11010111110100000000000000000000 `$ +0F& +1E& +0U& +0-' +0?' +b111111111111111111 a$ +0C& +#23800 +1T& +1f& +1>' +1P' +1K& +1a& +0`& +19' +08' +1K' +0J' +b11111111111110000000000000000000 X$ +b11111111111110000000000000000000 `$ +1O& +0N& +1L& +1^& +16' +1H' +b101000001011111111111111111111 a$ +1C& +#23820 +0f& +0>' +0P' +0T& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101000000000000000000000 X$ +b10101111101000000000000000000000 `$ +0O& +1N& +0^& +06' +0H' +b1111111111111111111 a$ +0L& +#23840 +1]& +1o& +1G' +1Y' +1T& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111100000000000000000000 X$ +b11111111111100000000000000000000 `$ +1X& +0W& +1U& +1g& +1?' +1Q' +b1010000010111111111111111111111 a$ +1L& +#23860 +0o& +0G' +0Y' +0]& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010000000000000000000000 X$ +b1011111010000000000000000000000 `$ +0X& +1W& +0g& +0?' +0Q' +b11111111111111111111 a$ +0U& +#23880 +1f& +1x& +1P' +1]& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111000000000000000000000 X$ +b11111111111000000000000000000000 `$ +1a& +0`& +1^& +1p& +1H' +1Z$ +b100000101111111111111111111111 a$ +1U& +#23900 +0x& +0P' +0f& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110100000000000000000000000 X$ +b10111110100000000000000000000000 `$ +0a& +1`& +0p& +0H' +0Z$ +b111111111111111111111 a$ +0^& +1Y$ +#23920 +1o& +1#' +1Y' +1f& +1|& +0{& +1T' +0S' +b11111111110000000000000000000000 X$ +b11111111110000000000000000000000 `$ +1j& +0i& +1g& +1y& +1Q' +b1000001011111111111111111111111 a$ +1^& +0Y$ +b0 @ +b0 [$ +#23940 +0#' +0Y' +0o& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101000000000000000000000000 X$ +b1111101000000000000000000000000 `$ +0j& +1i& +0y& +0Q' +b1111111111111111111111 a$ +0g& +b1 @ +b1 [$ +#23960 +1x& +1,' +1o& +1'' +0&' +1\' +0[' +0Y$ +b11111111100000000000000000000000 X$ +b11111111100000000000000000000000 `$ +1s& +0r& +1p& +1$' +1Z$ +b10111111111111111111111111 a$ +1g& +#23980 +0,' +0x& +0|& +1{& +00' +1/' +b11111010000000000000000000000000 X$ +b11111010000000000000000000000000 `$ +0s& +1r& +0$' +0Z$ +b11111111111111111111111 a$ +0p& +1Y$ +#24000 +1#' +15' +1x& +1P +0Y +1b +0k +1t +0} +1(" +01" +1:" +0C" +1L" +0U" +1^" +0g" +1p" +0y" +1$# +0-# +16# +0?# +1H# +0Q# +1Z# +0c# +1l# +0u# +1~# +0)$ +12$ +0;$ +0L$ +1D$ +1d$ +0m$ +1v$ +0!% +1*% +03% +1<% +0E% +1N% +0W% +1`% +0i% +1r% +0{% +1&& +0/& +18& +0A& +1J& +0S& +1\& +0e& +1n& +0w& +1"' +0+' +14' +0=' +1F' +0O' +0`' +1X' +10' +0/' +b11111111000000000000000000000000 X$ +b11111111000000000000000000000000 `$ +1|& +0{& +1y& +1-' +b101111111111111111111111111 a$ +1p& +0Y$ +b0 @ +b0 [$ +b10101010101010101010101010101010 ' +b10101010101010101010101010101010 * +b10101010101010101010101010101010 6 +b10101010101010101010101010101010 K +b10101010101010101010101010101010 S$ +b10101010101010101010101010101010 V$ +b10101010101010101010101010101010 ^$ +b10101010101010101010101010101010 g' +b10101010101010101010101010101010 j' +#24020 +05' +0#' +0'' +1&' +09' +18' +b11110100000000000000000000000000 X$ +b11110100000000000000000000000000 `$ +0|& +1{& +1O +0X +1a +0j +1s +0| +1'" +00" +19" +0B" +1K" +0T" +1]" +0f" +1o" +0x" +1## +0,# +15# +0># +1G# +0P# +1Y# +0b# +1k# +0t# +1}# +0($ +11$ +0:$ +0K$ +1C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +1l$ +0u$ +1~$ +0)% +12% +0;% +1D% +0M% +1V% +0_% +1h% +0q% +1z% +0%& +1.& +07& +1@& +0I& +1R& +0[& +1d& +0m& +1v& +0!' +1*' +03' +1<' +0E' +1N' +1_' +0W' +0-' +b111111111111111111111111 a$ +0y& +b1 @ +b1 [$ +#24030 +b0 " +b0 + +b0 H +b0 A +b0 k' +#24040 +1,' +1>' +1#' +19' +08' +b11111110000000000000000000000000 X$ +b11111110000000000000000000000000 `$ +1'' +0&' +1$' +16' +b1011111111111111111111111111 a$ +1y& +1V +1_ +0\ +1h +1q +0n +1z +1%" +0"" +1." +17" +04" +1@" +1I" +0F" +1R" +1[" +0X" +1d" +1m" +0j" +1v" +1!# +0|" +1*# +13# +00# +1<# +1E# +0B# +1N# +1W# +0T# +1`# +1i# +0f# +1r# +1{# +0x# +1&$ +1/$ +0,$ +18$ +1A$ +0>$ +1Q$ +0N$ +1I$ +0j$ +0s$ +1p$ +0|$ +0'% +1$% +00% +09% +16% +0B% +0K% +1H% +0T% +0]% +1Z% +0f% +0o% +1l% +0x% +0#& +1~% +0,& +05& +12& +0>& +0G& +1D& +0P& +0Y& +1V& +0b& +0k& +1h& +0t& +0}& +1z& +0(' +01' +1.' +0:' +0C' +1@' +0L' +0U' +1R' +0e' +1b' +0]' +b0 B +b0 h' +#24060 +0>' +0,' +0c +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0Q +15' +1G' +1Y' +06' +0$' +0U +1T +1^ +0[ +0g +1f +1p +0m +0y +1x +1$" +0!" +0-" +1," +16" +03" +0?" +1>" +1H" +0E" +0Q" +1P" +1Z" +0W" +0c" +1b" +1l" +0i" +0u" +1t" +1~" +0{" +0)# +1(# +12# +0/# +0;# +1:# +1D# +0A# +0M# +1L# +1V# +0S# +0_# +1^# +1h# +0e# +0q# +1p# +1z# +0w# +0%$ +1$$ +1.$ +0+$ +07$ +16$ +1@$ +0=$ +1P$ +b0 M +0M$ +b1010101010101010101010101010101 C +b1010101010101010101010101010101 L +0H$ +1G$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1-' +09' +1?' +0K' +0T' +b1010101111111111111111111111111 a$ +1Q' +1d' +0c' +b10111111111111111111111111111 X$ +b10111111111111111111111111111 `$ +0\' +#24080 +1Z +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +0n$ +0"% +04% +0F% +0X% +0j% +0|% +00& +0B& +0T& +0f& +0x& +0B' +00' +1g +0f +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +1I +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1U +0T +19' +1K' +b10101011111111111111111111111111 X$ +b10101011111111111111111111111111 `$ +1\' +1Y$ +1\$ +b0 @ +b0 [$ +1R +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101010 M +14$ +1G +0f$ +0x$ +0,% +0>% +0P% +0b% +0t% +0(& +0:& +0L& +0^& +b1010101010101010101010101010101 a$ +0p& +#24090 +0> +0W$ +#24100 +0l +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0Z +0^ +1] +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101011 C +b10101010101010101010101010101011 L +0@$ +1?$ +0r$ +0&% +08% +0J% +0\% +0n% +0"& +04& +0F& +0X& +0j& +b10101010101010101010101010101011 X$ +b10101010101010101010101010101011 `$ +0|& +0d +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0R +1E +#24120 +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1p +0o +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1^ +0] +1[ +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010100 M +1=$ +0E +#24140 +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0c +0g +1f +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101010111 C +b1010101010101010101010101010111 L +0H$ +1G$ +1E +0m +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0[ +#24160 +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1g +0f +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101000 M +14$ +1G +#24180 +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0l +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101111 C +b10101010101010101010101010101111 L +0@$ +1?$ +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0d +1E +#24200 +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1p +0o +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010000 M +1=$ +0E +#24220 +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0u +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101011111 C +b1010101010101010101010101011111 L +0H$ +1G$ +1E +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0m +#24240 +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1y +0x +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010100000 M +14$ +1G +#24260 +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0~ +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010111111 C +b10101010101010101010101010111111 L +0@$ +1?$ +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0v +1E +#24280 +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1$" +0#" +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101000000 M +1=$ +0E +#24300 +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0)" +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101111111 C +b1010101010101010101010101111111 L +0H$ +1G$ +1E +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0!" +#24320 +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1-" +0," +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010000000 M +14$ +1G +#24340 +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +02" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101011111111 C +b10101010101010101010101011111111 L +0@$ +1?$ +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0*" +1E +#24360 +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +16" +05" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010100000000 M +1=$ +0E +#24380 +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0;" +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010111111111 C +b1010101010101010101010111111111 L +0H$ +1G$ +1E +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +03" +#24400 +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1?" +0>" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101000000000 M +14$ +1G +#24420 +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0D" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101111111111 C +b10101010101010101010101111111111 L +0@$ +1?$ +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0<" +1E +#24440 +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H" +0G" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010000000000 M +1=$ +0E +#24460 +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0M" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101011111111111 C +b1010101010101010101011111111111 L +0H$ +1G$ +1E +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0E" +#24480 +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Q" +0P" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010100000000000 M +14$ +1G +#24500 +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0V" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010111111111111 C +b10101010101010101010111111111111 L +0@$ +1?$ +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0N" +1E +#24520 +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Z" +0Y" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101000000000000 M +1=$ +0E +#24540 +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0_" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101111111111111 C +b1010101010101010101111111111111 L +0H$ +1G$ +1E +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0W" +#24560 +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1c" +0b" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010000000000000 M +14$ +1G +#24580 +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0h" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101011111111111111 C +b10101010101010101011111111111111 L +0@$ +1?$ +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0`" +1E +#24600 +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1l" +0k" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010100000000000000 M +1=$ +0E +#24620 +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0q" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010111111111111111 C +b1010101010101010111111111111111 L +0H$ +1G$ +1E +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0i" +#24640 +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1u" +0t" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101000000000000000 M +14$ +1G +#24660 +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0z" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101111111111111111 C +b10101010101010101111111111111111 L +0@$ +1?$ +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0r" +1E +#24680 +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1~" +0}" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010000000000000000 M +1=$ +0E +#24700 +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0%# +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101011111111111111111 C +b1010101010101011111111111111111 L +0H$ +1G$ +1E +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0{" +#24720 +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1)# +0(# +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010100000000000000000 M +14$ +1G +#24740 +0@# +0R# +0d# +0v# +0*$ +0<$ +0.# +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010111111111111111111 C +b10101010101010111111111111111111 L +0@$ +1?$ +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0&# +1E +#24760 +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +12# +01# +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101000000000000000000 M +1=$ +0E +#24780 +0I# +0[# +0m# +0!$ +03$ +0E$ +07# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101111111111111111111 C +b1010101010101111111111111111111 L +0H$ +1G$ +1E +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0/# +#24800 +1@# +1R# +1d# +1v# +1*$ +1<$ +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1;# +0:# +18# +1J# +1\# +1n# +1"$ +b101010101010000000000000000000 M +14$ +1G +#24820 +0R# +0d# +0v# +0*$ +0<$ +0@# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101011111111111111111111 C +b10101010101011111111111111111111 L +0@$ +1?$ +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +08# +1E +#24840 +1I# +1[# +1m# +1!$ +13$ +1E$ +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1D# +0C# +1A# +1S# +1e# +1w# +1+$ +b1010101010100000000000000000000 M +1=$ +0E +#24860 +0[# +0m# +0!$ +03$ +0E$ +0I# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010111111111111111111111 C +b1010101010111111111111111111111 L +0H$ +1G$ +1E +0S# +0e# +0w# +0+$ +0=$ +b0 M +0A# +#24880 +1R# +1d# +1v# +1*$ +1<$ +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1M# +0L# +1J# +1\# +1n# +1"$ +b101010101000000000000000000000 M +14$ +1G +#24900 +0d# +0v# +0*$ +0<$ +0R# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101111111111111111111111 C +b10101010101111111111111111111111 L +0@$ +1?$ +0\# +0n# +0"$ +04$ +0G +b0 M +0J# +1E +#24920 +1[# +1m# +1!$ +13$ +1E$ +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1V# +0U# +1S# +1e# +1w# +1+$ +b1010101010000000000000000000000 M +1=$ +0E +#24940 +0m# +0!$ +03$ +0E$ +0[# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101011111111111111111111111 C +b1010101011111111111111111111111 L +0H$ +1G$ +1E +0e# +0w# +0+$ +0=$ +b0 M +0S# +#24960 +1d# +1v# +1*$ +1<$ +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1_# +0^# +1\# +1n# +1"$ +b101010100000000000000000000000 M +14$ +1G +#24980 +0v# +0*$ +0<$ +0d# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010111111111111111111111111 C +b10101010111111111111111111111111 L +0@$ +1?$ +0n# +0"$ +04$ +0G +b0 M +0\# +1E +#25000 +1m# +1!$ +13$ +1E$ +1k +0t +1} +0(" +1U" +0^" +1g" +0p" +1?# +0H# +1Q# +0Z# +1)$ +02$ +1;$ +0D$ +1!% +0*% +13% +0<% +1i% +0r% +1{% +0&& +1S& +0\& +1e& +0n& +1=' +0F' +1O' +0X' +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1h# +0g# +1e# +1w# +1+$ +b1010101000000000000000000000000 M +1=$ +0E +b1011010010110100101101001011010 ' +b1011010010110100101101001011010 * +b1011010010110100101101001011010 6 +b1011010010110100101101001011010 K +b1011010010110100101101001011010 S$ +b1011010010110100101101001011010 V$ +b1011010010110100101101001011010 ^$ +b1011010010110100101101001011010 g' +b1011010010110100101101001011010 j' +#25020 +0!$ +03$ +0E$ +0m# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101111111111111111111111111 C +b1010101111111111111111111111111 L +0H$ +1G$ +1E +1j +0s +1| +0'" +1T" +0]" +1f" +0o" +1># +0G# +1P# +0Y# +1($ +01$ +1:$ +0C$ +b1111000011110000111100001111 ? +b1111000011110000111100001111 T$ +0~$ +1)% +02% +1;% +0h% +1q% +0z% +1%& +0R& +1[& +0d& +1m& +0<' +1E' +0N' +1W' +0w# +0+$ +0=$ +b0 M +0e# +#25030 +b10100000101000001010000010100000 " +b10100000101000001010000010100000 + +b10100000101000001010000010100000 H +b10100000101000001010000010100000 A +b10100000101000001010000010100000 k' +#25040 +1v# +1*$ +1<$ +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1q# +0p# +1n# +1"$ +b101010000000000000000000000000 M +14$ +1G +0q +1n +0z +0%" +1"" +0." +0[" +1X" +0d" +0m" +1j" +0v" +0E# +1B# +0N# +0W# +1T# +0`# +0/$ +1,$ +08$ +0A$ +1>$ +0I$ +1'% +0$% +10% +19% +06% +1B% +1o% +0l% +1x% +1#& +0~% +1,& +1Y& +0V& +1b& +1k& +0h& +1t& +1C' +0@' +1L' +1U' +0R' +1]' +b1010000010100000101000001010000 B +b1010000010100000101000001010000 h' +#25060 +0*$ +0<$ +0v# +1u +1)" +1_" +1q" +1I# +1[# +13$ +1E$ +0+% +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0z# +1y# +0"$ +04$ +0G +0n# +1E +0p +1m +0y +0$" +1!" +0-" +0Z" +1W" +0c" +0l" +1i" +0u" +0D# +1A# +0M# +0V# +1S# +0_# +1+$ +07$ +b1010000010100000101000001010000 M +1=$ +b1011011000011110000111100001111 C +b1011011000011110000111100001111 L +0H$ +1&% +0#% +0/% +1.% +18% +05% +0A% +1@% +1n% +0k% +0w% +1v% +1"& +0}% +0+& +1*& +1X& +0U& +0a& +1`& +1j& +0g& +0s& +1r& +1B' +0?' +0K' +1J' +1T' +b101000001010000010100000101 a$ +0Q' +b1011010010110100101101001011011 X$ +b1011010010110100101101001011011 `$ +0\' +1[' +#25080 +1!$ +14% +1F% +1|% +10& +1f& +1x& +1P' +0.$ +0@$ +1z# +0y# +1y +1-" +1c" +1u" +1M# +1_# +17$ +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1H$ +1/% +0.% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +b1 @ +b1 [$ +b1010100010100000101000001010000 M +1w# +1,% +1>% +1t% +1(& +1^& +1p& +b100101101001011010010110100101 a$ +1H' +1Z$ +#25100 +0!$ +04% +0F% +0|% +00& +0f& +0x& +0P' +b10100111101011111010111110101111 C +b10100111101011111010111110101111 L +0%$ +1$$ +08% +17% +1J% +0"& +1!& +14& +0j& +1i& +1|& +b10111011101110111011101110111011 X$ +b10111011101110111011101110111011 `$ +0T' +1S' +b1010000010100000101000001010000 M +0w# +0,% +0>% +0t% +0(& +0^& +0p& +b101000001010000010100000101 a$ +0H' +0Z$ +1Y$ +#25120 +1*$ +1=% +1'& +1o& +1Y' +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1%$ +0$$ +18% +07% +0J% +1"& +0!& +04& +1j& +0i& +0|& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1T' +0S' +b1011000010100000101000001010000 M +1"$ +15% +1}% +1g& +b1000101010001010100010101000101 a$ +1Q' +0Y$ +b0 @ +b0 [$ +#25140 +0*$ +0=% +0'& +0o& +0Y' +b10111111101011111010111110101111 C +b10111111101011111010111110101111 L +1.$ +0A% +1@% +0+& +1*& +0s& +1r& +b1111010011110100111101001111011 X$ +b1111010011110100111101001111011 `$ +0\' +1[' +1Y$ +b1010000010100000101000001010000 M +0"$ +05% +0}% +0g& +b101000001010000010100000101 a$ +0Q' +b1 @ +b1 [$ +#25160 +1F% +10& +1x& +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +0.$ +1A% +0@% +1+& +0*& +1s& +0r& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +1>% +1(& +b101100001011000010110000101 a$ +1p& +1Z$ +#25180 +0F% +00& +0x& +1J% +14& +b11111011111110111111101111111011 X$ +b11111011111110111111101111111011 `$ +1|& +0>% +0(& +b101000001010000010100000101 a$ +0p& +0Z$ +1Y$ +#25200 +0J% +04& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +0|& +0Y$ +b0 @ +b0 [$ +#25220 +b1 @ +b1 [$ +#26000 +1, +0P +1Y +0b +11" +0:" +1C" +0L" +1y" +0$# +1-# +06# +1c# +0l# +1u# +0~# +1L$ +0d$ +1m$ +0v$ +1E% +0N% +1W% +0`% +1/& +08& +1A& +0J& +1w& +0"' +1+' +04' +1`' +b110 % +b110 ( +b110 / +b1010101010101010101010101010101 ' +b1010101010101010101010101010101 * +b1010101010101010101010101010101 6 +b1010101010101010101010101010101 K +b1010101010101010101010101010101 S$ +b1010101010101010101010101010101 V$ +b1010101010101010101010101010101 ^$ +b1010101010101010101010101010101 g' +b1010101010101010101010101010101 j' +#26020 +b1010101010101010101010101010101 " +b1010101010101010101010101010101 + +b1010101010101010101010101010101 H +b10101111101011111010111110101111 B +b10101111101011111010111110101111 h' +b1010101010101010101010101010101 A +b1010101010101010101010101010101 k' +0O +1X +0a +10" +09" +1B" +0K" +1x" +0## +1,# +05# +1b# +0k# +1t# +0}# +1K$ +b0 ? +b0 T$ +1c$ +0l$ +1u$ +0D% +1M% +0V% +1_% +0.& +17& +0@& +1I& +0v& +1!' +0*' +13' +0_' +#26040 +0V +0_ +1\ +0h +07" +14" +0@" +0I" +1F" +0R" +0!# +1|" +0*# +03# +10# +0<# +0i# +1f# +0r# +0{# +1x# +0&$ +0Q$ +1N$ +1j$ +1s$ +0p$ +1|$ +1K% +0H% +1T% +1]% +0Z% +1f% +15& +02& +1>& +1G& +0D& +1P& +1}& +0z& +1(' +11' +0.' +1:' +1e' +0b' +b10101010101010101010101010101010 B +b10101010101010101010101010101010 h' +#26060 +1c +1;" +1M" +1%# +17# +1m# +1!$ +1Q +0w$ +0O% +0a% +09& +0K& +0#' +05' +0e$ +0U +0^ +1[ +0g +06" +13" +0?" +0H" +1E" +0Q" +0~" +1{" +0)# +02# +1/# +0;# +0h# +1e# +0q# +0z# +1w# +0%$ +b10100000101000001010000010100000 C +b10100000101000001010000010100000 L +0P$ +b1010101010101010101010101010101 M +1M$ +0i$ +1h$ +1r$ +0o$ +0{$ +1z$ +1J% +0G% +0S% +1R% +1\% +0Y% +0e% +1d% +14& +01& +0=& +1<& +1F& +0C& +0O& +1N& +1|& +0y& +0'' +1&' +10' +0-' +09' +18' +b11110101111101011111010111110100 X$ +b11110101111101011111010111110100 `$ +0d' +1c' +b0 a$ +0a' +#26080 +1n$ +1"% +1X% +1j% +1B& +1T& +1,' +1>' +1e$ +1g +1?" +1Q" +1)# +1;# +1q# +1%$ +0I +b10101010101010101010101010101010 C +b10101010101010101010101010101010 L +1U +1{$ +0z$ +1S% +0R% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +0\$ +b11111111111111111111111111111110 X$ +b11111111111111111111111111111110 `$ +1i$ +0h$ +1f$ +1x$ +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101000001011 a$ +1a' +#26090 +1> +1W$ +#26100 +0"% +0X% +0j% +0B& +0T& +0,' +0>' +0n$ +0r$ +1q$ +0&% +1%% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110101111101000 X$ +b11101011111010111110101111101000 `$ +0i$ +1h$ +0x$ +0P% +0b% +0:& +0L& +0$' +06' +b1 a$ +0f$ +#26120 +1w$ +1+% +1a% +1s% +1K& +1]& +15' +1G' +1n$ +1&% +0%% +1\% +0[% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111111111111100 X$ +b11111111111111111111111111111100 `$ +1r$ +0q$ +1o$ +1#% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001010000010111 a$ +1f$ +#26140 +0+% +0a% +0s% +0K& +0]& +05' +0G' +0w$ +0{$ +1z$ +0/% +1.% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101011111010000 X$ +b11010111110101111101011111010000 `$ +0r$ +1q$ +0#% +0Y% +0k% +0C& +0U& +0-' +0?' +b11 a$ +0o$ +#26160 +1"% +14% +1j% +1|% +1T& +1f& +1>' +1P' +1w$ +1/% +0.% +1e% +0d% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111111111111000 X$ +b11111111111111111111111111111000 `$ +1{$ +0z$ +1x$ +1,% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010100000101111 a$ +1o$ +#26180 +04% +0j% +0|% +0T& +0f& +0>' +0P' +0"% +0&% +1%% +08% +17% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010111110100000 X$ +b10101111101011111010111110100000 `$ +0{$ +1z$ +0,% +0b% +0t% +0L& +0^& +06' +0H' +b111 a$ +0x$ +#26200 +1+% +1=% +1s% +1'& +1]& +1o& +1G' +1Y' +1"% +18% +07% +1n% +0m% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111111111110000 X$ +b11111111111111111111111111110000 `$ +1&% +0%% +1#% +15% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101000001011111 a$ +1x$ +#26220 +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0+% +0/% +1.% +0A% +1@% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110101111101000000 X$ +b1011111010111110101111101000000 `$ +0&% +1%% +05% +0k% +0}% +0U& +0g& +0?' +0Q' +b1111 a$ +0#% +#26240 +14% +1F% +1|% +10& +1f& +1x& +1P' +1+% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111111111111100000 X$ +b11111111111111111111111111100000 `$ +1/% +0.% +1,% +1>% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001010000010111111 a$ +1#% +#26260 +0F% +0|% +00& +0f& +0x& +0P' +04% +08% +17% +0J% +1I% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101011111010000000 X$ +b10111110101111101011111010000000 `$ +0/% +1.% +0>% +0t% +0(& +0^& +0p& +0H' +0Z$ +b11111 a$ +0,% +1Y$ +#26280 +1=% +1O% +1'& +19& +1o& +1#' +1Y' +14% +1J% +0I% +1"& +0!& +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111111111111000000 X$ +b11111111111111111111111111000000 `$ +18% +07% +15% +1G% +1}% +11& +1g& +1y& +1Q' +b1000001010000010100000101111111 a$ +1,% +0Y$ +b0 @ +b0 [$ +#26300 +0O% +0'& +09& +0o& +0#' +0Y' +0=% +0A% +1@% +0S% +1R% +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010111110100000000 X$ +b1111101011111010111110100000000 `$ +08% +17% +0G% +0}% +01& +0g& +0y& +0Q' +b111111 a$ +05% +b1 @ +b1 [$ +#26320 +1F% +1X% +10& +1B& +1x& +1,' +1=% +1S% +0R% +1+& +0*& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111111111110000000 X$ +b11111111111111111111111110000000 `$ +1A% +0@% +1>% +1P% +1(& +1:& +1p& +1$' +1Z$ +b10100000101000001011111111 a$ +15% +#26340 +0X% +00& +0B& +0x& +0,' +0F% +0J% +1I% +0\% +1[% +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110101111101000000000 X$ +b11111010111110101111101000000000 `$ +0A% +1@% +0P% +0(& +0:& +0p& +0$' +0Z$ +b1111111 a$ +0>% +1Y$ +#26360 +1O% +1a% +19& +1K& +1#' +15' +1F% +1\% +0[% +14& +03& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111111111111100000000 X$ +b11111111111111111111111100000000 `$ +1J% +0I% +1G% +1Y% +11& +1C& +1y& +1-' +b101000001010000010111111111 a$ +1>% +0Y$ +b0 @ +b0 [$ +#26380 +0a% +09& +0K& +0#' +05' +0O% +0S% +1R% +0e% +1d% +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101011111010000000000 X$ +b11110101111101011111010000000000 `$ +0J% +1I% +0Y% +01& +0C& +0y& +0-' +b11111111 a$ +0G% +b1 @ +b1 [$ +#26400 +1X% +1j% +1B& +1T& +1,' +1>' +1O% +1e% +0d% +1=& +0<& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111111111111000000000 X$ +b11111111111111111111111000000000 `$ +1S% +0R% +1P% +1b% +1:& +1L& +1$' +16' +b1010000010100000101111111111 a$ +1G% +#26420 +0j% +0B& +0T& +0,' +0>' +0X% +0\% +1[% +0n% +1m% +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010111110100000000000 X$ +b11101011111010111110100000000000 `$ +0S% +1R% +0b% +0:& +0L& +0$' +06' +b111111111 a$ +0P% +#26440 +1a% +1s% +1K& +1]& +15' +1G' +1X% +1n% +0m% +1F& +0E& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111111111110000000000 X$ +b11111111111111111111110000000000 `$ +1\% +0[% +1Y% +1k% +1C& +1U& +1-' +1?' +b10100000101000001011111111111 a$ +1P% +#26460 +0s% +0K& +0]& +05' +0G' +0a% +0e% +1d% +0w% +1v% +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110101111101000000000000 X$ +b11010111110101111101000000000000 `$ +0\% +1[% +0k% +0C& +0U& +0-' +0?' +b1111111111 a$ +0Y% +#26480 +1j% +1|% +1T& +1f& +1>' +1P' +1a% +1w% +0v% +1O& +0N& +1a& +0`& +19' +08' +1K' +0J' +b11111111111111111111100000000000 X$ +b11111111111111111111100000000000 `$ +1e% +0d% +1b% +1t% +1L& +1^& +16' +1H' +b101000001010000010111111111111 a$ +1Y% +#26500 +0|% +0T& +0f& +0>' +0P' +0j% +0n% +1m% +0"& +1!& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101011111010000000000000 X$ +b10101111101011111010000000000000 `$ +0e% +1d% +0t% +0L& +0^& +06' +0H' +b11111111111 a$ +0b% +#26520 +1s% +1'& +1]& +1o& +1G' +1Y' +1j% +1"& +0!& +1X& +0W& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111111111111000000000000 X$ +b11111111111111111111000000000000 `$ +1n% +0m% +1k% +1}% +1U& +1g& +1?' +1Q' +b1010000010100000101111111111111 a$ +1b% +#26540 +0'& +0]& +0o& +0G' +0Y' +0s% +0w% +1v% +0+& +1*& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010111110100000000000000 X$ +b1011111010111110100000000000000 `$ +0n% +1m% +0}% +0U& +0g& +0?' +0Q' +b111111111111 a$ +0k% +#26560 +1|% +10& +1f& +1x& +1P' +1s% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111111111110000000000000 X$ +b11111111111111111110000000000000 `$ +1w% +0v% +1t% +1(& +1^& +1p& +1H' +1Z$ +b100000101000001011111111111111 a$ +1k% +#26580 +00& +0f& +0x& +0P' +0|% +0"& +1!& +04& +13& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110101111101000000000000000 X$ +b10111110101111101000000000000000 `$ +0w% +1v% +0(& +0^& +0p& +0H' +0Z$ +b1111111111111 a$ +0t% +1Y$ +#26600 +1'& +19& +1o& +1#' +1Y' +1|% +14& +03& +1j& +0i& +1|& +0{& +1T' +0S' +b11111111111111111100000000000000 X$ +b11111111111111111100000000000000 `$ +1"& +0!& +1}% +11& +1g& +1y& +1Q' +b1000001010000010111111111111111 a$ +1t% +0Y$ +b0 @ +b0 [$ +#26620 +09& +0o& +0#' +0Y' +0'& +0+& +1*& +0=& +1<& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101011111010000000000000000 X$ +b1111101011111010000000000000000 `$ +0"& +1!& +01& +0g& +0y& +0Q' +b11111111111111 a$ +0}% +b1 @ +b1 [$ +#26640 +10& +1B& +1x& +1,' +1'& +1=& +0<& +1s& +0r& +1'' +0&' +1\' +0[' +0Y$ +b11111111111111111000000000000000 X$ +b11111111111111111000000000000000 `$ +1+& +0*& +1(& +1:& +1p& +1$' +1Z$ +b10100000101111111111111111 a$ +1}% +#26660 +0B& +0x& +0,' +00& +04& +13& +0F& +1E& +0|& +1{& +00' +1/' +b11111010111110100000000000000000 X$ +b11111010111110100000000000000000 `$ +0+& +1*& +0:& +0p& +0$' +0Z$ +b111111111111111 a$ +0(& +1Y$ +#26680 +19& +1K& +1#' +15' +10& +1F& +0E& +1|& +0{& +10' +0/' +b11111111111111110000000000000000 X$ +b11111111111111110000000000000000 `$ +14& +03& +11& +1C& +1y& +1-' +b101000001011111111111111111 a$ +1(& +0Y$ +b0 @ +b0 [$ +#26700 +0K& +0#' +05' +09& +0=& +1<& +0O& +1N& +0'' +1&' +09' +18' +b11110101111101000000000000000000 X$ +b11110101111101000000000000000000 `$ +04& +13& +0C& +0y& +0-' +b1111111111111111 a$ +01& +b1 @ +b1 [$ +#26720 +1B& +1T& +1,' +1>' +19& +1O& +0N& +1'' +0&' +19' +08' +b11111111111111100000000000000000 X$ +b11111111111111100000000000000000 `$ +1=& +0<& +1:& +1L& +1$' +16' +b1010000010111111111111111111 a$ +11& +#26740 +0T& +0,' +0>' +0B& +0F& +1E& +0X& +1W& +00' +1/' +0B' +1A' +b11101011111010000000000000000000 X$ +b11101011111010000000000000000000 `$ +0=& +1<& +0L& +0$' +06' +b11111111111111111 a$ +0:& +#26760 +1K& +1]& +15' +1G' +1B& +1X& +0W& +10' +0/' +1B' +0A' +b11111111111111000000000000000000 X$ +b11111111111111000000000000000000 `$ +1F& +0E& +1C& +1U& +1-' +1?' +b10100000101111111111111111111 a$ +1:& +#26780 +0]& +05' +0G' +0K& +0O& +1N& +0a& +1`& +09' +18' +0K' +1J' +b11010111110100000000000000000000 X$ +b11010111110100000000000000000000 `$ +0F& +1E& +0U& +0-' +0?' +b111111111111111111 a$ +0C& +#26800 +1T& +1f& +1>' +1P' +1K& +1a& +0`& +19' +08' +1K' +0J' +b11111111111110000000000000000000 X$ +b11111111111110000000000000000000 `$ +1O& +0N& +1L& +1^& +16' +1H' +b101000001011111111111111111111 a$ +1C& +#26820 +0f& +0>' +0P' +0T& +0X& +1W& +0j& +1i& +0B' +1A' +0T' +1S' +b10101111101000000000000000000000 X$ +b10101111101000000000000000000000 `$ +0O& +1N& +0^& +06' +0H' +b1111111111111111111 a$ +0L& +#26840 +1]& +1o& +1G' +1Y' +1T& +1j& +0i& +1B' +0A' +1T' +0S' +b11111111111100000000000000000000 X$ +b11111111111100000000000000000000 `$ +1X& +0W& +1U& +1g& +1?' +1Q' +b1010000010111111111111111111111 a$ +1L& +#26860 +0o& +0G' +0Y' +0]& +0a& +1`& +0s& +1r& +0K' +1J' +0\' +1[' +1Y$ +b1011111010000000000000000000000 X$ +b1011111010000000000000000000000 `$ +0X& +1W& +0g& +0?' +0Q' +b11111111111111111111 a$ +0U& +#26880 +1f& +1x& +1P' +1]& +1s& +0r& +1K' +0J' +1\' +0[' +0Y$ +b11111111111000000000000000000000 X$ +b11111111111000000000000000000000 `$ +1a& +0`& +1^& +1p& +1H' +1Z$ +b100000101111111111111111111111 a$ +1U& +#26900 +0x& +0P' +0f& +0j& +1i& +0|& +1{& +0T' +1S' +b10111110100000000000000000000000 X$ +b10111110100000000000000000000000 `$ +0a& +1`& +0p& +0H' +0Z$ +b111111111111111111111 a$ +0^& +1Y$ +#26920 +1o& +1#' +1Y' +1f& +1|& +0{& +1T' +0S' +b11111111110000000000000000000000 X$ +b11111111110000000000000000000000 `$ +1j& +0i& +1g& +1y& +1Q' +b1000001011111111111111111111111 a$ +1^& +0Y$ +b0 @ +b0 [$ +#26940 +0#' +0Y' +0o& +0s& +1r& +0'' +1&' +0\' +1[' +1Y$ +b1111101000000000000000000000000 X$ +b1111101000000000000000000000000 `$ +0j& +1i& +0y& +0Q' +b1111111111111111111111 a$ +0g& +b1 @ +b1 [$ +#26960 +1x& +1,' +1o& +1'' +0&' +1\' +0[' +0Y$ +b11111111100000000000000000000000 X$ +b11111111100000000000000000000000 `$ +1s& +0r& +1p& +1$' +1Z$ +b10111111111111111111111111 a$ +1g& +#26980 +0,' +0x& +0|& +1{& +00' +1/' +b11111010000000000000000000000000 X$ +b11111010000000000000000000000000 `$ +0s& +1r& +0$' +0Z$ +b11111111111111111111111 a$ +0p& +1Y$ +#27000 +1#' +15' +1x& +1P +0Y +1b +0k +1t +0} +1(" +01" +1:" +0C" +1L" +0U" +1^" +0g" +1p" +0y" +1$# +0-# +16# +0?# +1H# +0Q# +1Z# +0c# +1l# +0u# +1~# +0)$ +12$ +0;$ +0L$ +1D$ +1d$ +0m$ +1v$ +0!% +1*% +03% +1<% +0E% +1N% +0W% +1`% +0i% +1r% +0{% +1&& +0/& +18& +0A& +1J& +0S& +1\& +0e& +1n& +0w& +1"' +0+' +14' +0=' +1F' +0O' +0`' +1X' +10' +0/' +b11111111000000000000000000000000 X$ +b11111111000000000000000000000000 `$ +1|& +0{& +1y& +1-' +b101111111111111111111111111 a$ +1p& +0Y$ +b0 @ +b0 [$ +b10101010101010101010101010101010 ' +b10101010101010101010101010101010 * +b10101010101010101010101010101010 6 +b10101010101010101010101010101010 K +b10101010101010101010101010101010 S$ +b10101010101010101010101010101010 V$ +b10101010101010101010101010101010 ^$ +b10101010101010101010101010101010 g' +b10101010101010101010101010101010 j' +#27020 +05' +0#' +0'' +1&' +09' +18' +b11110100000000000000000000000000 X$ +b11110100000000000000000000000000 `$ +0|& +1{& +1O +0X +1a +0j +1s +0| +1'" +00" +19" +0B" +1K" +0T" +1]" +0f" +1o" +0x" +1## +0,# +15# +0># +1G# +0P# +1Y# +0b# +1k# +0t# +1}# +0($ +11$ +0:$ +0K$ +1C$ +b11111111111111111111111111111111 ? +b11111111111111111111111111111111 T$ +0c$ +1l$ +0u$ +1~$ +0)% +12% +0;% +1D% +0M% +1V% +0_% +1h% +0q% +1z% +0%& +1.& +07& +1@& +0I& +1R& +0[& +1d& +0m& +1v& +0!' +1*' +03' +1<' +0E' +1N' +1_' +0W' +0-' +b111111111111111111111111 a$ +0y& +b1 @ +b1 [$ +#27030 +b11111111111111111111111111111111 " +b11111111111111111111111111111111 + +b11111111111111111111111111111111 H +b11111111111111111111111111111111 A +b11111111111111111111111111111111 k' +#27040 +1,' +1>' +1#' +19' +08' +b11111110000000000000000000000000 X$ +b11111110000000000000000000000000 `$ +1'' +0&' +1$' +16' +b1011111111111111111111111111 a$ +1y& +1V +1_ +0\ +1h +1q +0n +1z +1%" +0"" +1." +17" +04" +1@" +1I" +0F" +1R" +1[" +0X" +1d" +1m" +0j" +1v" +1!# +0|" +1*# +13# +00# +1<# +1E# +0B# +1N# +1W# +0T# +1`# +1i# +0f# +1r# +1{# +0x# +1&$ +1/$ +0,$ +18$ +1A$ +0>$ +1Q$ +0N$ +1I$ +0j$ +0s$ +1p$ +0|$ +0'% +1$% +00% +09% +16% +0B% +0K% +1H% +0T% +0]% +1Z% +0f% +0o% +1l% +0x% +0#& +1~% +0,& +05& +12& +0>& +0G& +1D& +0P& +0Y& +1V& +0b& +0k& +1h& +0t& +0}& +1z& +0(' +01' +1.' +0:' +0C' +1@' +0L' +0U' +1R' +0e' +1b' +0]' +b11111111111111111111111111111111 B +b11111111111111111111111111111111 h' +#27060 +0>' +0,' +0c +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0Q +15' +1G' +1Y' +06' +0$' +0U +1T +1^ +0[ +0g +1f +1p +0m +0y +1x +1$" +0!" +0-" +1," +16" +03" +0?" +1>" +1H" +0E" +0Q" +1P" +1Z" +0W" +0c" +1b" +1l" +0i" +0u" +1t" +1~" +0{" +0)# +1(# +12# +0/# +0;# +1:# +1D# +0A# +0M# +1L# +1V# +0S# +0_# +1^# +1h# +0e# +0q# +1p# +1z# +0w# +0%$ +1$$ +1.$ +0+$ +07$ +16$ +1@$ +0=$ +1P$ +b0 M +0M$ +b1010101010101010101010101010101 C +b1010101010101010101010101010101 L +0H$ +1G$ +1i$ +0h$ +1r$ +0q$ +1{$ +0z$ +1&% +0%% +1/% +0.% +18% +07% +1A% +0@% +1J% +0I% +1S% +0R% +1\% +0[% +1e% +0d% +1n% +0m% +1w% +0v% +1"& +0!& +1+& +0*& +14& +03& +1=& +0<& +1F& +0E& +1O& +0N& +1X& +0W& +1a& +0`& +1j& +0i& +1s& +0r& +1|& +0{& +1-' +09' +1?' +0K' +0T' +b1010101111111111111111111111111 a$ +1Q' +1d' +0c' +b10111111111111111111111111111 X$ +b10111111111111111111111111111 `$ +0\' +#27080 +1Z +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +0n$ +0"% +04% +0F% +0X% +0j% +0|% +00& +0B& +0T& +0f& +0x& +0B' +00' +1g +0f +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +1I +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1U +0T +19' +1K' +b10101011111111111111111111111111 X$ +b10101011111111111111111111111111 `$ +1\' +1Y$ +1\$ +b0 @ +b0 [$ +1R +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101010 M +14$ +1G +0f$ +0x$ +0,% +0>% +0P% +0b% +0t% +0(& +0:& +0L& +0^& +b1010101010101010101010101010101 a$ +0p& +#27090 +0> +0W$ +#27100 +0l +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0Z +0^ +1] +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101011 C +b10101010101010101010101010101011 L +0@$ +1?$ +0r$ +0&% +08% +0J% +0\% +0n% +0"& +04& +0F& +0X& +0j& +b10101010101010101010101010101011 X$ +b10101010101010101010101010101011 `$ +0|& +0d +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0R +1E +#27120 +1c +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1p +0o +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1^ +0] +1[ +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010100 M +1=$ +0E +#27140 +0u +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0c +0g +1f +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101010111 C +b1010101010101010101010101010111 L +0H$ +1G$ +1E +0m +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0[ +#27160 +1l +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1y +0x +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1g +0f +1d +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010101000 M +14$ +1G +#27180 +0~ +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0l +0p +1o +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010101111 C +b10101010101010101010101010101111 L +0@$ +1?$ +0v +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0d +1E +#27200 +1u +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1$" +0#" +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1p +0o +1m +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101010000 M +1=$ +0E +#27220 +0)" +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0u +0y +1x +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101011111 C +b1010101010101010101010101011111 L +0H$ +1G$ +1E +0!" +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0m +#27240 +1~ +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1-" +0," +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1y +0x +1v +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010100000 M +14$ +1G +#27260 +02" +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0~ +0$" +1#" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101010111111 C +b10101010101010101010101010111111 L +0@$ +1?$ +0*" +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0v +1E +#27280 +1)" +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +16" +05" +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1$" +0#" +1!" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010101000000 M +1=$ +0E +#27300 +0;" +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0)" +0-" +1," +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010101111111 C +b1010101010101010101010101111111 L +0H$ +1G$ +1E +03" +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0!" +#27320 +12" +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1?" +0>" +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1-" +0," +1*" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101010000000 M +14$ +1G +#27340 +0D" +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +02" +06" +15" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101011111111 C +b10101010101010101010101011111111 L +0@$ +1?$ +0<" +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0*" +1E +#27360 +1;" +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1H" +0G" +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +16" +05" +13" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010100000000 M +1=$ +0E +#27380 +0M" +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0;" +0?" +1>" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101010111111111 C +b1010101010101010101010111111111 L +0H$ +1G$ +1E +0E" +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +03" +#27400 +1D" +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1Q" +0P" +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1?" +0>" +1<" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010101000000000 M +14$ +1G +#27420 +0V" +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0D" +0H" +1G" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010101111111111 C +b10101010101010101010101111111111 L +0@$ +1?$ +0N" +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0<" +1E +#27440 +1M" +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1Z" +0Y" +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1H" +0G" +1E" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101010000000000 M +1=$ +0E +#27460 +0_" +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0M" +0Q" +1P" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101011111111111 C +b1010101010101010101011111111111 L +0H$ +1G$ +1E +0W" +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0E" +#27480 +1V" +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1c" +0b" +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Q" +0P" +1N" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010100000000000 M +14$ +1G +#27500 +0h" +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0V" +0Z" +1Y" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101010111111111111 C +b10101010101010101010111111111111 L +0@$ +1?$ +0`" +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0N" +1E +#27520 +1_" +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1l" +0k" +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1Z" +0Y" +1W" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010101000000000000 M +1=$ +0E +#27540 +0q" +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0_" +0c" +1b" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010101111111111111 C +b1010101010101010101111111111111 L +0H$ +1G$ +1E +0i" +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0W" +#27560 +1h" +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1u" +0t" +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1c" +0b" +1`" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101010000000000000 M +14$ +1G +#27580 +0z" +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0h" +0l" +1k" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101011111111111111 C +b10101010101010101011111111111111 L +0@$ +1?$ +0r" +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0`" +1E +#27600 +1q" +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1~" +0}" +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1l" +0k" +1i" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010100000000000000 M +1=$ +0E +#27620 +0%# +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0q" +0u" +1t" +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101010111111111111111 C +b1010101010101010111111111111111 L +0H$ +1G$ +1E +0{" +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0i" +#27640 +1z" +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1)# +0(# +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1u" +0t" +1r" +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010101000000000000000 M +14$ +1G +#27660 +0.# +0@# +0R# +0d# +0v# +0*$ +0<$ +0z" +0~" +1}" +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010101111111111111111 C +b10101010101010101111111111111111 L +0@$ +1?$ +0&# +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0r" +1E +#27680 +1%# +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +12# +01# +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1~" +0}" +1{" +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101010000000000000000 M +1=$ +0E +#27700 +07# +0I# +0[# +0m# +0!$ +03$ +0E$ +0%# +0)# +1(# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101011111111111111111 C +b1010101010101011111111111111111 L +0H$ +1G$ +1E +0/# +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0{" +#27720 +1.# +1@# +1R# +1d# +1v# +1*$ +1<$ +1;# +0:# +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1)# +0(# +1&# +18# +1J# +1\# +1n# +1"$ +b101010101010100000000000000000 M +14$ +1G +#27740 +0@# +0R# +0d# +0v# +0*$ +0<$ +0.# +02# +11# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101010111111111111111111 C +b10101010101010111111111111111111 L +0@$ +1?$ +08# +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +0&# +1E +#27760 +17# +1I# +1[# +1m# +1!$ +13$ +1E$ +1D# +0C# +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +12# +01# +1/# +1A# +1S# +1e# +1w# +1+$ +b1010101010101000000000000000000 M +1=$ +0E +#27780 +0I# +0[# +0m# +0!$ +03$ +0E$ +07# +0;# +1:# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010101111111111111111111 C +b1010101010101111111111111111111 L +0H$ +1G$ +1E +0A# +0S# +0e# +0w# +0+$ +0=$ +b0 M +0/# +#27800 +1@# +1R# +1d# +1v# +1*$ +1<$ +1M# +0L# +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1;# +0:# +18# +1J# +1\# +1n# +1"$ +b101010101010000000000000000000 M +14$ +1G +#27820 +0R# +0d# +0v# +0*$ +0<$ +0@# +0D# +1C# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101011111111111111111111 C +b10101010101011111111111111111111 L +0@$ +1?$ +0J# +0\# +0n# +0"$ +04$ +0G +b0 M +08# +1E +#27840 +1I# +1[# +1m# +1!$ +13$ +1E$ +1V# +0U# +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1D# +0C# +1A# +1S# +1e# +1w# +1+$ +b1010101010100000000000000000000 M +1=$ +0E +#27860 +0[# +0m# +0!$ +03$ +0E$ +0I# +0M# +1L# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101010111111111111111111111 C +b1010101010111111111111111111111 L +0H$ +1G$ +1E +0S# +0e# +0w# +0+$ +0=$ +b0 M +0A# +#27880 +1R# +1d# +1v# +1*$ +1<$ +1_# +0^# +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1M# +0L# +1J# +1\# +1n# +1"$ +b101010101000000000000000000000 M +14$ +1G +#27900 +0d# +0v# +0*$ +0<$ +0R# +0V# +1U# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010101111111111111111111111 C +b10101010101111111111111111111111 L +0@$ +1?$ +0\# +0n# +0"$ +04$ +0G +b0 M +0J# +1E +#27920 +1[# +1m# +1!$ +13$ +1E$ +1h# +0g# +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1V# +0U# +1S# +1e# +1w# +1+$ +b1010101010000000000000000000000 M +1=$ +0E +#27940 +0m# +0!$ +03$ +0E$ +0[# +0_# +1^# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101011111111111111111111111 C +b1010101011111111111111111111111 L +0H$ +1G$ +1E +0e# +0w# +0+$ +0=$ +b0 M +0S# +#27960 +1d# +1v# +1*$ +1<$ +1q# +0p# +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1_# +0^# +1\# +1n# +1"$ +b101010100000000000000000000000 M +14$ +1G +#27980 +0v# +0*$ +0<$ +0d# +0h# +1g# +0z# +1y# +0.$ +1-$ +b10101010111111111111111111111111 C +b10101010111111111111111111111111 L +0@$ +1?$ +0n# +0"$ +04$ +0G +b0 M +0\# +1E +#28000 +1m# +1!$ +13$ +1E$ +1k +0t +1} +0(" +1U" +0^" +1g" +0p" +1?# +0H# +1Q# +0Z# +1)$ +02$ +1;$ +0D$ +1!% +0*% +13% +0<% +1i% +0r% +1{% +0&& +1S& +0\& +1e& +0n& +1=' +0F' +1O' +0X' +1z# +0y# +1.$ +0-$ +1@$ +0?$ +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1h# +0g# +1e# +1w# +1+$ +b1010101000000000000000000000000 M +1=$ +0E +b1011010010110100101101001011010 ' +b1011010010110100101101001011010 * +b1011010010110100101101001011010 6 +b1011010010110100101101001011010 K +b1011010010110100101101001011010 S$ +b1011010010110100101101001011010 V$ +b1011010010110100101101001011010 ^$ +b1011010010110100101101001011010 g' +b1011010010110100101101001011010 j' +#28020 +0!$ +03$ +0E$ +0m# +0q# +1p# +0%$ +1$$ +07$ +16$ +b1010101111111111111111111111111 C +b1010101111111111111111111111111 L +0H$ +1G$ +1E +1j +0s +1| +0'" +1T" +0]" +1f" +0o" +1># +0G# +1P# +0Y# +1($ +01$ +1:$ +0C$ +b1111000011110000111100001111 ? +b1111000011110000111100001111 T$ +0~$ +1)% +02% +1;% +0h% +1q% +0z% +1%& +0R& +1[& +0d& +1m& +0<' +1E' +0N' +1W' +0w# +0+$ +0=$ +b0 M +0e# +#28030 +b1011111010111110101111101011111 " +b1011111010111110101111101011111 + +b1011111010111110101111101011111 H +b1011111010111110101111101011111 A +b1011111010111110101111101011111 k' +#28040 +1v# +1*$ +1<$ +1%$ +0$$ +17$ +06$ +1H$ +0G$ +0E +b11111111111111111111111111111111 C +b11111111111111111111111111111111 L +1q# +0p# +1n# +1"$ +b101010000000000000000000000000 M +14$ +1G +0q +1n +0z +0%" +1"" +0." +0[" +1X" +0d" +0m" +1j" +0v" +0E# +1B# +0N# +0W# +1T# +0`# +0/$ +1,$ +08$ +0A$ +1>$ +0I$ +1'% +0$% +10% +19% +06% +1B% +1o% +0l% +1x% +1#& +0~% +1,& +1Y& +0V& +1b& +1k& +0h& +1t& +1C' +0@' +1L' +1U' +0R' +1]' +b10101111101011111010111110101111 B +b10101111101011111010111110101111 h' +#28060 +0*$ +0<$ +0v# +1u +1)" +1_" +1q" +1I# +1[# +13$ +1E$ +0+% +0=% +0s% +0'& +0]& +0o& +0G' +0Y' +0z# +1y# +0"$ +04$ +0G +0n# +1E +0p +1m +0y +0$" +1!" +0-" +0Z" +1W" +0c" +0l" +1i" +0u" +0D# +1A# +0M# +0V# +1S# +0_# +1+$ +07$ +b1010000010100000101000001010000 M +1=$ +b1011011000011110000111100001111 C +b1011011000011110000111100001111 L +0H$ +1&% +0#% +0/% +1.% +18% +05% +0A% +1@% +1n% +0k% +0w% +1v% +1"& +0}% +0+& +1*& +1X& +0U& +0a& +1`& +1j& +0g& +0s& +1r& +1B' +0?' +0K' +1J' +1T' +b101000001010000010100000101 a$ +0Q' +b1011010010110100101101001011011 X$ +b1011010010110100101101001011011 `$ +0\' +1[' +#28080 +1!$ +14% +1F% +1|% +10& +1f& +1x& +1P' +0.$ +0@$ +1z# +0y# +1y +1-" +1c" +1u" +1M# +1_# +17$ +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1H$ +1/% +0.% +1A% +0@% +1w% +0v% +1+& +0*& +1a& +0`& +1s& +0r& +1K' +0J' +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +b1 @ +b1 [$ +b1010100010100000101000001010000 M +1w# +1,% +1>% +1t% +1(& +1^& +1p& +b100101101001011010010110100101 a$ +1H' +1Z$ +#28100 +0!$ +04% +0F% +0|% +00& +0f& +0x& +0P' +b10100111101011111010111110101111 C +b10100111101011111010111110101111 L +0%$ +1$$ +08% +17% +1J% +0"& +1!& +14& +0j& +1i& +1|& +b10111011101110111011101110111011 X$ +b10111011101110111011101110111011 `$ +0T' +1S' +b1010000010100000101000001010000 M +0w# +0,% +0>% +0t% +0(& +0^& +0p& +b101000001010000010100000101 a$ +0H' +0Z$ +1Y$ +#28120 +1*$ +1=% +1'& +1o& +1Y' +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +1%$ +0$$ +18% +07% +0J% +1"& +0!& +04& +1j& +0i& +0|& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1T' +0S' +b1011000010100000101000001010000 M +1"$ +15% +1}% +1g& +b1000101010001010100010101000101 a$ +1Q' +0Y$ +b0 @ +b0 [$ +#28140 +0*$ +0=% +0'& +0o& +0Y' +b10111111101011111010111110101111 C +b10111111101011111010111110101111 L +1.$ +0A% +1@% +0+& +1*& +0s& +1r& +b1111010011110100111101001111011 X$ +b1111010011110100111101001111011 `$ +0\' +1[' +1Y$ +b1010000010100000101000001010000 M +0"$ +05% +0}% +0g& +b101000001010000010100000101 a$ +0Q' +b1 @ +b1 [$ +#28160 +1F% +10& +1x& +b10101111101011111010111110101111 C +b10101111101011111010111110101111 L +0.$ +1A% +0@% +1+& +0*& +1s& +0r& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +1\' +0[' +0Y$ +1>% +1(& +b101100001011000010110000101 a$ +1p& +1Z$ +#28180 +0F% +00& +0x& +1J% +14& +b11111011111110111111101111111011 X$ +b11111011111110111111101111111011 `$ +1|& +0>% +0(& +b101000001010000010100000101 a$ +0p& +0Z$ +1Y$ +#28200 +0J% +04& +b11111010111110101111101011111011 X$ +b11111010111110101111101011111011 `$ +0|& +0Y$ +b0 @ +b0 [$ +#28220 +b1 @ +b1 [$ +#29000 From 3d016b172bd43a6471921994bd957a91d1d7e3e5 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Fri, 13 Oct 2017 00:58:09 -0400 Subject: [PATCH 24/26] Adjust arguments to full adder so as not to take subtract --- operations.v | 20 ++++++++++++-------- 1 file changed, 12 insertions(+), 8 deletions(-) diff --git a/operations.v b/operations.v index 0a92ed6..0f34724 100644 --- a/operations.v +++ b/operations.v @@ -1,3 +1,5 @@ +// The modules defining each operaton of the ALU. + `define NOR nor #10 `define OR or #20 `define AND and #20 @@ -10,17 +12,14 @@ module FullAdder1bit output sum, output carryout, input a, - input b0, - input carryin, - input subtract + input b, + input carryin ); wire cout1; wire cout2; wire sumAB; - wire b; // B is inverted for subtraction operations. - `XOR b0xorsubtract(b, b0, subtract); `XOR AxorB(sumAB, a, b); `XOR sumABxorCin(sum, sumAB, carryin); @@ -42,20 +41,25 @@ input[31:0] operandB, input subtract ); +wire[31:0] invB; wire[30:0] carryoutmid; wire nzero; -FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], operandB[0], subtract, subtract); +// Pass a 1 as the carryin to the first full adder for subtraction. +`XOR invertB (invB[0], operandB[0], subtract); +FullAdder1bit adderinit (result[0], carryoutmid[0], operandA[0], invB[0], subtract); genvar i; generate for (i = 1; i < 31; i = i + 1) begin: ripple - FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], operandB[i], carryoutmid[i- 1], subtract); + `XOR invertB (invB[i], operandB[i], subtract); + FullAdder1bit addermid (result[i], carryoutmid[i], operandA[i], invB[i], carryoutmid[i- 1]); end endgenerate -FullAdder1bit adderfinal (result[31], carryout, operandA[31], operandB[31], carryoutmid[30], subtract); +`XOR invertB (invB[31], operandB[31], subtract); +FullAdder1bit adderfinal (result[31], carryout, operandA[31], invB[31], carryoutmid[30]); `XOR overflowdetection(overflow, carryoutmid[30], carryout); From 1d28d6b6eb38474d2d923068de1ce59236ccf598 Mon Sep 17 00:00:00 2001 From: arianaolson419 Date: Fri, 13 Oct 2017 01:31:12 -0400 Subject: [PATCH 25/26] Add writeup. --- Lab 1 Writeup.pdf | Bin 0 -> 230019 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Lab 1 Writeup.pdf diff --git a/Lab 1 Writeup.pdf b/Lab 1 Writeup.pdf new file mode 100644 index 0000000000000000000000000000000000000000..11ebc8764a000963f9ec91f271e3a5c3c2ef07b0 GIT binary patch literal 230019 zcmeEv2|SeR`}b(qju2X;kg;Xol1>a6hL{;N427|VjG?Hg)2S>`vJaBMjG1Xn$vQ}j zC3}`3Tbq3?TiLyj(=nXi(XVsPZ~6b<&->2#9M3(^JkNFC_jPaA^}UvaPibjO%19|} z7B2isnBOd;BzQ#7&Dv@6(W8RW`i`!cvsgzTjIE#y@J&!w?#K}t6+!8fg64wCz>_j6 z@`7kVX+uF-;Ae=SjI5lB{1HKE13_g$X@sDxtcs$%vf!~}n=!7oizEgAi=WLh$}2j8 z@IH?blr}TQ*a6+ZxZ2|!1eJg`YCE38VX(mU+*uq(3uEJE3q1Ahdg?6J#Y1qB37T%_ zJY8Hp1Qi6OPhl~(jy5Ql$4{Uahrk1e#hi87d@;_(+V;$Q`!m*O&M31B#WWm0lq6%; zxzkK*k5-!R4k6(u*ACh6n8iI7(3;-6{>i|z^!n%LJa_i(-fGt*cSL61G;Xb8@U>8{ zLm;r=7SF|j{f+Sdz!3iWhGAQK@a4-Y z$-EtLp6AcMKdd?mO8v0O-n$DC_9*alY`dCi>~XQ3O$vL9-d#<+&&GD~LG(4FxSy_G zJImZQa`!fKtMIXb-F3wRyVni6?3RsI+%}cbaTaFTyY-2;{Mie z7%<1ro^V^7$%{Qz1g5%@itOTi2G#`dB#S}D7!Nm3tPQ}j#bpAyh|_`NfOYiiH=QGb zathz*=>Q8v@z>(wiqQtD`}GNJ;EBb(23Q)}GJ?Ri@vSH;DF0hKwB-clm)|>ub+dtE zfM%Kl?a;U^U%b&bzrT_8QnOfSte%3qOC>=GR@q%h3j7tOL~j>um(~tgDBe z8`cG&!P-}}G!aX?%1Ml)y#qi+QC?6Q?rDwtbwm2K^-HZ;YOu7aqb;yM0n-L}^tU-A zFQ+W4v|<)5yjge;+6mRx)dsP#fk14)f1rg{kS1uuy7e2@uiLO;{f3PjH*DIxbMt0) z_RYJt@7TJNch~OSyt{aK`1cC$=NCA*hlfW{Lhzu7s2Es`Z@=Ub$-_s44~rdMB*M0F z%ypQbhyU$ z!=BXD3;kqtmiwUlHQ5u!p>p!phinob^D1gy4}Y;q8;;F<{<7$^M{@0l5rFQl71jM# z?c!aIX}eVi^PddIBitsJ8GHJ^m` z$M~dfIU$-vLpyTKBEj{=aGU=PJX$OBA*5MJ{L=oH9oY)hE9J(8*yxv+D<74+*G15b z9HA6?0Fki(y7eHT^79N))bo*@No$F2XhoJ@N(=`^l2hEwb$;W;aQQlL1HP^>L7UhH z!X@tNcIUspv$dE<9Z`sK>~r!7Cp(QJ)hJ4JGy+xb0=<;Arf!wHIA z1$eRb!p%2T$f);n!#rY9u}5{f9+sG8Kg`e~heJJGIQ*(ehJ7;vJJ+&jYX)5Wz{?YR z{lixY?;Ha)bhSrh&4(e?7j@*`$atkk`d2xQ!zF*+a(@n~?FFR+NO|h=> z&NB1~yf~r633sK4YE!}~V{AkJI4EoBBAPM>RcCKGU~ZC8ZSLjw@D3?lNF=gXOY=Fa z`Zdv9YD+E9WOZxv8U3N9o^4y|)&sF?a;%;yvbuGZxUQPjv%f%h|M@X}%X7pe&9Zap zhw_Y#G+_o#i~*o^T4?0)q<`$qpZhLiKVA0t0_w{nIg*g7&|2^ZuzqPTJjOX6VylV646EFvAjl zR|>i|N>mmU-}#iC|6nU?3%K1R8Wlks<1l^L2zAm+3T&50-zS6Vq0nw*C%G7=fcBoM zk_u?nfQ{ zg#~?|4*RmW_cupuzJG46GGV)3K~@uw#)uNPc#ymMbmLP^@z@}^yh!}f=>?F@@f9<3 z`3!(BZ?J6oJERZg!fi{_qD%!$9j|jY>z$AaGFE~ziG^>XgYXvSVfOP%A-QXWnf(V# z@3ob@)xt7N7{&}ZL<`LuuQ2y4ZylsiCZ~;dTlZSX$-9FAhUry@r-e7QLNll#D#YOa z)YO1#>io2OyRuH!vzJ1);yfN1<%!Nt;x6uS-DvR+iZe{iu*Zbqi|@zZcyrYG%TKP4 zPsD;R2g2`_q+!mTN=>68a1^7u{Atk%#0l$;_=+rZH`g|a?WH-W2MZvsG)d!B%cE|k zG(@fexx`S%SaN?_Tz^n1KS;TSTBiW>aV*h--(BJZ`+cqgb4+}_bY~-+>!_$tR)reT zs_Y?g>386&|M^CP(WRE=j->FSnoVd3ZvpMTs`9R9WArgfmRXuyYoa(s!hS#0l#oJ* zKx=#!bZKsH7B7iUnBAIF1^mS zd{_(P@Bf&?@RKxBE~_dbbITjPmOo=?+bp5)`|fRwv#dXVvHAIFbeeB%z?6-GCu+>jrsx{ZFl_0Jt{+RF@ zdx?QTxq`UQvgOvx#WTQ*`!M(k*Zf+MJVaKC5f8-3(xktsHqQ_yJ~Kv|xz=}`_@o2w zL3m4tSAa2A+Jy><+#IHJOpP|9V{%bSwDAHMoDY*d;5-HuN7Y5l_qSUOZalx1T4A#Q z+Sz&R-hE_mfl^X^LO>dR0hF`%0cZ_N*c6J6Nf;0*Zdck7ziE4kn_H;oi%*{qoQf!q zVw)VS%XFk6voZ)y1$+9nwcgK3@a8*>oxP?>*VYO?Cilt5K$5$bkk2##k60x7Tx7WS zA`M?R9Osh~8H~BO%i$5to!;0LbxggSdxv5Ok)D$=a&bavb~F zK<;8>YI++7(cBTNok|Rm?axQlBj*fG9eP65%D-9c z`9$Y-`yR?Rhqi2SnAjx*>M+9Kib4-^&Od*MOt*Z9VN7ssTvGMSpq0UF)|!Le1^?dRP((lY-tJkY}2nkVJrm z=rf^@k8F0?ZgXMF`ke~S_>2{BB#QzV2s~dujai$*ql*BCV|q(cpXGTPRm0%cwwrhmM&UsJ#QRQ=e+uz zAkceq4ygrVlc&a)dGhB<-`U20dwIoDvEi#6xg0Ui_qc+AfgkJ`-A7S7@&?hN?JacD zQbDo_0!t%9`~r+jM<}A5a)BzKEKwrC^1#HtJ&YZynCP7|G)cZ`A}@u&UCDm z)4!&Hv)dS{akwNc6))v-mpMZ{ax|426w};FIybuG`r3+Z@eHpT!O0^>9|3~)DtA}N zWb*?~v1<>%jElI7mV(i$%8g=RCB$$`P4N*wF}$hOCz8fb4?v*L^eF|>{xr1K<)(@|0pD#it8-xJa>9q2pMWuBKmWurYZ4 z6%oz(F6{k))H-Dj0k19_>``#yE36^^2fh@OHjj{Yev_^(GySv!=`F)XQjK5iTWys@ z1o}!`8vB`G!v)YMnep%JvRf5;_=)G5l@SA#WxH%b4puh~)G%7_$Ix|)O%)KAf=ZBy zDgfS*2_OB~FLzKP>^dyx)$K<#Sgx?`NpEwv2#vlu0V*}Fs)3RZGl@WD^eCY)r4*-^ z<5i={Zgo@(x1w-oA|N;rNMF>BpZPM8V{mg&xye!L^xOR7cKD%sVdZeRsX)Dve&7O# zvyNQU1epa>tAlEjKZH6Ghb~2&Q19iZQ7usISQ3~VDb|OgAW>0uIipS#3WK}HSmMnS z?(x7GH=m8jsEZNKMeg#A5p(s3?zLHfkib%?;X9`w6!q3)kK}~Qg6m=FAJF1FHjgPy zbuSHk@RSHdPjAz4DK>@2CWX$glx7z|`HJACA@2@5bgozoA-X zb_&e3-=EEJ*U-|ju#~h)(XFM79p=xGtJL7T<(_fU z=UnTYE8PA53$PWjeo`QO04FNS|H16uzAS$6Wp9ey5_WmNZFO$s zyM2Tp3kt=t0*^lnJ49|kg4wJp%(rUQ{d#U^V(C^QA?SG3C2ZeXvQ^mIyL zLbHQ7RN2&%pzz2US1VXLE}E?j+Y9hLcm0;JLV;-gEvT02BL_^ENZtwcrfq2qzV8SEr zRriq9ca~kH__>eG{C)dcg*vuT!vil47Q0UyHE|`}$8&okx-IX#9{u9g*Lw8&`)8v? z>ZR9nI4|0jxPA?auNzX8VBe96NU;ltU>dLNmxI+-1__rJQs7e}SDl{$!|_)>|79t0 zgY%0${-@HTWs}jaW`h|4@N?~WrzjiMAT;=KJCsaTm=!bjyW!mg>63r3LCxmX{%e-f z=ksZbGw?eWcrQ&3YG4r~GQ;FPjXv7Y9fH7*Qz#_e53QX)g{ke0i8f#qTj%LFjF-=h z44`t6M^Vm{2~1_FkO9w9^95kuT6bXgA{ ztrSNjOeF&8C->$9PoN}c{F9%2d*6v>QfsMU7ow*KK{ ze;!iktP^K2wQi-N_-PU35OoJeT{{xj80gOs0{6NJZndo9NB8&G{xaCgyf^_L-V>P- zIfhi{4>UK)85T;4Fb;8_q)@B<0o|%50|Z(Ye~HVu#+qf|b6w5G0*H7)ny>0lfPi_Yg%cp6EYZFm!ID z_Svs$+H!Sb0WtIG=g{@%r(R!OslolJ+4#@Nu*pSwe&KsteUT54U|Gc#EΝ8k=oZ z?rn8PqaMeGd9(zhPJQJJ>_u_=s89gy7}C>=-j(Rd*yohhPQn86KuoAv#?o{R@yeVHeAXSmV#E`Y^6 z+I;~dGuKj6r?__eAWXBZj#+KS#&B|C*X|A+x6R^ zofh8PUXb3}sk<0iC7IDPDLzm#WY)lFD&Z0YH)!|M$OG?t(QI-VrUoUp`}sG<2>kHw zUiV5&Ytrl4N=-o*##_f>%eij(7>oV^=QpHbjr_wUDV5TuDOjUzzOD!zZ2gR@& z8T=RS#-H>K&%Gz|c>m5T#5Pm#CL-r ztG>U)k*;^d_D`V)Ub^w*6&0l_fd}UnK_{uxy#>N5 zofs1**{!~w z%L`nN{Lt9KXuIJ_66;17hzCHNXeu>y&SXfpRTVe8xUbw5i+fe|eUBvA_P75b?H2W3M1^_^S7dp@%$1>X!CfStoin zU$e`X_1>`Zw#RAg+toN|>_QRc!j4R8@Tr#iBC9Hn3WWTv;KzS~EUC*lVTPj+X} zKO|XIOu#NrU5tOpqlYw`wiITR>l1QAIl+0wzJQuI;P_T@bDSpL<;9Gs3=qfhkTD!mElINfx()B*v~Sc6{5^P7gCl6?1$HXPUg?b zI;&2Lm91UT4&dD7HG+I{whsvbaP|Y9yDYzCUF_}pg8;Q+r~b#I`!{ML?#G^1&NLUU z-AP<7E=@+@nIB3AAP`sdu<5zNsvOOm9pSKFW*#zLHjIF+w7X!`a6j@>1#q10oIIL0 zI!UgTM}vPVI2Y+zz=LxxuFH91hTeC_R4TLvI`2>zzl8$!0E_jX#5U1b^GZ<>BK96WTatx zOH0q35eIG#X7-mPhQA_R{Jm$7UZ$(&nz^^$sD5}*5F1Ss4-6cH@lKEIOG`Fn8b{Qs zRJ>o8up!37`>8O`gg*2=<0D0#lQB6VXDUko_u>am)S^6ah#P0+0w%uLzpa|wedEZF zC#CQRe{b4Uj3oa=!6pW&`z|5z6!Zhy6aTgR;^7C#WuEgrJsaII`Hp?EL#g$lgMqqB zbo;RgBnNkClDl$6EmWG|5sx!`LA-15Rz_f3_moS4#gKbvyCk(FNT}dq)x2YEJzRjlI#K+apmIv#jtHS75I=$`y+H^U`!%T~)XyCcsdSGkpD z5>eMBt}+3E7+@gk6-)|uciXslxYHq>3&gP{)# zn~HC#u_Li{^_?=FWa1o za?;FM9+Oo||4j*f)dqmpRWF?oyNX!FeVHSC*+%F+{`m`7(k_bPPhJ^vGMM~PB_VF(fjUM`IiZq9=}4e!7*T)yV5Uy*s6zL-S6^fI{D>@TeUL<#2sBFvXq6A-VJOAj zr6(3ZJaw6rx*=V(E|01+6q{VD$^~hP=l1NWU2CweX6cW^y7!unvfk;6j zxxO&{C=t&iJ8GuMG3V0K4$AS4(RgR?_%+p<0+_O}q1FDUMTJ_l zUZhDY4cXfX@d-It+cw*aO(^sGjOc+N5b$OZ>>f|M3gUoc=11r!em1K0@96Aha4Zu>5P zUPwM|f0>!~TxfkArK9yw7F~|Jbh7_bqNj3(Vh|ERkWHod=MT()uRU7h?Be2EcGs1C z0c7h!qc_WLd#*8f4a!c-%$yPVDMxfJG%Ksn_Lb7Q1yK6>!y;LYO@lET-Y0y~ZfThd z6Kvy}f3@oYzSZ0+-B#ddzh(hv=P&x#&l}DN`eNd*+`RDQ{)W@~cDcvUZrCaRhETdg zFnSLqP2rw3m?RV)_lo8#++$$N#4&w1py;Iv?*g`<vAh%o~I9Zn4Cs1`6n4|!wRINbQn#Z;#?lr+hnMriX)6k z1zvSLvl{n|fBp{*i}BCeUY=`?>R388-78NE^wj2iQ~_<93%gw>Iz!oiuCqzWoWMAr zA0S5NfZrb6Wi=cmidgfIOKk~L3uVDbGx4$%4i4}7MF=d&KflMXM%-% zUj;IPs_KOAZwZq!oZd7}ftMhVNU|x^QOm#+iPQrAuB}@)aQaH{o6q}QG0@89qrnm5 z+db6jytPe}eo-d*EvAWDs|rTH$Bx+b4?avEo}#`i1()gb&fAN9it;r-j=TzRX?M@M zd;!hUp={mVL&GCZx=MWKay5^}6rs%SjlsqkReDuW-$L@^FcyRiQe3iuy|j0YfAZ?s z)*TXXkcij+U3U*%ly@v~k1}`vYHQM7-E|_r|5SuBS!LMsBSC~ZBP2R#xsqb(deH_CJ^RrF$8Yqx3e@~H&5_i&Wl6KT=2C^^WV*I9zF-J7X!rheUX`2XxsP7sGQOiYbh=S>mVq zNLt-mbKE$@miH&@GHXJyk6J|3dtJV&73eb&O3seu(S(C(DcmN3fq`jCHN}=baKkFy zi_BN99nN-S4`)3)ldjCbwtHQA7M>w#KB_=;Mhqd%KeNB^muMk56}|nWQ@|Z1b6R?)BN|ti8km}Z7v)o!Pu+;ycQo#14bMASTu_{LqL%0BE7Nba z5*c+go(QWZWW8Zi^v7!vW@$Xl*_$pn7;4^tGKE{vu-#gu+f=J*?_5B96jXn0fbux% z`bj0ntD^m>el~~6P4g=}lv$c8!$XJqAL zEPZ_B>u8sn+ft=J(3{)SKDfmS)iDu_A_O*;;G-ILuirltSnmM{?4*RWcjC{3)UD=LJw@m-^_Z z3J0q25v!><(o^)5%Qvy_yRiHQ+XWCiw&jC?@9q*&Tzpwl{Q==(_rj7On0Q)<*0ZQ(W6Lj@-ghXO|Ud&qE(fS_?U0Ut~wKV^RWWMbQ%+owWvxQ(cEsLxh|PKN{RX z^mZQ>X%*6oaJ@UD2Y#rMu_K%_d_<7#|QX;N8U6#owj?o=3_QH_pz~%qeDI>fs8b& zF(rpWHI5%%(yae=h~NQck2ZOn2{#A!B}c%ZF<(aMn}6@Y+D(5g{CBa^6+uNSih!Dg z|D4efRFRdpPq`EPn*IHvjvqVINQCuZh!?p zOMlZ<$ltT~Q|at6djiThJ8B#nN$-|6Od%9_PbkaH+}<*A`bz1ZyA5w-Mz-8`96N}J zNbjIR#U)4T@f5O_w>N{cu&uQQF9zj;8gX!q9+y?w+TPK%8>!;?Li~#rG~&6>9>|@d z_+Cm%)>~8@4M)Kb)EdE2VGsjclO@1DHjc1N+y#x&z9*HpcyvBj{M>}d$$UkmT#-0t z3$;jsG#Om+d+fS=jIU*ZDJ)b7u-C4F3c*3b)a!WZN$JUcKXZYK1<-I!%K4lC&#|HJu4Esx(euoIkFm-^}SZFf@L)WhTlIf#UGPRw_y*8XhP1J6`1u} zeXQfCR!?lnVG>T^iTA>^db@k(iFoLly(;@Jmo0$0)<*q#&dTLC?q_EYsKX4$eaR|Mq zUCOblYMT2hFawsiX-9qiXA@yphGYGz@xR3avJX~BrJps)8dj0$yv|-48falc^)Th; zHj+=|_7SuCqVAu5I)*zy#v-xz)2R>z^cD&dN=!{HBqZ{_RJgfa=a#~n&Xz`|sq!u( z^x+`5o&^z)A~U%aKB}NR2;<~Fxgd*!Pc$VkUmIHp;Y7TH^%~*B@tXq5u2TPHPvhTV zV!_JQvIDNi1_N7j+fq&Ap`0XcQT6J4gcj4!R%ZE-KBeVLY|$v!z$ zZJi5F%Qf7~PrTWdM2P2h>hOz~H>?~`3bOU;eKMpx*S*VJKl|;K>^;G#S2y~cP;J^w zFw|+*6Oln7IMRC(+d@OowCrYH3A@V(iVaLbGAPVbIRwExib6yC5C|%a!?Ov^l{B_} zp5t1=_nHEmWpagqQW*VWn9rF9q5<>M2&o+V|p2uKgEV3^5 zDO5!rteuv3Zwcl4TubSL!eP4zPWcTa)o{UYjQRavc9v^ZpfxM%;aiC9;)BO~rnv9d zN1T;$HQiL3tl~i{H&3H+JDR1NL*~NnpxNgTPi51%CpAh(<6dr!zZe9kKVvFJ z>R)&Z1<&PFk-i|szbpZ3-}6fP4>>#i%Vd|$;v1GAL0y&WXO$lYNkr{0k#KE@(hG7( zJMM@SBRV&{PWS-C4QkDCfa-qw3m#ejawFFjn{5yBIXNAF8_E2wvys)t(JZG0H} z3D-K0do6bL*PoX|PgcZwsr)7beh=jI-eJpAf>r(^im8P$zwqc8F?ms~kXk25@3D`T zL-lT^TeKVzYVOzcJaw(Z(I_1blVCq7oTmJT&4+GY)JYP&v$tnYdh70(6WJ#yOz25$ zR4PmrdD^|&tx2)%8QF|3Y-(>ufEp>8}p!KRfmH`yN(OImI*5O zFpyvQd`2QREJV_zUm{aB#0X|w7(>MO2EHvE(U1~C(tqli7J5prmKlk(aNpd(5T{50C*a*aaF?&q{0Vq_GD(-cI=p~feCthywn z6{%K4?a2VcWMT@L*D@aVw0!KIMB~?YnBL*My^J=@1ha(c2thf|)h8YwKbe28Z%tK# zS#o<%@pV2))6K{}Lt~~Y^>qdeX`~>zyr*1cg(kBCcnQ&C(oJSwdip`ZWlTG9cABRH zC4Yb*B;-M%P=5U@xXO zo;`4wu(J9BD5~&6Wli)(#Xp9d{0kK?N3yK!(}>&_j%?{oypfTxPXVy>Z4Mc4iNZeA zCmf#Cx_)vcUMMY~h6Ei*2|J>0`|c9)-5BxO%xcjwD`_rA$9%WBb}`ilU7ad;D7No- z&Epw2#-nmGi4iaiZw$Jxr`s~z5?6bQ$QnrvWtJ=48IdejM9$46{)|9Dc-F%LOW`=h zhu1hSN8~JrrJsyM`T=0K`0_&h`8O~5 z@0^S>qA%3bFcteSF1H`XoF_pN^nGRFeREqHa%cp)EI37+nBUTC0+P!ewe9*k=o;-6 z`*3$;Sz*zk^7y?rBRI#BS_+4EKhji%sS?WJJ@vZdeG*rg<70E%HWACy;q8W!r_lGF z-cU#@(1@Dj=AFhLU#s$-c&TyFx9MySN@|2CPZu^&<4neA#GrL&t3!gf?Os|azq@`9 z+I#lfQ!D&IR}_CoV)4s&F{nR03EWuyL}pvUY<_(O=UNZG<`^sMKU|)sCUx|-EIy~d zE-)YJ=UK0%_H1kR^^l!d{V&hD-o6WXc4J=*cPZ2!jRs;h;}sBfvP67Zy!zq7#M7)K z2FlBBE30HsNCebIRzd?-Vul;QS-?tYz)H*joCT{SW&lhJe@x8qC&)%Vx5MaeAF*(j zyvK0P(*qn?n_J|`d&yv}I&eSWdpPe$;P)1P-ehX2*6rv2aJ#`$iiYK!5P!GoReN;8 zb?Za=0_da?O`=i3+vU75gJ<|F_u=t+Wl99r($lJ#;(&LE8=xzOFYBLc|5YYcd62zP z%?A&DxpWW*qyos6qP549FV1F$fE~-=F{WC`*{}piFKW5(%T9@GtK_NJd}g&g6@WX` zDtRi1pp%~+;S)B}5?QTI5L2~#xta#l@Oo1zKLj)a@XxuzHGRNh#pHhWZ9Z>$crWOB z?oeQ?R&*!;vTn|C=uBmvOfrORL42(1+Cu*-smoHluCl<7cz)Z??aIMQk=7A8)M6-S z<3|d;k0dTZA&Wtf2rYvMxM=A)lRZ>%`vXDFnMLX5mdGrsOsq@UCz5O#Qmd(!&>u|d zW;D%T6(dLZnO@<<)Ri1P5UG{Yap~)czxdxJb6CbCgKNz@A0C#P9*GKBS z%{1?7vMJhor^1SiDTIzzaq7h_fIg3Rh<4RX9r*axWt6iyzM9HgnzmEpww|lj)Gq41 zBt?d>Ym)DsktBzF7mgxJ%7f}6^Z;X{8jSi5l&gxNt~CTq2kNphb&- z0@=>n2)+OcIyA&nac*{0e#_YH_BTOi{j&mzLTY+_RR5WALVply?n7u&4oh)j75hiV88bpoMkWPDAT4tY#4}4_8-g&UjXr+ z zUfI9b2&u}GV&Ll{4_kXvXd{VOR1Nx~%QRMwllLXz_a{R}!Q%^%^8k}_P z)LSB3?z>p@m=Si_aYjZkU1J(l4%~o-s_(gpU06?;Izq5j<>Q@e@cinPeMufJOmxJ)wCu0`D*KJ{N~J^k5aak0`$jP(?~yYlddL?MKJbQ21IoB0 z7ZL$G{s@R>b0xMB@u_5a3O)?TN3jck=bAN#u$+j9_!(h{|A+aH*cp@T*(#I^X9Xop`C6WQvGNI5r<}x zjZFgcUA&pzQOA|;>JKg@gSg4c1hE)m_&;;kf1Ydd=&RAouvQnkLT)mCfRJ{mWp^UU zNTb3yy-ERa+QNI_e6O8l1^!)(Q|*WxjQV+|a)*4oGjsve9W(>J zKhJh2H^}+C1g?o7%+3#(N@}$9pOXLOp!Z5SFX3E>}TNMwK_)Ir= z9Zn&p5~dXKj>Pa<4vxKATD7V>hXz>z`G5vMTZ+m5u&m7(U;Vcz7e6E&V6l-iTf-sV z{GOWw*(>K%oL)mYmsWJYsH`fUuB19n8B3!$c9J&k)pP$8n-GlK?($z~>_g4l7snxAG5OSh0t!DE@)W zU)oOo@ogYRn-xx5RKxz3mR{W#HR`;0Wb*CU$o)B1(FDH#Q2uF0pS>?4cX#|W-T*gD z(&6S1aL@cWf_8-8rW71b`J2wH!g^XCfcd6&3sD zB|RX}q3wpm-{3i4RJr4{(%Zi5*}f!}O)&O4 zulNF}ZrgxfRq#GN3+}Yc4E17^x_mWQ_$W07KH|hkV~}JWtNBl@V!-?>&iGeZQO;tw zn^XfLQtrtXUQAap98tqMw5PO~-l;JAn3hlW4#go2t5h-#!joBy``4ZBe`m7$6K?Fl zv{Sb7&f;_L8A!=WO>v?ynzT~5QjmU!)CWIO;HM$El48*cn)Gt}?!uqn3T!Lr!yC{4<9uKKzKl7pmiyrQE?LVIfYP4~=LYQ)(e%+%IO2Fxwx>{0 zNA#@!+hB1@p^kY_br-HKpxBjO+3&(#|2Sr2$+hl9Gg>WLjqmPdhkdsH-MiCSwx0U= z+2>+yb6XEFUf$#H@{~cTXnHEaP~rwn1VWynLA;>x5(ieM-V?dVI&lH7&gB@t72$r% z5s}dI&I=&w6SeNe*aKO>k^Q>W96IKmlG_4^$;`_K>U-76BTEC>8Aq2tTK{|fB< zSIBPNyl$wBJvo{t+c}VtY^lq3!|>#AJeV;CKjG_1m-Rzxof>}U`ud?)k4RgBwpogC zpbs!xqh{r6p}^ixmlg6L%NG;85FOajNN}(tA(^5cf#kNRPb@=uAPVvF2{$y3$@Ucw zzZq%fZaZ9HOIK1H^?w=|sL=U2)W^{-Vs^aSly^jWFyRLH8BWB1iI=Ngmz_D_yMvQ{ zew7u%PqKKI*Oow-DyWa2#*;knV$oJs8COAk;PPx0(DNDKQ@z@; z%m(};9H=UuBFpvKg|BdCS2K%q(h&O!NdpaNf+=q2> zbv3?S?y4Y980E7Hn$oWW(u7}8nclWyQhyI<*?23`s=0)Qs0!sr6zU#`raj>nGZlAl z;$Rplmj~1v<5Ks%7phnDZ^yRgwgA~dWD?cfwS^V5>9UP+o-Ph)6eK*@P%$;X_p*LX z;)@&lWS)wuZS@?YP=sAz?v~A&4jq&TFwV%hPlunzg*-0#Tn$@JQydr~Foj?W7OoK^ zW*B-=zNIA6y?^fT>}LN${J5{Z`urSE#g2N7K1(bqHKcW`kjX$AbDm!e283#OGdZ~8 zamUpk>A>Tjo18Vd>xyU?AJ5W&!LmzlPSXyDGvfSeZU+YG@X4cnw(MNDEK>nH5i4&N zfDN(7v25l6%f+ipJd3X$J^98})T6Kp)AQ!W2@{$uo@vZ9HV&BY2e7+)92UEJOmd?U z-uZzOhLTaT)^$|`i$F0`jA{?}9+wm%O}PayiTa{9BpkSz{HTMIKe5pQLd+US0n*o4 zh9fM)H4YS8@6t}vJexX#PZ4t=lA#WXT%%eBw#SdEFNKV(2!2`AftF%XSivu4fPIB! zuVV$j2m|(&Wzg6 z^X2}jzgH-H!2}^nn*T4F+0Hl7Xm|H0Y5)crdFzursl!`C)q0?^kif_4R;zMgr@fGOSwTW{hVPu6Ga8tn^=vS6%>+Gm#NPpF@C2A1rNq3}26f{Zcrcz0OhkF#%NQG&%Lz{Uv2AlKPyMj9#lkxQ4p$$#9i}t^pA9*)Z+}oSN z74Nj2c7)U&)F-{Q(5-~wkd35C8yGg~^g#B1MT^h-RsUQ?j6F*caKbydhC_daleiK& zwgaXomI}RcyZ=ri2NpwC#4iRcTaK0lX10xSRaLzD?LX8=F77kCU362-?jK7nyw`eq8nS2q*$k2Jk7g5M)542M-p>E|ByTIF zEeq?Hn6l4(JVC-~?}YMVgQ<_L6`9V42g38wj#_Ayu-Gs1ppENdwn1(W25_fr&4e~~ z>D5AooG2dg0>uSX8o{%m3t?xzU55rw;bb6r0hckMz8X;lXQw%}y*`RZK5B&E_{dk;1?n5th~~ssD0QTzLZS1}}Gpl9v-YGcRRFu3Q+203e4jo`{}q)yC9Z18J8q+YHpfHooys zYHM7uwG@HGCa}AhZ-jx;dlXY+jZ|vDYf{w4pL48=hHBlmVp}c5*P68KACFK%<%b|L z8v>v}pp!}ABVOf@<1yS%H76k0J^tzFTL%Iu(8-W&lL#RM(1^l$2%GTp0)m%%NDBTE zM=W7WwF2K4G2$=xsu^`%2$E(H{o|Yy#Hx}8(z0!eb&1k=q?>PX;MpB?`uq0ndcMHF z=TRV`@R86!t|3S(4Vs6s{xuiSA1y&YcAHD;l8f`rXk6DMl_9EtY_U;0hUXn8n-Mco z{4lRmL92u-|6aU;oezp^O+Le?CaL`tZXQ{N5X!~i+c!~|jy1*^{sA+T-kage2`L|G zc&#R@R=MA1ylo$oBHLIp_cD}_N6ilvBMcxwW)uEd@yh%PLLXrqyen@hpjxz>mCNJV z4;%PH`ES)gZNTU3UluI?F5wf+Pqt*-7qEYg+W|GBjSl z;|F4zrqig^i{R0J=8#-Y@cB=3eJ-o>E7GFy+sN;SdUZy;~c9B!lpKY=<j>q`_#P@jN{o_l#Z2;)>;&kwfs zdm!X{xu(%<(o!soT+B|y0K-@1P2Cd?g5tqJFm-fJW^qkzi{_1lg9sJ;9xlkXd<(f5ej?@HQ) ztSYrzUfS(dMZyX+dKgiZ2%!jZ> zBw1d*Vk3qTKf_KqE@3;r$nw(LFKd}TB0j@ftX5E7?Iq*1rO9=KvSx;+nMLgra+Tb2 z2XIC-n1zqtF`d9)8k9g!p0j6n&1?yIPeDAX_QSCMskAt@KDN98|GP??Z-V;+0wzcb3kFZBzNy#F{9B;vPt9-F z{r%^k51c<8dw<_4*^#RY5o@!P;_=2$`617{gjnz$tZ`h7kkjBkGTBtOd-{f1T^G=>lpsAqJ(<@ENxsJ`R+n*C zM?->4(Ekzp?}P`-xai@Fok08~b*G;r4h<;9vIZx6m zKFXPyF(nWR=(K-XM>Cua!R)Et4pdRT7{w0pzpc=9DuL|Z{{>p-H&(Tuxu2pw|L!&X z-IxDj_wdiG8$UG5-{*f~#GACNqD)h2^M~Vw+a;=*IICg_%424_B##HmJJJ^)h4cAv zEPv1E`2P%w&{jKp-f&f!$t6CfE2!I_Tpg>`Z^a@}BgrTkC+IjK{mN!q9;)ys3IBK5 z)nReV59;2p2vD(g745Ve&B9n>btbAaa&C?7sOJQz%R zK6UuBTO6i3H8>R?4|3_ZB-}kq|EK&6KX_4pLwoxBENqbrvT_vxby?#xzrT5a+SZ={{0|wdh-iH)jxN9{Dm9(ukV+J_4PDXs7b{1 zrC=~PX4B3Ny=#}e5W=bm#<|f&1c6e)beTV6XZ=!cKW>wTBWW5d+$3lkPcV2YV$<4A zxn`F>i?h!ZJbt4K4+J-*lR>)s1{b~XgU9uIjxOXi{OIm`vF0C26ZGlkY1CN3ClU$8 zK5MyKslE9{2u{UV?{BQQKNn~I1z`{D)d;>nja(eu#i`S60pM^GYNYpAO%0`p)A3BH zfNLFcg!jyTO8)t2J^Q1zOUR-qW^=4I9AaF+ZjfM(%c~s@Wor4T=^39TZ04b>WUA@; z12!Rl0_7&td%t-;vKp3+w}dDK=4m9{NI~DRyIhC;Ri*-j}w2r41UXv z`ElMKwSF>ce`@~6v;P>h`qwJk@9H7`l(_njz+&+BQGyDRBnb#31lpVrturE@>Ee03 zkcaRz3>CLDAVJ)CUmG6!Ij)NZ^HwIt_1FxGmkWz&B&72Ko~d)u>_PPUTc|JjRl}&u z@lPxHC23D|EYumSE7jZ6y|A+LDAcUV)vV04_;@-iifYNT8;guXw33@MnNo#x zVdrzOc5@=09p_Y}L*T@VOyD*4nmRlxTt_V@Sd4|;PF*uG9>N~PwGQJ!XBn$^A=EI) z?vv*3p;m#_JrpxYA4r~61XkvqfrQ`GC598_HTA~fm1n)CCHR99D5q%Vmdj2|%%3D^ zov0UQ6sI0$DGW*}UX`yiy%Z0ouO96*tfCLu&o;5h(U;PLs6JQB(eyq}e2{2Te7O!m zv^D4y2XUiFOJj&78|;@wr`4n`k)4clGzit5`WE5Cd@wcx0^)eQxW#-HLZ*dII+sG_ z_!ZqrbWLy4EZrr6A)Q^Tal?}V#BntblS;U?b&W5|rzaIkOB%pHu$>dcY{Ai$f#@F) zh5T0t@V_&~em}l`ZM=O81O8i&-zkOu!1wX{4*%mfSc*jwp@3J5#t0oGZ+WL~sa)vi zLuM7}RSKS&&}G%4TjW6sK8MpVj&BOjzIl`XD7gR6`e6UhdX2j{-kFT86_n+HxelFb zW-Jw@UM6aptBptV4i=?&J8lBjbg@tve}vg!e**LT?xy}-8pkW%p2Ge~qhUGAC3A-= zjmC(sr+!261>oa+U4fadq5R$iaKeip4ueN~zsijGhlIykQ;~TIPB@@HuT|FN<|Z7IFO#!yTw#yAQK*u!92hLh ze)Cga!J{^(p;;t#ivTfdFu1<+{j=t5uNxOOuh@OtHOU6{ojOO;6l-u=h60mNNT!0L z)|5ulpbw|R31{7HS>SAhQ{nmw>rADEQI+vXRE<0rbzqx1V9-%788vzUr>fU_Kvr%1 zNxs_qm9`?GC?-NJjSw0>mPIWrb4Ym(a?F>c`RRo%Rn3}cA8cn~=1PU^s4V?g!&F>@ zSMHLdj{0K>8~P)~{)oF_D>b1R-OOXFZ*9{#C70c)Ov+aZ#s>iM#RP{uwGfKG(oG#6 z?lQ$MyVWb8)dFpgs5)XX4dAg8IrwBVhYtc{W8M$4mudFm8rx@QmhyfxJGkO4XI8`} zkEIs;b$l^TOOx(~X?XBC#$%In%SLp|WTHJ;LB>x-5!=Q$4BGMxYU!4j4AEB-_fS_- zeL2i^M{?eS&e0o353SkoV|6*xDJO~sRlY*Pq3YRzIRHnnw|^n7RbDQ}Lc5f4YqXX1 zNN{D|P233uFhDXFLd4Kl2w6qeZXYLRV_DQFbY$0u4zqV@F}})G1LUD|F7fsaD6%sr z&1@u?!D-)+ew|0m?Vekt$_^->u&BJU5Tvg!x2uuDxpAk+7Z=WvNzOM_cY2$=f~-4# z5`%|BRVCu2j1eI%y~`6k(zNLiQtLCWkh&(8UVuHShrP$8t6~A#|l&<%39Mt$f*^cbPAtR`OfyxaP=CXw6JxK>h8aevl5)GZA=^lsQCU`#Mas6>#eCPY=eY!N_* zO;Bb5;keXu*UHY4Slb*HTlkSN^LX}Kw$@)rt@5q6Ed{{q@M6$ZRz|U4x#@bw`;_swso%AI{xh_Vzo5|d9VU%qS)9jIpUJ

(UC#YBHO zqX7`Wn`j7SaegUm`vi@ueAg^kP_`Qap52uplWx4}YCBV3QQe}cr|82}VS*z-{z(-&-7*Wiqfa~*m+uch z<|D@5hPKfCs``)LVG;hQeqQdVw`b=0-L*$I>Njixu{dbfPYz7H1w2>9`|cjyaEbBe z1BM-gK9<9CN;wcH!CzhR%R>H#K2F|uYl3(S2=s2^b0~ z5Xi%izYY!j@BP2O3H2X#m;C%D{+o^HmHF4U#lZmUMLGYK{wrt8P^vM8w0;OHT6C2P z%Y|hg#G{q=qgGBO#p-DLNtZ>mLk+LC($d!=es!i%tt{a|bakH+V$*NvDL>#^_;%Kx zf+nKL)@;ymL*}!bCM)BW^M=)O;&rUhHPsbfuHQo$mH)Di;CH2yp)l4QPlBd~ZPKkp zJLjr;-P$3b0rKlbAB?h)inGV#2_0_lq0-WSSbos|B&19SQx0zB)E=Fne>FcHL1BVU ztX(8SAiz>=1Q=4ILJgi3BuU5o`E~x|Hu%q1|EWFx^T~gu{hzX|p($F*HP;TDyn|7y zlEZdPvO#z`p;|?GUsDsJKO-M=mMR*^()t;co zf@nJXHe!kA=>mdQ*fxrar0B-SxU$%B*|F+cV$_H8r7$>R5vGmL4 zZGm_dgO2j5S~y9=Z~n?Ogz&NasImODr|s9>K(EZ%j0Mu9X^)OQs2e7~V%8*o-$0#U z31Jk}40}hVM+;w?DwA z#G*C3 zJ(e7^)-SoKx#+7E%cq9r)yT~%DuLx-O|W`VGRc;Bb2pD9t_xHeJnBNbDiXxz|FzaXYljLY0flrc&L?J0U?=M{yObF1Fp*KQFf3n-lKS zQuL{)2C0;4GJ#^r!b5khpa!LuMb3Pq zlYUfT;V<7fiHe0fw#zn|6;;?a2END*02gofy4HU?={K&$Un_OLb6bCV=l}fYKkJ+S zp%wid(WG7NDhju1h+5R3jHS9vEji8BBT|7{o2T8oOuFXP65yGAjKQv~6%Fw6NYrqa zE>fWkFts*0z`gSOu{nqhR@I+HUHB#dSpHVVSOn+I{f@4EG&At7{SZG&s}}7&RApmQk2aS2 zHKb-Jf$RsXGwgRd7F(YO$=&9mmFIIx<@vy&D%hZM^39^8#0+%>`|@sAmH4N#KFRD8 z$3b$h2qFpvWh~0oyYbf7*I<4yx_l>jX?46#9w)5PCzMTYv8wD`p(`FHds4e?IAR}w z04BGHN~eJA5X0#X%zk&`VJ2vo_&U}>S`C7w)=cX3HdITUPUARV(WJ*GpIv7iK}xPDQfSiy z@pIZ4)NZGOqKe~n?0j$}lZmLO`qW1H5NEw3q9xSwb)6JP5O$Mmod-xSAl*@Y2842z zz1S;!HKgS>PF;QCP76+m<~c32*2q_U8m(6UQXy*8o$Kq!XGosZ57P{iwE7M6@b`&K z-(JW+{rRt6B_A`Ndsa-OQzrJcaAIo|F>whkskY~z$h&Kvi3p0}_}8d_+s(_grcTZo zw!5IS!w01DEdsTB+Habo#eb`C1Y^Y{W)jq6uT5pMu~Q@*>KT<6`48tLqpm|h&DAl~ zHk4QiTE}->imZZv`_HJ;yzRm>cm{%9|Sq_nFrc+1NhOmg4g($sv6;Bm%7%G`; zQmj}_BhZHAdF(*!;ztr)?C(Qa=yeDQaK&c*fJvYUE~v zoW^BTC3vA=^PawTReQRUlV}=Cl$M}TF|4(%_HT1c8Fk`sFY1pr4}ls@*Tdp4D+PCn zNVeD%b7kSjSjAH9qdw;l{I4Zb04kSO)=u**+wO9CK>Q)D|!?+)Ql( z6jw*TepGLu=VvMJDZ{rKcS}sK9mFo)NR7Yjp`fe??#5e%1Zu~Lc&=EaxSz$W;@-}k z>WOPDSJW_{qr@0?yRGqzfj6^M4~t3EmNXipv5JJiP2npXa;C=w>a}rUt2)!&l$}M3 z;(ZLGO1Y<=fQ&nOCQK$w=G>@Fd3sRoNBkRtdUIu~eO@ieA}jc1Ibn6$rLBa`?E0XQh#nZY89~@xzb`Qa|G-g!+?Y-0yC+tJMaOov zcDo!G^+mvwD?#E9gBuAMIp^aUN6cM66_s%mguK?~Ms)6pzCBygwydbbC(PrbV&Wpx z&9cl1svj9wN03Z7$wGV&va6j%tt{u2=Td5*gE+z;Dmyeyz0}0(q1c-`oILMNsF}kp z#IumsiC1T^8qu?$w~v|D#E@6VLU%jmbFLXj>Khuhw7#~r@6sK!M3ytWC5*+ZG%reB z%Mp|gVNyFBPF6{YURO2N$kk}TAC#%ePSWKqzvbk^u`I0YBFfo|SpH&^gB}BRQh_+j z)1z)LqmV&^w)GO_6FD`WHQnJtM1488{I$cHY1vHxEv0~Tjg(;C;bcg-K**5G$(_-} zgR+TUIFM>`j45~9gr0muDo4y6Ip2?DwzxBPGd;Jp$XD-1vZJUx3sRMr1LU=8 z3HL`|X*ap6h}d8Dn5EURlw#NEK1`{ zZoHETbJh5CLshI~C?HE;A}4Z~J``}1I;g$GyUFLI%QYM~i^^qQ;V{I6nV(?ZKAb8Z z_P2EgI(Z3O*00X-e0k*@$f@pJV@~vbi3!tZT3^AW5Cp!x9CepR`ziF7I|^3qt-XG+ zyH@!E4Av=1VA_^POkD!#DfZ>8*>{xAP_j=C9(!yD^UA0L9JydJ^KjCX-MIPi6!?k7 z(bkrC%lD~zsC%5j;W#p0m^l#|O*up${48N7-W|NxiNp_kaf;O1BH~8V>$I`VWur$5 z>3Ir=@(SI}5>7TRHy&p0zF+Eb(paA1Ig0B7R#r^0?tuPHIIcv%X*{WVv@RC=xYB8i|CjrvHPBIo*>gJF@+aPan(%(kR zzv}nDTCaeD5%y!boDpu)x@5tA6deA1vXL7#c0Q6gH^Mxsr*uoAC>EVwu@8%LC<~VR ztyg;)atjK0+VPV})f96`lQ;uYkvgA6et>3%jUKydyM2XLt)*UwwbMz~jMdYbagrMO zI`-ArFdgFxKI4tTO#iT*XWyTzI;eX>O`wCX`cyUz8q2>1e1>|TJGhba0v>gt2&&yf7nH<ZdvA)W-HrT^mf(TnnyT+S@eM&Imw)=4KamxxxLyuxHn^@U0$b2CphVqr<_-S zb(@e?TF_p;*7$%5`H5Yu+GF>QsOkOT2mwrQFyZ@=H9c@Jty3%?c8Zs~TQnBN#|%<7 zf6$zB*pj3)XKRV%t8lwbaCL5oW`<=O#NRx8ta9^BR{zS+pKY_?MZQJUd4sn-SyG{! z%x8NvS@Iq!Cnv_5 z^mH<2y~Uei)IeY>3cB!x@%DGa3qtr5iBqkzs-PM>uTooUAxUb{G#^Rg@k^CM*rLPQ zqJA>^mbZ!8;L<4SpVU$Q5q;)=&i$L2`9E^_A36MyO%VHv@UiwW*pON|{3V7PmbylJ zQg|Z^fxJ&ki)AqupO3h_6?V*KHW-|z%&8SCuX(=4`+d3jqsGtf&X`V8Jq(J9*@Dsq zndhZc0H-|r{Lp(S?CMi0>GJZmT6e6qK|UqKuwMjoMd;rCBA5%6{VP=V-+%}|v7ciL zH_jcs`;H_3Tgv_4#fbj}-5UL<{k8wrKjDQ}tw~vjDh`WC#B40Rx;assJ;;mm1CfkM zR(7;5daJyn!W+SZKa$!1Gsj8pCrzwhIyl;!7+N7hS~f-&i0mAstfap+1O!M~B+Xwt zn%F}=UmH4_NSGMgyfh(Yku$M=#Xt`88lZlNZHpc6>0^*5r0xLLx^fA8=@N9>{wRfGI95N877L()xyl^l zeb+Zgl6BdW>f?5Ot?fg_@8h+&tv`2nQr9!Tp{_b~`sv!{D!1+=Rrt=)?Y?I`b-wYs zOV9hRBU(wfktfk!>gKecfvf%MuA5|I-PP%p=MXokmrnm#Xyd)V(AAgNs(qp9z(DF8 z$@|fs*rvvs$8N4Jmq$I?JtyNV_fD5CmR1*`J+b%R*SE16U7r{UQHkE26cma zZbPSpJ-iKVpuB|Vbte2riV4f^oy|!$?*~SFYq=NeQgxb?eJ+nqiB{Nh0jl}Md&>$l zk4z)SLu!Uo&@m(m&8g9-%(NW>58I}mGoi3v-3Hv>@23l))U=WX6CjwbCtmMch;qpX`)!lNje4$pmcRR1A% znvK<{jy=7!ts+7QKTaTGVSSt6?H+C2M9VTaDZQ7E*OwQO7AI81&r{KQ(oDSuu*^=W zGe^?JQYd_93%LktPuI8#s{t3T08Ujz!OFvf_E)40pS>6bygvF*t@IHi=uEne2RWVP z>Rtp_16u9%9pohK8XJ|1K*J=CX!u{Im6F2T?P@$pQ@)l1~bSHx#amtQ!t1V~ifdvUqxasa(dr zLv~+0;Up|pMmK&?jN@*?zm zn~y?oG$oU}_RBToD29uC2aYbv|br?sT+0&193&qc}RC!thioSF+J~llS(>K zhBOuiorZe=YiOR7GKwB{YCa@%)=aafJ0uY0fDE$Z6D={(EudS;wP@db^XBn!wmxnj z#iyz)Y|P_ZxMpk>ruK(9TVQB(3|s@9Yo191F?hNjZEjIplc?9@@pN=4Q|ND*I6fXu zJZp6>e~Peaa28$D&5LEDax6bQ01^JcD%gQjZw2V8C;W*^u5#=mroN>iiL_cJ zOPiGpr}(P_g%JTbNF%HvC5iry6LLR`>?kS(m=F&4gG=1|ypGR$7eIqddovz>rEp_5 zx)ZbN`b7#~rp6VyJ;{)CELfNa#82~Ic_v$~iPP+FNNae&)9~%-Vr>UorHP0y5T^^0 z5hKF}ZyUa^e4zQRixP#QY?#g1D|a`@2+`{!FpB17J!f{cF4rk(nAHo;c%z5fzLN=P zUV-GpPuLYgC)q~4%k&`p%IBnI7o?b7)uSlcB{{+uS9sAM`zVbvYL)jwG2pA0i z_C!f(<0Fg$`eVWs?Z;NH`&jy1Cw)<%O0GXfh$j~IYKp;c1Qkp$Q)vnB_YI!wpG!_^ z@kk^vf^Eo`@yV-a9fCnQxt48AFppn*xjNRFQacQ26yvI6z-ha#v{umvg_6U8dW7M5 zIkaXwH(fL+YTtg2)}fRV)MN>aB5So14ZLMc6&vih0e+c+^-*rOUlqGcVaTFe>qGFJ zl(s5L2u((!zpdm^kMgTRU!p(U=2(-I889!^I>~OL;_EVq&AnRCrQ2i`iEQ%5-TfY*~9qg_ok4CUm3-B zCyiXP!$*I? zsZLtxbwIl33t(7K!>QlcZ5h?+1GE=$9LNZTH2iH-Uz~~KV1X@itcVBj6+>40OfD-d zOU&Qp+`SD0cp&YuPWZ}`1;89E*DgR#Wr zI9U}2a8;?swt{A2bnQ|JemRgeCtY)B1G-P z?o^3!mSaFCiIO(0&AAMD{8CilI!Z%Ku#5Pm0fFtU=#tte$T z4T^4B1pDEDvY0ie2;8scMC}&#Tse(^u#~w);~x35+k3EL!juSv>a_yDwCYe__k&O zZxmD0fBQ|?;gG;tratZ)_{tj#Hlc^{H9#~L-I-_F)lG3bAhU5gN!zUTXYngjFX_zT z!@WIYwhS_%ZGr>F;9cBBVYIW^@b&9+;TR+iqG_3H91e&T>`D_Q-uUw~^(z^_%$GuI zj&UIy;KPpB4?@d%*%&EY7vUsm*Zp<4#5=XwL{$O)%u4}H$NZ6vyu4@(-~(E*UGRYD zywkj^rHOqu9}UubF*xlRx^N8vlgJ}&yTIq{p)|7DL8dtNh7K{SrQ(p?AzEib$s|w@ zzF8OPjks$={oq)PnWesYRk|&TgsuM+#-deEnIDp$Yl_QGGl8ts^8(D3H@C>5N;S!_qRA5Sc|;_B3-g$O(gYK*xp24=&Q;v~cz{aM5N#c#`;< zn^UYHrQjq^*S$78KYgjso2U12PFnGVaHQGn#X}0T+T=oPo%8l|M)pv=ZCJxsC%O;H z^(ZlCbvv{AyUz{dS}2093D9h46Gzr_ z<rgQqqgH9;X=#9^s)>jfAoXi1bQ}+1@ z(88j&*NpG?$hG_4kf1A0T&XmgAXj07#EuovUgKrGHiJ<<9eLy0pi*s0^MRT{1qS`~ z;_1%IbxyFk#LfFNr2<)Yz^gKrGA{$P=G_6zx_@UF(!>t1S8?(sUqZ^j0Iu#lF$1r>bBlu=w-W+oDKb$+cMcy)g&w-Rr#{4GU+{(6ql#PU9vE&tEsqUB(6-!n zr_J(0dQwY^oFxKR)#PQ{KJ35@nkDn2G-%>PReK~=z(TmKDEkH8`O&ExmFo*TB|lda zz=z%E^wSIi*n^Y!xXoC4whgTrB`}0y1<&a$!{>d*8j0V3X6MQl?)}@^x;pi#Tp}k= zymbqFEp{wZ+^J^rtR1Zx`^jcZR6zkFy4a2I88**k0_mGmvz$(eV)E@IZ8+22UNn<1 zM*GyL6$TX6;!-d#K~r@W_knK$O;*|)bN#IM!V}{T}xl#n|UbB zgy)zdMX)OSp$vM`QVI6_E3nXD=#e>79b~ynod~?!2gjmMdkc3-^S!Z=P#(6aLiF=G z4*db6(lYvJi1rrJ`PxInzvvaAch$UlX?qoQK0hM*&K_MU$!4M3l%!vo#BmGNH65+X zSsp}8i=-8rduy{rYdXc}XvwsSx2VQ7RW9$Vs3nKEBlg;Z^o^zV=MpB)4X|mnf@{7Q z$Uc2wEa;Z^MDPRN!2Ebmb(Xicwnh3EBQ{^A2@7*X`}C_vXotmS8>Ezq?*`6KjBAo; z;H_=0C1hH=1s(uhSB}^dVmD!%13`7haN5!}2}9=@zl|YRLkat)H79OqteO|N&DeVL zC=oATJrQj^R*}WHf|;YKCp4N#P}Jls9J;ngkVBjChJQ=VU$%pF%Syfg{Z7XU*Jy*m zEL{Q$qP@_@G}cL%Um}nj@N6M)iJu3W+K4$N)V%aHkY#y=p^CPeA>JC1mk*LA?<>*s zHnH?9UtxvEiD2`cMj8yS6R}qd@<(43PW#NNEj@Ss7_*Jysc4D+ibIu>x-J)~!E2;! zeauX}5r$e1iceg@avc5$tfD1Z$&sZ+pl)0dxVfcfCv)S?({`P&$nVi1tBVtLH5%u@ z?wf^<>5)x;ZS5i~5;5#7XG1v6+^$OR8~=?rus~PC_HA$Zc{BDi{8NZYQsPx2Ii!b6LEj2ppH00JHRzU8q zdo6g&4G(Q+)Y-a^vM4l4d~bA#Yj^{$Ioc_N^U7TWFbINf7Pd94=sXp(bng;hJPa`8 z5jt#kzJ9iVW;$YTsl@vF@U~v`Nbpl%dh)yr?zXtaD_StG!NZ_co z$&%~iS}rLK8AS4hG6epEP!Zb9V0*f;ShuQ-aWPJR0U7_0IMV853^A?-l{h0{5d3Y* z5>c{B@oQS`0?2k9q{6}6&Av>!Bw8D9!DUcqiXiC4Kwo|d(Gv8?K7id{sG*Q4xWUy@ zT3$T#P;4yW>8qtRF3EmRP2b>;K7$&Z>6oy?NGfrGGAjEV^$}}K^qKgQ8TA=JZ5NZG zxiO_(`uMxf&lE{nRype-2|QS| zXEiM`QJ^ncq3q>&haAV=*9n|hXy{fg?6Y{d?$?a1rf=P+QJICv;cYp&u?^FpQ3Nkq zK21bXJ-a2I{)|4Lf9f4Da-ehan*H)2*sMno%DwMa2SKQ11+Z6-VYYx3k+Rg4LRw9+ z;C}EzwoG0E9{Qd5XLEtcfsO-#or+bA^s}dDupMG(kY|RkEK%K}Y5{PGlET;==+7^g zmU4)8k_`rR{x+jF5hua579#cRs;}#)FRSxfKPqMYn+d#Zx=2kf!m}2zYD{_+N8^m| z%A?$Cr;Xu7k?OU_iHkGqFEDZ^)o^o|({t%xEZn5us;krJU*){4aG^L$yn&%GF4=HB zfA4j>dv&onWp+I)y!|McWWlL^+oZ!d4i9{GWo~hEt$!vtA1-ILx}_jrrFrw#`|eJ| z_gU-${w-Q=q~@B8)Bz?u{M=~&_WqqAAXv!i3- zxyEZnkIO@!r_T41)TgQm{NjBTkvk^$w}-b`KQza=X#1})7t_(~v{gU&S^2L^q@!xt6D5%0j%W@FE=cu%x8h1%;C#`}FT)r5AefeXl( z8{M{kxh}CTB;Ja|lzW@tb(Z(6Tp<{uJPfKvtD^7Ty@4-9shHa{;4tr{2N4^=X}Z4+ z5+W(gMz4ZX%XvNfXr@aD55F$G%_GP_7RabomW=tuk`(^T;M2gZ+bsLCx29m5pDBmG z&O?|w8#880$92%At;7aSma}8Va@kL_Jd3FhN{$g1IdGNNBrdx>`Fe$KpZP=KU9yaO4Ndd%%(YFJYy z67cL1B1RMLZ#->~UpUf=+@YacFBdh!2xEI~F*XV7vqApfhePzPbLxQr|l#T6k#x|v2>aSEOj`0j%3(;8FpWDm?J9d(UA*)2`Y)B z^}Fa}M^Wad=e}f71#Iy^bkWD;cqpZC{zLc>_1UiW)Ac5-sPkt;$rAslXJlHl(nM&o z&tgDMS&stKx8+$X6ZEdkvo$@g_U>UM$mGfYKGeYZ*Fp_!q?|mQtUTW%5A08QdANQT zdf2IV@l+cf6RvmW9riGd=z7Uc)rCw6{X_-+t@L|6*fb2vC+-+@(2SZ?v|MUP@Xu+} zRZQU9lrSZV5J9d?&qYKYwE2D{r+;QTS1}+*esCdhF4S~>dwc917rFnUF1BJ^%VXEU z6b25eTnQSPT}qDdsatPRdKOfrBD7IVP#jy|C!?cB98g-5(3tTVG@TADeA{Hsf4Md0uSnI=W1WDuZ+f8|OjKw~J zl9q+qo~ok>#+4+-eY*;)%i3tTR01KoogWr_dpSYkx`+4FZe;tV9Q0>*ED>MtqY>pu zpNXfd3~!Ko$4B1c^52e!-p6@(k182A+koL1->07HbIV{jo)f9t=+EnMw~xq-!e&@7 zDz3n|YE~kg`khf%Hws2sSzyWATu284KqI&|5Snbtj|RTTW{*BQO|Za%ff{L-DDXpy z3(R_`PbD->$Y&T(-iW>=M$S%L6orm~hi=5mHk$0iwFz`g%?(_=rsyX}M1w^OG1Edf z!c8v*8c#N)Ggq>`D5n^<$1Sk`LTN5ije4z3*6<($eZbIF@E-pu{gEMgJGVi@J3>;$ zsAfI}%tS+~Y~gp86s)_vneSK|QNM_n=$UdBXfVHb6-7ssQ*3j_mRz3(HlQ-Ox?RX7 z$s$xvqOjpy(@IVu3=;LUl3IO;lbZ9rTq!(o@0CIfHM&2R;7b>ea&C5BGw>!GlWo4p zz;#~W!ITb$XGv$9MZ6qAd=zH7aPvrVE!Y*Q9mLDs3jq-!8ukIa44SopJG-POk6-)1 zLaIK`TB&`w!L-p`mIkv4CjpbrEr^QY_L#J@SbgY$0Ss-tui`5-mxJ)H7|U=SlbTZx z@&Sa(lomOk<*b-BO&-bB^lfJuym&X!{3pg5uo=)|5MV+pv?fD|T z@!^(RJfV-JlbsW%6#lwaXMm=eAZVb)Y4@GiC}ME)l^1%loi06^OW>iwJ)ZBn(QMZn zC7~F>P?PuY2JT77Np)e*l%+;GDm|5Xb?$NePoJBz9i0-Ygp>rZjfls5qGjEee@#Q2 zCPGP`K*mfuMnO%gMW!bTAyI+8HYux5?}eX?T7@+ccSmvq8b_KuW&g0gavHSJTWhAB zPEu^dAO~z=n8Lgwe~H~umeFXHrYdH-mB%=b;WrbR+9u#H$E7qPcn9lS}wra8#2(V z9WU!0;n!;(TwbyX1PUa#2xmXLF(!Ye-7^17Bt%AgaPf^k0~)0^K#RGH>ZE~1huTKUff1G za>LXUsV&hHvI!qXsOrqw)2OMB?)E^MI(HXrvt!InZ7KClW$^m*Jj_3E(DN)>NLA;t$#uWF^#aL~P2Oz?$o1Tnq*=BKv^CT38nA_bn$> zANh+9-f~uWvjk_ptMn#`E;BS5NwEss?PEE}tPe#E>&Ve~{UKfjrHFq@#I4>kgAaJq zYNxnh(s?{u0>6$vu$Crd#AgIt2&l(~axP^73WhUD2?aKou?ci5q&1OlnZA25$AV}` z8giSP^xgyfkz5`hej7_z1baWU@(e8q(~@e9tes*^kBjJpo?t2v!O&*b&TW_wE$ zVz>pW7t9i~2pc$LEKw990qoNlJ;1ZEE+-g+fFt8~y+}1eSL9-ia4aSA{wPN^r`J;p756<|4KG)L=r7lgY*V-C)MlG_H*vI zQ2QB;3c^d)M+r;bqDp5vz%}&F!w(tHG2bScf|Qm_s9mKM2Hrp3FwF+kV0mol2_dx~ zLWi{_hzoFwtKPAUj0!KBoBE~Q;0pBR8-@dqam{*tdG2*Lv_U5rN{mCBk8t%7-nl`K zr9M7CNK@)}{hcARbj=%j(R!Kpn%O*w)WyQ|q?wMQ0}<%C z2MOJ$)^7yH+-KNLr-&}cP{uJk7+Sc4aXO}0`>)vU`Yu-7K+dHpv|mym3UK%-Qh1`{ zZ^`3)!EV*M;w^s#uoa#JK3v1wkYFZF2{0mX4BteRu$ftQ=z0e<6~NIG?QOBe$SfwS zlv>)TTWz6hC47DDl*!*#Bl4#59k#F0lmjpt=(2Te?YFVLKV;(<1;yRrBJK}WgI|PE zT_JE(^WbdUcmC~-#79PE45ePb=5D-d?_kzhf2+AC9o}UZ*0}fk?Z^8f3L@FPU*KW5 z`%AqA!`km2@p!?W!sE{p-027J-@{cma-e6i`}n|UtG!2Fp`;`udgBO{hve5?zj(54*#M*filY@l%oTlUhD<`=frlF zI!>6TNVInWZud9Ec6UQf%?8rO`KxSi8I7x2u^Ma#B)(!2=8G47lKx2M4daAI*4=%2 zTJl_EOc!qU!7?2Fk;9-jh4q3ZQnTicYJahQ>XlQClb5Y0^c}Gg>mJs=DD_aI^z_*9 z!^@DVz{Ho0E)sJDOD!=v)mgpze21~tNoXE@^HrDkG#~c}#q0fDkMEuJTe{&144*hJ zabA)6Z%BCu-E}1&(25XEMNA>L2AX}iBD;kfwmnD9B0s@>YCMITIRGR* zup7g`YNR-*qg-&X7HsC>MR965_pmzTiIbN{rgxudt1I$-kSqKbeNr!?O&P{}!Lh{P z6I;qAYg$OY0II>wqoDaFADO{dcyE^- z17GP$lhmIgACe4To!)StjD3>4y}IXj|HAQ3+syB=tLYWuZR0}ltJM3=AXP;>L2+*1 z^T*?9ig_5`3E_STGexs?LOMj&5P0YxbHEz^EGD9(i|+|#&a=3fuT>k$R}UzH*tld( zAAgdm1Qr_a+Y#-zeK<(FLAb;8i4h)fIl8^!xJxeAbQizUs|A0hdxB{VU;vP`!L>=W zVYYn%)qtWwC?I*zF31IogyGJy&+c}U&HXiTEKS$)Ip^_9_cFmkfd?s1Q&3Z$rNpP8 zq{IqnH$-r^6Ku;LT{ZO8-`2ah#d>g44^jdm*t#NK%Gy)bM5;s%Mb=WH$l^!KMap;S zkzC{|u5(-#2-OX2${unZu3jK|x?IzHe)2l@3iMRG7`v>z0()M2KJt|E+`mw`5IXi+ zW;u9tvwLH5hkiGBhk%n6cotY#^p&B{(kwV98z3(#pO{d|MP%VV8Z{!I_Nm0wO}^UM zRo8HqC2h*qiia^p>5EppgJqYqeUgq;e?vghb(ARVx+%qaOvyU$;={Sb`L@_YEKe@| z0sXbn8&z**M49WX^DGACem5*=z~sFB9w@)1Vl3mh)pbdLctr=_p1CKIWOc@MW#+iE zrjOgMsQ6rAzwBXue7M8mvm)B{?g+{5q~jazBnIn+8TNUt`L&1rMB@Ekpd`!D&o#<- zhd2Sfy!QU%Xe&Be^0F&BAG5vWa}uDr9*?MvG3MnJHslwf*cXY}GT(3^t{s&`6K52c z1s#}QF^=FOX7CWTk2{Q}AEe4CCf=G*4>TRE!2Nwuz9EqTQfg{n3w ze6Cv+suMkVJ}K^tBWJ2aiWU5$XKLMfnW}TD%pGJMP93q?<6nn83EJ4!jG;;aeCD|AG_nKD-QL;3s$tK7tS6 zLzLC;;0IU$<6#_3fQcx*_rO}11XEx#Ooeio4%1Mk?}dwSA4>QA@DF$h<@^;`2Q%Se zsDN4UJ^ToJ$qMomp@b1mB&dN}vXZPKtI5+)2O**$N}_@=d4@boo+E3}7Of*{q9IzM zBkRfYa1DMZ8^{afMe-8aNH&qpWDD6!wvm_7W(CO(Qbl&cAMi1$Bn!zdvYYH7uaIh@ zCkC>Y>?1~EB4%QNbMPgJAy%@V93VClOBRt=$!p{wIYeG3he;f9o16{xXe`MfnKX{t zX*_k%1nQ)T)J5IYLl;pmO(M-n7ELDEG==)8pEjb6X(~;l>2y9_AekgHz078@N7)=U zk1b$}*;4idTh3OoXL09zfo)=2*fzGE?O?mvUiK<`o&A#?Wyeuh|HVFLpRq64m+S)j zj$LAx+0XQ6`V0M)UZua$YxH;e2mO;?r!};eX_%JjaCad%sSW%E{vv;gZ{(Z!X1;}Q z<=b#q+0KJ}2d~1Nc^BW!_wZM6pV`az;XZwUzsg_Z2l*lXIzP{xLtvKfzt#4PXP=Al8@lgWt%v^bmg-4!|n7gqryRJWdK> zDQQb)kjHSpc!Jyu)#O2PiTuQ;@Tq(jFJ~oeFuRitVWoUFpU&^)bNCECm*3Cl@%elK zujC8)B7Pr#k}u{<_)^@FACvdwr};DJ(>#Zs%}TzCKg8GYwR|1!)X%eA)`I=Y#2HZm zQ2(NsP`uGw%noKUE-92MwMMJc8;mBiCB|xtjkCu)5}b)Hx5t~5oZ|C0YMh#u-lS=> zjLha)**Uo_@>=G%YF%(go5DcbcI`WK?9{nS*KXZ=6!q*?+`CWTe*Fgw98@y+&LO3D z4J{i6!$*u9HG0h0apNc4J@KANlc!8ApEiBQz4zV!z&{>*=;4_avmSYL_MEx%<}avR zxM=Z`rOO_B{D~)*uXt+Zs?|?F^XzkL)~;Ls{Dv1^d}-sR&0E;kZ7**R?x@E4jy{_@Eb?|`Q}@1A3gTYyT{)<@jm?PgAYIY_~a*_e)jpP(_fr9d)s%s z?K|G~9dG-Nw|&RkzT<7*@wV@H+jsoWe8)iBwt>PncNDa4mESTiHzzx*d1gklrcKh* zQX4n&`%;pVydJkJ(V5_gx5vfWtT7g|$!O5)v>LTasgO9M1e$bA?o{Rp`pbgcpWLNO zQxQ)dh6%%NNGJ<>FsakcWrChExtQnXk^w9^`j(P`NXbA$Nn-L8Kta%|J5j`pz~JV8?C=@{%ZV{B!|vJTkX4y~qr za{E!5rcGdnMvFl$hJta)lXsA~Hbf54xQ?xMP*CY{P(ep>hmOJcFG^Wc%puOBpP|-f9l)Lea6SIMZo*hjNRW7PF!SJ$l z-N@vT!-fnBvSB5n4|6*9+aVbDziy-TO3fmWUB0cSV5+dPaC~B!iN1PA$c4R7G_jp;bHRglE~ z580z4`Q7^_ckexTkf&p1S(LZk``r|axq1k#hnpuIlmZ zKsAzuGTAx)?kqVvED{}3Do1w?EQ!#f-VxfNYou7KNU`QQk>qCWBD7JH2(|dKDg@Q& zvktY5Mc4g(1ck|XC-evy2>~~(Wi|*RC{sjJ0?e{A#hPh**O-h&X|` zj8M>Xc%8-T3_XLTzJLrwAz~1n*1=qa4mDZCr$ z=NS5&evU)?uqrPwP_Ac&yY z*+zI(5kY-@M zL|@;TVsVGtn(0Agj~nk9h(bg!#8AXign}NVNmV1=7Hnic98&?7tb*@k`l9$PMPR%; z;BSvI<`Fl4t2;1+w>6$Mej4zvScOq>^DlWEL*nM2y$D0%=6_%ohQ!T(_Y4e)n}6hZ z42he6@K6kio4;2-4B@SsKKDvWBX?e}3B=RZNbf~1-HTkh7rAsVaC)z};7=~b_H_L19vKh}-3XWkd(v zOT_3KcqQTGrQSfI$!SVAbzw`L#ONZuTwxc@gIICOx0qFCJgx2dUH`}LdQi7j(}wIpCyQkY-gm2Vga0 zmA{F5A2Enpd)$9I&%3{KR#OaKbnbV5;;H7O%Kb5>Y}w=f$hp9MG^1LDDF^)31j#;+ zTy(FqrF+{kx#%p+SY73QSdcyLhn$_=6P$9!s7S_LIc%chE}j(&yGB$L zxQh--JyHGcEOhE-K&-1KEb^7?m=bo zor87|vZMr4gLHm1!QHQ#ghkSv1mUXg1wzbo7AFYWc+TRI60pb4D6|(^+L-e@b@-cG zS@fR%*T;TSI5D`Qd*4C9&50$!ED;DNmUIt3A$-}rcz(XpvBO?GN+T&5w3oFZzjW*) z(pj4hB_-Xf$pE<=c*xIK4khMixtz)c9#IZFDp#c3>PR^s*2i)wf?zqd8hmm&pIR-K z<3yC(QJ&JV!;X{`xlEh~%H=ZUah@B?9P?orpHD6mTLH)9GRI;oM44b4xroz=MO;p~ z2yuW@F5)B(xyXROieyBKENCdQK<+k)hluRf z$sKmUkdFNZ?HCdm)uF0&pmoROVI4|#b}r7zyQ!}Q4SnSl|4n1XqOly&SLeLH$<7nm zokd@HqOUyBSLZ-yxi64qym-(K6|^fsw^OD&saAvXT9)7~X%}mn+(s7O)?WL=3H!MC zVxJbha2=lL^azpFv~AP2A`5j&WEsR^FPde4xV1N7AK4hqGGVegxgDfWn_fO0>>bB- zi2Rk~2a~2v7YvWw)64(659W2mb6bbfVo{Dd-pVs`sJ0 zB({h05}aT;Nhjs?ZOFrhNEpO?>oIM5G!*5-v?z_#!YbwU>}dF49W+(aK8Qy+q?aI` z`@s$n{uXgj(9pQ>MUgKk{T>TfM**-AwvlnL4GzL#as|Yby}hstgvZkXo`DD9NtlPb z*kDXq0DbV1F#SmqAKnESunzaJb#NTZ4upqcAH))S_&b;hbJ&MiYYylk3EDz2OoGLv zM|e66fwTNk$b%km4@@Q%;X&ag;m5-p;04&r-VWD+7921FujApLq))@Ap()l_4y)iS zd0f340@zChmV6eb!fIB^Nq9{7I*z~#_u?2h6v1(Fh^Axfqu^U&Cl9jr*vj+aVE71@ zazZJLh1IZ+`mS&+zt%Lm&XLR;h*p&agYqsiwvNtbP|1zO$8P9*c`8sFb?^>5?eomQnQEZ=n3{b z-@IeVTs7p5&YO2g+eM>$_kgEQT%c zJF$?Kq&K;fj3p0}dE_y&iX12JlZ&)1?MElj%WN#0!d~U=@aoIU`J>WYX`$j`Xi(@# z=wG4V!&%|E&>Q7p7LI;7Jcl#e3n$<+yw1W`L?T*Zz)L*!?N9!J*TZBnSua0>-i5uq zPrf4G;STg0siC;BQUy&APg(Iwrc=?Oe3CvxPvG@F{gM93;#d+(XSu9^m9R-Ts(EY~ zUc1?s+`&)qF!DJ|S|P2GHcDHh!{U9K@)6w9->rSVF0JlN2txBiD?(MFUEwdmhHHqM z1svq1R|@Rz$j!zA#?=QdN8uRxW<|UBKUfOZ))N?LBPyK821vOfM^R zP(|e5O_P7JOrFl(fzR1lqU7t~6xWbAa*4jgictz)+~L!i%UCsnR`) zG=+^ErQ>)djUl^$@=fCR%p`>{$qKVcDO;_$Oh1F^aDr>#4BLvMKS5t+Mf{4?hm1uH zcnIdgl<+LLUmCHzR}LVaLx_(fP19s~D;ABU!B59Wm* z#1=Qg1y~9j$(+zXU^1Q@KSSN_A$6iBq)y?cw32>C`_dIRuP<`jN9^!DUN1u@Xd~^1 zO8yD-g~ITn@W&|KjnTrcg5l_&p2u1Kggta&hafxDlkNz2Vv}*cXQ6larLdc5U~Kqq z=miJh1*HVTl^Oh#Ynuz?J_6 zK-UC;>4t7mycmJ8F=8cv$@Le^592XsHbBgJ0Ba?H&5D>05PJq74!3u^=LTTk4s1K2 z9e@+5^JE0B#{oPk2yENi4snNx0|1471kODW0%*4kpyLvN&OHIT zVjtbE-Nye-bVs@C(d9O7;|9#X4H@VE@1n?g8@F*Aw{aV{aT~XB8@F*Aw{aV{@xKNo z@(UM9e5+px?RHUeUZJd}s{%0~@$(EcN`9U|yh zsKp30YZwtDFv1#km(k!hP{UTs-}fJr4Cb6he!Q|{<6>bfo6qtWpY=Mel`ENwXcUqbz~r$K>p_JC+7}enWO+a@y#N7oOb*1y zPsS1Bx}+CftvfFkrnDeGqreiEkAK7*p@KBegwmM2*f^YmLfIlOPN9sAQ~Dbzl#N>C z`2)??bcrF4G3%H)&1ODu$E5pO_3GAg#bUB^puy8p<#Nik8Y&y`v^rEvcsC=|t3&CV}|LbTXqw zqzJoA2I`O|V}W=+d9j$;`BKw2kWq9Ac{~M$CD&_fOH)bp?%je*`|vgoN6)|)uv0PE zg2)iJ17C~zYq>niYo(LpV|mgh;$(n}vQ9T75}o^B)A zglt+C8Wb=6c-<;~%h^BtEjLStP+t;Z_b!De-sG$fU#X%V?Q7w45R0%NjNx;E;ao9~ z&ezU29yLg6rPfY6#`Lgti*KLMFJ_2sNPM4!3CaoD5ixh$Cd8K|+)wXS%+NkyoTpf+ zTwywD|D2vwoYbB&Ivg%8SzUU4T)8^nP0qb!LN};9r5JZg0(jq%6Hr9elsz^?1vs6io7rk03~l4BZq>=rR2Ai@qg zbW%WX%#rG+lqnI96p*MCuiZxq$Q+1B(UkObd2SIKTPPGbU(66n)4j=Ng+iH&lA29x zcD4Pm-&0>@OymD(^Pv0X&c}v|@fF~DD{*`-_%_g@wbWX%UplDRuY5~&)T!*ME7A2c zOwf%qJYabsW`X5^<$~iv!WD<^p!Ss*nqYF85=}0X;z_RNjcp|Q@~A@?uaZXO6Rq7A*AxQQh2GZ^t`#=c+E33OE;jvM)Vcx zSGgsWlG3R@o7bO*9BI)aHwTwjR%BdzRB7BK6iTkF&7*O?=T~3exauE|JVW-z{PC|3 zuXTCp@cJRHZQI%wj5zf0kqe_IJn>9r%!$vw-!^FTferJAHAhYk2!F$4k(250VW6?3 zkJWe7&(-se=7HuJ39L`--KO!@k+IYD_gm-cE3FF>UeIeK4-;R1(~6%wb0taE>xf`b z02|v+#FrcNBzKoiXXEyLbOXfGv4Iqu%PDbJs@_sQ)HBILJr&AwzpMj(0)CU9`j<7e zSCf`i@gI_X;(vvs$|9md;V|j7B5!PX1z&9__Cs zUtb;mD4@;GXGu--8Ba_s5MLN7LC#{CfK?aJ=Ibho^K}7#zAnj$v}wNlm7)@Kk#b44 zIopPKJn(8yF$5S=nHI5ZMPRf>rge8*G)j75q8)sy@ib@wB?wk;aCsPU#H^s~BGdd+_95K&EAeD&=*QemoG zcx3(Rs$PY$^yjUi8AC$X{`J;lRp-R6R)pNNp*|-<8dL=uO^7EQlmT0Z_zs@ImVTZI zY@~9eYP@BnXPRodbB=1R^Q7vdShErpdRHS)vd1el+uYa{&=>0|ic$jkaH!xNDzRE} zB}hpws~-2;mH`{=_LZAt-l30aGMT7pSrd(5mW$*EG=*_P<0i$;jN@_DG-YRcbT_+H z >D$|{>tda0f*LS@lSlXoxl+mIg?Vc(LIz--DB`bw-faE;aMv^VKGVL;pd!)eC}o=922?R&L)e_LO0$wXxDmsI!v;h9i2y@sFyw8Z}aw`%Fr>TBk2(jEZUZ zk_|kg4x_4E{R8wOL&;Fe3Xx1AGjW5BH$!O+n;aFj~IF( z4d_KFfGL#8Ei9J2VQlxJ(53DzjC}?Pi-ULleeA`r@Q&MM=hu|UdAfKk1qMfRnu74nIAykq@e6DJicD8P= zesSEp<~L(3Nx0lqPLD$nk0(QrrXIhL0avO=2QEA45?syJHY3d}UY9~@?6T-x*T#8zws5nGKV(JuhGyVSDoak;>Z>|ATVyrhv!;PXr#!tTcn}dhGpD=OW;?T9v zJ_}uYZ1~&>W9KXwJ!XEZuFLw)+PLkJnJ=+~)Rp7cetvfC=%-Sf9GQP041^q7`a0=1 zcJ`w~N6edD8!lSb>&1#kHg80|+KVDGm;2?DWXphuOQ2AysiJ_h0;1sP=Vm}5P~rcq zQ$;=TDPpD38 z{d6!UGCH`51{C{9F>VLNsCrw-77wQm6)%t-uIWl~=0Ls{2pNO;3`fx={Ma3)NaxY> zP%g0%Vw=p~sSPnoXKf^_cZQCHUf(IK##FKnPd5sAcAW!_Bn9D0HTW3uF{<=&uJEDw zuc0UKgmX{=hnmEzBIlg*k;4GaRh%^nM7GQU6tb2MR+&be5 zx|HK?KyWvtV#av7#u-ZiB4#W_W~|tPN9FCvq;e^kqMA+)s6nOsE+BqzzK>{8NI+Yx zJET0TW6N|zC*mff@`RGkR8}ad@(JU~Pa;AVkH9}W&fF1ix^xNbg$0gFh35;zG4PT& z1mWg0ubDkP>RF1#nP)n3#Bk)uJSjqPt-1%beY*!;y$284#f?m*+=pHw zDNV-XQ!?|i7%vm&XhyUDMF)McrS9oOA_qbp=wPy9@4br`qAaaI zi~1e%*DUWwvjWAOcS;_RJUY2tJzK39=a?={R+noZl^)e98pW!ay-}JgHc_pPvAELG zQd7a1=t3^JT`n`I?0!W*pI_%_lIZftLSLHRdPqd*#gm$AMVIPbY6Na71?c7EXPEPa zPZ)6tadW|=_YFRufhH4gR>Yz9C!?rl<+Y)a@CxeR_)hugF>{s)(@}_v<-+KD@{oJhh?EB6JlICmf+%Qli%14{2oGx!yV`MsE8`wHDXBgX@xKyrA75y zvsq?YdU~liaFVGcPQjCtQjj~Al%K+E$S>fm6M z5hL4_d-0p!(_BId)}t%sP$|WlIZc)v zWk1%?b{YA z+#1qv6C*(b%;(@p)X+VUCXZyPk~9nk2l5P_(6p2~v<xxCMt+v&T#ug@`4(vr8@WH2njtSNT8!c-@?o!1N3rk4>uO*K90DC8jIG2H; ztDz>u-^iDOHXp~*s0{tAsTGY#qeQ<)Lp1UJ5qH*0ZIP)IMWG0XAY93)E0IwkMh{>=r-imAX<(C`ZiAvLHaxjyqvS`r(lY(`N{#6w)Gg`=Y6nOP4yacSuN zwV#I8?Al3+Ppu*3asPJj@I8~}9KP4vavq_NJ$$7NE!;}#&P^@fOYZvgBq`rDruxau z$rVMtXZM=F=1Ay|ieY)gELL&@>Q0g@Q)9)64OKqImcyA#ty!yiUqdw#rCJp#gGZ@U zlve18o@yftDn!kOWl^p?dg4K6zf3<_&s&$+(@Uq|K~Yw&(rX3sQ4u8!oika(NP4z- zeu+rN`-a2x`r*TM3Ta>6i*)eyPIPBo5jK7hhc*jG#o)=^LY}C26121B9r6>Qo!L1N z+B7pl8>dESvM)jtT@h+`M4ljJ=uJ5uX_>TLLRrAQbt$ZcAn*)4iWS3IxB`;JgNe(q zyY>8JiEJA1bXrw`J8Nmll&J-ErSpI|)=C zvH#MS0tO{zQ3X)kV06AIjp$vZDX(qCVfq0!_vNdSE6{qsmGXRD6bR zuI_CeQ|r3wx*Az3_vxD$2C+N&4E=qEd3u$WN~(N)3qvp3o#A0kRitlc(5$4Z*b3ze z)kgM`QemM+gCSF*R!O2NonD_QsW7P0^)dD#0dyo(;y=)7^?HNB1S&Nxvs759Wgp#$ zhuG#-l1Eidng=vGwZ;?B&D0X@J~{wB9xdk3YIHx;=)il7lTE}_O$WTd5tkoi18mg3>uLdeGc)_9&f&(iC2CWu_rIzkd-F~cQ?h<=<|m3 z67*1DN~vtTNo-t;JmN(OCCS9RlBAG3Gh^d(@n9zH4-MEJ8YJzj`Q@=L#ZR-^>z(*J zHM#s;jYnt`9;_s{Y!|=ZVbKcN%S9DY2`^5mLVr$0m5iy>oKm$?#hFK;kV-vT;?Wjs z%e0fV6?U@$QfOvIo~1pnA!i}FgC zFZp+4GUHH$iBM-^e&#Jk_q|mcLiWt!Gm$kFH5Ir&k3h@)h4c{^AOU6u${a>wHCe3* zaR~{Wo48dQr%m9S;`SKcG_bfhdjj<&2F$%;dc_4CgQP*~fu{cEp)rHwhS~=>1|}?w zTSZOrF2*b_t=i`I;I`waNF<3yzu;B8^G0W+RCpsdKK4deB*p~ZEG{-?+0N#fAUg}p zITW1{7(wQf7VnTwTXu!^96S-)xAAR~_{k}faQ}CYy%+j~9wQUUvxh@3oIV>`yZdc2 z__fgQp%WyBB;)(D&Tz@^-VPGJ4lzD=cb~kmm-f6ni%C$NdsvyMKBYUL9 zuX1=C1pgd%ebh$8-{_D|DZN&7sbPa#f3?%%$U%B#XHugavxp})$}vT$F-kH2)18U_NIsS~MXAUSlw-hW=;rL^ z>8l;$oamgYzRz&KagJub@hSZ#W3}<3;aj80pwoHGMyuIuG#hnlO9J&eVl@hj*`(J= zcC|V-&Jpj5ivw?x%rm>)Xf&u?e#0}0Qcud{l!_FVl4OtaF1hu_zZ?u)`}p&A;n@jm z5@jJKqeCx0DvvxVml|H}L_d+Ik{VUOm~S+-GFw^+`6g3jGh#qBam44FQIRYNL%^AD zO0pu{hz89lx#56-E?`VDYesQ(lI4|>A1!#-(aIz5K5*>AqQ?Dugs&d%f6u_C-tJ$L zb#qqqeCqj7rnIlu+xI_nGSQdPb9!hBX+C>VORchQI?K+xzw=o6&B~DQxBLh6gfbx% zcxD6}!OPh+&V7w?S-!J9>#FRL*wNh~rBkE6tVB5^abV*GF^0x^e+o@ujeISPImsP- z9Ww@d1|;|Q-K`z3pI{hm9c8~?`+)ud<3pzDDdoPotWvu`Uuj%ynv?RV?{WPK;|iP0 zmtxRsB`^Bb2`Z(6GpZoIlq5_;_b;L8Qk3FLvCz~+JfxVEk;!BkQIKj94EUP5T(L}Y zHB~409o^J^NF}L`EU(`}{FZ*Q&Ngpw#m?ga^rka}cqEUwDvsl3@m@ARdK81wDX7sg zc`llr74@uB8p)4%yX zGsKfELUxmg6NR~|J*y%*FGSZ=cfRx+ll<R`;x$?{2m{20G3?)eVZQHK@Dx_c? zT2Sz$^*<2MfCgH%UVJXUNSvAA{Q@YbqMyqju<@kQyPQKZPcz3df>x@xSeDYc0`N4zC374C8Alxq<~Dw`nG5|V9>8qi#{{E^3I zW?pu9WT@t&_d?evAMU*Ep_6;0eYHEj2-QBngy_Fxy=tot?jC+ve)Ed^BS@X(w;R6& zx@1Ts4H`3_CPSC0E7L7dEl@Ah9nxLVX+64P9p&hN;`v7HQAt+xMA0YnP{~TEq$X7Q z&Z9wZWt57HqAD?ZZR7l66{%1yQ(>HtfSv{#=MSZ1DP2P;6)9$qR4ma<^iY;bhomc# zgkH-0o!YXE5iezmc&jL2H$`3oJK`_dBQJt)cm@*jM6Bp-RDluM_H&imLIgo)tvdWu zPPO>$`Ow0gZ)sug3Z2beEuHOyV4!)BWuV=(Qnk`Z8AlgS zp+sJ3v^t$yZ!j8l))x@Q^$!s;5%oepyWw%L2vkB!&vgssdHyMp;wMs?NPTMUOvl zxHyNYt(x=(59si2Lj*t~z4v&;1BCeaYO-)g)Mh*4i|WuLtaHTI*?V>z)!~~4%U$mg z3bQSqJkS}dGP+5ni#($`*F0aZ8C`L`W9Yy@DjLan z604=DmeiP*B#*UJwN$s%w=(2f@?tcW7>~u9V-Yt)b@<#)r1~gTN2y9YhJPCaT$Ezs zb}zYCOZ_}m*;t!q@LO8&R;pH7(P9@>KVGUDq8)7LXBk6A@$sq&+HrJ`hI{HW>^{;BFS!%54x{G#fj;Tua6@qet9TbEA4K)5U1CL}8*aYE4Xx2h?Z})Qsx&9v!plbPN@pF-l|fdYukb88%`= zDe~w7I;yKCLtpV|mT3-Yn5LRk?;aY}>FR(+u`6IIHk~jr6BY_+JP>cS9rg;HPVaeD z$X2QSLj0xDOQjf)#jErt`I;x)Bue5n8-9#NAw31EBR7(W^c;z}Vx-=0kR1fA@T24N ziEs|=3HcWB94#R~CPH|1_~M>~d{t6HKCaH8DyKMs916Id`7!87FofO^8&?oxiH*BM zg?>)~!^8g_TH%{FvtXN+e623gdk29;Z-G`LLR5rwF>#m{6NhOcL@{*pzh)pegwc~h z^OH^fGCuWEtEPD&-M2*3H@SIx(&)pwI+}hZwAAfwZVN4=we+>n{ON_o1Ie7aqS`;H zwrOs$DGhJ?^MITEaH& zVp~Rb+qSJ%Ic6LB*WJPwxs$hn#*jx6154C;by~dMk(R1YOT%-zEia)}TGzBveQDZw z{kXKU%u4;-)YY+1J2vTUFUD_fyeEEt<0J7W8h>E>qOqz&EOE!V?deU@a`Jh8ldil= zlL4xd^wFwu=`(cmbVqf6>iBUc z?S{(+W-vHeTs7Ssh_x@bI-N@B*hrJ*WZKkWreWaorc~2A1Exj+@s$dXKhwY6FZrA2 z%aZC!&dJO_luy^@ll(ZJJt-sQpyGsrx)p^As%YL)yp|R3v5~3QN-te4sJn1Mcns(3 zzg~g4Qz8%4^8b3fB97cX;hV{xTb_L7<}`}D&~8IzS1#6Ov&P0H`EyW~#zd<@C%IDh8-i9!24?=P6Yx!9zROFH0;8-8TckgSR0#;*4#KH9(2 zmN`9V^|TuFjufBfo~Cz{OtDW{*gY_;TeJJF)Xce~CHbPU)6}>qqf6PHz3#XdS8y(_ zpg7Bm?{=LGY$cM;m?Gs$9VMyI9duK-JIR^tZ0DTpUglP`iYbUKaP)}n;V4y=>IWH1 zWAAc|SKX~2YrH4+9>*c~XS&bhK9Bz@=Et}nd*hWX@wYOz|e8_l6ELo+eF@oYaV+zx>w= z(55f#n!027_9=nTFRvb$Ky&&(He>4x(`Rgz_SOBiwAa#O<)O==lh2YB2m3EP{?7Yv zinox(;fw4NO1%S)2Rf^D#O-V!(>|_mOy9V&n6kL1>C_l5wVy8Ho_qu9@<)x-ysy%U~^>gDbdE8jV^s zKNhD}X?Jq1(@2adhNJ`>MT$1vjb04;7+syVloLwgRu(F$vUx(z5!ut8BECZ~HTuse z_W}_c{iUf_FHNm~_G`}1FyXlV z?X-J){LI1gH*c6dehHZq_sR*9NHkjsowa>k!i2lu`0(W6M^LglA(zfZ-)Wr;Y|&7z z_vv%=9rRMJHP<M2y&U&L`~_KpV%_d^ zhY-8&4k3feW;8|LEVdQR)pw^I^_{F;odY!k^keiF6yL^PCsz$7Vq*ra$%vArRhj`s zi)roI1bk+r&t!VvOibp0xy)Q)=H_XZl!M9>%CpL_k_+DTQZi+{E2lUrSVfmmq~z~~ z7Mzz)1p!>IlP7%*c%|+FOn~GV|l<<3D<|Y(>V-I?vYWGhW#EkNeim zeQr_B^J@sJ?A?|cu6LrAcaOdP=I8GoL7sI-ZFHez*^p;v0wdkvw9)>oR4P^X*N$Qn zq)F;gT9pY*#6%leK9jCnuQ`;>Ev@34JKI`{9BrMwEkok_IEPs#I)*v#Q`~2}Mz7gT z5KD~uxVYk2aSURyPUAAuS`#&yc!E=-1R;28vOETbD=r}K*6K!SIYB+qJKW;&vd^C* zXdqEoMmKTCW}8x!ft0kI8(0?A#q^@O^F9Bc*1iNhj^awVs=KGJxq1%Gp|f?2BwMm1 z>##hsKx2Gij4dCsgN$W78jYl}M>EpQNV2d2dpQh=Lmcw6HaQk^gkzI{4;deX;1FQL zk;N=WzK{i+50e-Y5^vV=A7Ui^uc~`SV@#6W{nzqzzpk!&_3FJ>uimSEJ);a&Rb3dW zqLI{up5fCvG1RI*+t*!+p+s8Qw5=(pP)x%sTuifCcy{B7>fay#Xz~x(`R{LG3;yh^ zeCYPj?umDpRi?S??%Mu1Ugv&j92bEtFyYF{_a;A8)F)5$<9qK|(EkWovmFRAI}C3i zH#!_BbV}Ijt@YM<1KvUJw@lwQKW-Lt&6VZ@-jiO=OGa0jThv$}npu-IU&c91mD9no zJd*EsV&{}2z`1=KVwrm|-LpJAdu}7$yOi^b8uvh74|%*l#3xWOx`1T_&e(;nDw4U_ zN}uw7(nW&YR+_`;w`osUoQjQ?1YU$CMUm`z$i`dqFgP(YckaYhpje3pTWM26Q)4rU z^I;y6X_!ND#17qmza#h7k!v^P&8=U(@U_?2Z|)x2(zy6b_V37xH+Ap+tQU0Xs>xOC z$Dl)nXeK%r*km*soYh93^IGE~CodIv3#yIf&gxRXagOsE<6`GJ;W}f#@h|e9ax68a z)fHEkURiN%#h&W@)xw^HGRV&8 zNn~fVh?53m!S}%X6{Uzxu-) z9|`|*|BpVt?~$9fKlXzgw?1}V?kZn>&-&&AU%{>K?!$QZz8#-!{_|^FzsJse{p7Q+ z{^Z4Z{PNhC?t&B9v*pM^K&VY3K|hKReo6IFF-52>{DPFtysa)r;a z?Ha%N)~%t+`v4rYulQ;Z<=@-bnH%;Vy*Pam4I#vbhS%+ zr2WzX>7?|&bWReGR3r^bJEZ&dqSMlpBo|4rRtX%#N<4crM!bRNWM1$Y5dBj41KdgO zG{>Lh&T$OlRPGd9aoh?qJ;FpQ&BcU~;dE?FM4lL;i9#@nJIBYzx!=C_+Ql5M{Ng(# zXXU}kRk(%HXh-)2mU9N5VIJ3DxWiy@iw1+haSUg0AZ#`=5R!2=gHa%K8F?Y!X59nx z9wIW6$?TKmJw{w)Y%{hSS)mDWdkk z-6AU1a#4}9GjM#fv;?@r&yuf`N7-Td9rgoWc!bBLe7WEg{rp_1&D?J8 z^dkRn+}r#a{v+Z4@}G)1c3C#CEXVM?AW0Ab86;8k3A|Gfc$VXQ z2H9ya$TCQt6EVn_{1TLCG$NTJUxsQZ5#balRjL1yy9bsYqYp7Y@cR&ML+zk%UX%G( z#Y=jp`A)Y`hthkfdXNjtv)fNX9+IL9XAeyh7eE4HD@%`B(r!SOstf#*SWwW)leo3O z52rT|sq}QPSWnHR&&h_69`^DO%@)(gOov?L^xh%G&ub@oWum8p#`JSGti{U#`@0+# zoi6Cj>1?G3Xm#O`hcy1(!91-x?&_q@Dw&R2yefb~3*}Z&gJ!DTyN@FSEK1FDwa3AYP1?C`wstPj9v26EV3n+fBE$WL_YGV- z9L^coBEz6zhhfUVkzYS$Sk2H7Ow{1y!U}Xh!Y9EEWwNXemzk4QRobki#y3GnLPl`w zxcwo-konWgJnF!osoX!{!lf%Ww@X+Zc#0jJb9|bvZaOjd``X* zrXc1^bG?du%7@7>B{4pjix%G=`eH%X5sz-=%&7_NSw)K3V{*lcidy8&<`JevzaOs9C2mjCbbv@VaY~jl9U2#MAbtj&D zYNCSqPV|PBdmo%m|KJg2E9r-nI%Y|MII1DWBU>;MBDIc)Eahzi>ICzfC z+1bFrF$M2aPI*pyraYXCysY!YL>`CkKV&P?kpAwMEeG;=8L{PR}Q{f<+u@3n>OxODz)yHF|mM&3)^%_X4gT8 zF5vscOLh=gdJl6hTAYdUX4;@{`L)neK3 z!SM4IMHAacnpfD2aFTrE|Gayb4zP!~P;43XW?Zni4=?1D*sY)@loBqdPrz_XGaDjJ z(7DFFqMSj*{Ygr9*Qb4QsBjWJ!yaI3i{QX>VU$=Qrl!fGYo4#?6jfG&s;kddVkDge zThy1amL<1>ZNdV$u5;VgBxe?%Vu=m3K7+h}ebz!|$3MZAT6_bByXLtzy4PV4A zp7(#teDkk>fmq@zU){GE>lpTy(=Bo9G7s<+**39{_>~XW+H#onXUDGl!kyzKs-I<= zC8%WS*OJP($}bfzIqiu0)DCft8ZWaC>%Kz6MhzkdqCV~$e|>z7&Y$km%28nVgiev; zLo^pwMtB!OVLhyBOrh539xB}u;Et&r{A!;0gvq1>G6u^ewbfNx)hmzIqwFsp1#1Ey z-;KALrBwp#wYM&b-(vv@$O9~Tx=j`@i`^j<3_eHe$zc(f0|K63NiDkWuP41V6_lix z=DR8W_j55I?~$_TEDJ0#8pDxkfaXm08|Itr{?DLbaS0<`tv%t9eqN~K2jOVN?c#p? zAlrM}HQy;;(8J~Lw+wM<> z!Hib?%_2-c9(E^7ecdWh8a(5_V-a!TLXK5`%_e z=e-EUgU@PRf8OIySai>^i!QwUjR)g|UKbdah>nVMFw)=WlZeuOrGGTFN&souzXzy^ zuUGH59jT~IZJg2`juT?Fw;#=glUrw?pxUCmZ4^(}L;8Jje?;`MR}X5;ljxAr(Q2uo z(67;N(QT&{gwH*5A}$*WaeKNoJqO zh{}-5m^wH*Kv%u*Y_b~8tbcMSsVnI$VOB|}-cWjmf88kdq<&G|(R!)o<*W({B1{s|m*MLP9Ah}Bru1`esg6Sly)DJE!A#iEOF;BP18(I#vp9=Oj1O6SGLu&WRHk_}W zsdWs`$s4t8H2D+~Sf8f&VAlP;D9g*zlHEuhV&?PvbIhZ-%146lca47JM69L>ox8w+ z_LMy2t;oa}PhJ@M;%Nfbmse7^4l9^}7rOcbSXOHoOIo2&OEVf6wF!E%aIS{U@5^uz zgIAP>^pHYnVnke%zPwrJl%eE#-apC~>|rdu@YR*E#@*o)B4X0O8mx_fggkORS6C`s zuE~_fk?bEz^gzq0YD)cDZR8(*`eOEC$RcM2Yp!CSeoACp*k8Nv_PwtjPNqNJ(lfF( zYN*nrtUN8muOJJnBM0$q8^Jg>Zu@mI{m5JiE2iAb?ew!K4wQiip zKq>OaHCWwGVKke+4g^V&iov`MkH4k)MbrhxYU&Z5`7JPA$)U#jDq3~NWn+(20#79= z2ywGU8`~!q`f6C%>As?avb(O6J0CrpB~kvHIi}9nh|et_uhSTamelY&XX~zT( z#63VQNnVI-REnlEt@bIQ(RGensAY9s^Yg8OKUcXe(~wDP>JXb@>^B5q0Lu|^gV}l`@s%Dk4J9Tudz)Qdq71) zN~PvQ6A!ikSVU@P$Y?gW?x@?r90k;zjFnH?q)EdRgP-RTRXnJp)%n4I-SC?RMT}ix z|GxMiXvkJ>+}Pd?aeB_)B_2Q0B(^BYpnxS-P!;K;dP24_wF2^c?#}2lw3-ADdj?cw z(s@?|NBrD68Q1`s_)Syh+r*kfK})vf{o@!)k|RZ(Da`SZe(CT6&#Efo%z*H>n@7 zk$Y5t?UOjB2NlOII&n}|HoA2YNS}+RM+`J+a=c?KJajVu`B?@9TWj3e1(>0 zRxrmg^mhp&Ou4GX3aYu3=0;gbC3PPiK?b+8WGN{YVqV)MbX5u5y(|xhwP^;ox4J4< zQm4^&n$OV+^V9Kf7lHC%#5G1cz?lnh)IW0@Iwgnl0|bt#G<_QNPOJMf)R-1~_!z>T zp0n_h>`Xl0w>xYB$fECM?2QRoE5jWc0V5BF?g1--`!&l$SDRjfPe`*Ke~B2)t5wbY zq6~PylFpyXY2U*yrOugqxeKWQsjtG@aFu!p?JwE33V`Xf# zv=(Mn4R_=&DyDFTgvr}{> z9as>Iq^`7I<{^IcP1e4;zFRr#7>sn|A>*$K^fEf7W#{wgr82%^smAoPPC+M4q?usp z{kb>yky^aqKIxkJw6_`3n?4x)hi{5?dU+c!Tt3>$mnk5xXN}?{Vz->5!Ej@QcBnE- zvd>1VFjhk?a@#KzAr|))-XXsHug)Jyt~=&wAE9M~2|4s-9p!ubh35M|D66alok0(D zg=#}z#QTY%4g{@-x+KLryY8O(w&}ORNr*{c{ZdVhmD1P%{rF4zh7nzZN=B8`lhotX z4BD5q$fRfKk)>*R&yoTbO2ZgV5-y{UQ1?lff`{SEjVZn6tL7V*&7)090h5os`^Kxl z2cuVxX@NA#M1`#1uR;1CvM{TtwI8}A1A7e<@~yS$z50O2`USH@e3yCkn*zW zMH@r4^kTE)ag`9uDn|8UYhHydgkjQ^3fWk-Yr%3d@a=+3fdg_qvmaYKiPu2kQIX7y z#?YZlZypjOKFYsqz_62&h?Aowapvc=%<@@78V_?^PustzeA)`vZ2iOllF>WGrsXd2 zH`D)qLEk(5F^d6DH)l`i28&||q@rsZ;;LzXvS_K=)X&6oyWT2~&o4(DrYv}vIB%}8 z%)Io}E~A~;Fr?e1ro6`8Zu1UYbGR!}&|PW^9&JN8KFc67h3#h1ZzeR-MZxB&Zs{0X zzUYA<1G-HLaw2_h>tzF))Tb`e9E$n8SME&Jprub*Pl9g^I73>Ip-G}bU?PSRYTiq1 zm3xEf*6EaaL(BO=P#SV&6RS%3+;!~F)Co4el*TWN{sWI6#YFt`k7l}2l9_b89+9P1 zkTJE>atsV!g;A8tO#GNSViIp)*kHTC=`85juOzGP%({|!NJ`2m`P<&4~x>MzZ)0U3fz69oQ`yalHWyalpjz2 zUEZ_E0}k7ZP3=Tbk7b%RleplAxRNFZ#x5u}*0RG0M@HBWcD4t?Kllnzaa*KzNCZNL zzbJM8x`ATPP5E(c3~rGaVcZ4&+d=&zVw{Ohk?bMoC1$(`D*dsPN3P51f3py+QqPpp z)&0hy*gg(k(;V|uPrXa;W=WP`DDJ=?47=$!jb#?gOstwAX9M2wC;`idjlqQRCpK-+ZX?eTiO9V!`OB`9^s}`YX_{hNTN)@!Rj7BM=en zIQJIS@3kPbhnSy;S?Z2Z)T9avVg+H}fiz<920E}^IFlHc$*Mw}Dt|0&%{ZP9o5+#m zeIgcV7HY9iAUBb>+zw_Plhpd#UTNuR$uV91dPZ=+Q-vkD)h3rEAKzPovsWDX)sG=` z+QIFceu;8rwdbFaD(-F#=xX`u-INF-0#C>z|aN!v&-?`R{8tNky#a6uWSIW z9&3BS0K=L!bJ2jlA{y8ou4l!7Km#C*k1_k;)r1gHs=Zo0fbv%VYJi{Ic49UI#-48@ z6NQkWSo1dqA>-BlD-%I$7GeVY?V{pvhi-X^8}7R2Nx&&p$ltpgp`mnHw`M?F6(5v& zE#;gM@hO0tHrK|p2O(l~Pti$TI70g`H!X|M#Ra#OXoC(iKD!Xqs~kRi!^IWDMJ@PJ z>*$MtK{WWfY;^=0J5Xh76xy*=8&6C>2whEXt>m5wj7)nZt9n8lgeCL5zMMGD>br!4(=w*Tb7vtYm);}j1VPCn8Bk<=g)RSIQ$aFn#o!m>fL zS2?BEAZK;hdy^q+`ljm2$*@VWu}hb|8)iw)WEz{$9&Q5-aYT^Hi$8Jk- z3^B@bZ8?V71kWKQmT>XN`f@Sw_3M^zP%rsaCALVFyZ)k%>W*B5zFPO9bS&d$$%t=H zmfIk`x&}&;MB0+vu>6DCN$laOxzM=nN!0>zMvFZWNwURZE+Bma`HK?8gU}ekTw8YT z**tt<6|xKgUJ*CFxayd>W8EQaF|_NV;7y@$!+-hgv&fpRF3EAPRQRwlbDT)%B!E4} z#CT5=!Gq8H32f;hkpBjf>X{B3`&+@fn<9mO3nke3o!z>GwbIgnPjOCqU03X=vFFIn zDx}-9?6{aq+=)f1ZL7wpLWm|;7qXHdVy^*6Ty)okiu?+5;7(Js8%lb)H*V68ymv_K zwk0#!!}D(M3g@~Z{}BzZu7|kRSH{= z*I0{$(~sEWtBO&s1C3XWSFjfi0qUjZo>gmMp*9%_?N_G->iyUoGHx4XFXEM>p*9U2 zEEUcv$eu z8JhZ0=QcXbO!yC&Qlhzk2p(hS&P<7dKVqR=RUL( z*)cj45w+J-#xr=R-tGRs5uwA zkjU7Ucn9`O{Sw{+8p>I&0FVR3%RX8Z%}ult8u7EMu|wL^v#^WtC;{&*2Xm+6%h$kL z4wfG)CQG^1gbNs7%J^?ur0L5sMNXF~GW1smaZPlUp8o4*tUb)4ML6%7a40!H?#Cv~ z-JDGyeC;~;dHkzX9tcWVQj1(tdk(?J0k^0%U&Y(7{a0 zIp!`C{7>`^alpc^$s!Qpyaj@V|7xHY)U(fd0-UgK(=CVex5eqY&sij*(!|TkGBgNX zVS^)U0p>b2)?5``o;h37>^e@n@JqvfJEX#KNpn2KX%S|I@(PofktvD6X9-8!M91zf6+17(rZw?Yv)~8sJL3gkpT2?&g%5NVZhOWX7>^ zQT0=hq@F|`7!!v*B`rZD0%jo_5kZ*F>KDy8 zlfwh^T%i8#4XQ^;YoHK9Q~EP@{vpAWh3}=CCt`&Yk&T~@ztbg3h@a)bivp1}54wij zpOqq8X33PD%cJ;hG-t<78EO|_m||y0gi^qUa!2=%9qKV8IIjS!sFD)pG9ux<;1e0k zz?YL73NNg}J1&l~O0Wp;PeCt&GZ9>8gqW}*A15ak1pBbC!KtW`YapWxylqe)Tws9_ zbtE0Ma5M@YXdKmFTj`aI!fib$O{b8hJ>yo10~0s1R3siek`Fb{14iRsr~v6Ak~)6o zM^UW9Y-v?gCUU>Oe`0nWh*mK>nNUC%_x_Y>8sV_sgpolO^fAqYo8!aAP(TI*2;%%X z14j8BMBuw(b(K=V$-GB#)~377#3+Vt2x1Q zddAd53S3VJMbR9K{7p9%QupYG5-hY+DlfFuWVx8sm#A6oLaVmn>1j!2uxG~1yNkXUaKjf-=}&d8ET36gdmvVSl~TUH0g_9qBqcIJksybM-^+p%a^1*#eWsj}Mu|Xf_j!O?#=3Q^;M0uKy%7TpWVL zSa24)iUUW%O3ET!&N&rhSVG7&xT7G>Sl|rO&is-9=m_FX=ud!AdGRfG2>n&)y^;CN z<8J5>E)D{vIfp)t$eySyp^$J%hN}GYNJs%+ZUt%FZK=sVJuZJ4WISBJ(rFrdL>d!_ z#v)dT@&YpjDD3&47at}~X@_Dz8yY9kSp~)=Vd_d97QL(@)oE+1>^%0FY@Ukhx@!6O zW%_{r_O%9F+`ZM!*-G{q^LvihDF<9&T<;mRJlgD*jkL%Kr0yQM<%jkYXYv z@Kn{24ujxopbT_KP(3*ca}tzruq8GjZjSg`!>OuonpVsA-=K5ret&2=k*e_}w~@$P z@`KU7FmWS;3lwp_-sMJBs-`70aWnHc;RC`zQ3|5z1OKhUMZB7{eucJ!oV{C?Hb`od z>^DBv?ieH1&(zNJpKyBmfBIll6FI}puk_@%4x~JycZ1;$ji5U;wEf*gxrX6<%X5hh z4&`g-nU6vIvDy~Z;jM>G$i2qy^PBw!rHZ-L{XYp#Z2xxzCn6SRmj4adq!Ygp9>9nc zeDi?TcBzXdw@4ruXz|Of4s!Vlbk+D0#u9E$7x0`h>7=##FW8p(d(w8ev^=Ki%#^^p zRf&!9p_*g8k99i}#K+IlHZ@lJ#iARvdudh^!`;3?mIbcqOg#A{QzGZfDSfM74U$iH zH%RP8KHy?1sb*T`psh{g9TYS*ZKRT_HF#Rh!}>~#2Pj6dxw=W<=H%}c+e~E55J<|X z|7NMufUy>MHJh5g`=wgnLxLVSXu)@ut)%nWqU!}C&)u3m?Ey(FCkZ)ZVIY+V3je6% zuV)l`<_#YDTKxFsiLZ{{D$+u}6u_36cJP zSVUayrSBv8^+oREnJ46KaU!<1Xxd=a(8b?U1KPPxB>p*$bRf2Db{%>O@3i;0Vqnel&Ho69a}w?wgfPVFt*o*TThG+7GAWBqL@ zW-e%GVx(S43M3L7KfCnYI4~$AFcheeR+_wkBat=@|g1T^K;jDATXf(Txhb16 z77$JZpuufs=eZw34&=2LZY~wDAcvjDKqMEUv~LTj@g!I*Ln@Q!*kd20&ns+iVFJ)t z&2(i|+VgRh5@_wn78Iz7VbE1k>Pj4cbS$&uv_H)WtB(JNG10#+cbCsaoK=_@ki_Vb z`vFIbYAZ&uAtDBQ(jM*>6ZtDG=R6T0nGIkR369AcYqwGND$ra7q+V`Zz~)(pz$TuU zO*WnmAYr=z^6P0gB`6F}z}shHThI6Ev=L!NK}Z)A1Q`yn2oD|QZ=eczTA9VVu&e5R zmythV1AI`T_5X?W^GA2c#S+90JCGJcmkUIg*Jo*zvK0cg1j7|BqerGbTG2;&g`gj3 zra=mp3XAQ{{s%qUgESEpdXpQ_pEW9gyeAas14W9gj7%@qDjHx9HAM9Q(QopDAHI>; zWiUv-#^)p)0SR=5VuNxWM>U{p-YSCg|J6w_(hmJDDgI_t*`u%q*FxMyutCFB)2r=6 zq8)hE71u42jfHSw1A)H`JfEe0Gk9lv;wYXOe!x*be5+K*Qg;Je=gqYb9Xew9;0*gF zLr=OqzS0`MP?}Su?u*;69=HURlJC>%ML+Id=fyIw#K{0^i0U5%Oh&9g%tz=S+F<`- zu--0IiMuk_MUmN)x^M%!Vs5X{%XR0BFXRSkpcm7Y1<#QK9HmI|g!+77$xfOnvE!Fl znu4U4^5G0HML11^wA1N8GG>Q=J16eMKG7hv4S7hb2^W@0-r3A zt3wmp5^#gHc<}_~TpI8M_3-*(_kmaK*!VtUYXm6G~t~@UaP-texwFVXzL$9_of@bNCZJ4<|3}VHY5N z$N}Rkmb2GA_8IUV{E>dUWbbty#}?XEtGU7AsQ9G}hA7;A52jr##C`AZDZ9r%@gk$P zv)R(B=W4LGpk~)YxpU|2kaO;Llel33mlJ@6>0JT);2rWwzo+gH%C+@l>&CWe+ScQ2 zP>p$Q=_PQ_m$!FMV0l$_6}L^bBLm=mGsL`}7vtE5ebLWBP1=}M=m}A}G{Pe9f-g8E z549b6y6WZDM%;*DqJ zp@o!=#QdaT-1P-BUz`D?3O>Ug=z;OC&jAQVod=Bx5=>FSr!ps3n`r+U=klBFo^Lbq zxn1expYa*gusB|XPv{9K$PMA$D1nbt@J!Z~j85x>-puf(soSO)E$Q=a_eNMP?4A)k zzXSl})4qDO$Bq3KKsyZ?IS0id@xkWRxFN9f4?fiP?0%-t68I#emJaa%AW6vvo&p9&FP5;$kkNDbz#q&kIf6K!twXuQ?)dt12I$}c?eHp*r zKVl!_Q_)GdT|@(O6Tc02qkN4ZP~JiE(O%Ej3A~au=Uv<^VTiq>pkw-L1yumEV_Jy| zz1Z^ZlAtf*Ai~ipKNK{Vw(pYzwphQ%_Q5gfM#LQSnrD&n*_CbstYHna7UG0}&N^zb z!JaXEM6?;oymBOUdZVC_E#I5=9h24&Thdn8i(!z8TfMMz^l$%7XgdR`b*Fqbm}}n7 zQEk#~Z6`c+YZZXo?E5xj^SSlp;8D&l2Vpj(=bz_lP3y6X_JJkH4$Vi{M02q3(Zxa* zB)vxelRW_#J?Rc)d2x)hFy@u8gk=z70liz!I3&pq&@0frZD7Tdc&kKC@ZA|@=z;+) zvXOOw%p-7~E5-DM@9r0Xz}pI>GWKduFYsFc89}CJpWuz)1ryTW8sT zXh9?#mZh5NM1PtILgq#Sk0&ijo&@IXdKpG07*IksgWU&eBO*Cof$*O|dQQ62oj>C| zLh|bsdQPXzGnymWI$_fngcH$?;JEvA! z{ZqbY>xY2P4nzjA6~O{6viharrx@M4s@zIw&%S?y^h|0cxEgiqx=d)(+pQ=%ytsmbHMiXCdA8ye0WcLkH4Hn^_PC*013rt~*&o?bWK|tAzQvqlwb#RS5I+o)J?>KZiu1f9)?XqRnBh9pMGt zxSHcBwQJ0~1S7${l0s3a5MWi~?+^?u*DM5W4dnHSBd1f!h>APiQUnzJ5iY5m2#;6;4Q2U9v)2JJq z1JtP+i1P_VaKt!L#I54m4dsG@C@UO52xU`QAre_K_v^79;c<8t^5@;a+ThlzJVC>! zPCyWM<@M)chA{5Lp~tY<(Lzx4YtbRlGwur(#AB^21!*S?KzWFmuT8#Rr0z<$??szJ znrQf{LyIVsD~Tx1>HqKHvX`}(6!PdsLVfTdZl^8eYiG#U?i-M^Kgt7Cio!)X{c_1O z_>JYd_8_p^aD$`s{H@S>L4Su^c?Rs*&B689`u?%OBabQW`IX;iA4s@1Zbx~X$Q>tP zL{H;jlPzGOez@^2#xK?A8V>TXnRZgc>w5f3v;VV^#=h)(;p`du%$z(3ucWX_BH@C- z8Px?Q)`6rN0Qo{r=mqo(@{T0OX^mYS0;7f~YR#{=bhvLV%jxvnxjE$ftpwze}^^L0^!TgP8R?sNlEUi={ zP$f`nrnaSdPtx<)n!Uhe({wKFS|nprxhgm=|6J^|$m15JQ@U9Cdp>Y(bdJiuhI!%m z7VlNo&EI|3J>hNXoe^&qACH#xA8l)h+&zIgzCK=@Y>8}%V!_z5eg@I!oa431r(@?z z;kE?e`Tp=3^tJXy@%{9L{+03J3Lv}{*t5O6dAxbgMc}7|9jA>AITm}7YrgUP`JH$LfkxdMYR*$UUwMMQD5bI0Sad~>X zlLGGP-E+KT7cv!~sg`;w+Kjr=J=iKJ*i@a%s4eJG*-}an=2a$y=4NAN10s0 zbB~!7;67f$JS_IhD&(uUohQnoqbGPma*xwnkNZFPo7k;NZ0Grud81mN0~|z^pC9uBD}-N14{{-xMrRs< zY)};ldY`ZTGbY~6hqu!Vo`l^;4RSeE?@n7Kh(7H&y9*L+m!{PUC*I-6UkjTGpgGU) ztVfDxd3zx+i16I3yNW#`Z6VAE!q!N4y_j5m*8uu zEztHTHy?bZ;8BhVBDo{a6gbWYN0_%3s7xjPU{{JOnRJNnV;LNBwojo6Iw-g1S|uym z3US^dQ<`m1;2T?zuhWPmM}4EF`BGnwm3_qJS7zN-#**kaHG{ug@?XAbI+ zz5%#<80zpZeFwLU#ZVQBRV#h?c5q*QN^kV)zzqGw0OaeCsapmBC0+>R9<&?2ZV;sb zjBF4aBm8!trW^eBzn)&L9~>9xPOp<2=5s&48;nWs&K^4eXglC#PA#VQr543R2wxl6 zan|!hm}PN**X-ZxEEKE(@Y|yy0Ha#;Mi<1_zhZ7CCkRp>n>L7|6{0(UP#;o5;+iB; zG7uSCUI6KELLEq$sh;P`mwW z#(V%s`~fk$Mx0PN{d#+-_oQ6nUw)=b0%JX+_746ZvhwkIqcvm^nI!my34xNfyd(cm zq{~FHlgfmh|0uvOO4ggBu_>`M&6-&hF)fN4m1RBVA)J$Ok)^bkW_Xz4MU_Ca#y#ij zmd|ID#!$p{lf_UZ`24W9{s+6o8elR{+wNbTSmglssnjmsF5fPsNne#{lWdc89lI>; zD)X{8_8R)w+9>vewxzaC$WC^V^en_IR4e2tbhWGLrnyStkLQo$Pw7sMmXs(WEb^WG zIp%%m4jw5z{J&%s=Jif%9?`C1Bb5`4`xkO=fCM?=si=T=u!TGOi3}>P|FxJpH;xbqyIB)K)7l*`B#Q1G>hx4Q(4- zSM^qPn`62rwvBEY5LbPkiQj3ml?m%&)`VO_z5Dt9=F|;bIzEHH$9oTToA?^-)Y`5g zKjXh=fA&V#_cRc$F+C$bD?Br}WPXZvlj+r=UYfeZfA;(QEz!qqoK+$F&kcT;yc55v z-^C5PItUZsNn_r~s!E+QEQwkeHcze`#43-2C=h3B#^XB!;yR$TnHJM~RS0E6K4gsC z20s(QGiqo?>PsOpwzI~|o})8>QzUI3?^NcL_GhTt6n4$f1ypO$##m<6q1nI6xMJ19 z1+^o7Ys|)^rB>@sv|BE7?4=$)bzw6mZOX%iqa)qgcs>quuQ81I!GeG8z>Dccro088|6@XX)jBT!DB>3^tF=M_^dWpFi^LkM-pf zlxi!ziDe5GB{QiS92enhj>pR!lNmd$`g{39YV>XA3clSxWn9IU)m_(bxHj6|hCkdb zjxDc=DodM=&uE^N>JH1#t(VP?z3z7oc7fYn5k!IeQ=<%molY^2{jri}h?b^C8{fAy z(+!u)%_h$o$C;L%+kF{^p8Iplnx4BK9#_vpO4s}D*30&{{&$Ek-|gH8B%=5Indync zh-2G9+vc72wHv)FZwgQL1pdrX^w0FS#x)icSa@)zp4;}W5Gd^1$;-q=M#chVhpVcI zxQAxB06EuKiH9dS#o&nhCVj~Ofn`LUxYlGbRe?~=Z(|B2kggEQ6xE8p#d9JVIRYk< zjFbe++WcY(F=xf0@_80_e~Tvl-!q4BL?@38oS?|lf*h1}q*OOX z3z^U*ceLZR%OGN4JPLDHpw=TBXF@>E?OrBP*d)uB_WI_oLg_x}@?h}c~5aGp5p)s&1f}yDg{JEJTHAmDyE0(OgHq^EjO@e+cX%w4}Em+W3 zKuNPDc}1qu;Swx83yoRPTL;HuVjnp$=?TC{e^9}THgBv;C{kCWI5cw+>yZKL~+>6{xCGKg70`Y`xsbMjf*xl@YQl+7Mj@7=x zpV1|(hMb5I@v6W zG0hXDY0KIl8Cz@913qrgy!@C2StA<6R>AuZ-h$Nzb*`!+NF! z>czc^a<;AHD1ibrbHdfFVx_)S19WBHB`O?-Fjc4ViS{)2ynZxepN|r#l5C8`*l%o0iy9CuL z=IlAuaA32g@_FMXCzYr2^k%z-MB}COP76ryNdD$>12^Xsp^bhXwGl`e+wwuNNwRT%chy5Zcxqu(f3PV4Sw5cN?ni}|QC8>sg=W4{;AW1d!vm>k#Zs1WG$!DgC5Q9B~~B#$P} z&Z~|>9t`}ldf64@7RV-<39XZGKvw$Z~qXpv3=A1siRP~E^)i+XH%P< zHDt^?*GAUL%}vbD&?(q(8uzfIZO?jvD%jEd^g=%ThdcpKz;7e#}p+#p~_(_)W zj&x-3i?s;i)$^~#UM(nv#DCMA@W& zq$zgyp-Mc=f>_G@GRS7emN!}xFf6N@8Sq~62zgyOuZ>Ji1B zq5t2QH_A`^-}on5pTPz)Q?*Yi2mXbUgVJus|GS~|p9EQT*wq9SYA$icmkgwa!z- z6W=legm#PU1a~V8GJ1W3CBVN>wP|iu6p3yPCDF0oQQd&uo(hMwkaR8wkr;6dn1%WJ z#RIFLW=K!LnlSfR0vWFeB@O4==h~y%tJ+VT?^U-9@S{}4X>gOO#vj5vRk$h@n>e%6 z#qfq^L0ZC3n7zJ2|Aj#DM}Vn_KG<)1MK*li@#Gvs8b+53n8bIb9lpxevn6b%ONGNf zg7k|P{>}rs(bQE&W-LOuBM_vLl*9FpJ4-h8v2)i_hIHE)y@ncxGA8~4Ho)yKfLb9z zBRV8DCIujO=F_9T(tt>5rgR|BBY8nl6M|%ZG-c3<6RrwP9nLMQP3rF+6c!b1Rwp-V zi(1o}7a;R(#Ip}+SrN?$;wS`+E||5^g|;-i_4FT8lAeZP+|Y|=Xm-AamTS(x5w$mx zU*X%`w_MF2yI0x3Sn02{fC;!T@0E^b(}QA`>_F z`4E+qBV3VAmPK$lc$J8>WEI4B)h&jgKU)L-FrtLs6FhD2eF6{lNDSqBdKES^iF$ro z8yohXZe@83Fk^aZg-2x)&V*RwAUJ$9z9@Z5-*l~F-U%FZZETEq%D7|@RM-x?*Pw|s zy44JM_9Y8ewv1(5=)`^|waj_OwG8ttYaNZeVch)P-ttOUl%hUOHtjrG-3w}k@r`%^ z@y$pzEOQOx*p+)gP6GJ^ z^C+ZL%FI8_lb>IDWNeqWoXU9==+>w`$|^kNwzLExLe>L9#9fBQ&_>vxB4O*UC!6MFKpVGU-}%H;!zwBNROJT7g=gNfc2VI^V+ zk(B%~U7+b{lJ({ALWUY}F+QvU#zCnH@xX~JUr7tAJ7C+xW5&vc$gn0vho_=?sSnTU z0Z`YS!I7qpyQ1j}-nJ5Ampp)4dlV5mvcggbc3^K*3S>YZiklfhzQ>-5r3NTRne>f7 zoou)W;_KGSses22?*7~5+6^J#J!PWOs;6U9u_Lc2^mmL4H&&6Q6>&OzB9UoUt3{f; z5S7p+fq*^EG`X{+{p`?qi>M=WNFTx7t1EH6TV05wyzqTh;bDzveAa1wB>xi5<92tW z6Lz+H%1^u2UqDmYYIe$dE+%gB7+*}oTUms_q*zG?OQA?9-FWMM+Kf^uc;cY7}tZyo<%?Cu*sk+3dC;@n~(C??I0qSByJqy~C84&>WA+Jh9 zepRJh$w;=&=yz}TA$C5vszKPw-YVAdP=0Ovn+m-1Ms~P8gsubL98dEPc?e@v(LLww zL|#@i@m;yKp|zn-=of6;`r8(9%>>Ug_ijLzal*3k(0S@%-fWTPuI%5wFQak)Zu%8v zU%BUWe-mFv7e_z;rWxMwq-}{;rSr|>rU&K|Xp z7vv_P*3X# zGjKCCuY2ZwhI^)UMx{A56B&>fWZ~qjnw3gH}HH3tBpw zS!S)!EAO`xsMAq7hL!X>^Z} zv0Vx)%7SrxGw{m?^tMzj=-mqYS^`l#c5PgDb=ucOS}hmOK&_7n03JQcGglD&V?#vo zXXM&cFBrCet#!aIrH^9M_5We)oI`~R`bGWj{l43_ZQHhO+qP}nwr$(CZQDA#)9u!G zPq)r$)oPkre@s);{O~*sdw`pCIt3}0ee^V1!5mwmb%Zc3PHd9?X0}YiT^s# zRIAAXT{O&+@_cBQX2kl2?}yuO?YjZ$FS-6?_fOtn5Jh_U!9`sT{o;e+p*JFxE0)<% zx*2K{!=8s`OAzGXhO-T*uFM6kdDB5Abl;Bbh8|tbHY$qvsNm&=N$bDjJHIF zwc_~VLCgL5{l7U&%K&?D zj)r>hlnJl;WSy`3dv5D!$L0S1BbuH~mXt)Gg5e|}kEk@oy1#|Cr9v~290PcYQX|Yk zP=`86hT2Mp4{A9w{Wkj%NrZ22vkp>OGn8Om;xhyR^gEtOk&sQ}930AWO%Jr|_E_fb z;O6Yeil~QRL-C;N|*WZe67V)u)-#p5*L8&vB{VZa{y5A7^Bw zB&3q{J=O7;+_1V%%cv$umSno^q?Z0hP=kA6(I-5jo3_d=U+6ngt5i-T@i7qf zR7#{ojGEfFc&6+@oh6ZCp;;XHEL@>pBURs_x^(vnGw9Qk?b@3#bP?&+9chdcizLj= z5wh>vo`|%>J@Up=ZIBB6n5Kd906L3_X<7q{*%mTgh&E1FOe~4D*4UUw>6E7dMbV9` zJLQ90`4gXUW-Bq>R8U5<&9R`Aiz+E0#UUkE@<$?hmQS3;%SDNaM=q_=*!-cu-_FZ( z@dm{LufkP{^VHvFq@r=8y^a%t>3VX1$e&{To;MH~tulm9lUTOy!aQWF#-5BZ|9)1TW-`u%(lQZ%G9rRmU)&4(gr+86Vs!ijY8yM(5f)J z{yC@IMPgMEeaaYf7y&-W{1$pX@g%1c3FS4LZc(Is9dLg0uG{kWUTKPAx3i|%ns zC%e1a&0MWGrE7I=M?d3r{CH|cvPG>mWHT`4s#PBB+{HICVR~XF?9>WdD!hGS1ESd_hT zP-MH0#{pSS1hEvCZ}sqpRv2F{e4e1Z?@wOMw&<6s*}r@AMYFC6s>7wIqO4x1wLXL@gC3Mk)`RbxN;XJVQLjDrLFzB+L(t1hiY5Qg*u-?GKc zs6A`?ey$ZbBfo^)2bO0CcLWE73Iq)J4EQ5K3&)u@!c4P%YY6*~u+?=Vp-hzaQe@!x z1TI7`#Rx!)F+P2?4#$VY4&f>HgCLL=YINtf(C?{x>5`j-s)>zreatK$Bbwmy4@olW z95d$qUQYMx;V0H!5R$(u(Ba**@9xZ-*ncu1vS&ADOSBuslOCw7`;SRpr{V?T>v>H>3pM0L`4e*NVNnYRz z$t;8jOl&M^#2=VkP05Qc=Vn!QJvHOWuK#V9(Z-R{a3r{6FqF+HB{dwpLG;36@iYu{0w z4v!ft-1jSiek|5tUVP!ritp?!q6PBg!P<^xV#go;KSg6$_$aA3qSJJT^_p#)v%V#l z=mFAr^iK?7=e#z(0%=qO3(Zl6Ad%tFGe)~T0s|3r@kN=;v&8Me^yhOf+;D0RYpLN@ zjI_1&wuIF^4X`+_#6CrDl6kiJj(gwSAvD3B$mnC%&{6s{*_>|SKfp6ss51(-%Gjz% zsHF=Rkn9xbYqoyhfC1O=1A-Uq7g}@|STF224cr%>6$chaf$&DmX|#-IpLM_riRRlD zc*u>sxMZ+8*g9A=OoJ>#3QXKA-E7@l*+U4MUF9Sz3)gX+o3eIZDA{Ov$2q0pmxl%Y zs`?Bg@A{Xg>-aNMiDhLqS-|pJS(>+Kix%!%_2Rcoes%HeT!B^`GDTSiWQHFT&r>&GCfd}3ECJ1TEaOKM3YY_m2g_0lgBcDru6L529id}uxD;TPYV~Hem z9fWnHLh+KRC-ez~7zK{NUHL0#hLf+P)-(xl4#Jx{r-p3q`8EV?00rfjVe1H^aiN0| z#7QRpiLR4cW7?S}h9*jx9SscA3u;H#<1dx^CTdMKbv3s-k*@ra7PIzlhBNbWgJ2?emxkW$Mc7Dz_JrduQSMF=%dc}%}3hiQ8i&f5r`+D(Mdqsm%5 zu0uT-twla9>}p0b5Qi>p$+1n+gL=a*W%OPd^_JzzEe50>XL->jww73vvF{GNZ@1gCltQ1*YQb z;BJS*xM+6QL{Yn@pGg`U*m&dXX7sL7(%L@?NAvb#FICu-a}UH?S3jmRr_NS%* zQ%-v-Y@T|?>qKpPNl=%U=>*%CNx!RL?(Rl$1g#^*{v8afOf_O_ac~=Et7?OwPF`H^8 zOWAdMuyfHrQN6o>B)2MJb{YGXleQSYeLlEM!7;09+O5eW?1b7q?1)h;d~xWTf#He z;5cxN1%1F!vvb!OLAGkMYXoG(3>Mjoe7rDiRh`vKIi-X%40~U-GR+Ur^!Ik6WdIes5}?} z`@T=)3Dtp|3lqMLm@ku`rACpwS!JV?qqL(6aplUE6{{I7bx5QxNEabL9OjF5cT z8NNu^iFH8Vw9lWyb4p&je>R^nz;m zsW`7@70-{e@o(i`g5P{8p9{t{T}^{^JPyM({Uv?N(AkqSy}x#DBD%=Sx}mqQ8}T*M z!9_7l6o@2=6Hmqz*c@0iucv-Dfo|AXj5FuW>P0MOKZZLJn57+FF$)OoQeBcpIo0BR zGu(HzBAeurJ8gMf_5J(^Q9YfpoP1GCB8FYHQVFl4UodxLt_iWg|ECt9rnGZwNUnBC7ZX2xs2d+{l%i)} zuTUJ)1}rz3(o+@!2m8jp8XxYGV#oqea^vpultkLAbR2(kNQv{bEhgX)fsL1P=P&z^ zkPp!{fJk$2cTZmQ>JE>%rML-id$aLrA>-M2SPBv{JU#PCHb{2&6~bds<*Y>>mr4yb zpRrDpX^-CAdV+^3Yh2okY%c1>^8Mqo)fsL(5F zB1)8wI&JjT$N;r9bXF*Mw0E?jWK8@P2Jlwb?fCGbp1}0Y&$dUN4Rh_{Jw^>q%6+}l zY-+s>dv+2vq6ZISuu=pAeaInDISL82Od)eZTi#97adEdKQL2JOTOrOB3D77lyJUG} zb)(8ViLs=hLRKUj8iqr5Th2r0qj%TDB++#JWBm4rWES-Sl`FAO+N4|>u|xXMnZ4n! zEsn&Y#P-KW{k6x|K_b#NZkbf!Ux|tECeBSD;LB8u<=+4TZA{AqBW&x=IWtVP$TiI^ zIq0m70z+fLqTx2TQ94&nJG+)=A}_i>O+b;eG(#p2ht4C}2kMV?*iFvihNuBB!z*ub zfd>_ol4_dxKRAN`Hw>TpL3l0Z*`ZQ%PS5N=k&$O=PHd<%%6riol#lHrHy*p+BI!2*Nt@9xA>xr+ z(xzaBLI^ZygHc9U;=#k7;@)_`S(k|lrb3gGsA;h17BO{0;?CmvZRhYrS$(FB9Ffw# zqz2{Nu(AsGE$E`FypW}mik%E(L_Sd+Yf>1SBb$#REOr50OJ}foU~U;$hp7RqoYncE z?swf-Z4?g~FJM)K`kXQlG5OM3_%X8)zv3ou$aX85b6%Gz6p{3Pv3jGS>~yFC1c9AW zgK3(q4Vu7~(&-wOXj4@?ixaEH8-s-Rl*-P!2M|rh_ZzI%wQSfMB*$7;5Y*Rnat=gH}0={STa;OQ&DOEg)aA-r~JuxKM8yLdU{8lw1p^-?%kdypdRvmHf zhtnpfENzjvRsVR)BZ_cCfrkeDw?epU-0WGF!GUZ%%0;_#{ABlYgT`6$##zHmJw(sx z9V_p7^Jud8S5y^``Uj_YrEsNr$c3b;;ghHujBR>vOUCGgaw7BPHmIU;qIaS2&&WLn z=R~L497MN7>qPH%d!~-CpESHT>?7?lb4)N0iu8}@^VsdWRN<)aTfZ4dVMW8i>f09bDr4W%Fu2@vrM3y(^GfOB$k5}H}A8W_pg zr!tlkiTTpglQWQ|4a~A1X&^e_eXdk8@$YJG|7GvGj%KE+49TqsBe}3bMsRJj&CKkB zK#hezvAM3_z>oDzkTF}UzYKGAg`~inIek1jwH3i=H{bR-ZjObS8BAxakvvM(OZx^78SinpKG~)ZDnDZHf*?B3S_Y98T3{~ zI1Ne9&nz}D3(u-A!+VK6!c|m;@*)>Bq#`LOA`<5$7P9mGqsP^|Jd6QMqa$B3+*b28>cNPm|Sjj#-;?p$&a{(~UiUpq`Luu~X`=D6oi2?=q3{!(#`c2(4s zU5cgZ?IkffA9vUcWEmJLao|QQ4(X(t062IJOrO*-V|OTqWG6_Pc(Zlf0X?sic>u_ zxY2v5X>)XL#|i#!!Ktrgxr+R-kdzwbYwN2_EC1#;QTPo38W@??!wA4UksH$LY za9X2SrtNvSj6pfqY@#HH8_FqII*^+@Yt~J0eXjkedwB$VGZeZFlb0OUVh2&QM}im_ z0*yo_1!Y`5x~iXkVP4ljSfFvtBEKcQpIF@x-73GBxt%pOZz0b|LXoaGpwE1ii4ipw z&pOSjAWFKKs==!1s(~&8N&XE3LzAaSsxYmmK8$Rg4<6s_s1y6zGk=_cK3+ASc(w!N zNJxH66;=TDZkzp~K%73Oez6?kS`Lac!I5+E5BBt71MAnkIz}>Idivi|(2PnRFRK zGPGl`WA%si(hkcQqAS|7@Pxl)L}d)|#YNODR4qHHj=yFET&Grfbf-8sN5?W7(|Bq! zBC4j|#hn$NG9UXN#4PlqHL;G?A?VF71K10>hXZhx`Lu3)HDTGPWt}D0aJ8v0Z^10EY`liTnvFtm=IT) zQt2Ql(_xrBE+LlpFD-o z8rP)(O@w_w)SLVRQ8!K2=;5T{b4_lO-jvK6NJ7_#F6n!;ZKd}!ucL2`uSJ~SW}T0N zSC3*}2$+=nZNe6ou`?<6m-{32uqK>4Kj%L}+))h3LeV+_XfZpL6dSE3PP=*d+T><_ z@}8q(F&N)@=-Kom747hr+J`yyQ!$8c?ORXnH1;`ZAv#J*`|@e<-UPa#^+-Y`2Y;z< z2N;g|h&#++Ni-w_W|?=aBGCV>-+U|UUxq(%2(fSD#1t_)!giwFC5T6BB4ass2Hou;I#A3g*8QTkaRj0>_YnN8CejkWr5t3M320jL2 zF6qUPVbI@QD|OhYZMlrf)-bfC5a_)N8L@1CYs0?g;C>`;6YqrFePr!!>mfqG-cvUC7Vy9228^b_zD=Q#IN;=86bLS(fg zUO9P4+W&ZeebGIZKbJNJHb!hJe0$z01>{4nXbSABp6|`RS~S4#^Gsi|7`yH?8Q$!@ z;6PUier-m=>0wF?HFyg2I*Cn2raILd%5sY1QALs!7}4#>X0;{^){>H-{w_89!57=o z-XH8qN@=@%5$d&{V?mbFju?9`wqlZZp6+zP=zs?4`|{C=;zh-8fPk+@6R7#pK9BS2 zRZqG**Ae8X)EqHR3=+vU&jFjf5V_&PEvs)KXG8ez!4UU_M+uUhl&L zx|lDcDVF-*e9vvzPb1F8=y*DdPvH~mc65yLk)ZZ5f+JWQM0m;@dqgXeMURb+5^`g{ z1(fiLxt{VW8h3EmN}W}FQYg*_EQT7i4@T{77s)dEMPJC27GXnEwV z{xod%>1Tdoj>n7<$oS&j=Zxso3L|$8GO`&zD!I?FL$m;?u*9?xx_I^2yb)X9APe2f zcW+uUig`Z$^a~ve$Sdm!-OAs4+Bk|?BEj;5aUIYr^XB1)FI{K7NJSl8hRsWEzEh)KO*4!K&-f7zgGj7g~gtyL=pbUk;CgGp!?y5mfzMIH#%df6`@i3g)b>ky>}1}*i~WwJer2Ibu9$k9lZcO^r6Fyg5RBAF!Fp!g z^Pg$~Pfq>xP`;|K2e|#dT`t&VQiB_&FZNOTnTTi)!~{<>!NVq?2EDC@S52# ztSIgga;_3lsAlEMC_fx{aAR!wBHjLJtRHOoBkY!HxUDAPb*;-NrUZ=PNYY645P~0? za}Xn*(og!(PV4IEf;*nV3PY9XL#CZU4>Aa>^gluRj7pKS4$4mXKR~P8Dv2l&-6rM* zE9RX~`8A`fT2Bo^I}nUfl0))>3?>g$z1$W-%IB~m@7d)`l!)oa&Foi1PyN&nLBb{w zZrlpjSPqP3)o{h&Z$j&(PV3@s8iE-mO|)2SZZ>i23+`15jT$voh0?b)h(!y^R*2Xf z8k5&AUilU$jLtPdEmLq`S=R>K(GEe(8|YF$3PCaz={qiGmg%S-!KG27G{ed4Q^A=g z%-x7w{5@9pxYxO)|#~(obu@+Tlp+iib9lXO10-t_~N4f@7nS1Gz${=vq-IO`=1B zhT|`3q^c4wD*AWEyei;2iDbu`d<#qJ9@WMbP^rn4;av~X{2?IzZi`{9E*4OnbOL?T zmCg50;%aE_0doPN!_43&gr>Ome9D;kzjtN)?9Y8oJUW+wqtN50a}v%jGz4=kGAlep zo?hL}FDIZsZTTD1KP7fN`Wa~IjFn6Jn`f#>XHJ0GVC0DqZb-jn!zSikPkwTvEZ8!!@_~A-_|h@M>eM>nGZ8{xwv}ihGTkuLy$J@a-Z6+x2Yryj z00%)Q%-_*E6xoaYLf~UiU)7 znzuHL3r9u~9Rzw+%^emUFEgHS709M_2JOcmJtZ~OSTjt@8wDu~a0e|1QVX9EANPoG zK?Dui`D(`1%MUkdq*9gVl2StXKQ>+aEd(w@a@cehg31a31=GuoTctx4Jm?)Uw_j~s z`tR{OtNF?krta67qhfLd#*4U&6EoH9bvxe;Q%X}PC(oe;S_k)AJW{6Z)gxg{JtE6X z9T^_pFg;vZB%dBqcWb|!)a6a-3+;;v`MHN*wZVk(EPSYh?IMl^-UJyu>fzhOxrT(k zCVQJpBw`!xOT<|z;25W*;4_W;@kZHkorfCH;-~7U{hrhNx0_>4*N`c0)4sg+e6zul z_J`6d=Q^#XM%$2Qp|Q1H^iVG%&xH6Tr)p}SbR#^SAoATv!PldV9V%0S!K#7G6^Gm&g~-Ez0&kasi$kP}VG^La>~ zd7JGX&fagQfrK}0I4z>etBy#g03sLX=ivIcWQJ#3hV^#aB)qc_U}U22W@x`7hIMCV z^G-qN@T0)NUx7&9h5GtAVw6m^L`Z|Xqz<27WO`Oky;`Zp=+4teSbF{~zerkD7n55i z2V=A1^(x#1@@K2l;UCXWPLKU<8?PX!bp@u~vm~-M{20l*qr>+OmR{W`0DfoFkBab_ z`2^bpPR9p!Php<3`_!WPlbzG&q`H&g*XBErY7ulr&WWQHkSsL28+S5zp#^~mBH1gP}4&2(u|fY zM~Dl(PuRL@0KcjZi&mEMhANAJwM~<&x7L)U6S@7;qTWH~`&-lbWRQdTcaCtSaZ4ON zs12eHvph5>02N+eb>gbnsI@n2C~Q2@_^_H)W)f?jvrJS;Qrg+tbvEQNm@8s6=b!!l z1ltJ++vAG+)w@_q+q#jZr-vmKofebgMm+Kavl=Nu-q7WLNDNx!l~PF(NkU2E3jD$R zw%nvz#Nq@#R`f<2ip`33)W0}UV^9)Rt^PUBEQ>|nPT-KU`#^hjGL*W*q zdc?0#WAO13@Gc-owiC>tsi5uEbK=G)Nc`c#bKbE?DR&)))pgn*awk(Xv^mAd=1kj* zY}yx8W>-mo#IWewhFOHK1gg07{I%4$;M>7q@)ZT@zBwYMym_SDJ|ciY)4wFfFXJ8k zV$I*06(J4F-F9yFuh4vyYgyml(eBQAQ7)XhC;Rnd)F=dP-$GHG#X6=w16)5D&vR4% z=m|-s6@gYRD4v8xp32a*N+%evAQ;F0GNEn04@}Ihovq!?onG3PQp+q|G#n)CErqeW zKRq8F?i;eI>uBg;7@-blT3|zx2z#7zjAJ6Z*1l{GUXW*qVH7eY~`~ z-dgkTgCRUwnmFWr9yw$mgUX#VAMZjMyC{51WakSCNb>cDJd`|cuvT+#NOH^Z?lY;Y=5NtC-#|{jiy+E zbPrKY<2g8G^H#JZr?26?l0<)T^_ zEG;;|DwBG$^l`aqTi@8;*<9aRcYl9;#~iM@y4uq6y!PrYdDiY`b+xPQP99Rl zf(Djac#L@4jiN|?`B!GsWt|FP$>+;o++rPx#XeYeWIJecOZ>E~T2i*^-Lvs z>gx|V-$9qgI?`;S%{d4DM*On(N~VHry3d(yJ(`|DfN8TwD$85_xgFilE-hI8#LPBZ zDVAFB*aV!&+_7ow0W0o)EMjODX zK!l-_almGUninP}vXL!hio#-rffrH=tP_c{JyAlZnq+0}*l!v@(1-aO$FmTLzbtYW zJa=eNX2#Etn8eGDZV^Oj3&eN9S^%cEQJS<3ejFbywbeRynr%MUn^^6BGpx>0S0B9r ziRI+d{?FBC{ok!V9WEU+3oGsaMd&fp)3W>rLGMZ(%u#d6?R%$-A)YcS(lQg5GN78% zHHGLPXtm$hl1fzDNWz(L0M9+$ZJrZ#3bH}889dsTH&S)K)ly9KTvq=1FfDdDFOi)} z3KUFCoK*Jw5H%hiJQx@s!!nBV>)OjkxM0ZA%zoX0^WdlJ=j&xB#p4&B&WKN*>%HGN zx>6+~|3m-kkv~QQ*OppCLOp(NJRCax2^c4w6<2+&v-Mg95>|{&Xiw7a&%xe9)g67( z=8$LP)I0mtv)%k~o}@ekg|0zkfvG(U6l62tSu~1H^r=kkQ2{dadwsYh1iDB;x@om z1goT%PFZ8C_`l7c7meVrZt)}<-hiclWB-N|JBee7Ka;V`%xl`LT10aX<$Q4JLqKl{ zIePK}a-_pwzbERh6kEGA6dZ!wwb)vI$zw4gl*Hb z;JGnfy8a3A>+$Qrsr@;8#G6!w@A7%fv&`(uAm2+&pV=18n$G5lb5to2-;^qQyDnd3 ze3?WLbCxluvk{v*o=|wZ22p6(YAL>NB2myed{F3EWf)7*8cCpe5GX6pEGi=({GfF- z*bdnpm;-m`3{#V2-hBhefAJP{w4~pP?aXK*6ZlTc&{$iH-`+;}9PBIQjh!t>tEAWmYZ`Pc%Y}y{&VN)jXJyv_6~OOHh)y z1vseo~{4cU*k&5JrLlacb^uM{t$IvhRT_l zu6x3TLF2M~x)oOD=oI@9y2s6N%a0G+3%aZrcrlY9gjg*SaA-mp09uC_=~&ws5V)MZ z)mYCv^g3fx;NqYUF#o1^c<6gj=9;UFN~0T!KvQVQZpL#PIW1_+PN<~Vl_{Df5#Ry& zB-kH$!#!bsBlG4&O2W0vC_XiO5LE|8ug}rq8@-acQoPkPkMWOQT%rBgm<#nv<{KY&@E&f}zM0_DWE+yaRhu=h2JK=Z@TuxC38(+5%)|)TVrx`#T%OZDD z$2WxETYq+}ZSP-1UR~_l!p=HLnm|6R=$>8MZv0J7g?fGXQH+V-#NV&G@tp86?A6cg z!GKcaVND86a4TqB43G&c6;CvqK5~QuF3rGd z8z7yNk)&M?(XNtr(F-RCTMKdl?#<~WYLD8NfnAd3DNI6#-!5NM@5m;;4Lg?)y#m4? z5=N&+*Hqu-rnfdnxR={F!kN!Ey}(Ycdr7ttEMuFzwD+C2R;SJ!*xCTOY-g)qJY01+ z!yO(*Ywp%t`?^bJ0w1??wok6UHnSvH85A1+fM^jd?z(d%*>_2~w71A&>`I4qR1Z7= ze56Sg-`t9r{obi^IUJ73wVSFBp$!k4d9AwxPEIgO^fw<6HAS?ax!$1h36M8!g=xzRd}U$-^h0t^cY}X#^6CFja>v>aFia_kQC}jjU6RzA0Ge|HLw8i(|#LYr)7N zvX}e~Ovtc!{U}4+5bw&>f@$^dDs$Y4XUN%yR`kDt?=U_9sEe&EC|5$pRmlKIsUIub z0hHgJn`g@Wvs~IlX?srkv5#I7e1K~L!(_a|1XX&%QEG(xGV*7}aOIxRR*88uot=vz z%Vz1hU3;NcB|FyRB)t=Ra9M`tuHbPZbII|~Exz%M_7xrYUDYG{uGgnzDmE>lKAY@C%ss>)eq)F@X8Le|=5<(ERBJa^=03P&@sq%P_NV_5pe)XbU-W z^Y*1IgLpyFbeXeYMTu{%IW95F>H8Yl?c#DlSBuZq$P_nr?8CumWUHSslBt(T(6L~h z3u&hrn=?zQh*hpvW)IyF@qRJzC1pBVoHbzM0ojG3v&g}QIM~67E-|;AZqsy_^-0m? zae8*loKT7<_DN^!IWOL8p`x{7#|@$9d14$UoH>p8ToU=w;m(;=K=Hs@asK3XOvs&C z(02EeUvE=yD7M&W%38;MCU~aj7|ls-bc^5H!;UE#Wmst#Z0J|GFuSdMv3zQD^USV2 zdB~SV3^UVjXVsWQY#73qBQh7#+@!&#&R}ympJXukVhq~3udPez7NhC!H?G1$t_}{u zVMk_`y7yp=*SYp^|m~`+f*=`ta7+N?tdvSDcjsR3;)k2Iw<0plnAa3McEdt#N zj%kLM1trXMr&e;k%!%>xxZUg%3P=h-3V;B)lQ6KI-o5Ob@5}j<;r_T2>do-P0&&&& zc|56d(0bhYzF(22vh8-1tdfk`ezlu*8tQyyd725(stK`w-YbZ6*=%-6?_||dlaAA~ zMTDGM8unFO#{~@&6?Gv^DkpA zVQZ+nzk9rUc;AC80}@=;(MRsc@k;q(ve~^T zO=u_OCDxKwk&Sh?&1Y;thOEm$y99W~{Q&j|<(ho1x2gA7CAhR%<<37Zmy*I@snlt4 zmNFO^dU9%Fs!&nVaohu|<#u_lbm(M|h$xXFW3Q^k^^w=M{*BM4 z2_HFazdP$qS+{65bU{Hu95twb@Xg>iY5*3#&v7Q3s#xkXnlQ0)jMyq~WG>bvInk%()m|6+snNo@4<`IB4)}LzLXb=m)qCl z>+6|?3ebxt#>?vLlc@E?bIyqCYCd~=r#>P|3%vYBZk{eun$wR#{EG5Jna(CXlAd9?EelkeGO^H(nRFrs3DJ_Qpt9cwytP3}*fA z=p?VeFmO6*X^%(6UrURAAQe6e6FUe!_ zOHCXIW^7G$g#|7TX2v%xv?~P z=Ohn*6!Pi zS|(z1-l{P{*@sEYr3c5?H`9MS08l5fa<`eNxv8~>^Nzk6Zm^YEFt<3GW|uOUH(+8G zEncynnr5uZ5IgLl1`B3Wnh3au&GaAo59bQU86rgl2}$KIS-5U=p5~nwE2CvhHkIG3 z8{e!;ZKx|XWl@`3kklsUIYIHc`%CAsoSqk;PlwkLi4VL$`O_7_!m@3Klw9N7BT3Ks_ zF^vNMk{RXsAMkWx7!r5v}9i`PJA%DF!&85J4lRyy8DTiqzFZ~G=6WNV!}Pf=%0 zO4A%wRyM|)#o4NrmHaVPt)QGOo^71p&aR(l2v12=wq7AGu}Cs8PvTNHsv{l??x|EH zp#3)d-b1yEIB40>1=G8KjkbLQzegHH>{H)Yt-uUNqR40)%Fro*76MMoZRfU zTG&6p?6Ab-8DIiOIb$uk=% zuO-%dOAmZSO7EUn)wk+=P5GGyH#0qeqz(zD{luh1kYJefs<5(_q$ytQR#RfLAjyXW zoEXkMoGKtX--Cl$$u}6GJ(l_ zZ?<4*7L5It5M~0{xY$ZJx#5Ah7~Qp+xo;OhXD0~F6ui0dIQ{;R>ZUZ{!~!WH9kbJy zdUEG%7kfSFCollT-56z&{ngN%=inY>aR1kw5%E+^gB~5kaga}p85LOIz6lvZK3J|R zD>zln%SoIsJQ@0o`W+teB&d1A%z~j6mW!>0108h0fgfyox!f@+o1#jJ3A|@($&^n= ziZDU0OgTSN#}e#`l<`!}i(feMS6_-1nRaLsc}&hi7)pl|GfRb<*;yw~M985R^ew8< znSuB*b`_P5KfHqhGyDJ^>F!Tr$Y-(4pr;%Iyw0lrKLo8X@Hx~JdMx+JTZq;MBE$E7 z?*h$N+_H?kRMRkG$Rdi&P>`uuJg8S8-jGm97;Br=)p^w& z)rRsSy9405I_*k}%Ce1FO%i3T&bDf6udQI@xSSn$-bi_ml4}OTPI|03Q&xS!0}jDf z1xeLENTp%;6SeHlp;&u?iBCZuk)(jqxL3~l2f~dU#7n36pgaQf5L$8rr_8Z{bHH`J z+X4TaM)Z?UOh2t#o=L$d&+(l%O8I1=?kT>DM(d$yhBg0|u$`@1f zTv5sO;RZ~c^G}A2gdByQWHo~cjGTIQ5)+C_M#?qgubk!KBJHS6oipe~QROJ{;zCD5 zq!G9$wU}idg9}s!_s!LnbjYptwqrvH+5LYhZNYeEE5#Z+c(ADF=xcZl9Pz_*$wt}e z93uWp0vv-$ULE)13i2{wRXgh|`#&IrBuET4wz8Arz0G>N@qff4KX^N>*B zq><;d0I_Sl7U;44e6xkMhIQ9rjMuIRCvjs7nZXOgLLB9fh^F?wAM#VeMg9%2DAm7A zV5<;uYI7NVFRD0UxPb(-#Fop6#!~$^uqtC6<(z?s`~BFN(Y~6OTq}bY_biW(Ox+S; z5*iDMho0=6rUzL=>rY?S%k7t93!MSP|D53^8gW)gge`N*r!XqTcWr6RX+7>~+&Q}! zf+pxcpB<s zS{Q(SW_F0SIQbG`A^=0S1mRf5-CE~-JqO=Kl;d#66`3#meBD? z%0PJ$sXSu8R!u1B^|{;zh@PcA`nZ%_xfHa$?`K4erEL0TKqBY-$haiJsM%03Da~^+ zI-Iur94nYAC*caJ)Di-}0%TEFny?#AQSHuMbA`+ui*%}WT&x`xOEu)iUC0W63TFp- z$%}3YsQE}*^|lPN_{`ZwF!2WNDC!W&+X(iUAB1=QT3a_sutj=h#(p`dx{6~vIF%jc;) zED=#)2+7v-(PYY2c4(!He)TKPJ~?}mHan8Csw+Aw?D8Y&H@@G3WuAUX%ARQ+lu63b zK+hUakuyFkO3X7k5^jgZxJ|&o5X99wjwKkv(l;46tZ0cdlE10pKR%Quf~Tc9w|wZC@H>dDh^h z;(WBBkfa#5Fasq61t+Y7oye5J7XjxP#1bl@$;GHQ`+mlzmVo&*V!24M1H&5p21~<< zh_c9Yk`}#(HlcJ$(T9&EtGDdrnYX!O8@i5~8bH~Xgp>6kLtWtuL`Oiu`Q=!(eBy97 zZ=uu3Vm8H$EAN^OvOOuw?%c0e*8R8GMMKG!t@$o4bCsFb0i~6R#bMwhc@}QBU?F8` z%-Gl9!WTbh-sJnx`I>ANj^pug^K8}=4AGr!ff}Ein`@%Dt#^MGQqRgyWJ{|B7Yf)6(qrDOKg$}(Mh5D& zgzWy<%?5fJ_T{Pew%g=EnyxQ`72;Y16zW#!g3W?_Gr4J_aT-*~&h$=yO?>?}>>! zX}7fx-uF7;JBr=I^~<8uE(&8;qw)8gTSp3o`QBbz2@sksa$SJVyo{6XBA zU->R0&Ee~@s|6%Uc6;2RO0fXt&=O5-I}5d1l_5UqDja_($x{>XIDm4%N+SkNvE;OC8!t{vvOVda3+a58b;@&vs`rhB>mg(+ zq4QH**SCieCCtK9U`|;Evu;u*jo;?;&L5TV#h|&_)S6N5PIZ02?eOOFBI|6B%w+^l zEEc^Be&Z32Z%mPcEA(a~{=cS)k?#LCO}_vn26`s8|Ef3iER3vd|G#|G1@5RQ{7mOv zasHHi?dbB%{l$?L7@I{z1VmsqN&vTpiij60Juj|a9|sIZ=8qX#T1t2gNI`I|$BajK zn%in~zye6XxX(c055rLqd;L@8UX$Rn|{>WGVP_F4XPfai>`u)Hv7KF;|a z{5iN?Gq$$xIEP)@p*V>AfWObE?K7M^A@l~puPZCENGnt2gz&{nm0@jJYRh%&Gx@_F z_v%?Z)Ksa}Azw4%?FrJhw6yPS_*rY{o385Hdi@OJ^3mneb^|2V4aC>+m+j{9s^-V} zrMcKbY!jp_lfl!clqQmFyK5Qzi*Lsr3*yjDXhLv(6?S~ z08FrF-B)Jt)kr?tu|J5Y20rBQp8bHG7Kmbnv@j%SZi4r31W8IIbbGqLTWbunDYFb0 z#seWUE9%u!$M&l3j`bev4Ae|L=nhW(xE1!;B9=u2d0_;01-1mniq92%OB>h$w~X(_ ziaT+F<||EbW;I)!cJT3MrD7f{*bD3dE{E3Z@6x)!;Q`&`o&mA1yk$;^!_+JfghO2y z&PPsPvqa^O?+kOOO|Ko#ppMXHMMvD9X|F$b3ai-x4?qN5VV*TcGx$~|HpE_uh5MNf z@Dg@W(v=zTG=d!6F>#$)SiupadO0{B6Yw)5Pm(}hq>BewI(BJ!|6X6oHIrXEA?`q9 zW26vX-{C<6k>1&;^7CqQc&;;oK6dgiG56>$Pdso|5$|j6A01>}J1xm}(^a5?__w`5 zDrkJYs8WFRyjcAa^{BkC)e9wWKY9Gb(`EEXK)KJE#?5H1?+O)RQ!e^mfbQQ4jZvcq zP5@2=AKN%DK9Vh1`&(9F9D({_Z|S};td~jp7)y0h_CHurc2r&yESja)V-yNFAhgZq zgvXiVfuV%M5p)GI>)w6tcS?RZ%gNY4T0!@re)NF9ERLCn>z1ij0Az0A_I(Dx6xzC9 z*}QJOen{ugLt1uFr9raKI(k2@Y10JyF^$7FL;-vP zX;mEc0KF9G57M3@N#dvzX9={XWAFhJs03E_&SYQW4S%*U1yX@xkdeIMk0@f1DT{|4 zs80^{^@k6kd1e_az}lHGGOqhCt6EUqF1P+-kRH@3n4Bl|JCEj+-%O0t2OKwt%n8jR zvCq}Y3Z%vLgHjekleve=r+`){hq$Zd?zh??=;Peq!0vZ-(8mX((joVJ9p0H0g`GY8 z_c!KgOt(C3ZPkcX|L-lEl1-M+kCX4X54LNq9GX{J#Mz_!~CpG;?LU)I+doY z9sJE;*$}U>(G$DIALPxHJ@p&&5`nB|U{n1%*@~=)3omHqbEMu3M9uzM}q}P7rj0XaXB9Z*yFKZ!5Ob#k!JKYzRzEC?hTw3Fun6 z_N5n>&5@fm>qORO$&`MjeAwL3tmiJ8rT6Y#`nW9;PuVWVjvF9OzxI(4n%gGRZ9k7D zgIsT29_avPn}bh_oS+%v&4Oci_y^Y4FM3{&FN~d(_JY=*lU;=~v%;`|8RnYXu3RXQ zaBiirI@s6hLx;2D*X-nP7^n2E)nL3~&Fc?|q>;?LdN__rBR>c8lZaF=z6X})r9OBq zX3g@7At%&~rOY*`W!h3NPAPp4ztqI?hOE zm!=!RsUuZZg4?bLj4z(`m{C?)(MB0P@8O5A4Z2~{e(ZJX*#a*__d*~3m}A63T=1bw zr1iMKU%3r($tH!Ky7>pui{`u~euC^R(%Sz<@QS2PJ8jqRggna@m=ii>z9bLLYs~Sh zpp^1KII|nz>Bbj+nz9^m{2%v=s`DL($T1 z+%X>vrk^(vm_vBW9>6`}<^a`hg0`PWAWL;jbPsbIVOI}DPz zOa2dyz^}(XZDEit@dk{^T1RUL$m0{6v;(4Nfp{QP;s^I7XZ{Hvk00$C)~mb+_U6FK zfg{+5-M2c-JkTiIL9p-c5-jTNKT2Kn44@T)o*aDd6}L}<8bHtLpc$Z;p5C0@fSHH` zwkStfP3T?D@Yuq|?K8pi+n>MDFl9#C2Wi%OcKjU(UfUn1ALVo=;KLq>u6Nc8F{}aK zC2`dV7d3TOQ2S|Mt}}NSwN~KEoOLXOOuC%&uP}NVWk>?tXwXA$~_bv|NCsZ;~hs~{}-KtcxcG3FrDmhG-n^jrA(8waY%VYeLrx2;2gz0 zh+{2NOS-{$)$l94v~08x&jMNb6XUbtQ{i*;CDU=?Q|k%%Q}$iu!c+`o1Bo+@B^pXW zNQM6kmy-A=Au}#XAz?19p5!Pszh3wx-a@>U^NZV#F7y)rNPr>kN`Ew-&q?5sq>*qY ziIJ%mua>kH(|!y(X5!}Fr{bo}nc~%ObiQ1(Gm)H;e#&}yd(D7cr6Q_L;}X^;<;?@q z76SJi)#dxUk_%+54=W+WAh}QkJP%@4;Mnr$`&hV0>P6<2cecb;;3nz7;jQ#(yhao< z3SS?IASMicI2u$AL?Z~!6^h{c$OS&xIMZN*7Rv`Gfk%O_ox~?4&=u?ZNr{lkg69V< zo{@lq9otJu<_9bHw*ZuASGxNW(mp*ic^V;+?|e;;4B%ufzTrsoZ@0{=2Z9cn8eee& z?|XDS#v>0(q9g;F9M8H##@*V0(`d%A)Dz50aqdX{`(*bYT-O=57>^zHm$|lrlWmTd zbJC?hKAh#93GCj~{Z~F&E}!WKyx&e6cSWy(I4`dtflebeuNE&ODPEfno;y0QI6Y?v zR=74?&3CJSBeNaY8{;G1Saz0obwRI*UXyNAFRJ@<_XS3x5z#0(Q@rUOOdqGW1>m9v z(ZM*xqTTHGOL4T(p6-V>o{Mp)F<5N(m++cLqmZIF9QTWH^@Im8k(}|aM0=rMVxEuu z7Y(K(Gw03c*9%(}9ilER*ECyM|J+6ngC4q16Cg5QHbrBgJ8dLFbl&vmz-nq)(Iot^ zIcrX6!F&{URYZG+{krmay6!I$c`@JqY%uuRd@GeZ^=v}H_A}d3bAw{;F}A{3`(y6% zxB@l%A}{B;RtInFGI>I>_G8zgWQP>kLU_Z*^z-g=vH`;O9^4{yg30hvFZO{va@Y7` z!VFB@f^}Zfuit3G6_;z2D7L_ zUCjby%|dI{0wwpO)Ilzu;fMU$UqVKqJ@QV@!Wv`MKjwZ9dQZj92NQoX`at9_)UPlrnJ>olM#wItFGdwccz5`lmiY*d?S*QWL8(W^o#^jRzAi7B9x&me47@O>z^<8|R{ji!LHRY8wwhx~p)H(+P_^ zkh4c?3l!Z4dJE4URQyf-et$E{JBoe}_(uAMdYsG~$7G+JN%%D=IX^c8NSr7yLR_Aw zUS8mCo(n(}fI(jXU1)5cr;H&KULxoIn*XMQBPCl|RQ6JUq{aA!DUjd{LG~ z5(`fh!f`8-B9>svfGwhMYMU;xaH{Ukz0r@OU!aPQIW=5M;0%vB5uTP1WpJPdPHALR znW;5NQX6K8P@$VrJ7x)yFx)8&w(RwrXSvZ(&SbnbcJ0+??uEE4@6_zJ}18NNvDv$*$4&t2^h4_QY4|SF9;r znm=Bx)L*P(G$(7x@Zgiut#A2me~Uc6unstP9@P-K)nY#P;*OQnB0Bfsw?bqGFh5$j z$7dJs%ql$MK0Ix28oBN{&*a8CVla=EZ1A zOUK)!2Aip&EzJFg#e;h$^GFpj=xSgnN98Nrz=txRuZ9(2!a~A`D5>Y1>^nymM_i|v zJXWvDg4t)UiXmx&P8E7o&&3jd)bKa*N?mrx#Xzbq7gd$ZM}x+!k)sR0*A#+DqmsW5 zKTmHDK2C0qzRvEBWi5MG?4JZqG6NSgH8&w6rH7ET_4eqezg$qk2$B|4^D4Wwr?{Eg z+Ylm&ba|`c{Vz_YcqWzI;yF{2vf_9;L#InuFACE9;(QA_N-BE-g}J4%xrz4r^zvN0 z-cfDl9yvv)?tWaUqNe>l-J(XL;rZQ(wX)}~ID>W5{=%xK!|MRsW!ve6`(^v(Ln`CO zXSa_U*2>FugD{3?7{})qGUMKIv)X3yl3nugeC=WUgPYB5d)s#M<9Zr|3fuE|Pg&Fb z{ElhU4g8CmDRJ5H_z8gh`Ai`c<>h$3vr(J=Z_|DF@8b`rTJ*vh6^@78T3`NrzX zVx*G|YbY|i#9x!;c|8wbcsWA-F8Ju@DKPAhjKHM7Vq?WDMENr`i;rmkJI9Sd)dF`*f$H)<<`mp;0Qsz=oNYe9Q4GvIA=7l(8<55m(WL_6hS_zWkM^ z{z#mU=u^`Z?H#*NnuL|9a>rGV2m>so>iN~Hyjp9KnQ5{TEGKUnk#}c{Sc0i5g7yQ~Vj&9J=v=8{6wutLvYqh=ZdwC<6xe6=I9BILSShuW;di0A6^xron z+AOuAC^o{?PPoax3P9c!D_IK*F1&|Cj}lth}5(mWJmC zjUII^r-MtVA?vpMqy)Tsmb{V4LBlAlU1DcBe?O!?WX~APG)ChO$TL)>GP`WG`#!vn z&)rmN{F)z6tSnVJJiRF|aTrz@Y-zv4d6{Z?s73ttSo+_%HhCj~5&{&Y&GE1PZPrh_1`6;*}ycH*>YGXHbI`!ckJbCfT^YoN?HE{bDW6-bcw5`o>GMcyo#ZZo`#ud56pDyQLR`yp{A-K@2-}Go~eD zY#U;;QM0i|1yy_cl`4BwGY1wEt5bCqf);Q!gD^^krsaUy^XhnQ15;B>EH_KZmAi&@ zFk1RR$aP&*t8>kE+d5#uJH`uXfGKIWhNA08itL%VyfJ z%}<^>WlbXjEwP^lIqe%JJQ6CgJ48Y*YoO9>c-n!u@J$~_X=##&PwLqzA%JNJ#)#`Y zrX~P#!?5Ucv_!?}!kh6UN}p~Mf*YwqpLR9xX_m-dQ_BR0whIx=0 zV7K*j{NMrg{us-PjJT4~{3;?Saa2`hDiKl~K+@d9IBg8ZIY5RPxh#4Px$8;vGzM5V z0#`>`#@X>@T5*&ks|L?;R^}x{#_1%oeQk56bY;QiFg%Kj6UtcReW?_SoCqje zXJx^io&1e)g}_%K=HwZK{=WW_wf$GLV{S0FPplrkqV8Y7I+SF)p9cU`V_XueUe{oh z3HG!)L2vF^cfF1zRYO>xG)8GURcJ>tz5s8b-T_=^_xkrPqxj{WW5@=4Yi0!xTE`fndUV@$1+9(8`n5%P<4`#}CSVFt&rV@>qZ z;JJ#4U!BCOnE74a6Su9!v4Pttb!t@e**Yei8lMs;wLtNP3S3D0Wa z%MkZ0sOjDa#|F{mDe00v@ucFim1yrxtq_S^t6juh%w57=;a%cg&R}t~uuaOLwQEIRDHyG^DLH@1NS}jRHh%dVm@v>)0tj9`f~ws zRY}At!DK^c*-M;c7aT<@;kJSC?*AWWCe9!@4CP+!7>>2tp^4~jXsA9HC6D* z-itcmmhXu-BhdmlUHrd86G53i6;o{fLNppd&NEV2^Y+s(L-r55S@5K~|c_Bz~>c;_-Lx6kqEa-%@rQ6)aQnwUOq4`lHDa3PJv5_^Q zWj?`EMqUrfSENe;NmpzdJ=#7`drI}63nplid6w3gFTYQ0=eUU?k>x;5ngWD2468l6 z)iDw=5j5D2RT|f1OM{yPCRYh{5%n>v?)q=@SM3fZ_js$7L&>^sl}^zy!9(gDo_A`b zS8ct9uBbz8a)_&Y`^IgU#8`NofxDOdkyQxy!T4Dm8v!q9!5w2hN?OqwCvYmfoxCB< zDEVqco_Kp7AWn|~PcK0G zZb3v^CI9@}`{U;bH_7^CQtJr{*ZAk*Ox`zE?N8py?$^CCaK8@+E`cC&XgUB~79$va z)1NvYfY6N*ACqI&S7`5mo!$R=>-m%D(<2*z+u3)aJMS!!jh~haj7_}?fKe7>c&!hY zdoXJKSI)gE_(egt(6B^ryCKeO88`erqF8kXN$Q%`Uw^Rbq!umC3br1USdX92-+_VC zb$ZO_P$U`V{s#T_1+(gwqZ+*_B$59QHKEtxq_o+i3EN&;f~=>#kh3*^wYB*A#aT^H z^k6rqmriY1I`18;wFjBc6AIr5`j{!+q5Yu|Q~W0&(Y%BNfXONE*a;bh2#X_83RB(e z$FvuA+1q&uU2Zq*Esd8%1FVZh@YJ+@m$GD&dzZ8U7@fF!*P7wH*%L}spLzR$P-*0T z*h-p!69*!*xI9-uUZh-pgIFX(#TgaxVs48?qt_vNiCRel(J-Hl`=I@kQkfZ1R?cCwlABTOPtkR##yV))@)E^-E@c#;qljfpje3Pa|Q;1FT8 z0P3J)ji^Ffz@P0jq)a_SIrMk{T)-ctefWa0%tSlcguWiLZ<)nILQfwX7ECDJ>IG6l(ottl|&u5iUf+qW``h?e=)A^RrN>%yxK z5j@B5+2+ZC38q>;X@KmUhXFX;Zv1f=_DKRjgqyMpA?6f z72ft2;O5;DdzPnH1J)j=YxT_w#_pM>v{S}P-GHd+Q$403F%N-i@S?a)Q;;c9HpBvE z+H8+#SIgO8H2ncVef=UN2K@VZIFyt=RaKE(d=90J6V6sfJH_l$0|cQfA(jVavoPm& zZZc*}F%$~n>`c}y512hx*@9Mw)JnD(ejUFLs5*aaFX2+@)PDj5)L_sj(FDA%hB!_{ zy^M#z@&f+iOGO9Tnw@qUH7^GnIU0}FFZ(OkHQ(MVCfieuewD;I?l*VcAKwcrxYIec zQHGYU&ElKdGN7dC7Bghn@YoD#krl5QAD$rEWc^e)O&GZ z@bqze_#jc#MtyJC$Fz)`h@ zs*}dEq$C&w1fRtrG|n#ZeaRFS4fK;KL=K3ZZ9;XtND$$}xPC~4Shf6QDCMe!>3Imz z(yF{ed{KU-aDwn0xQr{(N4DNmUHvYyt!W+t0pX>C+woe>4D@3O* zQ^;yxTU1I0nf6n}(?Q^ysFuBCO>oTBf4)0W=jAHsaEk1!!i5G0g}0O3mTc2$k1j;l z((rS{IvHU(uecn~T;mdxa_%?@8-Oqr78slM2oE3(@?r;vf)E+z}{Rtnt)nB7j}8lI~)@6oq$xv{%D#H?zJwSrmKFNN{Njn7&I7|DPL;TjTop| z;&$no>`A&JE#J&J<(%;*I3pxE-o^ewRZKPF*5mFADi@2dpsW#qa14)*p2SR^O)mUbH-d)W|JBlS-Qoa|5x2EQLan+{I>%}@NQ#pzlpuD0!usOMMG+I0QSc*{^n44 z$3z|Qnh4=bIl9jrY#h@#so*5Ytv@;5NKc(<-L-%m>5HeYk`3#Y3vX7)xA>I7ADSEu zYxt6-MB9Xbq?#C{#4bI~KMw7~v|s^C3MW>+25>(5f(tI%&d@}0Tj`U;F5jJg9nHNG zQMCklV61VUfQi(>I9?x=UkwIqc#!u6|6y(EQ_X!4 zC&h5#8^&XSGm+p0<+;~E}Hv2jc&vLn$T%f(fT{Tma+KGFE0!rr%Gtd?MyM#!I z)>O$PiTy6XD521-sFPU>mD>L}X*n2$Sw&@eGrZMGq33V1@ZVS#OhsG)7qtU2FS$1$C5XCLNg z`?f~APjV!2jI6hD)TKat@$kDb-xR@&8y!2yw<$D0SQu-zRl58w0Ru{pkDux_=(|G9 zXEd@KN)iXnO^L`;V-BC2*FSp5G};V?0geRRJV8)XVU(?X=i>bq7J1loqtIj2_3xL# zXw{9^!RTbpzExq){7Yv?H4Ltt`VU^(n#~|j<471|XNqoN>cxk^c*<};9UAxyd&$fM zo;ZNGNC-K(7gL&0quRT`SJAUbM`B90d1AeMJYX7d{603h85I#79w`SgH8nRLoI+~v zt&j##SSq}J#4<7H;c6;<{yc)T?8f(L<@{H=C8UZ(=T zx4z%6Up`QkHQI~vC+NA8W(XnH7(SZkl%kS}vDU5OmQ_cw&PjXdWHwT=+U9~?#MhbJ z&+3POiP2)}>TL-%DzVP`?MKD1ho(czvL<8Kei*aT$_x}s+5t%vne?QciiS^*6~EiZ zrSnaXvh^9!jZEj`ZeqqGGaUgS`S;_`DBn-497(YpXnkB))x&WCI92oKD>778fX@i*)J~J=a`ItD*PN^s}mbtg@Ey;F;~nijO{>15PXVJ=e`F{!fr!$gw8LUs2W4 zv^{?4g`m?xbfAX`LY&0r#KTMLw1)5a4OkhC`up?M(8ht()uC8qBJCL?i6zcPzk*EP$X%#C0@>5M>0` z!L?5w99u!SEZl=SZR^vz?V=S^!2!V#ObIdKsznRxu;Vn5_|&Mg1rQv7Lfs5GRHKAQ zS@HwmsQ86rTeTD{i!z{wrGHb%b_Eoz3A#x@nt5c&YzT zWNc*$G)D03`&i2k01c2nM?{)SR_PxJn~GE%)u?(afnZL@A32rVkP0YZwTSkb-ZuZ3 zzEnRuZ@gw1N99Fi7qdlS5r1^pMI@ejiB7zi<(dBjwBrT;*C)rf`U1l4dC8ET_lrpB z?LfNRcX(4`nz2O7vlBy-Cf5_ zf?WpeEIn^h0&ingde`|}j`B9sd+gb1QwT9F)3(g+ zvk5PK&WCh+ceQ&8Yuq$}1*#J^UiNN9kG0#yI_cO@Mz^=sIF!cX)eAN0gn^WW&03_g zJan%FodtBrNmdjL_D>eGLEiO2Y>Xgt91%MkZ>spIejyzS777kdAe-qw5ky9eN&dY( zm|0zsBKips1c?Q75Djttbz4Vae6767iEx*I-RfF8L04B|v_hJH^{%afJQ(ei{kP}t zj?9A9e@KH1Wl3jE>!nM>5?!NKV%i6@!gsDfQPp*p%_@b3IV$e;!$$@;;Y9gqil0lB zIrfAd+c-cgys!OQK`rCjvYd=mHcn!&)!;DRBsM8$>N-|->RP=uH*W|teW9q(lYB(~UH z><#j>@=j+7?{pO(4pH{sySk@F1>|%kab3e_9 z0?4U)Cc~b9eZRT*3qo|+qkc9SZhKb&SdI#Nx6W}4CZ_~vbF!)C(vg$tGgWoJ)Uh%s zMOL-7C#@Aq^ZvBH#_AZkzUo8REtoSBWsC3MqG&N?(7^RNR_oMx z3?r3uh@zJ>yUqo8P0w#wb(JjAQ$LHo*c6G<2JFuvc^-(F4-lV>N^@QO_ZqOyDjCVe zs6{zYsl}58`!6i_vNFHt(-dHC497pnH_TrX{KhCa{KTC*LPECU@Fh*P*an}z_iA-{ z0}_hZhF*ggQ2B|b3jg5!{NV5sM`uN|xAn>!^^b&{hyu!Ap}PKlkr9753n|9))X$(Z zlm<8ufF{Xwl5pj1NW6uj}+f<|Pe>$ru@jx?i=LPp!*1!=n z@RMI&=lovB*03VvF@RV1%i9YKnhbD0TpRbT_D2`ECAbDo)0}IEID@@X+?ynSvVo?BVOg<|hrdChc>G;7T1u`=uQ~yI*dC(*UR>0s4kD}@U8U*8SEynp=T1_J7rW9a~o@bcp`UYQP zI>>5^5KKI?oz>+&U2ha7zTH!tnAqKTQPfg&HD7)WEQNlj(wW3N;^Zo|bG~F4XD4Cd zHq~0WxQHw_ut8F}+4KUVAoY=%nR$5n+2+QWBHiV%-RVZ~@*TWgz6LT^yPU=`Hm|Fu zuV&D;;Hfe?5WKw_P^S|J^k=&UfBbLX@FQLV3xrKr@&f;9js#Cno4g%VC>&v1wi5q0-d3lj?%R>?5_9Y)(pt)%x*&OkMPjK#rzF#R3?U^ z$+~#=WyC4u?WBtjmzIzT@YWusQWeQU!^dcC6PHAuaoY~N7;Bl{6TKXfb13(KJ&XmX zxRwiRSl3AI{3TdOvQW)@4TM>v^XSFm1S$)?*+D#VDhh-wXIDj2w)a!YyVik%)`jI$ z!Kby;#wVeIxd0xD&D@yTVpY1Y=y0f6p^`w+Gv?*caog)?>bRsKxj_tOW^+A7jYOoN zw1H`~M%(K#11bCF;LalUYW6BUwRC;xB_Ux`0;V~Df^3DIH<>*V&bLE!Z?!#}pU)k@ z-JKq#?H@9jbWZC#6fpQWs}NzF|53c&(dXOe!Mv42~s6t&F`;;*SDLXd3)LK^PHa=FS%udmvCpd(9z9DE?l>lyStO50rs znLcNKI1@;Paa+%xr7=y6|pu534w4p zgpTtB4RJ_LY31#tc?EX&^1tMNM~wV8X8%EmM%gZtbe{Sajo+s$+`;{*@*ubplhsfw zBlU#;_d}cbw?gzYj19cdxC1ScINhTgbxY&Cg>zTffhS76styhpqL z37$&*r%ewX@}{WG2Bbw}V)Oz#sZQL2^;4N5yc(JYC^_y&2hkpG8u3KjxZ6x zYUwXRW!50(0VeCJ(Yl%r@vt^R+0Of2jEfz~tH_^nli{O_-rji*D^FIuQgn_GE0-&r z*y}|w)C*6Jy37V{wuGW{uPzXmW(=xpo@<>$Wa)=E>073p+8{UFravr_xZaj}n4vm2 zM4tnxWa%?+51d^HSKaPVD<=#=2)5mazID|0C~?suJFu2jbSSN}(5KWl_jIXEZWT!s z!sH;0X^O1`IkX2Ua;Z`}tdvo23Cw?1f;1IMWlDy`nl_-#uph0@A!*ITx5J4bu+c#JC4%7OQ=BljF_>8xcNJ%N12k(wR=s z*eQoQj|v1koqu!hFQx-ab<{EnV`>bE8IcM$Z7}AlF+9^M$P^3P4mc^Ww@5F=XMnz% z!pj7#Z#en&oOSB@IGtlO=?7cmpS?dgEEw7;o8m5vAPsCC!XG9(;YIg9OcIb?2=5P*yGnX|O^&=H# z1aVS|lJ#NRc~Ugr-yNxH6Rc0v8j&P#TGNP(rR8gImIZ`?pBsUD+L0XWAe7fTiILr!hVu2w-8>Q9F1OKCo5fx%%~S+wND z>YtjF-re;(H}2x_sADptxxTV?MpIvSh`r`{K_XtNIu4Yn2Nv$7L%1QC+9i!?+N z23ex38$LV^YD+`QzvUi1G%erm_+~S)U@P=Ql9IT&*J$}I0d7M*DM%;P!jm^E70nOF z8Wht$acon0+k-b9pyV5|%6E)g0{fod#eQF8p3qCzC`%iZ_bQd;T1zo~rMBHLUme)c z=OaROFF84xytIdRvsK11s!#(94()rToq%~GS(JW7yTq{g;fPz zRRc>$W)?{s85az{n_$WXFPzcc*kPL0)K+JaLiTF-fd~?cv59V60(p#ub+U;oMn*fw z5&E^X%Lsytm$-&SN=J~){2ZZ6yDeO^2gaehOG!x`vlhHYi;0PbXvw8{Rf-my zkBe5qrCiB<Ds2ct^p|0tFezI?7%n&0$Y9CaO7d=8}hIlvSsXSpulb>Y=r@XjtP| zR5r0SKc4g&F>3+aAqwkw^^!u!VfC{!Yj|DLbW{Y{{8j6cUOr*!fprG}! zL!)bYkZXrx*O}r<#Q=Phbz8@RR*l#~S8E32hStatEooc2wsPkBmQqSJ7<9;r2ggQ+7PlujPy13=W5d=`bS1txcm3+o z*&(9C{d074G7G&%v5tx;rAEe{^`*75(PJ(EgGCe=(jm5i0? zC=yji2IrP!Ml6-X_@Wx(1Zi?)#{Z-3EyLmn!gaym5L^O70>Og&5ZpbuLvS4+xVuYm zcefCNyJT?p;2zxF-L`Yi+1-2g-e;ftWA|6rOjlP`S5<%Ves6!xZhwI1Zt>e|uqVET zmTh>;o?wiIK0^n{8e|}3&{0Ok_vw}J3~m#5vq9;j?uHlQop6Sg<&j-I=XI!alcpWY z?9Bkb^|F@<@tp2fMRUF09;F3pN&2|kG(P45cYO1E-oG{#b7>CmFf1MNlAEKF^zf9Mj2U!H7;7S9SFLOsTh zvX)UYgIZ?rXUTaHXUbr^qL%Pq#7NGpP|*L(mEL;_4&tNYK(mQ{?CM=Uk*`McnBZ}h zEQJPQB-sl8U6`I-)`@{adp7k-xY~29bEej%pc6UMm;iH*pIp03Zq6A!n{_o+W*fSm zQuK}i6HT!-ln1+F^Wgm`is={;wb=k|!NuF}!w3`-5R+Yq*$1>*`YKjWAhix31F8e+ zR=`tSFa;_cTsjoGC}{>^)P;Vo?e#r#wq_Ky?qW=FX-am`%tawr;hKtU(5f4!-5XsT zzb4eyzA)*BIWzDWlfKA*%ls@o zbRYcNM;QFc(||hS&j(iY#ZVa?Wi95lCN&)!zO%xP?>C9-I>X{xr?7snm?I3TF#mH( z0oMP$lmb9HZr1;nK|oh|_+L8imPdLoSxoGCp)Zs{(~}Y#;~~i0&R2 z_2Q-L;mupvc)J&+rUdG>xYJvfq@33Fa_j0?6e8XUjoB%sv&a|X*odAp_N~PBL^M0Q zurzq2eo<3O_qsbcI+Aw9bl~YGmRc!;FTDyrX-ogJX~VjMBmtlhKPrlYI$b^XM=b?m zo6?<`YdAiVpC7o`4)`=ryl)vEYA;EEr~kZiPyJHa7o0f|kY& zu7%}{0Rstp2Bcce{Yl0 zDNFUM>k<{@kSp;&Pe1s7n0{4vI|wPWf{}%allA|dyMg6j8g7YiR!$HH;Amy&1QCN6 z+nNA1=u_^BYpP;z8D6fN?AT0$;%$QN^JJN8M`Wz@ zha%GEHdrm&?~1k`!>J7~tnD?G_806_b|MY|Zf^K--Ph3zHDU}AHmBBRSYJK4QqAA# zq8!=pN}**WNI5hY-Hp&VsW5pWr+>j{lP+TCVE)gh4 z)mT&}y$FGdU^Z#S^S$=*O7NF+6)R`hx~A!jtxW;;`4+5x&z8k$KY?^=BE9nxki1Qk8vTAC|a(P*M8xTGE+s8g0?RA7=?d z7TZy>7ZuXmy0lgd^xM0ZapL!A?(c4=!Ug`tFYNz*CHU@ zEU$gL71_qfU2x~aN$E>&m+%^A-UVjnqn#Jh>P}S3Q<4`abfCzmJ&-TpPzW{k2%9ym zO|ix!VdN>*A8v@b7tLZG*2^z02;0%8(n`6@;`-^EY7AA{d+iXzLJ2CceSxRyvolSc z1p9}Hc3!bz2}5o`iwlON=@Z|BK$OLfmp51>C$s`kdT$vr8)JTo-kYyMU%blk`kzkW z@mv!@Q=|labtWgC-(+iHjIutSuLwuHcKA8}+LgpqddmH^!%LNBWv;_*D&!$kU)YAx z^kV}2F*f@T`v5Vx9R6KYrVGFA@Rz$!?(HiQZ+_OjAOG7pdu;T=l`sz3~{t|b})uGlJfD9GK;%8Nvb#jV(dSDNwSc#vHxc^Ng!w1W@_k=Ocj5C0I#6v;9{QsQhmL|Ndno{rsOz{JT!k z!PZy>0t{FiD2qvuGOI$|oJg6atPRZ|BL8(1{jZ}GFz$cX{HF|71Zw=#cEEht8d<#k zABFV)QWDETY|Na@Nm*IBxWNBSOCDz}RD6lMQ7#XL-|rI! zsUPfTFJGY@ck&YO1g(2UU-oaP8QQw!G*O&cQ z?*qZXKdU}xF|W`2zE3G*uNpoU($MFV{@3S}h-YQn1GogXg9bjP!B16 zQB1k)4*BWvIBuci0RH)KOmcBd5{}ZwlhgLX_u};EjzwllpnZ3Pgb}-;e|zfuA;*(n zfFQFv@5c?g(J~D9oN|oRpF|4$r$M!A@(bb@I?gYj8=BOW@2Sd|zl0}!^pvKWRdmoI zd_)OKF|wd4RNa^q`bapq0{Zj8){^$d=D17zIryUoV_$z2$5mWsgkp60n({gQCI}Qh zs*_zgMsITB%|RAib)l;OsvGgHqI%d`8sb8fxcCx)B8Bx4A3N`4m%Zom+x5EF#fh(; zdD@JXLjyW)I!7Y$;V}R<_-G6FOjnD zTKCe_Xj*lhk`%A}H_FrqbSH96;NU`MlzA3%0iovK2c`>w53_%w?bQ&k0Ikia{OKBYv`}gMpaA0MW_%qY7+k(wRO6K{b-a^ki$g zRpPtF$)N)t!*Rz%E4GXJcMn{>c}$~c9d{T= zRaTyxUiC6?B!n$*Y7?SpN!q~C{@A|aGPqeJYrE$Jb{J&V!T5f%l_>SeNtc&Fc_2x4 z)}@*nQVB%8!L>8~d6ip1Nw)AZ(p_^DvAFyQ9|&zW%UxQinkidz&F5%IiFxlgjQ2!f zJH2%_$c|`)dHjUwFL^%5v?TMc;Si<|hDoF`y|?KHk}o}FGo$+Rk$)-0-Sdr*1>cqY#jkwLd@-(kaZGv?V*5YMqk*f{@L<5(%=59k@ycv z)8RUdh?{A{`qreks>?PPm*H7HqrCjZWHSC@#~M1E$tF(25l3pWEXKq>QCe&#Y6H89 zl`oziVL!#@nFx5@xF6U5Wo>2d=tSoi(yLg#tLylPXGdokykLevh3I}at?;cMBH@)u zNPHBtHG~Z_^veDxm!)!4+X{W=7`venc2#cATM0y)e1dB$VK6nCM}W~86-PTqv_DL_ zlb$?l+Lp17<^VOCo_uxM^u-3(N5b)m?^}{N53HP1TfcPP-wv#8YZ%RqYcS=&oU1c0 z-J~&wM>m*;WwE%Ovo9PNX;jd7P{1+O=JLGsHJ@jFe1QID*^Z~PTl=yqX zrzv?}*D5*d`*;F8OP{gbSUF3wGOh!8(AD(zUgRiknGObZB=f+yn+>t7LYBx{Vd{pA z4!4N{sHLNnt!BAvC=U!Cj}U(dGi@~TlIMAKk8$$|#qlv~I=Hd_Gnl24Z&@P#1P>Pc z&D*1mTac+NQ*CC=^iB%*ku1@+dY6N?4hVQ1guxU6*|{`SN>_PI z=*9**Yw;@!wCSnwe|iH5TQZf|2kCJ~NjIaI_Mh2g*hgIwz8U_d2u#tx{+U$uqbM@c zm8Y-~Q;y^BQxH9zpy4>IY(r@L?5j6~C?;}kT*@PKD5Wz{@Z5E=v`E7Y2feAc1a-I{ zO|OX-bXd;BKmuObi(M709SBO!MHTHu)APxv`|(S5IS8$VtGoYxZ)+tkreuGq5AzB= zL3eB_oJWwrbR0Y)k1sX~rGXuoM`M|-AiXlu;v97#9_E@5Vp#J5FiP*z*v~;gxnxt3CkZb2&u`%%Pn4>}?L^A{=NUU$qO_H8Hx8E`l{V z3m6*xk?TB3fhY?VQRu*3_-*El`zPKG)TvtOj^;;)xK-lf->!idc6i}gD{WlH=bEg2>- zJ@CSrg;Nmx3G063%TV=9tD9PxcrnX3ahav{pIDzsC2QNul& zv1BRSZmElv1lrP)9d+K{BP3+rl8mg>D7EXY2>m8Du8adW==K{|UHx%jjYM#P;O~9D zmG8!kmqf$nBF;Xm0~?vP<_YDcFI^?WtHzptM2Bz{kUBbMuAUEr z^c- z@)N#8p`untBrE>7oYXc&1MjD@T0kOY6U39tgY0zPQdqFvQ*o{dIa--1@_S)R1bZ`D zqDp-oLWqJN7{l&oofZ~j{SV!-^fED<2udrsio6Pf(6kiw%Am@DlPn^U#hGt^k`5ts z&Jt`n9<4Th#SPcj(xFE4Ig$R%8m`+0=#IW;azP$e-+ZL68Q%oq6|)7EKZm_&i`6^f#U<;0@Ru22n#!{}a9I(g2r7Z$Z|;%mdiIf<~0ELCMstCejpA zoXO%Awrk>055;+jg*oQYljl$5qP-Mk4NOtFr9gtbP@8y#loOZBMvo3z!4Kpj(7cZx z6u-Yf#;V%jI;9fQqzOWD`(RReO;7Us(`j7iaP!=Q8dWLY%1u>{$D1phV<)jyr&sSeM`Vp@R z_!N{gd=$yU3r~z=Cb&L01y8XC<^-Rx(n#&U7X>T=w8*@TTiC|?6NCfU9OEba&pP$Y z&VV9PPYftK-i0MpP+d7aa?HdM#^}U5O=4Y(6;=?(=uO0T?o}iWEPwPXC^p~bHoHep zdrqQ114KB7hKiAv@`hwz3~wLF1}v3?ei?&;^I%vt>+<@$-L`6Y3<+`8A`PNqPx?mE z#dt>PWdhMqghtWuJsG{U!x#|P*N0%ekx0Hla0;#Ri6+`QB(*iuB>(Bag)wMcKwIK^ z)pO|n<*0ZB*B?zUi2ZgbMw%MasDgiU5YL@;A*?CoJNMDiWqzSv{*Vv_W1ZkXhaIFd`@Co?OPw3hjkA~mhn%exi!Tm z<4kms=@)G0@V@YpTAek56_4s`t!Q?Ftjf3GJ@!}+%6?VMdUAKM zvWClaLYKtyuPR#ULx6qDJg6=!P6!_Waw_0<3n3@oB6x_HrPKD>pMQ_t(v*>kz)E-d z9y<_o)XY1->OF!-T;}Qa@$FBK{KmRH4g3v2zvp=kH~pbMG!c>wUidS88DRf3!q&+# zurjnf+u-m9FcI7vX+ly*7AFC-;)r;(XT;a*r#Wh0bNC@CKV;LPZpr z#y)wR>XHQxs-@kBW3_zstP^gKDiS3}tT6QL#npY@$;4FJ*9bt<3;0cP>%NagL|D0@ zkOp&OIwt?28-}nYS2}K{Bx+p6vq>?iXBKBdoArBOO#p@o z@UtfvoNXN_fw@hWTpROrjOwM2vRX$sipuE{o>pdl7+zI)yU>%q;#iVKNNHegu zD=govS~r@9wVCzJMVn1}F4Fv7CVU(aQTjKXT&0n;FrlZp$lK=4r;zPCVAq`);mOri zNZA@Zak10fa<~=KHU|nJGS96bGIK#Nn2lNl?FS|b82BZoG=Lx%%heD5PwFcvoIf+d zaU!UXMs+i=OKbK68gqnpQpPZTZ{sBWyzDSUX7Co@^XKKKQt=o_Jb3bjT@RiS4Go{; zmlf#?*2QH)7Fh{dxP&CNA%(6X=w%F+A4oe2e}8$G@#QM@mh*e7eexv2AhB_&C8YsbwZR@!GH@@(}>+jR%=ztEL~*!E}-Y&HHsbgxYZQr%6)cSw&( z-j^QD{k)VgLS*jPl8ctEq%k3yK6^xHvLQOjNz<+*%tejq0BkMF)Ptc#F2p`e7R<)# zzblbiwe%kzgM^2#3rOb|iCMreVkk6`3=!<&3ukZ0?+6*mD_)3xe~y&w!_5LYD?_0~ zf9MH1gRG#6(~s(Qae|l0ZC@EDoD_n3;CZ8jXy$nMub%bqY6KjTLTAX~hV)>DdKpD|Nz* zn&0)y{h8zi%ngpr#lKq&=|9&3M`>t>{n&RkY6PtxL5PSEx>FTfLJR9o=`g ze~Zh!N=}m{j6`NT3Zzx@gCl0^{(#p#bD$&BfoU-rKG znVv8kv`zFXM3BzYwXZvks;~xtDuo zpf>YnIsex6d!tXkWBKvKm5%g^6cTaft{!#Rx#iEjMLn?2$OIK z@30SX;v7tO_Zr6P1tp8yRCrVf4_c)Jia2MN*WylrgnZW6PUD)eTpK{skCHv zx0H_We$$-f6_nmp^|nj&?4h>WhGe%z!?t^mMAFL*_5y>3S^Bmg@Y8s?Q;cRHZ36xy zBt!aS#qFPHWj2kFqtCK3Z_}z*hekCaw~5qPdf|-$j(TYqQDpP${(rl;v>d1~4F0&n zW^lD!jA7xlzQY(3p9{oh+e^D{{E?ijdIMvkgAHx%w#Bs6BajIPvAyB((rbHIU{Z$aVSyKo|fQ@2Tr z5uKmUbFGgN*JwdxGv~gRK2lHYNkR|=o7M=%oEU%@&_w#ZyA3-_b> z>`1?&v-YL*oey+u_wFvI^R}3(M1Td~u(=7d(=Umok-Q-sxBPzLxKxwj@IeL-w+Oyy zc(M~st72mK?>v^O9>;)NQHI0o_}9n;dOOH2V1L3;Db;VJ3vh$?@XUy4hVXm(DE;nl z`h6jVX;#MzLr^rskz6x&?p2vQrf(l9jDHFb0er{Dx5%YV3TllR4hbEy?}DB%-Bl8KfQhiL#B)Dp|Bm;u z%S{AW%uhz5(EPXdEJk@5KYnYof2LsYtTIQ{mJ_pXx;mP`B_SK3?=H;DFg@L z8Hu5zHba%30kL|u&D~%!=Il3M-H~+2tA)(q8eQh4&nNO{um)xekhDs1(=op7;hGOa zas?dtK-O6Rx3SWZfhw|%tclY%|J}`}=RhW8lUVrih`Zgn)}i%2ICIfA*7MF7$3s%` zy4jbw($Jg*i=uS({(XgpPB%!zs=}j_JrZ>1K=v`2(`}Rrubrwm4!}7yaSLXXVnFMg zp>`G2s!flX;RJ2wR0e)+VrRW_I^@pHQx;uCRBb>3IN&kwJ`jSt#+D`t zVZZE`CcF8qe&5XEoZzhF;bRTNFLzz|bm+vcK(^UGU-@XaQ65xzf}#B%1LFp}Ng{`d)%(bXew%+um#otnE+bozR^7tM#xBT(VDpQ;Nh@W4C$?uK9@ zOw2jG1&o#ex(P9BTbqGg{;@e-+cq`hXLqKJD}wigbq1c}jn?k_xx|EvzlPnzF8ghf z3;X}lF^UeXQGOKHGSaQcdI*lKK`%7iShF zh(Nt^3Ew+{NBo_LUDq;i`5^z_PoTJPrgy;HE~x*m31n)(Aj`e8`+TZDA&Av@fM32= zJ~(k0{|#7^G6I$MreB{cur`|s_vuGzZYFlgdFdI58vvh|Dx8g6OxK@jfGhpvw*1>2 zrM7nC&gNu1F(CQ0_M+=^-wuC|B#Hgf5Jh=sB8?2ynokuTRJEcHCy+GdyEcYWY@A6D8Brlq-4ur()2}%-Q>iB`_r+ldA2Z z{{B5^Jl-=dR}zg+i`yr^=84~Q95zzjiM&XbBLFSLg{76O0F$aAKSBY(jpW;|^rWD7 zssnDunCs7MDz~nCT85(V%>30ARw}cLA!s2#`YXW`>B=Zj>AKX;;o?sdyGgpmq?N#aKra9 z8Hx@paY~`PH3^zyPYYF!`bP8FZ?d4Sh{k>y+S6;tEGT+suglXv?s%E#Mp?dUU+QS*s()- z!Da`69G1PX0e;2m14uqFg{|cY(_P0v?&f6QRqhPQ=23|b z-7AH0WUCnupf!B{BV!L5U$7lt)dQU%pWwE_uR^m{NU%(_oYdm7h1?9Uk>E80d3w=B zs}wTPIf??u!!9jId~r+FFuZ5ng9)EZ>P+&99_UbUz-Op19-+;4h0OH`-9YR}Pac2w z?_?Sa7%y0T*L&f<0#+&lDq@og;Ed|H4thK_5`C(VqUFq-tIlA)YioS87 z@BuDzHPm%LI#3mDonD<*nJrAk{e$_b@O$ZRJXtWJZoi9+dSID(<|x1GWUqPT%a1(D z-`LMuShz@AzXQAFMK~`8fcde(dg@nX5_?%}+;hL0uK>3_G1K#B&*PKNC;%L+mJ48B z$|dMzS2JcI1AA*@f3`ttjvp6%;*BinedONP&zmlH5@=Nur!qZr3rxXUqk4e=y){vf zTOlJW93I?|r*08>=vwcm9hEAM%A=ULyeO~{;>W;2%8Ef-z=4evkM1lRRtSnTE{cED zapKr8C|4NtQf4KqDNK|f$t|*>EyM+{+?6%^nx0YJfGU#gV*uBSECVm_zmx1l2_#UhWKPP^Pp|Sn z|1rkwu5;O&x_`+(yiDa-E|b@f=0R7(NTuCa=PJ?1YbkL=a?m>Bs|BtF0wHg<*N}zh zqR`0kn3V?>YH2V#AAf}avH67UXoHW z9b-Fz96dkTA=X|49s6tqCRE2cS`lH@dmCQ?6x6^Gz%t+n2e@tun|=4Ps=iQbYfU!b zx`^XQ?5oeyH|R;J5v)cNUL6JF$vW9Xu+c+{XDVmrZXfI$dHA7cE+cEb8lG9%Ny0=h ziq4;4qdDvn%8yS9D?xt;aw+l3t=4}Y9l{f;oa&*L@EDKS8b_*^F_p?V_MqvlDEWxW zJ7pn+ftuon9;Kg-0te-6?(-wH0FbAOa)7atq3{i1o$Y3TSgJP*KmX9pvH}?Xj>+su|eXT&ioIL$lkQo4u#9{RjYZw+t)!B9!mzWg+M8t+1 zon^`NK!@HmN!(ogt+clKXKGz%x6oXKmBZ+IMJM;Z*gUTY{@;YoN%@2@KTI6thEf;- zAeWq7MOhO{DA{N6^I;^!WtV!^CI_bv*5KC+jf-1nsJylQ6`L>iDI;a%Lb*udS3h+t zw{29M?^rVSA$Xf*UL;uyaAdx+zJHtWvyfixt{Uf?J*HL2-Z+Z4?wPivaOt$Mr@Jyz zE(77HtGDk;hwgL|J3T^KuTLC&7i9UH*DlnNDnSrV1;FIkgT}sT?$gp8wep ze+>CvF)praEd=;UAgD-6_mDUrxPo^#JJZj^ey#C)k(IN6&dI3y)X1BFJqlkndh>EwUA$xzCy1frE zK4momK|SH%@d7{R!aCB;=0|u%y^cgiu2by!b~{H2j*ye}H*9x~5 zqA|e{^vy2-7(+2{6h1#uvP1$V^7cne!DljTcS3pe{V6lAZ-*j^SpDSEH$)i;8gaQI z(CLcp95&v^kw6Q70bi2+2WI*81?M60rCc_?shD|+t$TrS*Anq!Y!eXRjgwp@t+g*uh zFZIR6`HzOStN#s&{_tJ$*qp~z8lJM$j`Cx_$LXS6~`1!`io{m+Y zR*M2!f1n(ItXI_V`YA)XPzVzZ+<~SK1-`Dg#ekpx!7Xdy%*s34 zb_GPLY)PCZ62q$(w`(IDBl<(GImaXp!R^w zPz-SGycK_hUbsCWfZUyMwp&Q-Nqnj$M)F%e1pvlY@LwB;ww)w63zLouPBx^tsU*u4 zM90$8j1ndkR5tU@m4ipbQW*dqAyMjtkjU<}4%ILnBLjBycRi<;gUM*pP2N2xZpd%X zmWnYJ(=*s3e9s7(q5A=3L0gA|<4qC7ip?~B1=Wcq$ti#v!}QG)Q0+BxZBf@Uiz@?7 zEuL>!(>KI!uPREi*$&2Y&%)rI#0!>-HRlWNy~nJlq4g|5Uom+<-5&M*qUQ`HYue+u zl5ekV8xa^kl1)G;DP_5W?;7SeOKY)k-oFNkaJrh>EF7y?f;F|V@Yvk2XZ5m0Tsr^7 zCA`+%c|IZA!ZfT>RJg+BM~6}|WCVG&+^;1vXU7VUH7Hy#W;ya?y=BE9hG5clA}s&8 zQDAfs-e0^Xg=KC%kb66{QxfY>LSayB1qF|J9s5I(9&QtF^XW}P2CXWI(^pZ0J9n_tU1gvalC+&^y`x5+yXRr*TJ<2J6 z9^%e<>GGPRSfNJJdQ!_vIidD@fD@n!OgwKAeW~Pbh7gar`O5#rZELt|uspzoIOMHjBFz!0ybfKlP)c3^-NKt<0Jhjy11V%@j4!;br zcv&*TKa8@rAB1t}%H7tm;$YgPL>d@KEkEamBfw?iuTIS&7r@b)NMcK&Q18%hk%pwD z2bJ&jl%Ljpm4}W7k@YytlTF;wwHK&xlEU-!6COKOj>pN>Fdjl*1pLpsq?fDghvq-@ zbPQ74QQ{Ph-4ydrQi&BVpa7_T#5_C4VS0mTU+KvA1Yf^?*c@+%GwDwP2 zm$QhYOA#Ku1@^~dfl@8Rnh4JLXKlcPzr&;Af>6y#(kUkpe^rzPvsx$P1;xSS5cz8s zSvA(*#5SO}wXf6g5(~i#qB|TG#wNO88Zq!#DLwV5en?>^TtKVy!1d-RghI(P0mxWN zN%bOKM0cT<0*;3$FC@O|xDhjI@KezdM>IglDkvK-IpV+|#3257r8!QLW>o>Tzy{o1 z+S^;04;px3ap&^P12<{>Q4&_wN!?e#f>jnxOqY%5385V!QBh<`x$Z6@;S|I9||A}7nTcdDa(_ztSs|IByKJ`L`WjY5}!?`ymX*N3ZN@^ z$V8aduw}QKP|NG~(|VMJY3#Fqu~A5;N{=KNQ=-sg$QAv`HhThX1J5!mDq#=ZUqU%q z2BrQvM6eLvOn>(3_YqgRPO%RGCkK9LdJQ!f#uMyyVxc4()yt?6>bRmb^z_?7!V&cZ z_;NK2PSn&!E!djRNoxr#BiYt;V%;i}Zo$5Qc?r!x6vj%% z#kfwHmLUlNy})pQz@yh>m3Cfl5%kvyABYD!;6Rq^z9v@Yhjz|Ab;;mAsD2|B`Xjtq zqpz7x5s>2M)EDy0atecRenA2LgVot54SPCiIYO|Ta3Q&RIrs&KwBnDlQJcIo?CsDO zse!d#t%P&ELv_(e5@nrDW0nbygb45s4w-qi1c7Xr-u+7e$chd}yVruQ`qqbs__eTx zy<9?9ZTF$1#L?}d-}=`9kw9C;R|U$!M(CwV=ZKjq(;G?GQ9MNBno_f^>6`SP*4#Z9 z5#(lcc4`dGffoVDTJWGit^J~2f$&LQi)A6+ya5sCiY#Sd!{|J z);ncojsT>4HMpG`pls-ecJxQ2ybzC{@GkwLiVB73q$OHfU_o-Ad2bC{JOR=mnuS^x_(IGY{#*6@$v*2;AG(vd}6HrRGx@gA@oi?HOX zUF-o~6CwZRo`^q0S+NewL+?|LJ4*Y;i?uep1IK=uI)IWhY1xW=ff`^9G==&H`?%b5C2mR8K310$2=`(oZW>^TO z5On}6A~DZz?pxm_omItlxgkG@N3o_LUOzEYAHQ&ykoC?ItwKj1v-gzh()ewBN*?(# z-quy*Y5G`^k6z5i%^Eo0?1}5h+>Y(EFdw@P*1|K7&lGorq3;u+M&lS)xKOU&g9?m3aZGW z=Cbi?ou!(`Taq6Rt=At{HlgY6>dJSt`O$MMpYcQEW=_4(=jba8(a1P7TG!r7MAGxz zoSdc0(fG(I15dUp?gvu3(g9(KJ1#(cK8jc^G&^1dV`he_+2f{sSw5+I@lXjo z@%fJC@!)k~1ou_90o7zA3?C9tJf^Q#S19{DAe-j{W*F@?Vf+I{I-~&uadkQ zaZqcGEo=Pg>Lhbhh9DK`mPN^=#LaAU3L6~^r(j*>{HYVS?{iDi@I9f4hnaUUgU+8+ zR$B^R<2~ywz&84b$^kYddYeen1=%lkd0F=*kyogW(9_`DLHP&Cw~qW!xjyKKBr$6D zA(pS}LntcAx&>HR91>H3Aoda@Q-gOO#G77r5sH74-V!3OTX{a0KJC83g#<)T|4+pI zf86+g)b)Ri`~Qo$|6hFk|Bs3L|C<#3{~vhy|L*7iyvhGJUOpG+|KsJg?X0B=hTqoT zPj6L@4&SId3A_=0Gd90zi3GO=6F)EX1}XINMd+8FuB9{c%&M-SCckG(KivH0%(8wl zP1p~b@VEM{PnXT4uQL))4i7w!5x`WB%nf=|!wZBLJa-p?fWrIyxwdGl%{G|Rk$@4Zd|L)68ir}zd<1sQ* z@>IIAK=#3Iwe}E4zutaO~?g}#f$LC9Ht0LXXDF@aB&J| zx=eM*-Fe_-!;XGBb~YzP&r!Gnzjr9&R+=zWOHeVZBLzt-<7J#2h)djf*yeDxyaQSg>Z`mi$W^3=XYb^hh zKnn-~CD7mrmPk!hpmD*T)(QWeYY+MKr*t(j{e%1Gsf-E$fz>~FO%Im6M?`l!|K$E&<8CBJlDe-;`4%?uKe%|%zvBo%}InamK|43mKb z+HfU#ny3IMM6~%|y~?EFLdaU2EbR)m!A=4wL4V~=)NOjAiNu2OJe@WY8VtWZ46Q-t zONS@u)%c7$k_>IGkggp1Uwht?Nf1VrJ4dw58;)mTC(KJ%FC+_BgR_|^)9~?6wU|5e z$6P=yKTO1@WSYY+%@@zp?3s%%$V9fj>7+OwuI72ZY4MYF+rC~-K+mHMl#dm@6^q|? z-YYmv)(y2Ef}Xu4061UfX)5#q1S*kCw|^NuTp;6{N0Dml^{ko?Bd)gZedz-U%FGkS zTREfJgJB5VU0n`bU|(O>E#lnCst=BFZa7=UfrX-1ZzZTM`?uCa;glqxDg{KY^X&XR z-GM=E*+q=NWY_5KUPW143kbYIBSjbwVPX1KHQ3Gl)BfMZ5^>{-NKf2V+vGBq6*!Hn zBF3+d^c6p|896LMIwM-p=K?t8?vwljX`Xb5S9;?zAf1ifYY6N$GBX_F0u)uLu?Z+$ zMhL|cdnyypipmbZfqa2bUNk6)v_-|%N5%#R$Y1N*zZL<)jm*O)=46^q^B4fnQ>tRu zQ64JMyC)uWu#{33h^QN?~GC# z@=tpnD3(Cy^SWh)UB$Pt6N0v{{4(N;VLQ}UFfqzh+ecnP^KmcLmG4Si{EU!U#UA_H z)K5hT=lo*lY@)AYqRK52)&tfkxf<)0t5NI>JCGUvvi4_uvm*fcoDG@GH*!Y1_bFRW zxaR|VLT^Mjb$t&2|yH`*c;{tLbDb)Ep za$9#bF+>CBMta197JTt%{<(>xjq_eY!zM=spo!&*Gy-ok<8pSn56w7hrB;Vt!lrjg(HdTy~keqxRgH3e*k6!;<1L96^WT@OMJ0$bfEpa zsxOAxA6prew^kW({sK|HNMU^1i|7&r0+ZY{;4`8da6De57qj2yhm%curg|WBnkmJ8 zgkGG^ry^z05u5=ovRV`48220FJq%HRf>6Xd=0n4#LkgGe@(hFiS*w6bQ@c?qTs+~J z1>h2DYTqt~LpmxWd8rT73s!iP8Yx)LVMn?P-J`oF&w$;a=oP5rG@%!?2Im}e&3ws| z|5@1r`is@{%;@+M8A@032Kh?sCCIC{jyMXP=_Z>Y!8=44l|5ub`5M|y6N^o+^`_H~ zXi>GF-DHGw?Py&Byr|ZFp+s)vXW<}%`)mK6%O}|#6d0ip$5JEh- zubRKM70tSTc(iHAB+qp2jgpTNBQBRx4iA{OX&zk+xfxPz-i&Z${W)Tc1|Gc>{o#`- zG>y7VHj3wUx+2XT`eoBG62hnTKG6-v+B(rP3z}q3Kt;uuw?)W*Nk*w5Jy8`FUmA6# z%^whlMOv5tlJxg|POrAi(2#y==dGxXd}g2F1Qz{fCk*AHcx62_HE{5F0oXF>kR%bX z=0TD@!LL05zSZ8~5Ki8u^qtZV*Z#ro179u5JGQ&&En9Un?2GwmO1~{nY$0J8rCP-@ z1qwu$WGG?fBae4|Aux=&l-%RND9;EdYjI=FvveoP+V+dJrC%YG{Ug^H7DCu!*WBb` zNJ9VpqF~AYKRXx!f)cKT2~ftR)#r`(E;fhq@Ph)fpDn_yh2n2K&|@ z_~gwk`ppm-g-pqOy?@DWel3;qK@A|t8QDnm_>4Xhd|p;TKGi`dp|UcOUjnF~+ygtt z>mLyqqY$B1-3lL>0iU`eL$cnMYXj|wnj9v7Ik?di7=%- zL~z`e@(?};_RmMpfu>}wD4$1XP}`IdL>C$E``F9^NTPOV= z+`V;7T+!DyT1rci!EFYo6!)RUOA8bzUfkVXio5F|#Rk{n?oNxlySuwXk>1na`@Uat z@0Wak-Q*@WnPldi*>h&^lfBno>silwjz@4Jp_M2$fFw!i?}$#44*SEJTm05+Wb?@T z8Mz5=cw98(f-34*9Hy@C zRyD&1QamWA#*p3zZn!~Q3jam}MdA&A6JWNV+L48k*dPC(h=SP@_vd8U{-q*14Fj;L zG6e_0>t24?|Db&uDj0aR6#Il03?wG_MuD&WGp;$QK#&@KOW=oKs-kz?ZAV*elv|`T^|z zr~Ki-e|Z5yQEULz%?8oyL#{!ofvlTCJI?D312%ykLPORGfgXmmOZB?^r(448ktXJz@;vArD>exj!! z2>huX{{j<|cNN-_C+m?@C@-7H5G@FbaO+SM1Q{1i;pU+lo?Wm><9F$nNPN^QVyfrC z`otoM(&8W;CV8PuKa)3L*Q_sW3csDef8+9kXJwN3o#?KlG;c44@SXZ!TEh^V;iP$Q zo*PTId{SiA<)pu~KQoiRg0mY9*85wxhBU6%%@y+Q03*EoLvv8ec^b#vI}r0GTz`{o3Xi7{wu9XS z@JZJ1Or0E@HBqGj=$+F_x12$h)I+?T>B4HB!YZD?DxY4%D>K_Leuj(BCSILwqHmP< z_T%lH8kc0`v~T!8ZlHISBh@3#BABHx8#}b8889R|%S9^Isa$sZsPRHQ^$og)7E*=Txc+56^u}j? zr7ICJ4wPDb+_|6$QJanTi;v6r01GI5P_y+U7Xy?&AxQ z+^3aDePJqOBr9YL>NyN(>w>RX+Z44V$AA7+?y)(UJEIA0d@jwq>k3Qi=DjvHv@kG-xi4b62QMpwvXC1Ow_`yy+*wE&;u^elO|1AhLa-(y5rdV?$ zEaK8r*m_HepR~WL(b(m7Qv#4KV`&as1 zzhXoNR;rXT7WFzZmFr#7<-kBhbUU~`g59~Y3i=Bb@Ye$x zBb4HkUWA-uvL#o~cAuA*Yo;7FzR`*V8J;cA`Vo&G4x|es86cIzSn_Jnw}XJw7kl&g z5IWxa7wjhF|(wEPBqoQyhcs%>-HDg0-aW|@d&$*d= z>oTeklHTi82oht+KN|$xiDv}S>Iqcqa?46Tz*)%X{!at0Am9f;E;t;xHw~2GWor$j z!?)zA^??>#0kgN#W4`b5MU(@r~Cx<+;YT zs<_PprrJZ>k^xmFz7z$b>b|kfmS#H=bxEcvIbiS$!1P-PXFX@FtOb$y={qq;wsK;B z=rH?#2OKGe`vW1B6*&Y*uZ(!A=W)*rNm6yL(XzBFu>O^IN`~@G$L|?8Wxkb?CEnb5 z%pQ)sv>Jv_OCAWQ4Gm-XpN6eyvB=ebM24(xL@O;@hlgDNDy{DUa$E&Z-_+>v3`{me zXB=Hz16R@sC}l}w9$L%Q`m=3rA8xDxNiKP!6?>oPoQx338zx={7}rv%(`5ht?`jY> zHouXV?No$)&U{wUaJ z_AZXWAR6l;!p~ddV=a5J0|P#j;aSIV|L}dGMg%324k%e|!dtTrZWxiN@kvwc zgz+mHD^Lk4t(-qTt5*;5;BT`492cmi5k15Y;psM4wSJ34A}jW|sFKzo(y!fn zXl!%3*wpe_fvhPQ)OPCTkOPX%X@w?QZ7~`IxE6^fS-b>{r)W~XxdR+e5Gj)PZ7@E1 zjn08g2ChW>--}~Gh4!t191idUfSP$KSTTqwcxtGl-qB#qQlMHSjtA|{LC)|e9nZ1pdBWkJEzhZ zxA@R7aB(8CQJe3IicCq2$37Bo6AODNO}`}hmvahiM~WJL4QVYx7XaLp_%9Hnb5y2d zRq#R68>vA_+Yfll*y=zv2sc-P+oTdn5R6Y{Yv$!@)7$zs&5hUGtlkhU=NrO*1yUz@ zhz7~UXox2y*}t#23Ngm(6t3Gt?Prgb4Um@c>G4%!$O%eople#M*+|Z^m!g|LHf%Oh zE`~d*)pwc8bMt}PVuPGlxNTH=7+K*Ki@rB%yetPVkrM^c*ao>IMw(u{G<@7CgD<`t z_hV#E^4J6L&SGgx)3#!GnJExlr4v|=zqW;hL5m2nI*OE5np_ zq`^GI*a!B)-$y1F71oq5uxt$ln7{YDZ=so$pTH050CI8FGi5b8yIyaD3a7p%2sC>DTBz6$^U`V$>`7%-*QZEF9AG9(1 z%RG*e1zVqkfV8v$+{SQw0Gk~T(fq(pCtef;y>r@vbR9Jfr~)esvW>3oH>d;{%(pJ= z@eroIfm$a0SL!@6he|0E&L>_<72RdJTqQE?PBtnPiCLz>g6%>*jQB@4-@^R>lDQAT zYc$BZ3qfB`1)VCuz0s4ah_(yzTV6wYjfUNl$b?D&6+lm+0>%186#Laa%n)BI|H7H1 zOwZJz^xxZc@$(V^`a27}2Ljikc?tu=+9PTARQlEy^(M+g?83YvoSuNP(nP60`0U^( zBH6KW*XeTfAzRUQ<5<68S~}G}3SQofOkM2FTvSfrozkB$Q@s6ckpAZ+JYW;KV53*< z;udK)ZuS#F)|R4q9S;5yplgtbcO)+t4>%il{8|Qo`>*5lt%@Wm%jU3>i9hD&rC@@E zuCAQa937xiu`Gqe!s(hU71jGAP=AS>k36z6zgO++IH*GAj95!H~Sab|1oc$zIO`pmO#a|^B^gMQkGWokzI z$%b1g-6{~|K|FCza)VA*WU*lA4XiZ$ZnP04_-8~&@4=fT0L197stX=bRQpuboqY;` zWFwNTZe#c-zAzu_++7ocr0McjmToK#7oOn(gbmxngfAfo94K;OG{?Mo>Bh?hlEt5J zUFNT8R!wP69gSW=(PBfjt&~uc!?j&cFI=3MF4v<*KPB83Wc2h_4hcl<7S;Sg>^sM< z7Br-vUHmHkVVP|UhSe`B+rOP$H1Z0t9~~0I_~UlV?@I86;!x%&@;1{jDO#kYdimI2 z(Kw%>DGg`es)~0}T|g#z@RaniM+ep(%p6oU>GHCg+1jdw8;Z##6px6>Q@(su)XIKu zJVxr(KYr|4@F?BKo#ipxLtsN|Hahb!CoOPle%sX97;RU8Jeu{u6vDPx`+*)rXuwvO z3V3|;ct%K(KZ~(YAr*6fVh@Fqg7R5bbSw#C>j1<$vAcXg&DojHP*-rgOpRefNsGi* z7*PdKGI%Qxf7`i1Sq2k+=n;zqESwZE@AVMoJbUVBVbadyK&JcKhbYYWj8}J zN0$5m8YBqUsRg>Pnw`?@K=8{PfYas4=<&jP7nl&p9*Nc??^FJG)JEU6uoT!AaSR+^tPuAi!tU|CEz?=wV5`ls* zj%D6Z21t&mgbzp)^=0yH#$3i%+rRb$tA6_``}-J0^E3$Vnxx!%fT091hcAgViL~I2 zh!7La`G)osrcnJct#}|9$y{j3{6O#g5=+=F?ZS$LeTg?Mvju+>Pg@drepK>oPz{AY z!96v{@pBlH2!LFjEOji(Z;Y}?>8q#jIw}u%a044uVli6VAxoMM$RU)M<*0vE^k1gq zJR$}6Vowm@H}0-EpfqGt!zCgCRhI>7p&5w3)LJi9J11szXHBu6Z+FwBC56I=U&vp;zAI zJ!~_0xmR80s`fQb{hJYk?|*)(f+$7j@h2WN1d4Mh(GXch*OM=q4Y77)gl&e&Lm^}P zjg$sX%zZ{;`BzvOU1xfyIduWN5)({2)XXZAlRekOSb+zBat#i?BiO2now zwx;@|L*dFZ^8#kL9Dt#=!mf0&(x=f4aA+xd>M5A7g~qui0D)JI)2za`9ksVXP|s*H zXL4wZzr8a$kV}Zz+IcSSrc{O8wi)r*Hr>R-`!rLMx^?Js_XnW5NX!?h1N>on_Hrsn z~u> zxVVZJG8BU>Lf|)x{!=YHD6-Tr(wce2d%yNh8in{tokTdK@?5S{^cR5`>{OSz4PUOZ zq?^+Jgl18lguQKC-GgyQUo9K}%hV@<6WYxLr?mgRhi95GM}p4=-tDCe;rrEH=;Fr- zpUfS%F)sxM&SsMS(l_(K`)hiMhD3kLwzrbr{i&&?ZG==9l(-yGXJzNc_2}c6bk6o! zqo)RE2&(R`XpBkqYB`r@$}B5xzSBqWHPfHvf#G+N@$G|~R@-?FiP)R*2;qkuMs868 zeuc|G6qu1HtRu`8ksp}VcjadEdt+f}T#G?1={+Jqm)mWuBq@fPA+dYy`*a^Gv*K1X z)O~WF^@FOtpu9`(o3GV&cs)+BnY$i#DBMlAyCmIX8Vn?yXjJmhOw4*aT;z?y^)|yo zFul@RxV|u~ct1&sFszW9hAQ&=k&qFbVhR6z$??2Sg}+#=_7)!#?7#)QC7w&a#XlWp z|H44V<}ywMoMgCF8gn53uD}{kQeD?+Z6XLbL?AD3vW^0G+r?cQEUMi@UXRQ zhT`~fhxki&#Qswkk==+iVOxDFMHEg4WfYU2|E>Sc1u)N=T|p1;?q8J}I`2$+39Z&z-C=e{aS%6EqXV{yHD z`$y9mip`--c)FOb_E^G}qWDIg3_#BCKOGLLi(Z>_lrzlSuJkRX?I|d^ZoC0$FHw(N z$xcsWB%WIuTnuxyl;WJD3wfRnlUKo#uwREGD+4L`4cTo@sp6A(yp0QzCq*Cp`AZElf-@Nbw2 zjv*1ZeNy08QAl_BKf!Lez`l? z&iM4|zMOMo_V3*T{O>)~TVA;3agE&j{^IoS)%CCK{IdAL)wZWoa(#2hXV*L4zxVZ@ zJUt)a-jBzFe%j}`tiMu#g z{`B|HvCdZcuctrTQNQlWDVx=U9`n7QuFG{gf_>!oHV@0a|3Zr%Gj#r59)5B^o&4qT zbPe!!9uG$bw|!MFw@Y)}t|bi)FvvLn%lf zS4%;@x&#WqEq0HH?e-2M51~K3%|N2uIK|AA1Rh~VF*G9sf^Vvn6>)Kmh~^hvdDe(MR6*Ixc9-+jZ>US)X9=5nv3 z<|&DmAl?i;ndu|GkAJnb(7p;UUcg~&-LcO}gA)Cz4=YVH{szW;azVJL^*LQb8 z)7{XS`M^ti|A&TQW+E~W;bBj?;#M7bsLW5a{Zhm$zua5U^T{(~%j^m6?p#Y_Q|STZ z3o|13)CW5CvC2SA!KP0tLiSJwzuaX$p!}D*k7!D`BGHp^vzxb>gH3kvcLLL8R-HM{ z5kOu^**=^splN3THLy+2m>14(^Lv^F-IM}2R4pH*Z~0w*Ai^7p7;>US)b_{&Lkp9I z>=QQAcI8v8xYhuX3P!{-o=2%tN{RAoOLi@10ACYwG;&c0E(JvO4wYSZ{Hw0iu@2`h z1phH<3dZLoE9a0I5oMLwc27Yy$~H7lvcYltKa>Z&8oBmvTqM9x>$>YBX98QgjL*Zl zknS%hrS~bGLI_d1Q8@2SP@26pmw)%)gGDEYZ2|9V1IcV8>U|uUJhEIvJT!)neYpI1 zzdioQ-`Wi*C|=S|-P0?d%n0#)Lzha{=l$g&w=z71Kt^ghBK!R%wx5j%1~gQ@9`rXJ zp%?0Ohx;&es1Xi=t;D@C1}tv{%vA^?jiU*!f6jF0!nh#)%!u$v!Y3Q0+F{17f&7Dd zK0N_1N8|yR1!a;|AMp{H)UUP%xb69!R=?MWJKXAdEBI+`*Pke_CHoVMoet37(TpF( z#@2mF6I$)EOwWmBVdEgg$3Xzy6YwWgU@0%AA0szu?_#-$SC zdVP$9zaeC`Ds7ph^*Ergz+v=~FWoRlvv*n*O#dJ+qnPcYD>7juJUqQ5n==QLj5;Y_ z{PuAA53@zHf$oAm`8|VHHq4g}99#;6Km^(DHZIJ|`oXZvBPVDwV)RZKef9VTm$iLe z3r(7E4A7N)6?~-9#6kcl5(pm>W05sdpK?q~A|e2#poTZ8SXTj2f}%AGykM#|MDKh0lEyWg3)+g0iyc*Hw9G|& z_xmOhUVughk6~pA%v_?U>TwJns1bJPy=vD;uB31=3!wDmiy1lh1JAASdeyYH=N$d{ zJ`v~zfCL3`nwS?n2~JEfm8=PeA=FC|qlT3rmMwIEUNQ4txXMqw3eA7Dr*+JCh_HW$ zGu&(1DjIO*ODwIgdpSbvvNNmZGF$Jm7kvSmL&2aiujsO;Ro|U2A>nvkf_Clq6cl_1 zE+D6Un!!n$Ea=Mb1Fl?hiMPSLy&GQMz9C(SSbsDu4V6`&H(^EN;u6SXP)AEtD zdnLI;E|ioqWMvhr`+6x%Che^#H<>^)`xa{zH1Ew@U`Q-z!u8??dR?3B`ovT>uGZzv z4nXk4w)|3l*nB>*(;zmNQ&z>%(^5wv)K+AZ0&;iCXZxIDB5L&dzoFjstlF-4Z*3ztpw zju7K;v4e)-Yy`YUAoETgrzQ*7I4xzfU}&9`|A0aC>5zq!ZM1%H+F_Y$q|Z zfv%lBcqz3o0Wj(Y3l-sF#BUqoB;p?>|(6mDgg7ZRy@TTulFM)n`SWH#^O zu#Zhtz&7L0H;k`jZb_nq7PN`{iyimuH-Rbk8zAiH_arWy_chGE;xY+gjj1l{ye57U zq;6o@iQ0B2c*Uw*ne09(qC(My22p!iP_I(QK)UHzF9q<+v~RN^IV_*v8fJc9Tf`gY zYagKPb4<^Tnsb0S0a7i88nzE()Dr=qt>^5VMAyb0nv`~BW(kMj_CX~OI=OWCm>)MK zUvE$w4G~*JTYS4@q0|0_rFc#EJ8%NuWIn+rh9a1GvE z=)++tG-2Ab5gFTHk^4do5IH8|1x>JNCc>>)rKf8bq#us{h08};qXO!6LX1>C133?HAU)vUT2I?}h_+TQKN$dfcv33QCEayf5wWJ(XM(>$8Qb>Ea_Mt+^n zbpY6~H8Hi3M7{@|H~ng54%m=D89#L33BpQi6AUsfz-y986YeW^_vQ;G3J7X!b4o}x zt)2S`_YeVj53+Gf#1TTA*hRFUvq;>saf$SPiWrPs0oTPshU{WR|KVpm0H%Zxgbg3w zfI|?XK6-s#$te9{&?7;Am<&j{olz1jhYaMr?)t6L5UqwDn>6_o5$UOtZxvSfmnKB9 zNzzL(oXr{RkWVwu@{`Qr7DTzp!SULP6~DR>-{>2S(Amb}^z~e_b#FM>CI8js9h-YW z{j4!7fhj{%OD4czVGG_$wrOf2i`HXY{ht5P>t*aSE+dmwhSI{7;5!AvQczpCxos&% z?gKgdS{8E&_4!*Te>y)$!$NK+n?y&{@f&6F{?M~H0j<8wH-h5BC}R7QA|T#I&T^tG zVZ2tq6)U{TGEtW4D)2Ge9ShO$edb3gG1#gudpZ0|TOIqqjYyR>)Wgca!^lIT4l(X$ z2)8P4i#>aNW|0ZJ}Z5XdiXqp7h-wpijW~z7TA9Q-nF7EFTx<%=C zl9S}bzNKt6>|*Gl%oDuj3xAv}E?>6t3sGp6{xW%*7}qNea2;+7SRV4ir-NZsPVk$c zp6gtCx7p&^TwY*;19TfMc_{*fGEm(Gh4((v@zWSK->M*U6I;y5PZW+jjAX%w+Pz3& z3gLA+Ut)(DLjTs|nr@!&mElHKayN{AC)p%}HARm1APWEbSo;B%yE!HaS751AiLcg` z@Xh(baLqqjAH|qti!Zwh7z6oH4*5dN@GvzyID$au@-*N8|;?5m6uPB_Y4$N zXUBV&>PlnNPCcMDQUmQ`i6%+3==1C*)bX~B*w~0xGwMaXF?)tKz}H}Z^-5a_4yl-l z>wW<2Qa4D2ab-(U9kN?^5VKPSmOiNmR$cy)h?dc^IK=P~si+AL@=jYV5)(+^B~bb+ z!L7lRFWGKFE2_|!{Var&P*FKt=xbdoRn=w@peG#z@~@}w9Nv7Kg)5UAGrZL@rx^m~ zhUX7bl}UUTKUi$}xH?8uJ?GAr{b*k8Kl8@NMSIX*gQx*v9rZ{enBlG7-z!4}R!rfJ z2`_1Ej*-#tVJ@DRu7AH?0-18h_6vXmRO`B*lF64X7LvoI9$8`H_u0s?tm>T^-^bUJ zl!7|NBq?QL{JvOPZAzswWilqA07@$SgA4{=Z_$$zo4}WHd%14p(x`_Wr&7wcr4UC^spo`mlSb5t@v3XLCDHIVy?^qa|ty<$XgowbW$ke!hf!p^P_Y{rU1= zdqiSrh_9Bvqb}<}^_GctHX)6O&q`KClZoUp^1!h7kcgGm! z(Qsf66uCa%2ED`9f`gnvCQ9L=^LY1k;9be$jcdc0P;mNjKFnC2ZTP2dvQ|6AEB4$4 z6jUU3>sVaP_4=-pO!8!S;%Rg`xUOsyk}hxCgI{=FdkI#G=>gQAYCYXjf|d+ zW$|VQf#~Sou0J4+j>mI4h}W?J9&6bJVf$2=`^y#-lkY|3^k4j*pZjja2?HJD1uy!i z38Xu{f(37lFNSM9`076Zp^%5Z`r&b!*-F$w6ZjR7M1_C3eqY|a4}#p)Q7~Nv3?!#I zf4KUc>f%Iu7(#KDmY6SVV+Y}#tYOM4{CodLJbTcH^{KK7m*#L<{H^k52{rg6I!B0J z6FaEq?Pn~RAav{;;@Z7|Ent;I%%#dUfvk|RA035028FQI9TB8qX&yKI{$*@mQafE4 z^ea3Ol#*dy_MoJa{hA}>#O4&YNW@6Su}?Rzvj?nS$C<(pBq^e}ZA2pqQWAjrlz@f~ zySuB&eCf7^KJ{DjOk%KRnosg`$W4yPoQfkPeP1Jyf*% z$Ho`S)ml$I<@XZv#Y1iYr4_{4Q)0T+&7wSy4ECEmIs=~njo{_nT)x>{Sek3a{Yg|I zPz3O*Zqj#>^f?^=cHB)(X?R}l(WuyuE1`9P)cxdcHk6u#wtM_wD$fG?wh53fHpe)t64E9eN4O{Z8Gn= z_VP^PyVg7@vRBwGs*_k2d51X0LP%}7y5*&Htu-Jn^Y?1R0F2|Kgd{uA#6T2%p2U+} z55L*RIifKz_y?&w!<$)fQG~`eHZQZ!U;Z*AIaG3vBH%Ff{gq2{m=NeH=)t(Qw$3Gy zRq^=5wLsQ4+^n3;@|VTt^kTeRUD!(4UFS{t&O+-Y$UzGiiXF#c(qq6hP z7j&Z-x5}V(K~Js4hVf-=g7IA5O~kqFCFCxf%EDy)vT4h8T^4SK_SU5`VarXXDaW{P zZ8%^zC-FX$Osj~q(+=ojoeI2qcG)Z#B*4z>sThUyto2^4tx)ALyR9FE(~-H#Bf|LN z-3i;CK&ePVIj@xDImYH-q9duP)MAvRFjP_r$kLKb+P=y}>YD@oW z>qr@s0$b3A4I!;=f4bC|F!%hwWn$}i4$2c+>Ffdfxt14jskJz zmQOit3f$o-bn^3fP{NVgCH4TQDQQ*Q-8Y+}W()#>-+EM^EW6u4?_NXm9-YUQTePtQ z4;~dw2(U{)O^g#m9dP{$hwg#TjaKLV=z4k9jQEt5Hsx0%gv0tFf3ff<_KI7(V# z)=PzWPZH|>LB%QIHQ#N~6(AAKoX_MfCt*|6$I<7*_aNMc=zx2yI&Pra9ccU8s+Pcy zX?BGsCBFSuj`4zU{C&PMQd2WRZv&K+_&n>PWzLLVjpi7`pWrU{n;>hGWhg)qJB!l| zDgF7|mOZf!%;*QVkl|2NhVc4;P4LL)*1DUC!pbPH0d6pZ>q_?+{yRU=im+q`={Rp) zACbl)49e-TKafeSZS-mE;u{h^MUQJQ$AYMQNr_A1jOHkbB13Q(w_SDbP^zv( zwGKQ(G6Mx-UGB4_S}`H2TVaaFt9v%dNNtIwyq(BUmw6e)Pt@BVIlI2;dMG!qk;#-nN694q4zZ zKj;A=g>C=EUs|%eLuaL|pGAZ90T2(u>64(-0SeVFV|CU<|u7NM+`njPe^c zc_|-Gj3h{Bs{t3k-V=q)L*XhtnCINTKJ3UFE)h=V83LSEr}P&)GY%g@DL3(=E|K8l zRK;0FAAr&tzp(Ls^>RrV5=8ae)rF3{y;>bX0(})gM2Jtujf7?ruvb_-cTd-)g}?Ze zQ7i+`c=PNo7FSwU6>Vt~Mb;f0yjdOGyV*JWMa|;ry`~ry4$D;i?Q6BV+Eu=h!B_`F zRUI9|?4v}tFS(gV<2!j$UeD)O9pRO3aC^lCJg{G@ zci*Qoh8G^a+J6BJPq$;1!!YmGLE*TyzN<;$3q#<0+S0bo;9#s%KUd0whm^^)ns zq;Bx}4P$TnzCXV%t4MCCtaUyV6$=u6dWr3DYj~;^-)L;sGjKO#LANMrBm%!LKwIer zwv4Lm-~!M}Fo<_EtQ34FGxM27{rFYy8cirmxQSVl@YkU=_u9b?NH5#oh2@8yk?sA!rIX29RfgE8tT5!guU|tkpy2e?1Y%PrS0<; z+kXrI1oN%(4v&=Tw(6cwn-{k^jBzK@QryB{PwmL& zoB3P!B0|EP4ApL9XCwH#?liCK1Ah!l@+<8(0ax=g0|W{C-?ay3f#ofX)p)MG|ZY-g_yx|Y_or(I6V4(yV_>>?d!;O(PUde}_ zj*MU#f86cjN~J zj&vO?`2>3-m3q^4^`exzad7ohGxh(G)*|%eDnrg28Jzxe3|y)EEmE~<6s{r@K*Ia? zon2TMDQ$r&z$`YBuMBA~nA20PoVUqh8dR)HtUOhXk6cc#+nb|>b&@`{j~%KG-AR!? zJS+Q0dUmW)n~qf`0s}`PeE<|NIx`K}H(!xHU~mD=fvJi_8V6#i)PoLb1y|J!1c^dBB1XNGItNar7D~ zbTjB7Whnb*^s?hsvbC69068s`5#D*IiQohK#Mi>cEQyCmWHzkxuW_fIHP=5}N`)a0 zr~LRuTgj(7d_k9XiHd&#bH_E$7{W{%M{LwJ_A0zB1l_oe9)s3WDQ*WCrbdzqplGTj zHFVcSac~Py*eYTg&I+Q-a|`SMjhog-<`nNUe*w_F?)uDhXexeo7c= zS#o0d;;Bdo2g{cO(EDNgu>U@T)dps{GwqvT)d3iI@>&YC&mV?=#`}JE^)1m2X6aht zVcL`LvdsvtyGHQn^tZDA+&3AEB~O$vvI5kyrx_xIw}A%VHb5s*UYHOtA>34XyBp@K zBqad*ir2o~b*bU3JQN}iSU=jT4DsPA22yt8 z$G)zZBPH`^{IHdD>i!tcKQEwn|2{o_OAn&x8B_?tHS~IvxSSc06cF4N<+R){eY|l1 z_X>Zk%jY`ZsAx$%(f1Z}Z0}Q?{(Pcn_?&1k zGfr9Kx<3Q@4KC8MG>7+tX;p&SLM0nsN*&?tVUjGvv{6z?!VjQ4T|^^4ifiw&E7}*c z&iai>@nFY5P0JeNj9F&+*6v5%=k|Qu3|@sn&ZGr zi0vsxFwIPRnzc;XNIu`-gBFu_g7-6K&ZaWK|Hfwc_n^%GN%a3OHUl?s^8fuucm98; z0P=4<|1-${6PtmHgM;V)@nFvXr2tZL_#(kE^9u^{OBWh49lp8GWE7$3uWWs|PcZ|g zi;Gll<)4;Cs@zU_<`7+u{z-s%iQ`erDY}JUjGo3k5=K4V{Feks!mpY*R`0t9Z_oQ% zZ?^~Xdy6%<`;&)X-YW8kgR_&17o)$P_K%;uAD_x~y#D6j-OT>QMctON`B+({*L_~V$osjKOLox3?v&ByDr z^3XD)GLQQYfqiDS+fkU$w)Yg>-}sF3F3!c<+;w@+e$NO+X`25XVW?MnC)t!4s7~vAl+UHrjfPL=lILc z{(5pSa9wAy5!X4+&wcHXvQiAa{w-U)=9 zGoE=KptNBc78LjIK2Y>HU;NkMUasT4Ku8vO;}2PfTfri(sLypIABkLEG<-$z_PFa#Lkr)*MZ9lwxj0ju+x!&r z$qc`*@#~=Nhrf`lvS6dI#E_J7a0bMsTWD3EL&?rf@~UQzG)SACNN(VP#+W2@Gbpf7}P4Wp*+*m&xtP#TeN#_%kMZYw4349;SLm9{?Ky7 zb~R8nB@;Pqpl{rh#hlyxggUiLeoB2Lg@eZ@W{`F%S3upgaEBqRg&XH)%1*oZh|fNf zxLbaNBRo3IDJg>7Dj{<8R~PQh&!Npbs6(z^oM@JW<%%l6arJVBQdBrEv&|X5>_&`-6uc-Lm=fy6^Q?Yv852KN9mMK$ETW-$6dYx5E`TR|3lLyD)(`wVn zrd7m{tar?PaXe139DbB8jtEwwjCGZDy-rChw$-Hq20_}LGQNd`Dn>G}pElzYjD~e4 z8gA4oa}2|J#mU-oBYXH@eY((MmCt<^?jk%{DxZV2g;mNw1C3+LwAWEh7rZP7sv_Z{O5wNGsYMx zgv+yvRVadtOV)7Rx@-QH+}TM_?p)X9vzuGr8z&7`;Ck{;R9VO7;3N-`EfvE6tid+~IS9qLXJU>g_U4_!pa;iPbW zr9eb`treCL9naskTa6zqzgM^@#K$hodW>_+rq6lL4={OWHH2~N@;U8rh#Oy}V!1tW z2gxT7_6&i*HKiZVUkN|yRJC|oq7mD)>>)y@pUR@|1iE)qkJzS;^Az`+#|f%nShPnq z)_XMSCg<26yHg-;@#>g%u!9&g{TBOjM|aax--ZU!5@5c6PU=u9Iyz@H5%oGGQ#UI`EQ6{b>x76iCdP4Ye@MU5uRjjKt}%u<5Ff#miEL?5Dk2lt*c! zG|!=X$~N^uu{*)mK{}A;m3c4Qv!ay1UNm5g#br74=nj**bhoq z@u=SF&M`D4v4UDqRC_9XincSyR7AfqbPUVQ^Z&(TlZtH?YhR z4g5(**aMFJ+nW;oVc4bUg)-e#S6wF|(7i$jJFn)!=poS4ZhSav8WCnt@jI?8ZF9I13 z0h>z2i#Y;~dRHho+w>Fh7w!BlV?J(Q%AL;4 zUBUiJX-!89#F@N6;bBeZw^z;yIgi4cd~m)#u%);SH?oFiSkCuSAkwz|!3HN@G>jgV zWHNF#)&KMx6n8U7L^9r^hCg%5zb_-DKpMi#QIlS=f725!!Or3&9Hd4t0ZuYt67%@TTDnb(v-iF_7C4dS!XkvUX1er0z(%*A_IToO1Re`4C)@GOf z0^+83KXIvrcRyY$Y)ajU zXMqo}g(B*s6)fBoNc`MEyqxBJViSJg-9d}_hl>bvzHh;hKW=*;ZH;H+^o$E+MK{1N zx?|MU>Jjbf;+uQ7j@hOLSbACLF;!$!Ab;q>etMf5yQ?p$e=3*r_+#gFwMkDq^OSyR zMU&*eIOY6_C!NxTSsVwq+VF0m?mC%A5-u>NrnfO$^VPL6#;;^C0-U|~lGxA=U89~l zu{~OaGmNUd_U9*pYKs&z!02d4>KSs1B2XYM061Vk5SJn$>G@*oS3ljY{$Jx)gK)|1pmg0P}fXpj%^*w$Mo z0;fN>sZtu;;p%^;O7(upNGejQ8wzEpG1g@F0oD2$&5!<&sJI^uLD(hFd`*_*vPrkR z*vvKMulM75vWguKhw^Irn}(@Dv=t>9yQb^clb&WovnEX683$ifBRc!9{!P8g{}xZp zPu-D;d46;wV-G|PY3e{x`lkQv3JFBq@q6c}#yvJHl@obg(c`i9PSXcNW3va*;~YQE z12FUp5XIJf5MPSPe6^_|Xp@M=vze-!8_T;AT@>7#A66>yx13Ayq}ZGM9i2ioFQ)TB zO=y&RGel!iW+`+vwVJt`-k9X{vx+F%`#$4zN_?fH+HyQ^$!mDucl*Y+rZKP#ok+hMcu7?!*rK`w9?Jc4BgT_bV?09Gzch&bP5bZ zgVG`0AzcCkNY@}CAs{GH3ZlNF&x6lC*WTyd=bZ1H_q*Qj+v9cl=U?l-*S+ret$Y2~ zS_Sp>=NPo`#zg79f!*anE(wh1*0#u1wO>C9i&aWk%r=qf8&rt0lFx`$BACdZV9)%6 zhV88_ax(bOGh{J>$YKQQkuTh7j8%$`5eE9VxgCo24J$+y7QVs-QV3YN+WkBVp5b-4 z@z_$C!QjYyR>T>JN(uUZK54~)JR?vFJ51cHua^R!&R+*eOkdC7n6B}L9N!y}38nKu zHI89dpin$B4`-*_U5ir$1+v`ttayn&U1_cnV~XKfA*tBCEYg_$;11^qirY(0JfX8} zV&??u6%fC|@GL&~9J}TA$L$UlN};)xT_!emLUV8YkpO3xu%il*ND1}NO>xMmB2V3H zUfEKoH`4Zg%{SE=i~gwVJosYsoXDjNp)x-Io5doyN#T=GuoFlK@ z7CmjF%u1jxsxz9JsT3mtV7l##DJDO%#v@ym@hSYa)kZi>s}V55&o2E2*I2Juesyes zr4&G+vyMcd&EPD;Rtt+fds`DU>E}(3Ly~&Mz3nS#`2vd7fT6nqRIf`EhDG~XsbJ2wy5)v-qa z=P_igi4IO9c&c5O-+M=8b{u80Ih0oxfbamXwkx8uP|I4Tn%3L9TP^j1D_=6X1akj? zM!-6bl!z^KIhY3sMV6c5slDXSS$F-(sJ^B#1||( z59a;P%PqQuw}L+L3VlS5zvoe3u`qHRn?3}5N!<}k6fBC?9!77y4FT$V~UfOuIM8+N@kh6KNb*> zM0c*+sbr9cEM5&u^^e#sU9s8kRo22?l^rFssl+~FRv88yV;Q~|f+<93TujqIvJe7_ zmed)CMdFS+#@W>Tc|0{GFL=cbo#W=yK_o(Fcu?QizTr30gJKTTiQRKoQ#(w+$rgkQ zzak$)s)?;&%{}JfBQ%E{TG96*sHgttgtgWoagh?opbR<+K*!ZQks`odjWs6enY8j_ zhgD2gn18?|VXX2FU4JQM;VTUJO>aF@f!n-N40nFqtQxDCAG=0`q98&Mw@cjvq+ zMFxZ`L+aygEh&E&gD`Gjq-5Z_A^gQ2=8p z`(AUB#DT2c$aLDd3L0i521UL@!S~vS-g%B#JmML!CnJO$ zIO)y~NEB>u0TT=p1WTW@xkuJ^JyNFY*xu45+^?OS@u1C3UP@@4&Ep)Ann(|(7MkR~^Z zR4w-+XxLz>#SDeorE932wED#-9wu9B)pM4OIeB>Wp$;##kpNJq}Ht`9;^ z1Zz*mW;gD0jI!!oQB36h;iqt21*BgPd`hl7*3x-9vmoQ4f%B=oMg##hpXr-+^D>M| zcquImi;Ad$yGX|gvUyt9ZPI4xKozn8v}=mXbnVb!tM-eBHwBc zL_0D>SvwLtdF##z-luJY-efYD@2dOU(K1}iPdTJQ_xxa1qBN<1TEeJnEIz9D=0u@8 z42mt5tqIfz*xS4LMnVwQVYwE&JE6xKZRT#=9lm)B377T! ziuZ8`pTO&?gXD+#uKeqds+Eb`4G`ZM9Lil|Y>AXQvR_>yQMZLKa(eD*LzqK+&M-k& zXgg-jPzxNLx~Cm61Y`}u<*_TPG;)mUHhP(mmo{QpNw$^Y`EtoDAnRk4eXjET*Au}~ zKO$TmfQPalC0f_d68A+BOhugYInSJWlK-pZq9BLM zb%ZN5|9~!$%9tlIM2#IERXl@jW)>Jvr3%ss5&*`K2O)wT&tih;1R+qAho{b%C(^y_ zs8JJ9$GK7iqu9X#>+R{A5s0Cy$z}q)CpvBEsK^(NmzF+y0~BR9&9IQzW3Qo}-2y)K z>)u%qGG=qvg7=VDLaBoaEPxkC7Orp?1rvl3COy$zdJxg|sviF=lW{St*Tzu;g9^hM zT89?~NwGr&U14|0@TueEAKC?I@;Fe9ZSvP6R~?9bvvHR!9$A(Y8*<2p&EKv~(=AKu z5VtiRVp5a{_z72Z4SdD>hM9(4A#TXyFPwoy0gCb7e~VUrJ^350>|oGJ?tGs^!h@x6 zGpbAVd7T&c`ei~n%zCjt-Rrj<>4T)_`Mk;QPc=|37zCmxFa}Y-M=lUP9_CzzsrYP1 zB9eVh$GId}9M5%J?kRPU*F)m2_%5HcEuk$(KSjjS1)*3m(Cu9~zhd>59V~wJQ6TbD z8S3dC+DEa-6+*byBc|X@+gf}R{+4L=y;xti&l*cN0MV?NNtGMO{EoFNqmTFbVOGji z@SUsgOS4ezB?=3q2=am~XK))e%3=$&_{y^wAlOqyb6W9tzxh+Se2YUa&myWVaBCpD zR8Id`Nc#A7(Tyyf?rfXc5n!7|w9ZCL#sTYB4B>BJDG^7o;GNe3tGWke3c zjciq%*3}wQ-2iEz!(QYIxO1ZoTpNR$IBaP?`re$-;ErdfrZMDGB+_&E&n4@(zIlT| z{Y9ZoNI_}w)xwYnK|+5^Gh*j`O=)vAfy9dlOBVCpg}1JC=+} zovLvY!iUnKxpny7CGhg+LVo&YTPh@7CWDMg3tD7{*d!92k^Ye}Me>6KzQ^Bh-K&jl zqnYneJwrho5c7i1d}Nh!EqVIzTZ^%IORmO2DLk7V*$0Bz-G3_R8~-sJxvCTa-6_q) zr?2rfOkTKYkc=}K>pkvV)9zbZr#Rznw3u7on!8*DlJtugbEK!xOqL)w1TuxN0evoH zh!EM#^jdWBm|P+o3qx5XL{XLPPw!_`%Q#;uM+Rn3Qqko0fb7HZwjQ=@4?+!34@u$)&qN?aFab_-%wuIp!*9P|(WZ_|&^7 z8C#YQ6zp?GVXAZ-`p+y>rz*8h5@$3kV=@c0c?;-g%S(C%l}^TO{E=xzYhM4bWUsr2>SJ$zs5gVcganX_HVp4d)6Q4m$ zb?~B6810>vRLIVF=u3B9&u>8j4tYSG>Trb#w-wf)-YMgmJ^60yj<+~M-@S&W6Q!!X zVuo7Q%(h@;6t7$Aw+M}~bq^>z-B9Sm9;3~cb{_LyYPG?okLz=oN3VgFvPY#^AZ67&w3VOV|n9Eg8_8NmRU7-FHw1a<)=%%xi z(3bA*q$K9zc%Ecs@2k`&mT-OZeC<+=w=TIePfEPRT>H-#F&q$%*wgpvm(2E3;=P8@ z6v+u)B9RIC=;=g70x1qE<-2VU3aqXd0uZiDrQJV=d7Nu=sRgH1LLESPOb~9bk{YPY^wy$ zaBe5d7$50H{s@^|^~7z}7940uJcvOpYpipYIsGm&RIr;#QSYkz&O^HVlsa4@ierWB zIe$6xaILlOJ2EW45nba{4_)N6b>Z?;+;9ndWSX4tAm-DGe4Y-~+|O>CEAl3DDV^xm1P%t}WwQW=*#6}vY%E8iBu)WNNymlkM>ks@khngNnjljkXgf_xcimiL{N79D z*)vNp1?6{*WN@yQUcd9v_>0WI&esHwqpt}UD7t`Bw=;byZhBprV99Oytl50}gl zR*Ta^Vu%{MUV;Gm=}k8_+8hv`pfc6scLv33vJx6^+R{hgsGpB(f~nsOBsi4RZ>STG#8;CLL?=%R^`li+`JDJd8lD z1X)KRokk%eZ8S}HSMF&moKQipbSDb-?z0!ZcRQP+(YkbvXp3OBtx3}%u^~e`ch4kOjOISizVNe@bqVruM$e}zuZM8 z_b3ENvKlKtjuQl6eEj0FJ&erCD~o^&1A3?4v$%sHZt8$o>ej96V4X;W{vK6$H>S54 zX+wKd2GZA6pGg+^ifD>PP6VDq zF8}NGCDy2CmCosSw}R5*mVWf{{s?gB^iJ=QZdzcSE#pMY{gf~(F6C|g7S8c6)s_)m zoQ$bex+Y(UM3Ct`vubfHChzmftWVHWwFi24TG1hr$(@IXr)p2R+iDvUd3>U55yjdy z_3ObVQB+&`D$N0O#89vb%o#hcm(KRk5A2T7}(`g5nvGdV+Q zu+svf(Xn*_+xas5+`YntpF!Yv zQ9nyF2=oLa%R#>Tb3_*@pPvK3zaIJd$In+3oPB+C!QP4<5Kj+xu)8mV7z1(>3^xyN zeNP)ZI79 z1Q_`A{cL@IG4PA~8*XkG<}-G-_jO_r5D*n);L`-VJ0eenMFfESe>3>}W9i2R3W>V1 znlcJ1DhdiJ@*m0%1d1XG76v93CI%K3CKfg}77iW>9v&_(9yt*)0SP5J6%{2p1qIC= zR(cv*W;zNA1|9}xHg--fPHK7pKY)Xum4lPxXCbKA*w}bDcw~5ZWE?aUG#vlw-;Z9D zTR14q7%+5HCKR+=sOYy)e+;6~{bUs#75PKC`C*}BV4`88;vnzdCqY3)!$8BpMH0ux z!@xqtL`6eK!N9zQMM`#?5k^iyDPU-nHa&yQB(J9ron;m@umPvei70^Vz5E+lgl(Us z)NkUj3IP?3y?uNes2u#7MDK+a6!x)+DTPNIBMFfI4c$NK|GawZFK%wGuAmU0qayi6 zzl9=;^1Uc0CmrgjA=>zG?4fiNn4Ly(=Ji|k zhuSUmzSVf1VNmINq)c3u;ry$S0z#lAUZZ@f;#R|4GmJ{crV4JLs{Xy_XD(+~`7-;% zG<+Jft*{3J3BpzxWgZ+KlVCPIY*e;Iy3bN7ZK%!c=Nh%7NXfXqn9=c~xYJOq%(SQ| zn~${6h>V_%>p8zor?wxf5QJXBGpu0T+n1r!h>J|(BCn|UnX{vvJI7mulqLI!G0C^= zDVk){q%Vtm2BMiV*$Y`8s6CEGh5Q}$m}g4-I``B+K!2bR(ZyLmi%EOK`kfB5mCC7$ zPkT*oo#>|SOo8Nm(zm!fG_J+GIm3dOjD0h{cv7s|6rOcS2C6&~8&KL0@;`a{C3agM z-gJx=M$pJZ)d1ReNPXJ=Ro=vR)f!r=lx_{*gWui z`RcX~rmsc!KHxZ?_jk>2>iCIx3?#jX9JZ8t5mdD8}ivP=Qo zg*%_sJR*ti9UCjbKS0;<(6(;$BKoEYNUmcyYT`5Auy&J+ll56r@^xrD;=q4;(=c>5 zO`v$f9@fEo-#7h>?!Vakm-PN$(mlN>*O6fYFb>G+1U~*&_c0WXk0#f1#aDy&_3t#B zo=ZlfF2xCEv#iiX1B0cP49yuj%m!n>W1nO{4UBRRSSk(V>1{N~VBdB2_O2?>7&qkO zk&}~giJiu`1Om^j7Nw5qwf@eqUQI5aXl;MmFX9<*mi9s0QqBqlRj0E%Bu!^Nc60as zZ6%byP3N}_NB_2*g5O3gXK`cMe|cB>--aFi+lW!UZY=w^Z;uK2ZP;|bjTrOQjb;CK zu8fzz4f|j0{Y!fPM|Dre)!;-a!hQiXfu;Ap$soRwCwI~Tg>8k*rq!j37DziBdjgIi zs0gf*=4dd}kI{~^aL_XzWxFDt)XCM^JtbH@rZ@QqCU|?hZ1laG@b-9dIQ8=EviC{h z$g(X`l2>yqE#k7ARg5SRQ#_RsyjiIoBo!3n<%G0ZSH}JMlkIzSloB{_ECCh3Z0Oh<5S5aA3!y zFo$B2fm+Rm*0F4JaV;o$!iyEc5BY#`YngDHhf5ZRovNE126@bM$c3MdI7^>FQ!~wc z<8*mBm-9;?Y>Q*!U3xvLK^+q!fcf0g3FPM)A(r?yjjfKEK6zp5d94Sr&NSK*b|m8Z zAzjgVvp_g;Q?_~eIKtISj%EKT$hVTR{>*K;N!;(6k5#~6Fm*r3E4IsznP;|IlY`o~ zs}JL;C3PHR62*VJ3(#T$X@q>0U(u6bIP8 zC-HqgIF|Eer29@SdKUP&$2g2m&~@+R2TDjS2DZYj*PE%2l{3Dc2QdEavmdBMJa+$h zCggau5)lsncGXF82S-IVO6wcV{g3k-(F8eH!aeJieW+6rK40wDr%S$nv%>Kl?J3gu z_a6-_%j(z>*npN!A^HMAB^>uzt4IkizK^`wJp3LpdH60${Ik0e5z%N@cQFDSMTVEjv$>i=_ztL>E}~fZ*q?Zq8qQsBpaVmJ>Mb5^*wvu`LJN@ zF6sWVY`|x^2Wfg4avc% z&V%(bU{b8J4I!UCgy!Ecb3qt5dBe|70s8+N0lrGr%fQEFvJ=2~(zn`<{`@qg{#*TD1cbz15>5zy z)5>0jmK1|XuFgWS&f-u-yQjT#h)vr_?$q3Uy{GwMGQehF`5SKD@@oQ}lbbfWVKSdX z?9U1(ah1dKEfs8-HZ0(6z*L*dzad0EEYr`|LgIR23s=8axT$tS-6TeQxqp=cdtq8xi_lwYkawS{`(CbweR|ZoO36~^ZrI?Y zTF1vx->Zc_d;LQT?5WiJwide9u^nkwTg6 z(*>#Ghc@mL`*zp(yma~ow2WV^>G_AWe!1a)>!$t`(p^Jcbv(4pEKC)y5S`o=Buq>A zD_#v=$n^78ry=9wG@sat|0QxO$~HW!Un)EB?^?=orKGOEeT}w`pziC@4CJSISz%Rj zYNy%;N8J-I348)r!uD%IOXiE%`I%`%X>~CiGEdY0 z)W&B&gTp`9#uQ!kk14UKwjj6lVwIX-(iohj`ij#)ff=H?Yl^hgA1MDhZFIpU!k}RD zNjAVrngTrjc!$IZ`kT4nxTdNlLnTAm$Rb$b}D}s zIRqVQ^KO;oV`emk1v$G;BGm5ZHl$>R63g`J9Afb!omueh%iF~A>K}*?nQf5J=RfAZ z(hqRGaLuT)KnA=x9?!&n$1&UVS4&E<{wudH1xa!&Qd@tZH2sHtSZRLR?TC6obt1z@ z&jspaSMU9eUQWvN1KLg^Lp1k|bB`pYrlf!3oPXj)ZhYg5d{{Lnk7gv-1&vI=U%oM0 zpJKBkwMLrT9C{zk*@yU1J~b^ZdE?^=THatvB(nMUwf?7}-bgCYI~Y))VNS-WF3*yF z8Sxj(uY{87QcpfKxSm*xg@wsW@&XP*i#Ln*PGukb>8Fm7!Vg8iuZ9GZvVVg({(ZW? z!0AV({0y=z0?k|AytHTGb~g?ew1k8)3-b3;6#a zl?5XXadx2pby`GE5NFR&VyyUQnzEUa^GfnV*uF81Mui%(r#MLBpJU2^k?daG+IFjU z2XSIV`facD2MPse-JUV!zPPEvOqNjtM;j_L1H#p98TD0_kyUzD9%s4m?0BtmEYmIT zHMznMZ(1q2dM3u8I9($5GS=4Uo!Dfyn5q6Ejge1-myKKd&2uw!tT%=H7sKim259zk=YXo*~~pHm-5oZ)hHWuJWQfc3g& z%pfRpo~9jjjdK9=Q6-j-LA zTs6wn)6A-rWu-1oH-pF&)+Vi0* zC6`>sho53|PVa}d5*j8-3{SpY#jIlDHnh{OZ*2QNcj-~jou=uN(a>!Dc0DOJf&u>@ zDC*!D3)}CN09WQkr=x=^=LO&ciY;Y3%z5_GJI^Z&7QWfA-4D|$XqjSdLT=KKVoPM( zaNDQJ#I1RQfApZ*l7p?utQ^?|eAF(cg8fkD@&3Bf!=s6eS#ppET*RUP_p^zT<%;c8 zaYu2qVRNBU`{Y!Ohu*nzRQa$`E0sy}M|ZSEsgOU(ZUAg>PAOFuU)gQ~Ulb#R?SkV}Cw7b9#Bc)!f*;vu>+aO|6bM@KCJR1KJX$c2ZtC_)k}5ND zdmZOx8=38@j$Ai@gp$ci*QdduNk>ur1$Ka5`LH9~aKsRKfWYF7wp=;SUrG zujW5%IBzmr<@N#4Yu3;7|I16ShNPayS#)-D2Zgwpvpi)}k}=dNXAvIY!xT=|*~_p( z`KB^wi}=$q&U3j@Jmi&Fp(Cc|Cz;=}6 zqv~bx?*u5g1Xro)7gN>J*Af=5wmzfoi3xt8u%yJ!;M-|E%`H>QUVrYE)g}5aQAwFia81FUT@;(&3^&3;}@_X!g>JkwTGH&Yewv_n^>O4kO72N6E%Wu>_P^Q`#P{5h=18{`o3j7ib`>+><%DKK zbjcgVswxNdsr=&dpgy`!(Em||^?5voJFj*r@Vp$}4Sr|;47}_p*RC*Ew_JC2`IP6e z#RF%^RK>jMiVx990pTn-#~eJkJIx@5<)IY@I5+v`HTf9d=tU}NIH^G@C^^Hks}G$6 z%*)M`EJT9K;K;UCC#`qM82!mlM%hf=_*OU{?~1912FJ=`8q zTRBxC+KJn;u7#`Lliz|%#Z2ZvX*QqT?9-}j7Qka7MSL@nX_qG5-_cPbXb z)7cd9GL`q;n7NwVWkqYAH7?T@xZ0;d{F!ABs}0{;oX01-deu^OExmo-?(vme%^Vz~ zgf@~5b$L`dS{{pM4^OWWu#8P@$omi`wr2~~2mpl)*AVpdy9W9Qi<>{S>)8`*c_eNcmSp0jj?b%;FN zFDwU4*^Y~{9Yowa3MUUS+0V;8bx)|vUAch{VI;P8ybaa^~+TymFJ2h@*)!R4?_n) zeNotSL=-T7%Prs z>ZS>Crf*!xtEpuW?Y3d;j;jb(+f3{~9gKH|K{L|T*$k*5fJh4DaLavU0>Va+G^&`r zO==wn>j#QRpbr?H131|ogFLiCFo->!dsi}exQ;u+t|(E=U(C)7j@*%_Ks92ZUCk>4 z7Xi})c@MVqg4GT793$;L)NkeUB6fGWZ9H zX2~HKL__WaUMj_w#KcO_=2Bq0o1<>9|3JE7cjmsxNkZo}LOfsG#}D_jvmmdCXnw%# zn_RQ0h~(ycrruS0{xm4WZo-z?Nn4AziGqzH%S$-RiZD%FU9-(cTznE0kmjuSm$*s zU2HyTKo95EEvH5)nXJ~xanPEpWa8}$9FN0Yc12OPSZ+ydeR@u~XaUvD^zGczkyuC$ z#p^f9$E`!VK)XPPY~dTYJk-<_jCCGQ!Uzv$YJq1XUBg+F*N`f_c`-F86i zqr8${XJ4{t$qwTGepjPE5OmEm!-^j%fwtE+z0(H7cI21It6v=QK5Y~UGM`&^*uCRo z25E+Cy>ljJ3?eVT&C12Rc&a1PVznhd>?H|18?7apiI)eDU%mBFHE_q}-ngt$hUsQRA2!OlcAXTGYtKmtYoplaAYMmL{<1s6`5?ye)_NF>mCeGC-ra&u8 z&3-Jc9=VV>E=n3p@vY#(ciGY}!|GPR?4`=I#ZEiB2^~=WvwHSRIXS@f^XI#3*Iyy$ zuN29q1&H`HIIGo1W@nkA??VM^r1|gdgo5WCoGEZRp2Aaerk$1mw&6_eiqZQWw|n}v zDpUK+G?zcz&L78uv|z0pV8K413?z1Gq$$%CM3-g<$~)(4a9D7P<3DG&52du5XmU$9 z;~|V#4GO-j0x0>LnZ8=lcgg4H1#RL2cHG~ef;?PT^HQe#9VuVx+WG~Ar1n!OB!_X$ z+5in}eQ_U^W%`6q;60k;D{I>FUJ6M~ap#;ZuGDJt;aFXIVX<@{5;a1o_rx>N2kWJP z#sImCrTo5vNwzyI){%9Mqr*a;1CQE39`9~VKw95foE4`Q)64sXvo2(YsRLHtw(`+a z5gl6vgl)~mRi?NQ4qV`PYDhrM3vOxyCw+qmkki=(n~6a!j)S+&P+cTDCx{e0gNw3? zraT24&Xb8Y&DX5R7GY9we+K^`$=T2DK*gbuUWP`#VrFJQQbq}bLcAuN2t-!#Blk~* z3dC%ov=Xz z5aivEhHe5@E=7DC*Fd?-^Bdx9E@2@)NXEzOLHLhy|CumTz z)XRw_H)Gj8#a2A=BMqquGk#5)BmWwm`791JK#Ef^zlq*N9hy?q0w>K0pX3X8-?7W> z9~}FN|A?x41eM)v!er%QTueI6ZLImDYbq7BBbgA(Sy6!dQ))joy&ou&T4^k$%ifjY zZy3duLhC4&QQzi8daC9?%O< ztLvlNw5PL_B%%|sE)O<*&jqmxiRNV(=_2c`TN*9KHh6S4ywR7bb(zHp+td_BNZ^W6 z@@5;Fp=|)N!p!!fC~-VpdcU@%$Y8osN6WiH%%~w0sTdsjOlA9s5Q;gquTM{ITre{i$5| z^5+p1oHUYd^_*=|Z$Br2mbmd>I2U#bMZsdh!tfaKELY9j-S1glE+xZvSrq}1AH<6S z)4hZQb<{Xv5o{0W&CtG1=-0)e+H`| z#qi5P2R%$hW zHyOEgay@WBgQ>VaQLvd}pGZbEY*joSxv`zI$Mbs$#G7MZ=gYj9GSe560Gc(X?a>Hl z!AQc0mJI0H!)O|!NASQgI)sk=@Fzv+$sso}D4b_)*ufADaC7pTi=Ni z5MIg-s9Bnsj?*h|)&NhT^1c;!?m!F4Qn~mpC)U;8f2-eo&He>^py34TJ3AE~9Cdec z>3DzxPcA1cpAPYPgn*o{iIRAkS!Vm_g67&ID)6|fwCQ5?+Ht{=Y1LdK;P*6;-M zpc{pe+Un}?I4_Zk!M5gGwg&ZlnTN|wr>O2W)~Ae5jvuDMIzEsTWj}hW-#c17x@YJQ z?P^g@=C+-D^ZZ-Uv&Gaayo$XHBjRKcidDr_M&S*yPej&CQl;#IV77S#EhXvN-&u12Zla3CqreBGFE&5L{e! zecenH)N$++r}Mv>nf6hxbx7%EH{5Q3NSj|)-*X*YznQ4zmDqt6yCOs%71cKU2KC+% zb<%(wV{tlyaTZJ>doIBOtBP&aw?$r;5$Uz5N5(FsntE6Zfj1T= z{=h^oo>Iyz^E~`-ScHuzaH_O1*+$3E4EsL7c36QJ_+;-x;oMRDLa7GnbiHRJ?aSIE z>KsmHq(#sHF%OQrUR{$D8O?7W+_o7DmZhN~kCaItrVq;9aUOGjf@bNVQ80X1S`CZj z+@*Ad@T~FZ>kV_7yJ*uDU;?2Lw{$a4K@d;bRG+}2ugtM}1Lp^6)^DlX)ovNfyIZz1 zY>j1DltZ?wo5c(9FqKZKV#Vh^oD}Vyo2gYHm5~%w~l(b@;O4bE*Ox+Z&#%E5l3$y}~h4BYJB$~(M!=K?y-H@3jb1G&t z9Ht;|YI#duj7APkb{CI1Qy$P5(wrlBAK)IBG3LEwu-iZwYQY{3#u`=as3W zl(Tcg`@%s%?6h7bnvLT8ZAJrF4#r+h@Ef2Xr6;-Qxwi@&go`;kA;nA|l;^SuLsjrYTk!FL`d{>(mB0{QBJ$ zpo(oq_%X}%Hw8m6wum(Km&v!Ml2=qm!cSpK7;jWEPm!s`FNc$S{`rFk$KTi^_#+uH z3`_gnDy{ZNFLn6eVP^~d`(P3c%i#%Ar)7*&qaY|rx17snpOXk9HbQj3Xw5p^0GdpU&*_}kAe1lqO4GXaV?AQB}rH@30n4^Q}Dr$nBC`Qom*=Z4Z4d;c51`! zr#bMo#Xw_?h+GNgM5R#i{5zu6cK2lPVP5DXgbz+{@!M3Ex$=Rtrh?`S(xwG=^sK}W zRd4SLug=D!mt%l1WFFadX*K5|izB>5nxxB-@ia8~7H!C#xs`3un~#XS?UNndd# zo<@fhvof2E=b-S&UOIjLEAG+dK8)o5K-J%v8BM%-AR3nX{jk6=i7#`!or4bKeI7fpo&Ay0{fu% z;jF80hkZ4`hv21fMPSC783Hm`)k0dT%Trar?jayhB3Je{4johl2XUspfPn=k#(QK1 zS8^3VBxx0O{ZIs?m+}+m(>08Uy%e1X{1yqdgvdu^B6)b>AL$h>O7!LbN;yMq`vKos>LU_sxYwBDFp0$MJ(Z%%rO z?`{}Tt;V^DQo<$S(BH+*aE{aMQkJlyrV$Vn1xve4!bL{64b?gIP-7X~5yCfi0(55k z5NIEt6*@dt6A@quu;Hb&P|txVshOBi09)k0$xkQuevuvnIsRXqFH>^3cir!i^g3eI8U)@iXd% zP;EHES?a5`tVQn^skv>(TM-<)$zQd=03;V+R~CXz)fve6n})-?&oy1u17!!siZnI& zuxHK(f^Jvwrs*ITu|!pBxCLEvO`m9WSik4d<^|eieIw@o6r%%_zM^I1CM`X(sfq-?Tf?oNZyeW1MmHR5PD&iyx#drAg*8zIh9ym??)(mN7voXf#NssKyn19NTX z4)1;q?0uU4U&43)r5?wy=ymQ!=s<+^ArMFH2g+CTyR~2Juzu55iGJ~AuA$C}TnZgqo|d%q$@qzf(>8?(7J>hE>`bj5UzS7m|=96V+!TZ)Xd> z|F3j*{%26|e@ROJtY7voR(~tNe`9a=|C>?;O>@9r5WLta_Z_8iYgn+*2YK&-@eD)9 z7346MP#>4yV^4lQYSQ|-u;kjLd7v>(t>S^mDy*q^L~kt1(ZUatf>u3!h45o=`Z*+~ zmQfa3@9|Md<4YaAMg$}3sSfWtv@YYLlIWNErK0())IyM%xlT1lN{0Dl0Yd?-0tKz; zA;M-Cs~0&&`&9O?=~R2OoF|RsLH_9_ef`^+4h|M#OGFs?fiEyD?iACKKpLkQB$T^& zvlf@UV$-Jv`l1~ul4%siK*eDm!3AmvR|rb7Kk^%5Vd%DlLz73;l4Ar6RwlUojh}DA z#+wi(&Fs{jLrQuo;!@Pun8kW0OK~(q2te^{Y=x9uc^;qKY7yNU_%3OIgM5K=*4xc+ zYSxEfPY4K}X=1+EUV$_m^jsW;9<&>2_-=_+s1=v-t3qr5<>BLxc2Ka77_N{XqSbhj zR|HuC8iluXfbfFt)?HTK@)C^Ib!Up^8u3YZ%B5Zk?0Fi@`Kp8b@MZSB&Jz9-GTXfT zGQeR`6U*b^^PRn>3sybexS12iSbtKD@m1EeG?wc%pNI67bN;=Kiy9%-+yJhbnSl<2 zXbX=C&wQWaNH>(j6)e{`l9*fOcyDhn2$y?#KW&Tkf6iu+!%!=lnIFu|Cnh@wyf0)h zs$6Cge7hNINk1}Oc*0)Jgdr)%8}q{Au#RpKd!8$gQ)k+tn#-+`uPef6lfN`!}I82^V5e-(n!sy)Fs010QVz8jR%(pnwV?k{??DTVFV>7K7VZ?I` z>n;H{!ALv%@N^m0dILKbq`t?zYvsCAFghx0>|h9~qxRL1S%KDD6U~=i59FFZ13K*N zIIwM7DOvN!DmiEXIwqA4IZqo|Dl=2+VuM^*c6vh(> z*Oj-QE?KUxmdg3RHVk`Pn(7`<>$<(c)n%owom6e{P$@k2w$gj&LdW#tZbq&%fZ9(c zG%6GiZ`75<7lBrMy(N{G1jq%5vntx)+$@dUs@*I(Ev&$NtuncO#|ldz$J8FrZ(oX^O~RLTrndI zt`uRHY+MpGkWqiolzxZEN-w(WsgJy%@R>o1@EfIKU>Y_?hozfnu4ob7ZqN9#E5aJs ziBqlkf_dXJ=zH5p5 z0GK5Gv95HvyXS>;99XzZ(6YQ>_^x90|6=UDzna>+Z(&eSsUlU1NJ$_GMG0LLk)A*( z2|);mf&>W=Iw;r>=|v?Zfk5a95PA(ou|Plx2}qSDDnh7wl;SxkdM?j*jQhUh{&JuD z5A40i-utuWTyw28SBl80kVSN|sh`mowO_DyZk_r!6x~WdVMagxo`gnb-HOOy1&`_F zKNIqta3C4^71TLH3N?1hv2TAa%~NA^0SrwQn>ksvcUpUM_|WUOh9Ob#+N27rm$xj) zV9+@Sa`c0^#$deAP?+b7^7GuWwUIYN@D7y2G2e$el;cb{CXo+`c(uYVDj)dlyOK5F zWQR!~MTP**z&(y1_|xUumAu=I#r&8SYveD}@vPRh@BBy_b2QZ6>?u63Or;KDMHgbd z9!BIDEd+jX_^8V2cIAA+APEE1Cs|Q?sR@yS6r6aTKzG zjd?tFL3|AtEJhYa${JM-gL`^Ty9Ju2+^_$d+T zDRZY^E(6Hf>QX{awo3GU zBl&w<2#NU0p>1iTQ3zkW&BqJlfkD&~Y(R6Gl*&)S*ok`OF+sBAH&^#yOh2r>>!tCW z!q%ANRtxA}S6Z+rE~z!UD0~AZbZZ(w(tZzXNjK9ydN!NlZF5G;MN0eAriG%tpyW@O zj)I#6dHZ-?&lW(lc>b5s>t!>Ep+N`PTQAZ|oB~?pP7%5#Mg`kM%#B3iV+#xO#k8Xf zQl$LIKa}gx8Ys+7Hy}zsz*pzoKYMcH1wYuxIHuBE*hy;D`E=aOBj|(yH227r zCO(Q#&?qgseI8_1P*kJ|qp{7AGg0xcE_xr4XDf}1RJP~|BJ}mMyDP`Ksr|)BH)@&r zJkI$-npND)P=Ar`Xu2YxQ9V+rP$czw|Rub?ptC z`1V|_-pu7=|BTn9`!C;bS%a4SZ(MpF9HpKt?%O^3{XD1Msm!z5q5X3B1+WI|R~=Ye z=e5LwOKu*|NH)}nXBO9Z3EAK3kA|M^Xr{H4(5p63 zW~bF4>XDo`NTqhq{DFJtTp^xwSwH*j_~ZJM0bP{kzC$@yS87rc9k$R@Abx7G>?Kr1DVz2LYBUa%6=$>>!GZNK^vpJGrtv7qG|6J)`T z^1y;&#z#ID2ip?q;@DYVul9oJf*5Fn0Sb9vP2y*wh092cGB9mOXYGbOl5*~r zF7W<-c7&A;r3TQJG@F6AnumkY;GXD3m{;hLVKN~>o0ph zQf+Db$_2m1cADy5B=xOm+9%GM*V8=aUnA{g^))ErS;jt?8GN9KSIbTJNNKv_#bCn0 zd|*gKaIXGt-DQV233Ywa}&M6+g@Th6w9TPqGLPYy%b=9O;8hM zuxYLyG;tMVDLbAi{1Ox6=rz(cD;nxk#^g$bjSr!yvyY}aFwCm!haRyA*v<~{D zaMM^|8)N8t8QW#u_Wra~*}z1R)TcqwFRCD<`-L#ks zDQgRqTq4_~urqHy{d*gpK5aYa^CO{8%Q4g^+wg*Cqx-BwWc@H8qqUv}WDyjB4(8!t zwO?l?Ms*0b!R0f#OH1#h|r=>Od+I$R zOJQ{~(81s*8qlRC=aQCXxZI!Y-+Em-=}OXIicpQYnlAUKNJH7+AunA?H;L#3=|r?2 zEthH3IqkSZ9jl(ss<}cpa6zk*=4V3bck2I&e#m=bUM&{8n*MHltYHyegY|!R^Ht4r z5_2X*TL%sq8ZN!qHt^d_9PVBXqtg5^Uvqe&b7{4m>zMG$kEfVM0PmBpfmtTn^BX@O zpD^pCiu*@IntG|K8X=00OxYix)rG8(uAs1^RlWrSq{`@!qs2s_PDF10+F*wvN8pdR zp*J4r+%T~oW2#YAiPm|At)%)JDfrW4-;|!I!i~X>d`!>@9_VvR%>#SpJE_!|WW)y? z>J5rIY|EUm5Z?ar9_%{9Z81387j?_LM9U^d2FLT)FmZdvHJvd8eo?pRRvN35h_1b} zdD!p4i&~~0Xi}<0nC6Go2<&#~kKSJum$XL|wKpIdj-Nkz;lI4*g`iYeBy$&RLhihw zfEUpbo}+NLLxu`|9SZv$(Kyh1gT^D-varZ6;POO*gI(LPh;Aw9 z(#t+WuG(87XbrGOaI^cDPw%%nzZTkXAR=Vy1i1#CMSY5^)$lj@+_)<1~U zZaRIbQpl);tPdi?y&}Y=8Q7<556fp7NjFz`8)j*}OPE~gEJyPBZ~utf`&>8e-achC zM7S4vm5Rrck54uIVxix5FR1A|0w>u+-zYR00g?c{O*pd^}o@=I&P|d_|x-{#b`; z!m6KwZEf#3>8D_PtI=krZA?=);R9}`Es7x^iL@k;G=F|3r7mj*CsN#MrZyru=mIH* zgYNT`F=foe_$7N6t2h@7A`Otcp*PB!CSO0{awD)M8bi$`Nr&4wa(W;{=v*pf3hv`N zomj3d{#KphSWKafE`y?=q}Pl#cP}O3bPr9qxm~1LG|lkORlQw9%g?OAyb)Ktwi*JbQZ_=tR{sJ2 zSdW?yZPw;;@jX|A?#>n=OQ#qSYj&(>y))wtmu*jbyg{w#I6+wRVyBI!yMqSx3?l8?3O6kMSmCxoNIhna-65Hn~~HPyDf`6 zB>a`&mh7!Krz&tL_U1pNGvl{%+kO5@CrVK}N#qxKP1) z*A~3rT`%>H*MIG|d;J=`$g;qHhg_}vt{K$xK^*9?vjF&V`RQlW;}3g59e)$8jBE`qPdi2V*sukKtlD3wg0|(iURo6eqbouH;{R1Q;Otlx=8Ql5;M6n!EC)6X<1Hzx(=`^42$# z*nB|Eq^V~J2M+O^fez-4>EQQQB%5fd!eT!>j=eX@*jWJ@`hessZ`t28G&|>%3nSj4 zxA1lo?{$jm{}=B4uJY}gq0rjF8FKKB*t3J`h)vBSp9jGJg5Li*L$;dDw<4=_OskuP z4aDkugfFY-_dgDw(1v>b;BjOrvvTV5oJT*c?!w~nD#M>*6stMODAdG52PM=Pt&N`Hn& zTw|Eu8v?3&-PIT!I#z9%4mp`UrP+rjC2ZCeZdLkuLYEuF!WY@vA$#a_mAd0Ijtggw z)jT~9@<>`!a5#6U>y$zy;nHcHfR?ATtqVIGcEVkT7F;W5^8wn!Zd{#hS!ohkYMK$EXEa7L!SqqU@(ohf9e(?g}X|6$yQ zm9EzP|HHV2)S=1T&%QFTUPK4P==XCMHCeEWr*%N)7wv1$&02cH zR9oCyR}K-*wEV584*f3ORYbmf^0tK090;!<&;`u#Z7F~M_4oc*dF%=1yPk@zk~Hkn zQUK8DG|QQ}?VH)TNg8|Ws#z*JeRY@3+A!GdFxc|0*7dEz z5}K=I0S~w0-k2u}M4fF{t96eNo2=bE;W}D2Gaz3Q*TSY^bwli3?CmkV7JMOck5V-2 zyE1W*(#pp!Ieu|d1qJy9@tz>Z=h1no1zXt@3AAkLdiEWyG|j;1=OU;o_m!$0Jac=q z3xLtG!iChgc*E;T6B^HbQ;^&`V}{5na@Qzvd^VRBXjWGVN46wam(P5)2iyPrYu66p zCZFU^U;BEwrux=U%df10x`9Yxq7vA&-hUY&X|!!u8F4ZQnWqajp{5H80&Uzjo*jZm zHZJRg&>kBAen&8~dv2Vo4@<{6e74>*$EI*1)4Mvx=Cy)2craO&Kmygt3jXEW z5il+boqjL*g=m-(`~Vp2`IK;H-SwbtlXtAs zh9B2jPaEwo?SJ0&l8jIvw4y^zXb2Oe=s-|xNV;%~4t*%h)b>Zu$OWcF7$nCI;RLr{ z%$O>`6Sgg;<^?U+gj%A!dEC|?*6%&hOugtS33APZW2<9EtG>8nqkY>Mb+IAF+bEA7 zI{L3B{=0>0J-95@pZ5BggPQVv8<>D{r1 zV>L06)yHClF>WoHxZWRvKCIIU;&sl{sU%FHl zixtIX6z(}2WGBS)+0WcYol+!C=8V)!nt!pE%OH>n@3^N zU8Zp9wl4uaUb%5$8lJ2M<|0yQ%paX@W-;41t&UP^ckMM8gcK{*OlqRfeR?8PQ0O)k z_W=*f3m3df`64khEPXxH?gSiWcy{PyKquv9z=^YDq`ylFk;)Vjx)suW;3PG6H)74Q%;PRh#=zN6+cFh9wpbuS zp@eZRshhf9p~|wI95Da#d1NV&zUv_316tF7$nwP-Zkifb9fB--?Kz~ZguU0$2EG&P zHzeeFyreJclGU7pff>uSC1|pQd(!(kkfOle%fjQAy37T!DRXzzF&gS*!rk5up#0=u z2#pQ~@tK8-`-F$BXoVR*yzkOB85@ZSeR?I-rZPJH&g6oV4FFKD1$5_O?9DEiS5GkB zl$}%#KJjVxw_kuC(kq4Dj+Q$++rqb4-~xCUomlkzg|%V>yu1ctb^P)_VaHJGH}^kK zu2ran)H*~a==k2=l+(Bv{Lh1RrgTBy4*L`mfr{K0)R#H~PlC=SSdtG2bLS<1$Ei|_ zK;Jm1U0$o3~yu6;JffvHQdpqq=9*9z^|KBDMY(Sl7a#NDu{t$*2M zdHANHQRTwZ9nXGogNav@`9)D-0-8w-xBWFZ3~bLo&z!BD_vT$hKOB-)=}yYHe2_OD zilGUbRu%Gx655D7tyXIg0E^~wyFQm8%Ep4E#>WVq0u0pHZ&0B&xZ}2EN9s&* zNRNo^^hG>2v_6z*l(yPs2Kfx*+Ozg`m@{}vU#$oS*btJT)zsBxcHESg@Sa@uLeHWw zAK}N;EDSf|u0LLV&m}VP1)tAi?9BUqsR#K`V39$E+F#Wh^!3foR5>`*cgmXMbIE@L zc;;)5P*H8X0#U=1jWpPq=Wl&7J6K9CPr{!`C$tKh#F8=*uH*J)`nW#D0Om*kb=39~3 zm6s&F)jS*-q_VM%bOp=IHPL3i;($kQ=3-lQpyXuB2Un@=^$+0}YbWx&L2smKc_C1m z2j;_-kH*t|*B0fLPdEAj9Eu2Q`cdlkkwELz)}@Ojya)G<{ybDbwm?IWj+56V+_4iH zPkP;gotUYTEd){)dxlphX~P0%u2CDbc@}brgeyRGUe^xUafS!@*!u zx^SpJ`%}TXJW^heCNBVB&;vxaugJNc|MSqd$wKe#%|oA9i!}&jvr7h%Yo43RD!u)*hnGDS<)=%5v?kb}2p&m30V)JL2(e@X0CpgQDT$v(%z{fpSeRN(T%UQ(ZNfvz3dnJnx(EO*Yzj zxHV6w?h5;0AA|2+MG5}5!=k^Qp>EsT?+SD};jkn-(oWr99r$WIz#P9fxc7R!2|orh zV9!@0h(VJ_Sb zzp+>^?CWwv9;neU6}y5!KGU>HK0Z{?x}-#Dd!T95=MT#KP_U3c{$HUDYp4+6j`l5> zrS-AzLT#kSdfi=4gcoU+v$CJ98^16(iYovDXXhr`{DM9e;@eCsWIBY0L*}5o=PwKY z^ht?t3{q___u*>LNpw;Aw_l+q9mUqY1)~8V)!<`?0x`cLX~ltM^u?*Q&9s87K-O_St@0pL@(J*Lqt|4_n!dAJrU zQcw{3#*(NXpw*f<0~-pyx^aT`-(?I%{rm){^kzdCYsg_01(s+0%LkYx3co!8)y#pqwY^%IOoo{Ue%YlzXH$s0M| zw^6x<2314H&(VnI3AM{$OP}8z%4Lden>Ju)OcqnVLABvTuOe2%<`~ZASrP#FspXwn zSX-_V=Z4|MEal3lKvjJwgbX)u?1lz#EI^|WX@ICw&zN@c5h&!3*qBy~Yy+nTIzOx7 zsTpcaOa-*H;&gyED*F^4?A*vKfuDWX7Rq&bh#yzBRb!n$!K&uGs48@U!R%EMUJzEU zu>Z=_UAV4H`7c=Z?CJkqx)hyQKkN-D&8Spki2_MF_VASe4Z*RLVI#$qg-YtbgG}mRDxLA;k_X!*W?9S=#lQG{0P@Tu+65 z*jV9R!dY%On>ma0AByG*PZ1falbW!|r=TxA&#T3krcOD??0LFk8fy?!!!a8-5({00 z!Ny!af<*J~iQR!9pfJhP_Z>hF81ro|6Mv~-{1JwYykz7)wEQf*u$m2YG+90_c>(&; zSI5z`)}fwW5l*ayHED&0&Ef<9a=0Gtz;v0CZxA5W2YiKXGi(lI?fl(b{!{kxJ!vP* zHG|p%PzOm$?f*_v!Wg1Nh7At-E9^f>iu9Jr-L8VqErSi0iwG#y0iV#CU3MLTR$>R0K*aSaJ&@UNGK0If=ST!sJuJ>&}fmtUdHfLE_l+i4yk(A_-{=kDxH_ zn59Xb9jE&ajc+p)E}RUkb;vK(n3}trxb*V6bk$t>w^Xf|<&iQLV+PCRIy}ju!}-Ae zx&94oo~eD?O$`u{63=QkoJV+DbNYfJj-l}{EBv1DIRzK;jDDw>3{TQ|C06a{G}Nl4 zOA4W2wq+ebDtb*Pn&&Ct6_ou|`>0b%rdMtNOv#6JTo?UFJXI;u0h-I3FEkh2f5j0H zBuSDp9BZaSL*oO%*tiu9>8*j6+QQ5St%W~W|3}wSdz(9bJ}S|1&?H%UHNb7uQ&u^A zwBB+KKeDR#f3+Ggz_5a873W3Z4e1&b{Y~SecT`Sy-;==#$GLX+zIH)$A z-)uR4)cPTw_zkUH4HhF<_9mP@8!vj~Z~3dAsB#_`DtqdqLZ-On{h9iMM4q{As}T{j z02!SqK@*b}*nBGhKz1S0UIi2~Goo-<$f>f9K^r=UL3R5jx2&nUAHG4A8a~sm`Bb_= zst!7|hD1{^Kvf;Kj#zS;XRCC8N`O%(;zi@zQ?O?U7aP-=F3&t=F_{4PJnFu|lg3N4 z+IJQ2M*NJhW(Ni3=%Wg*B{h5!0k>qN4j<~{72kU6u2>Iwv|sw1vfN2O=TAl+8UzUN zcCHqGZ)a!;6iceH(9u8Jl@jQEyc&<3PkYs5#K z!LxVP&jy(lYkhvzn8I|MIjgcJF5sAf7`9_=Wlj)z9|2@ zIbryjcjXvem-Y>AMJt3%h0NT0eChFZ>F%qd8%KBOFQ>d9dl~u7=>nj6H&KnPSBN@ck8fJRhjM_LXyc6Z| zr8Bfvw%+tFg$l_|nTl;_cc9jPp(VY^IGd$fRU8HUHe{N)UoxC7huWx2- zs!LZ3!fH8WKq2ZAqlb-oA`gFF-U(q!f24dz7;qjHV5q{{-)vTff{82KwW~$9LwLI$ z=hpk#j!&IyXy33?=(EY5US9SBwS^Pbw@=c?{<-0Ck*<5BW#%%+l`0-KD)-3yhjiTt zY_JttB|=ymR&vZp{%~4vD4^*uew-7ws;I8gyBpxqM8hbPrnu76Z!JI@3eF2V!U-z&b@jxbmR!;?wTC-ibEDB zP+~SQyDLEe+y2$*Jvm{E!!wTeET8nV;Bx%E#N_C_@}#ouckCnrC$)MijCZg%u?^tIhgqp;y&TMh~7tDq02O zRbe}|%hI*;c;?WtSsy^}Vvv{36-)m@9GQwm4l^7?Nnlwt6Yu^$WzWvw2>CqY^LgV3 zHUFd(dFE7ismMCE61mFPNK2lb;d3BP*~XC4ea-w@rRxyuBAB6YNZqs9R)ZYP7;>@>@%(743wc5m*+ zm)Q31O!(o**cwSmUNO;BWzidCl?jRvk9VPI-wW)LdnRbPqSx)RwaYaq>u@mbR11+l zB^I}Ezc4b?y!5(u-}Ew#{lKG&@vbn2lLAxQla}(|`x6`T@=@@DUc=KA5{ybTEbxsD zh)OnTb%-vz?m%O=1#CaAFx=}o@wT8p-}7hxxiHM&Dqs#HIkrSazH;}HKC_J{#*6iT zG)AdsUik#e>z9PbB>S_w?S&w3q>a|%o_#va*8i7pS#J46&0PIAh6XY(k`e{TR1cjf z{4&EGIX!{A)hIB=(_HcAos`mt=+?Drl(z3N$>FR z$gO{e83hBiFd4+c6ZazTN}PW0)|D0J;#Lvr4&K@h8)tp47rr|-RHgdXyMZ_Jqx#EX zaP#vKwP1OQ(2dbFoVd~YQdzfU_Sh%)QC<#5JM@BFR&?EEtC&6bL_f@Jwsy=$ zKQc;`qh)iJ#uOXP2VixURm7e*%U@yLioFJ>)XYDmOI1cpK&We$&w4Xeu5!)7@_E}0 zc;?$vII!bE_gt!;cI%l{uQ+@S;XG&W+1y&(E7)T~oYhv&@^Rrk6x|o>S>yQb_}fa) z$w6scTg@>+E7K-;Yt(7(+-Y=}^tF@?XEe$fqUntX>3*}hF;f^Ck~b?8HZ5>RWax-S z?CqgHvh`#BRh4TyO#|q5P>Y5{p749n4D(gcX;;UivyAQOf!O?gw${$r`Y9YuwM(Ln z7fx5zau3skLAGw6G=p^C}w7gk+ZTE&!3xTbx)=hqVD-sqF!AQd?#_> zTBx~w2{Wi3`ts;Ieo|g@C?TA)C~ricu^KjN8$U!`#CTfeDO@5hu<*u5Md$D=w92J-(HFm;T2`k6jWwQDEMesC<`%D|B!BRK5&iP+&4b$9g6mIrRAg}X>3<$= zJsxW*%)K(Yr6clu@ZQ=3?E4o0-iOuv;~UQE%Bb_@*Jt9U{=pk`>9&>W);RS$AiX_c zDhcPONUJO07Z*OS)Bj5(I?eYlAF!LrsB%{*%8x7v$qLGWH?{il!e+K4;e2^Pl_TX2aUYKJ-0&A$q^>GU_tJdwTG_6!B%*I`5y{y zD>yW3FV;q0Mk>X9s!cxlqHs6blh*v1OBM&pbXsApQ^q6XLYA({oJT00hRo5|SL-PB zd4S*mZOn0OdV}xN61ae?qhF9TtF1N64;D!)n^Fc5V!&RyIsm#*7uymkd7$HQOD}|H z`8;s(nq0GP>kI21M4SaXrMMxRY$J&CB6#BiB)6T{4)0JCavq>bat930?E?5I7KjG|Z`-?nx1uIpN(R@h=I zEm+J<7u2#n;Mtp0PnekXc4-0%hj&l*H`p}F9zQsfOKr6<79vq%0|kdR?AKhuxbs~1 zXvD&(Y0&n|_)jN$4wZJ)j-}&LKEx0Tt|5y=tLfLWK7%`DXG3LHdD&gI-{a}tZeP_2 z*dLWr+Mn@bed)rk_Ap^xkwn>Cj_F8^!GL<7gUpcy78vEs{N=bz4hNTRWlX_3sG&AY z5rLm!%JVD}%Xk}vdr)La|-Dl@1mt{c_BVKyqO+SpH&Al3(Zz#c#M3YCWoj&DS(7A<}itNo7rbT zF_jTIx<$^f5{6%>{8IyF(kJ*FYeedqx=(bgkYg6RQi_{?j)v7WEs3pasq4UH@TgOa z+OXuE9iMe|J-N>3Z$v{8*J(P(rgkQEeEZe4%k9H8H94mHi|{XD9(vs`2Odoo5#Eg{ zXi!HP6K??3ck(@4ZSUbrqqg(6^o(Ra?Nsg3P4v~q-YOt2yuxaMIWzzA1?UzBpGwMn z-R13?G=9-NzmQ?i(TIa}-#Yxj^N=Jp)Ae}-@3FnAKDE1X%9D5v!6XIW2) zb={~usEd8<;xED1hM$u)Gro&!5M^ov_G$GecF%nligdPb5FfNuiZTi_^_+OB@zV4B zpXaq6BO)$m=jvRpSd9z~5jbtn%6ltM9ox3xH*+iJ`_q}%D@^X44nm3g36~P6^t`3_ zT4YQT=V^^Y*ArCB4^??)f+f@E@bigbeI4g#yP?+lpt%iTmp~n1ERAg&;a4kp(f@jE zuu|J4IAI+H-%ho?@uMTm zbg5`C4d2!oW-r^4k?=2H@NcOTC-2KG7W@k9QrjV!cB#>vlO5zje#MCnd({TQVpKH8 zvEB#yUq-kUIOBXgPiYibMmeGa!} zxemC1W>IRF`vW}P;N?^1cYcBW(&nC5iulkddDqPF>G}pdn#XC5aw6Z-?|RsM_WiaO zZ}}*;Ya({wq2V3n%?#}NF3$7YjIYiO!hsc0Zgirw*u%4)HUr;&d9T$pdx0F-?(sxFN?x|l)^ZRPj1L7cJfBT^ zwr6^LVa_QIjNX>533by?^M9wY5z^T~a$wVrW)R-zQa=QR#AbR&4OEyUrm$MiX*<3t z%zKk^3hG2mc{@92kGu=nvw{4rh;QjN%1b}nSwJ4W>_X9)#a79?wbmf}fN&cUz7XB< zKe#pu&F>i$N?mFO>S1PBD%_6XzEE_ zbroqj!jb;5P-fd7{nzdJ6Jd@a|NW)CzBu?*n_ix5lV3l9)`X@9kasd$9+eI7Bzrvp zg?Hu|0Fiq!e<^PM*Zf4R<%f>jeDw{9!3M3v6W~enXH?sbs0q>@4x((2dYp?c6 z&KUgS%h}T-&o7^E+p~W4&*Htr_m;&u8kUxGInHc4Oc?&g6`d}uG%zanFJIbUpx;{H zF2nZ<7ne`DMD{Er87=`nzR*Vk#=7(-8cxyDGAi>yxIN&s>*M^uD?c3OeoOK1e%QB* zvtNTD1{+q_eM`2oUS~Gimsh#ucxMz_+&^EyCq@!|&28rW{QPP5KfJB6;Cgcst`#k6 zr>fECEXe7mMA8i0k+P8lLFT5$;a@o!WFu&0z`a@^;M?(TsX^J>hS) zA3D?$JoCF~er|M<(H?!TA4}`zx4rvN?a|yeEi?XlD4y!~UO6`2N&<#>p_`f+IYjqA zuG3QX$a+oI~2COAvSpKk?&={#(zr*Bw&k4$w zhJVM-^yc{lVK4|B>Z>GOwds%zci5G`dRV(*vZ>upew4QyBi&7n^?@Oi9rAsP9-Y#N zz)I%n_^vvizc;>dtEPK9YRu3Se9m!*Qt*tNV~-6pnG=2s!-ICzhI`OM?AnpM9zA=?ya-k|X`7*Fz~m2SA51zg?* zc_@{Zdxv%6&YVu*&L8!@1_yW>)p}Z0yxpJz@)w&vNe{)IE`Y&0E+jrae-u6F=;K)o zy+wenQG)3@xrX2Ig=8 z-U=j~*9j0HTyWhz(i|#EtEsx^6p^QSm9u6g86@Z$KQFr`C?aY87soWszaaE$pgWk7`Jh82xN{i#rCAa2>VVN!{PS46>tL`kLh4%5 zxrwo?+82;KcTyMe9uBB6|3mov_hOXPr)WnGg*`5XtR15>(V*qTE8+FNmj$%&3Dep~ zE5DsGH5El0gIIkYH7MIH$;B{xxn?=JJ&!%I)a!p1Um#h~&=J?ANo$NpA!hlQ8Mz_KM`J`E&GXvZ0 zIy#2k8~YbhHE35rSXdlu)t&FC-le0|khxupyWrIC*V|!WbHle^^mJ2O(_P@~){ww} zJqzged$Z#P!43Ci=^2I8z_JCzw>Ou_&2l&GC?Cw0URP~1ZHI2vBq@@8Z>4x58PSgC z1#-)RZ`xlmb%r9{Y8Je6dY%a|G4R2B5t41GM=v)YEr^x1Kr|6(L zAoEgQ8&}nnAREOuR~t3@C+&65-NdT_)^1hHn#$yu*V|oya^ZLRH7{w)hNF9v{)7)F3Uy9jYP&^Z zdBhb2O}ne%qJ%_M^GwuBfuhx5eycIqbH8KH@#MDn8z(+Z@qklx*0Y7%GSGts;JTfu z2e#iA3xua&im`m=ouYL;nbEl^2Y}PA%T|tPugsUOk{n|zb&iQv{!qttnx~DV)u8tk z)!qlSdXlP<@^_gliZ&N5X-4h-!_u;GL|SfZ!T0hnQ9|7ExC|0DonlAWQotiaPbrhI zK^h;ECF+>d+ENPBUn}hUJ1=omla)hWpdz}ik+BoRvs2+6vbHXKY|?#CiPLRA8=w?F z-?iCgH3ZL}HAk=~4sQwJ#H9sDBD5O^(+~E%IYp^f54MxFsealj$Fb6X=-g@I8@9M* zQ*>UJpy_=BJi6yZw#jn}rgA8l-2zZ@>tMs>HIX|{H~g7x46jRUx1Izs=3Hy&_O0P3 zoWcSn8_m8Cx4O48b8a#3%$ExIEWXqn3%kBCtz7UqaYLABl40#|<1PI=LV&?l@Me1d z%V!KW3mYcr$lsuUPbhchzFCw9=gnBn8p*-gr@Z1)kX9Xh#DnEZ-Z<2E<&(LEL6b|S zfVc1`Y&w-og_1+j!6!(X|6z_l)!+6{+di~p1OcH-gH|JIKL3gA8>U1eAMuN^skOk> zT+yg3y=)C1yo|gMPFXTtViBNUYo0A=o!A_mTo3BpP3sC@JsY3R#h3Z{S(;X5f0BP8|~9@9xW$|u|e8#bC3d!pEg@Z+sY?&@F3lg}gZ#B@~g z(@&n>siLAtwsS^@Pm%2XXxt9n2)D`{7WmblK-m`frT@@;RjHvm-_)Z=qfQ8-lKLLg zil4GOPeXC zKaQJd{ApEYoVhMEsHd-gm4KzMMuNK|uLyM3^4)Xs$jp4)p#NrZQ(p&F<5a*pkQ0z@ z$(1$}^?WLtkjV~{?MwR~9C1VL;m&e2M%4DiUeXYwL&)Yrpe2!XI6P6t&!Tybx$=&$ zzkS~!aa6l&aPM3-;|3x3kbNsT#&Q!d6R_qgA`oIGn02qmFw09uAY&&z68&TLz|Ms( z4h(uDR|soicdE@ni*GH*S=Z^MEw9#nlIVJ6O+nz7^mU*5ljR)10>@3U7JNq3%F&$l z;?og|dVunY?^=b9tfapBXZ0r0p6#ao{8*9WV;YC+I`neEm6VLlf?Hm6`f?QzbI}aq zZjKs;0nK8)&OVNQkgdskk7MsVM5uHYsR1OPf<+D{l^5U5+D(XFYgp9QcZ-08NvK`X z9%3-ArDz<>huyKc6Yr4E>lsGnQ%`F47~UX zflv9Gz`uO&|AW`R|n3x z@K#)}Y7~c#N$4Al@hEFI>8t;S z1Xfz$i1qN%YTPkTcDtq??`Zx@RI_SEajfsLek3K|1Amz&euv>cLfQpcw^c&=NrS2W zIHxy5PN*sW%8caL~?BbCcWWoT-xV-2x@wO9*1egV`@|O@A{A?4()?W#yoi8QI zXk9F9qaKX^hdjfYLDA-@p14GD4hmgBrEN4gR^o!74uce+kq|tw4ocH3 zdAIQ>j2OgXYWLxN_Z6BAO*$n1xH;6R(uQcyGT*E4UBS`r@4gI$AwJ73)ihpATdlr# zNd!rsA&!Bhc>*9A(FEeAS*+d1qW%)zi+}mZzKfdDP2LBx5Eg^-hy00u6dJ4<*#0@x zOL4ULE~@naJZ@VsmuKBF87R{?uHwgsRp@GcXY_VAUw(yDTmYYCf0xexRO?Drvze17 z(q#{JJ6ocHsdI=w)nb%Tqn@jUl~k0yQ^KL6{DRAI4D?Ri56$8B?Re9Q23kG7b7$DZ zWe(#MbKJ~+@vYSFW2==n6azIE&_N{?Br7%$3NzNJ1q*NgFZSL7I*%P`6f|>8F~*LW znPO&UW{jDcnVFgGIA&&unVFfHnH}@{a_465y#3GY`)S|q+cW3%=~hc6sZ^zss=K7p z%gSQxGoil^jy}xDpn|POZ)@EewRBTL3qd`)i>Sb{Z6ic)KvN;osFyAh?>b?R==ZtZ zKr>z*S%qPR!9wiJcnD zFfIxJ+aWE7Y{T-IB6DbPZ+Pny6d|8=4pa6bqyk+$$zM&S;RfsKAmU|g)WnDFnZ1|7 z3)2R439f3^$+|wwXO$FKDM~jj%W7?gP1G{4F45LeYyg&|f?PQfr)Ct(EoK%B&ytyq z$fdby1})^f5~!}w55fvbsEjW&TSSFh>x+;YE}A3CX9+~s9NDHa6&c#Zh(p+>z~yZi zjaB#N%&P!M7>_u{(Rs8OkvG`>k{ibFLQuyni^fA1pUKkDW$SV-Z;OK&S5o5oG@E`x zwc8Y9OG9kfS1w3v87C2TV|j=r3GtC50)}*$o*30EDMjKF({RN0dD0ClOzT(!=Yip? z++2b_IvXunafS%lNG&{hT@F)KwGE1Ox+o2lP}fth-3dlqu#!dwDWbxx55KxV!h&)} znj$NIU%&pfDLNYVe*ZH&Dz=nw35{rlm66;zL`^|YT$omnd^&9I*JXq|D41oUdSPWH zlhWBw*&byHO{)z)V2c(bsVXb>?oWCj2W584G@hsA->9^vC>c zbg^!KhPVSPP$E_$NV;Eoth=wZEWS=x{#(?>y@0>O>*tQs(BM5YihfBc5-~S0u0$E; zq-j{1Px zdY<%^^ulf$LNvX(@$mRTD~W0RaS0==(4#dl6*Qu9!|=NM*>W&hA82m@N1D}e*3IUQ z;m@ZTT9)IB$vgQW&?9vgic7Mnn>LbHkf`M_2TG!{#N-d~PsT7B5o{>;;E*%f%>gM8 z8$^=N$1E#f3oAC~g(EXb6N{uzi}0KQk+U*&Os%{P+Wdic>}QRfS;@Q>f3sa;P`OW<#fgrNREH33_wPt}64El&_&Nj!aEOY8d#Ipax6rrWk*q0M)q2vCT$3oD zniUoa{(JgPg>oqiO)v>Icy~ZEzdf#4V*yC6inu$Nz^|LIHu6BD`3B+^ zmAg~h6>#{!68?Wp5@D93Yu{ifU36%kH7rizXsd;GLhRm=PmtVNm1*9bZr|v{X7-dw zx~TBtmc@AHFzX!(s{~zRQ}ul%URrbxXC8OvFn|8T$o5Z%yniM9n_1xhb0qPir|5y9 zFs%C)t0(Aj@|Wu5iQkxR6SEQ`hZ9hQ@!0W~uSSIYZbYBQ!oSp^!k$oyp4og;ZD~{C zD8(Wm8s935{m|&YJT#sqLjiUwQWn0rGj;RIc}2eZz2Wz>D> zviHPtj)Xj^K$3eI`KgXFMLV+Zi|$QrU5&?SCo(V|h}Z!;D)H=McDz7%G3zqo0)hgx z`n{>g7!p4+igon}$res|GVJI78DpF+;2mMl)`8Y+IHteVGSI~9@@I2C{0)}=91-Ww z#GXH4-2)&a|5F7adMOx_p)8ozVth||RhMfZ$%Nh;=0!eIKcO!ZK5wqTQ=X?k&Wd&zd%S_#bZaS8^0IbUH!>j5XCx;#cd zG69qp5kPs}??7)`i|`W|`^_EU?MKnrtOH->UbG%0UAE^Dku>$wj^AjW3pj0duoqOW zoY&}g!c}>NY@^Ief3}>bT=hiQYw7fDI1EHl>jI=I z`!S&7GCXuS1g#QkD@I8!ZHT2s4bYfoa1}$c$#D}e(Jx?l?&D=Yy8U}vhcX)k7b{>j zdkNMXQIcsHPxnfoK!CD69k4+?7M2iO<)(jfg}N91D(L>!p8XEgrUxP~of%MQu$Y!` zZOeLEdzL?+Aw?iPA|BX<&K)CYG}~nSx-{V=nCzkT$PGA7qH5zUmHLHfzzcM@`Jdv` zj@`XT(_}0gK~70ZPjYCwPjVDDq%Ov*%7H8tB6~Yv9B)B5_atLny&2J@@oL+jeP_4v zto*$MMBwiU!6b_!rMFoV`dz4(J~6v1P^7@kWgCx-^;3H?;|2Vo%@Z2L-7|C`eNc;Vn-DuS z^dbQ9_bolL=e|4-{*CTaEyi6|{NnJ7;C~ve6jt;Pv-?H`$0%+NqchR891~(S^=0b| z6l6px6Msilq=R(kruMekr?_dPR(_5=Rf&DXu7jNJ6AN=U(Biu0Q-x*@KROLDo0#d1AdfSz<;s#UxokgCyPjM zYx)*SO%2^E$rjO@jD8oI+_wH>OUGsQxla^ecnC>ft(-IZq8N|5r?!t8ayZjZ$ zz8TWK15xv=VSf83VhQ~hr~m2{D&7A*GXJl_|IUiP3nE}B{3m1q4d(4m5C`jto;r$? zw`z(AS3n-C6SsCpPVjU6F7OX}6AicNF6p&0_3L4=H`>2FWS0DL(_!ma&T?Kp3Tj-j zIPNn(I9o-S zjFp?~vGP=IM6{i6wro!%iBVQ?h5T^EGD^ej@>v3`g?#xa zl_dC={|k-=W-_C*b>!Ln>yFmUyCw1&)4pH#*C{QwC}$s z2IS+gVmXMJUcx+W@yM_^d1^ww&;W+`xjGDgO%n~&!H+sm;D!TGQ>c{0L7@5+6_yTM zitDpS?029eRN7~?=nBB$1WfvG0PC3p1U2@JZKx%H z0QBRy9UipD zQUj>=v7XaVv_OV~m@DK6Zk)+thZw9JqVo*c84HE&)m9A```Q(-Zwq)2;Y|Ve{yRuZ z0t}>Dv5s8_3LEb?$F#%;$}6EVJc1v;UxX6{0PC#$r)gyLC>Yk_yaOeS_^0JJ#bZ<8 zcIbz<0~>BOrf~VA(;tQ2fwsNld7g?VURxn${#T`DY9Q)HD3BH#(|@4f-(R&P=Ld}} zYC=n7N#y$)XbfE+&6RsdPr1kVs;d7Eq*UO2vFLLuD$0XTNJ~m~T530amY)=ZAvc^5 z4qWe*gY}8+B2U8V_^N*v?~B8qGzp4wKSEwRDVyIJRpS)qOcRr43Vt_QvomrT zAtslfjR8;7nnl29o?|de|S^f0)#Q)R4qRm0;UPfRHHkwe>sj$A^f(vs>e`eKrpE@4&AQj^7af0{wrT0y{m6 z$fIRxXBIy3wQA!YCQZ>FamUu)fdT--BbZikrM6gRhN=me5O2lYVhQ=}@B8KTR-oU3O6shiNW4!G zF&QL1F+49X2R2Qw+{N=WSC_UL-+}tsWql3|Ql99Zx3+@BqH_`2aWD?MLDN2YAPauC zave{xd4xZUbsu_qK4b9O*Zi%n zo^@XhcxAD@F6EXtej?zAlcq9V=uu;}^XGU(6eFWus(;4Lh={T&Eu zbAj&Z1j8R;Zr#$dP1tckogW8NbDAY4P>W@$>NG6mA3#li=UM)%%qU^<0;z?fkyuc_ zO3Be4YkXZHSkqZ{e?2N;1K4P#?V$f?i~iH3_$wo_A`G#%aQzph5^0!-mFdGoskkKh z0Ag_!ItYNHX1!=BmRm*7ho8c~RErsJA(0L50H!~mzjU@glLh`;!2|_^aC_yWXA_0X zNtBh19FO9L_tI^0%#~(AA}eU!MJDx?GjDL;figNSd=lnVXUZ(jse6?4rK@Y38h?#+ z|BCwGONoKtV$})$*2TzDLzXxGRZWr5=>2oRuTt-35CZw+h$c!ldMq_Nl8r%){l>G1 z=0D}Q@*jQ@5Y#I(onUc<+x*G8K7bDXuc%-B`oBU6RtzWUHfo0BQb$4Q8aV@ehC^$L z$_d*$!R)_m9#5JcGk<;JLr!l&@p{aRH13uWKTk=$QEBM%+$l!_e9KL|`Vn}vc!_uG zw4rQl_ceS3e3zJP+)BO!E#k3P1>hn6lZ$QQ9jHv6=QbYqq4qPz0nb}Cz;DL1r1$!$ z!`FY7=id{LR4V-#{SGv)^UyzQHq#FHOu9*ay~L9JCusb;iv0b1E86yV$mfdiWmxA; z-Mg7l7!!A2{vGIg=5;C!7>fTtbKx@myY+!^3(~iesgA?ccc3bM#Lueyh*1CJ!ajHh znkUD75{rIbii!aE;rK6Hk?PK)-fwpAK<$V=%m0;v{y~|=2j2cmVg1i?2kGV?Ry76u z(M!GGmkg~8>>cb3buD24A!|J|7@FE=T3VGvjgg)m9oyWHRb>|lU#oqLPp|CJKn*r(Lw&(B zgfiX{sFbwk8e0?#)AE`v2QXQ2CRXi<wTEyeG5M;*zr4UY^q@YtDGi*PkojpRQi7({;Sup6^AT-)1^Oh#$>1N=Gin z3szI#qTVjANx$^FJ;w9QRIYddKA>ls{I_9xA=P z?QucGyP6*=c{=JP->>ex*WY{8vEFW8Pu|;KFYmrx!IQa~);r6tnbfw)9h}XVnA@5s zMn+vN8#_lk!8u&_6CYT-Vl;hp-tr+DbdQUC=$faSi}xkcHjt;~qQcdZgntpD+HO}d zw;P%obMV}a8L1Lh(EFee&t}tvw{NmOYh=%>&$bg{Wu#(ZvR1J;snD_=5WthG5|^sw zE2iB{*Jj3s0&Ouh2rE>cYzfQOL!b;FzXyz0`6`KbAfDqEe?feT_af zYi2JACul+0jkX|8fvfmtYPm@JVrUl|HPU5({(a8!izI<+6_yzNkGRmO0yl=3&bG)s zn#Ei5+WJrF%VT*I&D?f_hN9_X;K|dHJP`0yWJS!hCv-8X=~@=JBLtpF@Ix&|ROG#^ z^m(B0)h0(|^bK-5jIS81+r~siROes6NvVF%UgCztw&M%r4;H?6Ex~nG>9lPLx4dCl;qd8b;xKv{TMjso7nE8Z*9INo!G5@_ zFeFVh{6>v#qBdIA4+?*k0igqzDLyB2r$6DlTetiv?k&)$=FU>M?X%6`9t!#gMPupd zSkQ_NnF9%(=_|?8>ZRhSXly&+{R*Ge1TGf40NCJKlDWf^aw$(WzwuO2skz(gP=UDn zOpHM{b4CJe@?PYKq}Hs{>xf|tHa!pxRI(+Gxs;tTu|uFujw@cmp)iZMR4(sMd~}z* zY?CROvFA?P#)F&4Gsx)r@F5}gd6i&zT6j6C0dJazae_y%A3r$tV*%|o4)O4d6Ub60 z2ZdZlhL(z1q?MqFp;ai5UIUQvC62OxMcJVXqt{m{KvR}GO>DtEFD86wM!w#1SQU%y z{JU4<$}KE1t~{7FU|qMQg=>EdF8QvW)L5QoPl76B)WV6%4}^?Qm}G(sxwHtZyU5mc z=%NSXha<6sp1~1S9Hc3_nwvl3kwYyxmrV)+=nS)QY(fgjNX;oQR~RX*cSHO%k|T42 zbD!%ke=+?BrybqA%HAHrb`vaW)9!;GKMr?|)l@eMT-{=OhkrWeA#kwjW}s$(VthIq zwlKVrpij@4NqoKL7KRL9P5xS2o>STDRwi~7eh(I!iy9%TD9vZ*oU|B)EA}seVqhZ% z#G&iGvUW4&Dx%0;rZ0z4iqm%)kz;cZnNfX2cKB%KnzIlWNCTPg-#>6w?tV$fcwM!_ zV%zmokH|hO1p8vMDx7iw4vvmhgF00b$j-M3qt)E8%9WsMjCKYb>_Xw;P|#oI5QGTX zZsWI*hv!6b6$Cgu+0IaWN{u~)pJqWaOVYy1%nK6UB_3bLn>bxvJOz1X5I`v*Qx!h7c zz8my?FAntTKBft!5B3O4V+_1s83pFBGpTd~`yB01vQiKss|Q~VM3{L@v%s8tD;(95 z=<3WB%71pKjj6+$D-=chqMh=&PV76_&f!Z&+FYyvcWFL`PT6@DnBAtOZ#UTC=p!Fm zvBQQ0N46#dIR&lWblU9uRmCVfN&^}g1@m$`E20Eh~_uSapGQ`mz9c_N9AyAqSdR zYo+3fTe0cm=+94&jZR1Yl$*s~r)=6!F)rryqzq=b$olXA3Ixzh6xm!ASVnk|&Lj|Da$U^lo& zpRbQJpx|Kf2e2KV)RAg0e$jQc?daj+sqkkGYuy9$SER8Z)hPuEH2ceg$6@*$J^TR) zrPKN2h%f*NpvQ|;2k}|!SfVgd!`VSd zdt6GYF%+n}-@DJCGO+=Kh~+LgmB@$uD2nJ>#9;b?139x{O@mbcvWkWW#eUFm-Gp8_ z-Ju!Pwy0DT#;skGUB!?KZ4^IgGcG^9=6oK}u9eBx(fo_SjNhE$t1f^~xr|(l0>&5w zQH8A-i;J}^zEOIA} zWZV5-Ufq$A&5$7+rBcvc5lQ2?VRFj&nP(FbmTq}j2T@vWh7huDU3Pqz7(HDQ*xH=j z$;gxje0_Q?SM)JN82*QW=>Qt81MM#UfPa~S*t;E(sk;`E1^{J+ivDC)L$?U*Z3BxR zcUY85tNF**k@UfuKJ`;bh6fFoomZ5Hod)T}+(DSYfH?wu5%yuOz#S-zOj{Gu(VW>x zz}@EfC&y-t1l<&?KvqqiFnp6z&k+o#H;CeO^^n=rOkYbqf{sGQLQYok<&QAZ7C{ld z44BT(fa!+4WxkXvFtr$mjR`>&hm33}MUUYN`G}Yv{0|F#LYeg=oD{w&HK}paItK;q z$7>>lKr)+{i;p%|eb&cnRty*TA$Y4To=s6;AnjaKj`lt}@l>Jc)(D5ui@(T=w8sG%F(rmeGNbK#vjthOWZ&BOj69>{N!Abm za>N~f4#{Rik9e0{46c9Oj)5+br8WtPt+({VOCj>ChC6sQ?K3`4@maPwkiC`y9-x)v zDbO6`!5;EPwVZiA-&w!lFB%&JSiI(Fr4K@7#hn4BVCu7F#hT#(UKE)@fMpRh^(&Y? zqx%7%0r{JQk>3rs3!bVET=Ky?zI-yVDqEP_0ZdoGLBi&OM`3*Ms`Y2S~GDjP%3SM{+08}+7ikWc>n1?#Au-3dPU)83eJf}Se!0bZ|S7x9jC>@0x2nQ;w zu5zvWQLT-sMnK_z0D+drW1K~Rd}4bG*;=rydX=bcm&DY9#J&zSe}y%(6xKyRd57;> zsJ|dbnYnm3n*XJFe3Ew{aW_Q4w&PtuE4k;Gd2piaE(bpWzuAzqc&+qpttxp&1KN+7 z5TfpPFMSRH+x^Mqso{A>gbC7r|MjSs7lE}GWMb`ATA}}h_lh#3jcvO9p&5)s6d!$k z+qJRS=4cRK+cSd-N?GspV;o+CT)mkYQ6wE+z78WeenFgZNny+UvoiCTrmAyDp|*{L1H2l7^2Ikg);t z<|*dSax&`&L`a!jO3UK}UH};-h@`L+V@-N(u{!e<9tzNaN2NNEMztV7 z^kuu4Kh|b0s{ne;76dxn(qS`^$e?X<0wROHctA{%>@eX6=Uzy8qw11jcu&vu`eV+6MbVSyoC)IXK0pZotr93Fm`#f9|PA@ zG`+Gif?^8bngCpV@nFn?zetUD-t|#QAAA;q)xiu*846p4P!c6UZEhJ-C*H&b%lhjL4AmnH!?2=+ItCx zv#+=#3Hr{R_-GWEB_vG+&lW_xyw1qWJCY1|4DRNV!;(mY_~;f7&047pnIcii3DGu3 z!V}-@&-eBSowSh!I0L=DYq*x6KN%LWJ!|BrTkUDM->q#YKr)aZm8~WcO&%jisl{W* zbG|fW3$|zMTrSbJHRi<5iH_G-G{6ITqQaPg@@RQ77dYJ72#?F-S98vtCSYfp@B({jpD_l$L@Y0Qv+VR9ZyI3d#0WP3C8TY+56+ zZRvvcyosj6upkRSnqA8KTfUY0L9>08<}Aw#oAxGhZ7`>nOqU9G6qf_cF2pTFaqb)o z(Y^xOGs+few_xY0ehfpnc7x!HADi^$+XMKpTT>?5Ou&I(>z6X%ewYuN13DtQt`&(& zVQUaSHXXRs6Wb%H;8x>x`K$zm*Tkkc=PMASrf;AG-0O*4FG|z@)sZeSGK%uaM zacG#9IczoTy_(i+tCwS-Dbm&IQv5Y)mXIuwKRbT=sinnT=4mm`bl{j>3>d?08wJg| zm&solY+iiB{b7c&%C>VLLWy>sPZXkZ-nQYf*N?E*e4kdDD_feuw7|HuJKk2-AmG|x zr`q0cD_P#(?vhWs`SM;(pFOJ~9{BV*BHN}jZY}emOV){G%zJQE@DT5eiLPxE6;72s zoco`BJBF=8uJH9PC_cLt_EAHautd74UeX!AOx&JiTN9_;;!wZFpSWLLbiACM(R#bR zL%vFl_TAk9#cy<8(pzv}W z2k9TR^Bj8>iHuy#OjSF8KO|jj)*VSwFmG-nlUm~XLaw>YJAH9#GzPas*j#+l8a2f1 z>$>1L64@YrC=K3-M52u4JwBR!V4HX;5U~+QhmJ^E6T=l}W@dVExF~}qRAzWGdv=b# zBt@K1$Eg~^)xyrMTleE*Khr${q@B-8+(ByU9MVBz(Z9ow&D!}p2)aAXgcf!Xrg zI^?HN8T2-s=_kg=G}A$oZxc$Aq)(5Vnx}dz&J`60_T0^SLSQsM?d#p9e*&Y?)&QsD zlFiKoL0T=l-`xn6B- zuP%=cw*@=nicAoe&7^G~TZZy8RMm?ux^;$S;YRqrKA}coY@&V{bzx{cpuE;EXVEl# zE$*k=u@A0qw_7>1LDx2Op{xR7ug%I|E+ADKu=&mUgFg~J)~#_d2hNFICU4L_zqcqc zYut8cvm>@J>%j>-_Fni}gx=En{SclhHFJnwW7_zBwZ3TOn!zGiNBTCwmn#PL<5Ea$z)isv>u!8Ga72c>SQ1fUH6YT)LPI3P+Tr1LWGVdJ;`9u~HR--84N`)4K(S)8p=^5_VrJZxk8n*cv zkX{ceLf)!_Z(mC1=pa?!nsUGR!c)&RL0a&fB-c_^voVyX-cSZ09p8*=v}cvy+0C1c z&%+kyzM+ubeZxp>zPU?-E#%bvseFtZ4*B4Y!b%dA1v%XlLZ(F z_c51d%kD#=sZ*z&#}KN7u^kfR;4jd87-h8nP( zD+a2zO_Lq2Oq~{ilX&)34_`Qbn6Lt>a|}J8F8i4=OS%ZGJZYtn{gv>3P#+_l8?RkE zNU?MZp~?bN5U?lQv-fEN*}a^H$l4e^&Db(p^)1E!%alZ$en6Y5dFfcQk)aJ|U!wIR zSPT<4Y_$jGiyxfv2`8kNC?+p8=Lux`)i5_ zmG>z3$pGiqN970-G_aVL>JmLZsM5x-NnfSq?)7`G2R3rqjGBEatDY-E@TOlCln~Qt7 ztzm$1FO98bY<`+TOCE>GGH&&Y)6FGX`o_7>U4%v}s>J$)}BhN#c`%r`^6p=(dCFLp=$Pbt3{A z!Zp8y0sWwx+$wIA);k=V94%YQybR#gd8}U~4J_*dsYj?K#4(R-Smy*zX;ZBkx*WF$Lcsvd<>EW^iX% z0#+Ra%e*qyEFknVbkeBC%g{naH=nF>vtZPDFnLn`EZQ4j;0ET=W>vW)ZYP?N#_))Y zd9CUX!%64I!d!~edq&rPL?-J~ES7<;j>xs?nWt)Op$Bxp{aq)PUW#oJ%4E`$FHBlI z@KvW*?$=1Aw|+=^skZSSaKq)!uaSmvZS6=Px7J8{8QP9MY*ZTL+qy*THLhG3IQp&Q z^T4?~tw8JXO{qj=r(Up`O{8;veVwE>V=1o5aDzH@i5q9p+Y=a)-|{-^Q^Imzx{yTf4#!> z+RqA^57l2mHIz@BRTBFMoWy0M9xK75M0kQk3Ds+bU8mjas~WKv%^~E9ho+b4yO>zH z0UrihjR9b|Hg{}U?I+spJ9oR z6!b~7{>Xd2;vwL`%OBy@sSbb#1S|$yY|t6`n?bZX?BXj~;fzAuK~?%9(IIpQzsG(? zT^oGb8JNM&7O+{~;9%JPUUBYU+!o>Y-c6ob<-2x`8uGE_uBdSx9$2m2PQ5Fd@+zZ_ zsn}LG)wO*l?K5pnrvAO zo-z`_MpGE0c3Nj-^~+VVL5&?_gzCP1%!dt7J$Vr@{YQO(?@B_*dJKFoo$GVCmM-*M zxT46dg>mG^2SQ_1ZTNH0Z2kV*x14QDun{?g9G2&9hV zvTZK|=onU(M>o+2I{@TpG4*&@f8VF-(UGkWIyFtK*a6oX<>&GnQZuJMZRh8#0U6RR zVbp~ze8I9EGE90&c^bawx6l4mC<6UhCE8(+gGs1hI^hb2Qz81YL@b#;k2}#qFSqb~ z7q3E22u!9@7$dl@ck=Ihh=Wuy)xH|?KamheaHxx20&#t-{Cc}02?ZbHtpL5K1wBQU z<`RjrIz~C_6eS5CAn%#+-3)?@rG`Y)X6<1h0t4o;{iJ%HMaW~UT)<3DBGA@GvYH$AwU zl6i9WF&r^07A;=AB~*5mYPpK_{WjMIsoD+Uw;WUSM! z)54>b)wKga;xRJ)4N%_D-rCVl-_RcKmpxF(#X&^D0bmRK<4uGPkMYajz(nZr7@7YJ zGT{SoBm23QUOHU9xD3;<^N8-y@D9@C$|!T{huQ4(gvWBP@QJiw?3K*P>jU%?Q7 zu?8S4D2zv|Xz1dAM=NHjYi!8>=TqR%rx*b5UwIT=Z2;y;SpdW@yX0?s=C9)ao%T$| zU-|xS&pgvwi6U;a^31M0JOC1ze+2;pUe%Az{sxeb&iO$>4ljLl#8CvgGT>jAUzGRE-@F{%dybZY=ZSk51SL#ROCr685 zIp$Ukg>H@VX0Nulz;>_Kx4lB^r#=@{ft>g2k%x_r6cz{}^y!g@4o~vfx2x9;oi{o2 zv$vzYXK$~^JNH66g3EE5`lO=ZL}Z~><^9)shLd7$0dJD>$hEgOvK6cvpk>gndu!0s zdEfc#w#w1>1vyQmB#trej4JD-mqaP7Ot|hiWhc0D59Uo0Lv*Ak{otu{FXq7vImc|| z##ENsw=2eds>TBLE@oVqLi$gj+`qfmRcjXNYeRO4JiVjVY=eBwP~zSPGv4@i4Nr~Z z7sOm*cl0H9JE%!5;tVlDT_FuW#adE=V+_1B6NU|i^#kNxL*3hfB_=Y0N0ycU4aE}1 z_q-3(XC51$uc%WqgzmIWNqZ+y2_X{o8HD7$B{()N@)8+USEEbX;@qavoY^D5-@ieB zUOvj=&`Ll6wdE;$5I@oWQM&3{X%JyecJO>!3*(rLJm;35SZ*VNCCQ*pWXN83<4H=~ z6~UA)*Y=^@d+iCw_~e1fILxNq(WLPCOw7>H@SA4Dv872{cEtj_p#+N9Ec}^=x261$ zMl6w~sps{()^_J*LQc450C6-!t2p zKOI96#&Rh&(ZuM{&>(I(SUPI1wLa@rE^9j_#EKRCsp(U3jmBQ_sMDI1D<(s>@trcFQc~?uBvhXg` z^_kqxnCm-M10{A-eMus?R?n4B%H>4y^m-A}koA^dT1rJ3iRH}KE$n!!$#1mx%5o7N z)d%?CxXphQd(w|UbO53jr0W~7NH2{vO?Tg1ULDN68}{8YCKyReL7*(R7x8{U6)u|~!{ z@#ER(Pyt7VDg94SML6=vz9hy!N&vQ9aBDQW7 z?71ad5C_JeB%aUhgF5FwokO)~9%J@T3Q%@_onTVQu%j>J_+H&tg+s=4kA(r-2wyry zVPJhDSF2a4XYEhX)f-z((fRdeer7(uJluKLA{@y}IAhz~uKj62V9I${64HO7_^Xmr z^Qs(~MnrrZXJdOT+|mo97@PaGRv<~hjwz#p;(B6Esisetv1d(Q{JRc<5h&0~ zFQ_unKt~jsR&Yscp&{*GRh*Jdh(+Sg8w=FKyNoR>kV+ntKCkIzSZwday+G{JYTjDB zQXESf>5DthOGEmPEvl}){#c#9QHLV~TOm9y+??Aq&K=g}*R-SVGsP4vh4H);Rkv}sK$ohi(W=N-v z%o2h}x&1Ia){QE~htKCRSTH6NmwWL!`)G83%oDFP;zMN1~YRy zN%9GgWX@5tfA-ZnP|FWRx@mE8>mL} z=dm#WQYYk$1V>~k-%edaYxxFGhe1pe>-o5#%1P`sD z1geBur9yHItYu}?a;W<3(Lz2H^R7DZQR`Zi*2uB*L9siHx_OaMo0ZmxbY3P*5{;}_ zp~?cmWEgDo-7C#6kYuZ@uz46Wxk`zJnvbT1e)*t^(?>J)P<7jKbp;~dq=F4HzDSVe zI3D#q9k3xc6qR#*g&pCGTa@VRO;6pOCix~&HT9_qGuxsuggLl2+OYutj)VegQG03Y zn7OewceWG}w-dp8aj+o)wr%YTE^B`DfY-_WCo=+H7q|&`uhun-L7jp1o_afUg!{@Z zhPHKWe%%1dnKt-fG0_qYM=wvH4T0;A9z3>JgZG3VpV9VYI+jQ%uGMn&&O9Wwbfjq6 z<$Vs$>nn>+#aZ;k=i4c>B4tn|(*n141D8A~=30#8+1xa+@s<>a*yqZ*p|gzPH~Z;Q zIkYpjgy6}LhQ-+3a}By(i}DJ-AoXs2MTRv#OmFr6)ZW-5M_M1B$^A9L_2aQGpMD%a z&iX#G&$pXP<7aJ&O9LuaPbulW64cd0Q_!vh7jXkqS5laIe~d}=)pZ3g7;UB;B5!ly zIt<SbjartHvZze|pp$$L(+F&N)`~>JomVxLuFb7hkK`Oe_`aQZfelK!f*LC#g*8-Qh4I5fN?qC`SY4 z$&WBuENb?v1LciDDb=v=pkk^?VB?dVw>$n5+g*P%R(!sHS61Enltq;^8VwkIRL%rx zpPZZgWE$Fw>5jhgYpJKPhI#52fE&E;m|Y!by%0amK7>HCXSU%)$}2o2E%|PiE%&5( z@7H`m@tAwgE2)gdQc__dDGl|AuZ@tZ1F1N61Lj~+M#?e9$<*%QkWlSN>%gvi7%~>x z7f!OY0*!IytM&&gB^c7AjYGlMeea=WC<418B>9Rl;Gx;ejF!~Tzt9rM(q9WeQ}xcO zxcEWxf@ufDH);a|VjNy79pXqI;JqoL>i`*A?xOZ|7e{XtlNAy|`!qdNJac}h)m#9s zure-F;Oyq}F-E!;@prAgkAaJ`c}vUZ@#4e>ROxLP0rZ|Mh&7cAjy%IjY+#S}4?l0$ z^goC9eT8>TITG{%)LYtB7TAK(>jFNE2lKr%=tEc)o7O!iCNm;Tpx?LWJi24ZCt$oj z+KJBAdE9T`4m|3q%K{uWQvf7=2Z1zJ=cH}*)Xg5|hnb3KGF(2^k zFLmMi!vF&XY<13{$1);lI5VqfEgzdziF$5`*^Pw%$?@3beSC4%JP?v@a01k!+)HbD-1hW^TEQ(kf1o8 z9lKy28HR^&7u9O}mJvop4&UoTfeB~-K5xwW3Cd;5i$-BcvXG%1oy(=kIArS+Patn& z!1MHr(tR8LcpTU6lj%```wXz|Xya!hWDS8Uf<|D7$4UL8w&f!8Pr6t_G%dhT+2K>A z+YyOcSzUOf!0h?zJD%9^g9|HW7xTcSrc*!P>H}wruiT{Tv9T6TWiPy>9XVO|pZW7= zOKI!uP1bOL)BLaP*bNL=@fwd?l`GmI@Kaqd{B`ZmF|=KABS-fIF?i<5AJ#`L5|Y-( zmpo{u*&3FU`H#nHP=b^=i>w2bzQP3!uF;(bDDg(%ns=X#Wx$oH_8p_CT;coOVW@SX zm&qSHE_q5S4$%8=k_tJVzG4bGj)ozTi>S~O1Hv>|xM+78_Gw zA0(*32h&)cBjW8>Uou7P_bGT|t!uY84nDzR#nY+~#M7vEExR!F7EmM>$w`OMyBwg2 z>qe}Zl=7#WlybmGrEj-sSBG03g7Ybl&2Klq=9C?qDm>&PHygZ_*`9kE6# z0W|$ji{ZdBI_eQmNcvNp2Ddh)y?F>Hka)ltd}bSK`18l~{kFJV-C+=dJ~Z88YyCL6 zNK(GVLjVR{5HM+|-asczjX;OLg2#!SR9V_JHS~1XCW20dj!9}fs9uK1kxe^`GbdL( zu?L0cPdu#+Fza~%NKf-nN4iD*IvjRYdy$9+jGxMEqowhS^>J&QE)ixSG3n-Itnusb zVxGCLz#`PEi}4X@Hn5fITP->y%fgSlcY4+a53SmW{%ynOu5F0@E$(fs<@h}$Ki?>a zNgw9fxwtpyC+A}(?u);+b>1KL@H+Q+;lby-21WPh`=tDP-1 z40&K6{1eE)3;F`@i;T|)D7Id7 z6g(Z~DJnwuG`o4zbXsH0N>=7KYY)TanB5=0L*7NUA?sI>&XuI;6q$ z2H5>@KB^;}(KpnKdqaRyEbk~?yv_G2Dnc6}+CYXo$%WVn!j0lvlRL5)Pd)!a5C)b~ zPMDz#(Fb0P8a>dQS49qaRzVsFIKR|8n8jzR?Zla4#AEjXIWk~+sO+|!n7C~J(^Ooz z>(R13Ci*#z1Qv}&y-T%3ziDQ}rSniau$!2UG}ti|%e!*oS-nd(RhThV6|1o)2!T#M zR<<1P*tTA3H6r3?f|*Txi1dS`TcT-=u)|9bJ*W!sZ$0`kFR5208|%Y|3G-@6jd1#x zY&h}LYOrT&0l=Qy9=2cYWtS#rJ7zl3{3nVuO>&5r*04glcszC6Kfw~7#fo4b1oHDh z>HKJQ7@vFIx`LpnV9+i*a$ie;9P`C^v<^RPPkgwV%LZ5U;UhE(QfMMv4K_n`M0G3li_qP6)-0 z;c@O-N`<-Y48|9Jg>$idw%J9AD>LDHg9RW7CMpA_%>KI17YxzKY8_1j$nt7qi%I3c zO@kvjItX_>GvRBKi((;a2xn5gUd&U+xWpL8duI|^fZEPuT(U;wZUmv1G0pTt;JR)` zVhb`GpYX$(wrSbpdn8p0R{tg=owDh+fyx|SKS$W;9{v)xA+SFNfGAHf7KjBq{uma- z@s-PhmI=1XPCroet1wk{K&!qOc9jDZPidscfd%|1q)7bF49OV;pB%Z1%m)m;33NIA znpo<%u|*m7#x0J%UZ&z4pHsAk!q7_(Jw{6g*P<;CMD&!Gf4O_)-*RkuVtsw=1r>p> zt)1IkEOs9JT2sF|3-uTynu{Ds3ZW}m_QAlFz0E}J8xsUltJuhaUfs2<3t+<3jrbC^ z2KAbUxXabO<$Sw0q@l~o*}qv!q2bEP*~`k+OU-q@*eOxbRl*;Lp;v`z=;)c&nT^cK zWJ!;lL7hz5^BN_fHo&$eyjDJNa1g{^Xd+uJ#?@12jPcQb0Wd7!i0vn|QhAc~{+!&! zaxbqI?l(}A4vu7WP~S^ef>i4KU(|hptjm?-BP@Gp+Img zMFI&aQrsz4+}(mh@!(pZxI45^ytqrS0>NF16e|>WmjXB6`JHpmoH=vuow;)}lbQX; zPG-OFdY3%wS!->)&%&g!$?n@~tvw+~ufvgDS=_`t2p`AVU%#kk94!=llIc2LVAO5kpZF!JCpbQZk#YkclLG5eEZ*d7ZrD*@5?4fjL0)b& zF-5+E1zQhS1a%*vHnkf&J7p#Y49@byZ7E*(d&OIl*uY^(i7+`|609g`I~sHRWws_8 zwN>}`cZ3Tfea2+l*v~AVXb#lnSF^K{dVWna#U`jB5*nHe$_uIn;)JGucFOP>B`%5$M16Zb zagAnf0FuwzJQ^uSN+r-*K~;c^9gG6PfYSZuhe%P0;DD(G9<7B&U78GfI=TaqsECl6 z_1IDsPQF1b6~iZIxgqV}ih_|9WC5ITB$xIVhz2JCJBfREKB$L$0cQ>~za3j$Nj@k0 zq-=4Z!xE`OV{+1;oV=nI{KgDmE=C{fh+SRiGvQ7TRTcX6EM!!4Pj)s)%4-vhV8KdZ zCa)gIPsSkk#b{M=r&Bd~g9yabmGBFl&ZA(`83C%-BV}Vh!X}llO(Y>?N?YvCBLR|C z<&8(d_@a=(n;0*hz)EiZ@v0Y(s_hGzhZB^E^5+7B029EZT9?MbkGCfRUk-$^GQ8jl znZv^5jyyUTrN$NcW)MTkmoGR;ZVuw>FG4Mz+u7S^SPtbJ2WJ`(sAC$s#<{g`E0|0* zy;`Cj@j3Q9N>A4~*cS1^pWN($G2-WnfG)BIxB6z#XgaGb8z1kHuub;WLIcM_;|OI_ z%H#+rycYdf%_Hw{Ry@WiGTSSDE#KUF`On(B<*4)i4kR|CKw>lff5&Dx zNNhIy4>pUgOgjEIDCl{#)nZ+0!n)_atLE|gP(wvfMM1!?l_XC~C^A;}mxPY%16FNw z%j6KNGmfwi2eq%;dhN zN;eAgNAn5TY{=qtyK?m}=)Qp9anmwq#%AuXz4fc|7EBv!fyXllchi;9j8rP2{`6y- z%>V)LX?n!|=+^BnpZ=0LGOY#9YbcK?)7Dl~T>jp!|8#-sl%GT`ZK zfdG~^nGpGaGPe!aCcv_vlkBKQsbvN=CiyvUFY33BLB-VCf%I;=2@cER5-)Dj?2gy9 z0{L&G@xsD~hYO>|P$GMj_pby%a$^=4R z-P+DQGq3gR>c!4vKl%>~A~Obhl&^rVGvWx$d^dR_Wh=VhP)fG;bt8Y=O=x@5xibbr z@DB1x)z9KU!A=UCDtCdRiOU2-NnE0aZdz6gl$_GVNibv+AHXLre z`HEr4h4kigsyRvVeWybk!RZHG@mZ_o4e(;u9-^6@v`8_`{N&j3T%0r+0o)^@oc#k5 z%2f`I<^+9crk9!19vsW#Y*`>vS+1 zOwvhZV7qqn%)1*SZ;?1;!`id5A<_ai%Ho%>{x^y64cFR_Z115cz~+Gt`!RWr;6Dxez$Nr`G5A-{24CvsyyO7{BJLJL+?@ z-)r}iAJ7{b>v847rO8p*+)h69H;MGDZ}9z+QvUnFQjg1!I-Pv{k+?^-{j zUdI<{`S!ir;tkWVVxQs;S0P;R{MJtUhH2<&2sMGzXlQ>=U1Z6}ANFh|$pXJJ_LhQ$ z)d(a462vm(mb|F8JqH$Vt-an4u>g^Py36DkU4-J*d&%ZHvU+lRRpCO#4;`>8j+5)b zKXae)!yTs<)!!l-rR`Awv^8>Q+5FySN%3k`+}p0-#8qmW;(YeJj<^De_yB` z3fnf__y#b9cPS1al2ZClC};b{CC+NQbfdekjAto{>W?g0kP2)`ic2^4ynU}fVrgYb zBD0;}h61s6p7Pem*y1)Gj3Hl*TF4Vmla}LA1gz=!&Y%&m^=i90bUj!K&%@+5oe$}{ zWKa47Ics_?6~Wd}nswsyt*P=ymS5plF*jnurBB`P!+t?i+N!5ndu-3kPJ9ggC!BYy zb5DBFK6k6Ebg}p8Yb+%JHTN>=noo~pm=dF~|ITFoLq+}vgZH0I=D#zK|DT!6e|W_I ziL?9{QTfju|Nq#@e^&7yYx_TSTk?b6g8vIUIh(Uk$<*Q;cD&7cw-{*6*^LLnRgB|l zZ3G9>b~U1r$D<4%6Y%S>)V1w_p344)=-LjVDtr^rH0BP`2azk>{J#9MivAF{xRLgB zbFTb!KYddW3cFw9db*tMeCkPinp8F%Z94Y%t9ou!FBT*L*O z7(ZSF$d}5Y0F$}8x4GX3NYUOcu_zVz`JPqXjr$1(N8Q#IZ5}IMZY8Eh#_U ze1Ce`oAT@OX)$f}@kYIwI6m~^Pm}1=Ow?oC>f`yasMqndXu$1ZXTaTq6D9$zsP*C1 zjFFJHHR*#<>|K_}{qoIRzm@LRIO4j4>Gq}svHNZEM_ioQQo!x$!dJh?gY{xef(f#A zzr-I+v@0TNbK7xZ>@>TQRN++@yLC&~G{)zr-u*QGIaD4RdChe60J+egSdfR z$NR@eOq(?_O8Nft3i@>^Ni{dtLZiEDIU*W5tCg*1i8rYvEFzwR3cw6H9XN_y&6ESz zO2aR68+HfLz!No&-`+1&W&g-4Acw3ap_+Er-0U9`$o+hLS?~pL-1+NK#V``s+h%%# zbN_sDzaT;5Jc#Ld8&-9Mr*1H{%}NvCCt^zQ7(agf_X@6)vzctheJK=1X#O#zV9jNu zW@(ND3%hr(QL>f2A)*TT1oUu4rgzKsYWjOQfJ7wEbHd5@S@Wm}keU82PgKf-aVW+A zla`@UV(eUV9P-s@ZN4KToaWv}qCCuZ$023ahODQO63%%n1mqX-FPGiT6;~R!>B4;5 zDbYNKyB^j~9vt1r@E+FvXJ%Z&+1wxsu7`uRmHLlOe}tF-{V{yFSsbg{fpq<5FR*=S z_)KpoF8Jxg>5Mz#!sCsD^W*3{F&;+b^|Q9&kyPWVSJs|-6J_H0#Ebj1P2-}mv<$nI zYzxDl85U+!0VXU{R&x*tO4Z{QXF@e~Xai68zBEvQ)$VeV|G@cfk@E+Pk#+Q(9uUJ1D+px66D4u4 zI$=#IOyGxDFUV9;7bI3w|0G1k@A-?f+@%s`yPzerjuJwqad8(jrKQ!LQNvnIyHr~l z-fugxC>8tCEgh|MrVkR5_I0!7{w9Ul37VZ`l0C+(*yFw%ujg>bD{=Chec5u?R2n56 zK=8ZmCl30X^lSBd@n!$&CZ=1CW9-cUOXhfuVN%-jNHlzMH)W>P2FUSvUaE&Lbo0xx z@{}juCT97O73bZGHg6g#23Lu5t6g5zNOlGa3ok`Y=sR~GC}(96RoW&W1a^xTRi0a? zb(Nh#-}y^v#-$h`LSAr;jur5XjNk%iUbZuz_N(YgX6Q~$tp^wT{Wwa=@WLv4}v zcr}DLwrK9enbOfdP?LgTINf z?;s}}?TV69t||Mh_bKS-f!#Quz0U*Mowe&Dxb@b5;u2`xLe2zkP(fNN3qR)NGI#T` z?K~>_ncIG#gdBffG~{}zMcR@Pl!~?McJJ+$H(LmN4D22*C0kz9m{&Nw8Cm0l3Z>r8 zid{4REJUPqh?-2)0?U4Q&r)fR$UrtyczfT1EYqYKdQ&jQaE(;t2pIE4wILNwNk z%W}_;F05t47wNgq^bGNA29sf3Ytk#h-+^3me<8u5!%vT;D9P3e)cp${z7=y0LsZ=YH-f8;qJ;QCPnW z_h>o4AAB6s#B8MjDkh;rZt$tRRoQB10*E(KAj{pf6Wx34_cD{(+7gGar$Nw(#2EVK zDR1N5^^Bl!I=GrtB07#Gf535FlUlxd%3RU0DF&A_t2u$b9fCz_QR#(nzsoU2AQ$Aw zy;Lv@>@3>BR}@KnNRXsN3W2rEZD~;I+xJ@`KDpQ~@Ak&0ET8-2|sV0_fh>(cy&+XGXKWzklVpJPY!=p$C>TrP?`a-KKC}; zMW=yRaav_)JN&lAw=?&Eo-jC#Hl)uX4tmABU)0+@j_gwZb*__okg4D;rXTtGM|fmM z(_07d8?v$9l`&+u%C&fcD-h9CpX^*T4VfOgSH|j~%q*Mpw&z~<7Dw1z9;3D2WyvCE zN>PdGCwQS!ILP^}6OLp_r{#OuiBeaU3B*%KXl8}>BXwxAcX{z?vJMC zsy6_%2{)XEqgu^lfhbP0-!ePiwFaHp90Pd5{b8WyD#gJY+LbVJGwFCjm9vB5EL|sp+=HRM zKi1I<;kdjMM`c;LVoiSJ1H|uv*O~NR95$mwdFX&e$R zqg_zSWTAu_u$Ir%Z(NGCGnJ!aadAxAP|3gb&JrK^R(2k*fR87GPN%^~?mt3|v9WFec373aWOpGG7xtKBjW zcqK!@B*2=zvd`xUBH3ew!PRvnO9G!n1d)T7H~k{F!s*kkvE?U1nv@ry5y7~6!TFgj zGuhhSE>O6@bZ#T3y%y!xCzp?TbB#>%8QnO%R%Egz2sJ?wNUnYEN3xdRTaI?hc8n#X zuyZs3+&(-=m}z5_*v!C+s`&aa%TlnRFa&`TLV7S-PwiWy+f0aBg)z@cgwQh}JgRGe z@M-(KHS!S0;BwVuvP~%rxf{7*e}mG(OxBxSLDP=>C&~J!*cWnJ#Byj3(8=30GAD%She}?VFUon(2U!;%hfIDdU zZOIioP;=u)7=3T^BK`6o`xsntTzmQrY0V3cL2@rpeAs+uS6(+dS0&=K3=5Sg z9*uam>(6a4WaP@IwPdH0l3+8w`&w1bF*&=IdVpvnGZ~%IPpWs;0xlSaG{P0l3=6Q` z5OS{UtlXk~zqh25`pQ#IOg0GnSMlOFyq~t1^p;5oQFHgOh{q8he%nUN{2}3ny(`?Z zCHriORJ03l6-ZX-@k=Mjr7@e_+igAAduYck5arTH)8=P*YX{P1dRR z3vQTrDKEs|O0b0yL(wQGZbVAzwqL!^^Fao@k|d4+6vvh$i-p2jB%^-~8gBRLb;099 z*E8z5KUtx1X#v8Ap>B2J(JVHj0}ZEH8|r`J65H} zTQcp?U)rq;wqZzQF=3cs?)pv^d1(fZY&4@tZ{1(eF~x+y#6L&38Z^ETj6a>j$vw=o z++5^9OsEg6p~GDN*!x>%Ie1>bUr;}yxhu+_8@ zGFO$OLpCaQ`x?Xd;E~>y#t>eoEUr~LYS$?4v7d~%CGc522-uDzD zP7Rdmm0|<;=Xnr{ZKKqt)~VWASR_4sM)p&nJqO}BU~8!`q~^m7`w@Du``ax?jc@cJ zqz)H@e@LQ#3P%JnM!%9tXjm*Uru_1rg5jO?lULb|9cB`kywbYSfdlVpY>;w@Fg z=?^ET)YYMf8%cLI?>6|Xa7ihrd+!KRc1#JN?HSqVeGL24o(+Y&$Rhu)uYWW&6n>&N zR_Pc+5&Fki*NHz=G37v{G05EvEZw1#L;N<3IE}Yu!mhUIEbXFt;d__iU$x$(Xa9G#7v8TZ~dpYtN;Z`=$f>3Kj=(O25r!El2CoMT1V%sJup9s#}sI5c+jC zhsxBZE0dW)XV{@>>sS{wRW<_RrUR0Wed_3yRVCCNO;%D$ebx%ZVF36?9~zr82cpCH z(`(&3YK)I#0d(>z_7ahWtDXuL`e5ag9Fo#kEHJSq|m^>iyO+XFPsUaESrJAZ zbx+#4nmFhO+B7n|cH`>#-_i8EbCDLzBCJ-sKL@S865L%$ChD5Z2Zo_QV5LJafNrhD z2<`#JrLRu@WvEwP0*4fVa1o9dQo;3+6_kaA__^fDFM?L93LaOoUM+`-bSjAiIFEn1 zcBFsJ_-#1Y9*M_jmn9VC8w_zI5R_HN*H-fGy1_sjH0a6MaCfPMJ&tf_G`qy32W(Qj zw*JotG^6)D+>_sc2ZY=oK>)QJRcfYYjZTmORjBF1kB*=314%P0IOTKBz5o7h1uVT#7cehsW-0MN;KLLVmLgv2Wm<( z4wT@rbRJr}33!eD-O5mcTg6Hf;-m{n|sE^%5CAB8Z|23G8Vzu#ED^=81J2`RU*sk^w90{iSM zxYk(d&%cD82s`6+mNpu^M;>=oQ-8aTSZqy~m{KE9$WbI!SR$pvTE*7clHw7 z`r1V*5Ue{g_cE!8xim0ZA(++E8@n>i9?#%-u95?QV zefhT#fUhj_irk@vhA!mFK!7%;PPpza!GDM%7Q(XE`XjWdkm#&zZMV|g;4LV0X9=Ua~Z3#pw&?K35mTJ>8tZW;+717+uvvO4%pU28gsn*E=DsEU1K~*|=^qzp(nspiR z7)6}NA0MZfw_ZjNC%v|5)IwkgG4$l6(_mrd&qXk}8U?`I@9ntGV)O>jkF!E)5fuYC zPm(x2X&#L$6ig)Eh~zKA=ID8osE$9sP|qjS>H&IA@VCd7 zli)Cjm`oVvsCWFyHn2so^tNQ$5orC~&TAOCC#iZcjzRDtU5Z{Wv+(nB4hhIca9Mz0 zo0qm9$mj|gp%xeE>nO=o;q}6-{7YL|ehzU)fHj{hr9Y$@?Og#)m^7;zh>!g6kW)Nob#a*8MrEL_bj13 zrtHX9W=ct#-2TQ2Om5B=-}zlR1AK&=NP4#d8&6tW4T!-4etBmau!ki;Qp4PcKyFm4 z(kB&rJzX_7xeL`AN>3RtSMUL z+*EaK{w+$9OIxvZwG52*dAOh4i{Zu)RyL{oec8;X=IOM%tVM(hfd%a!<$OOazyTCT zx{1s;PWp{|4-Ql${LZpl-daW>s&8hN`l(5jY;OcsB(#Fm{;V`}Xda{IHH4A8nX;IyvbpsY*6J}cW z(AJExwBfNl|26Q8G*ppDcSnDc|p=gTg z^isv;Zu>jwY5uo2V&oo4pU8wwCZ2cdLVR=tG{07xmp0$mLpFUJ4L=X)Y3yRp4AQ37?28%fFwuhaQdcX9CF+!q)M)YA$i#Fd7GJaq=*ed0wco)EY=W z!Tww0*To>yK`6%KkmD}rMeGIAUpRnf3;a&SY|rv({*UdTXcKQaI2Y zTjFoJMWm0N4A!Trg(7n?46b=9gUaR9UR>@<5{*e>2f0QuW~8^(jErJk3Z|jkkY$RS zr<``zYcyf+iU7b(+Qx>nqr-`Mn|l?jS%#;+xnuJxON@L)PjMrQVFirGMV!u2P6=bC z#lAF-!KaSz#!-nYFTAR+O&-CuS2mrF`yy}ok+b|0f54$vy_-#>pF{jOZbw|E=OE?x z?-B^+QVS371C547~ouzSJ5U5aEAWhbGZkW%^pKTg47`E z1!xs}OwC(D36m5QHp82(q%?cBGFOjpG5AO;7wM@A9Jf!SR|D9yzKt&2YCv5LlJT}8%zeYbReRzP2sJ!EAym(x?YKyeD4n+$D zb!F{Km2-2QEA7Hm8m=GGg@0gv_i)|tm`QG5#6xa(p5i#nk6`JZL{$cC6Mq8W`f?ow zrM(nu5I)w>vV>c0_|b+DZrFyqk?9RI3c$&K$dY#5jW>hd!W}~lVTQ$!?IU2#Fwg|C zcZvycOIv*oZEbp$5Qw|N82GO5U@+oua|<{Z>CDo;4m7veD7?%j2VD?VB0OIVeQP=x z-yzu7T)Nug%Q-U}X$}SWYP;o(bi;~K2nnDaf|BRodzdBJCOGqt3+$R@$xiImc7q8 z1nC=uSLvk5Xv~=@2D*&e$)q|Cl!3D;D^Wnz9bzo59tsTtz9Q5hT-dVb>xZG1UUT11 zQ13e;Z5%)4Gj&7YtVbQvwpSr)77843fS+(BOAVW8x>5h42hHB&lxuAF;dVf@%FZP) zc&*`Y2GH;Vd)b*qe|+%+vr46lM_p|lE#)5)Vgu&bvJ`v5vmAzar@5df&lMSUzAa`? z)0?@ydk@* zxx3=g5(ubo{;sXtXC3tr9_^r`7JXEpQ*k79#`b-3FIlmvTuhQ^s9kf3A(CF|*fD-5 zhv_>iN7x#Bq`Ijo3*G^!>Q$blQw%mwea2kIUxiSlHI&iyh zv}8p3ag~a;sa{x_EN(W}w!u+xh;M7JAkfkW36dJw7mNshd_>1quoIif*jFY|@~Bkl z(z9vt5&YAlCd%T??q%o~1*Uib*-=e`H)lsrs>BEJ0KH?B#oad;c#mn3=KEFce(C() z77~fpGKscAh-JenQd-(f*tvtT;PnbFc@#Mv^c%ALy9Y5gn%Xzk!&8As)9&-Ja5(Wb z2x4-<=)lz3D|RwDqT2K|#rh%)8F_Bzvjr%tX|?)8)7jU?a?n0>8V_4WHQVZZux)sS zTu=u);!hYGEqmMYNZ=m&E`Lukcd+LY7d!+z;m?Etu(1_PDDG(xD?s4>x9R{Bvhjj; zjap>r2)h4?z~UsB0;P8nwvI};ovkutch1}yX0_boY9m9-fy{#n{5tPVOL1_8u8rb5 z##NJY=m{28~?O7+gWpff#C?MCICBXgZ;&BO++f vRh0e3-e=F)O>kQN>9qc@Go Date: Sun, 26 Nov 2017 00:19:34 -0500 Subject: [PATCH 26/26] working ALU --- Lab 1 Writeup.pdf | Bin 230019 -> 257284 bytes alu.t.v | 66 +++++++++++++++++++++++----------------------- operations.v | 7 +++-- 3 files changed, 38 insertions(+), 35 deletions(-) diff --git a/Lab 1 Writeup.pdf b/Lab 1 Writeup.pdf index 11ebc8764a000963f9ec91f271e3a5c3c2ef07b0..b2031041057d6dd51edb8fedc89f08db2cfdb1ae 100644 GIT binary patch delta 149232 zcmZ^}1#lic%rF>cX3mqOVPHL;gDg8*2hO5eFwX8xIGFSq7vF;$de6 zv9Yj&^g+x@AT~}Avm}U>jgy!4v!n=OWdSj(e`2|Kcz6?x+#nIy*b-Jq!FkhI*}-ws zWCI|1fvq4qSnzOh@v!lj^0ISt^O$g(nVMU$v2$^-T9|TjahUUP3jN*P&9gQNC59kn1-F z4Xom)<9*1L`TsRDDnx|`qtZhFk^~@n68w=F5dIGb_9j*c0s^0-H~wz!3}WZ`AEwkj z9n3+@;>IqLPc;OydLZfuL-k!5ORWMpDw z)cD=V*eJfE2Q&-@ZN!BrlN?|U9*^d6T~gF*{3pl{LiwM6H%dwZUa2t4=9Sqb~fC-G0BMdl9s0MdGWZecHyZYk*yIB-B3mgxl z5si^hZ#NiNqX-LJ(l#V!8ag)wHUt}Y+O#+%&8H}pxgjW#IavOO8);S$2W#4|J#cIa z4z~Y6NqwR?|F_5n;`m>-rPx87tZBw>5MLqKxY8=zAjtlEPP##u)Z0Nvm#4W0g3~~8 zv2t@HQPqlr^CnrucK|uGgXcF`(=1eQdAwUX1ii z)8=Iz4|jc_dF|u(Tm2#KJT}QJ>rL@q)3KfC+~}hxyr4Gw)>qEENt&)D{!_-Sc7R>V zsEI;la?cZ$xyjV1-_2aYG|HONmxIOgOV8vYH^jP%TW!dYy@>kr`*UbL)%=m1K#dSt zs`%gM9Qvsf1({OG5CLfbs!i9L%jNX1wT@%d zgScsl#n?^ZNw-epO*`(A(DYuA=> zfCR?i@iEkPi1ii!w)fbQ$Dn}d0CPqVwaZAD9U9++gO$5_Y84ZziC?^eO0q38&;W* zo&^UdJy7Uk$&j|Nmt&+kc!3XOEYyicR-D&>B&TBOZoYS{>j_3vO>MFZ+#01>u3}eH z75GB|p=%+sTWcGb6cBA8;BJF4({o1eoZ?KkQFxG9ocrJW=Z$_T9K*uF| zkIep*gWE6iArlPzSA-$!K%*#T-lVpKf*%9^A^~B4Pw)*7GoGeK`1um&NqQq3Oz2^G0l6`y$U=# zVba_A_HD64r4a`ufhy`GbGSJa&hA04V2AePQ@6VzbxBFqtA38G)z8yQ%|rFzknmnr zA^^JuZ~;5lsA3b*-?*Kgv**L@Kn|3+BPx^gW8~&=j(Srj!eb@Mx2(cDHTT_y$+dbd z@m+_qmXV&$fkqFC`K8=k+Nn@t-A9CxJbC_GBb9^{D$%PxaZu7B|1v>Ky>@ekTu=jF z1j)B#<^{-Gx9E`=@{99%bNHu==j0<1_yIpKhc+Jb>r4IlB@v39fHk~I3e0NHwjB``5L^Ud7Zn%}s$Nggs)r}_Z1J-wN7Or|A=kbwJe&N0SDclj z2weZ_%bHyAeS`=Ko#!19m-<(Gi^<&r{;FR5518n!$oW+>J)BB1@?OjZd$$Ji(I|8q zblUuOG{+2sMHoC)Y8vvqiIOG4+z}wEY{7bP_|s&FkulSGs&K%PD~fXx)lr~~-Vl-V z(?zr1cQ`|i8D=YqOe71Q!7Pq{b#Q@-H8gWN~>V3cz|vcEiC*J663TD!F8fPr@?6$ z^i|q3cZb8Kg-VG|tx*u3V#!It_cXE^V+f0U%R!JTD68R=mYsSpAI+Mvc;$$Ln}dAG z#I`EVs5mM-*%CYqDVv z!17rzqag&uDqU8+$B3U0D^t|?E?U7@O?@A2qGg(Lb!GC8k=Sz3ZC18Q0g&1BMj$^@ z<1batkbi?#P@HT~c@z6)+izt>`1IpWgK&+nRxDM`3uzmkvRas(g zvrMp*(9ZmZzewmx(zl}peA8@dV3#@_KD!ic?uJCS!B3Dv<_f>x6AR|(AN#>|+^iQl zeh>mzb$L{U3@*Vx4>B?Dkh(ihl)x0YO8pAjeanyD8v0zOsQb~*E?LW(~oJnhSQ z_N~D9n4jkYNnbXAt8`lgdoF3oh}Uy|h^L3T@?BCm4(@2enK%+J%CR;w@qsmvv0yLy z;akHP6#0b4PV5Bl+!y7r+AxC=s)Gzp6Jm6m+Hb87Ka!*>Aa^{)tIbXIlPXz6pfsgM zR&sLkJ@{ZD0DjBcLR-0<^6%a>BBPD!s&G_^Bx=K6pCaRn=lZL#oq!ZPD-@Mfv0Wc+ z1)jGLDI63BgVvoCSDl7iv}Dv@H1q{9{SmOkb^M24aiSJX~na_C+HG2`})K6v62V46#cY6FZL#@%=DGA*lsy0HorS8Cu0Ws z=6-sdl^$I+wRrPsvVLp5UFRwHb?yzFpIJcZ#7JNsS`Uu9Y$3MNa^dT@HS*N)-H}Av zg5?msr7UyLv(H8YN?b1W<$PQ*hee1jGy`V)hCh@mE1D~nG+Yn?q zhs55OgEQzj^w7LU!s2M^c9(>8`8*k08qYYSRSM0`$mnb6sWu#p)GD?P;m!5^y+CDL zH)oP+`?ruZMm-DYKi4uY%!TAMV5^0f`~dbY)}AWt988YHwgst*q=`&&s{tp zBwdj68;*oy6{DADbf>f)7Z0i8EG-_(is+vak1EQ*t=^B21w3?R_dXud4B{o)FTHwD zq1{#mf$8N9ew?{oG%1xi;5&iw-7ai`-9=Kq; z@8!^o9kn!3Hu~-H+MWnQV{WR~eE&)&BXO6fI$f zh`Jyr$ev5d35OT2CFJl$`slgI_DPLK4|sH&?K^hh;>EH%<5TGzpqqE6 z_z(C6cvNiP{OZaDYpLk4w*K=9N~rgen5P7AA3EB43EqrvmvqzUcz8Yk-VT1iFxEXs z!-1pD2e5BrIt7eLGhJq%;61?gjRbyBs|9F2`w4T!Rg_?uhkdLWSH$&X=Y16jMQR55 zd&5(;T;Fgw3IgXvro9U*Z6vamn}NYh2;#J8J?HkMSy#7NQ6}ziWbjMOF_7~;=%FaP^q4Xez+M(CEL7>g+w_{;3Wl ztC5d6M*TFi|5@3Vdj`g7%M-NP)|1Yu_8EFM4edl$K%yo@qLq@8o?b2(?IW%OTCtG| z&PZ^DmTkIxnC}oLK%1Xk0{=_Y8a3sUEabSgO%{CMOJU)b!o3QPpmex2H34hJ;tfvF z?2oqp;&H8)7S}o+4lx!K_?0;Ku`kLYmF!?kPDh8K-p01j!Tt!4e-GDY6l~T*a~&I8 z%>|tSYyRP~+ySv5(W^NHw6%ZZi}hL+6|$6K-M=Z=R&zA+PVvJw&)qdDt|~`PV#T1^ zyk*a%y{vN@(eoIl+Z{&y5Jt0ot=!>^d1TI0+B}=ec^6LwC8$T$y85*Koo8=XMkL(E z6t=PME-p>CHBsFQrVm7XGyTW1l&H|7rDRYBNK6_J-aOo~*_GyQre++~Xhj<#HtJ*q zX8nE#mw2To;DZ~P-MSHc(_%V=4_sXpO2DXPQvxR^X=#r>Fn<=g%-{Kk*Mp;4Rebw) z^q(FX;3ce8d#)p^O4{K_)r2g%2Yp%oDS>v?J~tEG>Hh%F9ER6)FpI5Fe%q-Bfe8gP zk&68qiDmGX6pn`T=mu#LhT=UVWYD04Q7o}V7F{r5*!l&+;l+B1{hfNtU(Qs{wqW`R zTACSK?#GgNI{IAGCuQV`GVB#;hRXz`jJ!y_A!gBA_hK%4Oz}k6rp6MFN;E9vIEfE$ zkdjy73TQTDPiu_o8k)#(c&mZOxX^$l%w6QajbR%FidlJM2zfLc%R{q@@_aTJw16fL z2mKW-uMSpRjv(VtJyFkwXM+0c%ny3J;&S!cUP!eb2#c32)yM0>wyLWn1<3fez{m~K zuUZXfxig|Wqy#@dw|!Ulm)6Q65j|jx!6ye16<10t4(y_m?6fzOT>B&p3TRZ0;w(Iq zj7USfq8B>Y%fP;_!k&Hl+#Xo97)WJNPBBql> zS*79A@uKQ!F*DNCLZu`gkq?MK^SpEC!BQ))gF5ld6=)go51Q02ch zcS_+j$K^-BkvG3>>4Ojjqy`{4$*0I)3jSb9)dz8NmN2j_eD0Y9GO~1N^4Y(GAT-Yc z=zTAd-6F8jh_MeESiX3!XHd{nhM=Flx7+}_E}^%T z&Pd%KuW%#8HtNq!VJ zX3gqQUzb~>!r((Bf8cbFpwB7l;LT`wvs0#dREF+IEm0~2EVy(X-GQ8_b$|K8HQqks zY+y)yCl!ZcTU#pOu8?+r*j-Mv)c(f8gEUkxm=|~sAN?4#Y8X{nm zkRK8)O}6kdf)+*FbUM9KnY{?1B-%_Ajc@ZaDUzNS{$?BmSuD@aM$Y9?eXVX?pV7l> zTmwCyrdyu^*p~K2Z|ZP8w~AD!(2Jp+yA8qFH9g1wNR-X5zX$E?u{+&&%6A6PtvI3j z8#oJV2F9^?Ch%WC5qN|YmUz@r!~KJ6Ch=KFw82Cd>)t|hAW*u$VHH62wtWiz-4XNF zU5h5cDasPac$VxH;h>^`7T!(xy7=H>b0&}GB*Q^r=k(vgY87iNt0F+F9>-d(`YL2c z$4aAq{NE1wsad-@0uC+K)8q*+T<9Po8-_#?%Xw`@Wajb)y1~qEs1pi$AH zc$NNLL>K_*dkWwR5)(Lfm_)XlbnRN8J3I6uI_%kp1h z_G;m3L+%=W8o-dA@Y?3ph zC0JwFoVL?*qm1;6M2_%jGvg(y?A~<$VMcLgMs2_?{0>{cgYIT;JcTwdE*_o^ADrwq z9$J9=eZ9l1Q@sa4NHnl1F-jI0QclnQIY)1VG;j$YB((db-}n!_-#&SS5z(dhC-*&@ zb4ooX5thGsix8faeUNgrBG zfia}ic@cm~NZ$_j1s3G+P=rX=en68GPg6>? zneyMT2$P;tn!KtYtvV1P6iNkSPDk5?=@FoW#TReChCv<1cpwf&@pAu#9|A}TW&ufu z=ngxW`zN|yNoPnyGL21+#?`AM+oK+~JdEQn5=i-^g3w8x_MqYF`5Db_C}lS2Tqe-U z&GZ!PF4PdO$O@#j1d$3&0r^ySZphsk-=5Ki{D5rM(2qTL`hH}Y0$~xv5JHOrAJ?Ay zL(qarrly%E9x`O@N2(0_6QEg#^jDgpr?ZOd`s*dkuCs&wi>cwAm}$*Bm;|?8nry$I ziNF$&vDKpG&bE{rWzKB+(-o8w<-Mmc5#&&v$P(@*o5!nnU%}^Y|PwZXm!a!!R1+$!AioriN6r2^(T%l+o z9YW0BrlnSfqp0{uxB%l!^T>oBY764-exxqn2v2haQ}l$+n<=?-Iys3L=6( zfTweC-uSS5{y;v`wj^8`w+*-`WDfwFIE2r`9KHC;*E=o4+5r%#M98QVC2Ev?EtKMg zlSf!vMN@0WU6C~yK9F|sC7+roU^03J9Xs=kr}mQjQC-592FrTE9MDr3LFq?uA;08* z-&O&i7MdyL9+_rjb8lndoRRYE$VSK{O)T{&M40Px7jGu&MeeVrk)BL4B3P>0Qfsf{jk>~ofyt8Y4HeVPJ_|BcJR93j)hi?^)AQ){PV51CAkE#zFA z|NVg6zp6q#23=zg2}Y!mO)hq0WJz=A`ag#shZ_e{U+aKr4RnI;B^n`~81D0nE@}9~ z-UEMU!drND4Tq8O-0wOO{3{KNU z_~wI{8UcVIr|L4_bR#m4IFX$wigm$x<`F^5CNB$VNi{TyR_#K|g_CBQ84TY|IvYWdBH>qHOE|MPY<-C7zd`00OW{o@b+fm_q=xgL23d@U-E0PR7Rd-B zOOj`I#$9Q!Bp({Tg*Y&iqF~$Lj&6kg+BS8ptj)Q_8~sYY@PWUI9N+^lzHBsC`GogQ zQKO%n^s|A-MkfX8uj6k;TkQU zaxzd8&6#D5=-4_uyNBo5PTK*66?N5*_}I>o3GG+*ub5xyjX1Rkj&-aT7U7-mOmBdN z46B2+53@Q#{AmD8!0H%9?y3=B>*2je4Poxs;%~x*q%qNbC)ex3-{`4J^fI#LZM*{z zOac(R$j5A`L6;i>LxXoB1W&@K*=Oikm2a0a@aOhQU4niut?wl~>&VP&(NerW z62qvCs=y|PuESS%%XV2bMXr>_Uk-too3wYxP%#8uia2;P{5Hm_qbP5xK&l-rTc>PF z2!*TJ-(zqp->Ry^iXiI#kspzygQk*mL+%M3F%4JYI!$t&{C1x?s>aSwgMi(eYj<>U z$7&DUnFJJ(mD&WZ5%*z(HS(Lc!0Qbx+{V4~)zQ}?OyGFXvUc&+H}eC4IGKR&*A3_X zXnMi;Cba~YRD*dqjXEMNe2w%>?cP%WhWtbBWEPD%pO_&0mO{R7eKj|F%5*8(ayMQG zR{4QGw8Z%NCkP^WsQWqI5WtVId=Yci$h(JuI$i8QzND6&%jFNcJ8dMVGI^x#@56gX zIzE-rG=93M+0ZML4thfFV-@9Z^|WmA8K$E{DACutC((n|A^SQ9pB<20QMkres+p{iDy=MS|xn7AkCLdM4rDuuFsM z9xoqHD^D?x1wR+Bfs>89>;%jALiV0x$3_Vwv zGtav>JeZZ~gsmmZ&Oxnd!qzFQt@59idwyF>+aLZ(-?+9J!NrcLj{dho?;!8CwiVxQ z-(lZz-x=SPw}=;OrJSMD<2~A&=rTJ-~A%&2_*PcFu}rDmadXpqS~I)-dg5xOB_CjqaBmeKh}Ez z>b35dtF|Yn&cy0%!l$wJP++`TM(Ft0ZH^0LKW|BIIn9gignqr|$|Ao@EQ@qHUk}NS9-!U(NL8GvObeyn>c8e+2RY`*RtMI>Zq zfN8$W|Lx6sBK8E`FpfLJ?7?hiAS1AO=hO4l4&1aHu4YXNrvd4N!nZup#r@Ey-K|zfvUBS}4%QSgyg?}qAXpa^}*D$&U$q9FD z0FGB~(gj$?zng~wp;}QSDx@2^X=KEn&P-i|tX$#1!?q+uJ3zB=*hM&G%PH8dt;TcJ z#aQ~(#M@yX!RA47&@14^T@hSuEF=IEwavQ(kvS##TdYwCL8+JX5k9qdwgxS>*RvVH z+L-d17p0!Ge#xIjreVgqEPkKp zyN9hRe%mi{p9I-2Bi}F2-~cZ~ZTuyR=u4OkRKyp#;qpCBr*tB+>ZF1%ky^&ok1`y! z3Eac17~&>hiE5L8MDA_WN6b!tfcdL{BO3KrkbOeah@(?r)|ZQ4e|^%LWyl>dQF#?M zC_cz9l2C`kj>x_wSbX_e*lqq8nH5m)fG!lEv`wVvAGgKsl%hu=ylrsD{uH7|%{^?t z=Y9?QlvJ3=r>aB{p35=hi$s%`%r;sofvx^kLtTNh5PDvfcwP;XQx%g_4M0RL*e;}u z`IYb`rf`kQOPq?t1ANMXdBf*?l(SHTEJNbyXFOM+SA z_$^&#ABp+R5=3J-qxslUk`1)(-<-be8|cOmTT}ie#m1;}^OxoFGuL}1x2){Xpaz$} zPslj8c5+$sO!wPZRbB3p5^($d8L>kVDB?b{zjc3xeM?%H_9`~kDehYj*(&6^!hMW- zrR@A^zpeYk)tP+J!@N0U*yC#3HKc2qQ070a? zAA9!KO^>UuJi63GH!pl`FQb)`c7RP zq_3<{E@Qof__Bp8Kztr5=zv5LuxJdvlHXY&OmFhG1ma3ZHXg76BZTWpv_C=@LPY7Y z`Yrj_2RsM#R1@t2d|@BnZ8jA6`Y(*&8m*L4_}WP*W^x<5A{g1+z1FxQd2)aD>CJ~E zx99K%_6edho_R;Zht;r`bW7=~=#{k-$$f8VU+@Y4o#C0|GuNg)pm`Ja#MhZ)-<|#J znL4miW0=lEK?g=)h=m!+?UlLQBR@zPqFS`@!__Nj+N?1c2$7bM(k`%Nt#!Qj2xIR_ zIlBMCDW~|=b|c?h$E!Sgd_mi`&e>_Tam;#?Y;(0%?T`stzs>bxx?c05SI9T&`Hqyu zVQPzPfKvQ|;G5UOWgR+;)7%lO=}=5Ai{p%7(nU7gA0M3o-`VeLAKgLhA6HX-^%j~F zo_o_RHwVmGK=W^_tHh!>M&0*EOeLW|Ci}DH->N+imJb)Ibi0gqZ!!%$u8RKZHrko4 zy0^E_HkErl{62ek1@=TQhne;rzOVfw?us4W&w`JOFQh&m2WQfx z!1ZyWS$@ zp7D$MKubaQ{^zOCj?-Lx-diMVdqY%t|8{vwUx<@O3(c^qMqZoKws#5Kx{pnNEirFyX{4B>6p8_1_??=r{}x zzZ<8p>Yu_vD2g#v*8=?lX(amlT6D^MRA;*T)d5`2o4UJd8ZNtIz4onxHN0;cM%i0v z(`9AQD4WlI1Kfvv1097>tKF@0>whZB=-oP?elA)2LwXn7$VU2_k+>bBv7^@`OxQ=4 z10sdV3NDXxRf<(t8kl1amNptfM<2iHS1fM+q3S{C1{7Es)s7vH#W|)#A7Ql76O}y+ zH}kKYhIew7NZ#mEImg$wAq^^vlyvd36w@l9j0{Nr*8HHFz+ffUM8$&3HBE+;Rz2(G z-49<)C;Cm05;SnBCRUSb&rvlDmD}p94WyJ-%HZDlCKCGgml;ksrnOiB&79^EG?Lp~ zZwTZxdxc-;Sh`rxLS+}!bP;`#^4fHfaN)4(9aWnqummZUtNEplt7bP#LV=XE5`iV&C8Rv5n1zeU2 zC;(DwW?DUujY1etn`UwF2M+{WC-e3{Y72gqG4!t*K-xz@-#BMni;)>>9h%^Xi<1%b z?df5S8Y_fI7HS>t+P$g`iwU+$m5P-ntA!VoPpU5ndN5D(WPkL@at2uEr^>&V;Sdy8 z+n!Wjxi$6M^hfTZ#0`N6VzGH7if4m10bVJee~0kLDhpvjf{M)-NX4?bmaal(s zb#SRE#u!v0<3b(#iKE|n0Js-61DX<0_@{?Rr)||}LTj;>`VGR39EAe!4WfGT_>d*j zKn$5>N077@#Szbuz!5v|SK4K3_#(6yxSTJHWw_5LBYgeF{TjiEexdG|g3PyHJEI(g z0%vYKxTl?YDxE#JXOviPY2UEI?nPbQ><0aK<1=s&`rE_=4<0r+%+s)VyxK@3j-!70wV> zC_4;=6z$>U!I7PsG3#?vv-3HMSHu*@ZMTf!V#PW6_G7oi&&BdeGr;IAk1GER=IviA zZvmG%6CopFOjS%^hGRVK=(G)!@hTwJ!V7O1^FQGT~b2 zKB4m@5>iCw_gjho;E}^8EV&Rt+O7<(@}&e=X@%M@zU&Rrr73C-I$n3<7=H&# z!a?sBw{rAGx70>AOti0DF`pCkDdXW;qeB|kFSr8zF&waTdmcFbWl*-r zwJwA+(I-niT7R|9ElB`>Jc&x*E1=&X_JgZ2)Z|k3tmiR~h%-xlD7Pos_667xj@HKl z1S+LMXu||Jp+>;N^jrWN#n)I&r)l2w+G0MxRM5)~Y3wha%_LE2Kn)dqr%v!RdQ+4_}dkE(Y5MCYRmk(d=rdSH-3Yzn{Y@;(f#`C|Ol#=&UH zsEN6uIiY!IUCT^qwB{hKDUiHP7d2YQ_S=OnMz%=xcXMQ!2(|%c^PU1Ws(&9gm1w^^ z44eb6P5us34mM(&lscAIAanFxeg}*Td54d*lxTGV%4Q6B6+wOvvbvKixsV+55ob*j zGxZqnG9SCz42KyKyzmdEkH!?EAwVjZ`yj?;h{CO z{{5~B!Pl=>ehUGwdQ*ml^(gwXvR?D?Jx;t1@wqibvg1llyeY&)`9wVG_eL$8Jx+EN zar+q1Jx*4f&HGMve*hh(p$M9$a`M_=V^#eUE%M2bbbD;rDzTYkSq`T7O}b2&+VIqS zY}DlRlK6(OEiyI?IJHBglHtfE`{cF#RJi!Bnh6cc36ctuI3t3r*P1_z-hyo-Tw}qZ!{>=)Rzbxk%ulryW9|$+ff@^;a6Rxd^kN&~r;$ zvaCEKrrPx%TlrZl#Hn>8s>c5QM)HK(;y`jnrNUBRJ}>aY^{piA$M-zwl-n)4oj*x0 zzw(2h&N^UJIiMlM4er2Q()#a}RRS?9K&6HGwaA`JXh=cn_7wjzNf1IF9Sp-^tc_D5 zrQA4tYfv)ij=>}-Y-Z%p{t6mF!}$a?lm7<9wjzgdll{U%ti`~Vkobq8mcYZdX{^0US&IFH0~yA?9J-3_M^7{REFV z7JUNu;0qbBUNZi%%1~-Xw8zBhD1BSx09IWd_Zp(wSMy&yms(p9-Bcdn_w`MX$iJWo zqkB))x1^7EyFGsdaDMByYL>}SzJO-FSB;_7Q4J0Qsoh|xxeaXR>$CD_f;A|qnKD2jf$zXEG)B`7l{HQ>CY z^O1F#`O{t8%^4ngFa>#754%Aro!(^}D{RO@(2|VQlFklo5Y)6KxIqL`K2rNA+I)$*r3|uU%NAR#v;5Ud zqPxvWKn3d3G(N>uwz^j#7W|uYxayHjJ^|1gbz@(3vtJUmE8g;`!IpyJ;_LV1R(_(C zOinQ`sreuRhP)8peYxdBi)xVlC~y)1@#loLVUKGxZ4nac@)6o=k`^)knE)4zj?uw- zr+d1K;6*Tl9@3NpxhQPS*MWD_ci;&?G=n-27fuf2<$1=g!TH`us@O;Ep&!f~F455M zpXPDGAN~qit!+lTOd}k$5!BHx-3i*KIaoWS6jr<{=u1syoh{?RHB%!Je|nDw7w!W)|7bs9XstJi*x=U(5+_ z#?LtPpuUH^r@UKz8+Tw! zNKS%{PjVh1xDFJ!Pa7fIn~?_g=wh{%O!rxv3bh+B&!ZOCU62^1H&5YP!*YrMHp*Ex ziYO!63PO5w(S1Q5I zS7R3f?=W-M;yg|6wfnGGd~8t$8b`k}hPyJUKFp2D|2)Bq9<7rgo3{50y zpsTM@R9ShJ>o3{psZoJG10AqmrD}|#y#K@-^Y!s$*oH3{{0;0$lk;uvDFqOcj)s(@ zyxP%ns0yBVJdoH%#4nNC!*voE*2`s7B;t2nAgc3?%}|v)C0}!Xe!-v$>C;mkU7)F& zQ8MDoIv{B7ZrnXmnoZLt>!P}&-c^Al34Kx~Nryz09eDL+L%{>^k?j27D_6xCg7_0A zq{`WlY*&Ql{z3kL<*O5uug%}Pm1@BY#xX%QD4$YvNd5)?dsloavIEf@3(^ufItdA? z5(P~7_yScNlDYS!o2Qxk5~@MOy9RWL*4T{S8E9VfbNuE5vUq3Y3Uls!LsipD=Y-&g zX!#Mf3z)v==`%UdwBC=fxqZjx5`r=#`g@182W%LX0X|Fg*e(>ujWb%}8<6oDNK?g}B8r4ijq5m* zN9QIgmMoQkU~Fw41=v$I7@r;NQLyuc0PZiaNxStva4(5pB}G42kq|KIy#=$C}wHhF~o9ev&`i7XR+GN;QQZlo`MKLeyjm@&h@ z(H4#+6X#coD11vwHB#VQ2ZpVOPfc5MG?(IGpYXWmcPlkoXU{m=uB80MJA#NyA0nBT z`5)1*R#`~Fw5f=@|IM+OX;!FoguFCQXpgLjM!jBcqiNf)gPX9RM z;jXAFE;jjIAoW`8#7ySAvrq;LE0fTjs%7bPy%D$h{0LY@69>}wsYX3yv zG&8h$d$n{zRZD{S=y~3JRm$e0>~y@0?;>SP5(2|)c(6*0hLXoA8Z|2;``KokEgCXs z{jG|94SBquD7yCi;4n2DK8iqSajK1CL82U1!Pec zE_6&xK=#II_L@PZ zIvc4Mkc@jCyW0kwZ{h?0Qj!twI(Wu}zc|?ooy*b2EFk04q1HBBdKuW}96K416zWT( zcW%(F+qzlsszhd?E3#9*JJs3HYacLIQ!3fuxYU0boP7t%KOj7@6a!$M&*pz!+lb>} zc?#C;VIYq{BAerZOUBmO8sed-8F5uej~G^C&qTi&blLR!sd)Ai547gt@CFf$NK7N+ z68%W(xKN*tk6z4CLOF&%oj{e8(cul9hO%V~9-9-eYF%Vfz8CI2!oDq)Xf zoRJspDT6T39r&W(rh66`4#GqApDS?yR2eN9FQ) z+}eF<61bg8WvnMT(UuhkWi@OH`7{Y%mTq1Efay?~=CJd7NwopR?npl=^*FAzBRal% zSo^d{$YM{sm-FdYA1-|<&GJXL&%gEKY1HH-q(|Um1l*$1(b0^pAn=;9{-Rz|8vYD6M6r-WROs9dPL;Sj|MTHs zcH0FZTY*VHI0XIeCJxR7__7FJo6@-fdmWvPe<>1@N-qPNeip{f;T|2H zdIorgdrBdll6uBjnmis2A3wwP9iEo=;tsU`T9iSd>I^Qy7H&U~-I+NJH;Tt4+WELMsBRR~A^+h!{(|-!? zr}^kvu@S`s)JyUXN)^=Pmf#gV)!&VltL^cPZ5&(4zpoLPslgYHeh=4>)Bsfs@!PP| zM@oG)>~O)tJfcsWKm(Z%qwm(XO5Aw%>C($W^T~8yuB|0-S4;73*foeA_3_(643L2I zYW`Gb*&jE6JEnI5Q4 zkGtVPdJt6TNUis3#6J$z>EMKh*U+(luSk-{8yDYNQ$w=7dZjnP)mmBU%u+5lQlW8r zeRyl2ChDM!?lshqB{JuJC`In2rd~(U``RSy8@gCG^31t{W4XBtTBdRoJfqQwypz8k zrAPZ~$<@~cKJ;ocb6G-*T*l+#^2FDcN|3Wy#v88lYIAj!MY%e!Cs&sci?l_5uKdNO z5_HtENTxY6!ewS=LRU7-rO!S2=9_!`S!DPNY+rrP7yKJgHC8lCLZK0M*eSh;y8N9t zu9xk>xT?67VK0Tfp*yQP7o$>#Sq&*RrcRgAwFgjL8H%1MOcP-V3p-&jh8x1d4Msi6 zpEt~)u}63f>(R3@7`+iBA|lp*f@=DTk&_REY@+gdUECh4HxD;WG0iqDGjS8jp;eYc zD*>y?O0CNsmO~^9jAR8$aZa^i@88K|{H^l%mqdz97v(U&7A3OOj1XSs0#(aY37HGB zR?7-a%1dt+Oq9kj^a&VBBt(QO(UN-xy&AFlo=5iVSTbZuvn`L&Pa0l-={xuFBSdxI z((7+GkV;e4;$s`vRQJt~q(5!<&lu*v@vpZYuf8O9wL;`(1nP4fq(HSdX;L)lqVz^| ziSFVUY8mL5#6~Met0r1TJML3WkDaHQAA45yVWe4!3cV-E;c_^IW}BPYz4{_OMNx_& z9}E|~LnT&A_82L_9lZ_w$N7+eiPSN-EM31 z9+L)l95j-4JTz`k!~O5g{oH@~xkXoYeAbZN_pv_HHf{XJ%&mNXfMH^KVS0xj&yOne z|Mq@W?JUxZJVdsF5->U8ojJWL+q_o>RY({$7IGouzm z6gMa0M!jQxGt82J%UvDounFRDqzTf(;Sn-_U{7}Fz-|RyjJ@UhmZYV{ zX;(=wPf;;@>iYv?$yDYe4rb)W#?QO9U9QezEyd|!sO!iWAT=2s_HL^OFd5#9(I zvAk82SA*7Du;ni^Zpkf8s|{JBkT?WIVp=)6nI2D8>(Fl3Xs!WM!oqKohMPr$Oq_bp z*N2aMH)-;Jf~Ec&pM2uK@%YI3lg7_mG{On^C2kbA=nle;qxIC!E6)*wiFi6+Gu$4ju+@uHvkbCwG{OqAeCtoOTer zZG8@VTY%lYx#1b{FGX*iaV1^GaW^2i8&WZ2JmcexB`*;(mVz@@Y{MgSo&sztheV{% zjK40$`Q{bYiYfoij0wpSf4p6N79;fmc9PD2@sHR|@=4xq|5!2WDgJ)SGtwF821DRC z?-1@ZIU=1-ca}cWP+;g`?c(g3*tJKu!2=C5lMRvXWa3e$#CejlY;AHoy9ZlK;_h+| zP9EH&Wbhd4828xZ8Mc{m(-P-d=h~LUEp{&OL>o*+24Dk(@z5BP(zQies#QiFq}`!^ zGxVYd_jGQ{H1T4KYD*lcQ&VZ`0a6G_^x(dV3mPa_2Zed@Ae#u9PsO(Iph!ARjmEFdY)tV-{5;%K(d)+nu<2ma{{`$L0pJ^De#2Ay*zKH0Ty+jgTKIb3z-LL+&TYR%7d^&sD zS1VpUcl|SBB#x8EcbxQJ`20jlQZ(tkXjSLAC&w;a*>U;1xc-3#0I3B1G$j~_-8(AH zlx`ZQ8m}%hEo940$E7zFM@-j$Oj?yxLI%?!(|E1V^o#Bn{VxVJ*Ks{JFs(){agJ`V zN}*KhFsxGOlz5PJD0ShOKpAszT{xCd+a*b5S1?5lo$6JCO82c-{M&;AM2jXz>$T_{ zFhwZZaxQE9q=yrIIS2G@ku`C}?D&5%_1t z#kED7YHPuopJ&6x&J<YQ-TKosR`At*N1tg;34~rentp$Br$Kf)sVNmrpyOm(SjB zXz?CyWGdwWJQYFUcVUA|$h7iO7apHo%*n!>Ogu+nH1l7y__J*d&usXF{It4jLTsjV z;Lon)kiQEZN>=W_f9Ya>v?VKXNBtK0YnHu{Io=}9ySfIu#=0uhbJdCow&~JTb%pj( z=~1mBDN@a>Nh$WoIJG*=Voym)PKMYxJ8~)BZa0I<>QM}IdvvzuadwAn^h#6P4GS7Q z@x1UxVQu4)3V}{V9(uXCY35vUCI}u0(7DJoJ8$y&4b(-P8Nma8mB)pqDkEE#UktCL zp3NsK#*Uk}Y)IwnOZ-og_H%N2_Uih`TK_pR`EF0=p=}4QSmNIy9Vpp9=I$3WlMYpm z+f~+z^*2Y3E$lHRxo*8umouqr{|8$MTQ@fFjWk300C`a3EgMBA#^ElJp&x}d@V>Z8 zm>aho)=1mf3;O+kY>)me{d;gZ?w2^T!4hYVi(@H@X6BSwM|?N^;P4?4gQLewlj8ni zS!`LuRvXsDZYG=PX7d?C7=(k(6mGI{@xY|ISuPP9Z&I^dlMx7y3A5{1jGe1Z9%E1N zh{vC{_$ZGflh65hLv*H zNue5-^=~P5Aq5*z7sPKlv~ZU< zLLu2zDy0$_7ZcT}?OISN#hS&MEUlFTSx<+?p{E*~o~uK%*0sCK8hTVM%X?|z^~ZHSLDo*8An46D!>J+k4*3KCAzV`WlKvuWffUjKvlvqYmsx9dN_P-h!C$ zmyMDA9F5erL91g9m6x&eD9iYU8*p{uDT#FOMs#62I!l2nF%_O!|*k`(80Xox1- zGwQBJsVy{>-Vl%P8}h_aBIHV@<;l_D^MG7`vD2Ywbm6X;SX*p#EK}$_CU=A<-lKAJ zkIQY<$2lR=80N&n;b9IX#u6mAlf-IKM#9Z_v#Xs>NMv}EfBA#5B3=qK{fZeAlzB(*cjhdA zAoTHB*E-Pr?WEz-w2J-Y?vKxsiaq0Mo=TruS=euG--YXr`G2n*kxk5EB{!k&B*-!~ zUYyub<-;Ocb7og-)@$C=P>n>XR)xyoP%0Irm3pG5+Tel;QH#K`C|3?Wao}OEOg~l6 z+m%>TOUq3+Qf1{Ty^$wBDnJQC=Umo*Fp`=no?jzec;9rC{(1ChgF-sc@FE@hXIHwr zp%5ECj6<7)qhj#XULj9ZJPF*L(_VfexI43TkhVw<(q_p)>T(BZoIOabw%`-QG`*>{ zLs~BFlu#CMZ(RoK!3R7IkK#pe5w3w`abV(d>~14JTOyl=&clkUD{*HnEh(RWme)|) zxK84+hY-|E^Wmdnx5BZC9V$hBbCl`T5sJK%K;;noFMY{tP*N6B0L4v4=j+m--UXX- zMyxza-^b>D*?|RD0Vs^vo{3EN&IW2!h0_=npP`$tdt1lUx*ob7MwZOo`sRjWb{C(a zf55OnuhLRUm8)-K=u3MsJglpK3iX`~npJc)Td7>B+RR>3DlF7!Fr-T~T#~3tr`M-T zDh#T0{f+&J7aa+e_&z_6_; za=8@!jr=_Es-fvZFI@IJ7CbEb9Z1mC{L8_36?B0*PzBDS2Xd~ZkI(X zWG@RWu&-;b%9{}kX5=b=6Qbo;A^V~+m=O$$ zR9El?iPn{?G=w9-6Ssb;RnxgHDS?pSMgvWu~j;%6| z#2Gz;SJAOIJ0qpS8@c(l2f89*CUNKx8?$U@vrUkh0p`{eoe>yC7Lqn6N!M+A{QC}{ z_8-{%Hi`S_9Eo}G+sEJae?(7^$>h1C{uj<)^snFhHW~VVn*TTdY0{d+>?YbL{a-=Q z6X6ZG{(7*&5O3BP^Q3Uv%hW6UF4JA%T&uIA3PO}MxJOz%Dx1Sb@Xuz|hipXj%?@dK z>5anLradxfJVPF6lpP%>>U5eh+~il#t7@tFj&Uy zn|#pU(6F69*n2q6Pv(aqSsVyc}Wtv^^>coCz|| ztX8AZpt5@m&nikCiBl6R6Io({HN-ntyUl+&7`XP)m#xCH6V@ceLQF=7UVc>)d{ZJd zz1jW^ zB*WP7G1dpQGxal#51XbZR=DT0D(xbDm2s(QUgD$fC-f_gDrjq4&Hm@N*ue*ia9?2wo3w4ag)>G|)WRh%u z%W!%u#A6vK>ujqgS4=!zxaABXUdbS?i^nl$@m@YRbQFWqa@6RsY&*@&2zl0tN%A8; z`JGJYbP*9A70ILI)li_edxpKDAO7~kQ??E$8rIH#PrnJ{X8rus^MA~j4j6ZA@omV> zA)gdi&YWNO+*|%%R+EoR_bwgMsiI4N!8ljch}7)o$4q&B^n`cj7#2S^=dQk)nUk8e z+dE_W>5BWl#W|#--XD;k0`&FjC2B|Zfc&rE)HSqXwxjgyqcv}*>dJenW*A?Tt{9a%pl0zDDORBl_s~*DBymI*Mbfg!sgaeD zEK)Cf#iFhn>uO3P#GWI*B~dEeZ!L`?r=($(RGwkkz#g8MG{R%4`sP@M7kz_N$904+^sF! z9Q0Dk#am?ot10*;09$meHTXq-n zSR2_X0r8)%?ITx=O)HLX&uGE&CT#ZxGeR~oHO zr`8*cMqPNA#UlQ@;MEd zvRmhsygBk3P7@1%7#3W>Fbk5fOmq~*LoGtq`v39P#Y9_4y0vcoi%Fo(t2+9Ga-n!u&qNcACqst!?=cn$M52Dm82#O;1^ zzm|Gr#o)2D;cZoIwW7uDs)4*zHB39yFwioNjNubile7~IV=UA8OqJLi?zh|@ zHlJ51t28Tkjp`N4oBX)yBmS}K6T?}{H~fm~is5TZbMfEQ)kORYOr|xN!i)yJo|sH} zvn4D{i*uuYdM(q1X|zOPqG4)HSeOIUXb;qk>h%sC3)krwDmr77hUxV>9jMYGNCZle zL+8~|T@4xjibJzpb40^5HKbKRP;> z2zs|f#cT2Ei|FPxrVG=$7+D6Id3 zYFlI#+5O_5R6{cEdp?v_9elmZVh|H*t2ejqPP(bOtC>cnRnu*pFiHqlYq(0I@x(b> zH`9SJ$zm`KGcl3l5wT8$lLL35Do74LP(UT+L2 z(^XzH@jZ3?#+l zjq4e-2-D>EsA4U>YMS88C03_cs|$Paw*&p_o`EYomUn<=kWJ#ekE!+QlxV#zC0U=6g6H&z?3lJGJyJ^b zr708j6H?03tMv1e*F-*J+oF$nF?wsWebEP-9g9BQ?EQ$(nyI=(lK7~2Yije9*10^l zc@N&b`CwH^>R8o;)ET-3y5qV(^nav(nzLIQ2sfoAwvNhhhFgaxPf4c9v1x{U!!pA< zL%<-dGwd{6H86uAmPOUjt=>rMityN2B@`rSGGduFd4y>MxSfeL^e(R{$t%86ue*c}MhY`M|ZhdF^w^XU$?w%?i`Hm)+I3 z{r$Lt^Kk{mSzdg%@2qz_k#xpHDN8Dlr2KeaJdKY}h|P@c6gxG3dAy=+SYBkFt#@Q^ zTdAs4Uu-OmyxTTWb&r0$@!rULZAaoi(R~{AY4jIiKSccy{bk&x_&|Jrv_ncWriG_V z`9`nQ+gK!xl|GI8mH%ndnIa5aK_Mm<^->cNYtUK~-_sJ4)~hYkR%*HSK0ItgCUaAI zgrJwbp7_W$!sAIk=}Q=iws*@8ePLjlc&2$>_|N6C^M-%&%Z%VlyYgvJ?sTE|h7L2H zCQaZ$~hkh5xGm z>~m!0;X#W}oqX?2@fNx$aD~;P)Z5^cx0_l=;$u69b&eVkHXy1jtSsso`V3p6-(=ck z)2Z~)nu&A*nBqS3;Vl;znB0^XK3KC?POp<{~CYhNeL{OnFXiF`%e~Wf`;!>B^$`)j? zR;uW$OCR_|`;$JE{=^owR9+vCSH&d%@0@!l8N{~l{r`_J_kQ=>vwY{<&i9@B-I>Ch zta0mYR@RD%u+FQ0rh9d3P%qk!cA``094wSps}anP-Dea$KF;W~Vr#LbFbA+GHkRju z7Xv=VJYQDvF#+cb%>pA#%?TW(o^~I}AxY?8iFycOve2JRoIjgL@BP{usuT!3+D@kf z4eZuZNc1P1>F9zGi*4GI``I%mNB=AF@jXBPxBOk++g9Fx{nN+pSa&bJ(|zC=&c*W6 znAx)H;hgocm)?5k#czOQ%>gQXpg+@kC-^g&;mm$>z&y)rsB_l&u4h{1CC;V3PNv-u zk;2Y3z9ac33~xK$^M2&`$oZN3Q}0Jqf?WCe<=I5+=4TTb6e^iwbET`1sWZ=KW}D|Y z=lQOemzz6(%^&f9bbW!(TNIqdT8xSnB+Dq+5Qr9Q^weVHw^{v)a@>X$ThO+~w%Nwn zQug8(gk!=7!kEAj+O-I*;LQsx(gkb5Sr93j7ue1cK^B)x3Bqr)xHt+>HdMAJh^eh!*~7Dwu_H{K7`qCE}p?yzL>+<-+1j;ubh12 zD9~&^Xk#8oRu<6g{a|}O@?|m0*fv9(w9FV`*Bjze#3(9A!3tAqf7kGZ^Fp>T)!yix z>YHI-kUhh<*uKiU#22!6XNP~Fo<>etlO2H3Zp1oj!za4 z5j+Wh-|qn7atG;ZEtO6V>^5U_c0So&_Ll_6IhacEsw5=(pP(Z^gTtKs$cy{&Sia#Ivc=R*u z{I|EU1%G))-g{?w+sH{~v1$79dp13Vm%AT-9me@!3rx6d^!?G#6?NC)E`0x8GrJxq zYqkR+X0zcfzdCBa`vhxGEqM0>W zeKO8s%AF35<&pf56FbKoLC)>x5X;<$>7M1jsnY{=?^5>V2e!jlk9xenz=u%*x`1VW z1kTunwsMlW+C;NF&bE;tw~6MkHrTW$EKbG7O9C&#lA=iV9Ax9IIT)On$y>JIauBRU zt*xl8wk}Xl;(X9UqJ~){M{w^$4>_`LAGmH+&h(lkvyL5Of3U4@ePGV@_8-f0)~wz3 zWe3R6)uW5qPe6w9&}4KjxW;HSI4g{Qe&=<@*-l={_2yO>OPm!&4aRBCYmIZ9%Y_?^ zUB)lu|ID&f7FCp9RdiM9b*0-Yc2)?}3Z_kJu9#z-Q!sl{YeDOzs1Pm)Pg+y4x#DE$ znSwtTeO797yZEew%%0&gpF^M)Oi@uawO^aj5p*08>AEF2!{GB-<=KTklkCcWs`b~( zevjw48!PUhdyRXun{%hE*pCYHi(jxFvwmP5vvT>?W@`&rMQ?dUs(>gY__stM&y%f^ zKC*pqfn*S#){_WNYY}@NSTQ$wAW7HGQjji2(@b|AMDKL{&CG-9*k!DlnY!g}j|C6x z{@uBrH^2Rhn;(z-Zs#vQdGPUnTQ)uU^P4w3c|-PMe@*+!`rY5cP47K`@wNvxf4T0j z$2Rf0BhmeaTmS(vFey)z4%^o&$Gy}NU?G3m^o5|*6 z4H#K{2El2RO@1j@J1sCK;Uf~37Sb&ZcVJpzx9gmX>2>XN?RJg1I2Yr8^y`nIpz=AA z{jUOLPazI1%$mE%qo;<`J@fMOS_XWRwg!?&l8T#!w+MaT+!5{+#~t+sZnB5DuZEuvyA5fwQHOBNvqX-pumh16zYE#I2#F_9dhyM{-*3a91QPaCeC zv11Uf8_=KqD|w!Y-w0+4{BnM!#9Gb&VYtAv%h*Ag zvGb~f2FB<1*-J^Fd~O)db^|S-k@LCW9Zu#{}K!7HVI54^1j`ao|;Xcll37z?ByYvEufE?_PWUF{k=*9ubt?X ziJtZt)6dzk7B2_w?{Qdkx?nV?vxy#{)rGwt()dq%aqYvL^IQ-==@b1xpk#;8k=27w%((NDy^;Eilw;e(TSd{9gYmb8ib=tXls&+2a z9v27wV3n+fe8WSA4-8xj9L^cod_%8cvti7@k>B8DSk2Ioo~Xgeh85@`gpYt5%4Ap_ zZd@nJ%QdZ}#y3G{LS}ILu>Q;#EF)h6D^U@8#cA!D4bc zN}MKJ4z`=K(&m!BZbK6F>DIfO=Gao3Nf+mTOzZh@&Ex9^cI4ms+CTktU(u?odjHSx z4ei%$Y2-@oUwG5n8xHS!cBGW~aqOnX`yU(G!R+0zVbKr1JMyl+j{E_rsXaxD;q&Mqc!*V4Gc#y{5VRHR5u9h1khQMKPc> z+8bSUp4rNL`+V1I&nm+zX^GNiZ*wj2bQ`**cBR|i?P~W7;w*_bm~UiT4XyHxrWhMB zMC6!BcKbNN1|sJyrddUfVt=4oz(`O86eP^!Fpb`Scq&51Ze3{f#$Ye*HBVdh2MED*(FK!OJ@q{E11K zqFkxWSGZ<%x60(JlT1aqHCZ)(xmV}*s@qku(cPGHt^3-X72=JiRqj%{e@sM76T zpL0Zg%lV$?z3jL0PCHNMol?hCR}ojPlxNj(jmjMET4km3k@3^qQN?JpK;%Gzb>0QR zI#zM5=ylC#lsyIXQjib*GWdXzDQ6~ou3+XfLngjCtJyo>yFG7bo+01}C<%2_L7jZV)Bk<&yRi)=uxFWE#%l*|sB zWJaurjZ_SfER}5+u~?`&u!AYI{Y@{`KKJOjmwH-hJD(sKab!{r>6faI^6FL#&3$Q0 zkjxZ~|61H5fUUTH^O38f%{Q*T>gubnSnbT?N*?aJrtyi=xy@@5BPR&{&0}ZSJ%E2T zemA(0D|8k%O4mxWikBBg3O7mjN_P}L?)X{7i>z64XM5b$^DEwQ8*-Rs45QRw*|SPq zC9RTI8CRKBnb(Qyq;>K-<2uti^Ki*l#7p8O-z zePuf;?yr7Ke#-P{>0@R4N?tB;<&te|dtSpzvD9ypxoov0i!)Z{W|P3km+x)%ws>#y z?(!b<@>XxYH}3tw%jJ8&<7K?hG0Pz0MZgUpS4h2qIj^MJ46_+&geyN0wXfoOhB&5;_W zxn^?>Q=?#vi;-GvE&O2Ixtf{|;QJPkcY+fOsnI7f{P}WyyQmMs_;Rr3397h>)8k2l zZfz+DmQKklfpdGc zZK(5q@0ABzW=x;_-KDoYztXnbl#Fg#=WClvgzMKwG-DzS4&XPTUePRV5pio}bayk5NZSRTf6xizN8-(-; zHKSx-*R(cPamO9|_V0I;m*qYDkaAVz5hlD13$f8}ZyWjEf{JW1m)k*WPSNjCfBq24 zCi_0%Au_7NMG{-i1t-~^fpP~f79B1VcDRh7@HUtZs&)B2Zt7yV@ewz6FU+QDPh5~q;nZ}!gY-PvQ=TsFkF;|77e!L3TiArj=Ih2HV7^=#U^Xd0ngY|TyS7cM}gvN^?K zwwlQ^UXrH_J_cvXL1xjW1y7SFZ_yr2>6>t+B{YC?Q!$~z6x+P%?bVOAD8^x=~eij8`A1gAl#Y)*usYHrpB` zaIXR)IWPyuKG_Fnte<7_2uI2*2%s`Jz}1V zosuLYmVtPRKJ|t;3`{qDgv|@G#3F2{GGSAp7z_p>W@n^>IA?zl!UDzsP*75tATBh1 z@~?ztXS2N{Z6j^jp0lUh^jEci#)B_g!)2L_5ZLs!WjvWHN8?YF9T4R_GaCElX@9<_ z{7;8Qd$^L3J38a71I*ndddKAFj0^{WBX(v_P_a7kWNwnoTx+}0cCU@K5&4wz3j&HS zSBq|gKhG}?aJ)%!@Hvv#Zr~8d8zrMfv@6KLIt8DYW6TBT%`Z$A%Pj$aR3|iwS6F7T zbNQgKK%8%!X`O4k)_$XPiG97$E_T|7_?v~4c!)o2eb)Y;{6(qEXe&cy=2AxZF`OB8F}FK-!L5) zPcobKe|e1j+7j5Ke+TJT@ZbTf9a{^%bh9D&thZsM_50j^_r(V-Kd>G#9N~`$uUjQ+ z(AAL5I;1Rfwo->1ja%@&MzP9%J-0$wVZ6bz13w@?U_8JaH2vE6n&l1UB>T4Xrulcu zN3z|{^Q@N4z)Lip!D>}VHiOk_R>o5q6q&a&R#|xky&^G+e>|Dt6*D&bGxHgEh2}HJ zEp}|5XTH^cR47|RymV_&219Zn$S>kI(-*sD1}!RkD^u746P;(l(rVCJ;{)#xsT%hR+N3|oI1e(I2@A3>=Jh=@twZNF$U@CMsu<#+*dkY#A zx_zJ3fC~#6q@XXI)=0Pr9tYWwA^8JtVyWuMehgcGimmvL(FcG3Xr-^hzwfuB-^Jg0 z?_}fX$4nU>{d{iq)wLH#O(Xw;uU#?PMyyxC=wkNIz`ty~b)VITtptn5d<|vJ<Im9{&Vx*A(AaDb z+L@c|FW4FTL45VIR$Pc?=g8!i-KrXcLDf`$ZE7*Gi%erQbLHxNRaTfR(>$D`ZUWhtHyI*{-yfDA~!{O1`jNgV;I@ zi-dtE`41IHC^YZ1U}One)>t-M&RGnW6q0Ynco)JgD2~R+R+?2w6%ZAj*mxy>!RcxG zbW0hb-<|Xkc&8uH2cx2`#(s>iG`tGfrh+AJ2_Fi~9^oZ{`HP6ZCq67PN%0#ZvrLSD zYX@<;kwxOq1cF^29!DE7Y?Fp4qREMwXp%h{KyxJX%dimO2-v|tz?3|ya0=QNV{Q6X ze))|R)9P66uYlqeizm&0b=^cYq6Pg}*v-Ze`I$M0&a!y&RShJU2t0J&ap#N6!-uyl zSl#*g%lsABHC@5RzPrOerTYHuuf`&w)dLWW?nU=-MeG;IjKIyuH5uPwFwIT&SJRsv zCCs|`iGk74XAg{y4xEU$J+t_SAoO@jOzrALzg`kL{nW+9X3G_`2!s`vn%bd>97S=y2v-8VU7jdOsnQ`nhN zKa2FE!>){SFKxS(!Z~y-tnF=Lr@;2HB=~xLHkO8X3_G{ z|7TBCZ;}^)ivxoaFdi$h~gb-$Wk?7-zkXZAhno zn98)O%YB+=?R4x;TB@}lgga54honvnc(2KSNF0uxaBo1Tw${UhE{7oBiklxf+>`n43 z^9$=^tff8lQ%bjABAXG^2jywX_S0W*OwX``%C<^nU<0LXlFD6*a-(yc>jZaA--#}N zRg7y>QkSv`d!*g%rW^^On`wIuYtnC+-kp#%ZS;0ZtzN1nL*uhZk4@SS()%GwiG)4| z(IJhBDcU}bak}?jDns4WhNf|=yv(jPUcxnE?>edc6Ye&m<@$JOTT5)^bU3OIJ1w9* zNKk#y^k`B>UkgC4C$65Ma-PujzMJ-czJ8p?|F1S*<4dP*2_w3Fo3K+_ughRPP|+gV zUPUEzyajMz^Wx@hh6 zeA1RbEl+Fd-65#oKR#x7+=gnj>!)oeY+ppz4q~Au?6qbmqPlHP>b9ek_7WMly@a+a z^wEeF8PIL^+VNFS8#DEHD3g}0!Eq}X(KS0Vt~&{8r&7Az=+Nc<>*$r%c0#d8MlTcA z;_~s@b@?K)yp~#E4ePZ!XL|I1p1+PmrF2%8QcAP@mrKRvBd2XBv6dm~(S%^sm`?4a zZma&**p*cFdot|#&}F=c=-y1G=4h)#h+@=BDNEL^DAl)r%yU(j#hwfsnjV*Ee>XY=scvbK>NdGG7 z+J33VhpFZJTk8aYW>M`N9G44i6^!cEmf*C6T4-$zU8wt6aovM|C;F+aqzQT_N;$9; zjuYz!ktz~d5kKL7hIbXM-wk6`!7)WG1mRT`U3+e#Qlj}2mE$%4cSjhcK7dv?^1m`l z`d-yndbheH=YQkqVXQJHx{l`XN6Lse^9B4 zbfzwi$NEW0Qk~a-1MQ|ZG*nN4LrtYRBNkJaL_51uNp(pi8A%L8+GoalQju=bNn%J% zhM*CYM?2K^NHW^lQ=!gCL_;w(47Ea0xY->~MAWYS?odxOnNq`Dp+qR0f(FS{G@MjZ zU7;Qo?hUE&4mAp+^d=(hk#HoLj3<(6sHa^GLI3^XE;XuucNOhXQ~f;=bugOhg60t@ zjklB51dfGZ3}_z$WYT4+!AMUk8iCqj0QwU{l`17kd?1nt!SqszNGR0}w@8Cg|mH z8GFJJ7(^p?ln_geY=FshM`A-N%q_Peg$4sbmFVQxZsjM&$@DyF;C!o1;C@btDz8(1-@j z+oQ?eSZIh0L0b1j29v#^UO)}1v;&H%Xp(eHs`n=1-ErDmWm;AnwW%$MboR$WiN@v7 zj!11y<@6f0Y(X@fh!Y-7qO}&Z($kY_YXW%R9ZIYxGyZ#$4|C}RA&h{$QJI3O%a*E( zLMgR>L~UK5wsdqd zXD^t&u+=P^<<>4>MViutEu>?Z9LzdJxiF3oXkNTC5$f$4qT>+ZCv;jnqz=XVNy{*i zP{6Lgr=3b4$OH%!m1~fRC`dC@4|OIY5hA^RmFfy;))fNLiLWK92Q5>VAVa7%NJKCK z%#IN5BqHGyWSwJhAYIh0CleZQC{`b|$uM+q!wb@5ilMx2kLP z>hrtn)Tw>;UeB-?AHai~S%6xuA>#)85;SsG6F;~PXqv-RZv=EA*{p=`Rdl>z zJ7cVZGr`YOgi7$zAEbh1Oj_z?qaGz02!&=KPBW>XR7gtk9kKQe#8{nP2UH5R!BP|! z*np*7G%}w59!be|8NmRwl$Iq@r=JSV3N9&%pP+e1kDH;l5{fldUjqXl6w_=M8=9<3 ztV0fcU_gE5K2x}9LxT9&an5pZc#CVclGK*bBKZ>#a$7Rt^r0w2+{DLcrM~ zTy!$iFPBQgN*)SRZ*e+IYDY=C27bEe^nc@r2PBT_y|o~g!R8@LRey9*qF|_1fiwvyN<`6}sbHyB5Pf<|CB`H|$dAqk z+cb$ru=3^1<={mJ)oe><8X6zhcuReQcl`!`_cku@3ja}kBEnR>IY;3qbt9|%rSNoT zUHuAKeo^-cjkoRm+a0wg&XZFAVhSH8tTlZ)>swV;Ja%;}Kp(800S^@%n4Nl+p|A9| z5!Yw@*VOoMZSbpE_g3Gl3N1y1rUaYSBE_b>yYb+=;>0_phR;KBNcRzN9igIA-KmAX zw6nLIK|Vy36QB?z;YL1M$RQyzsVeYGm58e#pyVIz<_G<2ekvHs&Ckd^jlkp(Fw9o* zVh$AKIr%_2NylHgl9F_x{HY2wq^5DP@s6fTO!B4HV#?=WT+)U~e;K}^zpj3pb8M-t zS$^f3o9FC;*5|q(yDaW!&G?Ak$01@^ zK?OR^UsxAqwPdoiAs9Y>3T%aADwE5(zruUt<2WD)Wn*d>c#~ep7RVD6Or~&tuB5^x zcOVG7fGlBEr}DZ3MD1H6kQDg-`$ML3?M)jw(S4o$f$tslWD^-Laym~8-Eb80Hz6;r z%BT3wYvzzgnBQx(LA708dgPmrM{H2W2D;4Gu(tG~y_7S?uItg+&R^djAK*t3$4So0 zMG5ji`R0AMw;7hccYWgFSzscUU%tdKyTkE)f($TV8t3Q(zC0A*3PIcL$O@!`La#&m zuniKI0=(&vY5|U{m}UFy`aI9@RozYHND$H^G5uM7wMzg<(jnp3kv00a{-*;}G{H}z zAHq-s+EMnueuxn#5KU@9Y1v0Wd*S$Y`IgT;ZV6?;A}$chh_r~~L0Z+G>lX6M?A&uK zR&t18%{&?a@BaVLT2PMfmvqNpVaA?^dPtWxK#%|KJH&=~V3%k>O1SEGfF5>*k4A9( zxt%n+B^rvE7_6lqeAo{eBEmz7UnD)U-03^rXljTEX!5l<8#PmvBBpY1<*s+<~L ztBtjvB+tGeRx0-0oV?%d&lUgne&5UXH$q~RpMzJ7_;aqFE0-~oBh{)+Z^Do5k>VtMhn2iYlip}c;@>qs53 z#}wi|-ORB@28j3ezrIQu>WqS5D_^r}UE;IZ8~_(;5o!l=D$Q^{-SSpa!c45cRy z=$-XvwjK4pZu#IiWjK<*97i)`cti9Rx*D{2;qLsk_vWsJU+ja||1s)|l%_T;q!lHw z@jB6S%2@(Atje*7YfXK!^Nok%&f`x}9jHiBvslzWfsMH48B)IwbT7J?1#|2noVMn7 z`O{CkKR!fufff><1o$B$_Pnmwyty9|zztG+CdO$xA{JsDE2nYCf#pnI6nMCw8FsHV zS^$V6;!B+xg@FrHUe=oizgnwA|2iwj2lk$L3*5PLxMeWQF~y)*M!1jPA?SB}{OcN9 zpWjK7nWxg3Ewg%)18Zh(Yoav7F1wwpfi>9M^%!1(SFA6)G_}CB_15*T3&u&OUsyc;Ngf%{om!H1uh@dZL>^TPvm*vdKI>ypA2>z$13g*frBL z`*d<(|7gVE{vg`9@?_v^s;)=8LAtSz;H~AT)3OZB=;nRtxKT82)fpL5sw1ugS@St+>GH_&QMiuKv!p)3m()Z!U&*)2+2iM&!g z$ZHJO#cV`8frKclXq2>%xf5N6w%O&`w9DZkJmzig*Ct-2wBwewR6cP5=9j~(YY#1l zC@gSSIWR|kdVTL_uG&u2Ro8I7_=q3fg3V&WS zKfF_7rG5EKn2+nXNk35YW_$-)bz%O3OJgnK;@V3@9MGpV4SuCP^0y2av4`9Dye2!jNJ?^vgqf*xm_)}!zJ&x!WpuuT8k9^w{Pq-JQ{Rx;$7T9WUU0CoFBX@b(VW}fs_@{MG{B_I#5>A__6Y~zSlR#WA#=24u%4+1kq?z ziSrVNqFFod{W!${5j^}E7X_l%y1?)XwDy5`+ekZ_vnS{aXfpco#f{^yzk3lQPvSSB z&NmLR@^_s5%=b_m*hC)`@X-a$J!%1BFPI10l{Ensea0rB3GsGFZ2emn7*tm-0kH}b zNinEUiBJ)=zQKrxL?0mA-+Cbec%cBJj%`z%SJM9RH{EW)xARXeEMpUYR1Nxe_(ao1 z_u)(a%SHDGjM-OpQsbZRLw80E)y6q8fCnw*7o81v@?0j{=VW$+50>9 zQ|yS+>&O$B>w?j&gRCO4IRdb^l;CR}E2j>HTP}@UdS6iUK5$-htM6jP1h3XS3hef# ze(^0F zC-6GUs5A5h$1&$kS_FN(O~q;ZXT(sAg>o+sU$P2t!Iubc{c)b<%(?gj`k*tIo32~l zqU#_x0h{@7e0S?~-nVa9ZP-Pe!W``z;N*}D4DAg3;^SvJxzsVilkVg#o=oL+Ftyv# zeReha_=L8&Kx=>6L5CI|9=L?fZ$k@NL>5eKxMSn9I`aiGfm-qv`=0lS8*CRv1YqUcZqV^GLco2Pwc)x8^ zeE+u`Wg+8+M^61XEw1Hp0;QPFenhl-K>KfPr>z%YVDFAhnQr(+Q84b%wKa6Iixj6?V z$|k9G4f}q(mU>vt<9hH+y#p6wm-Lv+bGxPFGkNHkdn(N+fsFmVA-^Udp$aCY2>}2g zNA>)L_<4qs;<$%(_6(4Tf+YjgX4#Ll?wmp;;tCRH3P@+Dj@6D0j=fFwiI-5VzyD2N zAH_3{t}|^)_x>04k9%Kz4}T9OOi)sV=ifJz1jj}u<S#)Ns?`M7M9_bB zl3eW5UT-5W z^_PVgw&EET()kQa*~VhB0uQBnB`2leA~~7NG_hG43qJm*(#@^rCmWw+{*mm9%We7_ z^w;WZ_NU}$^(VXg&2wPsGofpwYqV?VYkmdylkcH7OR)sN(B*x;vS*k{4egcTV{IM!be+5iRnBZE5~9 z&5C4o*mKWyZtb+B)gSq{g)OG#h0C#`SW0N5v3eb=HSXVR-rg(&+B1SzUHFl|`|mf` zDFA@nU$|sMt8F+v^aEE2`y%;@nzNJq!DaYpb1X^1+UR z)5Tmu%7LYFG1R#GXXF9ZUzX;<&7;kk!q#R*CrCvn&^8u!R{Qx!5%VYX#B48Y>(u+A@UwucgLuj`@g-6C z@Vv3DCvnng%VdyK@A7tqJy{$ObZS7T4a&Twgt7GD{<{6}ety}|+z2dnMn772ReO8C zDxV|lKCYijuO>HcF6l1nLS4zibuR|z+ug6)ifO#g@7s*7_`F&=trF4jM%O?XsIKl* zqj|Z{CoeXhzZ8kDo&$QeACBwjr=4zl;q%}0czWEse)}*U!bV)_?Ey${c~{|gefz<| zICOk#pZ3{(fx)*3X1H>^8E#81DL5~yx%dd)z|pF(o>P@@HNMC76l}14l5jPy$J3)yTS?KW^O{jLck_UXI=ZB)D74ZloS6GhHFuA9pv*OT-8xO->`7|Q!>hl1C*vdT<$ZP*ZH$)f2KYRnFnTc%k)@E0}=?-+H%o= zL+Fja!YG0&2NWvVd)Do7KB5#~bTvTe`wX5i@%(777aJhU1hF!J zZv>TIL9~Nk_Hkd)wL@<9usnTx=<#ubDC*nXl6%773y7XlkM51GL9X)SYQZwidz|nS zOM!FB`43K~@$GiH7`^Awp4Q{h`HEa4!smmB=ZCZb0L{`3cLn+e#y!I2NS`Z06fta% zwKC%2h~Jvc^9>h~3`Q{&g2))uJ%&ew&5*_;#XL0XDAbon2rn@HirPJbM=pyXec1Gd zWLc;wu6THM4>RHyVO=ImtSJXLu`oLV7lFVUUQ z$TKoeAS9DxxYC&3-M3vrb3}U2WQT-q34(Bk40;dshDwq|@{9Ervp9Tuh5ZJv*T>#3 z+}9G67KV~Z0aK|zZ2 zUx^HAnG)O=A#SA5!!k$AGSAd9*I`a-w>0vuz~zL%og|y9G`!8?yKI3ZOKOn?JXZo!|TSfMnzcNPI=bF%TgWtQNo9BPwr9C0AYHpVv2HYrJ(x^T6CyWnqO_B9QmdrW%FdQ5xFyH<`b0a+klI9XU-U{{zw!+30Ve0g_y zhkuuS2lgJARnRq~e8>L6%`3Y~npu$i!>tWbd(P<0;Q?&5pRJyJ8LcUicOYv}*#sN5 z_PhdG{s8yv*8`3x-ehW~HG0;p=aTLN@ZB@Eu`YRO^bGZZ$}_TKVng4qu6b$o4EKS~ zGrD8)q4xa@^nvg-#Cr_BMt(`}D&Zx;dl0_1@671|{57tzwt8vt4BI8Zd-UU9r_pA8 z{u$&0@oVVU+>a4|{qEW28U9)J8Q5!}mvB@~{F%B-*!!T5S+M~(%d~0%R94_2u!Y)= z%i0I;hH4v-{Nzb(5x^o4GnKD;jksIcOOX3&$t~s9>bId(Hg-n5xFpH4ci(l*6x2H`9{=g z=g-t!X#WPU589f!ZiRXkbHw8V`q&J`?oiC>_h)=fDK^HDX9hf__=z6U-UWcvo3aIX z&aJnTY`e0agO>%4#9zU+Mb=zPEG3C8#K+KTVOdAq9@4(9*d z8NF@YeO|lvx^Uxj<;mvGn#7$vj5>50`dS9)U4KCMlY-LIbm|Ly`gnVyqoUpYn>uY1b>-4SP*MJ>&IPq=sQS2M$26<`zRg-^4&g1%oMpy1$2>ccq}ec}m^k0YjXQwr zP)|CrA>0*LBlOn#rP;{-TV|>ctV3){tiYSk_zK=(oZ>b}qS95O#A`c3RoHUWzUACw zeXF5I*}0Wh|1TgHU4T~_!(8dZ?Ep{Wmoq^K+N3;%p;)3Iq`4du!(AC6jTrYPOeP1d z8dZU%lyr2fdiVep+Y>)a7D!;D@Wo_p>(*zKmB7SN8erMr>crAGU*E`gp0A`uOHoNT zN{M4L*+Eq$v@m4NCZ>Ztq=n_I=HKB`?(eDeFHdr{lLZpg;2h@N-5uu3_BRwxhs!Q1 z`93PD1{q_LUq-Fe6L;sD^N^{r2t<--(TzO33$GxWzRlR@cI%TMk!Y{El!9W)$)I{?fd1H>ay0MEHYBJnlozW1?fjcs`tW{+;A(% z%Z*%&Dn3@MbcPU^F|eM6uRStQZbX$cFQ!0vCBYKW`l59dJyeorL8C&b03ivSzZw`! zeId62<@bRLRN2-J7#GL9UuIM_`k(V#qUHiIIY`+e3I0&+pC<=ykoX@(WKJ1dugc0k ziV5aTEihElHZzR^1*J1I5}*jWOQwP_J0l_SNb$52Q^sKv^*NNxe{)pG;gYoT!hbOdAsI#%u%WuO|1Bht!b(tMvAWmp zM3qJJ?9r9MQ>ihihB({5OL>&y8W!H7#+-O61G5vX0H!7<2-VmP%E-Kwv& z_+rKUnfa3d{rq)b)%LTr@GbbLP*tK*h`CZ|w&K5sQq9-T{Xxq5SE%<*!D+6pCYTi> z8_~GEgeQuneKEldGpvi0?MPr+&6(IbQiZJfLRyP)6`580(Sapf3XIf)6mY8#_FZ)Q zkeyoxyxvuM*^rVqO(!%Xw^PzeV4gF-Nk9y;xkr~$QVJL(hvkgcbJ{b5C9hG((d7d8nNdsw z7rfBtg~=N_&OW4zKu-J(*(Ok>pPtBt(6;dQI&CL+a%RIGWCxe=jcUEmJB?31+utW7 zJzYjSKq3C$bQ4)%zF8W9X4%;7S?=UiWy~L)Y+D!-{qutZfwrM`Y+#7^&Vj3oa@mM> zemYnZmD4v9Ynxz5zc8BRe&4^sVrBRKtib9hEvMXW3V#fak8uC>*6B7orrpP`s=4|R z+O9Nk1D=Trft^MtamFo5;93Cbxp)ppmw^@FU<@GOmitggV^81z? zsiSd*@4h=2(uhe!EC9jUw;$Py#Bb2slThJbJlFtmsBi|aIbv~M$1myFDiM$J*!xd< z6zNU%{&aI%#^$5_Cu;U{MB=oLUsAcXb+ho_t3)J9YVSYkQ4GaN9R5%I@{u{IV)K>k z!D5L+a0K_DV4?^d|JU~*i9`_qj$q*8e2#m>{|RiA*WR%Be}azlJRBR1^X%6bj-$JG zMHH-V?-u*N9tNJ?@ykLnsC&|csZKp6?_!hC_(Ke18PzVJF zmC=mqt`+;AfZLVZSA#=kum|7}?m-cXOV|g*5dV*djzV<&@+2HsvH3`xU!rKwLL^S? z_$B#U=0BtUe)DyDo6Nictg$>mOJ}yWrLZNEx}~?teUrNZL0|Mf7vIkx@=$N&Es9&G zxz*j_1OyCGDgVY!pq%sD zWW5y=n@eq|SgDB9 z%NtKRBH@03!%#cFS-ki1-hg!-ZTecQtW@J2QLjkBt&m>3mAi!M6e7kEXUG(L3?m}( z?D^#T-W?10i<{R!1;t!$N_;E$$S>0WTSJw6@g;Zt}rctK%Wic<^r6P@K+%U{=QTp;7)^VaOZzB3^ ziPL$0g*c`$eQ$SVliM3wpiu%BM|?HXKAujUN#j8$kg~bCJM3VM>4B5~IgGk90)-Zo z9%1g6UK@GGAHq!H(UGadQ?=c5%9FyoF;?{gn|rOpn?xcskJ^XO9!xy%9iA;dxq83X zI$J$kAaV$}JQ3#Xnz{mCpO!jlN$654@zfVflFR+)VCPs^Rb>_9RnrH{YRzS^{Kbl= z&40qpD!`dr)5bRG!+%Z<7in-PVE{~mS`@&|Wb&1xU}#V;DPmYMmHEJtU;)t_7bX=z z<}OSwUfhU?TeR-DLV#SSCNPj9nCrudN^5DXQer13DpA?qZpls9tZrlzQe{raP*fHu z;x<1X`sVXDvwOUm^a4z1jeS#12aay27g;^jSzr6}rjFljZZM0}tW$e^WN)f1Y+5y! zc4R*HyPQZ|Ikgb`)#|03Wzis^33n+FntxK3S&@|%9I(OH4@7Z+)LDqVI7j)|k! zHcfDAf1M%g$Ikkc*t8Fb@sJN+R895-6v#Av4s)1K#Fft~f=6(I&7+4XK7Y61^kEKu z+r414;6~lK@1LW@15(v?^iru?n4Y?R0Xzhkk^2xjuv;$1nBb5RA$PO3CnFue!`1ni z_8@LJl`qR2U(3`CUyJNvBeiOWgV z`4KvsJRywUf^WG#W-(kMbRB$7FZzMQ9$XLkWw?Js%{`k&&E<3Z+^8z!P__BRx^RD1 z5l^DntW%CVgKRM{i1X8L>~PkBDtIpk!k??%xwJviLWQ!6YRpbff-a>4UH+;N$}KuS zJng36JC)8-?*8_5^z8s>-%yNrRE|nV_5$Y+cG5H6U&8<56>F0$JT2~39j_kQjoF-) z>z_Nx>lYqE`y{aK^Ah&FWw>XC2{`(&QehA5-14p^B+!uA?up3BIZyBq-Q8FJBg>S! zR61*4D!J{yy5)vLuFQs!-*?37wTgXZdx-$m|4~-f(kl|8$7gwP$SGs7^_?@rTz4PrhfYiPE?hI`~s- z&uFkj4rxn@Ac7_q)f;G!&V%fpwT7|mckbGKY7ayD^gX(#lL6;7I7i6YCWaeKZFuf&S#A1H zTa)B^*_-|CxMBa;& zFt(84r`YaTb*%9YDahOt`J8O>6zPok6pFf*{|w!qNJOFVGr#p3bY~~1l`Snp;?O;0 zrqDIX4?#HKH_SRYFTr^XpJ@PZ=!+aE|A{#t5By}P{P6=4=FlX0;vN-K`kFKzi4BVg zkk`co_ct`t6xWep4UG>M6Ym+Eeh%86QX4^9u{kj?N_@(r4ZeC%Ub?T4yD(Y9jx9uIe8VVvq-!AUM7s-nD`qOFD zdkv}(h1CJlvE$%|#Me8`A2_*fb-~Z^0dCCGifooDt`_6@Rcd3s0eJ>dhu+~%)D;-D zUs(Q4cXBM|!QKIBwmGC{G&Om2cqjf6P9j~Zgl`dbSMxJYv*3M3FEtQcLw+GVQh)as z7_BluphpsGIEpS-^-Rm&t=s@|z?r;=>3aXx(UMD$}Db6cSW(_7s?>o>3E4xt;^ zyAR~bmd)JJD}@7gh5$7QBL0X#>ubEDl3-Bm=rF1=RckB_xohnG9>@)pJB0cls~oC- zS;ErC=3KFIg`-D~9@y)?n}5G<7f?K6@PtQzOr^90;`=x{wCvrnUe+;men|&%AG_EG zNqoso$xZ2@DNDJeUbLFiWp8Vg)gHbW`b4vxN8+1s$MQGdHM(YM6-kA-1fG2=llq&+ zvJ&q(Dd)nM+823QUP+>5iq_^=$bq>?PliC-T(+hJoW3yQcBK@DeNOY>=@#k_Xi_Br z7iFY?%REf z88c%PLRh6SUX&xTr5)(SVMhzV9%Yo#@?H0je*iLhl{wQyb&ms;!X!$~BZ_%Xx&-rr zOixKB9RE(6Yytj8lcxZpxMr|oIjRZQ4?xsLJV2Y5fi5A|fn%ZC9#f_V^F@I4leS>k z38OSfIQ5S1ggaA1A5oGtnoy7SLIH(D73IRfcsh``g_s}< z8Fsn2y5+TO((wCDECduKO!~VDH{BPD!@YH|e`=TgXHX!X0zDYb`3+xE~DPU(zXvYdn z82{G!3ZkUA(I7tYrz`0bc+b4G$D8PL=Ri2z=E(^D|2~9&P<)o!V0H<9M};`gA*m># zZ6LuwV1kv-QT~2x+cnyLU3g!Dq8oslS3~9 zA0G^(!LxATf#5Tcn%*k%J*(y|uN~HGCKm9^%n^f!X!L$Xr%ZiPcor{O8QTz*Ld4hL z!l<}2(Z}YiBS<$HO>N^5=0BoN#;EcuKT@$s;}K@odF(Lm6d_c}CykRUHz`X(q%UFn zraH}ZkcgU2Lye`wK-BH<R+t-Uy$cwR}n{cT>|b3Z;T#^<*vg~{GiStfyK zuPj#4Dk^lo=pAnToNgH8UdUr?yr(JyXM!mVf74R!>$UuNC0A@MwM1 zT4ZJC|%dO}n;|3et^5M?v z^c1|VAI-u-`q;cnA`6YfY8`zUeOfsv2gV8orBlXqJNe+Ot0E0I*Z&HmRI*-F0uRZD zsv7G}QdN^VtQ(?9HblXxQ@^9>&|cs)NJtP0bd&b6o_r`u>pz*XH!f@9cg0F z&Fx}YE!$6i4{~SzV$r%8wJg#W5{r4=^K7(}tUgv{`q%qewCb=%t-b3|Mda%&)^F@J zb^Ol+OL@wemPu8HAs)%p5Pn5R^Z+dmQ>O9YgyeRz$l;24D<*+tk35#dQ!HO^9 zw`i}=K%d;tY>E$mS<+owsSEmD+g@4H?8Z_O%w75FH=vgzdmY`sv7+Fe!shf!D)6RC zd3(Jp=FCE&0|-GH6va zwdRya00*|Q2k0CchE8a{I0@AI5UsLFypEOH^!UlY{3BNVrE+p-irqc}zY&{KZ~oSv zc-Qy}7Q0`;SS5Ed64!>75~&H{66x8oB~87l+8kAkf>acb9-Z7G^e|w^RoXXVUR%vE zaP*TZyckvYI6v!rW59RS5VtnxE1Ucv7%8y10ho*FKN2rdE_jRWBTXd&L&)aao7Qp`BAr$B+~;+GVEWjcxUg`x)X@H26+O^Gh-JKg(YcS3r+$Fx0@tkv3uu^WCf~$Bi z_tc1rJuzK1QWV>ad3lUY8ebXzR`dY8=#&Qk_-@g%+L>NPH&l-XMw)|;*wxvk9VEzq5fVi={b2s?#*wSoC74S&3S=^?dw<&0G*mIOrraavMcPRQUi85(rG2CxBlex-c zH>$RSuvpbqiyFPgrFz_fr*3QDP-4t1ED$9h_VIu_$qN&2&=wZKj6R#oIqlPSZrx3( zC||`^1NE%fQl-*Tkl2cTM!P_jem1JUqJ9m#dE?`cQT)F9klxuQ6*+3hw0?I^^~-J} zM8{&wq=<~Uuf8QiEmJLQ0480m2vk-aka( zyLQZmrP#KPj|)vAov@Z)X_zO2!MXKd?IgF^5uG!!+WqZM3XLs{KcMv&O?~ys@#ekF zo>X7cFVy|tg5HuY;QGw2Y9Ex>$%F8>v?^VwJykZ!JlAT{Sx5gmPhxEtuVm4vPRzQ) zA=Lcchx}~?mzI1l^Ux%6lm3-D<{)?l1N}ochTrzPQuthBnc65H%2hR)loK2fu)+rm|WD-@q=q@&;iJe-?s=U3fzNq)-|d2 zv15=YLZ-)R=NT&Mw>gu^M_KFRvP9O##f83z!csRQvq9n>MSewlh4~x^CGY2arB4oa zmam)?!>DM@y|$7A&WdH9jpX1a^FpKhiOB+F;P{1Z_{brYq=(f>xZ4TexQbY_^E-A6 zvRAJ;o;ot%c-Q^XcXx&#E6A~-EEEKSAk9jk(?~d%W9=n(rV7H}gK6{zEnZtj*OS4E zT8klVq1X01xwk_DGDGc1+EdLHe&(;|w%}q)C3UQHglq@_*$P>zy6QjZ(Y!5lM~=Ze zc04d<{W*EVXZ%Z3pX|xe$K~wgi;wq5-dIN2Q^V+#^T~VV}zg#D>36K zV2r$b3R;l$7^eLY)P-WJ11Er_Qi%L2NPeUmS$%6X49~l6yq+A{>>28ukr`90a^9dw zh!e&bDAPAUFg|}ch9NKH=X{>)W>&N%)!2=!eH?wJae$MbQuEPPMX0-Wx^$a{y2l&V z>w8NU-V?d3(AXqs4sR9nYQ|J7H(0JxvE2tPM7wmo0>Nl?gU5s+I)owbIreaxhUgda zL9)8VQf{=@f?2UBVw+Gu;-N#!CJ*FF!mxtB<{o(_CpjHxg3Vr7#U1lPKae^(TMiEO z^XQd4b65DhO{(0p?(=yys;{DpMu<<)=sVgR(=wMF<=S0Y-iRG=JfF2*lzWaCU2T{D zZr?1s)3?if4?s$MV!v)T`Eg>KH>?%Ma5>(nMm^tVdCD=wq3%56anE;7S=q!_NEiqY z#Dx6-JrliHj}0qt54^D|t2 zSFVccLUTJK-fjJY;-j1+l3@@(JhREa+;5PpP)^+}^C0D*Oj^mZ%)4`=7F-h+Hp79> z&+Ho=_lcpk;nVNqhwI5;`CvWUw%8VKpu*i`QC_>&`Xyl>o35G+Q$$#%-y5N^VbQMj z_rh*8@8^}6wjE^^JE->ngyi0IrhaG!Ow#G=W^u=6%S7F;je^z6bsKBRDRXOMiVg|N z#Yl-|YcDx>x^1}i^dR})kZ{FwdXa-9PI0^`DcZ&eX**$K59sHwXK^}i!cw8#02#TV zhZdqTu2o^_f`}{~^)|oCg&Yl0pqhia%!AlvMCmWZjCkyUDiU9R(;pa-Z;uu<6c1qK ziJt`AvsyaZ>&G6bUL=!v&Ux(#(3JJcY(+Ic>*Y~c(!v$CYu~e+7WS_(J_S9}sZ%Vd z9l({xp1KKkccw!iS5M;L9q?=O0K}!fe}O%63mObNEv5CRp&BV@KmNeEJs+Nbl_AG9 z*98=5?h``TjN7=%Dx;f$2djF2lNQ}z)z1uIi%UobJq@$=U0}Ov*7x8@_ zCMKuR9eeEp9_e&>6SSS)XL|e(uUocv08yzAD4Z$eeov+mQ{8a(sx;OXV>r)g(eo${ z%X+RInQPOUl%3~l&gBNI`Yf3#@vGi`xLjq4jAcP0_?@kRpC79VL(lZ$1FOGRdXdMW zWDCV+j5Tj|$oi*S(0cvE0A;T~D`W!s`SXIfYvc_=W?2mis|E&c!QhMjf?s_ z2Ylb@*2s8NMJam3Kfg2k4EQ;C5hDC;;Z#9FJqvtbk%^ws#(vps-db_@?E$4fd*2S; zzGSNr*ry#8EAFy9=C9kieK;%(AJ{;MHg4RB7$XMnSrHu>jW3=^gU5Jo{G+YN_UaB`4Ng-)M<+EbUklX8ZAtZ4^f7;}C8MYOAsuP3T#v+qI{1$+}U z69PM)6NEUK4xM^4uu;{vCgqaE7qhiPD1PcfPO>%~e%JeL4tY+{pl$MG;xdev+;Dox zacqV#&fo_hhTfqVN)$0?N*#yj$7VL@hS}2qj4<8Cth+VS;_}JcY<O_C}sYEFmr_)+R=ZD)a5Vs(YCQr0Cu!t4HRpaxB zyA61)`zW8^t*VcucqqGz@q30%PE()%gUs@HhyoRnN6yk+^_kAnQAfROUl+0SxcO>U z@@T&nR2k8614;&$&i@qYN2R(+0Jb!v1WXhcus7J#Wp%=G#i|a>JH|rh?X(kE^ve{C zPV=PFWopGh{jxLsw+_0TX^4(v(bWc$JW@>+GOCT(G~I^5`J=r1s$II5`tX)+H2Wn{ z^WM%#7soZZGg~uBl@7Pfs17QD6XOaJLmqk9=v=u>qUfoR06bzJoHiSn#{9o%p~SM= zB+G4vm;G?WaebTa;<_4qEbg+E(?&HTmUM@1sreLb ziV_)dRUY)fq342n5LyadcMZekskIC@I+ot`e%z0!mSv}az*Tv@-tWcy z!myQq2&^pPWkTnUT_nF9+hC%ESJc488j)(9#I;nAOIn@mW8Q`AId|LS@3v{BN|DGi zX{{>lqF*J$lNMdZLmJ$#qOrl{eXO$y17~U(`=Y7ZEv{Oo2vvU6GN31|UG2qd@* zw$#T@>7UPS>q+rW+AVf&LYwZ+bd-ZSb=v;T`z4f&M!~JD)2_5Ul8;ENwxka6;UiC1 znvPCS{#W$u27W$qG(SFDccpHg|6M7+B`ur$o!-e*Uc;-saRjA- zeX)ud2M}bkUrK)jiX*$nKL*4Y%YTZ|B70ueg9-eKcA)duu0g4b`3rH_>olORjuS*w zDxthbB}JVt%p$C9VgXT|kj$#7l|CQS$augd{hDu8M{=nr*TSl%K1Rr{=iX^U| zw$U_qpUQ~AkdW(bTb3+f3nejFhv(O|afhD=iy@qWv4I}y;iGVca2bb6BKk>OVD{4l z3{qPoA-R@*cl5_d#`cK$a123TdTch*h(6}Um|Yk*%I6Y&wCJwhwIol^bqpsbP#ly$ z5lMAnkAe2LV>~;(4*@F<<+A>^VUscrC>FL}i>Qk9G)15%oH<#rIK3IgZ#C>8PHl;g zXBN|C$TxfXn-IrW$n4m+S=*f{ABD;7G8RDnZ^2b%78>E62CvOSCuQaaa`2Ht&qYt1 zd*w_HZ*|HPehK4u>Gj0Zbs8oXu+R&oIjU4d$&BAP90q0VP&QJ6apxh!M+wa#0LDas zgtNB^&Xmcg&*1Qm6&Y0JW=b%0y~o9!1ugq7E%BA_H_<-ts`d>! z!}$Swa}sTNfxn$gDKC4j-daIv*IB&};|+F+vdPbi+ZC4WsYT3xUM0l8tlU>FlG9 zpF+6%nikf{5D!4p&vCbgS<5uutD{(=oT6CdjX;{|a2#ap(WB&BxE=l~uB?~oqiLTQ zfZ{)rkoAg1P5%1D3kr(>$hUR5M#XY2wztfmftxSKRae#JA+GBczpNG~(i)?RHlz|% zx7mF@Jf9LxV#g6|Hr84UZcRHk=C`euI$CsLH#ja39?sDDS+X?43qA%vu0=ylW(Ees zcSn%{>A5K7!LMK^xw0-&OZ!if5bEH6c6frpx^|4|8GN!rych?9$tI?rU)oL=S zZD#dz5|*{j`jaRmbHkzYS+aGv(Y<5psfI-5UcCVBUh=-|r08=Fs&ng&7ya8Jake~R zI>?xputqz0(+z3>r7|=ksdxm|m~v9nB+a0#nJII@`U>L+Hz>q62}2B{BsY)_#OI#mlB6$pR%wll?1w=TzyG?bL_5UX!4Eb7|{^(uN@NEm2l`L@w^9EcM}U zr^9&G3xnx?WLDpe^)7<4Fir&|$EbrY&N2SK)JMS{SJ2u2hplst4Lk_f_13m++itgZ zyLGp=ZU45mZQFLcwY_z>wr$)!=bn?Cb90kP=9_$($t3g7%;f!^7r2yRN#>p(74W)V zG;Ll?)pgl{D7@9&-mIMP`kHTak$t{u8}_+3hpS=9oQ4%F8lp)(~YJtrBhh@TA36e92x`Y}t3;@caG`jsL;YL5sGs zz0C3>0=&}rLn^jp8ZhA-sJ!^+KI*%^sJ;HxpH0i|1vPQ15ldf2;}8-K4i0&FMTEyR z^R^|oY+u8rfPXS=)77W-WwR@(zwu@^#O~bou76WMOx(&s6V^~YLyxk1u!o(ron?5L zS~*_VJ0mfD`1$&*$HcQwp&jivwB4XaU$)lQ2cBBnjWq#`n}pXHf2oF?a%` zb4X(YeZt+7MS$qqc_1|RHLzx%6aWQg&|P+Slve}ypNKsLq+-$adxAA#!;y@je%w1N z=t|!|FXG-jPqnuMd#m#i((V>3)BlKwjeT((5ULqeITVvO515L4znf^EBUNnW(|;1b zVspN(ERoQmT_CfEX!f!W=RqT~@XyU*d*oVy|KM3Q0ghu^UJN^Xga-X8J8+FPy0%E> zZ#F&XP4fb`r^0eoo$ebfT6Y+NzUpN@YiM)HHO=L7R+sG?_3`~qZz*zp-&RM`cl7rt zRi~z(C?Q5=7uBfzKAQsf52A20)02*x*LP_lV>;~@bJjJUaJfhKq-5)<KKMQW}UeM1Pg zkmiMK`$<+P&Ab#;ddQjQL7}Z?b$7W}X+-Qe_iOyRgxdtRpqCbY!ILi1Af>KV-GeQ2 zEmM?>Yq&PnHgC2gwyZ1koV5&F!#0;e)_8nKz-Pn{{fz~zFe2SLajlmT(2F@m2zsi) zkK458cI0y}@YwgZ{*{l0kZsd>N|#erquTYoc`vNT$C0@+?FSrboYXeTkC*wgx3gxh zjqj+Mc?|rA!&yaxGYxQ(h$qb{=hkn>_17|gW^A!hBpScv9N+~^PwbYAR3}nY@2W>w z0**Qm)nRWj6}t+kBln22tF|7l!*9;N?Vt!JkcT#rK{V9yI^W_Un{Z)jDQ#y=4=}}_Gl6pxw<0WQkcwudie!v#!6hFZMQ$7y zqej7R4G7=WO>h*cqSY&*y2@s3lwq6SQ}UPP2n;tYY}jNZ!Zj8|1ZrCdV|!M1iI!Q? ztUNG$7a6h^hiXqQ4O21_0|L6rfavQ!fSJl%;Ybovsp4+#)YHD`H@V&}oc)MKG<#sW9FKUCUFbNvRSo%@}?HHU^jAzI{YD6xQG zNiKcxj-mtpkTiP0f>HTJbO&kG-!2dM$sMR@GJGmah_#JVCS>jyAsQmuYQQwn8h9Nq9d<&Ux*!mr)6@xTZp|#zG*r5 zi6|~FJ{sU}_zg0I(#&5MKxvZMe|8%a8H{Bc^5fol%Y(76zDe=m60E90P47g*!_kc*@&MtZ`}l9vc2xn0;B5?qX=Q zVYt_IdVIB|V6ZHD4}1fIj-)DOIU@lxW`~G=hj95+G*o;4;=yDGz%t(rqf+`P0HQ(+ z2bvn}>i$X@zo@0}-)+O@v@}gO@C#kfOMHPZa9 zUYR&kGDe0hKpS3CvE}`Lh7MZ5cxk>3T0rtzOaEKgpqpHRPD(Lij#jQ=I${ntEY^L{ zf&t#qWW<6X+d>dPE_|4sVBwR%%bT6UE{vY{IQzu54akX!eg3K%CQq8$XCJnJgi#>1 zz&UfBj>4Y$y(5R-6z~vn*Z(g*J*TipULWEil_+G$3WTWc^9po!iZa`F(xbGno$OKK zwc4U4Xtqy^S>uwCyud3ie2kt~dXqRQ^DT7w^Pg$p|C4vpqH=jC#h!BI(4O_GYUN%2 zw*#8#Qi<-Mya9gxn zF(dZWquhWNBY%qWy(kNt_z#s(pIp?x-K z!OTKS6L5j`>;RVKXI9vQYe6WMb&c?6j#P5^Yxvhh{A~yMUB87*yTm&Y?400T-KEjs z+>4hm@ID>7fvrYtB^$qoJ6ITJ&O6Sm?-1R8b4W579Q>R`YLXUgt72%DO785a$Ml$i zok_FSi^#DrjyOFdnFS#*NZ(~zkY?#*L;v~YT#&^!1cdoOu>E*VHyY%%NoVq!L3Vbm zo{61eR;+Sp*v@8JGY?ndK4hK3Wc@R=q|7vYh$@e%JcZVHgSiPr{8oCw3R~?m3b|BX z48J)texp~?BOlH|$@I0Vy0T$Wce$K?wH{o#GsaDiDlRjdzThGn$)asi4&~;3+}E4} z&9}6x1laUgwO34QW{)`na%IQ6jm@GeokPX~07ILljujbCZPiTkCT0Zh+qr^f^Ls6< zh0F65nNzVZhys`4io2i@J+h)ViZ@Q5dAehBgQj)tdl?ql{ynBGog`214lh?4> zS}fPAex_iPTh>8BWBzaFSqJ|_t7m<-k9;aVoA^o8)3gxP<|LoF zZ=$iY94Z+-az>6*ioHTGkLFhS&&&11PIYei=N66U-_0VecR^cISW9V}rml?MF1`Pt zI)4hSxuzmLSJOSvZL?|USy5`R-j&K<+7iXja1d^}Ls4Lg0+jR@!MYjZ1zM`%lfcE-sA(iKuMP0Yg-sm@_b-7saf%1B6cS?0} zNrFi804!RFUMx_f9>ZsRe`iIs&GmAQ%iO!5Ve>(WGnj$;t+cO&wZ)5Jd9j`91}@cc z2*ux0Qs_k{Tr`%6=>E`_$_1P-GMrM~B8`vdUg+z4(^1ALRNG412~giRuE{qZ0Eo6j zz7gZvY3wK=bDwxchfqse;v0JMGVTu~3Prz1^@Ph%bZ>sJ(YM#o}akC4PYXrQ!< z7pDs8^3J?})YW}ktLdzH$n`NIZp&1lYPeMJ3Es#6hXh82>y!O&Z{MFJcdR@n?QErW z$+MN@$0tZ7ZbFHaa5X*)_En9g^?N}-8hrAy3mKY1WD)NQ2?-`U0jUKt_@L*iV@eN#^znAWB8kpBbCMs=rMC)gI z9Io`4TYsNf)d)QDcY^C&Us;8D>I`H$$tB#r^SFkdp}HqIftjHIAnv1YG;X%SW}f_p zBsX3*T@+^js?qFFq1O{?FCvLT~$U} z+lgTEEQlYBaR!He`Gz&b=|59e-6-(T!3}Dj5&;Q&Qjrbm)9L*g)?vwYLbUnR<`YH?Mxt)?`L-A7?2i8CKS8ClBA> z(QvP%4syxV*%4Q2%VZ*Jn5I?2_h?T-w6kzwxs8M)~LCf-ns zgTiQD3xn8ZI^}j^jKS>6ag2svmc-$P1I{mTJx10uFwmL9QbNz zVRz{6oeIHEQJB{NY0mI{m;R^T@Nn@*Hp|+vqgF$r%}EeZh(VT$?_RDnesOv}l$52r zKAd>!DS>wq<=I9Z@AMLvI}#bDpX?@^Ry+#5BtFI&drQc(^#YZ7xAir zijJ}BHbs}wB9X1I)ad#L6f;M@n0MBZ{WN&>nM=$G%2xP%ZE)dPvJW)Uwhn&e%-y^0 z?7vKdmyer=OVdkBl0>=!BfCqFkJE@Xo%)v%e@9{;i7sll6uX#mqDIuzq8li0;>S8T z@&{Gu=prCwkR^oYgVPH{W@ek=wca5CjPk|!lwD;fTkfo%-bh=--dWG|1m=RN>A2>X zRMNgiVv%2o`N}lvi=97@m$?Vy%e`x5r=J%C4sz+wI9J}MDA7#bZdIsHGcHWUD}Usxi@Xg{Iv66N?QTT&pm|iaF@$knpc+} zqxgT5uU_6l1WvBrk@bX=__=ZY*gX>;1mHq);d^oXIQa1e2`>?P3H$_n1UIf66)vL= zoL_rdqaQx4J}NFdYWFrr0)ZvLp|Zu;3>YTGFE9oD`j6E6zaabo`~RZ(=_X9r1u`Rx zKYhY7Er^4sX^@f>1#zNA3aZW{5kJOh(GIhk^ldFq#;I0NkP*CXrdP5Es|hVjDDpo$ z1lkzvW^<1Bac^XS`}tRL(xd8F@>B=uuePQ@Y;H{Mf&1Ea_+vmE^_BJ#P;uQ&2_s;6 z7y*5qD%(x%w^JI69(Hz1pWl(pc1h@DYx3@r^|arX9)E*1*;*f5J+Rw%A1ONKFgW<* zE8+4`W82d8H6OxOL4VMCI^I^A82ssd%hE|z@M}d}tk($5hn@%)3r;!@R}jNcmK#q> za+DztLlomtP9Qc#q15y?eVUHV3otQK)YT@fNFp2JqfPtKcTNa?Htg~&f*O%baKo%? z94}DnauSa?mUFeFiEffUcbgIm6~}e-ety&Ecs$Y8>^~x82-Vq^@&T73onK&TXX5PQ zWNK&&`!BLLvVvt}O*J$Gqin?w0{D^-wsq-J+Szz@vVKAybWTn}f-DUt4Zf-|fGVBnl8|C!0s*)5?aX8 zK2p!F;B`HjbVOM`zWg8@?WlrHoH@E@A?JxLsl_sBLs)#ic8s9oN8Jz zE+T;uL#MDsGjQ0oz5aFi)nx(r2(9nytySn7G8^#q1kRt^-STsK{h<9tg+`p;*gUo1 z^(Bzg38GB(?KlmDc>?s8MJVGC-wcF)aYA4gGHRTml^dJxJAB1o6nX zyzI~Tv?8~(*zIJr?K(S5ekpada6v@HxseY%O;8^O0T=-(KJc?cEx!`XfK|6cGm~_UpEOU0{S^?Y z`||zS#nyLB&zJM~lGaIi?m@i?jf<5>efCCy3SxgHr!U&6%N0BUa{bS?3Tp6nn9q-_ zFSXHbYj5tIe|UB|5pQQ{AO-nt`a#k$1^CkE1{ruV1;81S3xLYcgKzXIZnOR^WzG%+ zam77ghg$ZKsR*uw-v(e`e6(8O-gdl+ng%>h{FoaXnc>${PPqD8HIZDP`;o4xKXC09 zN`E_->8I{JKN0f-;l_-Z9Y5gM>VOvPBb6}mcVTcrcdPFTI-C|1+WXoD7~>!Ot=Q*Z zB>hnP%q+y;9`(cTm=0Kv-W1Fp{QURi#BsR-z|OS6n*w1>o3WlAwEtWoIaYCG5Kf;l z8RnF<`u_HW{x!>n5OhuyN(K#uq9@87!P<#oNWY7Q(t#2OgkU>dTKp+g&95zEqYhQg zCo03!`FhcV$yQtbLE|E~0zYT?MU8)C7c_FO*zXiXg-j@#!PM66kbU3-Ief@DHU7{;ISr57HO{S(${QpNS#2^q0&H$gg)YZKQDKspJ>i-o>3JP5biVP77I ze8Ki`zGBd`;DcXEQ|-M8U?sad$8Aw*oFevfiR4@jfN3%&Y?eyTzloK4_kVE_#4Woh z&SHRm{q$ss3$(&x;(@n$%U$}){r2(w3H%SqXYr*k&`Y%J4AlzrLYEMk2QE{!-DU&mvU*5A-4bk}jo*l?Rv zlsuruza_$Uoad0Xc@cel=(VAa=OB39>lq=&fA#3;IOW!!BvEs$cfMkc8~u^)HwJr} zAr;Jv1u%*47q>P_b8GuR=|>>$??eP1hzRp?_4nH5u+9#Kio+O=x4_)SZOQ8VE{WP> z_XHE(c(ml^LGQ6rKR%=d*Tw|;k%;_xGHkyf4Z%bZT8c??sb)V@o%KVUyGwK7IbKuq z{f7oXKk&1ztjm^;MDc6hGJDdzg1@X8at^7JwkEutSF#8hE7CVSJy;jHB44 zz8&5x{X{ullnkhP5SY67v9e7{eN?Yh5g0vB@Y%b=>o?(6*j2CBfnS4R`;Fo}>MN}2 z_ZLKLxJ}@-aPxfjXW}{eDdClOaIf?e<3=qJNVD&rBQCus!IAzKs1M@~u4`;~TCdh=05Xt5o0P3%Je~urG2m+3s zlfUTX2^%5DMgIAUK*W^iQW-sh{JpBLhKw0kb7=4ScBBiQJE5dGa?}CwB!oAC_;p6A zS3CHe0?~ZV_orGSKT_jV{YBqQzyN6wFm%Jdxa9o`?WnA)lH9h&qImUfB8s=qi#g8i zdyhIo!($$%8qj@Zm@NkII*I_1)JwD?BG}?{_OMx$k3Ma08(Hi4rk+-Dob{JmU(8FET37w18wv)|-3Go8_$ibZ>wgyE1K|N!C_AzxM zK1mB)OWu+Vk1;>zxDL3qz)eTKw&p{ej30OYApINt*$Hmi2H6vLIKW}Umt}mlgL^u= zY%KJ*`Z}5sr{TxtQ~gz#KiCU6&|}iLE&CDeK$IK1Kyp5EgXIu6ERu4}^$olhq%F*x zmoTMaVjFV*iEZ$z{g?iJbL8|rG5g!~ z&D?Y9%j;$0=De4oeQVdp2;hOgxQ>i8o(FUxAMb>cutsWre}0uZeMj_CDjz4Qivg*> z-x{9$9xl3%2!20BHYb_v&^zf%_B7H@WLZ24rrP`buX0JVMncFV<<004X%4G5;L`yk zC0p|r|6aW4C;oOMQ3OYrMr_Zmr;q5QlyHLLFR!-4(qoK$TqnHtK!9JWV~l0DwDH97 z755_yWjHOKXBD<3rP>&&p_ROm{bvT%R4S*GyEKv%k}O~GQIU`|uyC>Xq3~3EX|szE zE<~$NAtJ4skfchXJ*IY>ydx9Ns!XGzm1Ld7FkU#Gh?q?_iE<+4RK(jrz=p5Eed%H& zTOj>PZmn#gET(Le00>w*C`-(*kh>?0NN01Fl0hh2MDPrypyr@vqvj@LC8R6IDJRUQ zHLxDMCUMZ5DRUO_r`?*2$0YgEys01-cg5ejjpr&0$}&j17RM-5D^yEY%j!M{U&0Hp z?c)oSX3_C$IN9yDD;!cu9F_oyH3$^~}1S%O*Yc}^pSko&b?DeK^PLXwE*b#m^uurM};H^Ybhq-@W^=v;Um&SHdVRQmvG|Lx#k z(awQ4u-}>f&!sUCuIG4xR_A(CH1_E)muY|Rvr#>CZDT98j6Xqd-oXqspths`=$8oQ zE$i+5p|A2;btGydOVsnCuU!3cVC8{b6N-22xdNTr7wtf|0gv?CxDLXm4s*zvz~&d* zHNys|dmpX`OiZ8UGXp;&5PG#u&kwHHUwI7+@I%1mK`s?uc@pqDVCx08ZXks1Cg6 zS8*MD2lK8Tf<|BCHL(sD-E3)10MkDShAd}7Cp1Nrlo-^}Hh+8OhUg{5lQ7eBSk5Ro zfJC+Lcl+&yVKs>Jlb9ue*of5Z7TFWq?-vlqu#eJ^%rDatKQg+v4|7ZI8Nnajlb2~j z#W$+(Ox2~hl#n^b*pPWnGLt}vDo1a^v?^IOC?O(6o%O3Xju}-UhwM!nJe>S&^ll%* z=@&jZQ$nf~dU%L=Uglt&<}II7*kHT|knkOKY+@hd8O1N4x1VZI0ezQP+Jn+7xl2Ni z%07;34y#){>p$>%s<)h;q3=0evY+2K1AR@9rO5)Nd9#8P$KI|%eBglqp#ddsL?9@3 z)RO(del8V|{8X4uAQ}}K6=G?94>yT(-seA|5KIX7Bc#qxVx?6gSX4>JEsF;r;`mPo(R5km z^UGb3Q8JSGsgh4`iY+unNx>#?KXs!MjCZ5RT=H8py~rw>7k4DUQ55b z&ShHSI2tIICbcx_vMQ38^#{}&)Xufs2worYR-$b< zv z-7CdU5y;z`zuNY`r$a`ZFcsA# zoG?hIj|{H!QU4v%AOffd)$1ay`EsS86Wx)#z<~8-5;H3Xt~x60)_?epAzV)&A7;M)Z79GKC~~f1M)PBG_?J zKay*pDEM&C_IKU=e52WWrcuEANuc%X1q%`1=cagz!0RP>;Qtcr2tCg(+_#^uK6kpG zgr4{QkpW)s=8TC5zMhxqkN&%r_P+jic=VM`KXTxd9Me@~GI+gfC@u>msoJGf-CeeP zy56|)xpN^J;}Qg8@h_}8pr#|WP^}8bdLSZ$rM#pocA`w9>zg!KlJs3#y+rv^wIeo( zCz+7d+=^niHHpWZ?r$l$pwhN-g$K+qRw$S^^}!s1sSH=S`gkWDCRY_hE#tsVOJ=|@ z_lQS81FNBT9E=j0(O;$Mr3-Q^aun!jTB4rp021u>(-_y3WcLwnV1gU&FMaWb?z(`f zm8s{?tN|82y2i|?a!1Bv$fILB>kwjp7NZQik2JfVa)ll*PTOXt-iLk7XI?gSdAtKU zXH~8)NVegmB$;goO@# zJ|kmY?++^C7M-7bh4UxJjM2{1ZmC1|NTJOO9d5&INojD}4Xmx}BC3{lt(qKz`25H> z_suYJan3gHO@fZ7)A7#u6~e8`g~U|J3Ob|ApV#n#%^U-90DP|*+WDexETyD%ujI1U1^>fCFo#{WM6S^rA@_K4p<-X1z%O@m6PMCb=kBvaNrrA|1mAdaYeMvIsoZcRY;~P8MonFqdaG2Y&Pvhmur=6g1yKcYesEpmX zst*~Uz!*^20MCi>@3Q(&R#X*NjPb!@E_ljspFeHm4G$0oI;Hn}Eg#D+_DcCi6th*z zlWMD%O!)kUA?&T*>Uua4&Jgib#&*j>=C~=fl+cbIQ0`J?oLiFuzV1a5t-VdaDhkR- zWW-ymy{cZb2=*P`Q?tF^%{`cu?%fww&IxlIcizI_fK4WYnXVL)0cBbOA>-+_W?`%* zwY;sLoh|+4s#Vo`XO}m%I@_u?)6L!|WcTsS)d!3I1Q$0~ojf(2Mt^N|#K3TJAed>< zYx1>saDu>~OHs&2hmK5wUZCN1T+U+FZ*>JUC$Wa6rB&|KZ=L!F`EM?9D^rB~tSs?s zQrcg#0K19l+v0cMiRrGLQ}0*g2LT?6s|+R1e=n!^CJ(Kf^uQkx4hR83iT0~F@p*1+ zA$N92S5eUujf8nfWJ3chSB8DN12x1ph}l)S^a~4x5u+O1Ym_%#68uMz=H(uTVWn!; z9|<#zIZ%UlI5(3hGs-tv^f?T>G{-DO*`)e=z!BZMk93bqum~jxUwyuIE1CwS<0^|e zE9ycF=-Y+B;65#FojINHxhM3?c=4kg{RQ;I!pK@9GX9C_BdOdB4E9Zt-LEq0CjVLF zz{*hmmnwT#hpSTq=Et~sf)lj!!YC8&^TcPDVCW}ODZcsjb0!0O@vdE(Si$-MKgP;X zU~pnrNS$|H$?|*mT3a1S^J-uf%E!QW8mv(|rY z{7hr?Omgf@a`X)D;6e1@q5bw1-_tjwOHf=dmpBwp1c5Vf$bb_Z2OhFpnDqx3cE9&K zl@8APJyA{TjR@0CDY*mQfubK-z;40d_Z`+_MB}}a=aa&*=gUA2Wzt&c-`vJ7o6ZFsZ0p>-)zX+nT~euYH*!Z{1p+1`LN{&& z27?^qgZwA1v>TChOImomA8w}%S{1lOz>6Ht*J7%e+bG_yr`k0AArQYBJku zvY{TRktiQ>JEE?M9#AyMe&Wd@x#_tdTDaMUbR;}p&aH%%Cg2JJ{V3g}cDdjtnR%SX zu60XE9JJQx_97Pt@|Zb5f~EW%odoypW4x_aB0{C8Lkx(In~TdXmRPFy#Or6XwSKnN zD#%{vLTT(3;c-;GF-;;v{p`6ilmhlgxoPsm ziz4WsY1wlzRoh}<6f1Lx9lpq926Tfm<;V!zU-&z4daqrd_8yr)?a-IT_!Wwqb)Mfv zC|Dih47K)6BY}-rf1?Lh&Vl{#-FRvprs8R9qOM1cUI^7&=r+$Szilv&M@&rr-QyK; zD)SegM@nx7cp#z3&AT7CS>MIyx)gRAG34-ex(}0g^2gj4LOJo&G1YD!d`TbSBZ7|< zxmP%&*7D^HC;>LGgTXCAMZ86V3?j~qNZH4~f1%7XDqZ^Y`kg1RS%$ZKljvkCn4b#xPUzMA&*Ew_$f^ytMmSvH z+xp3XP4-pX718kvwqHZs87X$mS#DqhFDNjq;s>8I02N2FgDVbiHC)SvU%Flg= z;J}xoOyp1Xd;#wF*r&t|35bs2+!&MXkVlXw_K^c{il4zIu<0^~abEMn9iz?r>J2&n z9!OMwO{kpiKt0pn)351BzCR~=h-P|Gi#`;Oc z&MV$bzLVwX4%{RTDz9p~;pALt5B~Ip@CH42f#f5RZUfY5T*qc%dQIIs{Xk?KxNi$c&V%G~4Pn(^YlA)q{3%>8hJ< zKKd2#*FB0~Wb@{#a7!fq%awCMLlL-4HJW9t6*;pNg-PFrIR}KiqN)wP(?eX`sQ+1p zzPFWVx`n;hLEDDCCD595r7jjpfK0SNr7tQp5hp?t(eHDR+YpK?>qmaJa=J$ZeP)>-M10te_)p5)+_^4%fJw|bC9?7CnFk+)#PB)$v@Z$oz@w_ApM>U_n7Gd~n1KIkSIDVQ3hLzv{`o_K4Zn}a_%Y52 zy5OKFH0v)7!WTRgzc;LmBEr}d(|9VDIsO>G6L|QC`&+NUo(r(eW#>)Z>jhU(C}+Zr z?1XX4iZA_%h-yh``ity7XV*9>i4>1@j0%DN-5bTZ2oPg z5iDF=>J=!wgcCTKuxY@OY1-Xa!L~N(o(`k-gFn21M<-?K5507FR0x|yxJ@#)Dd!!J zOxfJ_?1}fNGh-yZEUK{qvyfT$<=Sx+_WI8-Muz&EnV(70mSZ%isA~BC*gL#@#t)03 z>gIr?xsEMg5(=u8PSltBI(?{1C}K6H;;MSXnwy1GP2zqiPkJB}LHg4f>SL#+K@m^cnjn2&0F7E9kxTJe%qKLZ-t zqrPoPOIfJa>jZeqRIsw%hLbVT#!Cd99gIr(oMvk(aW@fjES?y|bQQ#-JC+)A+I`V! zB-3m9t|D+T{PejiZGFz64BP_j+TJ9#DoaO;@ER(4C2H3$v`g2q&{>@a&TDcugpoWt zW8CZOt!oH)%&*%KB-RHp4j$l?%z>vDp=IANTx_UJH#_#YI>N8;?4>x+8(-E)ilX1c z2Y3bGq3B|F6(l8bpftSv86_d9YqTrMnI?@vk`jX}BGp4Fd~!t|0$-cy=ozL)EI$)a zQW6PI-Ga4KwMiG0tes6ru?Dq=$)trGwpwe1diE}f%FvJQvZ*l0Q>)0%?{Ytp8&3Qe>|X>w&bVr?bARPTW;BCw zOu)i!>~zq}^obAOK?Tw6v;#YTcM_5{F|5c-Jov&RTj_YnCF7YEQHIPG?k8%vp`{Di zt5y!B8Usi;|1xuoEs2_FgjMii#Hfq>@NB!xD^NvoVN^p?lyCT8R$7QpEXFCr`%$3H zkYp^y$k<+CHTSJJ&#Sx2y=4Cg1LGEHIa0U`!C9JcwbgQXe#D%?=M;ERgR{H)xQT>H zk*Dr*c@ApVP}A?2TdrKkGTpkLvTu14d_6uLEUSjepRSs~%v$f-`y~MDZcSL@$5*on z9;%aSGTUdv?K%cm#u%n#HIEaOK(a!Q6TK$#zzfxZfs?i`CCY_j;!>3Uo46O;tKcgC zE_d;SoXk61c~BQd0tVp2O$EzP&V@-=L(}r8hkWDoH0^pVVlHh|qXIJ{&%x3{ z(L&}TcA*b#>&R;|X~F=xlj=#@=l}Y_fp^Bg*pd8pW?5G5+2%yx z(osNTyoPFtn~&;Tbn-jT8%A`{KqE`8JiZruAb@&{$1{e9euXo% z1R`_F(f}Iqk^~THH=2@=NkuChGdV28ojP=uSf!{3Un?h!;pY9E&siM~igqml& zFuPwkc4Jp4;F(=h@O>}wF0Q4rqAVuLE^7U+3&rM6)#k| z+l9R1-S$4C*s|XUUW)SV@a+Z!zf3Ony!FjA-c|c3b3Jxj+^4sGS^G|ZwF}~P7bBe4 z&0+9`m84r}tUTZ3QBlI=AHfb^OVu4AynFD&gcb4iqphUH)PqWF-Um88$FKasu}HcZ9&J0dfP(CXcA$h?Mm3dh)S@?#Qs9&jhmb=GoVY|U{HF&!G74a$OwQc4 zH3n4QMDz13K*Lw_e3Iz3T4!$=LGLAwK7fKfmF#jlrLIsPhfw%izyo&fQQ6~BWb#zby+-pC?RV<20yhf%oIZL((KX?+%?fVcSN=lq#atEpnqsF zo~$@RZGstnTWL!O86P|TsqlZiT`~U1t9-62dOwWT{zn1G>c(z!Hii5IMqK1I(FkW7 zDqE`dA8OK0t_R|p@l~hCvjM{8?}@xkd3DVCQa@ARAWaK5$6v!7813I3R%Odp&yeP& zJ8Moi`N*&KN@c8T+D`9>vxS=CjsW=`HqObv{GB!{Z2?;!Ehght>mS#nu|26{xuSRG zo2)mR8MnKJi+c+ae;W~<#mU>a%XLpIgA_nQxe98cbq zW?I9lyb>#!V^AT`+mTgF&DfCz3N5{UE;VUM5~T4@97{iV71BHVHXHxu0tL9NC6z&# zbPt~g1Mo+K?LNI!$>k7&93oxL?EVrD3WSDBg1fN=yFIqAxok_ z3W)eARta{hbS$0x)A=n13%njHmOjhq-2f$AyD|lH_6In&!*Kz^oD^<$L0m>KKXLOV z3+36<2j;HO_>sgwFQLFQVSrh79=bniA$^8}cCxS0W=4lvMlR_kY1C<9<)KTR^!58B zG#?Vg)!Z|p@z|1X!{|B_Ge4**G4rGIM|i_cC1|q7sTqWw8%)fPgHk1A7s92&*1q53 zY#Cja&kC~%3^5!={1D?LTqA0}N?F3vZY1PuV)HJ%3caibu5hc?-2p#O>z&<)hgw3@ zz-fD)!@I5J_xaoKw;NU0Z+l0?I@aJgyIwNNazhd9lpYL*L&5{{Lx=Sx^@pqz*k|+$ z^vUb3Kc`7}*& z&ACSLNsu%A$O-n5syd!OruF#_|HM@+3;T#)pQE*UBYR6l;ncsQj-QOACkHp95;Re5 z`7rPTe-(!XQc&Q}wkcSq7h=NaD+*UV2Y*%$I*bPNz~}Na-vR|<&n*d}kUbE#k+b-0 zSw&28sDCI+x%1+cTzY3dcJB7%>mMgB$qHfaV~E|mzM|PW9TIAD{A9MCE;<;Uq<&ru zn0VE~tj`jT$luBTmSP$C3Rb0#4iaWY*K?82&JbCQ`R+^%sCi4vB3&GLbn$>g<(B zY=7DRvr2ipM;2XxHsqb(Q9L8yBBuFcR--sEKob+Dga{V+^5T zP6AnydjMv~m|LeFqHJKEMdzZ4s)+0!%;3>r>ab#r5J7EZ z>=g-=j@fS)mN=xxI1!B~B@?=0W}L&jT=~podH{ceenuR}X?+G&IQ}TSMcnvM?b0Ti zN*qV6F?@yK^4UxA(R|wX$SmiGfES&c_GUI~Mr=C_x|MmOOxP)n{*gF|DATd<-jv+l zeWA(~?UJA0^d{nr>Beqa#-4P!R0| z0`R2H6Yv1%Ww30EBFw|M9S<(6qs{ z!pUW};n!Ft8Wg^vCrp}A*LCVi@=aD4B(;mbLcDmk8xiK`1OOHVJ&)=L{hCSQJ%ZAYfizb&gg*B4&=3X65 zhbo0DlQasYx4OIsRnEDs3Sk zvM`O*sis(Jp?31BgDSu|*8dif4&wkxur0zJ5Uh`<36nDEK*!3&X%KW_0}gfb6O6bf zl*(|@0{-qqz-hpjPlFGgMkvGnwrXtA5|WUPmQx@ouaFF>Agb^Pa=ONw(2MHq|0*o* zO6gOB(B)qvIgP;7Rx^c3CD7WZKvdK8;w=0TdhOX}&7TC10&?gf{BF9Y;TynnVQF?nh- zKBZeri_DYhQzwZmFr4v-HmA=zt=*4;ibsIL^iFSwSr(7+%{$E@(|sF zx{Ek4^ev~EOrKWV3N>$b%R@V@rg1pxSfgZ)jPl>$B10nJ`SBc$<5N>3l46GcP#!bn z&#Ix3!W5^%`&W`NdXcr}{f)9+)a$F`Hx(5MU}UOAiI9h)P~*}R_>QoJZsciz-@%2sM_f*mF@F26KkrGB$`d#06r; z-WgGryWivn1fS2mT(BN2aUMqEsiP6RyZ4^a*Lo~%G?X|i_S)nJFVB7(;7I zOvUr_GtIL?MeR-g(sFSuV+4JqdYtN_Ma+aeB=!uhS@s(D#+;_y>7Eg9Ea@GnW-C!=>9!af$1W-*%9?DcyS(S(zWVn&;mo;O8cU;5 zH<;3kr}dZ=&Uka3&C=kC_P*6=1S0>;1;lU*Qg?ilV#Pif=cC}P$`M_m2Ib-7j*sAa z%8FsG(5nRe5wCjer7^7P5oz! zNKr^r=AOcW`WO||Vo>o8>3k_0`F@#^XOy~#S;3&KbQ;5-_KOg^1np~p`M3^f6iw^A zJ1PY;fl_1V8)L?Z&GSsv-IN+-b~bt*jk@BY%lu`(ubGnIemSKX3@6tJBhbjRG;WTc zTA@+uAvy%#Uo|#kHOrmM<=G4!vc{?lM2tL$+s%N#kNh8VtfXN zj8!mY%jJW=w4qc0T*VPE{qZdTQqy#I0!1glhKgppS6)U{*gRCg&nLzQPHa9ehA*Ct zJ{x}kH!~Mgyh_FqQ_Q+M5MR73QfC!CPygTM?pFSEMH`lZAX%v6+|oThxg5w-QeTj><=*GhH9m^96+j$qdz zP*(ij?67287a9f99CWPbl0jwluWfE=yf-rtr5(u_7U%Ul@2A-Jl_S`*rYp6X_aIuj zY#DQty3P3B$v_#J9#owEWoZ$(@&QxizZycUge|RC;$ zAyu5Axg~xP`ONt=p@-JykZU2f-=5O_75!?DNg?KK-Vsrb%NW7*(OOB(;U~KnaSHFcmlwkcKQTfCAS1D&M%1L=~o~#Yke}o4r~Qg=rtJw!|vyxryIhnfpaiPJ_L?nA>Rq6t_&0(`8sE3h1NE3;Z-RTw%o~ zxoHuke?Iab%Mj)+y&K%_lGLEuC7Oc!>m$29x64j%2eM{(sxM!*numbz@hQ*viHx^4c)jKF)sb%pae`YdZyFpzw9NVkwRpmB6xL`K4Y*3| zE-WbtaBaM=@*?DjDS2tR3@kkpYI6iB${a61ZHC^R4s8}`;fLAFF8^BG=3VCP#O{YD z$|}B~n^CVzo79Q&xRJ*j;q}!UW7gy#k-f?Fd(>2h=CXq-cen*2CLQjFoBUU?F^k=VIjqSTn4nnwmT6GBTs zTvjus+%ZNnYa2(bFmKb|0OhFG=qCOH+yCp2Mzl10iZ}@xGB;Z=p;eL-7_R%S6z((O zg#t_?NiK~Ak6PH7Mup=^jEBtV=Nl?A*s0Ld8~lKu#z+^vHJ_b~Y8}=>3lZ`%Qm#Ij zOA)(k)nfkgCYO_1tQIG;`)FG_vx5mhN9)$qyaC)1nxqcX%VmqhQP|xc`#s_YTSy>l)%{q7 z)8fG5!eSfkQvkR5c@!_!r?4s#QZLaUY;MswQXS9Wq~szqF&32b@-KlWWWoSora5yb zQN-bUGz z_DxTN`^?)+ewn{R_d)#p!ZYCq1N``hlcru2BQ@y35pc02`gx2TB7*^<`ZVp3O~09f zphPo?*JHwaX80U`fn({DLv9{I%EJ4fq6hq_D zW}&hA46hs5!5EXI#_eh&3$ZSal#V8jG@>eH`K>8bS_yP)rpw`Juj&1f&by^`Z`~6% zf5EqgcFhvpgG*aE2#5xxC`d@^8t%Qe8pVG{hP91%cN8_RIM$|sSJQP-n;l$g2o7oe z+HtNXWy*Dbx)J^E?JBVWqy(21yF89(#4#pUrYO}Xwfl}>-aP3CF(Mn8lVhm3me2~p zS z-Ba-j`4ymTkaLmkBj?jvu*DZTo3v;q*nKdlXufO@?o&^6I|E?kbrrkq{#W+q27m$7PM&A z{Oxf1l~ptN`2nX2O5&IeAz6?Am;iyDPjwj`$2#D4m<{23o^`r(pA-Yxts1Cp?|n=h zg7L!uVT)#n+Wg&To#aHUTjS8Q(jAn3kx0~L>G>v8j_PXU=BzQ^QwjvpR8cKjTeW%K zlAZPsX&?lDp#vIHt@v2#>IRi^Kmtv1TlFQC!n7PMRSc?Roav^?iB4g&f{u(A5e8 zJ7fBu!~WlA8Ph>m$CwZEFbW_j0cW4rE$uddX3pW?MxCi)BXu-YgpKHFV(WjIi+s@L z&()P8eVh`hkeqM(1fmk=hEl)I)fCFTJuoH~;hzoal}@sM3%iMjQ^QH3G#Ay27NIHD z%XZ(-6}(Ri+G`-K@6q>Mge6F;nE;pa%BbIIhm~(>NL6&F7yr{k&<^kt)?hO$@xa;G zg{PX=*Q~4NKU$)Y-lDS*JxS>c(Kk9mpF%QXCc6i-3AU-~MINsauci-BweNE^KohjD zs;dtyXXNAbD7B?THrf7Dmn(a730py9?BlCy*MCE_w86NN=wC)yJtv546pkpI#4oWy zGjK6QDeYlbV#1tr+6Gbxh|%ejPM2BLrHW%%w#vO}&5&PbDacl-{y9juIJ1~_d}W>w z`3P@N>sS?cOaD3H{bQb(oKULrBDq{8-a5Pyr8?&d&E~yrm5!xR&h}~S7xLeX$Ib@V zew(q|n}0*5eQp@$>#eLWOVF9hEFIs6E0o8z=*<^CB>oPRUl0SuW@uf<=w`9l;ug z#jLXEag$NgGPTlgG~zxSt9R`hi%7pBrRCJJ$cen-);QnQi;FoLh_z(%-*aTmJFTUc z8g0fSkzSrGp-tWw7tO<4^*Z&PI95>*`T^3!C#!IdbKv2Bd5F}i)>yr$D^Oc2q48CJ z8m`gZR|%ercx?ey4x36IM~w+9CQ=t^Ne{224Gm)FA-GAQA3v8o*KuZ6tIbZ&8wAuV zd&OJ-DI=$(Kk1OHZU~@Qt>Uc*DgJN{`2dRo&u@aoH%H-N>DG9hdON*_bB=s%bI<*> z?pfieX%r#0`dC7nUjffb_L$UrRwP0gI$*Kt{iRrr6}aWacOZWh&SLh)gXGYG?9lW4QQ`)e5YXYI`J%u_Ttwj3aMV~-z3o*n2E6bzKtWL zZGONx=iCDe<#^?78)YYgUGHz2Jmwje{Mhv{k>*JAz7OB>g!!N_Ll)@@uFlizil(m0 zYR}NTUf&Bv>!>al{&}^ZYP6^E+AO_Ebzlw59aXnjnpo7?P1UL1-GO2-6#g<9>WY=* z15dtpla4+_;PQH0r0~=TKD0Px(Rd+ugW&p>oMHlWQ@s-$9^EaN{~K^}^SxgExdd0A zX|^cxQHYO2rtFkkFmHO}Q2G2a4%RisU7=yfZ=KN~7w_D5xb?BHz9R6H4%P2dm3r@*dmP~_KbW*k^)&Ky$_wGrkWcFY($ErV`y=JF7w2nE_dI|Jf zbtM2ieSs~*fp~89@NM9Grn=tzP8i8Nqnz4#dzQ<=W~BL&c*;T?ASR zWa#Iqju+Z#kId4pr_JFr3l5BF)fcBO6e0_(@&>4#b${`^?m;g7^v2m+>Hmzl!sDO% zd~`(Q`5h3v?U6{TKjoQAZq5_CA^Otw8+3sQyre$sGg0lU6({D2!PYW!t2(#SB~J8h z3R8YhzNDp@^-1?nBS{Gj3yJi$zBORBqMmKhklN@H@d+Rr-SPi1r-Ad^O{$Hl3i}n| z2i@dEuc&q-Q(28%>S3kLv8hUt+bXwJICq1AdfIO;DbJWjy26df%)gr&#q;i~9S;+! zqa|$BZE~gjKbtUd)74kG#05>_+g8>#bLUx_*Vb9_mvcM%H0G)tS(?+)EzbaX^;0zZ z$=TJz(uaB1)isUPRdg4J%H6@6-xfG%)ock(YA45*k5m%v_z24z{tdJZ?d(Ii8yrxN zE~L!9tx@Dzhltfsr4~phmS>~N0e{pnJXY76+oRZ`+wx)3U4zr4HT8dIS9BC2uAtG( zJF&5m{B#{SNmsW;v2n06@dyS~%{@d_@=bEco)7Eq)VQpqHjVjb7Hg4$ok%}fGdbky zwksCTs%&;HByifBdm&{EsKpC}OP}Z-p}*FQSr;M?rvU% z(J-?yP`urd&MmR3u~9jU6E{y1j=$;5!$4_cWnpHHoNol>=+xX=Zlsj6?| zxDuNOuFc_KVvHcExIhZzK-M>0SK?HllnZ?Y9gYrV?q6dw62UbWj&HLfP9Es0gV8kN zE-Qv&l{3YSo6M+^v~!^<9gPSb-EVER?5QoT*{CZ38>yv~%3`e2Ur&)^9+b)|kgSrW zh`?3gF>`NWI-NB;I6xhvoPb7zMNFIVNk>}-@6_|u)npsZ7d!`K2zgE8X&P+!Gp zEdNPguc6pT!=HJgIelzpvwgR%TGpH{dl3t)mo3r>vqAt;0+`Zd`;1jpfZaMK-RXUO zxY>00qU&l}1A4ugC*1C1P}1a}`uxIx_7uv(%e&=E2BOQX|3^XNmfm9}@(PM|Yf(Pv z5_9i5&XQ9XSA}j%wf~`Ty{|2S%aE-!gTp^6atSe9Wmy*0A2>`j)J;U}OQso|1k?_} z@IR=!P2>RYSb0T`dRJ3BTUkL-(X;D}404GiLv{$Z&Z0uI94Xg?TDB(?Iye@7Ls-$Y z#o8~ljwtSR7>@6$>wnhTS+$#(HfSyL@eNk~wgZgL(t@H22GRM5bE01_xc5FD)@E{%_l1UAO(l+Q&be;eRS~%e`CXNSs^?4rfv!U-LHpnLq zN8QeisoXb-6xvnVw8S z_l7cPO`_dNd0rR z@#Pxp6Rf&mx$S=k4oTM41E&IKX5>s}+yuh~bZy1S1Lg}N`*Xi1K7w~^%S#NX4su>U zv9^i*M29K389$$N7eky-Fp%0FY0FlG^Zh<({{7DJ5!UrPrGXknGuuy1B=3b~&Lkm+ zwsEk*K}6K@pOf*?!;?Mqo_8h11edC}hpes!GM;x%rli(WlkeToY}W}3tV<02;`Esw zz=g;*%xYs~R6EQVoBIb^b~rd4l1YMy6pr!IkLyfsu=3gG`SxFf#m2f1yxMW)g!3*( za+#t|X<_x+u^c2n5kqddNz_PwhO8k|hPKhyj1_9TReHn48&QpYb8;)9gUF3bGn$V` z;QRq6e;9`-_5%Wf*yD!JZCLxfdgLaCL`b6ShuI+hLc;AYbVMRLaM}Hb!NrNq#oWow zA*t~`hT8SEp%4q!4+p&uV&xt}2*20QpGwQ5AOnc8sMwdEPe^eQ~Yl)nv^_V%Vj8N2}?u2(7aM0Zb6JwRh2uNYzj^ z3Fc3)l~~tWX1CUXa?5x*6Bx16Jml})=_>$nxau^QSm6$~kSodVbw870HqiEgf=^rV z_mvZ3&Jk(AEL(*rV|MVDdh7W)+OZpBps|C>$9BvsWi?wauwpgEpTtQ*nR!q4#Yn*Or&X>NqD5=B@VGS!Jp(rjdZL2fDyv;XVXwXei))h4TZoT!wq1lpPM0*j`FGzMuxr%a6AjBuTO1HTk?lVb2Ua; zTAlqCItyEhAKSx8d8-+ly?iS!C(iREMx9C-+aAw;?UlhnKPd9Azh6M-&u&LHZpWSk zqo{~OzA(dPi*L3mGdO1Vff!rX)3AP;Zf%g4dVYS*eCI&ct_F=0jEljjd*BY~^|_G`JGKyI=o-<$2>DD?D_9gg!|O9%I+^@ zDm?Dg9b9Qc!Q8Ev`9Sc6d&J&tN8#OyE$=+gb;S&w-(w65>_n-F+C&OO+(=^Z@~Zh% zPNDPZ1}ZAzEX;KM$f-ZJC>(=bbu$!OpuewP(;R5G!>G%6lX+lxA5tu9nJNLfiQh3- zuUp$rT}biZaFS8?!DPTVlV#bzl4G$j5HS$R6VZz@5`hfV{}h>sSXq*D_QB9eS(yIk zD-qCBj{jR^B4T0vzeQ#uR>ovK=dYZ}8|+`PlYbt70)4GTk%`%7UA_MJr~bw3-Sf*g zur-He3s~@DFfuzZFtnV5S8%4Ou#!=#Xx=&CZul})%^u8NP3xhs_+?~#9q|&B(&sHa z{!=OK^B?c$``G8}ED)F3^}bE``8xLbOt14<{WhQA_`1>b`AWZL(^mZsddo&<>tl@f zKEmG~ zo3=XN4pd$?Ozq8Yc^}SfJ|73XhKE+Xn8 zbij68mzVikSMsi))?_vh%fec)@?Km#<3cn53^xH(6wjxg?w@XNCy#eT0^@Xz*Edjz zF}pfXS5COu9y~ml88x{Dk6<;IUs9jrOk%pD$*@f{e(IT#fs-ZTlHq7*QkQx{pW(*o z7Y=2`g|a4OC=Ysn-6>2-6Z?_a%n~ydVQ2$?6xq~(=F#N5llLL=y#r&UC>nga=OQM%EKR2K_xeME2

81vk(=Mc6B>H_A^TvXgnI`pjpAYVnGWg!T{wJ<)^Lx?wQ!z?w;@t8EhS&BLHdk%rdCfoe*3~3-R0u|ZAM-H#n4@< zq@f}sn-YG2qbzhKDG`E^=^7A+48H2gYW?I)lQV?i6qO(fL({sambeM%nG&jCN?%DV zwDU9$*npajn<553Lg!I1=BW>%z_toH?H3ezKR@7-eCe6;jj*#UoU+^l4^3%keSu4l zZ7ZOXQH0+SMG-b!8C_^(ibKx3HYGZO&QL!jS%XxMGu_%exz`=uE(GWfGaP1UVZ3y= z3lUZ5(h5DsJkS%>PF(5B1nS&`e^J7_CwU?%5x*9+Ss@#&eVOM-3#B`bat+unBu?jA zOh^u5WI+6A?BfJ;;pXClo*HM%&}@w!t-^x>DXD&7L`-{iRsa{PUC+l-vo~o2axQL! z_*W(=DwAtZqjA_pG|)@E_dL$g9wYg@d?S>@+YG{wzcEjHc;zlO@LBE%Y;8$;ri!A^ zWdGTUPjlz+LvpX=8tzGGtc-(`bY%XROjFFSeu6zR03C_@MnhHO7wemTEX=JDGY;dQ zm4nqDI#C^Ipvy;{nXDj5@q(p-aSP+0tYAse(9QuGD95IPz-_+FdrG>Qy&_$thvD@t zb&UlmU-OiYYiY98>o|t6s777CG*wF+8CELgIdEIzQe@htw`YeO@|UM!K- zYBzviMpk?!K=opj^A{7Bmv&J`2?M(i3(}rWhi;)6pTr_i@B^MS`Ks6 z2fLk1DD(0$>a@`(g0t8$#Wg3(MeNG|hZ_9UuHq7+@wFei)7%JgTm3ZTV6?56jXw-q zT7gmygFlAl--Ugm)sa~a9#CY#GcY-vK)RE6W(n2_=Xf){byELiorj{tzXin+5h}^! zXJA+o+1Nd~@%KoH^!`x5?p3{tO!Xugj%~OSW>PeEyR8DlqK1|#k74^XaTj153q#9~ z85D-y{wAdKM=rG$2HVvxsOfHIq=S@DX>KT){v0d3YM1mb+Xm)ZOA>m%#X4YcOC zYxN*$9c(gdCQg`~i1)q|CUpRH%MoLRRp*A@lb-mns=Bx4*GjvpP|S7uW&;bh5>(#rAW;b-lnW7|ol=#91Qc$%i&B*iI^q{yYANQp{$M0*b! zJd3*GV{T@|(f_rTl6E#b!_1U59E}C&R4ea_W7X5Z(3{cJgUCRV%3bA2Z38j*(j8Gdhm9@0vX-6niwF#MeNMZoQz2xbUI3N?C%Pnm z0-RqZ{qWIpmrV@?T33CuG}ario@lC%*5>)2*?tOtJMMoACD64hddZ=_^Tlq@QD=~{ zo+^aF#iB=0a(|sB>O!`cXodbdoCATS$?6qbv2I1WcZ?qGOrmnO*58r`Z?r6unmNLh zmRM-tyG@=IKeBKt{wxStf9A^hAiG;I{@D+&Exi$qm++DubA#XLTn{jDvQgI|6ZvE0 zm~v2H9*mLlb%*V>r++cZMIzX?is@La_S}za7low1(mqp3)r~^pP`bF(w4l$QPnI45WKpT3b>nS^viByfUQ&G8gTZp-j9jI(3+ z&xS?|#7vT<+-WD408KN#ypYcAs3y?g-7_n5uW!6Bmee8{Yd@tAP2YEZK!$pt(qus# z@VLTM_PMDr`D7wvlm%^gO;XXK;;s104QWp;^}Df8Wg4EK)+!~bKSn}Rt)$_?Da)6B z+c%DVp-N8b4uC5r7fX~yDqS4`Y04cb3O8=Eq!El3A- z&F#E}EpV~vOP#q`1;a`dSdgBu7;u z_k_2Fsv+ewRU!j{YBV2-?KZ+hF5QMyZndm9mFF&tx$HbtDZ*Gfb7E2dmX za?@iCO>v=G`kz;>TUk*DD1k)N9K(lmu;)#IvShhGpJ@!}l; z`+29+qr=cNzsNkL5}#)JvsKBDxSweyo!y;OL%dCzXrJHajIxT^_uO+Yfy&Rp_dN+K{2;C$kQ+AEre#+c4XS8=8*#$?G_}fAEX4k8Qt@n|(=05Gk`7W=!R1+*q%eL< zTI3FN0}d+cV?Ic3ryfyK5=Hg2kX$c+VgS$S-U)MG2)gHFH9`-P-i{MF4`I|&uD?02 zauJb!vtB&`TLIL%xb(O5)i`|yCJd?0P`fcT^EidYKp|*#cW!#Yalj~sSATqkUv!Go z3QAbc+w*2rmJtNkm*I9qjSj$kTu<&k9#cCQyecGK4+DC_uar|Z=~ggF!HcGWytYq2 zq`{7tBGtvkAK{>c{M6vH#E_P!DD$Kk=4aFt6>ns75J2~Ot3{usLU{P&3^6(K=b zD(zP`mf0QnNXi_&{rKH>9qYRk2_i9_!SE3PrmC z2Ftk5?g|dGr6Vc)W9{rH*12SfYS7rOw0%vs+J0(|`5u zoDVb?m283{Qbi%H5NAJfYev1Te%X*2Uw@U{+%LHg6By)2=+f~y8{GLwZFxuoYrTdZ z-#$?BE3K*k0ou1Ocec1=7ZW9{ZM8KrSoNA)uzr;rV5EC834l-UaILCB0>XD9zw8;3 z-P5U9iN5D?*BsP*X>pb0KDR@Xlo%AML!LD_B@S(peCQbnsFtIO?MBbnBSMnz`>#q=R*Z!E*H@QlpPBH&fIADKjj zldN_f77{s!01zI@eKM?Vg`JMM8CB_h7gS&x5Y|d$9|t){?%vvq17duGN@nv4SCP!r zZ*wq=H-%viMQ$p36n%n&)3MJ_)1WBTYL=NQf|p(}{#B`c;LtUEPjmx|iZ=dqaOi@z zG%ucsPnmQo)i$&nsbOKnS$wW~4`WTx;wIDl!xGGZz+?1<8x`Y;xjbn0_2IN}m&3IO z!!Iw+eZrDqnZo?rR2)&!Y7rmLAwSkSsU%fd-1bR1;rN|w;XkFcJcHj^hMYCj@lb_& zvHTNsN~T9)?=Os;)K|C^8^@bD66nTJ8xE~I#qsSS;vl&j{u6&e_=;YV z1$cRC&+^dJ{BUaAC(jub}hAP^D@0ckxMYb1tB+G z8`Ri@ljj)5!q9QowPJaAlT9(#J38f-)_h>eiFpv-JII`QM7Yp_kgSv6v3-!(cF9C<*E7CfrsR-mTKTLn*IaCZMbIZ zemeu@=(ro4!^-P=n{b)F6J{Ey%{1DAI&kW~HnR=3c|pT!Hyaof#1Asb56HvS;{mMh zW=K!$dCQ(&UfP+6>RIPV6CBIS7Kh4zay{>v@_sV11nQv&$n=~=54L(`+-Y|pu>`mDAllfEj+sdIuML#1+s)h7)u2y4Y`;40=15b(MF z*o2*QGa6+N(hY{Phubq9N#*e2+yY=Mcdy5J1^?VDb8um5eHR(eFi z<443U?g}?8njau1=JdM-KejOxHvNO}RradRV!adtqkr=Dg^`pR3>?#;=Zs4j^G?_% zZ@uzf`dmvMBS`Ug6#F@2bH4DZEk-iFArnYCdY-Gr^&Qr9^@JC&6wrdB zwn8!b_GQ>dn>itSEomcRO*JotgPcPv3DJ1kK2rN|FevA?mVc0XBjGi8P(MS{m-_cn zKo1;4Xc_v_{YWf-F~=SsAlFF5Mh7sRQOa2J<3`)66(+aNbK_i?;;4=vZ7zh)Poaydb}b&@TR@F?FLt?%(Nbp1XXJn zcS4jk!q~B4*!>?X*fY%~9)beU$uspix(p_<;osgN9s{No>@1dn!wh)?UwHSQt#bv7 zvQ8qf`rBXdkE{JwP+ZxJ<#pG+Zt?Vbt+pyS<|=B1iHxu76ywZlrDRbo+#rz5T$?qx#Eu{PW_eXgr%9$(0CMaI6mkg{hT~4jO-xmwpV#oK6YS?8dW}$Ea;2UhDE42&xb&^RJ1J3N~rpU>uAzL;WvUAtb2$;S|HU)NnesM1Q zRgs01{(Ygp=zJvt`~LprSZY+xOpa)GgLf!`=LC@3COZeP$Jlgx8jxNW*HV^6ZDU5e;zQ&h6beQslZ3W(EVlC-0 z%T)FtD4hjE_)oBXP?0VXh3QZz-25xSl#X^iyN9eAI2yC-%%ZmC&{>(80A%M12sHlV zyr+mk2YwTUT*ifTR4_Y{ToUTjHK%V;5bc*W#m*5dxwvqW7E?0T zyks^AD$|(^6It%N4EjYzfLwoNOT%GkUKwb9k`c0$w zin#@@zz9NvuFJmACX~)rO2hGO;WY`CwH#_P018`mAP61$VH>+N-QsH;N@mJuF#!TgJPhQ_qZPHUb+jdi~1qsUCRs#!cfHF~Rwhh!DoaWRDn{`t_4Qo~zOo zP*%+Sc0{=)7fj~o(qjakgRk-{1E7gNeSnzEjV7cs_v71t*iHzwp`(S^|B*|_rQ=08 z^5Li@WKPG_aN6&Jf2;AJ(BIk6G@tH>e3Gz>IDx>SydIv|KiL({EYzvR{xKo~+ev?v z{oyF;IG-Gp4bEEX%rY#S)%-B}E++~Qj7Et-?{m`AOCr3lpITyG3%V3w))%K9qvG{J z^0?%79#~c_qicp0v_+uWE!sHlu-1q*a1>Le1mP4=`JVO^O$oXO#-+NR;>k8%SLkP} zB1pYP8V93@t;@dxe0KY0+5zEDzV$MVdcP(L(Q1jJ0eJPl+LG_Pd9%#|K*W`CK{ZSM zvS@brdBtDHxps4;#|NOUDK_(5^G zi^%#45LvLXv3J$3fLPP+^h7ZS@lQH^KJe|Zn9HW`k&`1dG#j_1ei%BABj42Isgsw6 zU5yUL-v#~gmVpC&eRx_aYN z>ZGx#m-b-%vvW~|BluHY!?75ygAs7~=w>NVg`oL(s(-(qkG^>J=1`J6@eLR!E560{ z=+s9m$feSw#oKj=-i>17V7KcU#E<1`{HIv~Xu1{zDwMsGp1?AcWe z$>B#O@Uz zoC4PwK#XJs#eTb^VPr(j}ANpocb+>aLBe+#iFi>z3D zV7%XFyh8&CyDHtsx~0Exx4tnrBG(xHZb;DmTmrY?u%l~G+$~@nzSeQRgSp8{uEr5Ae z4`Jj^V#rl_EEqBU+r+*~&gFz3lJ*DZlVs@A%X=DV8^p8eAJ;g$l)c+vqa#TMgKKE9 z#u|mzljLyo6EWUH%@8(WwJE^X^1XIML|RT|>pQcl@0NPxzlwT0q`lO}et21Usw=0= zFd{$9_!OC*)%IFmks!9w5Nua?3Q#`Yu2>M1!r+9gqvJ(pipmtfbeLHQ@o(TEzY`k> z7*3M^1#;Y43lpS=U3cm(KT>n$Br0iB`$quLAfb zxB>|(j@CxCv9a~)q*@1X+UZ_wf+=l;8sMp;{6NH8iMGy9_AZwHj9a$OZS!F`PjUtf$^=AHLQ{j4`M&Sr208|^{%tHQ+<=uV?Q4%64U z)+H9Y@BJX-iFv7Az^Q?ARI_!XGKNMa&t2o6X-M=QdW~8Ul7MKt6HVR#|GENpmfZC1 zYbh9EvL3`&Nq`4uqAe-zve5_LJupnk$dhhVm%Bg3-KC|=vM5W-)~(!M1>Vgm2Nyc9 zEcdHA^9zdlh36Fy{Zh_%@dFUAynx&Ah~B^++n4&s6p-SwIIBqH~7{HnDlGp%Ou`{V{gvx#c0(0Yov&d?HLoSs-P%W zF{zH1s6NA>IO+fd{3pT^@Dc!`H}}L0h7T9Zqno1BmH_t>_n~y zM>o9ptvb`Kl4B#K;dL9&^0dLu?r0US-ZXFv-Izk+Tz~o)3@@sa(^D8Iu}rVk`;mQu z@`$O~8^cf2m04pCLkd}k{|=GN0NU45Z4E%~%M~8e?EUbQz+!Ucr`75Wxhd`l_@C$T zO^%?qyUv#A^oDZ|YMen82bfnlx}A=cs7sWnPswE4_HC3Pc>R})h(rl2fHCEwSw&N= zB>)7AhGxklu##1KZ~otgpLXe~|LgjHHyxB;-Q6I;0TTEHB=F`Oms9$K^JiZ$K&Ch~H|D)LWH8@>5LFspRA7#JW84+G)l_ZYw z^c+tApV&SH6J!C%b969N?3^c`WJ{DtfHS!@FBfD1M<^IS1*oZUT@1UC#$MuNez$R; z)-E+L{RhCvQ>kFzXFU-jRGg1Ccoik<%oDXR|MOujyw>W`$sG*4#e=Dmk~d3cL{SCi z4_I{}X}gZgL)n58Avlm|tpXRI8hFMo?9HKgumRvE(@UputUdIH!?Xo0Fn zcSnsfQdJ{1Xj)$xjHgi~JM8jQl)3#P460=7r>LWcbbMk(Ddi?#k)L)jE&6FjB}lNa z-xP;0D2^<&IdeoHX#i#iBu=fCLo0@+f1RbLaeqNOi074^Hh){mXojDW(KR1?0yfKO z&Ss-Pq=)6P@K8D31vC>V9H(@$LQ>n%*+2OIh$`!vrsjf-=!ok(isDTkI>YT>Su1x! z=p9}x6KN>tag8pZotw4&HPxV1PGStX`ToR4zfl6(GWID#VxizsFKkwGHm%2@cUw3szO<8yFbi4mEDdlg?bl%Dan+h;1^w?bjV>u2 zD$*}@5fM<~o+9;7nE@D9)g^}EOG-w)pi=<+_orA+6Vya|L(LcSvw|_u#>u!QDb|cY?dSYY6Td+}#P>ljnWEx^=7Wudj-# zIX&mhF}n9&d+oKmIpZhU_TUF&D4^FXNr!6DmpH*j#Qb;S@A$$9nXF&hpoP@T6hTc6 zWx&XI2!qA(4yQw09{MKaBa{4hfU)1?z>1Z4eYdSzX5}5*#*oujCu-?@UKMsv_H|@b~-hZb>OVkb_NkfRa_& zi+?8sS6=&DXDv>D?ZemRmXRlHd-fob{WWro(ATAhsiiQiHF;Urd=o2-M!Wbm)k`u% znE>LWZzF$aC;`n;DYaS+2Dc66Y48m*Wk5azM05E^JoH!d*kY}ud1 z3Lml`3-%f2SkDSesu%?zRA*w8>mox2He7)Bqo4U;zdd=NftV=AufYP($A3Z&{EVOV zZ5)yEq4S;TP>;M+unE+lWWn$p^Fl2YjdJ3J;%_+A)BTr8c)6VGm{tvo;{FE4x6aC*yt^(Vy5`mQH;whwb;L<)F!MkhhyKj_g` zhk>puXTB_L9c~oAv#SCAr^+I1A687m0&?7yW}gY@7tdp=$r}{s3`9n)B7l$`yRruQ zJ!U@J2*_~D>oknrYq5*i@}MEHeB}EVuM{)S_0p^Rx{K9$l@%kgQchJA3cTZHxz-Z!KpYQ z^d`_Omh(Q7tVJ%H%1LrpO{U3g9zrsq-Ntj?kHfeori9$VGOA9G8H_F9YN&G>H{B7j z5u%{C19xGz3L!aMYpsG6jkr8wM{!d<1Ioc-p%^b<9rm`h8 zATrb5DW{8thFS}mpDFHlTcE!EYeP8^cbbzLo!JB{K{+SIM_p!Q6P?ZW#m&oiG^)B* zH~UVV~$gQbW@9KzIDh3)wC6OV#+KJJf8PqsO`_#{}&Pcvoq zyYM_x>VAd&J$ER(YG4L`Sc{3s@|Vv|PbqkHUYS_R`g@qxqP>nQdU5Bfrwgsfd4hG7 z=bM>}9i@P3>QF{*jQsX=t#4)24qlgo!=xz^A0hRl`J_%)c=ZH|sC+QSuc5^}I!r)@ zVA5wRezf)z6ZUhs@aM&xcij|z*d!_PdSUY6+1LYHnc-AR+P|~(Fvo#}N1j3J?QSB+ z*pP1$ZVNnWzCYbEbmG%@RFPBq4GbuP3` z8NvV*>kZY9c;m?jM64}?968m)0a|LqPqw|oi%o@P)nVYauck>)Wo6YUVAkD@{AE0s zzQ7BpQxaZFrj@qK>q~%2MbcWYr;a*nj1;P%*vth{@Bl2N<}ssk)1#j~lA>?7gg~b^ z)LwjWjYO{&rN2_r@*nGF1Se*S$365P1#F}r%HZiLCvf4%YLEK=a=>%?c9s*Xzhi~v zv*h)x-;|EJ_(gJ0=E~G;JwQhz8m^1eA5K(uIQr<;HHvZS-Hcq^qaBa-H!Q@@d{9lA3Sa#sh6p z&)pNtLl}f@eE8NTV_dk@f`Ow`>L<^=uL9|rMg;8@wqtOJ3|eO%DY%kFhqHcQ!9E{v zGP$||grbrR0GJlyz`lonjg7uf8pDD8KZ;>kHs=4d!G!<++Tj0>Ah-t?mKK(oJLwb` zHW&J&|Gb>_LjStL@v%um&Pw{htt@NZ=ZWCq7DEvFu$T2bAFInC`ZC@5@|?u9DJlZV zzrNY)^aiWG{Qmv=aHHRLcc2gKTi1WNzZ&n|L7Kc9RUW)G3J)293h=YlkBYXwqnslg zc|vN|7euCnUw?c;D$o=7%Ggi(XAnbb8JY^UkZ@az#H^(QmeHZ`|2D{VPRkqF*O=Ej zC3QDD6N?b%m+$aN{Hcs7*|mK3hG?3({RllU$>Yj4KRva80xj?Pr3ZO!SS*^M2u_4P z38Us{%DwsecPIH8&EtM*5)$E>MTqby$K#=vbaUF$MGDN|6~>pEi#k8juUPTNUeJ+l zmT^}?FLhk^clx3n^;@i4CDsM*457k9S>oet3cCv7qAxKyeslo@Dx-`~m@>vLhASwR zUSq|}-**Sg!MwlP`X@7DxKs4Lnoju3<|;h@{3PDWvVq(-jcBj`N*c#i>7#6EyFDpm z*TUi!X8v6b^efj>Z2-&lk6snZwHOo;%CvDNsP~fDXJ3)Cn}+{4P(Y}&D-Tg1?~D^Q zDpg3D2kBx(!V=-;UR2`cSdmq*B7*mHeI;qXZ`JPCIyH+4QI^JzXzy(~2?fIZG_vqs zhXFFgkK>EIKPFbPR*ldpqBW^O=(_j$=T?Unj-pVbi)z}p#KGrN<=s(++R!( zj;Uo;3z{D=IbdCHw|FiG;8?D#$lV&-3qGRG_UdBsGRpH)NU8X4P+v)7W&(t1sL_BB zHk}kc)#hhkBIp0b2vLEqMze9-7XE&s?bt$~KYB&dpl8S68iEoy8gNg4Lr64UuL~* z-9cX|y}nQf3HUs}WOYW;zV6+(yW!k&W(Bv6ZH3?a&s)ZpD_{IRQaOyL9S z$3DPM+>s~z#&yrXKZXR+|!?`hFBd|K~t=$mI4QmvT7MI z{cLQ4AE23PTd9I&+1LWxdxO2mV{iY$<_=tF1Y>lm^I7KZx$cFmMPr2TbE*x|uoEZ| z;nR>Y?~luAmN{T$n6?~8>iDLUw!ZfUDe0}|EA`*fch{JZ0FjcarnfY(Sa>UI=G+j{ zLjwHvQulgN>k>9y`|f3S1`E++On8NW#;w~@4zvOB+;Qn;+9QCSN0PR)x+Z_ z+PSIdD43^x#j4+0$YT}$65U7QFWHq)QSZM;km2b^rn^&1*)^jFQs#RBlF9n`kbd$N zuoK4QV`bpBi3+@QD+UmGNyW1N3^9N_xeO2-9d~!NS;J}9jD;+fNLKLzv43Kvd|oO% zv=y?^BcokfV3u@sT>xHc1d>LxrzYgCKhil6iG9ELd^Mnj=PmhBo?#K;(Upy$-R`P* zi;drX3ToOtXRQyWZl9~|cj7lV_eY&g*pww{U_Jj+roEGbF*0Q(TxL9qbny)6kqwT_tgVH_wS?KtgpfhFkhCk~%sfse&YpKu$ zD%^AATWVp)#Hy#k)wk*CF@|ibpg~NdkBna&ee!dzV?{!H%HzMUgjx95$zxQQkU34G zvAAvC{t?6Q%y+%U1 zmR@~@U3KG5iU|!SbE#YhQnsr1GCg(jTQLUHu#ah>PK~hh#C5(eDstVNVP;wBb(Dwc z_OZe-(Z{V91=$RM%Lm)X!|f__YbC-ufC;L384Q3bn(mnFg+(oq`R7VjFvVtbR- zLL?S9?&08qhggOsGxKzwSohSfI_{KGS7B?PA8%X%x`vjw1FU3f2?!vVgwzImyr(P6 z;KP42vQ_d;2Y_0r!hhB$Z&h9Qjr&R?UqYg(sIU5%=$^mX&$e=NjjO2WAzqBd~}Lz(IYBMGAGS9R+v03`?KE$E~4g~lgI>M@t^@p;LW4V0Bu_N z2s1Ht2a8XW$Dob~31?NaG*kOk@IvPuPNcWi?6yM2^n96jrH9bCUALRa}QQZp|1R3ShOW4;t@8}Ugvf)!0CI=HfF}2Ko{F%{>M#}+q;!lCEhn)LlESOZL zDGe8#CZt*aC%zx0q;+O8T1cn*t;P>p^XDY*X!|}S%7A@-{&s-i6AokTsGs8SoO9Ux`$(8%(Y=?x7_2vq20F)6oy|HwBcb3xO961 zF>*hr6(l{qNNZg_;cX*vmvrMj&Rq2BsEbMoUaiLHhK-E6ymi9$v_kz%=@Llp0i_5( zYR1dp5s&;P;N=3@W~yN+M0)RL5y*%p+9+vk+y}ja7)4+`@iort@(co+Lz;2rCxgSp zD0}gNe1zYVQ5(+cwLti)l88_;SXNt$`N1SrF&vFYH>J0NZnA(_M4horPJJz_VP7hg zYkl|(IiMmi((NVkD+JVn4O~aViP8kK#Q{R!f(@u={TpsUR+&70-DX9 zA+BDitG*=4N}yUy`$JdtJ^bV#nxSGsDAsQ~e}djzS}gyhU`f^YhZ-Gr8tuFkN_AmK< zG_ZX$KX;&=-BEP1?~Uy?ALVt-J3DAu_;5fGjYsN>ZBF>`!BQv%s)K>Gf7&b#s$-+y zax?d`XMN1}9REyFo;0vwNz$@%|Bw5^pRY-V6uNks@mcwYu=X*<)t>g=Q2hm1UdG*+ z9ZwE;CbjBR&uMXW@;(BV8hC|D^#)p!E$2oVAU&&hmkZ8g6nt-z?YJ?B`wOXigkZ=y zvmj={3GBigkeI|E0!skB_U#^31-&JcjhF~-BIg|xS;u*sEU`YmQJ~W5+K1rL`(>=UCTU#7FhcrTC-Vu- zz_4qhqk`fVwN{HP?=C>J*?zS6c~tGIIXq^4znkru^`cn;vlBF_8pxX|ymyR z@+~dq|76o%JIcXg{=@DRxVK7IPLWyhI#EPN69@Ci)5Fk~Z_0p5PSHEQIgMeoFZdp( z0-Jr+=x>|2AQ`ip{T{XMoJ@37#zoMi)$m;B8h7Pg1i!&z+kf~(F=sN3gO_(JJbev~ zu|a!yxGowB><|t+;qZ1TL|-OU3)mi${_I$ti28Y0dSE|m{AR;cfz6z-@@aWlgt{Ug zvt2I97k!99d7}eHD5!I0LWuYglwm+d8Wb5NqL2id4I=lEOhYst3tp@66d9#x!V5by)$v zN1!kFouYf4FX25Z0ZXYNi({%&Pqv`zUK-?P9sawN>u`)E)-FuI>CDIFpqYTvObQb( zsCi-bjS?hHTM^OpJwX2G>Bf{FxU_}(xk@p|VAO_qc-q_=`4#4lqJ@L&kR88NJQS85 z!GIes`Q?|km<*<%#z6%)ngz0CVl2*+;~T9%5$6vTT3)f>NSAuFu=V?FF~zIK>GAeX z-`2`eEUnSfaARdo6`_Hz$*GIEH>tg$Euq3x^Si1aoI-QeCt9Q4&&>`MXyNCDxq zh@DdS8z!<20pY);e#)dcGcozvCHg|+7@Mv`<&o-Bi^_x!K}d#^cBQ_AYo82Bpn&Rk zCxt=&_RS~56HFaG^fiW!kaR+oypY1F6o7)OQlly<6Q3nT7QKAScmaSHbh z%GcXNEBQ3?KQp#rUmr<+TsEOVS?^CtXHD0gsvl$R2TrHfCYn0g#Lql#T2zYuNu2tR zCBlc_cV%>|k!~}sX19~^813=?6ep0F%3w1@To-2j+KInvk8DCsO!+Nf{L!eYF{6RY zoYAGajA6v*^Mr1^af(_!V-}3bxr>tAA_7^OIDqBd+&>;HCpsf($J#@M%Ln@z-9M$y zWb6TtRmAck;j!vxa>hkQJ?XskGN0Z_2{T{lM*kiDe&wh-4A{@^bJl!`e?^#oWr*Im=t>uEa z;`QT0>|G2SneLD#0euX(PA4s?1EuAIMBTI2KIIPNcDk7 z9~$!HPN}7{gENmb{o6&t@N0uM(B9h!p12BwDKwxUY58qLcAI4o#d@lVO?yLhiiMDC z)LT|JFvnsfI@GjBX6SycD%i7G=S+7-YYSO;l*yo z*b|LcerON%{PRQ?N>tzq{#9cmOv2&v`}2chK3n7!C6sV(8iVs#8I}m2NpC2-#!(#2V*61mGL0}0Lp`F$Hg76ODy(Rc>>aM)ic?Cld(b+kHW~sY^y0hz;$>{k%OaRq~1LT)h(*$2&cW z;J~AU&jHMQOJhah(_8V+-<}?dSWu3Z1TYA+_9trp#!bqg7N+3J)Y1_Kk{7D93U!ks z8e%gBi+7X5Grj%cFAS3CW-IlFoH)--kNDZFLy!Ku=CLz*00ia4lO%Mbz>sKx;Mf^o zl)oy?qHAATMkR+sI zL8<<6E?XY7pLXh!-R8B$huhgEjeEcFrExh5#-)O=hZsOHjR(#|W{hx&!)$f3m$5h6p-Yd{YR zziFZoO1Sz{T>TR;h%Nedy{1gRiiU2S;T$@KA{&oZ><4aG&Lh0A|1d5;iDrM+?K9Ev zDQP}c*A2rTBX8+!m;Z^Mz&%S5kb)io>9e-sa6bmHru|wZks>Dp))q7l#Xe-~{2)m_ z&CCAipmeXN$$(J^aq@14^TQ9YV3-vV}GS#k*NLtN6dP? z-P=%&O=_`p%wvp85QJ~6#&&5$P-+bi>b7vX-`^{G(^<4S|FAk^Y4L76W>Up)GPygV zy~w?AL1wiR(!qYCrVk}u-Iii(vGhw8mS!H&Ym-v{xuOw!koTH|M z5*2W~pB6D`SuNhfUl0Eoev1%cyPQ?ISf{n<|E_$WQ4&Z1ovUR~*Jkr*`$jrU>Dw3j zec;mMll@>MdTvD2pD^i6piZ$BJ|*LNe~ocV=#<0`WZqR!yP-GVWx`F&C&CIDo75YL ze&o-`4+j9kGAkmZUrxG!ZRl#mJ+7aflOFw+C-I}+9sp7rrsw%(rMWQR^^`pK_B#0_ z15WTez`Xgkx2`y+e8Kd`C(9y;BDiPR(x`0ltOLBrGsBKXBmLOBKjtt<7|=Xi)aCWp z{1d;GHmd^Y!R46|;yS;qgds6Q@;~}mnpP)v&!SzWEa!V%1uZQgl_EA`_b`>i9x{*@ ze<+_~$Wod@*b;(gXvelIPL zit2|hRYNRj1Pq?NsxEp&`5(@d{;aKZdE%z7 zM#zu~wWzRt06ja>4s}t{DKwp{Y@o%wzbaRS#}BD+g9Y%s-Fqf7r+yrAxFivwaa(AA zQ-ZE3S^W_o2oRxuQ;RFnZMG8wdC>|Hz8pnwvn95V*9jwQ$y|{yH~yp(g8`p+tT!L# z4`806IZ%}|(ak1o$d;V>cHb#w6cs5Zl@YQSgr4`d+#RcTcNGtnjbG~`=7?o^=ht=qTKRPx?mjoI175WWBhljWWioBt}ES7REO zk6_#J<0&wOq$d9HO6im~0=O={=2@Jkz4-laa=6oD`3Lj~**Zt@7(+aQ9w zMY}8qZ@^ie+BQ?Jh1?0q$rUcz-js*qkLZqj^|Vrr%o5<$&$V;>^_&*5fpWhM%5Kck z{H|}1aobIZo&zCXGZ&|*uscsIR(F?zmjO1 zM3vh}fZufh0RoVICj06Rr4B&F+-fmK_n@BF#BrE0&}2ggx`{I8hY~vDQmm~WZhdp7 ze6Re|<2QiVZ08{Bq`QWD1u$=Hvm}(NUWm9VjaH!?K!fw7E{`nm$_!0JO zEfL^CX1AO849<-bqO@>$myO8JKzz&xx^v}vqCX3{odI5t9SzD62rP%-W}dF5;_{?+yBSV&;%XWD+o!cYH;yD2GowjLIMlGHoev3ozYW?o@DqLv6g*iY)@)Q_ zkafj6LkJ|ODAVDtFJXhH*e<=|C=8pz&M$BM9l>NT;0vXt~jwO9TZ6=n@&o+5hI?P)ess6l!!s8HF?IT zE;9hz6KbR90QnUZ1;hVyzXZANy1qbL9kbtvU#t3ub-kH*O0rGGB z=H6{s2|?v?^~vbaq+MEUuGLhAk?P9H`H0Y-G;C08=na>sofsb*7pNy8M19?Z8U(R< zqmaWMFGxT#UxcDAsix~W4A`pyW#FsUO<3DIO|T>YQs5o!1*kuuK*`|-4ZZOk``FsY zoibFLNrC)&5686gZOQTo1)?WE?&8(C2bw#Q_$8u)#P_~842yTgST*ewqdG~jV$dGH}U4-Q`<45zANyGMKTjj^_{ zNtPKWfEL;a&j!_X`h#f^xF}qRR+t%Tx8ZNvI!qeBmGA z;vln0Qi7ivLke~O?Zd|7WmNc|6B%IzC|38D8P)3N&@ZbrBv+qlBt+}VYdamI4ID(j z80vL?XV^dpW(XWq5dU)yA{@rMez-CE4KBm2}&H=CI7;#dI zv^W!CTk^n|{h~^>RC0HbDs*Gd9wbf=vP28T*DYx)r8TES}8WGIQG&>+7ic20#FCtNL%=d3YeXFOZS^@Wlqx)_-B_O&5K;5#u|;e z)j5wC*9~0N$A89Jt1KXX-Yp(^nl50*-IK0VrTO(JJ2?YjrWQ`9lBMm>sg4h@ATd6i zohM=&?@xM1Dg!T6@-Z=R&39aIy|lzaarDc+BYH8QaC!tL3<9L_OKUo!@uRHe88 zXf03|v`l1k1@$!cs`km~XdT1&#p1f6sxEYZ5Rhn<;%cR=p3u`1DOgg&u=TaGvHg>J zylYAA>mmBXXvm<&>kOWH*!+{N>yRUQ37vH%dEZ2Krp690DMAe zkVatM<|$yNhP2>YB-)_G)i~)TF2*X*Hmjo1c5n_|w0c zW&7cRIKG2Wf{(#gzQm3O9mFgs(rqAq#GLk#_tbpg;9a%aGM|}K|>0COd)s7OV z6&Q}?F__^0{#V8_QS~4cvK1pkKde`6FccI<9{K2gbv zWU}8K#>Bp0g3)5KM8twb2p-D(%DHd?;uAu^X<uHbB_#vsW^7ZddjJ_)=qagLe-`Rm%qu`K4kx^0Q=w;>U z?33aUsH_u%J`dwhYYX@GLdw=4l}fifa?PPuQ2U?+=jBXc0+l)X zhr&4G%VXx5*?4Kx0O{Mhq!gHCp1;0qRQcSc`o#@GQ=0q?b|E=Q4gEqN6mR2=jaE?c zK36?b_{Ss_S62y$``17{Sz9 z4*cDB!jbxZXUg*D-sGaXvI6T!kd7b!+hi&qy%Prg9g6bGZN*S(cZaXp*`Mr!>k^mR zfKyU;p`pC~*qbD}O%mKvBW*Z&(NL^C0>DGgm9-|Z-?ZAw=TgEGjJ)l=6KGIsJ!$Ly zeY+2c)-_qWLXYKd)f5G!Xod)dIbsM{ zW_T1!C>n)HMOj!+5qo%{4@l(MEh)pDqIpw%y<_O2Oa@>-WoP$H)~#_#UKVG+aOmY^ zbbR5Cf~ii`FS6tf|C1}SnBP!A#-oqI5+zCwTWyu_Q5HS<_C4gZBzAAl#N`Wu~`KTDPhjf-mqmp=SPcIKy7?h{+_>c1cBqQig0Pb&_e*3K>JKV^nqOHCr@&Fx!M zvu>?r9dpuIe?@t6DL;LIYp>Jgsj0;|Wxk$@Ce$LE++F&B=yVcA_dT3|*5Zrz!2W?M zFQ~ps93-}Te8|fRSKe!-_CdX&DS@po=rZ2UY~LBXv4%sgp_tHUCHm@)AJ0}ks&?R^ zhX(hsV$(DvM?JB?#i0yJio?o^ZbP$rqMj}A>c(Vf9+$nqO>~qVBf>B_JK#!qn3_E! z8o|A|TN!FUzy~!=BJ`+e(4-aFSEV(2MQVNCO1YTQe0iME>X#V}98x|{$swphhY+zK zI45ke!r9XG+#)f+tl&t{`M7piccwUOQ6d_s?qg`No5taxqOC)7cF{$3OW6m7#46Uf zS+lo?+Q=?ePIGx|k$|N)=vK%K6LNENVc@y44)kYhqUarijh6Eh{d%h<+y6eA{oo<7 z<5{k>^2riUoBK;D1fsMME*z^)OUD9rm!f@T)vAuF=WiIj4oa@d(=q=NYptQrXTobi;AOJ_FIo+VD z3r*i1E$aynI+vMWqkR#cRul` zOYr}k@IFuv2JG=xw;dM3W{i+EL09C@Bek9pYQTg$>Bn(_ki{8X@d2TfO#xK znMKPD673@67V3my!5Y;2O3#61+ZX&< z`mi4hLzEkV6GwxP_$eI@GqbL2o0Bjq!o%0NofBj21-C76ve@EN*Py;T8i75NkQ`gi z0;%<=hFH@;F2f>cQO-%8h?sqvwK3>K>5NCHu}YE*&Q^kF`Ld`dMqfX>(FyZ4>^Tab&Ch2F)=>@+Fp(TRkCuyT->mzWiJvGR= zp(fQ~en&|3R^>TzqO`FOjjaYjS_m<6U;R$`&qsD+oy%@eyPS?F-Kt9;A`7%CXPWf) zwEu*?y*TFeqZ<2L_tKF}7|)QLiX7U8z&tBjHVOsa;EN(lIxWe=vZ76kbzV@rM_rqY zz2;TQ#hBp?u0kYeSlWXxlO$O0Cyz+EsT*i_%@)!<=EJS`UECjZ?$`&87mz6;-M^4^aq6_x23ySMFG3? z?wxD)8I|fcugBJV7q#C~FWe&v;szTQT7LcL#uR^AB){aL?`#Y3c7HWJ31uuHy~5tUbABC4flcD!!)pcni`BTd z}B03w*{Vm79$D}K=1%p5_tda|7oPe*R*T`wE6C{y$G;P+hnlQ$3pAHrXjmx;X0 z!7AbQ)$drYMVwK&)wZCCSVkLSJP0&D1@utm;c{)rs-AQ0wsV`V%NJ~}6o(Y%b#t&r zs^kJNMLThPKaE)i=-y9VMP0!UO`we?WuCDtux@<`ul_)%=T@n}a342fuh3ZV&;zR9 zi02Z6P>?$EvyLZ!sXSK7pQ{<2Tr=Evrk(N#cJ79OY;;3rsuiK40&)0#T>5&WYe{x0 z%YHm#6Z4{Heypv9AXZUt7VN<{)QK}AEhMp`#Vh=u00*w-!tEmI-sG_~Ht|{U<6mXg z<>^0jkM2=_rem)1$mF@JPw&$0Sci#M3Ok;LFF@T^l5xq&l)dT=62hfLF2umVU$P9jS-e?%R2cVbb?zl*xrV*$M+Nt0VM5`EHlJ}c4yY{=q~jHH*tf!A zOn&0gkNQNb`2T`RfVf`YFq{wdz59Hyw$`3Q3W~VSN{q^hMb4=VUJ`j1V2!33x;Y~1 zz_~{9W!f9Lt`JRyTJ*ap(F}is$G;&&iQt$xzH33vy7g|sl9q-|YEtz-4>8kKEEc2A z%<2Pm3`^jk435lI3@4n07`#F}Ebv$|jO{T1{=Bl}@<;A@H{FIt4zlHj)WV{bEzEHR z0^i4O(6QoK_vc6x$J@x_vtCcl0b9R{{h^To^E#{1Na5leC)GN=1`P}yM!|YLqydrD z6{*jWzLG{5B*U%tx0?;=m(RXyHHq{j6*%aTZbJ*O3Fy~SvXd1w@d7^OKhM~G$p@st z)+gvJpq=bHV!8?kFSE9}d+$J`l<7KyV7>0R6ALZ!-ici5V8&Xd>F2kspdIq+U}%{2 z7Qa#Y_Y{+Wd0@g9Qpz4syMi-)DY!v2B{pa1tQL|eG;Y?GUO2lrkh_e@&e70fZOz|3 zAQGHIO!_W@u(H1T2L2ry*aJbA10RBmGRF}-3hdOwNQx4VRQ}&X^{9asC@QL)YC$8@ z<`{*PfO5Y}?TnVMK#vS;gd>d9N?V$CHWv zSS*=()TM$|%mLk<-=4*h5T!m`Y2Stet z=-w5k!L#0fJzK5sB-UM$sw}r39y)U?O39g5^RQt@4EoUb!;Mn>KuSv3$E-CNhjoTF zK}9sq%qX=^Xn<~uyfFm7I4#+RfEVaIT|1e&h1RJy!ol1J2Xal}@8AAWBmD%isdjrD zM;EPR7`1NN`E&RYrx$zwAs@<5w-)vuVSE<+bR>ONgwlP zY&b6sf;$)!Kjw2U*+%(AQdx-Xc6Oep_iKHzhflojX{en_&cSbEm_9pn(4*?(B=meI z+4;L6$TD;y!ZpPmW8UFlY=R0!*zfm}Rd*KsphY~G--(4ZyC3BI)_%Mtjwg%I<_eOeWfn6Mk@eU8I7fg#Y`RgMp5PTMq*9qqj%rjE07$`AieO$F!kly z{!7Z+l7HSh$GM9oLE1aVNg=B5t*gh%vpm_?<<4YK-V?z2Q!6V6_q|R{%-q+}m1ywc zNRtWKFK*A4sWIOJ6MF_#=iy>0<+6r&|KuF?*nDXa$LT4>03-rxdtERW!bnhU->|9{ z0KDv!KI|X%ltd6)0%p4PCe1sv~2iC-6v$^BQ|iqI_{qibAw9&IxKLwW_X-vt`TOHkd}((Yof{(~K9r&BCL_Uu}U~jx6Xygt=dvwI8i4{+beE&=?}m-7WerMt6J;?iIaD zu^8yRneDTRAFgLGW;WYDD(1AO^E%mK{WC#H7Gn7Y>YV7ayb;)E)|PQ9BfM+Ta6Fov zQ!#B?-Vh<&(2NlJJ(>!0m5^PphS+229vF?#&y=2pVne>17CmjrhuV#J(}(r(-pv#2 zV7OIs(MmUcMkjVN;vkT7DhuIDURdppb5Jt_=W`Qi2VJ!Mm@<~{vp)AH#Ew#s?3>w& zVeBqhok-!A*c^gyC>w>Ad?*2ggL7VG1ZcJ~Hta)Aznb16fIU!_k;|#JIB<=+FxhON ze-?4nHr;ib6Ilv-8fIaK6pk3i6TYfOnPXvX4bMm^ckuP>TZ5*907xi4p!N`K(-29> z6g8_&MmrwF$JLA~zJ)$y#Apo(LSG9sbQxaz>q6>QfXA$?@fl>h7ZSA zc_=k>ef}Oszn>hTjp1WXX4?F7fXxW)4>IwVvh`((qdnsZ)s`6LJ1S(6=GR&3Fl9?Z z|LV2*V&h1A&FVnQ=SzGRs~$>K1RI>LaP?VApZi*I*hOp;MyxXLx@9ui+TDhEo71gZ zW8L8mJrcO)q{VbhVg8tspnK|<&JHjS`j&t7TSjHpiv}Bt+TvjE->2aA@g;oY(XW(-GT+gT%=f^F! zM-SW6tp_R{)>^|?`$j_iLFYz7GwPP#1K(B{5W&nZeVCf|UUwFB=(AOzYmQF9-Aysx z{eUdrCQ@wGyGGc=?0m!%9tdI_nviZsG^23qQlrk#IonU?r}ybP+2Tk`fh2U!LEy<-jJ6p5Nz4c#ObRj)d01J zfsBW~tZqp6S$|M}_!OvDNNML`(Qt%K4#&|&Rg}e!V8=~Qz6^_)m-vzbUhldf) zu_2`T?_n9$jGK@HJe6j2Jnkh(2Fm(@A02TfK87)5(;DRsM6~ip)3@?i1{iXa=X6G! zMO2!X_^~#=+F)=_lBY>A%Q$JJr&-rePt)T=G#rA+6U-;fv{Q>~9ARCgk3K3%zxkb+ zSu@!UWnrvz{cmj!sv)9GD!OuMZ?#?C54mCXRS0~{&7@!q*)nahj9}^mwL|Ojg40`0 zPCpHG5%gqW(Y6RQ6p|WMWWxAJ{6r|@4&iEYr*$yngE)O9yi8A798seAHr6o44)mY; z+b)>_ejtphbJ`rrb`(iJ(YnR|ar3R;EirzxJI2aOTqzjork($spGMTKkRkSSY%jwZ zD$O5pCF?@NGnnl_-B>zZ3FzPS;>{1MXtT zJ!Jc4f6j^LB4H8^glQ-GFl}nmIj^)&0lIX-G8WNj z38p*JZgRa9l;56${gvNfAAwkLMJh{Bkaa?@CkGAVv>oB(B4dA9HOopsK));a&pFtBE?&3>Nej_dwi=?ln?P<;Z;^;jI% z@Gfn_vNi@zgs?!+!%h3Fc%)t!3ket~d|>%ktPit(WxOKR!Fkf&f_1)D*NZS9gqX&+ z#)O5MM`e}e(f@S+3gM3p`t&pPeJw6`d9{Q4c1e1CUDvn*!dPoGZGj{Y9|c%e{L_|o zaWkDRZZcS_EeHb(d2c!28m_03apfQ?24t0kxfG+C(^I{#3&$MPks3_I13~K8k0SI`HemD#nRrBP18tl zlv;j}3Hyb!15(*={-tle0Kf68hL)?9L{!a}6Jpf${pH~_?Q&zd`D#l<%<4ostt%f~ z!JK;kW1!Y8w^cfxaeEq2t%r4qbBx~m?KTbmE#6O?1y#;+|N6kCt@Dzcec8;za@j0( z_0}jTn?91zgR1+A_)2&kbr~HxJ@e<(6K`GM`oG?uO*VQ^0o~(~zxx?G5nc;lUsaC* ze>(SD@ZS3O4|Oc07j5(ZLdSMA<%srasoG{GguiNNG%v4w`?Q=0b-!{7EQx(vtLfGV zd*Cr{X9&H>Ig}1XU}%nD8?%kzsb|}cQPG?=MzHtJJ5iv(+p$7$%(&*~Vn0SfI_odc z$t}{DW}*^&X_L}m&F+7zl=m*9dZG|bjf`RxX zCHY&vACjl**AZ)uF(2YfcYj+$N4Fz!J~8h3i3Zke6;>Z@SA=XHJ+W)nx5f*Bvc0!@ zxysr7>L7EpEZ0^0U*R6wBLkj{;C1~g+G`-LSwTD-qm6jP*N4~AR9m_GlhJ8uU%_SX z)IEN~Y1-L|y|vKBe%_~%7!xpU#;xCe`7Mj2Hpsg_Ro~ML-cIMzr%A{AuVP>HhOh?( z2ryu@WwA6-wU8UGr}%s5&ip%FypQaREov$zYUFJ*n6VC4PAf;`>1id}nky7$sa42y z4z0dky&9d+af`8;CeZ%^mK4h{<%OyfmF6`#*Zo`{df6dodP-GlLF2`0-4D1<*L~m` zth(FJs$owOR+`VF1n)7sTzx@er4sO|*Jht~PYE*#=HJ=rT+jg4_$)>sc+thqqhSfD z?;AsR>M6_--hWKZGTz(ib$G^ofhBPfAs8I(q!#n}b{?)4#eW(8x)ToNDZLf?MkYVG zbSPHAM~8PZh)^qw+@J7B8AJ&%=u+N9sBx}e<&tRzoP}67=hQQ3&RHaPX|TO*@fq79 zCNpLVF8)tp{a=wBjKQ;tHK}`#bxq5|&|B8VPR1TlA`8|w6Y?C98Id>>CL~ap{@cOp zf6wFpf4sWh{}2MzENn~Am|k-F!$z4-|>^qxYq7hrER z(Brnxu=W1J>~=MKpm5-ECK7}LjCjg1J>3=dx<0Lo-w^S-K8yrvW&?oRs|}#-{vp9P z@RBOl!_@*|sCYUKzm)*e>TW>`lv~w&fMVS&W%0gzvAg^`FFT(Zcv1*7VxmX2*S&su z=v(@!kRIi=f$7I`HdaF=MVvbtlE(d+WIa0v79}e3W^7At`q`h;Nhn=UlP8)BM>fAL zZG_=34wlRTr76U>^^ItVv!d7 z6;X~9!7<(4jQ6-;_jEjsEO=yj0ogtYG`FbX%L_K_uD$Oh`6bV6$n;ogHka`?a6p}( z9sRq0kOuDzryto*fgg!_J>BLCY~<8mtxXyTzk9h`mGK4k zMn+;{HLetaV#C1iJ>%OKB-@M^am|*!A>v_J$257OyASeMoY320|I&m%>|9dJzsc0Dw);WrXys~ zVy57hocmZKuw6um8#tU`7ee}6O;cks8mVtN@~=e3XBQGTE2qL$IuL$#@T{M0-ky$5 zkNiL0QFT1$ju`xA*@8uR<#MkG5Kc5f=d&7;?`X|2rCAK#JGn}khJ_`zt38#!P=34V zQbhppANf*kk%rTsau5vLTcv<4>H zUmH}<3Ag#`x=#EPm(sF}7Y&rM;?S8uX*s)`paVK(M-=bLdxZB|emIrfucp6>py{F@ zsn?cZef=w->4Q>#p-WnFC!TSlRn(ReHa+j6i+>b`#=4pN55*~a9v;n?4*Vn%E;J;T zdedl!`0Jy*#qZkS!`GiQy7=Kb1%w!yTMKtX zOA^73rsJT@uk2_d=|$Bfxj1(7Dr%ja*k0^$KM8%n;)ZN=xrz?VT$g~vNw`5 z^y*l%vM|?=9sHg89)lt-?`nVK)TfUTX3h?E`k z3jfzr7bG282Ky5yZdVl|*S2<%BCS}50`66BkMWj7ET;k-%Mvwua8z2fUf6k0Zwqo& z8M&vP3Tg7rW_7Uoz1S@w%h$~z zcAep^MCgJ!3oE*mBE|p*OMPmR7L2u%u~1OlyqVHaHVLB{C|8vL>u_8eGF@Nx1T3zt z2#mGp#@Mw%yLV87M1H3f3L&?o(@fasiD}y9pN&e!|MaSSs<75l)}M3|O*0bQf`diY zDNylX^6Do!B|GfDYO~Lzdl&ng5$TdyPSxdMyr~n^eJ{OihH)0?ReWqKz1Yup`d8d* zh}J`A_P>jwrIP?mir;2am+hnFF9m9k2k5_N+tD$6k-IniJ6Iy|$&&U%g^A7V4}q%Q z-jvc-|M5}8D+V$SuZ{u#>I{B7vp#oyX(l)xp}85%wlRCAP^x?7JsW=0*IQKyp11ya zTsojs*Qi>$^->iu zp6|h$nPRQ064?BUt%p&{=HhvuZ+Vsyo;gcK+C&4E9ACy8j{Zp(o70gEEc)oyOVNWb z^DiW&_r<^)L{HZIKn;O0NynOxFX&8v{sd|V>5?_{rrCCbjzfIE2?z$aFg<3e)`D_G z=C|Kl0J}C)Xiy`zfCNcI%=ZISH;bGIPyhQnZK(KLx&J5p=6SnQE3%FOu$j*zL*H9u!OC-3*>+L$IN&KR%1MMVW-}Zh!N}Qid_d(d z=|_en0Hbdrmbjv5XY7kjat^66Yva`y`%Z|#u{ezj4|x**LqPmW0=9QeA)UW}OETJz zTrz|U5|cKS&`fIN@^$eg^rd1zi1$*`89dwx%m8my6uMppEJb{jwO^D20T;5U+B_y~dg1F?MC; zl4l63{3|-%sf<^CF9`+zo?7rg&?|yWLK;i(hBE^dqvEs`tH9?Ce_i-~o#E5#6UHU; z7JAF@W44`9IZ$}K@Zxshn!f8ZteK10$$cyLAf@?Mz6<1J08esC?@?D{-U>l8&jaij z${Xg4pSkENRIo^#eq3Q&qzL)5Yz{k$i6htb7*C4|3}e*JSG=_&`s}WcuM1kp#1HF-@lCm)YfPXx(YyXjy06yTT<5CRC%?t;Xn!{WhFx)rkv_Xc@6$ztkm@8BI%{!^ zS1{=IG+la;9QU}0X#85~Us+8PYjk5ba^1xLJj)-&K?^dA>%(~v{pCrkjJ;VtEJ9^B z+cOTX_4<0(j@ew-chIGmyh~GCe_qb8XtIKqP)re;aw-kRG`-VVGq>X;{Ht8VSWPvU z8CHS-ekCS*O8V=ZP%R0xMVSs=@{mFPyeOpgE=h`53QCVUN(WLTa1gQ}N-2swi|Y&i zdTGSj#dxezuz_Y35|;}S+_EUN5t<%_wAe^)@^uqAoYe5ICJ{w=6n2NCS+K>14mktyhou+ezsxtLnSg$|exT8f$hMXVnR{Trdo{~|rp zxFNo6Wd0~y-WD61$6nHoR$4lkP2}*xYw4pHD-b@en^aQ>i;MKePyiU?h z5&-qZ@*Tl1>ASkwYSyEHV`VHaz&xt8!ay^U3cQ~I3?`D>A!2AH3r;o*%PwuL)VFDK z_e%)X8w#^QEMri*`K2&>!9y$gHOji?!u`^My&T<{oO2{5e7ti1vtMppBP}BOn1aFU zY@~i|Kg84(9MvL&rFNTZ5{>s?nPImbfWE=W=cWT&{6-hh|&fO$e#v+`K{bp7 z$dc|NJweoQq(j}!tSkgIY`Wkb3m(=I zu~U$rZKVwO0EzNpo?-;u4;rP(FQn*7WZ|%JA+-|T5#q&Tv3{2h`XYfQ_mYX7XU}4@ zGTYrHG<21062h<44mQIm=~S_4_%Ex>8-i6fgRCFK`b8`$cOH6klQvV>EvdkX=Hd!Q zzS8g<@8d^$EJt^w!yaGd*beo6C4Hm1}qNU&Q!y@8u9X zXI#i8cjILI0;NvLmhqv3EjGyT(8@MnD+rUM>;3XXRbw$l2bGf-NrsaOipOBUJO?+f zRXE)N;Uv8EM%~iC==s0~^GET1!W_c12gVDw+TNf(HiPtoW{!Vuqcf{1n*4S_NF1X; zXPsGQJFXp0RD!MMrA@DP-mhl!o(CYTcgju#-7Vd{#&6uS>nn+4eRoDAtn8+K15iRaInGQHmlH0tYTAd6}7uyAXBZg!I zUE~PY-kgM6ZxkwTC1h>xmB(2(CfMxHIESjT_mhc-{eDgWI}6M!k&B>te|TZ?N1*Ce z>&=hL2Mgo3A@-%krM~1IA~US;o&^_I$@Xy}V0Ghr;c>_pUs63yoz@+Xkc>PQwQuAO z85de#i2X~ru<*J@46$0dK8iR3x#0z3AWL!jy}p!w2Veg;Q=!!)aUPpc+u}NnS#v#y zK}lY412rfj4vbS%xxxKz)-=;uJzuSrK(qm-I(zidzY#S~*x0RkY+sVk zP!F_xpaWD;7o-c+gTz?IPk1KmoAw+!w3%%}JdAV)$#yTFL0#}S;dX%I;1{OuZ*R=^ z98WlcIuAE=bHmw*^sAph&0Rxn=_}<2tAc`#yK9UW{-%7cVVZIPLmt(r8uiw!(|B+% zZg4|L^wdY8?>@@|kDYckrAmbhaJ>aCc+4%#rG6gWEh_|(#lP7G5+j}D+OWfbwY5x1 zS6?N;6H#wiGvGN0knL~?-_2#*)#LO$zf&Jy7lSO_tGi5|dRLTgD2J6UlzenLf4V_V zRF2z)ERreG9%xzh2Ts(^K%rTp60a%k=SqJjC)ON;Pvk7iFZ4)#Q6-I2CsyUnJjoqj<;KAtuZ06dn zFFt?k(F7hnZB7kGO3t&y(5-2yyFl`;l>_u@tQ3hTn|F(zEQw;y{)rm*c0KfgHUNw% z-agS#-W{%Obv#$XPK*hT7{?oZyl5=uphnT4b&Ii3p62%fu7baK*?Owu;)EQ>LP#7E z3uzq^eg&p+o)IR}1r1#gxVZ@AQx)MaFEgVYg9~=zIe}+nuw~j;j1F~F!Nu;^%NR@B z79<%U<|5Z3BwSgO3Pa+n56cTX;Ai#O-F9FL-$=67_p)vx_)+DTi63gS{H{hT&x!Wa zXISz8j+5V&WgZNY;{=ytf^AU_&TOJ+voV|*>0c9S^Yd8Ol|J`?%#b$LS*6-WWBI7# zAML`?AHuMBLZ}hd_*5^8Id=tC;cr?NN(jk9=W}W@;w)Qv9KAB3|lx$p5I;p0d3DQ=> z&}b3EZ6-wrh9m>hhqtrVdfG-Zy;b+SDyH89{i7MooJebXhbku}-OK7p(Xm_U?4TzG zPNw)|sEJ`oN>=#1p=_t)@|~(_RWxrC>qYlxOI(Unira31K+>Y$BsKIL)-9QMkX+aUns3w zS$P0LC6G(hOJJspH2v+!sj}k9%tPQDTBt~rzHVhA2=nU(dXBgn8tBozVB@9@+nxj0 zzPs*p_8iW!ze6bgO?jt>M&lPYJ>WnM3KZ=>ul3K-n{9zpl0|5QF^0Q8y=@adh}b9l zMUW7^4AXk2z;*jgY^Z$BSiV>+!6}FgmzM4Xh0gqW#8PA8TP9QreF}duF7q(K$$@J@dSFCO}=wX}QA D zHXd`nbWYHyQ8!Bm<}Tz`N9m|hHI{TBfp$mkNsHImzoMK6P3~n{P71#GKres3ULQDz z6J`-!DyDgh13jqXw;t>{vY5I=unqNyL zD6tHFAP~(I9bsuk>_LL1+xOMn;=_X!vkQA_wqj_a@ls z_9(&T?r)pNom8t4`}bZiy~q0$YWtgY5P19FCGlIw6Tj~RQ}5dz?B2B8^0}waoXh3S zMR`)(75Iwm1?-uGrv@q=29sQfY7&nf_Y<~;6d6ii2*Dh6?{J1JHosm+^FQysaA;2v z;1pS(=!#Pip;I@|-tGlHfL=fkOp6D;Ubx$NDIXnMvv?mdwH5x>eW18&&yu-oAN)y$ zFV5?}q_oK#y2#$~=N)D`o1c=AC;Rdr{KX}IClTSl`-c=POP1kM2~v^dr|^lls}!8#J1b8t;bahxAO^PYp!XhEx`kbQf! z5&2`#)H0fJBz+P6O0%kK zDSA-O?4%Uh3hazhriunl)Qa8gY#Prz{lNLBw6E==FQkUeSDCa9teXYYBomb$V2Hq0 zY~QIK!P)827bU_;8RiPE^%M5P-M3}_W?MI*aBVH^=2G<-d`R94>Iij$Pp#~+dndu; zpQV-m4b!2lIU8tE^f-Asjn&=1kWAKc#Wu4mf08B|1XR>WRDK>|RuD1j*X4*>ra~-+ zlO!ML9bx(<7{^~mmv5c17>g46j&b`aL(VYL^?0N)`a;e2`Ax;%fd}gM=Am9^Id(9S zLi)wO@T=4M<;wtSC+myLL4#IXJ+*sb*4xbj&H8}?`)ijL+k&9I$ZP59I_;4axPwfS zuo$;KFw$6LfY(t+tB)hd?msGxX8Rqq;!Y|mfgs~Q`^>H4BG=$U)4RXY^b!Wr(+;l2 ze;=iMhATv(SA1r?a0mMEsHopnZJVk(8wZ^SUwTQE8HhB*!kG{h+wc%2b9 z_!N?uYhqFj`mEsYVl3J`U)FKC|Doj85bfMFy0qA4UuW;$itdr7z|(Ht<+l+f`S~wU z)LcNxWsb#gj(}C^KiiAMR$F*7D?Uy_Zn~rfSL1k7can|blTbID|FLqCP%`XRYwF9x z@b?`G$)TzyJ)u_Pt4ItTuZE0k2ln!Q8<=9;@A~2i@9rDo{i6$Z{opiw4q5jl!#YFO zh17!wV(`H)LscOZbG{>hew}2;XIlVPpyhfMmJ%M|9$qwZwG~Gr)UCyuDs((-(8qJL z?&vjp)T*CxZQ-?xZg~lpw5h~xBtS|OyOl_wZ~csd9c1fF{83mqn%9wJx4C_%-OMhw zww9OWi;eu^Q!ppshI|NB-C^(kw(j`Q-P=3b;^$B)ABO~zD7yFK6OJ2;a)2l#=SOQ# zgs!{bBnq3mEsDh~g*6x`exo5%8ECBBi#m?+wH6Qx#{cbe@Qxm2H0`V+O0XqSP#x~Z zpZp+=*K>#bEGqsSLEe0Jp`2gzf zy?o;KH?ctHkLC=q1uXff)YIyf_=J{n{ufco^n^Y^vHhI$1?K}#; zltI%wPdD=)dn%GX=cu^evrjUn8fTo6>)mD15&wd(Tm#a|7p4PUvO+g5q#G4BmI2HzwinE<<4fA6#A2d9~p9SKh{LF`6PSE!hJ;B&4#=oW4# zwqln=xr~n5!9FI~kczUIp5;bEfv;?F{jASqZ=X?KcFvmn>rQ+fP-^`-DiRxv$4XIV zisVZ^_5L{z1Gx6!?li3G>9z;XrAjh#2_vaveQYi-7_qZ0d8M%#dP_nEjeCo`hUv^7 ztbN8bNX+ycis+&lu?^O85$gQ7NLH_*Vrof`YS?N_Z zR2D2j{nE$%-uEN;$f!3~^{v@9fz#G(@^qLT2P&Nl&~Q=WNwqUL{fgZFQ^FfI)V+tM zR})Qt;yQWS&U6nWxoR`$)}jJWUq6A)@V<1U;dbt%?(HAd(&ofpXLH^)e|}mTeZM)Q zqn?lqx{`S(7~9p#kD)=78R!q&2(boggswjp={qW8(U}iyDr8-hG^V0+Em>4s%S(P?Ebb!t|-xb#s z_I+~Ug4AAYO)yJk+#fpn$o-h0!wv3_orBJRpu&$QCO7P-{pt1uj{fo-z1t>Nkt6dG z0w1~X*P3EIZ*btTBAgQ+U7oE|uRD9Z{rO2aBcdMbA%-vAa;B6q3LGL0UoEYe&{(AX z29S-#qR)tulY*V1LhxQ>inpmrCy)k{-;L+f`0&nERjJDb@*e5D-NX75qKOI}u{lqh9x>3_Ble0zQY-D>6wr#=hb* zsM8#atlD$OM4S2YfvjU_hF8_}?ex58&~Zt@xr@vb&vZDGIX7oN3fM&|!5^8B6MiR|kh{*3?xPqp}_C-ET0=#6?h%m%rOSlZza!k5{WJ zPUm!y>w$T&Yt$S`^g1t!87uEoB+83Slp_+-iH`J3^TRlQFs*#*(JXVx_RB}>o$VxY z7ka8+LTxd9CCz7ZtQ55At(Zu@6s z+r%nGe&>(PooU3EoAi?Q5Xwd!d=Q*lB?Z!nyC>&q``deYe)C`7e_n>zR9BMvfwh3D zxAaf-Q?a2-n(wzylV-M0Tiw!<0!{6h)%TsCPqT;W`p#>5wyn4LKH=%NLShKtkL)^0 z&`6~H7^`kJ18Dk6!X&^7P=~?pxccG+Caito>G)UabKBLA9tLl{quS}kI$cd!$)H>5 z{RUyjFXAeUx)T+QVe-V#^4O5=gm*i%I#C^=JVQj0xg`a~(G?o^X9u`en!|?fr}KBS z)p2D#j}()XSD7O>s~Iii3a$7sJYNfwGshg_@|S8sz%jwHNuXv0ORT`zKc27qln!QN zE)FE~5MulB%sL{g9aC{WTVUt7g z`||=OC-)}1GSgL`ovMJV{i3rJ{lumeq|}z@fJlSI=e)p6bT@5g-{Quv{g^PXlKm)Z zw-Gd8u@jg4$gSFp*DL%N6UagEYPmZ3IY!#Q=JU3Asx7g@SsF7BzLM zJ>7VX@#T+eht<-giNR-+cIr|)|7^mlH|$u=q!e(mNjGzp1-dSaj^#A1Kqj2)mBHIq ztvGu$^3w!#PpG)x-;D!yT(_ox$C`4S8>_y~88Jp|H@^}+3P1A0=E2R=Li%D3R#Smb zM+7Aro}b9!Dg#9H=uV#j*Vd>wce;`~8?EtUpD00&F_Cf({P>oieT=S$n0wR7N;Alg#G3L^?- z!mcJVCNc#2|B^2~{~vZD|CdmyK?3D}3zfLv@%|5?(ncDh$g~L*D&4-Bb!@L3MaS@7 zL?Bv~&Hw3?XjVj1F9T&wEQTrb0mb{=2Dig^kk_L-HNtZA&kKvxil9`D4}YEW9?I4B z`r7W#hXCNSNN_K^$Q1(cxc&uPU6tRc#&w=FMLymNWOSbF0-HJae+?hbj;}a?+o6Qz zM>*hb17N1-Cl#p-rjibvlLe$jrpGe2`XV119?THZX`S0@5Mmg$M~WvM`oRBrbT2zI!P1g3SGfSap}3`4JLrwNyPl*7GA3vF~K zYwl_QY3G$l3H$!xf(@HU)qJYgtXKJ@LV{l9%^6qzeQ@2~Uc39l(xy%MLc!x@kfjL! z`0R4yPJfnn;x4w{^Sz_0jY|uTVKr` zo9$l?%Z#Q}901kTUo*2-sUFU0#bChuiJLAeHk^&0;AijW(tJpl&|hq z!EZG8eYeuSr6LpYyt@3x!sy>`6o(qxw9c-N#q;0@GJWe>HmI2huxRkFrB!w*poV zYi38ds}&+`TLSPPHJB-Q1D_!Joki!mG#|66!RWOVRyA3`FtYKcrG|PMN0U1Qn#0u)Oc{jGOSF?Q>U9NF03NF6R^BFu> zvCFL;=%@OrO{WLV>(i8G6iQz|gab$)b_szQBE^ZD7S zM)uf0W-xbnGr_d$TeHS>$G;e(k^)o5T)w24Q_Qof4x4K4xSSNe-a4YAv>pWFWW-j@ z&v`FCIgnV+ADzX8Cw61=0>m38t-pU7a>WSk*Wiayc=kQyhuc*qdA`;=M88=1f`U%C z;Y5CZESaN+EmxaLxj%fEc^!J+Oi8%Z~aY^0Mh{F*mgW1To z>m5^?3r4zBtR6bWD&3jqd-mMbZzY#B1VqdyUn`D<(cHt`D_>nSL`zp`)Fy>wOH^*H z(*(!q*e`Cp38(Funry(EO_a7381l@76zY}A&cl((yDfIZ;QMMO;(1DRy-{9OTu1=2 zPuw=I-xCXw*e?KozuyyfvNOrVRfnO6vC#hqF{IU&FNDJ+2QG+xv)zH ztnVr8=>AB<^rgSsJL5fTEq0R8m$mJEG`aU`ePdvNQ4#S`SEMFW8QVoRe8A?%$g5a6 zX{RN$wD*76=2TZH1+hvMcAx<)3#T-`^^98N>bh1Tf#zdi zX@c^cMPB%}(3KAoP#d+F4{7x;3C}CA`w1^v2i+Lji*87E&!>I(AqG~&X73Xze|gPH zD`+g_u|mlqU=p)N#!f%tfoawo-u23rEz>82d%;%ZWfN^u1v9>yeZt);9uNT(9Gd#VVh1y7g3camcGRks?o58(dRYv^Y~AV^eql| z=x6W>u+q11TKTAr;N*rrU#)n%f!xxgWYE z*m!qb&8*Kv)sD7uUDa(Pyv+}2a7|*%O(qdQ*Gs8jh>o(&IKxKTfEX<6w`kDJJWbi4 zhCo*1H?k(F8badO)!EXD@W-wR5AMMS{5$_41ReVqy^V-Dr$$cs8pD>_N(nHI39e~; z)~-b-u!e{7eyaZRvwuoK36_S#5ZDCIEYVPAWH~{I_l}+|`N#VB;wq4!<##gFYIXvM zcS;WGue|cmQ>$g4%5B##pNKUyeIE!MG0Y@0Zl<6i!Y`1A6Oh% zkh`rimt<3Vn2gMurDMMeR_(2`urTN1)oBu!a}U$VU0x)A)K5@~ca7upOFSheC^E1L z-Al)lxQNItoeg(}7zb|eOXn07t>-A>_Tm|Y*;Y8Uu>K~IIC8?~{n}ZuJ+fHrkXa!5~ zy6~8<2bq|8zL*tJF@k-bqM1J9$?4`)G8ZX)>kXXp+Y}zLO^q^!&4Ij^m?R61*O0ni z=3@H=;hv60h#RRJC;V{JY`+n(N!GnRqbGDgAvb*UURI+bLMb%%Hyd zyy%*4GwGodb- zSu&Xi7B=w8a5R#8!9zu*$o6KcbU#wlRAL+G6-Z+f8%WMCbqH!Ug|ZUAlXm=#*b?=%1Zs&WnuAC;svoi zQ>8zQI6quIJN*87p)zPL#qp;~o2=FZ7s0-d%~Dcy!Sd@z4K9Mx#gu+oRD2H(IF#U1 zFNcRn$Vm@sGzr*Q6wFptcTIej!OtZfPo*e`sX0V&rh>m#%xE~-y)U@^qW*MnSf|Bk-17DGb6%-WJGA|Cy8Jx8QB_qTqi4-RnH8h& z<-q-(K2f*6i4zdRhf!}(XO4efJ9wCYPtPcyXuBMvl2_mD80b2CvDv>6>lHAdF8_*P zpH1WJMfxW=JMqr>IXnIP=Q4qBLA zKGE-_-2H9$voPks0$f1^FEl-Q84Mc?6+}3R8C+iPiSP127upu*gx~w|Gewhs*;g!T z%L@Mx2fFQ)yeUpBIZ}FQya&yhKUL*GPOmO&!{;E>kCe{Yqh!kFSbw;t#!n^O;SW{D z^4*~E1#k!~NMt5wM^G`-$hWrN*!ws-ITZ16nfZu5)6Rjy+~<^-dTzPtn78l%hQIOJH@hc{h20MNu;Jx8dU%#5Tv$=lP!;fEPiBV9DJ zPbB&L=K&z)S2 zQ=8;Q%+c{v__!*5vCfX?eF4LbEqqQ!WXEtbwiuPScTKZ8*?9sNQPT8b>Yo2+aRlry zAZ`6Vu@Qy41Xv3Dl0xRw;CDdF7D_<75K3t)iD>EflER|M%Xf=g*gQSSz#Hu4pN?0O z*VX2i8_qNtEh*ReGWout5)+aB$Uqr4JvS%5Ht@BZZQJpscN?7Fz9}m{vA~fNC z-yVdKOq%Zn&{{s{x+*k>kjcfX5Ynsw((XW{LleaCk7c@?f3;JKUt>@zD?KOtsOm$= zAWeVFh-h!|$2X{gGv!LD->L$$pT@FFxv19~r>V^HYv|*w3`ek1pRnl zcQRho0MI}W+{xQ83;=i11sW>TH>eO354qAXjdUK^Jc@L`OW=8Br|#e_6ZVUC@JQLe zj!=;MF)tQyhVhBNRdN7}V-d{RR|x1c()J3zVK+WID<`ziS zL&!eVCNJ~fkwBS4Rbglg93=nKjXYs zTpn6TWnr6>gU^|uL44CDEKaVOxb|q)?bXT`DSGMw_x+8JYch!?L|iVxe;Yfd-wM+o z6Ry|&z!bhAQ_^6^I)CPunM+ZsE=;5?N(~#AN)m=Z-S%NvyJd~>d$QlK4OU7mbSqR} zB9b6K-aoShGRwo+4@5rYRbG^>x&+-_+>H&({6<$Z>r}%Wh}G?<)|eSIKLyP=g+-i>2~kP3AP%&UeRTyk@C@HrmbCHu1g&wg2p~!GUjX~rYr3xjwTJAk#L&Xz zqz|lT^>4ecIH0XAwsas zVpRN`qYML$d@|7`dtIfD`_7;s6>NpKn_ICkW|-<=7-K)(%a$$3A{HPVdsoYQY%Htu z^e2#JjWx@UurFhqpVf0StE^FT*c~i`?Xv?CzXQ};`rU9<1#wHum%hiRzmr+rU4MyD zF{vr5#SZt=5b`@B?+HxriO5CpnxkQAic)EhGP|&a{^`c{N|s+#42#={@xvAySUu+S zTPiBe&|)^YSfb)G$JF`0)9}K7hsijocmdFd>6@wDFJ)--PLQse{$o??559XbuM4sP z*=D&XJIvKCq+B{cTboSd4?Qokz66l{^jerTnA@C|x_};Efj3}=AcwvFZj6F%*F!M= z(Ymq%*qpB(Wc$VO>$NsA?l76*^!or!Vbb|^cs~YEZ!lf|Ks-)`5m)03FQk785Ud}b zG#@(7Iy1N(X8f{wJ8`?v5-fR$*KujgFd+cPi$-NJp#@(Yog~Vg;gGlGN*-D=nh~^^ zpe;rgN*;nf6>f&)T)|7A&rqLYwsZeiAd{S@U9zo7^6=JE@aFxI&|XYg$-I$rLP)i7 zQ!~NYBto!1GvC9N-rR@N4i|tN?Xyq>;x4^_{;F?DsF=@o9o%dL$-0pu zwfbH?nFzH8rYbv2HZdrYs?Q1k5B^KPju=jXi?qgN0W6J1ZhEDEL zsWM9{TzM8)`Pn0vK0ao$Lb0Kro$PMdR23JQYa>>eYw2XoI=4=e2dHGETC7C~T~mK| zB)BZGv}8-=ddF+>0wjhKddiKM`<7_&!tlIvSaK}1_T_aQT)?d|(gIG;p;(JU?(mU0 z(J;^hF6t5iZiIr>eb{ca@mh4cFay_qvL!odw>kOTZfY!9Fe?36surT4>cdYfU0H!Y zKhw*1Cf!Jc9JK)OC3cL750)B*5083duN|)sn&TpTrw^^yx0znehx9@z7tL&vT<4lE z1SB`U$D2BKfP<(G((Ww>rL4tRuyNeDPiG_dG@aPhevQ!!Kd1t?EQA{*NPnDn2WzrcrV)^Kx6chLSD-{|wDBJW!`xVwCOnXQ=9_GyG^u6JWb2y6>lK5=Dzp^tFZi9d1k%l^Q07YHyu> z1BuDAs2eRL$pS%;Gc^~+_HU9A@qA(W-BeWiv=)`G4uiIeW|@YuVyOJ9Yf~2aD96TCY4~@HfW%6NPh6RP^5hTn+K+d#7y;gq z=qG0t+Lk+B42lsESMXY_v@adpH4T=M%9At8e?8{J*WeEDUbMjo-l2O|DvGss)x(!mZxsU<$J<4_1Ro9|x# z#^%o=C4ibwxc!ZlQ+BBc2gnYacqy5%no@!#ic+GN@-1-9vLs4hA|aGqSUEP!#xmLj zx&%sYG0C-Mi!qFg;%(v!6%rw>nVQnjK?b2;k%TCt6dsXWe=O%Ye6QUXmH-<^E+m-i z6~s3^bYn4NyhuD~@$ZJ@`wI;R^$Wc-XwM5Smp2Us9!=kqcKV{lfQ(N^LO&mp4wSGt z_xB!J{yOIe6(Wva8oE0zy1ndJn?;H@GFh}R2(@UMuEkFai>$irl2LzjPl6PH)ye4U z?hZ+|Vh#*)ccnq=UF_faJ8-w99b2ux`LA96i9dDJN5sg00J8oWv~w!jY_4-F4>7(r z_bRE&TOZI0Y3BkoiQKi1J6rFs*Y@UkR?_7hJe;Wap|w_k9s#C2r7X;TOx3CQ>r(St zc>vej3UEtMWh#2dR$PKX?tmjx(iPUwr#L((RRh$2%bN~x-Itl70lXOoYUIojca`$(Y<9q#IbyZj_ zPZZ7D4+_^sH^#Fx!;T;MsMUlXv>-!JFkCi8RE**h*m4eCUItW z2<%m!W$>Qqiz?vZb@%Nw#Y$ZzWwc>pYz?t{<8EYHUdrivr6!OQC-l|eV&NGSZ9id= zRMafSO+su@_}kV(O0p5`mKZkvz2Y5X3}-Yo>?aVMo){Xn1P>ar>1X!^XI%`Znfd6N zEkv>FS4C57e*-^xl4I_OKOg5K+ew_8I_pbYqMD9UDhPWBn6)6XIvt};t9r1F_pc6f zH%~66Nzc~1=i(OUq^0l}7N3n7B$4@DYW{0L($hJ}U9e(8tp$hZW>2K%nT%!G?$hI+ z0zc@G*=F4$em!USJip{m#)-?cfR2%pQ@7o6B1YB>HX`plG&=aXPF+*Un>&^Bs>Dpg zbK{XA3ysPPWpAo6)?8NWcv1DvW}C}yi$^M}kfA{}wNQ^V(hO5wYU6PnlD(7sa@pEX z+}asqg{~aV%1b24{8r%zag1ZI+B)GcCdgmKH2xq0HOxtNGIHj$eYRjR!t^D{Y7|7dt?j)37&#Y z5yT5J7fD&;yvR}pGSdkXoazKAehpZu`_5cqo^tW3+Mmy-=Ys+p!$h+2ceE}cp_%)) zg6;mjcX*mkQ92pGhp{xGzH=$iwX8}bC?n4^(g`a?wCcy@OCvByce$ZH(g>v%qw|2z8aPa!e3UE@1&4m)NO3M`@~->q zQ!xUMiZ3_L_m_02mXNNG9GZ9PaPaYgU+C^g+}=i4rt{3uqRql> zXY+H%ONk^>*+zeeA~m@zV$_Psb8c>cOQkGcNkiUkFc-S#rtxZXV4T^XZgeXYo5HQ~ zY#yG-ayom^a-pZ}5|&pYGt!yT_HmH1;&2@+_5RqWg^I4aVV(<&i0Mtl687+<#)6bb zxO%P7>SBQQXIWJKm+LeGP5UwYop^$Ryk;Y;t0hR;bwms~(p&S70cm>_WI0dL+B^wa zHV>ib`t^hK8m7`a_Jqr-@O+UE5}63oS1ZKx1WKRoh`@w%o|@XN1WGB(R?=@-XO_dy z{2`?5kEDTbW-fgPsJ<0P5Z3OQ32GiLLNrFHx5NQVTX5WldZp&NA60O@jXTAayAQ5% zj+4LUuda_=pMkY-?AVw=kb&f_oF3Ag>BgeR#o^@5HT=nGfAG^TD0|x&($LJK#4!h$ zeCIe5%Ky42?{vx5wdGgekkc;5iu29RVkqiNnsgH(vewX>NOFls>A41xd1XE)hv~K7 z0@V^DQuGlUjbOf1K@zeTEoUN*zGNc0Z`C$hu&szAQMn5C-e#l>jo7~@HILfy;_(R~Cy$1s2r3{4@2FW~aV(h% zVb{ne6r}WZA22^Tr3kZ8sL)hn;z7fJoqA~X=BE!LV&`jr{SQnDF&?ux|Pr$Pi+1l<$W^a&f}v}1y_nc7%$<~GwFU1=U_M~i}q`}EXs z3De5z1Ts<#P1BAp5uxpN>K})%>7^VZ?rs__>a2=vQ8+=BcaERo9xjVd*zlNpPin zKzr)K(p)t!syiK%)Daosm;bj<#D+a zil9v_HFx|yxx{wf>ghz#H8hL*(&B78JDfB1;p)eSzb|>2{Z5sEJN&+#S}mXGY=(DB zS9i<3lPFR(cgl(7ky5zYhL{Pj=XZtZa#lhlwd|a~- zVsqrb*hk;ZCgIkI`k0-C9Di8o#X~kgTL(po=iDb+3F@AQ&pEByegr7q{d#0=4~#Y5 z$m)p&MFiWdxKuy;0-nnc74c^0PoRTh0vv=o=ewNz_&~f5j$g^Cq+Mxz8MO z@Cj`}x;hH)h^#|)9y1@fT)Z5M@}GE^#98EFvu+Y`SPO?(Y1s3@(3e(qYi)p!T^t0YvzRa5x@Q-)JE(cqx%&+0! zy%BboCbq0NvNvT$JL^W*{`jY&M5O9{h?+GgGxUZZlR-@6x+g>>Yn31~?@QgY&9r6m;IznC*t21i6gtW4rM@3{KL}b{$ zFyNJW>rUssgSG0ld?Lnf2-uMYF-b0V^--)3vod?Bu82uH(eV&GU3scvK85RGzQWHZ z67JrAmOZZy90{7)rb0JBu@fFVluci98jnihStRtNmErr1wqIU}uKqDMK?RFM{%pXp zl1iz4f(Ws!I7CruD}u&=#ypBp+~hv-{+Rfx!gt;%skC-hbR5fcLS^O8= zqh$}Fx}T(HV=Mn?^(zrj;Z{pmkRn=q9YhEsjy7Fn?Q4RUv>9FKK~bW^^5`~EH1@|I)&(_Y7c<@6>83puwrwU2_Bkf7r6=FY&st0xL*H)jjdYwM z`s6}CBay881}yq4%=6|Hy?t-oxhK{=JCl8EtU4u6NSyRXY4)z?_!YR!5R}IS!5vg{q zrJ48dk<-O^cW)ppAKzI9*((EBCYOptmNn%?;cgm~ISkJPleFFU6#N%-`EK3);Twhn zq{*TdwO$9?!RsLM>M+>MzP3R3@>d2jI4+GO1M4~#a(LGL553I4?iH6H#<@yTW>e~v z8%UmAxd_B1j>ds+W{bPfo9oeh?l>kG+Hiu3 zDUDmllSXxQQqF!W3l8Zn&Sm~8SW^-(?e$~?IwBxj3D2hR_YZ0VgfiQ~iCwHk=(Tu~ z*Ay6Wkt~^L>(wE%;P>iihxLXpxm2?R`t) zv9!ABjUi&yTXj%O!cVh?f!fu7AHQNOZA)u{fce8}Hm>~Gi#OxjGWG>@Z4<#Dh2guo zoi3Tl07rWb+yL)bWj(Iu=A*KH@nsrKq(~>aX8^Iv35hiqc!u$KJ0mbP`oWjx3Nv7=ag#AW+FWRC3ebKxCXnQLt~q90J*q=HD3 z2OWlX+B43tt8MOMFS1SQrkzwL4@n<>fVGCIk?F~w zU0=a$0pg3bYn8g?ELbjdLhdBC*Ujm7O6ng8*!IIC+YhHjQWNo@lJaUlGYT3YN1P^6 z=c>Wz`o4@-TCTrT@LA85i4~x=znm;D?y70tW?UcqwaeANPt}0q`5n4>;nr}~12c_; zM<7*OYWUt9tE7mnSWpcU`CASm>!Z6;Nm5+23_#_zNEZU;{9URF^V;O@rJ}eb?@;q* z&kX+J{0cAU&@pZ3OBGR2wy|o?!zR87gX#F&aKd%_=KWQ`-?g-Xuwl>i@0XE)hpPtQWpo)BE-L)K?6&@L+d$j9&;gvT{S|t- z32nH2xmyO|QKy(UC(JMOi8%0zdcIDaJMw=OuD?Cq^|S-mQull9WMg;N)%D*=V(Olj z&tAyZpPz>t)}L(Fb=i41?mPb83tk-8-{7 zglq;@EzSbDXBI-OE}qtz3IyZL_!ZFiY|jPXCk-wN(j{1vbVKif<;v1N%02J93y)?W z;!kCqE!#lUR?h7gZ?DV@cin-$Ma9$I06rG;bbcu8>Q~EX`k!}6uIN#O0P@5xNe;Ce z(}L!{tHphYJ!fgH7g%^PJd0Te{cJ_2}y9THb+Pdc*q30dXhUQFr0*)nyj-+>0gvJF_p+RqfR1 z%_KWPxAMPoPChToqkB1NIZHEnqqA&2J*Hu9oxjv^>f07H(L#@Sw!SW zv(k~ljD_jIee+41%donvsTq*A}eu9I(9C5FCakA+5^VWO^>RMRez^uT_QEHwkgjAqehl?{FdSP({MoYmyVCDPFB{E43etE1uE#! zu&PSd9&!0uuGLJ*sD%klKYi+4^IWaLg(@9-S+;hnogd&fa8vd(mhrhO!;B{MK@wQx zF)_KMH@OcI0d>#sU&`;2s`#Pjnjtuk&)!-*jmF_wrq*U7Q<;exeV3r+-o3i7SJ|15 z)p+G73@QH{fo}a~WV3ns!+|Q}z{ZY7eE1yoDmI2Of5uXN5sc4QM20G-4zB72^J-g} zP|-i#W6^E0wFVwKWJS%k*3xo)y8xEUG$u6ccMV(m7qWvnKEJyexR{f)rZiKXxf4Io z$Xo#r5o9`2hv~V)DY$H7U0tak-AjV`@+%i1!G^0s;ZJ#dMf)L+*TgfY+Y?NPE6F+DLLv(MRUuxt{EeM>?&Ok7C+iv z7=QY(bB|~2U7TU)Wr^0%OMqCW%UWI6eSo0d6qk)TLpy*XydInvkdNh|u~)Y9^kfgi zSoV`$Wh9j(h$QMm!n9hFEehnkwSDr$dUgRTLngEnU0dE~Ik~H5#sGX8-L)qXZY}=W zD9`o&09%u{8Gd@bR+0?p4)`6tLVaq{=SsaD90N2J1Jfm&pF{egM1_p3o0f1LoFK7kJ7lDmCyi%y4Vvxbl?eCS2}idE_B$KMX#o_E1gKq|n6cvD~WSrk`Ie?AE; zl>Uhk-xhAX_+d33jtpt^gtXLaOQ0}KNVsX-i}g07-Z%Me@^?W}_p}CM<4?S}=+LiJ z3r4S3=sT(~kg7o_zjQY?@`d~wQi5>}Zy7Gsa?#U3?nd4MRkSav<+d^G1G{@1tr$y$%r=Fad(sv`D(4T?S0qkSGOc0ju5PFhtTiK zF!pndC0LH<`|r(<#|^)BW5hZfoSaCHx)TIU-E?B$!1jj~lT=U^jyqgb7 zZsPb@s?8dDI3*j6uHCF(x-?;|#?wo-wa6sSq4#U~IKI|7!)@pu)cJ9?fgio**C7R!tOmmUJAKFGqS>@lZw%}K^WVyrq4#rQ9 zdzq2A7X}dPO9xX?g3Xan-u#Hc#dYxdoh&DS|IiYdUm+z$B1KctD$;(i`@#{}P?m%h@h(X(`46fyK8+Mz(j)JWeVAonaEOlH47gv7L zcz3&P|9*N*Xf2O^jj~Or9)7aDxqdWK?`U7w==dP_*E{k2J7;LKKs+TCfz* zjG)*u970S@H7xY0TBjJ0@c}UvJO#cnxpY3aVIo9{t>fE;6bpsJivb`-$(}brMOy+S zcky}gwGPo>4>FGi#epj6U@fgjSvOeZavkXAT01Cye>Eh>Z8YH+QJf_YCDhVN@FH(rJ-qs7Xq07_wAcJKcrM-dw2 zkG40MQ|1tgPdJX&ryoBmqj!Qd>mP+s_7%*uWnByB00!voAanMSuA}h zlPvR`=soxR{0J=lo8=5XdBx-He*ji}Lf7^RjVVSvbRJyWs44ZPm_~B>nk(c+3G~vX z2K)qIaI7yZ8XCODhWv_ef$pO2-qkdx(}!;;W6;X*k*|x?aTXnyo3+xVS~c!HJmmWc zb2B}!2bDZ5{RkChHs0WsiFP01SDa21^d9K(H%Zwp7PtO{fjDdI=|)wkL(oDAG55^a zqBbRdKrnTH-XCU)M(sC;YigLzEzb+53}^c9zv^`y_e=Mgkq9HQ0@<#T$+s%zAN`^t zOEGg&%3Q2J)sNlnCL)E!ipX*UyZ1fHqr;MM?3Yg(#gp|FbjL&hCIGZ6I&iTXp=PlcA%X#2rPjy7I zBI1C9@Dt@}_~~)>dum#8`;3RpQ)Os#t^ zO`!e?;y`K9COz;u-N3(r(_BN2?o$z*5hCN+an^x;e6TvekHxv1^jVB;)^pVs9wd-X z)}UI!9h1UkU$$;+cmkG*`0NOJrT@SQ$7ykG&`2tfx-mUCcA7?LJlm@bf*^{r!@KBB zMo7heH~G5Rg%xbtmd%Mon-&T@J=e53c%y}-|y-~FXQ7;}IeL*ScGzMxv&Vp+l^s3#sWj2qikNH* zAAi@?{STE{MT-d-m2y9Gw!sFBp^8SORi{xGIEK4g==6xvG|PepF%W<-^0V%sxI!3_ z*z)#u1n7^B83bwps*x=08E7?7<-Mq$!lKee)VJXJ8!iqJND1!ptY3;1)wzw0hLLF55j$_=~-#W z&b^P4WHUkL%JuuH#@dRfPRJr|ikQX?V|1gC9z%HsE=lIQq^$C5GIQYk^5f(k7Dxal z-J-XHJ&nfBD((3p+YSnnh7!~zOcl|${$Jb#&nxrA#)nrvb%xL3S$tB}51_F{H`8uK zb6rGQ-V)^`N7s|F8zJrc)fWa;GLxP^K@Gb|lNh=QHD8|QqNm0MMqPZkqP$oB1j}=Rj&x*wtbKyuoq-t})FVWEQ$M z>ep}Py?MI&`^qEq<(XZn!ISAj5=SuP3hq2G?$3Q9X<$2Zlo))jUGB9O0E&f7p>uz^ zoHa~X0#B!H0%@zEKi}XFxMv+1`PQuwffRC9UN=Gze04$(v{y*%yaY#$t9smo7A~XD z?g)c`BELM&BpkICCee{?D0kBvN1u@Al5J?J`|_seZ%#9powp%kZsg+!&(HimqI&-K6XnT^> zj)J;mb&szO6PRj=q2}Ef=5ICQ!|M;|3cxV%V@j@6-fa>UO02uU7PVyk`QUhf8{R1n>IpSXv+n;X5kc)uglI=j}sFbykJ@Cgpm zGq4B{{wzWmlgU72Ei7C!Ix)bUTO?8`4qx=jS_7?9hF&a26RSg_$aC;}p*y4Z2N9>d z#O4!IN8f@9I9D+o20CxvdQk)tC!YHOl zqFYXXv;=NnZbzZhpOM2ZOm%U%+N)Bmo`Lk4xe?z1&qES$tP2$S8%HHK zOW2Dq=44H+grYYCj?9v(NzyW;TliWpu8m;3`r4wwe;Hk*khxrEeTqJMrlGTQ;FNi6 zNZ>V@JL`^4JG!1Gu_;znCtv=&;b--f;P+q^VWM%5jAF5H*td_$7#1IzkIGt$7gnIDakrWU6Fo}dhxzV=M7GYf?np|{;&Hh z@ab**^=e?bulYovSTf31#H_7KEO3Epar^pgC;(k3X%l?tb3Jzua$Jb;P5GOA3g~YH zNEkn&KPkv^v63|e2d~G3^FJfhMV>@@tUB9EIu|bGMdpD?Y=*NaeWAvKME3!(w`}Lr zx3jc`1Q}V-JmuH6_Emw72##!HMv{}d6=8IkLxijy~)HAUi5f6zjuX z$DM9Zf~NtqHiKMMxsm0rV8f9YhMKw#JD0xuJT&xP^!B6A&~gsF0+WG8nvYfK5W749 zvC6(dYXE4X4JcpA@=aAKr?muo!6Ja+^gK)kq*@ zv-Drr<={AkN{aN=sAXCiex%~rnPvV54c68uaNdK7Wlg}5D{%*G#&x-8{gL%O>5F({ zs}d)-vFJV?bL*IBvxsE4=O^9w5anon^0x0E(gOo5qO=y~f?w3QoK>|DI}!zjj7B~V zDAz~4Rh6x4?tRA^+s?m*aEH(IL;pi#29{O_$%fufdOf#axhNq zIX}cn^;Xa}>u!METO0;5rqMiHkfGNGA34&Kq{R-c#10=BJA*tK3(}sgW%|;~d116z zpro&r?VC0mmegNCq;GCk9m%!zE;&a?L&p2|U3QA+DeVKJ&;a7!E9?7D-@9n-BS4$b zPn=Jd>M1GM(2bj`YW@dYOhrk3J7yO?Y~(q{0W5=fKm?UR0a9c(_ zqyaRHbY%Ops_U&Vo3{2?*LOK_D%B%KW2lr7y`C}>(Or6HLJ?A!(ivm!X1k0~G0DM1 zIBl|xBvyOk$t?Z}WG)#f*&XC_2pCnAlha9_JDwbPI?2gC@v+R6lV)?jdt)=+medfu zrmkk|51=73UffQblr$s6Kyp$BPPfw9SPGnqM{mGNL1z4E0?*O%V2HIvQ7E>o0b-Vu z(O9cGF6A#0Xd&)HB8hCoXg$>lyxn%rmz!Onizd(V6~IEwvCGd-|2 zE8HKu_u~YiY$}Y;XBNr$Pocm1PFd)S)|Iv00bI2vcryRftm7JK*1DuM2!!pZvrAG$ zgH(8(yFFTIf21d-Kt?CqvPp*x#MN`q+8uQeLtwg_}KM-?Cpb1G?Fd3kFY(0?!K_!!NEIj7OZ6_a{E^M33EVeO@_$ z>T@MeBE0AVX2^8tDCIEd|lo3(RfTaKKDvh?$D2u*u zc1vmD@vhx(gc*@fJQ+96R&n)PqhBYPzZdb7(N!KBGrn14QMwvrtemvfU4?`xFRLVq z4!w)*lrNrK=%X3Z&1Fl$fB5$WZvg{m6bu%VAp=LOjk5zG(iw4_z)=0*==7WGllXJi zKk2)?%b=#O|3@HL0fYBFHuUw|6_M?Mao5|Xw%eR{iT$aayuo-`UQ?c*W1f5ho%%SH ztlpTq{>pt|YBbgTQamjhzs&FTi}Jp$Z4tVSqJjASMwkgmriPLg=kZRvbQHRUrTxM#>fk3iD8Zg1OY; zmTDn3*O%~xFnYMnAkdXH#HEZWq6tIXo>l;*+(8`9ALZsBi<xz2F22AKdZ5IS?+xIe|qaE zno)G38+85cB&Hutu~;Hf(QR&8<@nMy8{BV#u$B-hu-|$V?;sL9N^fWOlU6#Z{Q*@J zz4SeK@mGd6pg9+Aa66!+kQdjX*4yg;`;ap)cPWq{EG3ajwpfxIl`BHz9&xj*N_iA0h~zvR9lP(r5U z6}K__r*tI11XnjePwes@@QR!W$>AB>OeL)4!UJS2i>6y|JK?#|-yh5L+ z+O zPXrm8I{7GYkfze74~FrWnS^WvvttBfxm6YchS{9tpQrh8ZB!1n*37(6cmD=cz|mE9 z_k19A$he~9N4-#OV>X?bhl@$0PhiCxEG6zURg01fC`l3`K?s&li%7G}T)eA`f9!ah zcBKHPJ49uO=nPyku$ZcN8cDCNp^|x%zR+jJ={WTSq2~=9zVRB^Fv~Du9w$Bo0muWY zT1}8i!6URyJ^N76HLk2eWJoPA^6*X3N(mAbNLayxySgu!hF3~w@Ei22C)!i#RIsaI z!7<033rALUWIbNr znPwiW&HOd=1_0v_ue-Gk&5)*gPi_qV#fESn?IlV89JOx)MpHV~i#Blh?O#kl>02t6 zf&B_${vv39p5F7-#nx<*7YsJVqXTPIb4GB1@>>#^wU%Zzv_rC$$Re`L&4x17Lqs5o zm0>N@D)-DTBhNV)92(6ehPaUC6tiRqXSw$?ITru4MJB1vm6HO!UZOtrz(z~nHSdPD z1-fzTE`x9WuxC7=t6RMds#o8&V;csG<84we?yXIP+T#v#M+!kM)gxG3w0Tzz-IPM~ zAqqu#vrhc>`*h}X0sOyB(zk&P6x-fHLWmJ2lGWf|tiO~jBoI^ty;Q{Xma@S&ePz~W zV{BMn>H-o4gNrsuEU5)~?afTa_uI$1AO=%Y?XJIo+=pfjOOz^x6KimDYtT~uswsB# zIiI8Lqjtqf%cUeJ&D$imvG-CE3=iC;Uj~qz9Q1P!Ip54;@C@F`(uk+eoqQ4KN-Alm z+tOkUBE%x&t#$+o!CI$8MKi`Af=!FQ&oTXB^unUfUBQvKdo%@h--Zg|kuz0pg%BiH7*re~b z;Gym*tNY%b{&u~={@U46|9hX35UG?7psx4 zVEV1j;!JjELZ*lNP{i#u+B+TjcLT{M6WzdD2(645XlzD7yq65SIdu@51`~9z$MV7Y=2$9v)E|cn0y&h4;B6WPSxhSPh0IYv~ z_b0$9@)n|*yJx#1v8AL4WH{ucXKoik6tGnOL6unC8i@v3?{ane4X4G^mHq`?@QVQ} z)cPr+^s$D#CQjsbmGL3ALe~6tAhr1(s`3e@&^Ya27B^M0z<|U35s(XPGWxoi@rrpD4@jj6?xj02ye(T!=5I( z{Q=wP2oZf~)JIyOO`=B@;iJDvGnz(N=PC4oH2!^6wP4S@y2NNQ5V3*?zY46~bgPxf zHHQ;wR<4UQAfCe=SA_Uicq^y>G@z-g{v`f1(MS8Fg52fidO(J^^h2TN4w%VITo%(| zVdN+^otSS9ksxz-Lm7qz6Lm_76;-maedDg#Rvyz@TUI8N8-#V^DMQuYPlgdH z;IOit4ENrdC;8{af{O}n+-ifP3V)FlVJ{Kv$a;xyh+D?0l9r-~jPsTS_PXklmgIdi zC*L7NA=&eJMuZxP`ZPhnM!{PNAW{qB7ettI&|~f9U#RY(0yby3UxoeD2K@qdXx&mZ z{US8o8_p$TJYn`{$7vv!lAfq|@Njr9?4tRJ%ELZ=bcnAC9KfK(w2U4&fD3xdoVGse zkNO8gpd#uwn6{sPS&e5bZ2#5^@**vANBOcp=dScywr0qf0f_B#6Q?gUuVCm4Amk3h zm+TfCjAY5-3tpcWKVZvhAP>YvTqWRf$JSKeof1L+o7?vc&j8J9Jzh)CmYHiQM*VRu z=ApFPFNX8w#m+Jt&m&mG_nOg@_uRo3W?lcsd{gabl|5LfkhTzMFSkw`(=!AIBq_%CdW!Y>QHh z*tI!Ddf~g)fAhSpa$U#H5{{&B54DTU<&DPOs<5t!PQo6$O&tyj7g>q4W~_l{t=V9O z3Oi!ZggIjSn48yK_c#5nL9^GQu(Agvpf(g<09<3n`ggm>Htc~;pDR&;^Ksf5N<+}e zk|<}Zz6Tc?rD1Bj@LS8X>%XUeY(|$1iJ=Yn)w5|+-a%HX2frOea2kWYFq+R&U*z3< z(IKTe$?K7MXI10!ZWQ1K{yrS#U6$Pazg&5Hp4{>pVU>Qb+*Fc(KS&=JxM&8-JbI%b z5N5$(t&8vzTN^0y!_!sLEttq|%|QR9oBZZ`oXGd@f4p|jCYXtj4yg?h8DmT%<%SAn zm60Ji2PbPJOWnV;XJ)njF#-i>7%cR%BnIw&C9xLx`s=zmA^)d+QIGG${RG+8nY~`? z{k(}*^`$&vZEKB7VfdGJpc*qeD&+&p(m{jlkD*_YAh7e$6{T*UT$&7yDQcdMclvZe z{HdY&6$+?#r!-r49+e*mBLWxpRJOY-7#w&(-{f$gXF@s1NFmzQE~@V$z6=uLgk9}0 zTy&xF8-8ORWOFi(L6 ziO(Rie|_icYY8_2cyP_%hEG{HdX%W#b1vlHPC6xa>M-x=%At2o2Fg5_B64#-_&LO) zewKbsAfUuTT|X9f!d#&aOrt82S30$y!A0`O*iI+to}`a99N3T&88+sYEYNt(dM8uu zU_m`|FRe6uR@!#RuV7E4Op1zC!d=uwv7f zEMq&#B@VoI`)!C?i}b>jQl8QN5p&lghbll|?wzVVu%Dfy<^0V&$xats-DyT#KnIi6 zqx}8F9WB?aP5DR~2{KxQ?A-smhoum<`p_ga#zQ{McJ zy9kig=i}3c6c4@A0~cSkl3OKAp(LV)9GHIza^K5sM=xfmWLjyZara@~ml>pG!}8vI zqxYcudwY&{jYPHmS$3T$-HJog%$+9$D-fuCEkZ{A0?hQyldxD+rRef4KTHN638i*@mNRBpVlz zQA24|j!yjmS2AjR$h&OVwu(#T+E?pyVih*%CD2t*RE>vnqqC;cbY#WJ$w?n%0wL(Z z{OQ;k>Ia}>LT&F_dQN6DE8vg7(nk*%EHHmPM8$kqJzelID2f_FpL*k=^~>}?6A}on ztL61~U391MBoWVaf~DuIb}VQEalvxDPorVKeh%~engD9IjXD{^<8si zitrtw4DnR|OZV$zY^omHo|vxN-n zsE{rJtyQV;{LCNMALSeH@Rc-nU$eOnuHmR|Q%a2wXgb5qJv>^`r0@Z_mvwbDI=ei~ zkZ$mw+HL!&G2y9?9msRGaMjLdVzy90xI>MuO}to`tw&bD4M_HN@vt}*BXZ#UC7$w5 z>Tdfpd3)4mW%eeU3}je>@Pq5%@+2$2N=f`ls{c;)pi+ba)D*I^Lz2BxJMG ze?WD7o}{NAzDK`q&l`vlK&}>FH4i51xmzKd4a$s|>c$`&e-|!?o8V26QpjHkruH>| zY7F8=OEruOBY!2JeuNUWX-+3LBaxmP)BUtovbA!+jOxgE6lNA8TT#xhNUR21)7-Wa z_>3$i4RVs+buXoC53Ob-REO6wwN3hM>?{eqEYdOB_B0w;PydYD%R}fv@r%) z0Vz2PD1u0OuPp`|2`xU(rqSBF8vMX3s3k|WvKhrBOEb+VZw1k8df1}4K0~zQ$=HD% zMq(B4t0b$++Djz=*1R>X1vIVnCLX5V(w?DZtaSriHd=4fz}|Q^PSr4ftJ$GQh_-im zEz8W@IP2D^0QY@J^&Avzf6;#5-gXngV7xIbd7gUG%P~)gjptF!n?_`MuBTL7#liTK zhr;CG!?meA7IUrY<|{VKE~X@IKlI~wVoj9p37hIHq)2AGG~gnZhCUj@$|@o%DrE(4 z84b_5u8c{m6r5beHpPG+MfAge2U2Cad26_TH9d&~7lt1cA2`Z#qv-w7+o{D-u++5D z(y>@;rB21WTbA{om(A65kq!kk_GXi;^1%#R{I?jV;IrLPH44s4WjvUkGH4(W%*IetemT=P9kGT@G zfyaH|-cOZc*?B6<-+17qri6k%7}u3-HalP3jTVjk?%8gxCp*!%00Os_X9KHOyosQG zJ2$3Zn_Ezd_PP&Ud$&F1_(IJM0)gU@J<~+LpwTg&jJ76eXr!~Gc13y@c*B|IzUt^{ zs6g;n)f1*Pr&%IvcB^!?iap>Y%W-QSXQoowoPhS4vrK>E?7!Jc9#E|G^JQc+5e|Q zs1)eeWKYT6hQa?|twcHgKQ|{k_x~KS{g1Iz28J`G)D@N-hO=SZ6_%n3hU>o$PYxb- z_Wxz@JkisuSZyrx8LwCvN06MnMRHIg9o*h-lJL7}JHc!0thGFSL1Ho+_F7J+NpObJ zOpF<(&+L^_#wF9l=b2tjoS!KmK2zgA+znTpJ^v{e1TlS>?N2AGIli}dNc?KF3>_`c z_bSdza|T>7f6oAt4*t0g?}vw$TZ8MZvlk?W#fQfMD#57NRnVsZfthh?PoHa~JGXU^ z(06C&gHmDc@^tct`FxqAquuMJkfg7K5cM&E!~pQH(0@B5nA|^au%3kcvUg-a@{$jt zO91bub+??3*Kd)8&wZ`xYy!xlVacp2Pn_i_UE_74GY%<4U+X- zG1y>T%ZQkWl4Vr8Xu==38Q#tWmETI&-TjXr9|m_#lwIHplQ4bjAB)3>@oeclUM!yO z?sI-MO#$4=Yb{D=PVb`IW6li(!`gw0x*fO`(6u>bcV}L)MC|{*=%ln3W%8@!z030Z z1p-1=kM|PK(UUQ}(q98Z*P@AsCtt~h8n=EWKe^q&QpbSr-WQ9IY01m~jwX;y;WIa6 zaaR3(=QWYddzh%XNR?FS7Mr$y$89F9#qCytI&Yv4v8%K}z2sGb6#2ue;n-E}3d1r+ zoJFr=axeY)$epuqPcO~cJ!;G$W0=jK7B!ujVk)IAX0|#-z%Gg|__bC~WLp+I_VnzP&}qVe)}r(+X1iOk#J0bY<5({EJ|$c zvQhYHcUqBVfmk#jyw84>^P;ROxetlMaH;%B$7gQ1I-jHX%MF+O$lLFja12^@N6|y9 zknnb|<7$V$OcSYfm|OU;NGp2jb?YVvj?^VU6jNgjyLCgiXZ0sIW+^KSMA0d`n1bPq zZjS@wTCYAP7!RLu5(AjOn>5y+0p0VBcXBJ+(g31nHL)(uP;+(c}D zMTmXXW)O`JgH+zu{VdTkTnKLP?FE)&8f=Be%s!+=tG)M7gVCG(mO^T$t&&6_nF#j_g+v7)_&))Dpz>!6Y*{O=$J1ikH~LAiT&EX}nN0oCUnK*kaNVqJY@GL59{@ zdjDCd43@~5OVbyl`gG&iDW zH@;6FE_{tE9|M_)!>%7IeH{xNgPt8wkrXH)`@t==%=)Q1838I4#L5OK7PGu8vb=Aq z-*qWVDlMb`>HqwpJ33d1;kST|X4oJ(Uw~v+WLwqtT+N#iPdwQaUN5x;V(O69dO*}8 z8eT@c?Q&K%#XR(yCb<;Ox(3xXK0UMEt4~{$eX+7q|H6kCe963qH7(J;Kr(>)iSw&w zrEUB0E+38F;r5&!w{*?>WE@#ehSI_CEK;#5XAdXkIZ5+u(74t+`! z_)hgoOirN%UaaxDSOMRM>4p%DG@FoWJ~+kycj`xXM^N7Y<|nS2*+{rDiaZW(`icx% z=9+d%(<@mL=+=japT73z`QxXy)kGK{Km!Gk1ta)pVQwruTeJ$aq{nJkUxVMHe<=OP z`Sk*2#ptD~35|7A;P)qDS$i(eogk;;e(hgO`rV?}yk)MLb;~9PmjNei$5Bindb^H{ zwlb?N+2pM9`0FJMMp+g%6p`$)wPylR} z!W<%6!euMv>9YI!vF@R=KHKSL5l1G4C1=3p@8drx z+nq!XbvE3JU42&gMMOc}r+rSgEK`^Je>l#6>{!27=$wb!L(#vIp*y$ zs5b!F>L-JDvh%_+bWSERc(R(PTsB|5JBa$Hb{Ip>-10R=*1mtyI@i~W`(xrqIc!fR zIkJKwi6=XfVdI{Y=#YG?*xb|gV?Wg^gC?2qll@&YZSx$SFaBJDJI8;_>|(JnJDAHePEI{^d@A?qHLH6LWg2FQEX= z2NNP$7Nfx;8E3)Hc(V}fCQ}v4=F_Su@#8+2t>CP%UT{7F_o$7PN?EX%?+#B%`LH8R z26@Alu5hbpl9lG$zi6I61fBW%6%1pHWzUq~EHLuaQI;gjs+7}5a#MxHE z?+KOumLg%{@Ve@9z)YKk=2lX1cwE6F0ioSO(YnrfaQ*Qd%5E@=RA{}Z%D^^}26V^~ zt?>(k-ZP#mo_q+gEdT53-`1j0L}43XJQ)@+e|%%`qZg%WkLav?$%T_PE^l18`n&8} zv`+F@V-NfJ`w<1=-{6&97YR}{$-iCg-?7jy{6+*PF$+~_MYu}AjjvXbuT{AZigqTp zSycIjL5o>X`{o-Px@X%O5H~dxEy&&9F@XdQ3+Ej-t0;ADKhs}#>p@7h3o`3P12Q-= zS55jwogkWI`}d+3G8Vhv?BB_zwD?Kv5bFq61(f~n!`Iu^nB35;ew-xo#m9)Cq)O-F z?+NnH*zT)xP7~7#z?Tl2H3`q?CK8mm*>RvrT>H#<^kf%r&UXwrxjr!Mu>Y3k{p1!9 zGU3d`POdLK?|$1$E?Rqp zpZ{@FloT10RFY*2E^||C9?`!VE>Jp#j=K?L&t4|(jddbTm4DeP-y!~Dz8<2}xYMd< zP%+|W?pD^mC?uA2{nth$pWVv0W#BuPK;mUn5Ju+Hit6~=)5;(smKI{o{5@(eDgi=6 zNVQJt5HMXsG@U%Y@V9T?AwL>^$?9h`rvBzZ0&EITRNhfO5sC5w@&^*-R6}OlZZ!J4 z*kAA~pCpy9Z$B`s(&xGr_Q%(~V#-F9GkDie%(UEojhn;&)qtC;Ys#!*lAf&~$mD?F zT#)B8-eBu75CGngwZLAtrukoZD}Am}k^zRM>0qA|!LJ2-?%D6w=+id&KIrW> zH;k=BcUDzH+K;)|oOE!(JJ12Av5E1WY{x5?t@Cui>^Hn@@-or**w5b!M{pbpr7p)0 z*i@R$t(;XRbnk}OPrM!d)pqCjIRrKAW&-pYA|Ak0F7|{LxO4N+kr_UpC`eP_c{Pq=bE_1w1Ua4Fxx$qZY9R(Q>AlS zf`t#dbyPkHlFw!&?n6Jgj9H6UHPx?c}Fq7Nz8m z&u1wSaDb2gFG^VNB9GNZxTktU1wsH#H^Dfv7o2%X^G*zhm0=Zg!(a3Zof4IaCYs^6 zb>Di(IGjm8SP)RmUnX+{v!P=ePzPpUIN`^8>F1{=oaJP29)r%5!AzFIxPf)|6~X z^EqPrO1VNU^xJ9UO|{HGwy;TI28EdA40VkQEC!e#aTZJ|ZNhAsZpz^b0B#NBo+|ks zFB=AlGzX)I{!d|F85CF4w23niWPk*>3Blbh*x(l2HMm1?p8$iqI|L6NJh;Q)?iwUG zB)Dhit=eyQzpAa>f46FGoqJDDcb}*Gc0V24erxOfVv*r~(6cQS@Q0M$+1#Uq-qp$a zU(9zejDPPbD&0ill?*WcsZ-R7SyQ$MUv(a`m^jtr4&RPCOMXo6GoFgprxMI1La2E1 zM138hAU?gY6}IpY`uthR6zlm!Kje3+1wbZd#uj2eb{S#^nN^bieIszs zRNKNq3Q4}Ww-N~-zIMk8z&h=aRbsVktXqhEiRyEmacSdaMk7Df(NuAL%5a{D$_u$@ zMswC|TwSj-M~2JGU$ss*!20-_{HKVt&eMx2-)Ev4h*dNSw_vbGH}AYJPM4iqwdzF6 z&SBmzkl>;Y+o<$Cl3+Bxe1Vp0W7lElo*+>VW9S zkFQ^UMLed3j8#a9ZLHwAaL9b#)vb95`tl*WmH{I#O`6-+2-IiU!0YB5)w*z#7gF1w z0)nvcg1r!T1=!|BgoB;b%C4@K6?qBe(=hA5Ka)HCQ$Av5)pN0OR{~!k?wfXhIf>?~ zSr%?+Iru?U$Ny;zv1Az}z!k)=QTfs0FWzYHlH+Yf@u^eiZXB|5iKho3ef{Qrs7;8& zhK%67FB59V_6tk;_3n6G3+r&ACnpMth!;e=5-aR(QjW~!2k)UnF8`d6G9RiKv~aIb zJ{t+mM?yDd27>cTebplM?`__YFV1Up2*Nb!FDDl87jCA~s%Gyf6Jkowsfj5=5%2Wc z8k;`Gos~C`ppbBrA8aB@e7INlw=G`XRa4~8j}I%Cez*iTk1Q*%nfx^(4L<#BQ-qMN zxdsByd9-h7vXdVRZqzJ=MZm(YP6%ej1*QkqH+PgAU%cumDXUrMWoharr*NhvkfQ;A zET|VA@MfcF+U2cSW{=?O%5uiXr=g|E(j_r$z*T8PO-LP=?fp$>C7WSWR5Y6cYxY0H(LeBN)VPCqmM@#-@)s=;+8X4? z1y|nphCTb*Hr5?t6Wq%7yKLuXwEYX-B1gfgIn|_hLJs}T512Q&YQA!L-yqi^n0G>3 z_AcbU9skVQFIy`=4Kn#C^?wW0l%d~tyL;5p^t9B~r#acmoElP8K?ySzfS$14*Vqy# zkBYK*0g4~UJ#q{JI0SVv`zAw5&Lq{`6Old5hk6-?HUA|n?`H~|pSiC0o;Lq*X^*k0 zJHN+eDRJeAF7Zk^YOV(7WVa zu~h0y&ol}aoUZx|DZEMQurZZ?U5q#sypKZif*11p*hjgO7whMRpizjdEb2EAvSe;0 zU-^k{o7w|kzY}2zt1-m70-6n%f7b=pFAXI)+5R}#w&$34v$XZSOodE+`QZ`R^1i_K z=gZL6%-jb3?*_iz04R-4-_IWx^!j01v#w|FT>~$GrB$0j4C)~FeuI}&afs(F z>4v+Pc_WsCVD!4+r++3 z;?ftboJ#O??kq%IV+hi_g5z?1K9eTqazlz4$a243y);F@I_dk;W_Taxi(PWH^rqf@ zSM+_>z#yN{%r5KC5NR>l`jNd89jG#4jgtUIzba3XsTNAniDeoR?SqPd3)ENQdBVee zIKL}&b2AkCCe4Pxf)i)7ms0nJxDZY>(jKH{D$JV$C{yb%M1M7j&IQM$s!6=*+-!fk>8Spac7F%xUUnSowol`XY0tL zY|2Lh9iOK2rocI6z9N4jd$;MjtAq6l40Fv+V)+yI7s&4}du1+6P{zl*|7{n9ek)WQ*1uAN_Ks49n@t4Ii{rejq{ z1d=h6`$6yyR~%ML2;UZPjT4DH42`AGc==!kIY-tgUTaJl$mWE4!(yE|XcW1rKgYDh zehAi={9E6MsBkHHSJGltRKq{H%Al~KEO)OTV=N(HX?eink`#hS+q!r!6xWrYa<{Rm zg-|K-sNC4!{I_1Y>6CQI58Cy_w-C6Mk&l^Ls0b0it$M;1Z@4nTF^GxTNn6ZW8eOimi&n+`Z>t+jH6&m$5w(j7xLHi>o6vR+#C^riiJCqJNapLY?gW z@iTV!6P%>{?*;F6vlD(L6Jh0Q6jNY?(MGz~pRcLu5MJU(=^6q?NM z=WQSj@6{VGhWhUOs9+E1jfkUuLO{-)KCQ{eSS1Pz)D;HQwbg|+vzVs7PU;k7?CXQn zn-FB-_3@s#BlizT(VFeGZAPPHg-n8&$vh0`|27tC1;bgCW=+YL6D=Fr%mcZ6Vu>1) zTmMxjmjogp*Q!53UM_Xn{&(gd z|CR9P;r&0E90dO-lLIFohroYN^yjYCoC>&>UYs!AHX%0nh$0LjG>sVroJk;FA?zZX z_8|X!dF?^rE@>(*4lLOzTdc9`XZzW%&wLY!scykRjD9yUDh9dO6H~a_dcA-=U+0V6 zFt0oehjzb?sr);*=z4(`d|x(l8xWu$-k+&3$lDa z{r2f1Xrfk%g_#HpE2|< zCzWRXjgnL8m1#ZJm)~!S=b5M+xz`TJwcUbEN0;*vMBUg{P}E{=rTzV&;SRYyzry{s z(qpBNI7k~9wJ3(3h|q_X z!a%#pA;+=}mt1P5x#+&cW|4NIo_~Bdv43%IiJH8ls%Q(y3@#CtQFm? zc`M^AmUl7#M(=v8Oo+1$m-x7r1MamD;bgNK*@`(g zcd2Yqer&dZuHBbl#3VBx3hEGXLxd#}-QpJN>`t`3Gi{@gZ~09~>Ay+*nEpu5PKq?! zv_!Q*mmX&SRpjlxwcoq^XriP9azLqmaNv;o`@)t$U9>^J^>d#T%)`BkXwpOubd1)w;m;TLuwUOs{-oD zI|8*r>RC(ygo|F(#^296s%UhKnP>?VC)cmNkY=L!d1uZluV*zC(O~TxRi%-Gqa0Zd zzu;O`@xeTxe0~;#WI$jZGNCLJb>n$VKuY3^(M(6f*I2G5#sR?S>&2LeI#yX9GcI0O zX4s(Mp69wN`~ZoZo&C=J2G+wBp1h~w%tUwJ#=Ac#MrG2{U9rW3Zti%)4QJJnWdCaz zQ=PUp0!HHrJt;#rNiP2s_Ns&|8)mH9G!ouSA)+K~O!6q1eun+4;lRthqqU_wJnT>I zjrZF7`|#^%?KBX|iUmyD5}byP<&l5u7DX74NAgUAoDcb7wEX9+CPXqfmodPP;_t$V z#P=0g_*ky9Sy)#{mJOKA6%7HlJoU+=A$~?hWri==T#@_g9#N9D{4kht&4_6xMhAsd z<#1WO)@y(|0smxN%B5#ok==LKl17vpF9u5hk0D1v+dGQx_u0TF9v6#4)YJ-xymiBH zY%HD`T2shwuOeQC`~_Lvh;OnwjGJl|QE~%s#47nEN1unQkvXxi(=?#;6u+YSixmO| z$Mxl#nv3lB9!^Lk(Xw-M7)%3G*n_v4cJ|y@$Efs{_Q6%w+-tMQVa8uur|96M$o@3D zLyX+-NH%!g0)sOOh%}o@BMLbeJ|jz3TO4a;JLE#X^d4lY?0qeOQ@D(Hla-aOC)T-H zU}ZZFEGBZM{`jJ^F2uFkrnc0a|8h=P)=U*eX_GU~$X&GmwSdU?xUw?;u|rmdLHy8V zzVGGlO>%V;?5YT@bMOcb`@YDy_dwhC~K-PDL2;y*EmY?lB`|;ozK5Lr}+md|FY}s-Jo)?*U78XcF=m zrQgu`D+}^?7sKD2WFX}bkdu&@Tj<1+y|@D;{RyE;OHq(?u5n6 z^Z@nnJRy$u%>;ee{t&YM=pKirzq8dzn6R*uS+ya7{kyk zraYJB!SPQ^B=GjoJ9PEJ*h*14f?Be57`mUxNY}%`uW%KejHk%G8c>#>T z7X2~2K$S91F|@{aBSOIew{zpg;kJeDNKY)R(`wA>^=YSTncwIP#Vd$fkjH)ZUs2Pp z+#?3FB0#R|T%BO~`ko1;P?}xEcggz&tQl!;nWP>xlI%U&vDn2SZ^RA%ItwZYx%@#- z;Y9KTUV=1r`A6%iJcruwtz;JA#v@T*Ol**S(2Nifgc|Xo#vM<#d+BE!du+NIr7PC)$L`p}=Be8K#B252ly+OhPN3y5TfH>a)zo_BCitTN)O#mjy zLITnF{4xGL;mYZ~xG#%jSeJ_Qkt1s&=Lc>l~46= zG~s^Fn^h-%VqJvXLW8G)kBZ|u7<0pUJ&|)J;tLnwR0~d4*>7qSDPg7k%RJ6K-=FT& zP24i82g1I)j;xjhkb&AMaCq{5#|WT6PNl4Fvt^L1Cc{7Hevh>f=Yd1i`CLB1h-lmX z*s>L#(;IvpGuk{_vKJw`bal)R^a0@zd3jNZlh zf5_1T6#ir9f5E7Ca2RFq9~k9bFy`>(UZHmB@xs{n|An?1mGusaxFZ$xi6%>Zcl~zl7p(I9|~kJ2JT@ zUCty%zCFjuhC6Mn1R;_k>e!h#?62}fo6X^y4|D4diiOo>(<7P&I;8cSY36mZ372;C z{f;|zQ;wVYuRFee&n@tYkj82TqzgqJ3T)vs<5Zz^+MA*2AGbqWZ+SH&-DLe7Y65B@ zAM<|^{UCwbdNFh!+Vn1OOH~RASb29^&ddzGwdTmZZtmV#{JXQt+5b)tJ~Cvl|9Ygo zT<~C+=!+Xz&8Ie;?`QQr!hzLySYAG#@k(9Q*?&uX__R*H9@D5e=JBsZ$sRHU#^@~kZU4X?=l5c!%9Z5d z=NoN?IRK_1!AsOUA@>PE8{V{4yXygrn-g7Tpxv{mkx}Y7l+EG=fYAlJ&wK>TtsME zKnut8{u>d!~oo-|A~U*!Mdu zn79B@i|`_IUV1PhNpk5R;BFx*`Tk&aBlRw&da zfS*6|R@2J_Kd0dH&<)rAI-}gz|LXoTZR%%yxBZRwJOyw4WW1(TxcRdY%*NZ<_r=D-qxY`4vjJ>H2x}L-(PI zAnagSp}3jII$><qd7Nme1ERFbN_6ZoPTPAPsZIhO8_G@;p= z%MGZPzbJ)-_D|YgZyO7OnP5I_wSRllv4g2xz0)$;gVffhs2`mI2(z3uUrPx9nir-n zW3|KJaILS6B()KcmWs=jW%KZwHwhfetN`VAHR|b=O4hGCD-3_ z=f9hdaF6OdI{Az8uTjqJaGMVcmjIK-XBxMEx*u4-B`yda{$RNBx$K#%QVG%#)N*+< z&@fjy*j>mzf$(M)4?If_3*KQ|6-cXv4wm!!4}!7f1EG%AmqHu> z^Ct&MVaQjUWr9wnldtH&PMi$8+$BU=SPsrlH`|3Y@CzQ3sVEC)i-%h**V_x+xl?-c z*9A5JO~WSbO()-{H28pMV|73kB9#V@xo5$3&!_y4saHoaJ3Ll1sk1RQjx$NJEE!-J zEt-beKBUlUiUH(ky#%KsY3fE5??wNquSzA#(!NJn4ljyzPy$yT7rT_-*e@*1hL9D zD@M?Spdb0)%SIS?b)_>AeRh%U0V0K)KT2;&rMDl;zoQoj0rp*L2PU1&vj~8HWH0+~ z6hfwkWtKEPthB~af}n5Bj}?=0vyfzAyo~BAHDdWpS8^=HohV!YyxS~Bk)|s{Nn_Bl zE0E&`&3y#vHuI$XLM-cFmB+FClpfa1oy-qqUmo=WANquVs10R;$ROru!`g)TQoMx_>xP9`*e6j~ry8OTTwjzL`zuvbR2yi|)tbFL1lDYw zFdDDrhSJK{{Xru;zX41x9P|#mF{J0>Y(+K$k1IOG*XHySc8PUfpWhl+6{k?iP3FR^KG3n#7rY zBe5Y7TY|m}2&olQS*KZan@5%I5-H?68q`;HBCEghjqQAw(y{0mI}drbp@tp~)Nq9= zEs%W->UeV_eBbXb@+1DYw}FypXoaR1+Kl3ou(j((smf=4F7b$;&X%d`kn}#~^i5u0 z8dCPkH(&thCgZ7|ZzX{UjZF+8UP^VZ>GxiMt#V{1OAgIhD-aB8)})DAbx<@0d5u=^ z(U<^IBVMt&Sjs&;FsB|P%*k+MrEyC7OZLT`i1thw3pnM=9McH|3G`TlJ(8`- zVt*k6e0zoH7_O6}RWlFC6ZI0AmZKYOW1OYUMd6g%lC6({@srEbO8^ARx#i`UuhnZE zKfMTj1vcogYJh z>f@Kmr+w=QWYnwG%u0Qzb2F762l6LQQ)Mmkxo#@pvDlSqk-K3jW>T*B`CnX0@J9 zUY)lS1XJWIkgIAx5q@n9<=i_S4CgA95!j%6fW zISMcLv4(yxBYF;J-lxDdJk>eKss~VE@pGV55?P^JxbzYD=23ROUm^h2T1ZIv@w@oDNeqxz3L3|e_U zVx$G<5&-IofD8*(Z5_0X@Pz5L*}t{4tVCQBl}9yuc2R;X7_d%Dq9 zBrvT^cyyQb1z1ewVCyi84w%8MOmKh{l&|=kimR1_zY%%g(xt%KVkH2eiX7z_pZYm8 z*pijFZk~QadEm+E5KjOU2zF>jKX2f}LkSvTZxO8UlH@!rApoL629?QbwRdLj@;bu`sUMZdwQzSte=+*k;H~N5qz5k7yHt*w98&o(eIAKHV4ED3_E;AgjDNt}N%F*sCC;W1g+ zWdneqv{9eB6W5Pu07~6K{L5Ja_Xp-hj+e>fc^wiF#!)kw)wx1znhxejzg+T(hf){W!Us@$rKF&%VrD z&aWp&Tk**e!jDVQ4!O$QEKgYgrqe(^D?=%w8)fflE;YB-?3#zK8j>xspZ|aS)@T>; zfq7OIkqm5!PQ@UPi7MkRl@%8e1O-COd3*Kw-k}9Qeb)6Ez(JkczwZYF=?sz zZP`Y)7MH|I50C4ANCVs*t=Z_72C|G*7@&K8E6@SLsvzKF)v@U~^Ye$LTgbKe%)A^g zndJ4(4PVAvavygTkZu%1CwUx-TE^SOTWH=?K@!eiVsHfiwLeapk{T9hZ10=hh-N1` z7RZ82;{uV>B`Aa6`hJQ8LF;7Mv3pZ$=(~k#Tk`h$ofua{7sJZD?$x}M7#PsfA>2`% zev4uq8($obU~w4wBtYrr0EuCMehX4eiKVt+<`yR!numHKfQp|YaxV9}aKM2?xYtd* zv|0184yFW&h-S@XHyU#b>OIPfukW^^4sR02DS|-0w$dk3l~ay(T_hmqp#|*TglSnc zeS5Vb4iEp|*n_D$y(3J-uCyEIa8dyD5?RzqUUS*$($(poK~*ZJ5R4kboV7)GL^-Rt zIJ%lYBGd)EOpQcc3cpRrcNppCf$wrzGB6ldBg6&TGiBhcC#NO^6TEyTl?3Pw*4U$Q zWdfibe+kJg1>7#XDi2Fcz*MLp1qQcVPH@EJp&rMf0y5Bbw`ZbRIAf5nWQi1Q5Ez#>lHM&A*ppEMjN@ADhhR6rAkVq;` zf}5ma*bPmqs&3_*QX8wxRP~tTPxKAwW}{2mK)rmjLdaifIssR$p|{{95qksIVk%~+ zzhl;qn>rDmjX4Z{xYCgOx%fgfT(eOb+j)}GnIg8-zXdp|#vfefjeiXTLBARtha$to z{Q)yeUXLI}W-@D`R|$<=^Ted7!RxtD68U(nfb^%GJ&)yM3t(`y3n{^%LV9d?$M5+p zZv+q&vY@57S%xgpxaJhVB@BLtA)1+o4X3da`g&MG*>R{{J=whXuHMbVp=xR*3&0+k zr+PdOghPhDs0rA=DONff6pAU^B&(`FDSiQCd`o?JU>MVy@m9UEavzP9W6Zrslm%OL zJRivnbT-A)3t`4JuW%_A2H z83w3D>O;*ni@Bu}h!P0>*2Y-m!^MUVcF7@AuU1=O5b)^cbSIYze<=*C%iEaHs&|iZ zzS$oL<{;lv!?3iCa9hwcKoTDO90jpjW_`OAZ*D+6*J3s*Pn*JDz*+G-I%?*9E@&4D zj^G;kK+PZ<*q4C+4=+~4ah7>Oy}nWQI_6m9WEm9%^;hI>l3sj4kocxdMpU?Db2~LG z>RLF6UsmjmFrzr*MNcSe;DWbjB%W3gxtT>Ckd>8$Lk<1m&od~!C;+84@rO9Ie&icP zD_CsBFNAO+FBR$=3aIgZ_fds;r=K+yRjhNO|iG`ybW z%EIiuPhwKdB3ilj$-6#q|66~CCo)k#{0ZQ?^9_B9C~-xefce=$B_+SuR4=dRXeoI+rW%?Tw7QFrza?!foz|Bg3TZID# z*zP+xFjzV<;3Txnsuxq!46H?!yY9}1?I9ErITJ3lr+sVzk$_rI_3?ycZYPS#N6g%b z!2o`gxjA*^au*sYBTbC6Vn~nN)J8049c?%V^f2ap=ujm4JCek_sCpJc!GUD-nS9eS zpn$`Qy1oCt#WWZ4?o5U7y(^Mn0fVo1$+fbwVww_D4CAslYJBF~JjWBafZB*Xq*i{R z0$>u>WCZ4s{O}{e=-rz&n#UB|04GQ>y3r|XEhT>QbW#L2CgJ?8Wwky6q3sMyn#D$Rbf|ivO1v`p}AdQh;jR|HU zYBJsyK8j6QB-AeaDbAfgK4z(COQ8CQHp(iJ2+87N`@T_o6j8Gbg$s>shK!pgy~Zo zopC@DAWro|`%-PI5&%~n=oM<_Tk^c-m<Lsjmw0MJH)a=qmzM@o*j}EC=zhhfR+=rpZ4(6vbi9aRu4G;?6Bh#9 zgCX+b0KYxq=XjKJwi5GU(Zpm9z7Cy$*`4RH+h+v%9(pmPx%adcYE6kT_3)51x)!Z{ z0-WLr1|uGM-XsRgsimZ{o&a4$!GF2Z!N;5nwS@4tqKWYbiG5^5I?)62s0%%rU{%1h(ni5*Uup`or02Fi-v zpKlNPNgkz6yrdMuWoWT%U$r#4dI>~X>%JKl`G)lVBO3V0 zRCzY>W-yC?mYnN7m1IQV@q&N?ZE~D=L^C-y=b|5W47K8AN|rCe%-D~mo`^K;DQE)w zh`M0S#x7V`Jh{XJhs1C52p=(amM$sQxF*Ewx+u z9PzveLd`x%{P534dSc0|vbT|N#g+sd(N(dqobyIdk{WRR^XJ?B08UV+j2MJ(-p|LS zH2w@E#qM>{@L7W&X`mf(ROX$e=JG(geZ^GO*_6l`i-Bmp%n#rd2(UDJ-4%T*(J&7AOvpd&uVAWf+)n7CVG5!NIqlG2|hRY3`a zyGOxf1)8e{30QUCX#LehP;7265UeXmMukrn5JU~>R{R~^#H-vjeGJ1-gL~7K5&A5o zb`yyNKL<<|-@{D%26H1K`2MP>qbqTPHf-L*mMB}S~7mEdMGft@iE=!uCv@#3)PNV55NohQ(s0ey0p9*VhrE5a6b{(x3|uxKgD|B0TLpDTvd_o9NXof1v_V zy}rBI=Q`i>P_0maEL8*#@<{q;aJ~ZzsdzivlpUO$p5uUrq~90_TKhCke0C3%py=?J zPcTD$MFD=3G7WkNr0axi?(P}1!w{w+*O7-9%Yoe`XqY!<^KMB=U*7#D4FcQkCx|k0 z4^YkevOrtk!C88UOm6zc(a?h|Z==_#qjr~9_*zJPm6zax1ZX?ip17c&z&40sm@~cM@8@x zF^+DliAF^RXl_luo>9I9aTMgmzVO`GCOtBRhZ1;bOB$rQTBy3n2Z5a&PWWhi4(^)a7dT;M%=Pv-9?3@RH1`I0Y3xQvlgrSB}zWMbx zl~YuQQUd*oHm;tm_)+y|u8eEt53dM?7k?P?gYPWQ$0$LsD|L{1k7Pt!{>a+RJ;RPb z0D8CL{MG>|kTg?dJg~{zi9ZtbxT(knd*b1m!H2H9$u;hToS?oL@yCI?OGQsV)`O2X z3$ehaT!o8doY;Z(?KA(98W?un@|TH{U8${c3mFGE4slRn-!sZxlZ*kb2Sru=VcvL0 zu)kH7(gzQRA#fIE|I6eJKJm@B*6K|hZ#6d7t%bM%^3s{^ZD?Ez35b{N-lEmjBO7kQ zNp)NQF_2~BzM$*IuRu6!1;a{bpM9Z*^dP6!TP(WicG4oA9TU>?hK{6Y{;?{gJ#{YMiu0w+=|)Ymt+u|J0K`VS=R2%;0To)vpwI+b3j7slr3RLdnumI8NY$ zbd(#SPK0HpV>XA|t|>7o01JsO`lo*SdNxE-JCv(y&9!?u%T=www*U&Gw8Y((Hq zbHYqMap48_3P+}RJ}E+&5ah!=Fp?+=a`|gvh*X9eYAc@`(Gr}{KB@K%9O>!DM3rB1 z(^R&uXc2=S2F#uDo$TB>5HkO|uBLApWNn}vlX*g)?9_e*zmZ|ISO@pdPJGWO=YLb1-^fJ9xSQ`XT9+sCp)a7(r9rp+7@on(O5 zVTsE?EyaFmCT+Nx{D4VqL6RpV$IUtC=_k#{wm9#hT%#CRR6|pu{YJ3dEUGk~D5`}H zZS#gu{g1?OiD^?boo~i>#iDTbiv)xG5?vE`r1Mw|6;-Z_lL7=+3NjRmYx^q8XnSyB zaKo*rVq%X}j|?Grdt7WONe@C%3|#D3Fr0+rBKM10fur-WlW2?-{^~;Y7(t-kiC&$S>!h`D& zJS21O1*==Xaf~T=4+Rdd-{Krx`OM<$>1{y2%Mm%ihpv6*vOxitcm7+&Z2v6H7%e=k zu|RD_`H2yqxSEjSfZshCjNGo}Gq)oj$fhh}r;{p6E$WMLEDnX)eIBUyxij>sWMCq% z!*4o?I6qy_;6b&4eaBxW^F)M@V(gvR9y)&|XUrwDoHFVxuE9UY%y>HY*j&x43xWS2 z0n(LpHHpS)vOY}O3ujB~-Tjk)efS5hhROZ!s`39>G|t75xJrd6n9j+KNR+M+ge(f- z;-qGmm!dZKXeGeMC%`3OF38Q#FJQ)RVQy)~#m&dVX=TpK$73nLEBgPx!|1J7{o|eS`{nxl-qUynYIR!j@h;@|S~NYU2h{3g m@5Z%}GF#P92MC=}qMuO{eWQsH=Bja1l0PEpq&o#8zxyANEE6sO delta 122216 zcmZ^}1yEf<(>90(clV3CySqCixLY8&I~V8T?iSpg;1b;3A-KD{E${b!yH&e??Wt2! zGpD9{dRnHtpE<#+C_y2}Xp|}vQjDxjobZ(0kNF?)tlaTvgzW4rEUdf$W*LAkfQOYE zz{<-3&<8Lp1K3yr%#r|BHg;YP767vnfCs?*9l*xM%f-PHZ|nvIfM?}N^*Mzk0q03Y z0fFPBHk?B80%h&Y%ssv+eGy`3{|_BmI}7{&bXfpu0A@9NdzY^T{yR{&@-hc-evwvj zGI#qgVP3%h=V6wW0O$%Bo0+k&u(O((vzTyNn47b4ak28S^O~4&u=BE;T3DD0{Xa8s z|No{WZi5pE&5KYJuxjn0YDo}ERQsWjQ8olnm||;X)e%U%5J5Mb{;i+uv2jH`#znMP zVrOCf(9DA5iVlc(qX44=Ah;$9LiAw6{}1=}CcoeX1im;o{%P(EVCP6A{SpI9q9`Oe zkcAV#tYB{U)5Q|N%E|!{5`uSjaWXfyh4;uZH8L_XF*4#ZGcq=c;~fADgFzc{A;=^J zn1e%-_w`LMwxeXZIyh|6@ZErwZo~fc-2a|f8=;-K&aas%LW{b@Nz}jTpO*s;?(sV< zKs8I$Kfrha={hcI0g+PZ6nA!j02k_v%NCa{jUNveY<`Hw1m4`v?0;AP>ivi5FP*Xg z{%`KZ?d@F5?OdDzZ0xDmr{FZ;9I2JQ5R^#loc~pjG%J9eD^+C#0*joT`@f&0SOH(g z@IShgVgqpeuUe(p0UTVZv1j1Ci5F04;A{!dWZ-P6Ja-T#4I$7`72xctGb0exP+Y9s zJc)vJ;^6Fw0d>qk`*`M@^r?e<)~ZdKs(%{|c`YpJAqMa{*o(mxoB#HE(az(PRxpc_HuAu1+-I@`l3*udYxv z$!Xc~$Mym+>k>twGEae`eR&6uyml?V^yf`SSn3)pi%C0Me%-*I1R?x1frPEYQ-XjC zn)c=1U2XuKFVMG7JMq^YC9zg6>R6tI?-Z8hJyqd+zE}=<;CdA&LEHTSXH|>jlZPaS zI=o=h_0`}{K<8gy1_9sSA**{6#Bh2mo=Z`#52gCRr|1^RekVg`1u4g_F4gLYIT9CC z{Ju4n>^MSAh!uh*omm+piP~4vpSzLrvU3r-{jy%X2fB{z8JhKFv_C?2vH;6s<%=AK z9D=U$Afv79yDH%$rPpe^&g-TW6BI~hAD_OaUEB{uukAYy!WvcNTe#z#FvHs1^gu+` zjI>Ok=FvG^;954RMq<8t>3P84i^<0DHjT{PZSYY0-$MSHQrRPyjT#CRrluAQHKW!N z4*ZNdKTSq{7>`DSa77<^0B60noZ7aGS`+@cfaQ9G#W?Uh+$%_9tE`*_w77e#S)qp> z>I?l@fh1?d2uD8AT66tM+P%_WgU9~!0s4gEEE0vQ!LS@Q6!#GA6x-dVPEY?B8vcI_!#NX6u1K|(+i zb5;EG$+kWar@lOr(eQ?)=DX0xI*qo?6A|Ac&KD#lMudz$H4bfp)(jeR_H zJ&!Vh_x%k@qBiT`C~nc`ya&YDY6CgaO}^;{u4JJ4Mv~2;vnj={u+IC{8z=?cJ_72W z0GJ~!3n>aTq@YG}iCXB5jBr+H#cmYX)POiW6RudyaN6r-)3XCbR6Wvr6<12*$YRuB zo@ah*FUNJU4JLeaJd+>T&%v-wcVY#qzamXQ(Xat`Dk?|6A(YTi2={bRY=Jft%o83f zfk3Zz-H`7JMl0ij`3B&TT>#PqSyK9iQ1^i9cqmUNVWR6TC z?RON7d}qiNn;Cio^{qkzRe^&}`(htC^hLA>6L5j+{NcqL%Vyf>u;2yuG&{i%fz-`* zQ4*|nw}R+|SZ(%!MhNYyz!g-?#5<5b`Hx%BQD6;AEhr=6z~e$9Q;nUL?(7Nt@A*#B zNT2%;Q^;r#jFV|&j6%nOdBrN|ZwhL#%xBHuphR|kqlQcNhG{D^po3aQe! zpv%7^l#`?ST>THar@+WON*+b#XjnJu`vH~u97<H%&JcolkQN+kAII_5?7{2=~ zF_06&CBv`J;f^`f1lcCZfjd@FhkYW5=kf)~kPhj7D?A=THL^EvX`5EUGC@Dnb>mfC z^?X?lXS&eqFaNbJqdLT!0%Zy-4-`>GW1RO@^j&g<>LCBV`!qzS z+3J$Qz3M%;sh?De2xL{chlv}`TBd9=|A4U!4OHB-UtVpq_WEsOFU%sj6Y1xSw zHWCFl~pfAo>+nfQhKKSXEbIP&)eSvy-@01ROW(}!d)j?woDwdmfnJPE-2LV??IqsXafBK6t`;-btRUqyF7~Pk?a(F zz?UUAo7?9%;Gc7l86-5i&)%{yzwT>$Bf5X+3(TYvH{uliF@kE&HFXfQ%*A>K3XuKg zpK_%!VHg~sliL`AZHh`@-_V_5!T|AThV%0ssw9dK4HA1%W~Ny$f?OqP2Xu}%3Ka3{ zkU@t?ohi|-icP&>XnJ^**4|zbJI92uXN5C~O^lw^Ip=v`v}IX^HB8DF$+vkVdP|7RfWUi{L%VXfr&_W# z5b>hw5m`W6@SM6ov(#6j$stBz?J~E7URS(tqO#P35vgIDu6!=mIKajxr3=YeP2XFW@fI*OLr(qUu4e@v3Jr1n zVVmB5u?l@lZv~+;>;IG0ZRt)si?Cdxm2xOLluh4THKVnHjra3OIWZ~(w~r2Agmw24 zh0rZ##W(CIg`$_ZN~QrawK`uBD>>F>O8AHHGft|QnpH@n_N2IzPVr%<8%Cj)m~|xz zwRG|qD=LbnNQR--I7Uy2Zn|!ISerW)^HC#&ib3iA zqm9=PFi*VEb&S+vi=~z?jR4vmOF@X{}1kJ^yz^QI*yn`{9Tk%RtRzU7r@N?Hw)& z2WhSPnZ_)MKRu*7ljsP|LJ&&|a@RUo22BpoXf|aJX5HxK2%4~@jC?(oZZTA&N$^&SWF8Q5a+P9cqd-suCO>lOL@uS%t83moIysGb<+ zn9{z|pp!LJu<*qQI~J*HX5}gs4+zP6FjG;kx!fF z8VU)lNJA8;VXIX-nTwOz1U1}Rv6^AKR91dQW-mgVlQxLA;10(v-|GovO6CcJj2?9< zOUmKS1%iQE@-%#sH`B6y5SZMqX+WlNuVqJmNG8c$@p$OBue~oU6&kOZ$p*k)%l&k0 zx2WM$4U+0sM77!eVL!Zv4m}Jentb$E)9ytg%+*S=e$}ZW16)|t;guouf=qY9p9D>L z`9-bE9I+WYLhM!v)dsJ~#u7sHe%{}sQ?12CKciH||36VdP;ePXvCT0L~4YOTm@)@B5Wd!tix{r8QjF>t!pmtHhQz6j`TG>AnaP|e-G z4uf;fOcCp(!4yW_9LV2cq$`;TPnG5SV1aHh_nwp<+Kwv09_Er^=UQ}Y^a9v3EBLrQ zSOyNA{ROoUgkqIiwAS&Pz{M`&(7$Y)#lqwNJ!bb;8yY1_%EbFm%3sB9>&c{*B;~1QBllJJ5SZaWEj#RK0 zi3x$+E7IC`grvmTmi?9nQ@V&s1?N<-O>rjYhl?XS)37}}6qdppjEN#xO_N|oV|lp* z7a@PJP$B3LIdYxlx$9gB{03(hlkdl?&H)vE*a%492GqaO_I7r|DU-T-y5z5fE@s%q zTO*|UC!t^S=8w9;W~vH)cr@VI1#KP4ah2z)RB*k-Z7=03Esgs_*_WiY0e>_v4%Vv= z^lBbavuTvykxg5h-9wwHq-MDjE(W6vO{Fh4GL|2Wu&H&TTlHqNW>Lf1*#loCx-zX9vyTP;F(eOT38(G@S8f@sR&CrKY=cdt1hb#$qW!mO@)$1= z8`K?yCjT;&H94wgU!C9-Q2+VC22kDl6%9MN0mOMrc)Gc+k(?J|we zaAZb2CvcqNkC7JkKrz>hRZtn#;{6F_6%jII6SW3@=GH!qdn~Xx39Nx@ z2s82RB2M3=w~!-$NRWr|;HjVDrX|}0{{2_66tXnNRZ^NRD+R^WaOKG)poeQL z2+`3TT8TgqVOBX(B9h9DXwUwWE;-Q``xYa`dXimZI9|L&BxfSPdxRZ~{|w)YS$mC$Z(oNS^9Wt{xV_45p<2v4 zS*x(vT)%gS-Uv2KT2Py`hjXzL*e=pMjug^PtU4-$zyi(OR0oj~50 z&#E8R3j2!cmQKI&K$BSkuzGbc)ptGI%aj@-F5lDUdgJz7tz#;aFr{i=Z`(MfHRRyQ zw(8;duVO`L3ZtE97gIf(3zoz54yB^&3_<&c99`&=amE0UctTo`*FuJ2NlAVX-?5~6koC2EI^Sdo z)v^2pSPo*U02k<(ghjKnJu9=K99ujg4P*qK<)mR(SRxQgz=pojI?}BsS4mFQC#cdR27`_Qe zFn>?Gg3TQ1X)@?#ZyzN@i*r*e8G+449HMneEzVHexkxNoS&l z|KnK4@GIqQzeGCN)NR_So?FWLf2$PzPl3E^u}wuL!gCP5Zwh!xqjtjwdhn=@JL+Qi zlIQwfaNy#shX~AnNO!(nmyA7!)ChMQ_Ur3)g*3bEDm^K$6CYtHElG90Zhrc{pT9gE zuUfvY3!lP7lWe(moSF}rrs0)8yjcBudo_HJ+KgAQ-9J%MY}R}W@ca1C2$W6T!hgqe zrqp(u@OpkpZ5DpNxZ(EV$Ti?-0-k5TeE5AH-bc3H;jg6%f8IQ-D?i-a3U741DtkX) z1G(HjrKs-JGx)_P{v@86H?$0tLt;yiDw6%5&}QoN7sv?w0w{SnSiZ38{{>;$xOjOu z|6efdS%8yZbrWa7hrhC{ID0YJv z#z4mfnqG7P?@sw+YG95Y$wlIw&q|HSWA_bpLm7!h8gV1xQo;`=aJwR8@c129eTNoP zVZdbM!A@7(siSeFh;akJF?h~rE10l56{OR=A6-hK-zrakuMPz<5D_lDeZ7%q=QKkN-R4Y&vAUK1l|T3W*wH zse@*Mn_mMmUFpncYGT!HB%gD_t#s<6uo7uOe$^%Igf2jvGWHPs#OI>BF(w=2HtGx_ z1Slu<@X=#t8dDYv2LYeSSpnZor>2y2kzv7)*KxV)FRS3A80Z&wvZZiol+S_jQo zpUhF%JGXeo1dw@{jRU6+_O(rKin@m&YO2@uJjizeKDy_{7cJXSpAOYM^4jPVFYwT0 zeZ*fyC`B;VJQUW*D+@8JJx*3tdVZ+MN0nFa6DQZ>I=GT^VG#GPRbZIF@pak<_-viC~&IG3w}VlV4KFdqMBFaz<2hs zBKT^FzV`VPR;b31V6o8;YAQn;23L*`kbD+r*%b)Ei;-(Mxc^k)brVyEe9W5RQQMEUT9Ne&4=`d%mVHQ#B@p zNC-Koz$+V5lACZ>Q*~XMKk8JFg;2uujX}zot6CytI z&hf{OIl3^Y$&Vzt-q>V5$2RVKg88bjBNjOhI8>rx6PB)qRL#FC;@M$czz2HkcU0ao zAHH3vg*`-@I>-|;;WGhkg?C_sxz#a)1mhW{g(5pGSp`Ow^11;h7D3t@%<#s5nD^4G zQ19~JWQzE(r&z)w*q5p|&W2 z+DsDba7Q?#%t_=T;cROdW1xq{5myMK@Eg;hafDW(7c#LfDCSzl5TuvkkA9R|sS^}P z)v!fcdZX-o;gc*RE)xP~qs|W#2CXU5%cZexrTLm?%glp<32^NXm@w;Q?5rtY%O$i* z2+v07Gd1WNhd_ZxWC0V?L%4V2%pPW-nM57odF>T-ia4cmSWE<5E$$q)yd`zrW=I+r z!96#It2_9jyYxAi(Ix_m{yZaQSJV|+a2E|EYC&n-J6PNh;0^OE*B9ngi#sjW>7T|Q z!e%8ogehm6uxD9VvVv1BWj_mP1R!r(O!JZ>OE zT!33#{eyXaL3rEBA~^32S74&TI39G1YdIds^QnKN8*zuB!Z3RbgKG#E6iJljcmN19td%2^5I76!}lP|0v6Hj}d5pH!kG<~_>1lWU>$$il9PZV+b zu={mhcpHC8ItZ_Tz8&BlNiYF&!c7QV;*XIf?EmdLj|71%1aJ&Q$9o+x3TsH4q<4}W-7Kp=$f_fW(=3JOvpe;2S!E?=O9D6H7i`dax&lS@G zf#xIJ^Yg97@ng2T$4JJsVxKjD!L+#_tJ7ghVj7dMLcF>`<~OMygexBD=;-}@t(M55 zKGZt&E)@Qa^Nb(4-Ig^%kLH>BWQ}3&i)*W^uY(Wx2eApu1=b}{lxnt1W^Hlq+jGon zWTsh{yTk^;PH&1{OVPL?-*u{87OMBeX7lqW_3sNp@s1FW+fO&c-ceWrV|2G2ju+C9 zBWb^=kCE&v8WEz^gjK};NXxJn(s!sihezZhvO8QZ(^cHUDTw*E(CxCc4@W5DQ4Ay7 z`I@MqM!}i3a!YTZFZsRo#D{q=STC^z#HFJRXt@~43e zNyk0nHObt|{Tuh)VuRHC%O}5AAA69lWiX0|#S8p<*H$#}XYS{5l)AE`pg4EnBg#^q zav6qS?-dK?gJB;B0S}O^Zv&Ek=>~2CH`X2Rz6LOj%g=JFG(^0H6Ss7InWo; z8j&1<6rmV#9^sBfLjU11;rM>c>SaTmN1tRWDd20G-2?gPbFqlb(Z=lPaLw znZP|raH@Fo(mB!b-r??<>dj3xLm`>KI+9=}??eG?O;k&qO>Cn;lE+V0NK_m#AbBcP zK4gEc6l$M3mcQn_-hYDkaet-rY4E-Ejr37|T6}JLDffBxf$@>{xqMQ361w%>Wxj%W zJAX6(K>L{afWs+@e2A>7o~EC$wu~+*mQ)l~%*<%wB>LsGkTfswy`k2^Q?bR(L*ICv zId2u{V9Udhqtd67?rc5c=9Hx;J=qza^_nCKd1yg?m{NPlyZvn=b8{f|8q0^%aLVvt z;Z5C76<+SO=&^`ibtqC zj@31fN|*KDCe!1cuVt%g4o4HDMze0;xU=Yic3c10Hgz@+zD*K|Px?k=Sugx;RsFce z2_NTm3Ryzk)6-Fu-_!eD?5kLk0nUjs|9z36tgNcDq8iDmTFimzjT8RhrZ$Ojd z;M9Vjinmx&rT&lhdN=EHzK)#IY;FGbhxk>;KPzB{sSrM{d^g`e{}J}zFkcFAzy8ZL zv=eY=9yAdBtuLtQd6CCll1l`9_;7yhvU9Q-RT?S zZTdKS0Q<^MH6?ex^=p@&Er9zGIhOt5YkdlM;2|tTvC6-m)5rDs}rD zK97?>>dRwgz#Omb`3H3(iOn^Mi*Zd+l%1G~J^1Kokl@*%D7$xZHx# z0^2nvRPYa{>3saFlLn=3#HJA9l!iQ~QhXT_=|Cd)_pcR0gP9kMrbJO1pi`evNmem3rvgKo50J|bWbq6Ykk28vp2n^s|CLXwlMf_69l3Qt z@}njgM%a_QL0^&Kik~n;SdnXrPo1%V9zj-aP zT*`#Z!X&`?iZYy3qdu1qz=bZ49j`fJy$9fxFrW^TC9LangH7<*0occpQv~qHk~zi$ z6S%jPTaXQVmiiS1`sEXbb%A@fPDzC1mvQbh7G2T_vhdev!n~pz)SqM|aVR6wN2DBN z(Xw>1LAMS8K8V!Y3_jm-`)GL}bN}M(v9*f%4R)U3+|akmRmX3RknAZtWfvymtI40p zfH-=55oz+0*hb4Fu)fRw_^!xVNV}j$w4e^jsfNL+ju4%vF&njN$p9Pyq;tfqS_!yL zL!A_c&r7b)i{q#=E0RsfVk=UuaD=>+=5pmnThS>N9J>hV1JWtjJI5{Rq*n;JVi}Dh zSJWP$bSE|HemWld1lXGBE^{?ypBuHW7(CE2rd|+yNvpsP(Cmydf9dcL39=C;j{kumEcVV7MKlp^lH@Bppgr76t7(cj#M`N$u zpW5GefU&t-m$$%cl&7#a@()(w5#b*F6ZQ{Y;Q{4a<|jPA6kzVI;Z4oc(wji{-|p?r zo5(l*55doX&tc~4+$VFtkk4`8cK1!i8}|(-?^Mn8<}=BgvS0SnU*yvKWC|F!K4K3@ zWodq69KrzUE!VWLrc#{Kz6fpm#atvK$Q8h1>V44WaPu815EuC`T}yDrGlYN=yfLkn zyhYrQL$^oZKOn-wo~Tp!TYt$_nGZJePf8n>_CzG(A|I~Y$;*A`Cq$vt&8frdXCLsI zJ%nd6KN4U9^MU-6+P`>`#K*moXZE8xfv}tjxvmJ|{-vuwK13JuQw@5Hf$yXJc&gwk zpZRGj9W%P)$QEo&v`KV-q$=hJqNo}u2Y*FIHw^KkX92^RnujuzvUYB{e`RH)Y!q5G zM2#P4ukf}q-sl`O|I&Am&g>Ogb35mEIh${lU$R^G#=JlK@vmKZ)9)Pyk?=d)(8Z>K>h!Y@yI)VY2S&t-FlS-jqB z?j7g+8xKVGuQAQOLu*~Twwu>YmmX{GZ&N-)B5S~=fL<eD;%54p^es^H(7Srq?+0)%V}bv2 z{}surqbU=I!u8q7&>(oRl+8_;2j;M~rX3z6fHUyEq$C8$jEIQKn%rQDh|W>v#Ej%@ zT_XcWa(=P3JOU7zFXHre;0zM_B%M6c2^US5PY2+0Vjk*<1Vq&ij&aX8Ol{1E>XDcK zAy*aqI=!l96e}8qNkxh>h6Xy0B)Z47a7iFfx5QMrsi+(~s)$NEg~jwd?ID{$i5{Ht z*qGI}JpPU$Lhsl}C{_$Cd2dN2+1K*ME=24 z&ed~O@3KC;7vC3(2w!hZnUCVk_pnBa`}o)M)lk*u->lzs2Z1w&mbvsRPb^47WAYYi z>4DhAv|96@yj(3(rMz$UC>_7?Qe<5C`m0whH(!aFO5Au4lb{KeiAMZ$wA=-a+UOwA zJMd)BZN!v*D0O?5hc0OP(9b@(4{e!12qq>bXVfn!p|htqcrU@2D2u;RW##ZA`x;#N zZGu-Z^Nb~(JZjyTMGBJdJvu-Y$5dPl;EhuIAHr- zzLanVJ#xDw*r;ktMlaq`)b+JqPMsbY&vXJqN%8_p?#{DimhZaTouKW@r-jcw=0+7I zrAEpReZJo|^73L~R0M(Q1*>Ue>Y@p!Y*)>sI2Dz0Y;FLe52CC$Zd$Sxh-TeO^w=K8 zu3(|26k0RhDijw1+i*Wnhh>=IX4&GMONE9O4fnp!A98$WmY0&;j*2DL((@lFELXt8Lb~RUf7P<9wAnD+Bfh@Bd*T+ zS66A^P~P{7O$EizNGEC#O<9%Er1*_IGH49M5*R>mi3c(O<$;PooUp(UJ*7egvGK3J zeD&g;AsDJpuFpg`6GGTlct0)}c=;I6iPY$%-H{o>r}H<^R`aY2wJK!l4tV4DEY8Uq zRTE+VJk`@dEgUr<|B04ddiRA28S$XLgT;jd=dLv8f+eF-mr#couV){~{c`}=^}hfk zKT2uq3&Sm&&1_ixWtj#v_=eDOtbC_N5oWMz#qzVtYAxCidJPQ*Ey^!sDfLc1O?E2p z8}79MNGpg3#1qgGr84d8kv(vVC>T`K<&P*qYC>azv;){-6O--!+a8{S{X(%R*@7y1 zY}Ju@M4Pop+d*)Ai2IV|7bE^!OUEp1T|+1{1^V`{%M!puieC%txA-xKQvRXfsySEV z?lrq^y=E~R3jqCIUXfmYlHL-di}Ai$SJ70Z+~bXIlkh{qV19v_e!JZ<##_#di*JJ7K?Cpb>Ux z{{-+65ivXyxaaYo_Dbce?bZ}V+^)(HdX$qR2f7>7!1d29%3Mk^tw-v$;k3FiJ9yQ! zY$-DhKDhkQ!FRH81qgCZ=-0)5Cj?;m$_#j^u||9sP@uDjkAP5f#3_@Z#!^z-Cc^?( ztIKVa(D)wrw>mTb8Jxe2M~bc~KEg?Dn;J_ik*% zbLi4brTNDkr3)>^ju=DaY|#%ORa?c#DBY)@yDotzydGgu%wACLQ(RN96K@WJQu1%! ztwP;6iyyo&Mx3U}-QnIOtRNlle?Y#e_HJhlbwmS~3wPj7*+JpxJ8QAc%I?Q-EAX!` zM}bZVEzH{j)QC{5o=Z(bk@p&F>k-4amj1qsKHVbOI`~q5R+!>o1b`|I`xs!li zJ2Xkth@-QbcFXVjl&PzIm-DeSuVgK$3Gl@tBOPYF-i}3jFQ66&hyTg;QqbcL` zyU#oJa(yqpm6bNLepE+f8u<$zcvWmY9@9!eE!Ka#E3EkxULseiNI()+jcMb8K+2H< zJ}7Al_p38Y!9Dx>FwoiJ2$9?0xS02E=eX#&3whs+jEUsj+?Xau+RJL7k$m?t zKNU>}^6Lm^G!HwvMsFp0&AIEbN>5X({o$LTv4*xjA!EX>U_*(HXH7#h3ontOL&W%G2wVbsB{kvbsE9sZQh?*6 zf7_>II6B#g&8I!Wxi5drYo}-myFt$~;+3V|zqcLk3zfm`_c>*?_2R(X?`x!qp6{jG zzdy5lG9u#mF)IX;<|#}CPI8aqMv zCw6w$A_Nc30l{+uV76t`!rGUO6tuy7uM$|^Wzk7Cpz&&vAM0El2*;3v!;DG#(Y>Ge z)8L$!!?diCk4WKG#aI;r2MVP<_z(jc-c*N!h#gQAsIaz0w<))W`Utk5m&Z1cmjbW} zSs-e5w{r`X`%3a?7qyTpwirD1Itd__<)Kr2u#0*Ak>GGbV#OIA97&qm;LD9r3>L2F ze3V>fldnRrus~r{(^!WM2pi;KTBUXxr7A4=tx53DY3y(YLCssf2?_=!i4@sMl+cAR zy(9rxzzlI9;kmrlEx`@HFK$ixnc@cRKV-z=)FG(m?c6I$$2i2w zz8QW4IbXFT%&0NXX}b{_Lnz}Fe_dQkA$MycFp3f-!E=wbK7x??GBL7|@m!jEdd!9) zv)5q%{OV+E?73i8&nj=n#8Tx*=EyK_vrl`wb(&z`7(2#~S0Idi4S{-#y<@UhQ-Vy# z!O6tEJd-;5iyf3ura zzFkaJ7YeXqx8n3-Kl1dqnR`O5L7C9RdGHQ*eOLIgr=1X#cKkjvF2npVvgEchD0|~7 zY&i;~kEGN;6BqWNJ`0cq>MxqZ)uYtY;)pa@@y?TA?0^zToe3#>4H^*+G_+*{r z`YM)~7+B6&3lX_9;Z_9<8V?#Nbg6WYG{S1h;U%TD1O~0wKVW!=Eb3xxxEbPbY-jb!YhD2Lp7mLB0+7BO0 z4JN;5{lA<3@^4_1=YlrRLLo5%3e5B_Dw2DfiiyB_g`CNafTa-fux@iPK(Gw_1+t{w95eRS5I>5@x1Qdk`v(cyQB%Xd0+s+j z_*9kH!#;I03KB?pK&AlfW^ZIER@tmz1V>0TVbQJ!Wi)BK|Bd3#<2XeK%LsgfEUj); zi-K^_u|uHs7UEh&fv~8dh2&erd{~quk_YTTZ_*V*iy?l$Fu>G59(-#TcsSiAI9%U? zbF9!vCq7+#E_%KZ=JuPBz88~UP6;I`^23r;WA_jGIg~UUei)w+YSprLt^7=^Mj!5o z$n*)=mAja)k;9Xu78Wt&V=zfru_eku2uVLScQ3RSJi$oTw6GCd4xzC4`URbK{@BZI8{}Y&nqYgT zI`o95r#jz%LxP3)0ih-ZQ6Vgw5r6NZ`%emjx0#TvSFaC#D!NFwp3dxZ+3kKC??%Eq z{JhK`_9SR_{6F67?r)Sg2spAn6^3xfb%5w{W`E3w1{k6a{Z2JlJPK%nAFm4FKUxH^Uwjw?w8+|C@?(Zm} zUUO{6;w(Kx;ELqr;E9rDZ0Y5)4@z35Dx^qh$<~PO;Owj@rZyGNE0XyYvu)O?3QJ7J z!XeAhw3L3oCP%E}Q>(tN9of;G+@8H9gHXoXx@U++jwuA^U%{S(aQ$97stX>BYD))TIjnKf$XR;v-BM?^xK#Qy#x@^G5)luwr2IPSO?ORf zsdTs_3rnciXO052VZPyH!`@RJ4yVFARF@LIi7Kui3WxZ?mDN6i5r_&8Zk)4lPbff3TKMOyLmd!08$k(e*aYPNSHBk2F5lWhqAveUBAKDs`Ib(Y;%s;y`4J`Y#NphIMvZJ#8T|2aZ5NWWfq8cKXMF#J&#h=`O?jZPyPg~0S$ zY)PLgw{o-DMo$2?r*{kv%J`^#PYg+J{j?#b z@R`zCGh>#{hznbRWFc(3!ncz+D$@WDAw`wcJBMjdV^+(Ozj0Knxs4Bf4k4GF z0*+58)g@96WhZ8V@+53TXl&UzcH}F;Hagh&n9T1U>vVo&E5dJ#-11>6hUmtROd-@8 zdzHwBW%%KjQM_jX61a(n$KYa@)0sIY3qCW>b3H1DNc@j z$56?f|K2%n%M^BUmFEwxE{OU!kI}jFkBWsl-!F^fB$pnB9ws$DXt}(iCsc{3ky$c+ zdalJlxpEn$Uwg5v0~SC3uw=fC$jV86!jV*Gt8!MYKcOu7x_p{?a|=Fg6)U0$FIOe` z$?&wAo=#`y0k018RF0x;=?zJzLUY=DLZT>lf%x2-l>^#lDo8g{ko({$2uBmV7*7gq zN!4oCRavE?q^iX!pqKgLva@pfnR|AH>1YlCBTs-uz`p-};I3FNR@lYwhh*Fg zBc}{>MW)1^KUY{PI}jCd<$anE$f<*cYBVvtL>eV?c}{#P2j7vY=h_t(T>2&rEKI7Y z9@f25fj=NLIoC;8(97qNhI~Q0Xs5CdbJ|FzkQc`oSLvb^ik>VFkeEbsHJSNyVrm5hqveDoRzUn=@a?(=1z6+id3^OkT5Y?wiXFvscNLFNKrL{s zU_Kr`)wRiGc^iOq8^$TEgbWMpGmTF?zB2w@R~WnCK0mc^8*>nFfCpEpe70VEy%=9N zcmJq+ctT%=fNn5tO@V@82%L+^6>z4MT|5ODselozDfg!m+)=ovD*fDj@O6RgpQ{VN z1ZIxWI%<}0s0q!pkBAFmjXHH#Li$1YCFZ`Qa{kdwO!;w5lHj`o3@O;N{8S62TG+cL zx_@!tEJxFnCzn$VdmEb)VW-B$+a{Mob3%p=SLjg`u?!w(GdB>g&G8QOm2(W+qxkgK zzu3pHurvHraYLz))HM)ve`}Fk)Ze%?1a@662hWg`Xk)i|+}yKx&UgJXxQd5a(#|b- z6y(^6Uuh6*-^5qvbUI?6(5qqM#e6v@Agp^bw4kyg`4vlC{Y^*f+wtIu#wpiv%t;|} zW!Q)8Bm<4^Z*`WU!WlNoBNwlC*1@VM$6}cBxm~-K+zS}2HWq70{A*@@S3aKNZQz2- z9eqL}97UTm#{vcOZ_RHB3C>Aa8+!X}$|9md;V|j7B5!PX1z&9__CsUtb;mD4@;GXGu-- z8Ba_s5MLN7LC#{CfK?aJ=Ibho^K}7#zAnj$f3#`7{FR~-bdhpNwmI9DY-VOcSJcg> z&pz?yo4Z1}WatZQPi?msLhDd9me)-{p%Hf2E4_%i{Cyy?yW{@E%ET2hFU7o}JE=RB zpi;-!4QURh&Xh8>`%qmOik>M(W3$A>95WcLh8U~Cs7Lt=#27R#TfnduJsX2DU?Vo0 zf760$`iha04~1-^@&=N*%c(aHHBB^7xlTicJ^gu<#N}WT_c}+wb{#s%GgDG8bg6mKB;zDZNoJQ5s{=CtxU% zV6!UGlKcC=YFl;pBfGXO8n~$OrX}>Vf4WzC&3^0D$|{>t zda0f*LS@lSlXoxl+mIg?Vc(LIz--DB`bw-faE;aMv^VKGVL;pd!)em?m~E@E^=T>gtF7}jRsI{@uOQ^Gx1BN4d!tsx)xEeK5nEOmhxLT(#XN-zz_mT}fqYk60 zT>S&|B16eg$_kN8A~SJ=jWS?+rc01vn2-}};&W{*+e<2O%MJa$O zl*uhDmb_tX_oC3H?k$Xa*(1L$;Mcb;S{|~5YN}6dBj1y^o)M>+zPQTqxXN*m44H5? zke3@vQe(TucJ+Uw`z}*bXOf5DA@U%frkbLis++EVAZ{U4l0|&3YL<4kZmxcD+`HyC zV=PIy+*MAGLlBQALy)E(f4`6cSE@$`E<5NFT+P-tBh4&cmqKdnvglpq2h~JfO~(XG z>E*_N2L%9+I!2R`8mq};d$R21LG({Czbd8N*5K9H0yb(}*1W;1LF+Bp@|PL6J)S{{r=pX=x$eUt^reGtT#x*jiN!uPrmz`gNMGKe=u?0;?T9vJ_}uY zZ1~&>W9KXwJ!XEZuFLw)+PLkJnJ=+~)Rp7cetvfC=%-Sf9GQP041^q7`a0=1cJ`w~ zN6edD8!lSb>&1#kHg80|+KVDGm;2?DWXphuOQ2AysiJ_h0;1sP=Vm}5P~rcqQ$;=T zDPpD38iUt(>NHJ~)#i)8)$QBQ$4iztu9j@t0appk276=)G_zXwUCH&YOrby?}^H46a z5n`Ln-l+{SN@r~(t9OQugkIk%tj1Kb4o^1x0M1pMH45Yo^HH?LB8t-vg14$|$=()VcWtbDdL!IA;|jWz z<8DB3H>6_5c)G?JO93KgEJbFl*n&sq0tGl!9*IcN8GloX^DQX4B&Pf~GbSWg{PEVc zxs23?**kPre`wfFQb-DRhDPJ8!*u{s3GUNMFc4Q@M20ETG)6U6U1plkmYI%9Zz>L% zu9&ncse}xm#ip^^py^lLulipNYOdpYZeUuCTH+ktAeBO?)L~eq&?)gC<5B9Ym_Qlx zaGe!Ps9lnzaw(XinobU=L8bdHAbxPZk7!Zkv;m9Ge*>eGtWPmN!OwEGj1yi>NI+Yx zJET0TW6N|zC*mff@`RGkR8}ad@(JU~Pa;AVkH9}W&fF1ix^xNbg$0gFh35;zG4PT& z1mWg0ubDkP>RF1#nP)n3#Bk)uJSjqPt-1%beY*!;y$284#f?m*+=pHw zDNV-Xe^WB^vKTKD=V(T=|3wFVv8C?mb)S)+S9MBqW=s37cOnNu9q3@PV(-0+7ose! zK#Tev^4BcyMzaFNoOendkUToMTs>Q@80VNSO;(p{AC(@}DjLPAnY~e(D>hNBjRoCCZYl-n<>Y6W z^My|saS3sA!K3#LKA(Xm6K__;q4p=EsAlE0p^@+k>fiWI`RFlomJY0VeNpHMa>uNe z-MV*rxi%E`!z57WRUEFWaSmOaDHc zPy98}Y_KGn6BAjQqOm#6>2Y_~53mlj4Tv8rO-THQWuav?TV+`7+(%e?ZIg z9O~d;lo2EHXLi8vag+9{$ikaVE+eRLpt#>S+=-^>y1V{bci~brEPC_I_V))`umq(_ zp^{Ww#TD^(hn*_48l6V3VG3KUH8v)eDH2$mmskvVv#Xq5600$LAw3=EnuecQL>7{` z*f=~?TPcc&&zluJbfI73eUALOe`WB)CDY1#KJeJ_IiVdS|FIXEcPx79?w;F1?@Ie@ zi9LpgP8@kD6xuW_Yg>!v9lv|=o8Qx1LJHQSE{Jc@YvIm-O(D5dDy0$_7ZcT}U0P5n z#hN)ymK|o3IhJ1g zF`F&z+ZHO^8q#kQBS8bq=io@x&^?eQk7TKmGz_r{$!KZ!vs0{tAsTGY#qeQ<)Lp1UJ5qH*0ZIP)IMWG0XAY93) zE0IwkMh{>=r-imAX<(C`ZiAvLHaxjyqvS`r(le{4okO~gZ7tA(SY z}{k5Nl*6i9zichT})4K3VC>ds9q-%IZL z^du?YHKzK>%*ho+y=V8Dzvf8jkBVV=#4J{F1L{taEK_5}i49dg#+JjGORZU}d0#^{ z5~W%dDuYL#y+Tm4%?A&Q-3rGlcBnKQ zs|48r9ihlO2~-}j|I(KN1|?-t1yI~zbiOH#=v|~KuWiL)`T;ig>ozR752PJzCSmmh`iiN|18Bq&moBM->6$@xly6%ixiSNFtV zFfkHL#Gp+C|JY%R@=BO5`FCS7<4}Z&P-kL(<}F6|y;U1R_RQilku?=H6}Uf-K+FAw zfAkR;AOU6u${a>wHCe3*aR~{Wo48dQr%m9S;`SKcG_bfhdjj<&2F$%;dc_4CgQP*~ zfu{cEp)rHwhS~=>1|}?wTSZOrF2*b_t=i`I;I`waNF<3yzu;B8^G0W+RCpsdKK4de zB*p~ZEG{-?+0N#fAUg}pITW1{7(wQfe-`hMPFr?`_8dGB+PCp-lK9Cfl5qcbkG&WA zgdQUk$+L$;FPuIbTD$vgGWfO7@1YYUha~JI+9yI6AmWMeI$VD}*kNEGca(X8m3B9E zx87;G)5^6v7pfq{*&}f5(Y> zy=DwI_!Ttu@uIsQFZn5SG&G<52aj% zP*TzP#BnB(9s#38Yao^u&cW`{s)=rHsSba&)8fcMdSz!)qa3q{CpOA4MX51LG5^z@ ziT+4FmN!MI$Pbibz-Q>@?B?mKe;wkS=$xv)&v3tSj%L2`Dg7p6weh0iTcgRK(|OEB ztJ!Qc8+B?+0`)p#H42N_q}NGywK_J=5$}qN18A1!#-(aIz5 zK5*>AqQ?Dugs&d%f6u_C-tJ$Lb#qqqeCqj7rnIlu+xI_nGSQdPb9!hBX+C>VORchQ zI?K+xzw=o6&B~DQxBLh6e}pn26nJI?8^O!jG|qjEa#_B!J?pCMk=W7QA*EBJzN|z! zBynKl1u=%kdVdN{VU2t(j5*02d>u0edj=%;_uZ`>ub*HTZ5?I5U;BXm0pmla=_%#D zxvWyVKwoKGY?_nusPA$83gZf!%a>x%Yb7uG)d?!4f-|ZhzLX?Pe?#{#q3KeT;!Cm6 z)I>a_n3R#pWEoMAY7z|inz~%EOma0!w`?{Q-u{^iN%|C}rBGj7`yT$kUHd^V`!fw?u$z7_g)75T(; z&*FjY$~$x%lN>iJJ@5HZlU^S=?%i32g-d4L*(*DHLgUuEXG}j)KJ7c4Lni9|KKUs? zuYg{nE@ThL|Ab3jP0M$BB8Tx;6dpn|#FH&Tc9Vz`g}JIdf2$%oFGSZ=cfRx+ll<R`;x$?{2m{20G3?)eVZQHK@Dx_c?T2Sz$^*<2MfCgH%UVJXUNSvAA{Q@YbqM zyqju<@kQyPQKZPcz3df>x@xSeDYc0`N4zC3 z74C8Alxq<~e=3_G)Dn_yjvCNhwEU6BW@cV?cx0&NqxVABCm-&-?V*!sVmbhP%Tg|(;d=X(P=%p zVjbn^fa3W^?NLcq^hD7o^H9l3siY=U`p%<4Z)KE%KV+$vW*chWr}#KC}1~5UIIJfFWDn6 zf^T>R67fW==xtPi5!v>0mD)lCL1(Qx{8Qw?qi{+ZW3d*pQv%|D49WWt+Hfz)j`$ea zgto1FfBOUSP&0Q@Q?lsII`k@QKB<^|-+eq)_AcWAlrw~#CW`_cQo(OdwfOD%(88Q= zX<_dQoy}b>o$Z5Qpm~sGpxv}mwbDo#M;A|_L|$pMI-Oc?FdB8%7>h;xS7E!YI$W?* z0=q|0oy9C@V6Y9n4nS8QT@NcEV3$-Xm(6aqf7$F7om%a(SukiZ>x@Q^$!s;5%oepy zWw%L2vkB!&vgssdHyMp;wMs?NPTMUOvlxHyNYt(x=(59si2Lj*t~z4v&;1BCea zYO-)g)Mh*4i|WuLtaHTI*?V>z)!~~4%U$mg3bQSqJkhSfy77iXPsNz1qVqUxgI8%q=Mf2`F+{PRJkHJM_J2ECq`OnS2=CPs^Mqk1jV#b~rdVWKf= zO-zgj)MyXXjOz6s9kc3m3>BR*N@MhToeoqPHey35^5_COs;eeLU-4*`X%1VQVED_|-%oiH&I77A!Qe-LlA9rg;HPVaeD$X2QSLj0xDOQjf)#jErt`I;x) zBue5n8-9#NAw31EBR7(W^c;z}Vx-=0kR1fA@T24NiEs|=3HcWB94#R~CPH|1_~M>~ zd{t6HKCaH8DyKMs916Id`7!87FofO^8&?oxiH*BMg?>)~!^8g_TH%{Ff3sklmVB)) z(R&AhL~nssBSKV!bTM(5788eQB1AEC^S@>wH-yoXLGzPM{xUxGQmdwUA>Fq`(>J+! zd(!B`x;mPECA8G-ZEg!KqqX$4(ERCz#RJKlx}w@YskUiuu`4A0Jusx=z86Gk)xkGA zECw;5R(f+z7t&ePMa?uSf32Es;e=5_xLU(i8jU~Eo6}fB{=~h;LpYimu4$}w<`8jH z31<>1a_ZASj7Y^Ql1r(eT8#^3L9?Ii7SHv`?mz-4GgSdJ@!fQVcouaS2uO*H`O*g<|;7f%Pf(<*)v6~Hm+yHB21Ovf1`=D45(?6H=o$O zVy({X#*-7SX~etBB`xyAj!cwZTPnR=+@6qOqz&EOE!V?deU@a`Jh8ldil=lL4xd^wFwu=`(cmbVqf6 z>iBUc?S{(+W-vHeTs7Ssh_x@b zI-N@B*hrJ*WZKkWreWaorc~2A1Exj+@s$dXKhwY6FZrA2f6J2UO3um5Ka@|`=9Bz5 zpFJrf<)Gq(g1Qxj3aV({QoNQG@3E1o*Gey4EvUP2L3j-3>%U%sxloYsywGk#WmhiNX0yh|CHt8|X~0vDa5b z?l^zu{fR;Qe?9Lnn7_H$q>f8E;EWr7WYUnViQ~qu_a{EuztfgEJ!kc_8uX47pXQ#X zca%)APg&SKFsxg%`>xc?xuYfdqOsG|xG1Ab*`2-axEEJ&F0P$G9KkzfL^o4!h$$Qid_Znkf|;15yuTu{2uxJn=Vv-J~J-V$ue*W!efY*G|L3He@p&rH2T5*=tFVTp`>|3P~@*NW80aUi5|W zRPjvnf2#1Gr^wD5{>d*hWX@wYOz|e8_l6ELo+eF@oYaV+zx>w=(55f#n!027_9=nT zFRvb$Ky&&(He>4x(`Rgz_SOBiwAa#O<)O==lh2YB2m3EP{?7Yvinox(;fw4NO1%S) z2Rf^D#O-V!(>|_mOy9V&n6kL1>CO%v@pS=4qCcgUS=iv&yiN3*PloGG)9gr#LEDMVC;d!>IlP7%*c%|+FOn~GV|l<<3D<|Y(>V-I?vYWGhW#EkNeimeQr_B^J@sJ z?A?|cu6LrAcaOdP=I8GoL7sI-ZFHez*^p;v0wdkvw9)>oR4P^X*N$Qnq)F;gT9pY* z#6%leK9jCnuQ`;>Ev@34JKI`{e;jR{y)8rH`#6VLCOU>W?^E1oyGF0sO%O|r`nb5_ zSaA$uu}k_ddq!hSlHL8+@^rthu6p(Ay;rZ^tA0JB3{_QK7^gKKsz2M;U5cSZTG_O%e<`O>Ov5W&OtV^ecH@ca-yi>I@(;mXPPCO=iwCr|X_d+%7#{|H&L9SAWy3~wMeIvgl; zO4#bH_11X<-a+rTOy4#?ZWeRRmF5H9lU~kCMpv0z)L0;zS(7zif5tgXmD9noJd*Es zV&{}2z`1=KVwrm|-LpJAdu}7$yOi^b8uvh74|%*l#3xWOx`1T_&e(;nDw4U_N}uw7 z(nW&YR+_`;w`osUoQjQ?1YU$CMUm`z$i`dqFgP(YckaYhpje3pTWM26Q)4rU^I;y6 zX_!ND#17qmza#h7f01i9fUrPUQz zmR?zLZN;AI{nf&p;yE+gsuvp<7cZL8QQR>jB7}-VGd5N4f2e+^;%xEnOaD+|bG!JQ zW6Z(v%6x}FEtsOBI%>ampp)nnBHDFRV7?(g-zqOE$v4TaoCaTm?DKd|xv}C7xHq|X zxH)&qihZc0sO(wmYu5LzQ&z6X+GcGBtLUw&P8E|03H~jakmt!($q?B-xIi+<≥G zXS9fY2&|Z!e>{++Yi9*$7o*KgcN0YKbp6fFgXw+JSic~3)14j*9y##KbFtUI`okL^ z3IB5ck3PQdk(;(Z_JbR@K6YL1Dqnri`sM>)!L9G^!+7_;9iMIf^J`nb$Ig8H5- zZ{PNhC?t&B9v*pM^K&VY3K|hKReo6IFF-52>{DPFtysa)r;a z?Ha%Nf8@v$%f^Sdto&*#EQ5c%r|aQwPu##fuxs0zyKkC!8g%SVm}0H;$(4X^3Uswg zd!+r+0qLalzI09!kW?fMN;{PY~e@sN47@~BAU~r2DgTQeNXK)~FHZl;BaW;cdAaogdA>U@* z1M?mtGLy;dljS`|Tx4uBwi{Wa*Wvs@@shMc(x<5_6cT9-p|<73_n=QvGbGv?8g?n7 zfA+xLA}ZE$QIYeoWD)X^CIs?YKtm4J@@>f;6Uk}3V|=o|WKL1@obiVFdzW$_z5e>A z+wQY0y@%UyasTtndx&)d{fn0%K$FG-ItNA|-7kG9pJ1R4FUUksG_}pQ8 z1qqbTjl^dkRn+}r#a{v+Z4@}G)1c3C#CEXVM?AW0Ab86;8k3A|Gf zc$VXQ2H9ya$TCQt6EVn_{1TLCG$NTJUxsQZ5#balRjL1yy9bsYqYp7Y@cR&Me?#q{ zZ(fu6SH(+ur}<8|P>0fcsCtkK%d^{0LLQQ$3ug~a5*I)MVk=9JTGDPnmZ}Tf8D`6tvc@Nq|Pdtj#|7bfI{Pc{%CSDe)he|2W~N( z`0R)Hz~sn84^wpGBzX(vR#1axs@=PfBLgf-&2zQK!HrGYxo)<0F3}zr2Yg_atcD`P z{f74qTss`j8Q3Djpkaq$%D|CdKV?|W&=5@2;N-#zbU(r;!3|}ytPYo%f0I>J+N`9; zH$g{2MsVx6{>&IGBVP_HQ7L-yIFcaVoo_UQWjw>3k$&a=P&K?|xS%p_Q7x4`d8)*+ zrG@!?PQDSQAm&SRy^4IwhsiG`F+P}!7T+HFVnNptk8e*N&I2gmMNC7f51&Gq_{&TY zBHF?tZ<+5H-g-=%&7_NSw)K3V{*lcidy8&<`JevzaOs9C2mjCbbv@VaY~jl9 zU2#MAbtj&DYNCSqPV|PBdmo%m|KJg2E9r-nI%Y|MIe>e;*?_eHNjwv6o zzj2&nFF1IP%-Pw%z%d2yQ%-qKd!{^`DmpDrmmL-q%)898*Rc5?>XQ(>ES#q(~#rR7Z&?v3yV9wsGM)rB3)Qk=x%*0F>#r7#l_nsS(F8t z<&|<9Z^^?}*{(B;f24ZArGKMYzWIylkCpt z1RJQFvy5gHIm&#Ebpl3$BBP*y3)DOEX5T#K@pyGB{Bd}#b_f5D_;v{@i>Ai+BCf?yq4yk)0k ztjGa*le|Ob

%^Fb#GeLSGoJ7eTm=zbIa(0lUqg9j-gllgyRlBrj`~SJ>DrR_%JY z<(~dKPi-E4f7|-6)z}^x+4{XlQ^|vq5yLZIUbSlX)V_x%FMj3PmWhk(!#{iemA77b z`RBl|f2$`W>}lYag7VRi0{e_i6*JQ_k6FfynfSJxHt#a;p2GcwhDJwYUR&Wp$HF`a z=JP_1P~N7(9ffc5Z`ps%e`NaDqs(AROjS94rpdIFS!`O*M3`Tge(CwZ^^y12d7m*> z%$c3J5O-R5CxlbT;730mrHTHWD_M(GCORN8L=WZ ze^NC-vQ)W8#A1o+zz(Lw_E)`B`;zA~Ug{a8>wJP_#F0Vy(=XK^<)vK~n)}j~Aekwe z{I$4809$eUgI7k{uHSg&Raed1=q%*Q9~ip2<WzPn2gS0{3 zVBBEZVBRclmNv_qjhjuI&Ew_c6;|@RwQRR)J!}xx1O{rhiHCN{mFW!t$s&6 zQ?Fo*%aB@TEqOoVT+L1g@I%YVe>^8}r^i8Gm`LASOP z1S)0~mO`LeZd2@vLt*(6vzmvbN+Ay$X2GG*375r|(mYgBYBq^8zzwdfkYv7!%R@y< z0a-M(R6%;6d)G6ocJ3rm$`En$r(XlAD6go2xNc7KWzWFjk0gxHK(FnPfAy|y+qX9P zzVYIH?epi({Q8=ko>^}@U`j@|Z+5wA^KN@~@4Co~H@)@?d`142M0nv9r5<1X(w!@o zj8zs@UA?W(vwFko=FaQU+=tv~$8jW+WV~|XOrH$Q z2VjR6A{>)h#w>CvQk7K(e^bdCtx5?h!DhS9gr@{?k+f)&FevO0_6Qt;HD30b_#6G^bIolgql%u zuWjv)R^N8p;Uh;JRh5Mg+^<|2evk?6#zJ)RtGg$@vAjB$jOA9Ce>JD+H&{P&9OaUI zAMg+v)!`zEE$0F=?9Rq22QCvGE)#aRj4OQ$_?F>=r4 zGK0DMa}VTB<#M?Y-)0N~d4pG#PC+EdNh`dWu=SI)chNdQx7gZR{ramupi;SS)=F>$LjD(}L(m^;|JggeDAOW#5Jq_4~0M-R%+ zprgV;`6cv%{0@3c{vG;2zKG7t)iN^39^{fMQMueKw5JVl>+e?uGwrkg&(<^@?|5jNDCu&G201OgDVGtx1fcO(E|0b>9tAgN3Mml!|( zHzKl6a=jB>6J5EUPtJ7duj*uiFPs0eOhyQ7hPtwz%vGVx6J-ZPIZsSRe{{xIB{E&>{C`iQ491JK{C!Wd8e~_7LY}ecFwy`#%pHfkAqmo~s zMYn+;6qPk{yh(EKd6L&|;1I_fC8I^OE6Bk*g?us3SOCtOPnaoISsGE3&?3&WEM%AP z0b#kg%(%e1#CDDSdh2TY7NJM%vybsN3Muh8f5Q5d{ZITwsnTexM3v?WOQp5KUhABT zn(d?Fe;wjJcCYDC{223?@e$Jz^b~)>@>7V4e<7Xa&RTzCKhOU~%D1yLO+he7vMd@+ zCRwrBV16w-Y(RGP*woTMuWYrbKd}j-D%kAyDuV!_vS5)-CZE~jG@C7=&1$WZMJF^T zxeK}!5rYLgCt7VLi&?hG9BVh5Oe9mDh}CW-e;IhP^MYc=BwcxjnKd87j|OD5UB+?w z7MYQcF>3=-yA8)}x7bL^%-VoaG2l%!9%MoAj~>An92a`&vfy2QzN^avW*`0&1?cj8 zao&Qi5jJ}KFQhF97NwPZcInS1mmMftbKSUEHL1)Gr%odbAIsFKaa3nj?Z>80XI^#d ze_VE;aSepz;?${w0(k=t3X0b(JJ3L%bBI%?4+^SQYS$BKNm|>fr>rW$EgqXXbx5cq z7!RSj%n7Zh4Dw7nH*IH|I(=AHIhDL)-kE;o*E03yQ+7Y9h7Z{=aQNwV0gZrZZY@!A zs^bnf&6!{;SiEfV=@XB)aSe|jzrX2!y-zz_3dN01i zY(MhZYvgxsz#jbvXupC-k6P{6TH>Xf4S}b;{`J;>36H_AharLZ|UM%U--s-e)|@95emY__F0y2>ojf0Ykq zyPfA*Et!FrXgY({s*r33tJSPzQW+GPw=z~)c@e!RF^Vsf%W-JjYPFfGc5%K1+& zOI@g`>e3acOMgX}WG>Kjh3B;+f1CuG!l3W+j9WYfewtcfEbyC3+9j^7MesPth78Fca1%?_O!i~g3T(x3UBr47Pp)Er5Aw^!yAE6Pv6WEqaK69Nf4R>3q|64) z0brEF0;p8VXQD!nCF=0n42v3*^HI;O2^f%PJ5@LGbdt?I3hV?{D^tX zcBAvgoZHP`w(Yj>cHHIMCqHKVq4Kotg!5zhH_ktqCzMZ}Q~8Bp0!#|n6R`YVr^Dg1 z%TBnkn!sTAjIz^clpS`vf5~Lz*?g}Rf6Pb^%*dyaY3eL8 zPMyVB^U*o=-c!wfxun@|fkpU8j^Czds&Tkf4(zdwjX0&5*a(Lx4X&K>%Rsh0PvNCbR+h;z%>BOU@E0r#gF~+ zQoNX1EH0JWl?}Lq=@8dTE0rh?F(C*+w&9exP5KJHUA#;B6rX4Eyka??Ay!F#@w?*B zu|TxPbpFqph+$pj5me=tNjXh!BLi_9Fu)^S)Q z3_Qtys76AGdA|iCOTeTEhK4$E z7nP|9^VCWce^a#6*`s-WNp$61L6-YNZc_B;fb8-!PWa@yre|E#W|JC z!^!I=+u5FpAIHZwWq1P_(anK5+r-@>V;1p*fZr4T zATZC6mq@=QJRvaag{Z)Yf)TNw!uo1Ntyr8(US9tieXd6M^}oh`%8|ATmkuA4F!Y7zWo4 z;&LO4f5h(zgt|hUAsaDlt41iI$%)xyl06w9b0Yi8kPy%a)WJWXlsu|%3fdPVZU1pm z)%DeLnpp17K;pbrGnTk+pfjQ!eMdOJMiKdeFNn@^c=D<~$t400op;>++`7<-oy#}& zefk1F@7mURZ1n4UeY5KB-Sbj39Nahp!RR4$e-~HE{soy4xcRs~>mBwvZnD3c-s~u6 zHpkx_nVfv;=;Y+coAItEy5HWr_pR|(rg2@0f&6yEVs-`ny>s$!QvdC9GFBO1slyDi7~a!i7Hu?~)L|~GjsbZLzt>?N ze_8lK9Tw2@e6ON|bjP_Jc=4x9OL{+te5&<4u| z`s=IY-!0bFIt=c=^*?l&L4tk04zsA%Ua!MkRviN}*_Y}t5A}mOETC?CzYdGY;ZSr~ zLW^8wIxI6m>+3pfM6+EH9X3G-`Ar=*f3xfDFYB-c)wsy_VPTF1+DxvC6gB{?xQ!I% zNx3_Z!U8R?ps+~cW(rHXj5Ju2kp^or(qK(S8q8(Y(PX5-nv679laU5%GSXm8MjEWi zNP{&QX|N_E4c26&!J3Q+EN6|^NXN2-!ltZp3myBHD6EjNxceyV0NCyxrm!=se|`?Z zqr8tiDWH<)-vlI?xyz zL}Ap6f+z%M6+I504%AQK<*@9;;FHp8sb~RQB>*PJAe2XF4HZhF(7pzsg|s~Q|B0zK zLz#-!z?~=>&eTal*-|)b{mn*xfB36IvvjDQmd=M3Q8=%LHhn-tindw}_>%BRpb0_bp0xTA;E)O}NPB`YhN@{V;8fQLVF9kTmlq(T9 zYq&#t$uQ+Kk=3-8#Hm~ol%rAFo={4(V?b|}?jc0mkLbNd^pTQ#8aJo8&FBrsrzD`NY9*PW-R310L3?rBLV$v(WwpU{0~u`R&~8k z^Q?#V-A7Bc_M>noqRWufiGu7k9f`xS5AKcV+}1Em&*dPMm8Kmk@*dUsmWwBCCtw+87f8Ow|!-8X4JO790LClY!eWDaRuOwsmf ziqqH!sSXWL8=9uAf95i~+H#51h`sBh`cI_Wg4XH%rEM*-m2=^!LgciZ${<1KgEo(5 zWZ_y4dOdyh1l99|KJN!8_AMD1|4(hcCYL_l5{7mAHf^UgtZQLCP|-@-UPa}!zvXaj zhrWBM=B7DDbTdi0-LGS-K`WqM2do~8;j;inMBsKPCG8i(fALycz6eUzz>&;>CBVf+ z@VA_nc7V4k(@zK0+T<7Lw3;c`DApu5sLOVGE?mwZZOw}V4-!;6`f2U-c+!?Xtxw(b z?ikb`&h#0|*iemk!?f+R?F;L(gIK6(d#%}th;Eycy6xzrSi%|GOL*I<_eQM9h;FmH zGpnA~XX4Bv<)TJGDtm|AoLp5xt-K))nDtohU$JS z%bt&2CX2A{&1BCUZIuX8iUuiX$+{Jx^X>1;T-9|kf0kuK)BTd!-$OYa$y%)vSsqt) zrgkDrZ>N2Pzs)H^-2la()~om>_(rMxw@_(jTa&aMo~{{(x|*FCrW_~u`!nOw=*rga z0o`(I{MTmHpspX&7XDHl`dcHK){muh?3YU}?c(ApZhLie-cx(83@r?!$N=$#0az#2GCuNy?GNMuF) zg#RAhwY2^K^i>PT6txgUR<(5Pxq)hl=1Y8|Tn3N>d zr7>tX+wZTN1&8_?b$&Feu8#Eer;_UGa59`23HL0B$5P<|f+R7fCWFuj$|JpMPdFLr zi&d-h6Omw44MDA71a1z*6JfP~cpw;yeaIvPp!L-R0{#(PL>0!M?;2ec0Y zG3m0@XgHRNgrRl_fZ@bgjY?S(9|)tF z0!V3v?g!$~NhH=YoJ`T7CR1b4a5g81aFV2#aAF`5qcsxoEr2!%^oNF_qZp;EClc(7 zll!Cn5g1{AI64R%iK~5)kua@5r5seFzz%gF47`g)LQp+8I2Z;t#zJA}L}Pb^FiQ<@ zg~1Gjqhl(JEeS%75*!1OC}nR-f7hF&-d_k>bcfa9WVlD;W_W0r5IYT0rN>&pwC4psBkKl43*cZGp5(CuXRH#~G8Z_^TBnP9xG13KT9Se^p z2ZMt^8dT{45>t^RK}@O-CgKBeimfKCt1a5lR)_nBqrpVWI%r3a|N3ELyQP3r|FIv%Imd$cUKZqjD zX(ASa7zPKUPEjdj=z*CRfA32K2m8lpKScQnpSs7?vG_1)86p}A)D6dasP=(QfI?Bd z2Azn2HbeDbUm_eP+FPS`LbLuLs7}0_Og(6sx`Y_Qtx=+aVGwqhNGA~vr9d}&f%nsN z5wXYn!n6uii%dHZCun^4a0*ZZnQ@qfSp%v_rilXO&#)rXm}save-exi2fINlgGtb; z?AA5v+8EXIvGgclOuBGjN(9y9U^o=%jf5^Axe8p2Q4J++gFQVFB4f~;1T|dMq&Pu& zOD)3Zh>AuAB4j|&39UC8Pi#qQicNKx7RE82RGA08H>QwiBFK9D^p z!s^0AaFp-@rXUanm{!NTVTP^%n-vEGUri=yA`*%O)ipsnH~jlh&{SH(vJ_|2UtX1JhBOspzayLfzba0D39=7llcQ4m)yw$9Dg%1 zGax=b3UhRFWnpa!c${65+lqrQ5Qgvb6uInVy=73|T=ec;q-b$>m*Vd3PAM+M-Q6L@ z-QC^Y-5rWUf#U9NH~#Yc&w0^|P`|jn#Ry z>_+QeoYBJcaA=TY{nm6Wk$jjbne*O4DBV=Xy0I zO{nmuaR2tAJ+nh0o2r?pmEqJ{i~Ri)(_;4%SrQ`sRaiyc9As`H1@uL4;#nr-uW%#Q z*J<0})iETVQv=$$4<&y)jdUQjY~Z=S;<`2pV`S?^s1_9czbr3~l-V(G%1@XVD>pYg z%m0CSF>`aVF#TV5|EvqvJyHCIOK07#=Mq0HO^(v(Kz~D;g&P)@1i4p=5}6d&&ptgj z4iW|#5)~$-m3A;;0s;vcgJJ`QM${jdA?mSf%P+z|e2IpJwj&}m{*U(}znzHC`rG-( z;|Gv^=+zw(=vmR;Q65u%a&qDp{{`|(el9HeXckXNvBB^|TnjiC;+Me<7MIyiJ`ePT zH(o9^m=LGE=RhPkk_^xSVf+U&mNAvtYwWHM+V=^*w=m($Sj|*rRocTrl@dhl$T|#! zsbSE0QR-5hKy)mN)098$A=@wiS7YMeT^@kz+XC(~Tnu<(^vKPClV!CvllTxZqXStF zPm8I-xwcE5=oi^lFjPs-KQ%V)qaIZdxr)fWJb0kB;|{?!d~w@s{9mAijRNTR`>m9q zFnmED--!)9;QXi&aY<2F7XlpR8)yLmHp<^X4RpUWgMDgW)%_x?aL5jNr9$sli3R-p zF&uNTg>b@lWrQ%~0}3vhrLqP~UdH~nO(UP^8;8YEv3a1o7w2fDzp z!?=y38PGSc7k%^p-bpyp4*Mb{@eJ5j_9(7=Ya!_(T&3l%>DBQi)d@WBit855#zs7~ zg(CP1HkYMwIe2Y%=p>ODzROuZe5F*#T6YOw=fk}N8#-cj>jM8Q%Ru&be5o~lzBH#u z1HkLo2wa3o$@gvbW*GOV^Jbk>;$nm_MDq^b zq|RSLELk`x_Htjl5D2?N8|cNfWg&3pfJOZvy+?c4wc;Sll-%^oD@{SxOL=txnIRsf zLEHc8KsM$;cs?QN#5vR?w+p$~m=dK%pMeRq`R#ek^-rHyr>HUjx|YyfFA#03L{KPm zCj@*!@9P5qD?fmdyP{8`~0aiM?=o z593lAa1V3)^lJZ#U+vWRGHq;lGBTz5Zzs$G&FP*5hJOjdfw=EqKG9 zw|zrsbzXHIw?Vxr3j%na4Y4lf#5p(MAN6z4l2&IFdqR}XjIb%X5DNAvLhVNG&wF`v zkXB=u>EIkEAb5|8jw>4Vo=}RNt>~m&LebWaY&@?wt1xO)0L^niI z;GQ`_*2L#=9MRl&)-QIaABw+ysqOrZr2wi+q!0)GmRtV37a)6&wDcGDsWx&tGRwWD zao0QCTye%1wXf40fu5MZ`y9W(Y4D;mLqq;h^sUUv)gj)wz&-n5zu{ktd}voX{B3*; zGc180;Tw7g0e(qzJxb{796X(MF8ix>LT`F_&CGpGoQ~{at9v!97Jl0ZK|m4&{^n4< z+~dx11)`gRjs#|5I3-`%y&G2rH-969+8y6aH@vdl(tRvsou`-?`8HpH7^X1SO1NS& zI{r@YlEpaiN!)OaOm{Q9H#i_YHDU9<)9hUFGD)v4;lQ-Pa4(P8(np`g|LY%di1DrH zB-$vVg}aR3_;&g80#UHMgY>n%p1%`pDQniJxLMK=XA@BTW%hjuO%SVNN{Jhz*y{R> zurFda!pS*56e5?d?~N0_Sii^a)+y;y)B@sycY*4`jeZrfVFjxe>X4A$CTgL7%1*?aagdrvqp)+-r2jIsosrC@Qz0ADEpKzbHtDLi z6M?3+>ht-Ve_Um(J+vO~-pSkNAkKvJ{PtR|X+3b&*|h@Sqh_XF9gupZ5BNnv34dT`4=0I0#iW&Eo}=51X` zM0SJbtMIk|1>`&16rhdOdmR@tExZSCRo}5sJtAuO)fH-d@beXx^3{+)`qW^FY{G=z z04#w;Fr4B-3z}r9pxT%UaUc3l1x{J?w~UsaKEjbMH%4^X7{56Ak=JeB*5rd;M+@}l zbtOJl^27m_Q-tF=CF%r)@LRJs>p<|n!$GH4ZECY5>P^IX4S2bPeyI+WzxjfnFfXWt zy|PrZU(s*oLeRO9VB<-PQip*#Ti%9|K!O1kbTi~lpbiqU(>b`nA+*;Y5Bie_+&gFi zy+W_4l<6j~?0@)xov&e5r(Pl&AGAj?;jowJGErY1^PTCk|BRgAFkdG@a=c4wrS_b&fzC34`{xkP>-vld#8x^nwVWZT=VC$u(x34Fyr za>DLFHhLk0@j@0jw>*XQuH3A+ggvvfm(ZLFFu^ys`vdDWVE1$x;_XT?ysfi9<=+T1 z&P|P3x^$eE?dx~XN13HM{4({c@{{dQ^7KkY>_wt}#02h_ZFN=;ZFu?YX%l-&?>K4sw$!f&?x{d}>x;Pt`-8 z8_q(yS%h%m{Tfc=x|2oKpR7CHOIRK{ny8$ggt3lpn6QNPb4UgIS4{YjZ1-~QiB9Rq z)t!!L++toNnFw!`6pKQILCcyZLvZliGf;Fj&=-eJT+S&YM!M_*J3072fEE}0A0fS9 zr>6Eo>tN7(UD={YU267SJE=OD?Pv4*hi0oG(-B>f-g*X8e1tnw!|U%=LlLarzH)<` z9iQ$_-aeSq$NUbSc3Zkn1p*(RC^NSWF?WRR#gCSe9i`wA7}^-LOQUX-L7jV&PNVLx zoDj~{U%2kUg+`1cMcped0C&_=O5&_=5D|=RWrb*D$?W&Ldc?co8R*Xs1zUrA>(2>V zehosxxN~on(`ll(L&qM&W+zJ_vG)bXK(DxWcyP~^vJ~XaFc8%qQoatwPLYNi{f;+X z3R$Az(=R$C;an*s2`>NNw`aX<#bnU?my#NTw{e?oA@7?*fc*yu$k`d?{Zfj`O*Qp+ z#yj|d?Y43&xYclp`|IIDvGtVU8n5yg%&D7``>yrnb(L2EOTz0tzt16%Xl2}<>L8IP zPSS{h*3mXw&{AV>^+jAjy3s8h{B|wvu!hg=;E8tUb4ZPS-0{ZUHuRl7ycJnWVUt3} z1OH}J7noQFo@(#~0H7!I0{VscMv~*S$Ikb_P{~82Qq|^`j_~f#!ldGjW8;g8=4g&7 zkHL-^{|rhrOe`C_LU%;0j}RJGS!2C~62!TUGLCJIjbJ38*0?A2jce?~nMAWFYL>5+ zR;m-K5vn)S*wMZu>3OctoMN$Sxs-M-kh80v7aWwoE%aFec|2nDN~en^Cj%!&CujmI zSf@_U@!n*FQJ7s(eX=Z&rEr;&V5IG?I~ zJ2uZ1uS!5(FSl<&?jm>L)@?^`2ik6aia)t6}C z@%DBn1>7)rDs{t-$G?ol#>?tLPb8MXO?2pKnwzoLx zc!wPeEydbS%%$9lKN;nn7NzF{4;BPxbUa!P{(>^zGCJ5FDJ?I)SlZgR99Sxw`N#$y zj5521=N_;qB8W|XRY2fX^|ah6t5B%oahWKKj-KER$vsGKJ?MWGXyULgv76)nsQ^Ou z!2{gH*gW^njhWm0|6H06I}$vu{7|E2O+=BAyqUJgpz~j0LSqOfA;@vYKUrS7RvX!l ze&l&@oQm$?{p-dzd;P_CbGEK>_c_{%_k`ye%vYW*|FE1)|>DQ~$K7PxIc@6r&eW_dd`dpHubXyk3Ij+m5?6FWGixR;_sG6OQse zzorP0^YFs9|Km7sI|L31froADM~`S*2n!+wLAao?pcvx&+twp=4?pO>kFj;3PaR1B zxM~aF8t+p_6mYcv>C;M>!{m<@dc(zH!|;!9BOYWGV>+yHTFYrbB%k$l_A4zX?y! z!?-usDp}K2NbnVz(QZJ1UD|=aA4McN=^Hi8l>(eG4dlw2o}5`XcHB|Gvg0+5I4q(6 z={D4{qXsk(fVYjQf$-S3d&N`?162H|TIwUP|Mu>u^vs|E#@J5+Lb(W;ykZ1V;fFwP z!@3jb22mNn$px`9A#4O{xg%`+?&;P3q=G?g_By*`J@gB>!>cs>k#` z)}opU6X<|B&3GM(ur3Vnng4#8fq^#wd%iOSVOEP>>VgCQ6|>VhLD2d*09_DeD^zy? zkv_DhR3HkDf-tnE;sxbntXCeIh!_b^ehAZw&;{9JLKJocAu*I8xzeB*AvsbX zEGUgnj*uiKeq@yLhHFKxIhJZPVefmSrf_{!W2_n%?8_KUeY!Q3VuIOdLt#{ESkM_U zuQXd-JhoxLz!i5Gg=>8Ta7Kx^L(Un;zsG&UXF*k{pNt4#ZEsf#r$=_gl;29n0NwG+i_{PgT#QW_1x*`00(Z29X z<4RNEkfU|`*NpjskOcx_wv4!7a{BeQ(Qe4NCEoqa76r$8Mjadh|5rJMc)igY@`y}Q zg2IGADLcNA->5QWVmL` z=J-)1uxxP;`MTwE8Kp6laoyxGlnK6{1hD^sf3XFa&e65|S0`3EetT1DmuOdL7uI5^ zO0-S3O}dEvD+9R6K5maah2FI`ihqK4X>1a*lU=2}3b6{+3poqj>}$Gd&r<~A1>yu! zx|5@&B#VfOfSJ#I4w&7&qw@5Bms6b6JF2-uKaY+4nP}WUAGDQEXc|*1xng9E=9Ot< zs=bVMCe5eXTu;0@taW9)F_mpPU2Aroxzgvl!`+nuoEuEn9cuD=`E`xz8ZtJhFISwg zKk#@3bd7Bo+BLW>>n-ax$8=3>7+p3XE&D!@ywGO(!y1nYqTl_4}HX=;Jlc zsFD)_pU(4J6rBW3{jTmf)j?PwFItO6HZ_`*VJWo2usMpKL2L@RNP=;8=Dff(xZ8lz zT3Sr+c_EA~#gH*d8^Ux1@2H_U89*sHwzwAgvDv@MxMJDT6|EzFeazOhrB?e|tXm$)9DA%sNS$AcNt^U^dsX2QFFpnEIi)kBK*SUtw?>`ICkTAAcod=qx?ki7SvuiNOJQ zZC?Y!QdCqF+VASiC#ckydK1g$ElZ|TH91eiSDX(1a{kHKY}Mb+A5v#%J5dC>KO4A; zt*W~&p7CsTx(z?+U!3dS6IE8W9dFUROVu4#Z|je19oyY6oE(BzTcSvUH%CSpgqxk> zo;zbD%}^~(jkdsZn%S!B*;sVpY>~{fPzZxlQSM*;;?x_|X3d z0r;ZjQ|k9Y>tncH7pjbuQc)-1t&>vnL3q_oF{ge`sD{!$5`yXX?3cYzafa zKOa6$oMvRqQ+2qhnM!zSl?zgEkCk|OQBV$!c&ss$3=mpH)JbUnDW)zE25OXzDV4yx zLa0*ID*6^qh-KvonMpHJ60BO^{s)wfS9IZFRJe8AEDQCtjxvDhAH8Fn0;&Uu5HS2>6Np;~!LZsq)3`zBzGL zb%Yv*zKdpYoDd463U<@SV8CXWn!F$uu00;F8D$BX6y^k1z#Z>v7Y-Fhq$~pt;T?I( z6%HiD$5pyT-wiXyfb5P>_xRa{FpIV2O(HQl5`u&oEG9N(Ff7f0KM!-H)`&Vp#iC8u zs`~nZY0&pY&0>qOc}s>07#a2?@5od-{1)<~_7mF2V^UXJJhi$`Gr+2|(XzEeVSh-q zBDtdPff<8e+vinNGt4KK?mk7WhGr5Hz?C`2jS+VapJKERLY_Np@c%z%@}r&d4p zmaqD7nEvucG^8dN#e*$E#8ee5QWYMuUg{A>;C2=#mdBVi3KEJN3=BTexdKfudX0-X zyxs>}#18Kn2mhqJR$BM!nGOLG+s>aw1oDF6lg9t zaCb=&UhU^qAAy#&D<2g9Lmtpz5aWy_h6cW2-_h8;_z6;lZs8KTh))lCVhK!=#&y$GJf{rT8VAt-en2)xH?a zLcD?`eA-StVll1-IsuwsIj#b>O=shXKOV&q86-2@g8RqADqP;3nuF}eUV6kYYxL>g zY%O1T`>%s#VYddGX$!^di5ZeSo47j9I|_LrSI>b?EPOkuh;AauDpOfquR38||0>}> z&#NdPb1N-n=mTMg@Z&t`0q?5I>lJJ)HA;drDZe67a$D-0}FGSW+Dx<8}H9&vX=$keA4s6*qZ^9p> zq5vjbznwj-#`ZOj`;J1ny2OpD&*5!m#*iuRLju0p;!vQP;8|dO`ds$WFm1Pm?KL|11GR74wY>&I61H*nkxM`)Q>bTnigI32pX_Ji zMLwf21Dv!mk%tiN_6T%Ft3)?wswY1q4Ni z^5C^lyP6Hje15CM?v5^e`?Lqc^QkTR?N(oG(sHscyfniO<|f=rH2R5T+JAOxa3V@l z=}E7`ER882QuBl#E#+IQb!HcU7b-g9hXD&@cQ%2ig~4>&Ne8u4vu!tM{#XQABcpH4 z|6BKz`yqY@g&ieS7gGc?@j2yK4S)qtS0KL!UYP|}h);qC2Ejxyd$Z+=LCAbcK&-h? z7*yhaLNZG%p%>aqQLdDa`=4k@sT7E@%X~^x?AC3SM3^OsQZ(v5^?xFgk54hK^q&NY zamyqYh_%JSd!U%3eB@BeZDCQK)BRQx}|Ky9Ori}+7y$sLtQu_zpa z0>e>hWJ;;?;9xN*R1$wEiD3NyHLZ+>QhzwA8i|28?EmC6&j|dNW7?-YT*e+5##JGJ1hv$*=EdIe??+b^tk$;^HA~WF{u!!*YO9WQIOp_f!HeqeE1~Q!!Ng2+z&$dUk zSG6Cy+^DS^5Jag-(BdUkjo*fMs&ZHUXyVFF7snr(0dEOEWbu9v{T%`$5CN$wcI&X_ z9og`9&6{%oZ3sk{3!27vrR_b*)w3t8rAvn++=2It6)NX_xzy7AiNaKbcugooEhUfV zA9tK==456rFgF#Fz|((wWbI_CyOVt(DS&GKcI9 zLqi0f`P!60FF~{{Jh?afSN)Iv)^1@@!CG~4qmGyjy#-K!!oM2NF{EuxJS~K)7%)0- z-bNqV((K;Te?Ucc6oz@pAeN!k`4n2NHTO*1-bisyU{{wW_l0pcf0vyPacS~;8S_%i z7;k=OQYdjTK6$*2)qz;B*)$do1Xrh*AQKjuxVFQOr1Uew4f*h|C@v?T60x?NqQsVl zzYAWVK?kigD%?WUNhv?mn>A-GL~`rEA}m^W!5XM zWtjJ`_WsB-=B3HTx_9~yX_}*Cv(EkH?VwgTAOd&<2QpF(%iO{^x8!dTf4Vun_4i8k zd|l~igCb^R@w8j8EAEMU0R{SVprVZ~5X@K2{wl{S=T%Ipl%2buqc}P9%-ASzIg>%lDey9P=nVe)l`0Yw!w3{6eGg?n zH~?{kZ0wXZiO5vfi7`>>88s-A-4G~f)1;>3exrb|nkK@(o{BJ5VcLpNtpc3I@%*ka zY{>sq*oGcHuG&D_wsQMIBk#0rAB@Y`^I~DNMOcd(LM0`?P8Dc*nP$B^K9ZvaoQ@Bx zLUK~6LEUnpC{)tH>kioU@S3x+BQdTB(*yXbYG?WgY@Q$u-DzAInz(b?zTgdOVGgNX zn3X$G;eBgt#b8H{Mx{VTjG?&c5tJL8$ygfD&nVNr5tzeOS0Mu3dU@5aF+^Lxx45@L z2>FhfskQ6r*;VZ+DhmCb;=+wp<>*A6_wGq$o7HQP|D1|R>XJgiA7q+dThYCBXadg> zb>xoeBY4|&C2rTt^Kn$Cz>_L3TSVi-uhu(?cac0E4|jSI7yJACvVZ@>i`_fOj$_lLN8?~*SW$SaqnsjF60&ms?N zw}BeCYPRR)Fx@5}1sA|Csh7gEWYQnhPKoBAo#Yv>frHoDMZG>wN6 z$~Zq%CGG1AXwIb13;JLC0^<&{nT$x1=JY~J(QhdDp<@z;QGonIy1yylSnHy1B%!fGSqtNx$ATNA$59 zb}<@Ru1`}h+Ld~|f{Hmsk3YNuD$+4LR{rT*q{oWdQDBq1CK1wUC<3FgLh2VR)L=eM zd$cyrPHJz+sgTv}zN?14e^;i~Js7${30wh+*VuHwl|}`@EVo1N*j0ir!T9Mys5@(; zC=^Qlkgi{-G6x9k0V+PpcYJ}cf`8juXEn&-d0ej|tq?5Rl+6+X`tG>40l&mx6%m#U zo)aJ|%+){xS7xzrNms~xeoo`0=hl#*Ex1;&zi2UcG(WAj4Pa2vs^Wvkrgx>V`o&V= zBMdOCgE_;Z5+|VdjJ5@XdW5kef}Asz3GhkY#-yHMSc5_W0l{Ed1L)4^)BVl!v!4&C z-WH|Q-g+SMPAx&%NMlY9;i&|><4(#~A<%cGZSUuN35jhk`l zuKk|66seLljpeK8NuKQS&tspXfQqXA6^6hlWU+{|z+bh{E>6gZ151MnJ7eO;aBWeq z3F${{?dt97z&{3`UKlU@`>b!i3$x(vkl83XbLSK+4>_{qV{|_iFGwHb2H2#4TtQa; zj_54IEJw>D`y&;16%bYDkCDEi&nZ&TbpdjL5)k}Z2+-fN1z8vGXIu=j2piagw#=1w zX0ypXy|R2QWRq!^_w>6r6J5bLBY3FuF6h)!FMxLe_;B0F?u?JtuNt=9X5HwP0h+{o zi5lUW&npRetb2^vLIe4~AUyztX<`f`O1NWij#M7;ogy0)o7*(P-c+-<=CILPiiZW+ z)kSVIybgC=uk287slFmTC4$oRiR1{e2hvDV!e#RRsNSM9HEB){+zwCLFT39>6c>osK;bGV_5F^x+A~&^8VYB zUpUgU9}d~((jtilN#Ra;A%CYZF(z*d)RC|pYcl3;%c`V$jE5dmZUxtYSlnJ~FlQ!^ zv@)mf%4R6xoIeM3C3Fi57D68>Wwy!6B-aCl-E%=ZPMK}3!C!Z%zS|C5PR{8b`yAsP zYadf2tRqW{qj}6h~-5uAN&q06U`#KQs|wh>xt1tjOMZFDsUZh452OCT$i9Ec?%z zBdp82#pTszVa|m)2SDyw@!fPw06nM|0j@IYx!(-b`n*0XE6};MS#ulHEi#`=&`oB$L*>y*6g?iX$9`k#(eax3 z8a;>AhBki&pvn~Y~a%-|8VO|-e3@Idid^XT@K5_t?`~OI=wrA#ZbBhb`$Hi zmrhI2x4~6cI~aY%Qzpx%-Au&3%^#}<%rzU>STdtxXQyVZkj2|D5V@Hjm_I={ktzkb zVxD;SSt6B8iR5u1O4Yb-rC(7EVqYopW_a0X_+tM`dtF7s8u_qh>jKyI0%;))p~EiD z{sED}?|F4xA)`xYA9i3*$U?aOFP133b>4Wd&Mkh(OhIPxgFn-f>DLm!@`Ec8y-xS8 z5rWA=3*8}oVWzqsj?uS+uqWoC67OyLvd#4SP~mrL(U)r{FjP}Zey%Qud zVaIB+1Dxy;r~0_Lpbvqq&$*BPQ~mFPE%&vg+2j=p)|ttfmx4rmNg@Kgj<_KfQi1>; zsEnXHRCYW?g%s(6hZmI2Fg~C zeNnyZ`D5Vz;eHlZeB9pomtP>E-XBcVdeS36NHkzwsf}{+x$Ti^tV61|8up|I=~T* zx1k;`Wx}UES?}%UM!+`O`EP&!K4Z@sXG-GNg5jjEUQuZ(br6O2rQ*|(yaOaE@*^BU z2zz=-#=5F|x0*SM{dPMMN#svYGfwhA)-)Y#m&`O-0L!L#QslR$ab8|ErKVe^e~tu} zo^X~tm?}pI(BR?`tYdN$Nojx)6*emfABv)@W%{zwgP?$bZK-Zim;lksF@6)XLjBwq zoK2ug*y3mD&*bdpMKQToCmT_f?y{&2^sD^`*t_qS%IhV0ipn|cKItzzr(Q%8I z-T|N8CW0XFv`UQ99~UyvR#p6V`XP#@ya=w`(8r^zbfEeuQ^A{tNBki!)z{-I1k~NM zqP(npvZ1#Y3A+bD*HIb$1l1y-*zF*{2ob>m=R?4faEF!irxO%kAK}^4k+z)P5F8Cp z=b^lj=DxC?V8$1h)aoM+4aP_iZNPAHy!m0JA6JPk$=+*Mjy#}-`LzL5P?s&T4fVo|!a#?CTIuRQH* z6!W;2OFo>9KjkrBwkkVtSwR=gJgnDH4g{T|RLfuM{OM6S=s;X!nJd zbR#d%%@>9Mu8LSa&f9Q_xy0ay*5eOx!E!MI!jjq+1oKT?Cw5KI^wY+^9~Gt%d0* zluQ~deShWU-VqU{e#E`Yov{;oYP<&UtOqAKdHjU)c@jqra4s z@?pN4)XVO!_OJvri&MImXEzNqK;s9K({e4Ets!fHG3Tv6VNcwCMM}|0OR#DTDN+@d;GofoZK-ZL=zkg@36X)Mq&DP--~v zrbouwE{DN%c)1(+;f*Gp;`Xi;e%p%lo1auLDDVAMNT&^mew>_v5T4%SB1lr{?+|Yh zZTjxxmZ`Q%Z58^+Td#bY{&?cFCOLO(@KmOZ_5P=7?8ER_TE~cOaA4=RUYy&qTWb-# zF^lN?kK$(Ro)tqs_X?tsPy5|l&Icz?G$*tQG(6M{)O|54=jk@`OpAV7c*mEp<$osP znONhs31kZV+?}1Fq{+==+-Q<% zlDF?Uk(C@mS1-<QMBF1UL^UU5}YU;e!&~L4exA$T!z0z(^HLIg{q{EmVZ_n+% zw+1-L7^#)_s7|N5j3t4ar9eMUTUa0A@Me`~9!{x(pESX`&SlaEFa94zV+5pFsYFs! z%zO1ZZEG{XOU`fu6i8U^S;J0*?0QAh=m+M3<|t$6$Z*7IldT@nfe7aKqD+n%%JyKE zlUX+b6iug<)NmU%rrLUY^6H)jWFmJ;py)|1&)(2^`&}S}G1waucgz+s%8)Ue&m;T; zYMKChTG?KWPy++IbpG@k4=wJBz27@{zy<1n*eTEHFXmH%M;@XEfz#KDU90^-R1*#$ zjfw5}wGK)-(Q?Cz1hY|y_&c&5p&kJv`yl6#GP?k0H+MIG_7K`yS2@+v{6!q!+7AaG ztZbaTgPhXvv%P|T4MWzEXT!6jeVZyk_UdUdLb&DnLOSuN6RaU2 z5+%RZz9%@suBy-?!q%br%^A#hO8qU51Zbk-YSgRvKY;I}qnV+A@^v2T)-cnM-#{#w zZ@@+9HQxMmuwJBK#@^B%XJk#9KrqrbA{;?BA80+iZ`#YEH<-I*#lI?5H%z>Ne|J2r zcLvgq(Ms&=6Bn_U?A7eYdXa|p|1hU)R3o7VJ^UufnaYTj%28|4z$L_!Z}TG`N!`)!63FoioT0k%myV79fT(R56HuHa*7S~yxjpmk z$lAaP%Fn|7p^e6c4n|NWnfWII?tgxb>1LW4o2h1YG_X$1Ywll+KUNx=X*Su_)m-UC zx{E|w%{Y1(PeWeG1@@)m;r1L@2%?P<-IlvoOL~)IGLsZ?+!2pG1*S@|ljJ1)nF*e$ za0mJNKSam*p7ri-E&b%!QNgo~h9B6h7qEsQ7bV2ONG*$)ry32LYK_`+RY?RqRLvlE<(N7Y$ac<9BM`~(1*_KX$Z~ISy#W(=j>TNkj|v5-wKQ zl?x2S+E(ACbEKCpiS?(ZK+#QkE3X}S$LmFH_{cJpm+1xD)kkCYFJ_q&f zXk<6v*sa~W6?Pu|tDDisD;NYE6uQ3gAY|AT*{FfrjaZ|;5bzUk%G2)hqM7CU2GKLa zczJ>ZpU(YNcG%;_`}olQy~*y_K18?d_fqOMcXvT6^tb$JemAvhZ;l1mc?2vfboaZW zB6?cNb)Dobb+qAcfFR>tHvM##n)}9J=YoHtR(AnaZdJt0UqTQcQ!#1#TyUAPb5_-q zN0V3BA%kbivFd5XMUR%CGm%pCQOqErL#B!$^f02cj&aAR=1Dc%Vw!V+&DSaLmPQR- z{4SktX3rwCgmdOY#8p;>0P$sFft=kEn+I=MLDrh?Oe<;baa)SJpe$=pb-~6L-K^r*q2eaZpt(a$sI5JIa(mFn#EDw!EgE z^!P~K+sS@%dcawQJXfR%0?xSFBReCXUt@FTF}bYZv|86y{K*-*&Wr#!lsfX-;d|a$ zx(n%a*tJ^)I?V=(?zyMCq<+GZ@$3MRhxEHjZtSFX(!bgLthI}NT2wa5J1aP=Q&ujm z+i+PhvE5moh(pUh757DZPmBKyJ0_J3JG2exn*y%Xqr-QnEW+kA^Jmc|)PsjIWk8pM zVv?e3Rb5hy6^fVAmDFdt+ns3c-_Ph?Gq|FdhKUvdg;fI#FsH1aW|a-{ZVGNo6x6Co z0(yXrU$d_VL#NU%&hLrnR_qGcESe3DrZx4A~nIMO$QmUBgWlD`B;A0REKqnaXt_IXZESo-loSa z%VCIn99UbuKrCF1So71J1@#i|9?XV9ua4&{IAAnwlgADWQw7Lz&|^ES%?)|#z|V_( zsRgSk?OY#Hs$JB_Ck-F!CPf*g?b$IXl=)@{o10AM{R5te@Y1oG6y=O|$O>F;_4*7r zqEhfF9VcBIQsp~piwW36;})XZgy0zx2PiJUB%6b~d-9@}Hw9&^Wz2-yn@x`j*^bA< zQZO)4SvU@}p|iWs(e8pOXRPx0)oTcaP4$|rmun>mIoDq82(~8|VQs)VC*$ObwH8xl z{_Z8;pLuY)a2b`ZA4Jv-N(3yTP5=PTi0zD|WZan2HZ|@Lf`N*ZTw_9D*5Ft~7wGZ~ zf48R}&59HE8RJ;;bmBf^8YG6q@j5r885i3ehiz)hiWPrgIF)I`<+Be!*E(VBS$Aen$ zx*8u|Fc6)({Mhiyv*V~;xWTI-O1Y_bnMtiz4){moQ%+B4e>SQPe<};7GOT=a?$iw?noh2%p zi~sq9ora8S6;n4P<0_Nic7jTg)o0$w8>#S{+NgX3`G@jN3$E0%(6>@Kl}^_06hKtR ziag%h$l9GGr$Ye$;xTd_tVagHUTOdrUv++{=XEzh8|`hzBP>uwZpfzyACs@}i!^2? z;*%Za`>oxE@kGdN5=%0@U%K98C_5dY08MnW)M$z^Yn3surF5!>Gum9k!RpYa@zN;a zIi<3*?iN~y?fH_RbtN1562rOH9U2>y5r}Q1)ceCWS3Wp5Z@qTs2*fS^SCG+mo1r7Mf^v6a&9vJgRm#lv^EPl1Z=680Zyd?0V#a1-{l{IyL+-Kk z*ka#8naN0Rc>5c?@t$#_(ZDTEjtMo6Uu)niDII5+gyx}9d#ji)awE2jfCC{@7CR*+ zy#NUb`($V|rj2~l5G3V&O*F~<5r<798!`b_PI~HZmwVVk9zSyZbyq=M8xO=q@L0+@ zI8gv)#1D+?lFe8i9-R!Gw6Ji$_NzloH>>FLQCm{Za z9jL&$dM4?0%@t(!miuUCs``-9k_3hu*S84%4esgb9cYBH@Oy6ef0w9ZJrmzKY_%ST z`MW|=P%T_u?p)f6kaU}``kdFs!YquYGFAYpJNcS?up!n4(aqY0RYD>`gMQFhN>b%z zWnseQr81Y{eLHed(WAo2FVDC2ECTa}Rd;LA3~mFX-l_lsSooJi?GH#0F=3G2m&;G>gXD&+HlU>(_t8qPNFfO!?5#pXC9m z2=D_a#lU(guYQy3VOd7{MbcRqJkql&^@qrzbE>>)N7%pQ~%e9y& z2@*hX304Rcpvju?5c@aVzTf?Kgm5hsu?=5{2H9#8U8+Zx5(*xNN-+g%{AYAkKg;}_ zzLA7z4!zrzeO|h^UW0UeK!!6>3Rd-E-V!9&vcbo&*1FhSCr5)BW z6z5FG;Rz7mDau&mi;Eaq=vy{Zoj+LfErab4m(oSn<;%;OopQ_wf{E^ICdmI00* z=vjt|M&ATlxF-`ow@O)QxI0_6Ulw{h8i{pGPC>iRf4uOmSb-KQ8$}MzIPG7YZI@Ht ze5}jb(w6W&L$?Y!!FArPP3mxrM7HiT z{%GKvr_tZ-a@$tWc7&Rz5GyI%96I4k4bFp0F~G(;_LobeaZ8XBtI?_M24y-8^TZ{@ z3PCWjq!Ab;CIQ8g+z`u64LP*G-`c^K%V=kMh&P8e1>3;izj^ET%rextFAnIS?SNxn z=I=^*=x{|3Ck>zI2$=PzWL|zFcfajYxWU;_eLnWtf7gCnAo~0%=w%n=Rs0(sDdlE^ zyoGb@Sl;vTW?w6;iRjwT^^3Sb6f359v|a#C%w{F+YAayovXw`wOJm{p(|dF*2Jbx& zH=AXoq8-&*cQ2=YG6vnFef_?j(J?12L{C+9=VuzKFPVO5J%)J6E(HD60PBG;Wrqba zm9|{K49BKT1TN&i%Xc-yv+#Rfah?sLm?Ac3Exi&Tb z8}~5&|Hsum1qTvEX#k$g#J25BY}?i(6K7)E?AT5wwr$(CZQI7qZq@GAZuPC|uDWks z_n}XnKHuNXy=u5V^A4SInW&`Q2o**ACibS<_RGqqwyNf;j-YPrPt&T3M`gweuibAp z7rb|)p6}~(N1+JI7dy_MH3H)M${=TUc3nI_z1>07yLw6Z3$vWN%86Z5>R~ckQ7@c4 zWbD7ZKY$O$`_jka`hfcIb>&ab8x{Xt*d;CDUA5Dl>1T^NxZohJhL z3XzZX2qZmR>47>=;ch3%@rY!nT0;dMDI(eksyrjcErpEc`2K223e10Rn``fbb7AKj z{y2@CU9LFY%GaR?`*B;eJuhb=#Vdbjsz{W79UymGIy_c5|LYUP|Kr{SZnn6`W!~8wzJlz2!2L`i;-Bxc7T+b)UM~-WSxl)Y1!Cw2XM|2(fRZp-sJetZ5tpx5VG zz=!~hd@dbr0)mX*-4Ix-V#8HO_0G#UW4vIzWv!37yZPKQ|=mve?J#-rJ*V2fG zfx+E_9^xGpF4^|Y`k3-*$v9jM&T;;;%U*0SO+?$Rl#Bf93f7ZPD}tKe5X7*ObaM?D?$+Hm!f!*I`yRfM!c= z9l6*uCRHhRMx?ToKLf62w3$C6_Lz&AGN;He5LYxqo^0KsoHsG*e9$bWxy>|Iwej`0 zyY@JA`r&JKY=+;A11$eTg0)bm?3=on#D$-`>aPJ&#`USSs! zKgY=-d5oM!dJ{Vi@gi~T??mF#--5)eu?dJ;{(sxRfA8zc;RIHG=Fe#Te(;Oxbx*UX z5Mw?*(4aDnpO!2WbI9N!H--7y3$1ivO%?PzLle{#<*&e=cniHAg3cgVFs;0s0<*%n zgR)@Bn(6|*=yq>g%bS7y<{Ewgk2|^QQM8Pk4Tl`>%HVWi!PDPw0Xs;oh6GrkhiD z>5EU(U7f+754?*7S2c9X2;Tp-+LBw(neY<@e$4ke7We2X)DlvB9n=W(2latS$U8kGzM@dh@qtE-8>7mms>E!I^Dq))E- zm@s6m%qI>E_i#m5=}1ho(nX9fZX%=+&Rp?MzZ8x)&fFm`OLf9#lQ5vBc@e{uge443 z4y_hOauUS=*FLzCk zS}><-g^JIuIez)%m1}Xt;#?KjG=cPyacRI8 zKbXih5tMGiw#v6l^6;GQYZcC7CGO7Akz^52@vox?jVHITs0&b(vuR$lgm|If47La{ z)y8FRYA;==|7_GPQ8$iChI^U_*}!hd;A!)~cMv(Lf1=}{-ek#a=qy>qR2D&i2`nti z*hy<(Ksak-8T0Zme}XQ8*-{Ne(4ul&qkOmatsac9F1u?Hf8^eh?r3vS&O0}u0xx$<^ieH-rul7vW zSW#ifA{j8;RqZievSG)P+ZHJF==ff?;0zh9RAk^xsyc6W)1nwoz$`-FuyK=g)~JLN zDIO=Pv7R~Wbt^ikb#22kcVHCWMxs~I*k;l8H06m@hHhG8(0cgRRa8}pH^r*DmX|b# zwAZvJJNF*`c8iJ>NK%)Xt8QGmcz2~vDO-LjBMXS;e%W;FHj%gtDB?3(h^Q+2=S?ow zZxj!d@ng3|Uw^dl>c7TqE$6C^nYv%54@)YN7|#>3j7?Q?)ogv%O{h#@96g5QY46=` z@XMOERt|--c8M=8w554?BXsd*P`rD{-mLsPsV*-nA6Or>=#O1OYIPN5uLF+A#_rH;m zl|P28vFrAw)u-!qmJDAsURjqZRaH8M{B!lqtrGis;W;McPgxaP3%Z8#i{>wbDMj|b zfvyGv5zV5yy^`Fit;;>S@P+h(vy-m{OF-V@rHLdxMKhfo_dft10N@CvUQGTl6wY=W=7%YT2~@Nddhh+YVsA;5DSS+GF-by8FU@w5sFtw zhtDlMy_#VV;`WBG-(n}`W1M3IZEyHp`8m$+6Z0Amc24i(8cv2E>#tyH1@L8ATMGPL z#)8@(S`B)S^L*x#6Mm^*-3fi%%D~XVl+LgP_5#dNuGW^IzkS_J?2Xo}Gi`Yw(8$Gjf1S258#2^Jju_mKh)Q=Ej=+PqR4lr2GBiz7=z|G}T@_OI_mUuA#RA3}R z)?Jr*d6nVor;{lb*37Sn#&p}WOok^+Hdh&tgwUw#x@nY;c-q+1+?C|mpzHpipG(Sg zJu_siIkRZlJ!ByLravf*pGMnyB^y7r%7W__JMG-;pWy{*Rx&<6quibKB3*d0k9KQE z=rBlHK1CyW3Uy6^K3?D}?Qv!Tl!=s5?ibk78TEsh_(KV{cJUa?1q{pR4_2)8*S@it zm6Mg5nd5W&VmkSSv%0?_lL**{ar&24P8xL93#xZbPIe~3Nepk?onKH*XpPB z{A>Fd60m!rm9YHwL&6%_QQ$b z;boA%1XdcM-saGwy%CXO60oG$R+3AkkVH`|h&sa{;v{jO|% zq;YJOCa$Un%+`63R*k63vNNRwz6=-N%+;Mg%BE;Pnb;Z*^^Txv5_1)!79{oE2B)Kv z@+|x(bejJ%?+^gl_L^$ekfQxncAw*2WTgW9Go%*%9;{WSBRdny&n5Wp^n7t0R}pE zP#VrC+4d|(_Cn41lRY!ATjFe9+EtO_ygedrEnU14*$2Q9aJgz(UEADRU)@-Bf4zUj z9jv&x*wFU8^y(~n)am4KwX5z-98kl92bZ0@4}aN?q)vPSEwSmaPKL1*^bsm-vW~#x z>MuF4?YFrme^^wjsH{Ja^!Y0P$UxY~H>??k5T$Vv^e2ALen~&By8*yi68faR@Orzz zX`^>H+yHH+gx?4{_&ts$WPByR7jM{srJ6$fwPs6BD_wTl6;(jz!|EY=Ve97QEN|i5 z-@M9>)q}>iR>MVAcjRL8T``s*q!se=+UstgUSzAMv;9$yIFWX1zT^%*VgXyyh1LFw58ZYne+4kKf?bhenk_3Hl3$zwjK?S z5a0}%L*=E-eteGZC+8OI-=e1*t$r0-@Z0zw$=`5lZhz;@VX^p-;lp4viV($fES zc(Bk??bvR%{#a{bwf)JwJVRG`@B${8mCX=uJi^^X7Xo61ndHR^LY35?4f2%)#|}dE z4?bjM;rL&QkBym~5-%NH9(g~wG6G^UNJ&A7&4!5)S1?cr zW8`KfHELtQASwNDLW+w?E`R(azSLtSBstD*w%KF*LCn0%^wSTXD=+5qtHQl1-iM31 z>*|a9=-&ktwx~R*Y?QV-omoHB{&V;us-ZCrml~Q^`9rl_5qwy|c5IxaTlX2aR&>E6 z04M?Gf}D;Tvcjufwr_1vSwn1WPb^yZGroJ@_O4fqt?k=R5f-+7?nS&I-KJId7|tA# zc!LwwloVK`l&En-`w%9}vo|fYWV`j4eB+FL_ACSj8p^fXl&XfjJ;7TR7IwW2->VIM zQq_E#FCXDu-a1@bu0SO^K?T~rb6nkDRDC&r74pK+if*0d*;0D*F5kR^=v;N04$agN zJg}bA-)>>S>fJ|MHn)4YBFAp`SDf;M4T(;et1~*bJp(r=d{{3F z|7{FuYUn{4)Qe(%4_DVNB}eA@nMy11xgGijA|_fE`S}$IA^`Q3gC;kpI*aczE$|K4 z%00)~VK_hXz+XnWt-8H;P;hOxq})#Z4I3!9=?z{+=i^0_1ghu7?uVjBKK${)SN!^tf_d5 zU{}jRg*TmFty&tR zl9jfGx`YML-g_XO7e>z_cFI>O|6pz6^?3xr5!tw1TEA?*yi4WMLtS)GqeHdNICwp* zYS9AyGL2p*2S4rUc#YvtD&7M8(N&gyzgSooX`%mk0B`;^>;Z8u)Gm1Hqu~sfAPM-n zp9bnoQ1Xn4cK-FWxu;eu<%e94mm4^0qbt7J&-RGcD&&ORf^_SIc+%@obB`vMxI&6N zWZc?f70_Aa5&I4OZt@T)0RPjg{g9#l3RwLFzTbOI7)<*-C#61l@~s0?73<`Vy0Mm^ zE4l`6@Bu22C9f>@Mczm!a}(fY=mu$tYknwV7U>FvcmeuUupi$9kQ=8Kas92GNg`r9 zzq6|c)@<|Y&j;$kE<-AM(!TO(P54elJG~+Da>*aj&65EaOG_{omv<@|$PMNmzu$$l zL%1YeEw?|_`yuXUz6SuEuNn~dcSgkn?zy`BQ%lNQJ4CN9tdlryIXXIO;mdxX8#YDj zZ0~PJpRsQomn1D&d4Z$@@_-&MN9KNR#yZ*i^%=wOhKntt^&o{{uaV&+yZSG*^`sq* zEAt|uj7Kn2{ThX`jPNrrIM!9IkRC698BrPIxl?t|EQ&wTo)ggfTKeQD9RcsSHmT|< z=-p}u$0Cj*w&C$MC-C#O;y9kKDQd=p#?gW`;$f45t5$4Xcyd`Ex>~VLU~iO8>Q%`_ z$PUSP?4VnC?c8RJ-5~c==x}Vi0_FB?9U7v$ZZO^S^=L52_Wr{s=g(@h_im9DI7POe zcZh^^$Nv1m$PajYU~eV0<~4sEZ7ZLc<%jxDu~uDoWW$Pu@v4N@AUs#@JDeOoXC{8a zJEe9k2N4cxUA{^E9ZJusMdTVc@^vsj3QzVDxMO=<=t0tE)hhiLFNx82)Cy_2XR#4- zlojffbcKdg^;^$#%*Xu^?^LyJdSHyD%!|I7uli+=fg6Z$c4@d0o;XlrC%*0o$Nu13 zjUHxK5U-cl^B%klU1J!e=*3&5ozC+@anAIXnBCP$cgfGZzbW*l`kEk+i!MNd)W{Yw#y+#~A@`|bNMAJJ#9v6_O*)6#(~H$pde_Z)wjF^3Cp31AZ2C7;!Ji zXL|tw<64IL4^|rJ5^+}+k@u3@J8{(y&&t3l(CDu2tZo0P@I8)5M+7anZSJs`{Q1oj z;nVA{?@{n2Mml>b);o5BZOC4mZ^v(?3?@*69w@Fi)^pM9{+~s$mB?pRHCC{@DUhyH zHvo3E71Sbk4I2r|AMV*_csP(2MVNd==)%O zFPPo~$R^?h5+9|k4~d;2cDS>@%RzS;ZFocPC|M3jT`)W10m_hRh}PYVL)H9vIp4FA zh*<14KGk*Zx^%wmdERU+E}J;A)S`vB-+|rUY{HW$fBTlhrsKc+QufR(f7j*RM*zj$ zJe7L}uN3coNQ3ciTuU;|p~bql6`VN9)SnYc=u%CRE)q|Y2vXbyCi(o*z|#4`yW(BJ zq0D>34gV-Qr%Y55%Dy3|@?@gJ!Hj(@k1E~YwSDRX+S|U{J?BW?etc{BYKk@Hi@I}| z^QN^XvT0B&KQbZ?A{rvDoCM zA}D^(gCO0O>pX|CPfbspLJGHNcgjC|AnQ`93lzrlzedGj-}9j- zNHbw7@~t|g-K_LE4W}K-KEOW}W)IcAj(2_|aGi3C_SoWlnrX>9+T?mVrC9g|aF@2n zb9&SEUH};`@2PwIpH6Ex1h;C$-&~+dL!j@F;Zr3I0?Mmbc^UJScI4s33eY@lMX$ zg;@G1PxpNr&-qx4Xk3olb0n>UVHk0IuG{(8T9Uo!2<|vn(w&eG3D0}MvpUnEsnf>O z%ejrRHgT7xOS+8=8Nh95Kk%;eI37CvX}$=-ZLQ*KvE6z>o9tZH>vt=2NBAscRh;p_kQ`mKOqNhq)Qv+7D-&&lR-M2W>IO zwK8aJo5d4`y%(<@u2M} z9U?m9RWZLuERMrB3E|@;;v|^_)G}KGbh0k6K`6 zxA+rT9_qGiWQk;9Ok4i9p~kr}*{ND?aiDbtxiNv(fY*{!vxm;)ceZ#}T!ntwipsh9 z{l!x4*)n!xqP9FA5e38QhR^1w*!>fGpL6>`6^UCl&SN*hNKrM4a}QB7bf!P+y@h*R zCQ!IFt$a^#5Brh%DbQ80)$_FP;2s0k=iLk=ms`OD+7zeXoDxAIJxq&fU`-;O6RjmD z7iW_kWTuWeH}jt)9KnhFJxzGOtAU{+jgM%Z0D7OkI&QcL8#yzIw4QUK&kSWOSCC<9YPrSYDYNM9@zTTiiy)KN_PXWh%k?-A1EK5wmb$9* z@fF9W6YL*%R^+nf@#T;3^_~Qfi1Twj-q@&5{?Gbz_dkzc-0D$tCp7pTiZjVGfqBqk zhX;od!;_=q67te`nM^dCR5P)ElB{m*Y8Yn}W07|Ba)^2aRl_-_4PhCMoirl*DShvm z#N8s-)3oNO$+*d7hPS8SG1!`eSmy6Xmw$Ql$pTecB*Xi6R2dR0wjc${IgaoO$Yolf zqF5*PDZ-at9rHv_u`P4dNL@AaieyijsLzJJ(j&V>hy>@(xI{^!Y=1{P^2O-RXmw}n zE6WQ}kJhYVDeV&ejq}gydH5hHlIV9JMLk}S>eC=WMpEK*WccwRwwy*fCnP?IaY}K* zbO1CZ<0@O+R_;dXW)T}Ye`7bLrA~1hI<_&lEF@7#6^Ij07837I`-NidByp8A`RBl-PW^FfSH$x66h#?5z5|SN`I#R7@Ez$O?5hGzIUe^7zStz1L~%laxF(MDEDYtlSVqghg`+yTF1<< z1#*`jdL!`PqK-|Ebhhk5=;D_qN*$Ly!VPd$Drc83a;mMxrY0$iaGkv6#a^8)Vu&X$ zjKjl{zXuav`ttcg*+;32VFxl)_%ZaPv)Wug|nqAME)?QYNRG_}mb*+yP@Z4;=6eEjsf2(DzM&tzo} z+;gaV{6+AG!j59GPNZK=JM%Vv8U*h8oBib|H+MuIUAI0eau?1*F~7-iS-6)vP!C#7 z#@im3DmyJ;Z9mHHqOfGUQa`xD!US~o1RgfXYGbN(yl%Vs!&!Oq%gr3=p}yERtP8sI z3-k0}*QHu4wIhG7g{dF$Qhnrszy2y`&(Dt=P(aILl)29(pClkWMEF~%Lk0C^7D&GI zbaG!DmK!*H(6N{bC8dt`r|B!n|JAeTg;Eg?UU}slFT?rsF8MBV%3!KKiU{aaVyZ}H zb=he3xqBU*xhmKEH)cP!v{3Hw@S?iFWmsmgq4SF9WvcC=9{DBm=J{qFazd-C8<=KF zoX7la5UlU7BQ;N;?YA9NKhPC5IZ?Hc?rzDZ%Vy4{mEZ*-#fx+%UK6w``jm8%%nXzS zE<%M8xV*ny+(~m*E9#9A{5HT!3bTfr99|9&1^Iy%=cH8Ac1jj<0oWK#fPMfq5~-Hw z*km<_Q8G~if`_e%qfFGnH!nmg{C+zGXmkQc@INlszb<~^@v>I>cm~#4As_tB)uJ5L zS2PZt&3*J9`HgV^qR2-!N~4G#ZeL2dCPH=0n4dwayLUwW?kU;n2rdk8f#B5SYBnL6 zaM`Ugm@pykMe(fBdi5XE4A&Wrk~QK6XuarbaxJn2pp~FlVnII6D`|oh9)ZHTDlZ9( zfUXMI#%!*L;{&U0{R^ZEi3GP2x8>BXsXEUtZ8TqF zpEFxt^!SS2+sI-O1vg;HzxqmP7qt9o#I5&Mbb+<@)F|1Qq)bqEAzIqzvixf4g{)*H zcaay(N!y5H$sE&y(rDCZtXW3WntGwe8QI8%%fjwdS%It#R>>raUZ!Q)XZE-}T3yG| z5FNwIR&?R6X&r=_+82CT6It!F%w(nC;%L;e18qaqzG{FI6b_JusEk_H{ebfg@`Dq1 z!f8BVvs|!JD_LWZYtoCb7Vfm}lh_Ed+z6uC2x8d?BHak$&@Sdvvsp-cAoudosaiTE z<6ZFQtDkaNPZ_lN%F&>%sz;_L^VOuHf5AaQ#UOKsj?ZQfP?-)(*^?B#>ftIb{_6oG zpB$5bmKGJ%d65$k4VWXE8@AX za}A6B*zLDS^JLix6mi|SHg@%{`wzpesaXn8N$;!t5l9VOdOyY zBwBpYbeTLoCrWOCjz~j9I@1HG~r5(EDbrBsSzqNf#wkhaO8)QSv)nmJ3vlcM!jY$v6wt zFfE%+&mnvDFC(1+?v>ERfwpmGT#0rp_0Y1xV=Q20o`ja>ZyQPE>FngRhar;aCI#{7 zRI0mSz5#~TQNm2^9?tm9YUyN@L7J+fx6u+vn^9Xl;x1+});B=1F|_ot&7Sz90HuiS zQCJvX!lvXy^Q*v#n7Vmd0m|9Q&zL|2Y8iS)iAm)9^S>Ynza^dME1b9cz>j9*xHv%S46I!jUDGqAv21@hpj#xdDCN&UogFyV$Qx}M!sc**Sh0<`Zc{%wg)4SpbX3G5~Yo(w=-hQ zE;zz`P;BlgUB^%18@8>8pBp?@un209dlj<2DtV%Hl5a6>k#B))=_(eoN%%_JPQFxs z(`qg&sK%}gYH-zf+CAc14tN^iorVBRcdjYg7rxLs{Q+d=5h4Q#Bs0PZLoBZBjeWCQ za<^brWehK$&M24OmgYzF`XD8<)Oo6oJ+LdvEB7nsD;&N$o8YVJD+^tIsktB~d7)5a z0kUSh1B)H>_5wc8=$j^#=M@O?ZOV5%GL!D;zX5TOaS}oCu$qE~9sFoFJnzNrM88Z1%~2s>)RLv6VSE$9sF-8qBZfx5zpa9V$;0X#mq24H#xcW@+_J7H{>yBIAamw!d}3rPPK>D=+3F?(2{q zgvOBb`bi8u7r|vPf2_$4=E|thiGd-uSF$CkF*;QEGVi{XESQ#jxG8;#Oy3IsHFy_j z?=s0gY`QP+uUvvSmJ_{Ntj9dEilXpirV$~!zxc7Ql1caQI(hQls=zCpt~kz5-iR{V zp6*qlLE=S7+G`JN=qi0K7h0O2qn#&>9~(YL-pr(PPz-T0`zFHjJ-B z5X@VTKMXnF{8InGpA-?qGp5p+b}MwIp64qsm+gaUYm!pF?~9@NjM=R(r1cyxO7J)ZW|8eeBDaOAUW z&Cwse?|9C!V+CT10a|o<$Sv4bJ9f(>p}8oB^#!rBMnhhCuReVlFuf3tIp1}DgVhgEYjPoi#1y$2zw1LRGUJT zy`=Zk@2@zj#*DQ>sD&WY6BO_R9GJLWCr5yNu|)XWE3E4$oQi9%O00(91i^2#BwqXD za%T4?96KrT3ZC{N&enpJ){?7dCskch{hi!iy49hn{5R~@9+bcXy1)?Dh$-Q|{k{=P z-1`sGSt%(HlVkpoBg&s*Y>uQ!EH%?_lU{fwFQ-Ke*_{a2bY4<*2rd>t(8Q#DhpKdg zdxx9>B!i?z$BN;s*#mlHk9ljKNO8n&=u(Q16Bi1rq!LeFPK07^okRpv*$EBVe0GyX zz1Kcgk$O=)>7am(d%yjIO01dUA~T}8mf=AbGiCg4ZagVvE<(O??+FdbqEF#>1Dz)j+u$RksRGa1JJb-5D$mh~Ej z8ZQrX@tTf3(U~O^?z@p-si?IXmPlT?t&3iO3Qp-w7AAu1Fm08_a z6TFunlhC}JuwRXsM{d{5NJ_>=M~4rovmt33!b@hf%n+lme~}N6i{{?gJtq8_7SBI@ zI~Fs^=0rm-B3~q}luAHGc^meaeCGJ^HK}P?PDE!N*z;Ze{Y~hg53U~?>0s`~MK?@h z^_FUJj+Cj4%j#v{re?5^<_4eNs^x)ubCVvPrh*_WY;N*TDAPX`{}H2N#?T%MgfBjO zJ48quDU+&1PI?R=d;ACWVxyNX1O^+FIQXh+R5N|lzkg}k?_xtLxa_JlfGSy2{MPB!@`i+No$bC7W z;df*P_xrgO_}TtnqsVXtlcyKHCC?CS%pYma*7NZ(d|Nj_Mq)P4jTciLC*{=W0S+ky z_<`tcA<|$r4;2$j9C&@t*znKb>6arZ*^oBepzoMnn|`CQjhd9}AG(451VKQzt1 zN(WrPvr|O?(;gz>7*^7)OcK#!1@c-s98~Pgw0QcDlb4sx3GwzV4+P+j4(rZEKiZYc z`e|Ylu-ve<9LnHVpc*{m6(5ykQwoCgmXc6}%_*>FOVo)`4ux2* z+dDn%5=jX*Xk;wLCZs9HOz~^c2j#GhlW-bt6{?TnXVe{s@|Rb3ti1JLJkfTMe(laA zjkf{qhr5xR-V>dWYlSx6O&f1B;=lXFEhAx^4P{Ds+uVjgG(j^LVR@=>*E_&lGh!1M zoy1uJ6+%~(S+bXSqND7anZ?9Qd~48r5*hy>X$%lQ#_ibVC_)M+TRv!lZ=HsMI9#uN za~Jt#+-40v!nyyE_<1Za_T^6fRQT{sgZUOf6Z)B+N!KVcXJ8z7_|w6E&_Ks%0hSpp z`P4rVl!mb~X9?mq>o>x53azTe9|hZx1#EpdSVeYyChWZ*jycP+6~4YVTw&JH*gw9T zLbq~mXemo%JJHEPv7{&o5MVBgd)tep!6sA^g8v(GGGR@YF@fVMvi=)&`a0}1x$~zR z01NPdgu=Rq1J^c%?#cGsofh48KZwo~C>J$r?;drJ2_L+o`y36-Z4L7$4g0;N8m@7F zJt+9S;lxG_L<;`dlEO|Yhr`pJZcK3LUu;j+#IZ}or(YWKuits~!o4KDB)2d^_M!f^ zPh+Q&mvvuSJbauK#?O^MHrX?uJ}3X$0FrA(D@$KV4$({etxsT$+eP+l56=edT~3!8 z>u1cJQw=G{%;kUlBPWmbSOz3Kgesv5V%JTuCJJ)&GKr-Lx{`-JuN3s9Me zZf6nElX}$D#IlLFRMw8To0;u?WfmJCi(H7X-Km;|I=Avtvf@af{}jzkXV36}2X@#M z@|qoz%Q>P2b$#DpYy9xMM2qE;WrT=nA>odqi1}R&@tsJ!nfJkzg#08I3ifog+U+!} zp7z$VH1DmSc9$-zKE0PrHYe(R%gM6buWmZuKIfJQCbOy|4J~y(c5X!>B>GcHL~iL0 zyz{_61|f=bkYXT<(#tN^RR%{2f$VnaNYZIbHVuD&+MU=?B*xesBJfCBDpDRsVxfi6 zKjCDXmaw9wf5KzQQsS)!w;}tSb{JV>ogF{)wk7Hvz#^;j)y9pdDaf&jiQfx@>6~5S zdJ=zH)G>}HkvgEXw}{m6qe6!b68NH$;8qJ#qL-@Wr{*9>$*J)V2t@i81Bk*%Tm;NZ zc#*l%44661=ysS9zlcT5n%VSN#D6E8+g2k22|`1^gze4tGC#sQ?=v&lJU@#cNiusw zIb}B}7Wsr|-eA_OQ<>a*^lki%w?x-eFWy%f3vC~`u9irTT_(`fKQ?Go4ASi<$R-1! z)-f!*DH{-3E5CiVW6Ua+0Sx#B_7!0w{r#ewf87>rQ|S-R#8*;?vLxD>5x6gS98X+h z6aHr1aFf)5Vk^%vH|&t?K^x@6^bZ80FwMpyP1a+Pz!`$w$1+ZK>y_BsIx{qYHbKm7 z^QE>qBoH}){vP?JW!}HjJb#?3_Gv0BF%oZ3XJDp!u30l=pl(Uf0qB|RNV}pgUd=dV zo$$vyBmZ^0iTQ@{E7^!wkGChVR3fg7x=IMzF)S)-94B#{e}v@j_Rb+N!Kt-fFQvGN z%Sw$hiQT*ToNWFa4&o$1JL%~XFZS*RWxxrBj=#a~2*GL}VQ^Z#X<|)Fh09!jlK{|V zv6`gib3GQng4w6<0b1&8(mh?Y)pv?h!xHRV7U(vW>&^?@f*8ishD7V^DNx79vUqu{ z<=jSxxy=(h*I!NOya-NT&|@{N?GJ|(i2cjQh5}--?nTB-!&Hlu8#IJ3l7&vE1 zXyKY@R;IF5s`L-VnLtM1}+u!;vQ4kQ`=0e1;0@o`5%ljIQIM{vQA(5fo zVp`F#$TG+={7sdnafon`@frkro^nijJ7L(F6JcKp8wSs0*S-S`r?6tVOM7^|rl2>{ zdavK=Qq=wZ{Do=Jv5|2t;oxAHb25^9@5e3sBXLT|Vp95KUbjPRy%^w_cST9Y`%5TY zTw(D5e3*9`iDiX|R_86KaaHXljg55=2rgn2O4a zB}JrO{Z;6r;8CnCAt}>5p;jsGM+#WnE*_N`4JiX51s54DEiWOWa&q^zh$d)gGLk+J zzDNeKznsjNJBuu*u=aTzF%{P^@`BEZ|Fa^>8N%s#H$$+;4bdjjCfwxa?S#oOYeX$< zyp7B17GZ&s3$`Hs4QcPPyVi*#Y*!Za*7yCVw18Gv zV?L?AL!3Hk1(V>85Mg>w{8BM7*1iS|H>}zUb&py@#xqe9)z|0j!aq(Fzn0&GOpNA} zm#>RxG01dRuiwfBJ+vH}7PXi=c0*ZJmZo6YQufFrDdooPerp0VG+X-GkGe|1aZxm6)bCqziH_2V0%Ow&3uCn73DM6)_fID1<= z8sFve<=y3ynj(GHni{WtpE=NEy4SlNzPPIhrVz^l%)(xwPZSLYc4!IEXK=u8=Dp>)nkM=R^bJ1LBL6O~R-CdU2sama z+>Zr%H%5Y=(3r4)Zkr0$R5qGl6E8_p^EQldw|S@Rh0Zc0z6=i7T&a<&Ru z%!*4==Ei$J#2KX9aSf2<95V?1K3vHE9CC#0|a2Rp{NOAF$|Xm`Ca6AGzO$+`Yrfw7e- z=m_zn&wVu~2pmZ23@Jr6Ww~DjLNaP$WWCz86tX#kV8ldrT{7@P$Z8(*Ikjc>K6RmX zde(TwGM2`R)Gm7Cr$yYseg~;!@;MgSPKIah7wDE3(sv-sr}7Ni?s378k^h5K<@-9i zXc&DQv~>X`EVI#~2Y$=mfoxG?$FWZ1*xO*==K1{?c|~#8W$LS4I1kF@=FLspRJ>gp z!ZaiQ-+2D|id3NEbS6u6ljSw&sU=W5=oT}$2p;{`?G$U;Bbl+KjC|7G{Fy{Qr!$6|YOh>ZES1Sh+oyUeKOKL(#4A34Vl<$R+ zq7~pjH!BJDDzCpxspyOBR0J`iokLo42USJYg`AvOZ;{)GX=u|H)6RJxg30JvHs!Y; zP52qJ-sD<4E8UaWV<(9%Fr4rRGq=mStliF5DMkj;I=!t%VKwJ3o@gn?3}h{ARw7iD z;JT$4EZ~6Pql`#+ysr#agPhB~m}p_vSW-?7{$$Bx{d@*=Ty%W=01i_bF%)L(alxG( z_~}1l1&m|h$Wn7y;F^;9tG14!MA|v!V_`1-+m+P}!mh4lnE7-vwXV$peAunjz1OGi zj;z9!-zb9e6)2`nYvqbV6I>&gqFehj!nQ6TFf;(&MYD2IQLeIE{jj0_bwqJNy28g| zRjwUT#}+P#GVe>@W(dpJmJBDO-)l$Fcy~7yWkl4~9M_}W>_5mX zw&%M8eXV?vH!n}nCL>H8mx6{RP&FBLMoz#cxkd%Lu|qz&81yIAZVVQ+B!oNIpljTZ z(;`8#Djq5E#t>ew&c1__o_A@SjEC9Yl>GooF%WK6xeg%}RS<2C*416wveJQxira;@ zrG8n;ij5sP?GU=xht(B!$B5NsfTY9SOMmluFeA7lPhs-KwA7B$1YGCn92+jBRK37W zy6?WfaAekUmZyL-`^L;MfpMri9RD*<4P^H~u1uG*$M)MMXe;!lP@fAnW3*{p0wvIB zODN8t(b!DF1oLCT+Exr4BYb~TAzcf0vPN<=p0n{=mXLBaq%$gYlh5~pC<#@FfYlmy z>*QH%qu-~{1y3h-?Q=+4o}UOBzcVO~eJy%olBA03@ZJZM_@JlWz=5=K^FKtdRgmo! z^3wB>^NJ9%^G9>`AGq!%CBBcxNnijkw&ORnE7p%OL1T1$L9+HO5fNKSq@spuJcD9Qs&UA;;5UE%{;h+0~+ zs4-3#YiRq>FB~d5Y27C-ar(#;H?EJ?#i-nDq(5FS8Gk?O3SL!BU~_&J0IvRuP^U9* zQvxR`f&dWZjkJ+l ziQV1a=?X&|B)MRp#lm4HBgz3DiAM2}?7GucCz+*`m~(vO6A0b&Y`5#*In8y57QVG` z2l~Id@gCK<5I0V^9or(h9fU3Qx0^dDgSQCOLj%E;|LS-8W&9TKxfBw^cv%POfI< zWzuAn^A$<6cM0we6Wrb1LI@t59NgUtKq^!s13GZ6j(_y$K9~Gt_ToGz^M8DO^0cA~4=rM0M zhG|V0xE1xsTr$E(rp<;yvs_Q^MN0X{Bh}v71QDsbpn<#(<=^q}^nga73|EBlN9fY< zr5(u*Nsp{?+N)I-s28ILQ{u8Fu!(oHvrQXc9b`;r# zPg!pKIr7Z(&R%38dcBbG&n}eCcfcn#k5{TFtiA>m5lzP>TU$mWxX9<}!b=&)Rq6YS z{?Ps=>9522SWT$Pjr^E^&W4joSVZ{?Omm<{M-0|rmpyslasT1`1;42 zGhM0Ob-m4->ftSHy?hyd`u9R6|L}~lj;W5>pIJZcq293drQlkl5ZDjvHIyUJ-2=ca zMKbqC$H=r<=TFxoGeJtib8;j(872y2JxJ)0eV0kh9;ZJs6W2- z*K#Il`}2NFHu8E-2X(+pRK$K>E6)_+5vNw?cn9UK1CxB|V-%m@EuvZX#O4zRbgvkpqIq;=`Uw3ZJ*+8< z$;`GUQ+*T+%#2vM9$p&Oyw8VBS1rB8Ewc-U5_ijo4R?~o)4{@we+%NLetu;K{>4S1 zOi7l8$Q*GkM2t9{$FU@)_N(?0adFw0Xy~Qjgl6_m5HvWQ51T2vG=;U5@Ky6wndoGh zqRvZ7SyO$UhAPfe-*{5qk`{Q{B@EEna(sW?fZ5#W)Leh3N6Qj$xWYrkOvKGV=(tg@ zvb^3FN_fvr@M79ERz)z>b(ZM?%GY?{lpzaX>j~apwq!x}I2L}~ibbVCSMvnsPb-U( zWSI_dDyeHA*u&$~Md*x+%G+`NdyPN)m9GQT>%kSAQumK1_*a1Rw~nhyKaJ>cc?&!h z*MWvUa_x#5?qWBkeQeYTrOsl-HvCuo$HFLtFO$)!d=4SHu2oUl1>JtYmwuSWR<}q- zhp?x#xj+#uw~{?@VCPd!E$!30wTN|ajfyk;Q#UFjYaqj;A?_kYKx~w4H%Xgfzq<-? zn@s;523DPDKdK7edf_(IoW=aF7EI|7k*aOlA=G#AewgLI314t|BrGLS-fg3>B-Y0; z>oxU*4kIF=7bEVuaSzS_cj!fzCmH>kZTg!CCfI81vxf>^$4-MypXs-psGIvWXr5mO z6`LbZj4du5AFFd}f|&k)9+IFFP*r@VtOJ?){sMbaH;o>zE4~8G5s&pz@)*Qd;I8qd z>i9>%u%CwH#WPUv=`|4^_u%FMSx~Y;K!aqJni`gjUvj@t!kmoukWI~5Nkn{etLUE^ zq|La{@!L0&tXj@FbTcfM1Y$sYl&jBPX(=>bC>3KEBk|NSQVcSX{5rh+r$h>5!3 z+`o=qBK9y_V?CN%2Wy3}rpkq3`5lYqq_f&RAbup&%X0RkH7zMxyL)&2SqU{^o)IZD zzFl)h|2cPw8`IXJ9aAMD-)=~ymSmbAP3E(i5X|6bDs%(WIh33l>_V6{V;wfY%er5f zrvb%jCh%nB%buFH+My6VC85mamEVBYSj8h_+aQfrx%z>NNi?WVYHY}JD&%i-1fkH< zEX}X%K3)A|gX536rs3|UcIk(Xxb>G=H*>UJm%n_!;k&xV9m8tpnsTl89-T;4U2d>w zCHH@n_;Vfc)cU(qQ;-eUm8Yx%Xw_Wd=18w=>TK5;TPu9H629?=Vvc-xf^|K?B;Vf3bWz-OjSAfWo2vHRFM&P(sLu!`Q{PkkxJ%qs zs>w9L_Qy(sK^=O6sChP}Q%jcJYiuVW8!r3^_uF`#qyq=UImIxGIzL=a0JS|MIyYUd zyLGmDpIVP%Ys~?+ezJIPPN;&nqtMfH0%_n6eJX&Ka2d}KU2X-E{83XRe zM2)6l4wOgFH*T}2(Y0%AfKK(QJB)xG2ABnz^ee6TD#LBHe2(-^SDEWNuJ1upimz?(<`M`T@8D+;CF+LlJW04*c{SCum z@QAz$i2GOHj7(~SDLgl+w?FxMe0ZZ2`_d|1_|DqBELq!ZNooJK3iOT~2TYC%9ndpd zeChV)Qw;x&)?Xf$&vP@C3BSrYVVfV*#J=?K<996DymkX(93JXn|NFNbm5DmlyF7<^ zI<#kQi-lzZ3G)ovfzbr!h3uF;4gFRz>sF%?{d|FbB(Ac0iyoXG*`WeVGW6Y~&VGzd z*H?RA4XIW}e_PO|{RJFYrH9kEtm>?D;UJ!!aoW`Fgwbyp?*fCZuY6}7n&j|j2M5=x z+vdb01rpX8$p!ZpgXAo){9l=Td=ol+jmN=IofSjXdNHK8=??QnE!xDlS5b~vzuwx^ z?|5QO@gBZ+?$=eRY47A&MIIm6B2bmoSuS=a#u&k*qj@Pc9|Nd=VeS_w{q)k0ZHYAW zo@l(EgkaM%e218W$@ULU<}052-T!EL*@vF!-kIypX97ndVUY&8Yz#EIulh^@9d#QQ zF6bv%Itj?y_F5%tWzWqf&8))nWP__p8M&%l55eyH>3c=M}F*V>ik2J`XVznnVI{^KIG@; zh_LNmO;uUOk2s|0Hz?)UoWNO4IR~~OSna>qVow(t-T@WzlIu-4VU_{!Pq+VW7`TtL zZjx_`TY!%I{9>xAZac8j*psWkeSXFXv<}Z}d<$vH^`thh2tHpvb#s=9rwPg3WLNPR zuif|uGBFu3G92T%lv&9h|Bg}A6A%=TzcC-3?{!_ zc9-L<1u(=5NQ+YbIfO9L{K&uc6u_e7RH^axUX+o;NV_E7|~RwdO1LKz-yp;f797-h0(NGjnT5_@-4Vjp?L#A&s1HBA@6} zXKRmIA`E@Q`FpD7tG^EZ;ZE1zdY_dw)N20bS0F;LVwgqQ!PfqyhxX5ps^a#l-ub<6 zwyCSxCm@JAOg|C9u(%rD&9on1Yb#ODTZ-C|#=*0+GEPiph_`h}v+^R!2J`O`o-26{ zVtM+@>e;5ZhAPiYQ+tA)Fq(Xcc6~fcOKZ6d$QHMpxklZ;>|GmM$?JvdIUGAUH~R3m z0=r;Z?eL0fWCS-4?_>VGYFh1z7=Ixbz2MVs%@u*acjx?`R#tj4rKdJk=?1)jr$O?m zYUy>uh{0NwgLheJ1yXoxZDUnl{JvK`@?zH&tNFmjLgU=p%APZ|J1Nx~sZeGehU_Yq zXE<{6;{29}d!sQvxyoX!9%R!`_a-h1AUh~D?0nx+60WAjPo9`{`&-q;`6-s(+3E2& z5A;-6ksPItsMg~CSw?#P6}+tm*4@r(VtgCioOxgUSo=2MTQY*@(yoJpOk~4wY#xn+ z7=_X@4No!eE*{TF^}KrcZuPwfPgHH=L~Jzu_PvwI@VP}xCZ4Bje2ni&pM=9c;M(Ao z73$Th(SD?{Ska5w=Wr9ve@?R+_W)Uk&Z_(#Ax`_TJco_4&++ z_%duM+FL|&J^k3~y*#a)l{L-uYDCoVMPL5aYy%-bBw_G;dqe%&d|V}^tWe$3-q9|$ z>-BwHQyMpi-cKWK8795#Er(MFz{7nAyH$TY4?OMa#H+oYGp5StGGeZ5AVVxtzV)$I z{L>{0T)v>ZpnbBHdx2I%3qPK-&Z|InmjQQ?4_FmDw2AWQH1qnic6tu;WEQisz4Z_d zb8EK1ntXNwv+Ky54)!QrT1+;V^D&OM%^$zvzN9(^&e2_G{7HJIY<+GEK+9OvxT}&@ z@Y6ftCCm=?PLnE`!L}d#qgb|`?Wt2E&s~Iji;ff0!u^nt{hFUS>7v1Ib!(&HA&m`k zdDfKI93J{HUs5ZPz+Z>z#Y`7mG+UQKwZ?gu;=V+K1CCy}mK3H^;`y%e$*Nw1#ZcYZ z@1>w2eC>!P>1GPdkDTmi06LLVg4o`Jq<=m@d>G@ebZClCt@&cD!?W@!4jwr6H=cSja5@QaqT zr=;X&AB|FPi;2~^e0g?zi?~M$c5S99PAWHQ1o;dv)?QxK3T&GV2<0mJk>qm>)I5%j4Ea6qR(dHeGdhY&djvmb_0BQTIFjWg z37yptL-F;;uu(XGTH3<=z`}|crJm_Ghi_j*n;7`!55Wx-+q z{#@S=T)Nw{NZ9wVqBEA&Ux!!E2RI9Rf@4F zb_uXJul!k^wqKdX`u474)#%3R<}8vpO#D6yCBHVlbo>TPN<6BkG0KSfua72TC0cq$ z^)0#^PEt+RlPJB(#e*!Zeftycxf6vw(a)^1mpMYhnW^3+H zllBq|PkU>Z@=t763)C|Y$lC7}S?4)C**GkzUzEP(e3lz|3jGrx2KwY{Ocy;t%z?TR zA+N8d%eG0_qN(p7cv;dFcAv7PKPshr0sZ|QeT-QHD&08@N)X`qUyBhKsEz)&_(R(Z zlb-@Q=91_anvl5}>Fud-xfiHDLpAySuLC5HFDr&ga*eRoZJYwVE3&h~jkqH$ZkWqZYd-nW?IQBLq~gxqH{z&dOUC6f;b3yyESjqpkwbd70`0G!8)O2P0%;2eb5}PP3lsZ~ z5XsTh<|8MFf}P?&K~Ru_O~c#Cf`U!i)JEOS{{LMFf}NM0f=xOdD*_4!P@>@AfgF5u zado5M0P*~D6R-f3Xb)p#C=2wiky3W zF`VpL`jM=NR}xi3@cW|lAg5PKZj%D{3v3w_oIm^bC~juNISSG*D~V(nvC#ccC7cBq zD#agYL;)v|CRPaI!N(9cq7?YTTo?;cURE*H&&qr_q3S`WLVFyKv*G9o{>vKm;ooWl zle0TV1z%j8k>shBw@xeh$TZO_h)B#k)9P9Ns%vUoX!0s68raIcGetdK zT3G2dh1r^3x(*f-{HwV~{h*dVmwp#|DW*KL(gvtMCL8>lsr2lMNsuEhrD*Md)^!Y1 zaU_ePGr6{R)=@oLc2eJuK7nNGMx8Z$9lzEjMHA7PM{$xpT=iyd>E6EY^ z>92d6!DWJT%FB)w8`?C4cy8^n2i3K-(>IYaJJH#L_6E#@6(UTC(w+8}{j@&>mP-Xf zPXSvFw&uHiOr@~;V?Af0>zc)AQ(3%}v(w5*H$8t6BmIMuuMF-U_~*3ds6q}S?gsYI z)>&Asv_pIuAxZsWd&{J2aHT*6UxYkLA4pR|zi50!nQ*MMj^0l!LD5J#9d+mL{r_iu3(%!Spi=$G9k zic*!`k4?x#v1Ub6HkCc@nlh`0B^VWM|1u1*4EQw*{a=u*4ss;IqDgoiQ zPQwb$aA8a%l>YRlgSjRY)~I>5w3VN@Mx~#g)m10n%e#v#<7~Qw5^V_(CHGaGB}5H( zZ|qfqzaQS*9FxoOvP*MRm7x=SDa_d8rbt8{%yLL*NTz&%EtNg-(qWAtE}E~hJaFw` z#$t>=@l#a_uXrj#OlhT5dlz#V)d!I0LNt-EUs6q+3|TDyfqz_D8`Razm9W0NL?mN5 zQYoEzno+?Xi^@Ji4eLGxP~8|p--NWFO4*OdM2oreH+x|$V=M2pHna)LwJPa7?8bDk z@D)D>@X!EMPRZ|y);%CLL53w!-QE<{LRBRxLKo^HhGV5-ZsiC|pU4H1dLZ2%hn$7K z!f3Q9{z)R2ZPc)+tT=Mth*mfKF_-rzkYNT^J$&zy#6Suuc6@`S9dNQtnE?exN_5|H zVu)GX6Ra$oP-IPqg%Hq`x!&Akke@RMgPHv0DILs&sQd4~hJ5j>!Wn$MfW`4lA(*AW zA80T?_x+|&4`rH5cC{`Rt^3~f-Tl{r47%!T!LNM*+DtoZeLhQ3ANj_T4z!jZ(;%{h zya}!$Qcx8vZfuT+kmKl=$4}m!>(U>7HV{qy?WD&0IQdrzx?{8wA87j~@60Y4us^uk zxuUlqpFVN|r402sz0x0=hJcd`qLtJr*km{;IJy5v1W|nEOII0&#---u`M-Zjb5MNd z{2vi=mi>Q3PKwXm>G7vfalmr*Cs~)gixY-p-4FhLMjynwG}v4f3!#L*e?p`94*l5= z5Z(8tDhJK%Ox|t?)|I!0lYA%1<3EsBz8N3;i}$cR0=)GPzcY>lZ~5b)jUc5zDEwc-==p0%ag!6dLVB$WI?0r zK>%~AvygmcRoN!yZinuj@%;~Z7^koSSJLg7g#G(j{5w_WzrAK<$Ogy(JXtweugd>k zS3CV(Hu`q#81jLa2W6Jg_nX!C=U1bu#;s2Ox3hP@=e_1+^mGxY;SJ$Ni;6du^qb!B zpFS^BHu^50pU-Ci`Slrj6jBF&e#e{Oo7;;w2Bjsw-s3$2TEe!`!-YF>zORrleok%S zk9$*v)> zGMS(Ib5n-?zBW)MXc+VVP5ZpNI>HMtef=c}Nfv_)53}(6fUEE3+ufGm^*LZ@ojGsk z(!^aeWM_Wqx>(83T@!0&+~>iAy}XXZZU3%PG0rhRyWDhkPPV4Rw#_vBB}Tzp_eqWp zMYo|_hWcFysIr?(bpBe$xBiVDO_sd?_)zimJ07h*{LREhB^*`aguig8eg9;}#ItA9 zsu7;rccSK(J~fQ|{pf6R1c6sWcLUbW2!nr50UUvSY86d3@QoxM<=wfDM_mr<$v@lV zo$2epz;xrGpSD^|{O3r)>BbGTFL-H|F2<6^LnP;0z>7L`Me3yy8h$N;&D>0TmR$<& z%FM_yzsZzqiXG>5W0D@xAa$^?)s^b`kt0sq@cevg@I^?syq1TGY$5CTW!EDb!f!j@ z9lu6-7;?h45B15h4CEc4xIj!mN*+6xeDmN6-wBPCXBHB7>+VYo@pv9aF+kwdldH|Yvj2V-^B{BJzzRLM=qotU?G*aG0rida*^i-o- z)lI31F&LpTFnltbb0GX>?x`fnmwTmB1PORHz@6hDC)z>w5C(?Ly~Smkc};J<;@6nm zpsy-!);QA)pUG7HZt$;<%XDk@(FCjT1q0IxZepH&)Ua%*%e%Ed+djtxI$t)EP9U|M zY(a^8SvGC$&iHG1?D6uNTo$n?DP7N`;jMISqQaQ(U^Sg`XQU~hO&^hDCUhe=ajDw@ z0FEKyAob3rIB3gk5IgW~bA9pjT>lrsyJVxM=hT>QS9c_=NVagb#8EGU*u!^t!dvt3 zq*yk43r_TiTj!s=wra5*>r6S5TqdTNzYF?4O2a!8;osSbf#^_tf=n-IxjVVz0->th z43#)Ccg^&5hUicXm1;BRuD5x~2&bmQfL)pVXAYj(-Cz1d4?~-K+NO(B+N}9dS6XbV z_n9nFaZQ$yx$It7T+7F%+ST+v)G(~|1^jOVtyj5Z&)`4odr6G8D}6}8c?BtTNVO}e zu5RXD&ut2P#EI61%hr&}$oiwI($mgU69bKytx*{?ZKHneGYK4rbR(CKG|4*H$Vw3r zzRoHMc>Y$je@eo~u??6!NKmw;tmHjbBDkH~JB%4;sMJTJi(wm@@^T4AGr(M_>d9HDf zb4u1HVkEsg zSmercrJ_{Z3JbNRzZ`jV5XG>Cf#9Tym6;s0eweT!Qa==uR)8$gk75{5#Q5Wv!deJQ z8*lI6)8X!VVto10>HvEB;SE$2kXYz((>Ww6a!S07wlc6BRa<>Tw#*)!_NRGbY2Pgq zoNyJ6KDyqiV>bZ7YdkKHg7aynKl@vQc?pMFs5YF!56n&PG`WJ$4IFABV9jB6A*=Aqr<=RX{|L@$uudTMHmBY-~4ormoKY z=c$DA3Zf|3_0(PFG}*q#c&_|s)Ev|0!P{7-P#kjqZaJ#U_>x7f9If zqez~%Z%)h7&fJep-i_BPbMV~$QZ`M`S-$)L($a`I$%qj~)r6}z7IItmlD)Qu1Q|$K zx(eg&M~8%jY`ZcsK#g{VQ-5{DH_0hAESO=hKiFDYFGHK;BFp%HpNec>D16f#8o}jC z8QsW&_f`Vr+78!=&beKfhzxbFNN)pK>e+sE_CjO&gd32lrf=ox`#j9Vy)&dD_*k~@9Y(I=AI|Gas19_A!ix~I zL_RIJEw3a5o_J#z=AgIWS5)(s`W1(u=&Bf2g4Kd&*u`VYaz6f~7=i0vz~6N}-Dv)b z9c84ePlpl!oXdUYh%)GaD9wR?R3iKwKoP>%>~F${BYwv4Y`^dnl2={7^}rA4Nu&x3 ze;#rS`J2QV^Z{fI1+T4W^ohxHb%@uezc_bj{u$(4O)o*%q-tqZ7h`Ermc#B9d0_6+ z2*!F#fI8zhR1!+zWw;UH2u@djphmEGqcisluOh7i6ynB5>|loq;OL3shNYga5ix#m z^Ip)3>d=QEcoCb|+%b{=`E-%kJ=(hXtVx?e4XdQtDu5sF`K0jnH-=`kuh_1bZCO%v zEKTe0&?a4xZZd3xOHgc#CwO~jKSNPE%tjmU8Ywt!ql)5s=HKm?u0i;M(2 zsFHItAby&c*e!IHBRD_woP%EWh)4or4pCwXw;!OJpU&Zqq4UjN@jmM}vbjT4uvSV? z<=Fu=p|ZyM#i?r!h8S8m&P6K6W`dZq6k2}@o_jxJL!GLZ@5N=-dwf<;sG0v#nJyu! zi(6aWR99_VW*}Z*fP5R8R@$hNS=oI!vX%o_+rmBQsD(w7mf|SYCMok}YNl98Vv$?J zmxzFCmWVo(H_SYVC*b}19BMcgBRC97XHYxWLD{m%XwNY(dOh}F37HbslfK*V9eH{? zEgQoQL@^BEdKih9qeC}sW4!4``K_63_DlZ7+@l}VjpOViClTf1qd=sZz)mM-JyGNsG|6b#O- zuj&qhpZyqTfVtK8ZOOduIBL-AA#{0S?k33jb&Ru{duV-R zZK28K1H?RfH`9k_jIGS@k^zLLq~m>KfZtDRn3~DAR`5+op+^)mGf0DV2TnI-PPkf+rV3%&T1LO%T}z3~l;-?XBTL^wrcX zFJ?1hE3GU(d^W!(Z=pjGoL0~*d*1z!*#?;{Kn!kTU6 zOsIRyNhRW5D8jY^xy1SM*ey{M;i6lqALo&Q!7BnmJRvOq%*zP+7Oi4B+lm!ZnH+GC z(sw0)m$5LwQ=3iiW)n2$l+f~77<>sSC|SG#^}TAtKT!|lkh-RgQF5b+oMD1(OHr|2gvv%3jls$Lp1rD?HFZYp9{i3 z0(t#uvJN7tV!|I9`rhH?RXgS5KiP=kFQrq82hn=8_>xAEBT?27&iyZM1NVQlja7uT zAoi)$IQGHAz5}8sZ-^dPD;GZ9QA3$uLZ_&Iwk1dUu&vpDLCLZo{$trbIDM8?$KI8G zX!Af!sa2x^7%#-w$^GV`$0@fMWBnu_H3d;tM)%#k6)_A@5f=rBd)BQN7JHA7p?7JD zqu5X_>uCJS%SHdd?N!Fm8Y~LWwzv+@#%qDbX4)p=JTzU*EF?Xv4WTdCZ+{4V(ppdF z`I#Ms6-{?KZjg;xQFj#7oG-ecK8f~c4=eTOO_vFP$m}n57$_h_tL`(Da{LNFZ-vfF zL_{qLDToh*8ep>`im!(+-&mw}AVh4!8D;y`kqpQ%?vSb!Yg1#x_6GLA=9c;N@o z+0mP8|E|aA)-w^mgousa6;mv&kg|i`B#{9680Kg$spZQLRF8x#RMl@Je?G^^3}ELH zxT}G|Boj>d-63}1*3S2v5gUjr=9&_8f~@ht4M%vP(6B7>*@Ew-?)(o4rx(=y<(gJ{ zOkv{Hk1;_XE-$0hS^Jb_cvayfRs}3h>qslTzD;_0JuCCBR6SaLDh1_c|MLgI$P*;eSPVS6Pf!4 zkX?(E2_-(%!}XbjJi<~V(wU>MV%JE!{9sKVMNGM6nxsTCVwZ$>iPY#N8<9izSe>KpiSGc_mEu1&kOn*jh;>JVGt6p z2=Jww&RcW{2ab`C7*UpWeqxZ{F-45K%*}botlbzH*Rgm=p~EnYY7TNW%)E}JT-pl! z+rz8tLW^cR;Ry|7^R``2Vqmr7qD@LI24iv_X5KabNK4bWhceg41h@A(qT3qc%SS;} z@N=)OO4zd4fS&*KOX+<&mp!(^*d~paua3G_Pf!e>(QWRwLQByDde!{nzFW05BobO` zL*hHUcB6pNa>$IASXwIdY>b!EZiDBv=DU+S)k^70g#$!DmUSZW=f(ugDB^!p)(cW^ zhyJam8E&Z^i4CFz?908Qatx#oTn%;Y^&hNd3A9(ssy1$C3G;yt zajZz_M*zIO0h;em_k(~%Nv7S|@(38kWURo7i*G}|ko6lGwb@Ux5lEWb%SXftH)YM{ zY?r3(Ns8e1zmp9GQu7)JzWpuUf~U=x6fjv%^cPF5_X?wV^`$2sFM`vucB;}pOyO$* z59!aW$Lk3gJr=@KQ#l{m+wYGjQfw*0@Pd8T#!J`W$SsIVmI4w>m zZCN5D?AO6AUPz56*xHG3s;1LudC7^w@35vd{@x*Z*{`Ti<=#4LGfAz4i>f#~xv6mDHUx%$TMF3!@4X|p{ z-G3_e{gW7j^Sl{^4bi{jc3IhZK4-Psz5Nu{WY)R3*9NT5?7hN*I_o`#M)pt$LtmFM!O6E`kp%ALWbnir?- zs*sv3ghk?!%A6VgS5WtqEMvdm9D5L*aLUJi&jqRED`MCZqcHzMGzt(;9ej zT_~pVr!je@ndQ}ZBw-5eUD)%1TjcB;TpJ^9@CJ|T*obWIs&Kjy;7{;FyznU``eSWn z{N!{Ev4eUW(O*xtT_MqYRkM!U42C;DwW-419@!^k7EhnTNne}$Er5=%Mq=)6tsf&vy+E4#>oJ`_pTI4mZ8%_7UR71Tjua+B-T76$ni(8aQ@nn*H-;-M+;qB5W}B zoocrCJ}Mw3T=_NX9eFe8h*&cCmytzcXp@FaO4rn&I!B1wOgcB?TJhf>>St?N8M-XJ zS^n(pV(IZ$XRqhYhUuh#tN{miS~+rc z4~9NWRLeIWpPE&zT>=BF1*8yst(sLl|7drY)U zYZDq}!K2gX3!`ZfjOJs!s?Dn5>64^ykZ!5OSMO~3^|=~j2WTZcVj8EvpFW@xU}7e1 zf`r7hQJhqg27#mIwH$t9<1+qXvgSoyw| z0-39c>R~S{z}^3qJvco%hqmLn@rj6FD#nL^H8p?tnHHN&*(6|0^sy}!h>Y7NvvI*5z+o>|kaX6*A? z0p?D}gVuj1`<80@de4xze=L`9R^N0h18*=A^ggB)gRZ=;lMf0jDvh!nq3S)DvjkBz%c#ir|1uA3agZ-?!u6w5q;d0ra$ww(co@uwqE$AK+qH2JSfj_ zap&42ViG&8h}E9jijhJ_I#h7b(Cp#~3^V$VML3rf^fBYl>VJcV`116%qsfbn7E*{g zT~U6+^khfK&;|u$j=_%IEm^7eSmu{EQx_{5sg`J_o0n9(?N&IuEG)wU>N&PdSb`=~ zSZzXua|I__frLiq6C0q^S81cr_Q%NRl>|q~q{yhuKp2q|OsK`d_H+)<@=pM`h_kbe zmhB)B@#gr*555urpQ8ZEN$ShPV7T;wIbNE>6Q)=+8`wOExh!?m*1WCWW&f>EEH2aZ z-%J+-%<7s_hz*d1BPX2F`E@xt!^L$p&~)ohmvv)i{)w$2v0FLs?>!%#0Uz;@*FpBe zpcU;!tp1&clo7AV)HQbhGA~d|s*Sdh$f2oeTrG8D@O#DI_2HrB^3#4L_Q1%U7lcIk zC5dUKLc2}{kMe5L3!e~u+YgHgoiKT#B+}|4oX>t3IV7Y~yG(-%m|x`3J9I@4Vb)UGMYx=gk%0Ab-`|v4*9a(A z8OBl8b}@S;!Yt280&i=|F!e_h$|Yoy6Ym-^EQMN@V{n~d;MnA|_7_aocdcMIi%&4S zQMVDf>*N^bx^9|@d7@q>cL=aL!TkNG62FymP`OKkry_4`$N}sMO*H>`?@+>5^9J)G zl4nL_EYKw~lutyf6Hvm|2-gc?R}7W#4*t$$vV(9!wGRB3pQ@o{qru`184y5H3){tz zzfO8U<3*y1&G&t7TM^g7O*ROE*c$(7x2--shw4s?>UKm>S58tdF|{-m-Ct*kKv`52 zZPwpbbJkr|A5h^8d)lECy01c2yID6fD-k=!Myv&U4#@=r8Yo*#S`2EOk?P*W))!(# za^LuKp(MQil$!QIv+*y|gz4vP`V=XRy(rx~FWA_4$l2q9yb7b;SAsx7m>@%~TT1D} zTu#2lU#+*0us$Wn_h;YBYrr@Jt>35;M!!)^*3YYD$wh>WukE9SCfP+HY|yzsqKyBk z_rRb)mLhN-Y*&}4J~#gWc?p}%TE)Ul_9T5?B`h2;I4~o=2BlOHoBf~m)qiu>oW>^> z#)3@Y$%2Pzs)rpR;cbjmTzBQDa!8C>Y0`_n8~3(xmGZEk8V6-vNs7`~L8${n2{vf` zv9cAgyrjJ+s7`f`heTzR**L-H-w;4(iXSTV0hoc=FTxBg9k#?g(?=o+nSm3-kKiVtHQ ziwdn?bAzY!fPk&^Dfw~x7@!NPhwua+_BIj47GqH4)KBU5iQu?9cLA0agjzSRwGe6uu8&1|Fg|KZz%^K2(=@C+t@|5R zi;<^{5Svd`P)8JI>CL!vbjPqA_GKaxy(;xbUmMmA+-q%v8FwHVu46>|t z6BcNx9!5(C;krp=jdc4Vt@0(4)UT;sCJ@9}dYVdn`nFgt^;~mN^c#4~7?>RMS?#E7 z*1CNzj|TU~Jh{K8VmihOYmT6sd&$R3IP@Q{W(h(R2Kt}6tV+Ywad^UTc$Ej_@WY9g zUjGxcPr1zy=0z-Ys`$HmNdv`B>kF)kVOI(Z?*-;kr<1ERcli0%2hPV~+uE*nH z?u%z*iTKcHrq;I*F<_K>$uw$dx_p%!MB*O^pN_|B(&>iu0{oO;GIBzafUkY^7#N|6 zAsF+x#nD28QO$vbVH&Z$iK(B|3BxI*JmJCzg8QyhEN8l z4X*rmE6pieOUQ#MKUkjkjnkm#DoCCH6tUOLsl{pn^cgqp7&=?*VTD2XE%|hIQ+-pU z!T(+GdUR*ye^vi~6dl(Ay~w)N=7w|tX|R_vg8m;!tzQEK%=RFSv=rF?KLzd8{?|g9 zG^dWu|7ubBua?(uY+H_e3C{qeDUZW?;1JB6aMQ3a`$j z{LGI=-2>nbyt5XWNLOd#Kgso<(q`ObBa|s_qK}ww{uTc`X$o9{XZpc5)?MB=5 ziv(WIj4Xs`_^E~77!OrA378t}5Cjl-zH@r(U~ZYZ12-PD+BFp+Kn&sS>)P==tpyX# zkjm%#0B1%HBL-b+aO1IB5TfDl_INQ>El_BTcJ6T|)W}bHn&lFxILI^T1W@A{_}dJ9 z4?KS0D4Ac!b?j7z7dVbo36!*8a%wq})M>2e^%Ku&M(deA8u?)YFH|KKgTp82jz?+U zWr)e12<3zBHB37VWVn_w5sg5|Y&)zQwuZVoXBl{u+8nm0hWcnuN?!veNX)XQkrY`p z#Wud!mYLSKATLycff`e3)i%v>j1cKyiar!l-pDbqNRPAQ=p;Vuj~yv*%py-H8h zd@zG&>7FB|^Rbwx9^&2qDD?uq_IiaQ@OH!6Ya@3i52%$MD{A``1hLbTwpCBETV6t+;`v6)k30<_ia5F)pY4dlSMOvBDQirx%FII25m>+Z zLQF-SVn^(q{L1=JOPlWpj;w9ThuiA%JkH~(g3CylSE=H)GM%O3C;v(NIdCI;$X9fM zPYZv_W0%2~!joLCc&S;@9@HnZlNrAU4^ge9e;U z%Afm@1C7)Iov|BkZQ@>;#YOCB<&FZHt^HW>q? z0xZJ`8-{!{q;5t)H3`n{nTyFT!42HlrXAkO+GyL@@N8G+FTxH?GV?=SbltA_9GsWu zoz31y(n2Pe(9Gj~YUvZtiB|%Qg{`q1U{g86S#LMXylsrh0*EtGzQcssL1iFjBm>$h~)>ZdOP&EWc^Qvp_J#BXxi8nJB)Y;`M z5<2|UVC^eKqt{l=h;4aUgj%FM_{-K1icn-gu_=~zG>A!&uoQu?%{-d9<;O`}6vl;P z?eInj2&MQIE)T|9)=E$D*N8qMgpE})H+xx^qh>j)h15^S)>#pr{M;s!!RnTxs!vUyvz!aIqzcOHgv=_%OLw&P|!pg|ZK%BZ^HgTcpU6{^k1 zrxM1}olfOUr`GB+YLm0b%mPBH4*RMu8onxl$3rOlT$U)O9~nD~)p;mj`3DKlTx+Hh z73)||z;D8VmpyW8znw>xi2J&R>6~b=N+<8jgl1?ZOO}x!D01`?7xzh4lf*#9SXeUf zeGod|-({_K)1Rx+4DW|)Pl462r~Pld5}H^7b+W-^{MXdFn-^mjc7Ti4LID>N$By@Z zUY22^TZV9!2IoPOhC=D>gVT3a>{6(*gr^@NW8|e+wcf&>1jg)Veb95D%ZtjgXx(}0 z1rLP!Q&9kM*r(xyBtqqr1nQL9H8Ml zr?U|*qcr$n`*W87U@%n<1fP^u)ws?QH(0KxhT$hE3{U!f){GuI{HgSmI}VZvQ(QS! ze#(tTh(=0wt20HOX;%%l!Gr`;3=a=b#M(HKiC0Q&L-(0NvC?+6slB(5KC3C6o~s-) z6h%3OD}+m}#AQ*=)P<+F0o8Eir@9GPlz0ZvJI@9f2Vs>$UB&SNhrv0|)z3 zDY=)2J_&+2qV#9;SwDSnsWQPWti^Ps?x=0AmuTDj-s@JZjb*~Wz%tYDZuLGHO4bz7 zm+)IA;CzoOnW2e)jRTpmkMS>|q5`w(;37Oo6lZ=gZzF8Xld)TpIOzQNJBndb-L=^? zSA%2(`F8CZaVc24qdDUnW^4ARyLPWV> zS*$gDO{po0=w5v7k;i-1_(m!^A+}Rzq?1J*lq|s!TK748BqC z9<$P5{UGBxjstI2S7Eg~cc0bQUT_E{j@XLIMTe#{^d@Yv89FRn@4RAIEOuUJSS04o z4#9vOw`vkTsVAhG>=J-9r;j|rhn9W7g8hDFr7HwJydB=l2vRfoj&eF7UR8o4MEIC> z{hJnv0Y2g2}S#I*NY*8)FQ$^!V2I?(wLVgBNHac^M<~hJgBm%?H8;o-OYU=KYt5 zZ}aUJOvn)8eUwWI+jb71u24(^;&doNguUXic`YxKFT4jG@{gR$HZF_f2@$Q>ktx|M+}P zE~cI=ZC?R$wH+XleMuLN$6_Xh--R_+&Fi~|(S~8Xn8Yt*_g8&2pwt_R zNFfK;hr0Isw=_aP+RfEbzzHasY9 z?*F0eEra5Sx^~eZApr&nB)}j6LU4EY03ld#3l4(^32uYC+u-i*?jGD-gIjR7+j+nD z-n!?yO_u#7`wD2&5hC)~`K_wLNu@={(<2zOWmr4n@9PmJ7aY zOWk6A2T{8}-C5~~$Gu!!Ks}ytPu%b4fO9u6!RM9>>pS7gr}Js~*89x!bU6%}Ka6aPAJ$hosI?~`S^zm=Btm=5axf5j1qL69*#Kt6aK(?L(XG!qj|v@3`*>yRJj|ka5tCu+Iht$ zGTz9DCnBAJrjSDN1u-w4F0vUe`3>G5N8-ncGJqyQou%eh@(m$?w8hTcI(wT1YA1l~ zyDalphw(S2iJ|}i-#s?mHG5L;`DxAzPYsRf9XLX6$giv+K05w z>y4+ri<$pcxeza0$&$uEo`{2UqQTgiHRgcVl4B?~CDj~sVX}CZXv0`|PAalx`UCds za4pmIRg0&z)ArR$3|c0&k8Gsyjq;c1ZTr3K!+5Pgo57}26kLGZVv?vx>y4`z%5bwx z@8V!IzIha?yiv=nen0GJg%73m!7VX~8E@qbYxjf0b#`<(aDab#-Y|=DCapX;#=7Qg z86T|wKmwog5s^EmAOlt_@PE5MiUr|$p-bVP8*ThgcByw3J*m<#JoR*qTZ+xWsJCzy zlAdtjipOV9pP9H7<=)5Q^N4{}b#pk=nUy;7HKEPh? zSfC1`EsyKO(7W@Km&>d6?fkO1p|!TB6JmWc`)B7{o|n6NL3j5D81(UYi1=j-+WveD z^@|oc)^RP+@nN)&eh&87V-(!yzNt@tRDW)?l(4Q$nTa(ce}z}Tka5%ndMeJg4eDt|EhEXCV(=-`|1<5(+! zSmdo^Bm!~u8%Z~*B#wALUuPE3UZNlS&T9rrYzOqT|!Y9bp2m&Z*~oh280bGWgmjt?P+>?b<*v8)Xz?;F5&0J5u|g_eGZ3{q==QzC2^fY zMaFX)9Fhm`qS7M@m0RP&FIO3LX?FWOOhDa|=FvvaM@%MO67s37yVG>XYIxf+9~bU$ z#A%7!2fo%=|G9`B8TqjGtzD3o(!Ua;UKTlY9D>=@ZP=v6jNB(#;XiEj@fsW3XEv zvkcS}VDh9YVhfZQ&RyXJf+*wz_|u{l3GTF;y*$mVOma&d@pKoNb!J#cfP72dKAb9` z=wtvjFik;c%?cN``P@zYuSXl+N%2Lv!QBtiMbqohm0< zv8}#h6|@Lt+>g?wq~FS~ESR+%@mexO?2Vih14;qz@S%$Hj!)I42Ik@Xx$wUoTre>& zRXP8b7C}y#Y4-$FqhdwzBo`37AEh$r(a5=X4R!j4_q3tAF?uSnrAzxfk_+zr^0)LZ z*1YI7>_>&S@(pn;(Y(wf<;VM-aYsHs zU4XF6vUbXzUinmdpw}C!l#c_@FAuquA;~zh(lcRMY!~mmt;En-f)wgOFL7}FEDpEW z576O5%@9!NYU~?GWT#X`sIv%I2I~i2{d0yZC;B;&5G~vzA+KD7T9+xa=G!Rpg|zth z*ZZ)Gf+|3O3>@v89qw(KjrP*a;kzzpfYpN35{^sVX(2eooOM>0>7VXg9Q{Tjq2( zCjai{km0iKpAjCdEA|a+IR_7 z3L4~`?x8q2aS6C`@e8D^wtcf0RmnR(e-61ttyogzU7f9;^TVqRk5Gz7x_B4YA2xY- zD3T&y(s=mEF-<6ZtjzIiRHnj7UvL&VYR~eq#G>|&MQm%TStURu%2g{0k%5$clhhla z#q9uptW|BxAgad#1^bPlm3--y3AH!{y|+-q zZ|lx@onRz(Gs8B6)3egB9Arw8C8q0!jsM3HCVxKy33QZx{4!K^<(yF%;@%5tlXJDp z#2KQgBRP!~dM2n@eQbI8wa0p^T6awD0*kT5ZiTL-Ul5}SHKTMTSL$5^EbBKD74pvI zC0K%p-8{(%*W=%&VzUlfH8a2eaizTL(+|?mvIzZSb~xC|pf7~XqL~#zE2C{!EcqSS zMoJbedoC=vVsb)V^>nf!3yPFI zH|*?XYZBw(1vSB<85#UCmZ{15>;ndCMD6-7J2jIkNt{f5N!@v)N6)`gs8ERzd6!jC1aI2f9$z%t^aplnXJVhE;!tEi_iA-?MC@Ro;?Ss6K0btuT2B zcwA^!M%8>q>uuJOmk1Jl!4QN;e8t^z;MNNlccLy{yY^Qy@&}-jG>P{~ItNjburr@0 zUgfe=oE65+?a0dZHPLD~A9)Lr!qyHpx3j>tWQ98?fkR6*$x0o_!Ls|uREvd zQ8zIKAhzM!NpN#W)2Yt4BvgLL*JZsTua{jL?hAzQAnXYBlQFH=WeTdDPj+_aa8B>myin|SzMUtkGBWYO<2^_vD;C1T26u2-UwY$5jmAO29+k}lY z3>bT27;}cv>tuf}FSDdQc|!Yy6nzFHHVn5XR|va7O(%3-8*gpC&Hh2t&KkOq zUYzUzRCA#cOkx5EnIfgrpA3YxH6QBN=OlBfIKBFoqNlMHQ2=LT%l{>#c^8X$e6k{R zGw$pUhJ~iuvSTU+&4?_M|e92DPc>J@MKWCfX*Rh5E`*e$hbEb zDQ~}j8wEa7SX*4DRH4KExrIV7t&dI2&v&SwruRjwYYdD|&l7IoG(z(xnwjQ(5z?Il zHWvr57z&N)Hm$_Q|1ijZA=j@Mo{SSVen&AGV#z2oQ@beha6|;$?UB})fJU7NEtzKl zecvxvqahAjzf5RzC=m8aDN_4Lws+1Yg7ib?nAvoRx7mX17suTWU==^QIFc!8ec?4^ zlAuuS+bat%K;`TOX?&cKNNirHlBNq>+n|x3BA*@8yu3j7!F3FuG#c5OR|7MKYD?8U zAE#cpw9g~Nk1U}Bxjr90;J2DqSF?-`?6-FII?!?_&H}Ocf9!*mys^<~J=AWqNJ8g* z6NXuZ4JzkBK$HH!&_)vb<$u=H-b(NL=Nt0Gp&hqBW@?+Tze&OSCfQWcfnrxEZveip ze`A|Ne2Pi!d=6lp<#L6{ZlyK<{3|q&d9Lj^CntAm^h0yXFo2$@STQ-WGsNK|$iZlW zX&~bQs@?wW?0I?V^0V%h=UYfi*b!W;#CfE!qgd<;q(o*vSt68J5!=aJ_T%}g{zFb& zXGmQjPVmU#HC`ZG1iy#SYIqQ)5H zW@+GNf4I9m%!^gCHvMw5jOndjO+Lo5E6WAMXb-boa#M^ZS}v0eN6gh z49KQ#jnslF9A#G$(C_|iZ;pY>RF_-{fbA3{y=JPI<{^sIhK^`V(Ijy8dGMu|uHNbQ zki%SJsN z8E)t4zBvOso=kGBu7r2qI~0m-#{csu+Bi#c#aRGyYD>eaP>2x{tPW0BKeTZ*P3|w& z`r!ZOUCZq%{FD714GG!l@!o}o^7xEHAE=GUK)d)`lN4&?SymIuMBAU3n6Oq;@+G}- zTbj1U5>#eHL~UglxMDW8_W|Hr^@3DsSGSZjz`KP9(K}UmGJordkf4nDM`Bt=E0SQt zN2H>r_u$Xk>fsnb2r!=1M;Z1Lj})HiI;f%wZN=MMG!X@b)rq>+xl&Di4vyt-*Pz1d znOnOz{BtlBVhGJAEi;N?V12kp5vfjnaPkg~DWA|lkErL^*|HtWtNkbEcsVH#I%^O# z!Hi>Wi8!-7)%$zUG8ACr6z&-F5XEF08Fh?sa>F~ne7%_POR?`f2S{eM&ilz3yjc=~ z*_;~T6~^8|M)qY@pG|rBUr&(=>l70vmr3w>VQRH0m&%sO8VBW)qKZa+425m9=*de= zevo#7-!O7&)O#1JTFSJg7*k?dUTOfrAJ;Mf;9Gwgj%=uCA`>=?0B6aD2E&{yZtX0W z2oU2GXpYsa19irEm20-1I2B3~ylqPEFuFh| zz3#Joz*`Yxi?^a!_5&o*1y-RMa#`r_TG;3$r%5+S$p+r_k;64XbmwxrP*^L93nRbP z;wpFrZfdE^QRTpczmUe6G3LPk>yJRd66mGn1GU#>+-559vZQg9KQ>|{@!lK69@G{> zl9#bGP(!L~J?iD{VyVE0Z{_M5$2c1C%Vv37pKpcMWo^z%Od}hic;0=ydouXBWa-+u zVO%62?KnRaqQEqgqno7FNrK3nyNHZ}#QZkK+Cc3+NaS>qi5jm*_q}vA4c?Ttv8x7m z1@zdJD3GM-+_x3okclGGy&M6!IcbCk-`3H-?-)mr#Y46!?fius9!#4S+9hOHq5%@= zF38%U5MX-@b@+qybvX~U^DS=(lqoi@FT*79XjzaeV@_pC{r0j>N>`T6<$s)c7jDS1 zF>VA9a`G)ghE&}lEW;#7=PDkfW2fWLOr9)%5Ea#@jR&N$i8u~B$vP&$3@tmy?VJvF zg>OMN{#8Uw{l)wFdEiI_%38TY2+72z*;dLU-vqn3Uqke%X=YQyk7neo_(orVg7zV-3`6Vg~hn62g@AM|+n|P`fv{1?-iu`4qV(kmcKV zkM<(`{(($&M>wgNKaQI^+99?jwKJ9e?I8)ESa(_ zc#G#fb#w~6^A^s-vAKM+xv(_Xl1orr(N7F8oUYS$67^Z_U%GCmq0^e~7kd<{wiC*z zJs=Hl`RhN*O(NTU-l3|`0{YhRCzq7DN5K|`%2MwE99yP}R0%TqbK_0}D8oL1Acb6jJ!Q;Tcfe;&o?;>3dc2IjtXlp{(Cn zwKk>%=MBcSW;;L{(C^fUa}q4n(Q(3*Njw|cE3*loTl1tpBED-;o5D2DJH&#BAhqS{ zmY3GG)_^$8*whIC(8W_3Np7%-20!vFkvpj#cD;{vL}6eM(1}{%2y14*Miv?Wvw4wq z2H$Q-c&O|cfx~Lz)t*au81LsS>_)r3zQOq|v*PiIa}jXqN19cV7+x44rz=y2@B1da z3yC5?Y6=^^d~!=tz4-Xl`$buR8tfod$yVL@>;<}3ie018I;SSrVnY8iK1q9~;3DSO z1`oc?A~QFhxMS z01{xPcZVuPAU$ibskarXK4!K3M&_`muW}26oPYkyv?ow17GKUIEp>*zxfB+L`}5#8 zG~SkHa!`BOomA_Ol5SyWW^^i|Cza=aA|G5u)xfMf4RMS`$;!>f`yjo0Na@;B#r=$k#cQ_R7V z-f64S?!M_XIjuhk?~_~g-xXIYmfP1Xd5@0cD=pgZ{0<(KjB(zTfSPD0hr3|<6?VOY zLXA+%v)^cXc|YwbvuJ_XoXNk`88zci5G@>vfm@}yldD|kc!ZE9*H=K$t-?1EtPb-> zX3;HS)uP7v!L)9!j@6bypTN`17I+p5soi<*Ohy zHN*8cun-ZPWuCXpn^LP&9HaZ-+~$54W^A$uiWEjYjnxe-&FQdaPN>79^@f?tvMQ;7 zc|7rq-xJTT_cr4Pmyx`K07Q1@)!y+BpS?k=qEhKZ6FhYT_?kNcx=n2`|5wRa0ygbXJ)hF*$YSk%%j)_NkEd1^sFp-TQj?b!FinF@A7LaLLE$ zR|89$5{1wwx45OaySyaBh)v6CGo#b0(vhGmlY>7JJs3e9&2>gjb0X~A3;?L6W|TeK zGg^l?K#PDO?EP2wSz2HiK>CI9XD&%k#gulM56I0H=~qPuiF;SNgJpP$W?_6@bKnhP7pkgCS&D`- zzBlIiK}(qFAGU(xOJCU)AW^hrQcFrc5e-Gu!||r4?lW@LrMT9CdtiniR3OIbE>pS{ z1FW_ctaQA#XO)E1mQc#m{g%aPK^8%fe4C%6=ey1?eT}q`!)$6T6#!PVo{djncld`= z5e`PxIHn?t;qi~9+8G~ZD#X;g*QZ%xeo77s)lixJ)Cp=jWPpWwvmD@(SpPnMq5ODz z=%}2TQ#4fX3wFbu`Rflo86;8bdD}k~{4+Fu2V$Dw4?vpR6NW9y+cUVH;~$CBZOD;` zp0NAVt#slHKDg_~45Rz}%}8mX^8HIc)H&FoiH<(v)F7Ra{dbJdu*pN^aB?(WCQBU{ z{c2Afyuboe?ZY_ZYG>b3FkHr+$}Duhr{n*LX*VV(bjnbaZgDjuNE) zHjNx&51b)iqf9O4F#iXGvh>Q}yCvD>6%K_s~)Z!vK z#FT*!v?na4Dwgpf$h$StI8OVW5ejemlnlDL_A3B?B7+n_-{yI!Vb)8fjS#uO7XCn> zwgdO=7gdBeWIuJ-i;9JD1>xV>-58!|#Wg}q`vz}^&8e28jKpAf1*oh2fcmJ)3Mc@r z27q`rp~0nix3aTB6dK2f{p%D#3?as*O`>0i*IjFe{($?Lt`54^v4t|OkZ$qE*RXr% z`s4k`c~>}GsEf7`7F94mhM~D?S|VH0=`B*(G2Clf#O~pt{aH7#z>UlvVm|0{WA;hl zBgk&ztNOc+z;bJW9Xe4nLwWww(C9N9ka;}Z3%$z-{p<-M47j4%2{d&{-RCK`<@W{h zh%NIDkCf}5@&RiKhC>Spy%Y~jgQOWxu?Jb;@ zSOOgn4$J%Fiaj;pmxvzZb$^r_kov$fB>MqGO&i_RAi<9AA0D-@2H;Wsb=dz}-Yh^% z*Uo}hxIbLEKTTIJLb(?U8>*j@p-<|ww;QG>Um1ATNaOIIHZWB0C!}hV2y7)fL#oAp z=WK$5i6{%y0Aj3>LS++xyg8C0`TZaL=ONzqdUWZc@vT70i6@*4h0r05>W^k17Y`Vca8y!Zgsd@ zYVeg)R-fI4!*7Acbw$PP2PY&_9v2@rTn*Er%>qhH`(^T}YvCr8Y`ejG4-07!oN_^ka# zioH-8J*0FMuk?QA_mxa7rsqIR3VD=gfyG!Usxcw+tFT9o$lwYxyVJT8{PE4s*yP8; zf>FP8rrR>A3mRKRqv?)N%1+GyvZK}g(ngvC4dX%}YEk&{2WqdmNHFu}>@0Qt1pdvO z!cQ@$*7&N6TtzvR zih>_b_&yYEC7tN-`d`>2D7^rJk8^=Gke(=(z^G>&F{CXJ4bn!9PHCYWy8}F^W}+&P z(xEIpeA`2Ea083qDxw?7^9Q!Ir&xT@Pfarwq|uefgIUI@O9ubribMy%j`P)mf|l?t zFI@Fn)Y{S4v~~g@iM)S{XfrN>jOp6p=GwyIZ+d!t5k(e5T#MzF<-b2{ryd;XtdK(Q zPq~iLc{XXzuG@x)&Qp92P?msdyO(8=?^7_?soYI4ZG$;)zKwM%lhTC!0s$CDNHINy z^3!k1NOQdBi^Te0uRTp&=r0hV`Be5q{-+`(-M#X$A8~rt$FeKr#9fi+cY47mX%UGZ zMCzwSkyfN8N6w#$M6fWuSOH!d6Z<|}I-^yn#ma^k#xIeV4p z1~Bxja?|Z8^jN0{)Lp^3b^BP_3JpxfU@G9pkFEki@Ki&%kT%fJrv@m?Wb!Lx96Gq` zDyXYrzG`CpP?3C0naKq(p~C8HNyJ52j0rXl&Yr2MTgV>!Hdm#Fa|$eA1wal`R;5dh zRM8MILmmfuqK}l#o<9Vyrjh@S=D2^wa{KS-@q3y-N#BrSAhw~$#0ntJMP7kU+o~Dg`}hH1EQ^4ie=uKe4cav}(uxc#^ueSmUVX8W>3wn??#*GM9Ly?IT&74itZ2h^^DoS0f#W%>V?pN?&bXYs=fX3>~OSu z`we+}`+~{D1s~LU`|J7-EP?=Gg+a0^#fT{I%1je)V9ZBzZUZcG8GpHo+ zE_0sKj#-h2A7(G(G=);F2-FAB)}T}g>NIcZ(EHIn(wW##(DxM%m%?tUoB@QqzaDRW zX>O5)RkVsLZszyI(-v#aw}$n4l%hq`i2R$9Y$f%qf2UouuZpP~sXtZf38qGs`AW}O%CYwKd20JatZytH#l0*zRbts8Au8=<{V#HufPde8w#v4G zSmrh=dYmKP!6JiwV69ws)8JX6FsNuxf`lY2xyU36-EI7a7%l*H8H7brsRDf)J-e9e zE{bp+DX-Ng_cYrk$KQR^i76&kMtLg`ct(5bet_JDVOUW7d-s8)&++_!ntQqScLIT# z#EnsMb~nOBoDo8Gg#7qUuNuB0y9pf(GUWQE8Q$6kwse12b)cr>S>>>o;uc{2>%T+* z+fz3(N71H!yJ(c}i01-@>opH5#e1^s@s#24p>XBY_@2V!w-e@HfW_*pZ6dN~Pox#f z`a!ij1@CKnZ70p31odk$*}%@3h`$EqH})-#0fVoQz7-*-UB;JJh)SHScaA1XNyZ5a zdV8M&-tq2Dq* zwlX6ZWvrr%F1W2&l&~Fagu6DU^Ha6?O~F7x(+>lUUx%#OU%;7V0Y0qZ` zku`l*Wg8c%%bIy2sJ}KfzTPxGKfMEF`61>B;)h1Bw^lZ@0?mNcNl7?3bP@S$FTN8! zBwPI9+T&T)YD2DYCPI!@IEKgHY8H@*Qy1tpkfP;o49T!}8Zp}%#Ho+=Z}^^eJ_2f$ z{i8D5_nYH`Xy}s7b6bAbaiPsDmkyVo$O?PQ5!2;h)wFClbjCm*(w9k}+x&zwy-R#T zek6_co>#&k^+LXYylL?kT~rG@*2RRGa_R8{^Jv0u`4N`r*bIl1*xS}`Vn;8!Feo3Z zHqVd_u|{!%X(FaGiU8{+{4A-sXkJE{EkMVz6q|p}Aoq0bGU+`Tg&lJ${%q-aRf6&) zT%0FeC8DJPSTbi-TQ#2PZdA% z+PSLBXEytJ2T2^Nz;@@Gtoj*~jg>S^m~DD>1GnWg)gZ!E;pQVl0OZ)@spSTU#1>1H z5Cv+}4SFdI)p;_3oUz{JQR^A)>&00_abl3Tlxm=4GcSaq`@5wxt(Nk+BX`!)JBj!L z%Lu{VKndG$o$1;w$%DIh{7`oait`Rq@L~8oOdczl<0}aQ>T9jw^vF2Aw%zIv0SbGC zOCr3?qKwB_H%$5*cYKjH1#_CB*me0FHdq9Wh$)yZPh9>ANke_ZAiSDV{xd|;C!MMm zcMDVktCl?kmYJur$XkKl-IODy>Ek@5{pJars!&YIqne+46dJ~7@A!L@ptiF{w_RZ2Fv|>o|&76$uo(V?^&` zT}|+}^`ncHlKeK59FDT&M_@ts%N$ivQT*FPXflu%oc_+`D&EOUyiON+!1LYHfycL$ z97w2?KtkqRkhw|jQuw?O6p6!bano4?lZT<~t+)1CQ68z4@&c>w3DY#YQg6Jqos1s^ zqFF!Fvy!D4tz_yI8{e^OK&EO$JdB#bT!1x=J2VMCIEvI+GNQk_dmL3+qM#NO(U}4+ zC;n;1NNQh|8rcbI^+<)yu4|kpgTGP|(l{()>BU%m9$aCK1bzhC75so@`{}wwe1Mp6|g4n~cAmAVaRqL?a%V&^B}Eav9VMVo^C)zX@-7O*36f#Sou zPKQVKUt(^>b%lU@eL%msj5IO^rCTiYlORyG-M_<2IBys`D#@VbXsXZg9+Gr1NI-(@ zk;9(36xhm$NRWmxveji)ZQt}oeq&~E5cStCkR|OmgD$g(fKtBZlf0hkm)bBDsyRl8 z*SQS)@gCOpq1jRZ1$@v%%O(PzHO185bA%uFRky4_)p2RFNqYr$(Yu?x&_Z&B5K1yT z81|x`d?w##jXd7YPy{ zmp=~&)NDXv(i?Ag$b8}9Jj{&udjR;}Wslz)G8d}{DUcKYgZHX8T0^}a!Imnnxqs`J zX?l>MpMe@fRW2EPPZgX4HG{ZnENcjs%e&p%cwBB$Q_eo6U06~i`YcU53Ua5BI?+pF zVOJa84%S^IaZAAj#?|%y%+-8#ZjAOWSqj60X6?Zf7}}s|){`f6MyhfIla<%z2;x+m zCz}G&M>|~4kV71f1PMKbkL6IH0RS9PoQ$=Q%47wCE|m5XrO+oKN%^&ZnWnFjq)@4& zCSYP9s#wTidv4`H=6eo?>wnXngwU%erHuq;L?h889^|&J|Cs=ME!3t)YH$lUc0yz+ zPQZ;r#V)Uo(Pf6NcBUofnD`mF-ubrhb)wTc{>ScXV=r56uYThM*}! z?k7&&^dAiokIxmicZLG#gIY0EPUiJQPQ=(c%p44l&mBZgutuHvqU#qRNUVDzz)Q$} zwW`5s`xf)wYPxQIJnvF`NqBEzM7hMrVm{fOWN)e?GMQ{aLWf;lWQ=PwP;*IkIcP1V zn!cABLU*!XymTg1`L=~W z-W+eZu2*$Gv}zla=c<1@wnz}bZ)nOm)AQCux7@jmv$lb zBD>#YfBA>2RwT?GCu*P46=DBO!pk&+Ughwy&^i3}h*OeZNjSsfv}#rSGN^`l8t;3@ zja1B%+Vy~bpJ$;=QI;(dp{y)17N;7brG4375;Ad%!j0Iw9jLHb-ru=%^kEGwguOtIYt2Jbd&IqK4M4M;`HGlu#;`G4wM?6Y1_8yG*_sQTxN z|4-L()NP=ZSy_37NHA0##^2e!v(Q7sgP5+X4+ZiM$K1Sw#6sti)C4+vMEtddVL@V` zk&F?_g#Y;w{db%1fnpj!3mAt57>BtMxPYiSNGu?bjl-eA;)tuWPsBefs~?#IhgsX) z;NK_9B}$V8oj#sM>=1BH8v_<57OME)p4wDE3nb=!6t+iPhn0a*KnRuLhtG>$M zar$LIz?0AlPBoBH1V{8z(}$99XEj($JnlBvM`X@9UCs05NiZc~Z==-+{0}|o#>&9!=8r$l% zWTD2M~b$Ca#_Pm1%KI^o1 zz0tjv*65+{Es}v1+5XK!-FLKiE@up^CqQI46@34hlN`5XAPF99T^%3@%!!!4 zC-z;>og!;H$pm2rw5%COz}|bz-S_cz4H(SdQyA_Qmk*hX8}laNah<#_QFb~-Qa)sj z74en2p1`+ETw)g00>h57xsByilfX==bY;0k4BX15!87p+n5>s5(RM+deJlJuToC9) zSljgd`1U7`(YhrwCQ(L`7&U#CvM*$P$MBGC0?tDZxC@6Xv~pdKU_YKy1sEX?ebfC6 zx+wimN%FvmG=6a?Wcf9DtV4$V>U~|k#NYQ1IOckWee~6QOTzZRTA)i;&R4nkuof%nv>0Dj{E)ogCSQdcMm0{O0gHFK+nIt5y8 z6JZ0ePTToJ;yagE&qqTk>n{k$&J2F|2~8P=G11{Lvu|nxlR;ZBG+euc?n>6LQwgp9{O0 z0sx0<3;q=NNBh&O@)>q$Vup1m?)b$MOc&yliIIph9^dzhr8ScG-@x zhjscI00PH|+eBfIL14J|;bh3KtS>Y>GE-I&sT;~>Q`b_)RKM*{49f)Embg~L=-oZq z{+PwCNL{{1esTc z%l;xDJnuZH44-Qk4PQC!oI;<{e4WNCuvu$wBUWkA8Qmv+zC;bvL6`(yYM5SABw!K_ z%+st@jKsg&%&l}e6zy${d_$?)Y3#4vu_zkG@M16WSi(U(%M$)xe6X(NLqaCZRmt?i zP|6nsW>jBk)XYaL9xB&ak^iirz8&=j>4N+RpH>$%w;{4b?l;=0n8LQ!8=E1MMB^1D zNMV4~Qhn&oBwQHda=WFhpNF`t-Zp;{6b-?jCK6>Y|J|rOZ$C*O+wx$RA)fs zc`DVQX+hg$mpU7ISIH!*gWTgaR8i_8+{bwDPfAtA|qpjOYu*JZtRLkQsZ#wBPk2e}I}ok4!Fim`v2N^@vx+<z|d!l_@!&1{%g+gI$ne55LW-EkB9&G2S^;UgZYgLPd@6 zFp_UlHNm4y54>|MRA!I9=3u7o@8}YP)_}qqJUuQ-k<(gZ{D$vR@BL^k2*pdTAs!C6;BamfDWfEH`)Wf@&vnN{@}O}ud7O$Wgn++eDVwDAvGS^tO~ z0*u8+0Yo4u91I$yEfQspkn2M%)w09r|Jg6!mF&2IGPs}m%q=Z|gZ~&FfLS0KV*CHM zU;fke|Fd7V5eay=pQizHVg70I>wINu%k`Ho0Z%H84y3a$UHSu^mhq{-R+746l*BW8 zI1oZfUBHJxF6FSdY5r@`v~3%Tz761^I@TIu#1f=2DCM#^;g^`>!z{2=+gW( z8dna7#jX#NXzA@X64STe8~~XEJkd_z7L;JQMYHBRK2)j+Scpboibj?YB*9Ad$rK&3N{- zOvuM42RySUXs}!McTQR6cil;OLW-w+BGR*oAVUDV8j6Y*N%Jy=iRFS{(4NafQrPn0wO^;558P772UD`&_DfcaG+K++o?8`&7-1Yu=R}$$H z>re5Ad3-83^z4Z^IB(2HhxAoblu+xIN{zSC^K(F;dwxYjrqy~wvWQ>|@A;X4FVn0& z+tw}8s+MPdfCzDzj#R8)SwRHfiZrl_h|TrSPKyrDuy%~St@UKz#eBmlCkALGe{?j_ zG*(tkb(+`V3-OIetd~u*9}|8z^n2q4#4`|r?PLS1wT4#pt3HxAH{?@i(TZ>ezZ&kc8ds#DdhOOZOr8%iPu zyrWnHop2VrkP{zpdliWA0)OP}A*Ae+4RRdR5_Tz|yGNU%-u6!B;_Yw)!Rt+1=^dI( zUgEjyX{?LrgwHM(O8~~O9-Ypryu`kdU^8cCH9C(y=>2LA?bTPouJ|reN!ZnV0idU? zu{a|eosy1*8`>$7tW+R+Ns3otvH_|V<-JFe5aAhiv%gH2(Kq-Cn`Y`kJ)yXHyz|hH zE{)>B(L*1W^a@@tW4X929u1H0`U~iP{)XQakV-M_$Jg;EghIOj(9Z z;;Dk%4i>TVVLb;t7dTC{Ng-)ol7ut*dsmoO4W}Y}=^H@Ekp*k+U+fwqElP3OZFIKQ zXSBASepR7ZDqFg4iZfHox~2<(Vc$kXh>Tb) zlDl+_t4{6-x9K+hdCU52(>oEyS85a3TeqsQ8HVy5>`7Dks?;t_e@(T1HIl%w-Jv;8M!eqS?-Fizol9hkI1_1z#X zELOYM(<$PSHiNOBuHpX8HN+v1bHmhxb@ThqDDUgJ;Z)w@j{X=gtszCt4B0%XKV~VD zUb!}0=3Q5Fh>#`YHxoL<^BQ|mAvV46qVLhn`~dtuU?NNu#7BiE{;|O^Q~Pn&Y03Ok z-teSqw9Pv-mg$)qLMYQ)S0PsU`kCjK|_s-OI>XG>&Zcn zoYUIri;5=F3mhQE0Xy-*yN@Ec`{J}DM-c9FeHZbg zp%phP57U`jZAp<`YxmwWv+Rgmp~8_P)u=0!OcnQ7xh`)#&O1ZP!6hIQ_j^nHeDK7Y zIB4E*!sBwq#D-v_C{eg17o7Et?e86#ulC#ow3lnC%%B70Bs{TUK|zqLfWjhEZ%dHp=8$kU**kTWnd>j!Ye#y309ZRLUD3fko07w!*a%VYNy*JkHPG1?SgIvW z1p{JDJowh+TV$~YtL#&kWcs+DCPE9W`FXc*hsQ%!#mal~hFucQMkeEB1OxlWL~@ct0^LWT;su8r(U@WL zIQs}-jM0xpnn$*pDkr^mZ~g-J^$*84<4u1JzIon~8F)9E-m{0$TBF5NRgDd7`^j)T z4dOg$wFEe=hq>J6c@)LT$otSdDC+G;rs*ymX3WIu;*(*J6XMCO*;XHb;)}BzWjS?> zAAbI6WNGn@J_uaD`RFd^x1_j-=hODuRs*Z5(H{l~9rgId-xIN@sI2Ig)a`FP#i8PLLMc z_;&u9S@NlT>ZR#_lT40``$4gedib_f%eR%9G^XUc+ATUe0Q+f_awC1Ry&6qCi@uO_ zlqaf3u;h(wIXb$K45w`uLSB@Rm4GPmAeaJuU8-zlfOd%uLa*Nnu(Z@M!g-m+*L%!0;2l;VGema4)S`PB9`)kL8q)WQ{SwVpOn*N z*$?LWIJW%jvpklV!uz3C&HJmc>=)XR_Lr;OdT84fP$107FKijGfj zeSAnBuR0d|y9#|Mf4M(Wxd=7s;J*Q;vbGeHV zoGM@P;M$y;YKj)nsC~)@-u;vQe0g=e#CW|T7NeN`GILW7h5jOj|JK=bQ!dzEEB$hI z1zmmV)*yJkcshJ(dpfxiKU*|*jBo(io%fON zT0G%3U^{Mjejad*4!h}`Wtxfc`mAlJz{pQWtR;>9C`R|EP1W4?_r!>u`&Q&og}9# zSn1i+a-Q+g$Tli`sM8QPYsQjY`jc7(fh2QdbkIbe3rl22YuGl!{H1wyEq?OiNDfVt zfbD?M*W{7cut{k_By>8e0uIJQrpUx(EeqnIPwvoo^xw@8I_e%S<{Sj{N|Svm<~sRJ z)+ao!KgOR6=>FCg86+Bgor*W$V$S-Bkh%ve zG`=P6=sa`~k6RyJ^8?ia?@Yqxh~(a@b>1_m5ZUiwi|9mtXa^~-Q%<_cgxttOhfV$N zMcDD1h}w|fVmz~|0qBhA@^t-BhMUn(cZiLRd|Gh4Qhlpi&)4qk@JjF z43UhAd9o|OL_S8-_ARN{b>j7SDf|BTE|4TS=b954@_S05)^bpdfctNjNBzzkALyLb>T2W)Ku~6IXhx%)!MCFI% zzcpU}XW(0TL0N6o4i1oZTi`HS_MiNP2>9!4XMq3E;Tx8_x&tz>kHJFJw}Z3;e8bJJs$Z@AflBq7F( z$-_4L6&&U&)g(}RE#8l#f6qQ-Molb0WknB=IS^x7Y0pDlp$uhxtd-_kdRoobr>^cLEM7dKXlr<*ZC6F%`h*(LfojmoQei3oPv>| zyX^g!3W!{&Z-N&$jU7y+W;D1%h3J=LvSqAoEq$O7-4clPfaDpPQmLqO!^A1djYR{8 zW|LWQ;M{xWMx>(l^*dM9>6|Ibym~M}PrxqU(oe5-6-rDki3S@xDzap?H7#A98UZlM zX6UV;r>xG_^yQ$Zsn>>CZZ(;5SXiKdt|V$@ zg?84cSP$7b_TfF#?5XRdO42MRah!BSlR`=F0hL7*87CIhD(5XavpGgD8H`(*08nBB zMPi=kmRM7kMcK25I9o&B$AX$~#ixkS6Y=NIUX@p|OB$|8L9B-XkOo59S;}dcNc@UU zq1d&qq|hA6RY}6~=h{b=iJ+inX#?(Gr(A3d3rleIBMH7R^c2B5z%Ck>J&9t#4B>%_ zrj`*VmJINC({Lguc#Js`=DV=Kj*-SYVhAIv=>rD?#2XQaTL~ShKZl%nT-mAIE*bcI z9%){A#shh!K|cRZ>BE>pyu#C2f*#k#!FU|Zt34p_W1Py?iXs&Z5o^DZW}VV6vFG25 zMYkupyYhtzT^#FT>v86bMl-zYrs6k9=0WTozh|Z|#0m447XtJuF0&zk4%;?<{~(UX zUj#8rowlTTaZp|n=m1$*;g}IP9`gAZw|}sNE+^#LtqCZa;_bS zZK@H6S8jAuP{O$&aLxCI_nkz`l_NrhKP@Hg;hGz9>Po3tvu3`7zp4BApOz8 zdX?l87Qn)A;)cklD1-&<1IZQa(2)8;uec8d`b;Vftwprf*n%QK+Yjm$me6qeg%+51v-cQ8FhvVA!2mLuzIM1jLh~{*zU?=yTfIy~`wcXjqmcIQVP^9KN zdwkltstidtnAf$826K_R=cDHw1}hgxmpC3m(#U+NPNKe?OHqDt-Go0Em{*;tU{P5_~=2kP|!yG40-i|NKsJmQ5cIaUBbGBkLp7UQY`7l5P zwnF5y@UaM+0Z5{YI{?ee^&FL^ItM7@#3|@m@9Zi%xA^-#twzVDFN0Jq-QkDmQ^=}| z?ecM=VMhPP?xB%(1|j5qbSJ0}e_{TSQB1+`=jxZHm>!WS(wM`p4Bg}Z*rPBY8`bLw zd%(SR)&#SEM&dg!X6pK~^7X7P=qIcdhKWD)g*hYq9TwT>emeZIcg>KkX znA|7F%8&sAK{{PbE$AUPXE*XCUP8qXTS2KoFbSO)_KAlADwHL^9LOv@bx^cGZC0)t zOyM-~h{W49wr+jErCC`oJiU!#3eom$oIx=;YP#;Zp*ij`&M4&%#s&k~3rOHH+|xpl z=eO{my4ujrR_vz2p7y8DJlnC-j5D0V*>w$Kh%G98CqHqe%b?Luh8sm2kcHYY?-f!L3x$hy@P4e2q2eKa(IbRXj`HR zWVO$T5b+EG?|y7?{tfdMAx9SKMnz;l{Xt`hcw>A|V~{SNg%AIeK!t$k8Sc*pWp709 zd)&*B@MIeR2Q&>c*E{sj=K1lsba6R0XOE95%ha;fK{Ha&p+hQs6b%UXr-q<%Go)!6 zj!>2Me38P-{)Q}8)_&!l3|prk$079Sr<=62qQ(%qL(g-~U&K){0KRb(x7-Yjei8{bMi+b|Gx@e&bE=8E7}FRU>Ze0T{CL5e0Wf}xY{Tws@^{-7Y?yYN z@`+FCzH8EDv$G?`1`!}ez+mr%j`lAB4<6qJ37v66VcJIW?X2yZmAGtai!!X(L7a)sFcd`1r zZgl7uy8JLSP@@z)O&`LM#q$W%g~ILOs63P1qSx9Zw?fEnHXL)?ilv1;knsWw7PAsL z2^U0EZ@k!S=)Ups7JO{qe)K$(KiT3`_921-wN;C{l%24MeWd3Gcf+UpLt_@kd)9jh z!ZFljZ4O47##yY1bf~)KCf{xl!_JI$3>>lb8#u5s0dNud9f#{PbZ5z?=0m!^O9op9 z8t*pD>I2TwM$7;S{R^>osqu;meeUYZbn!o15ga8a3QrSsJcnOPm}QSVb5AZb|0*HR zAn&$htUs##I%>+^FuxtfPKnYDdX~S1LhL{Dd24xJkYRrxeAGYb|AoZa|9xuXLr!_{ z>=*EcCbN@kw(F@4fNi5Ie2%}#hNlKH+;w8nI z_y57|DNueY!UP+a?LisWf%*(7N+1>`kGt=-o6qTlrxib;l z!2>Ng^sDo(EmdWJ{a-mRL7S=CKG~VUu|@DLppkv|V#!9lN=tCv_f^XneiMb$!wyOv z315d&ksxiW=okycyM-<5yp951vZRIX?$7>^%_PEcw`l0TEP7e=CdP0KJy^ijH0FJ&6;fgmn$l8bx($wh}qLh zW>f}cm>A3YsZA%1IZHe`B{9bSL~QD>U)pi+4W4JS00zJ~mBkwSVi`JeJ7-9>Y4r%@3OkXE}@4z~X-_lM=um*cG{2%iO# zxi?wS$z;ezI7=ylObvNES-dCDQjDLl?wqQ1`aQ&@xkkDZmav80~NU1AO5U(S3 zs?!`2?4xld6Ta1I)Cx2ku?%b})QUTTh42_5WnZ~oC`ERfZ!71;vBK#!zj5{7QtX8r zCnegUpbUX8NzDpu$$)5jMW7vq!w;vXS^47*lm44o?aoF;X8IBXo!;O7SwG7tR;b>b z|32&J@K*r6ttVNJoO4P+>-nE%5i9;xst;!ScfW{$AEUSxySY$dWP5LC%F(%>yNGz3 zCwLovuWN19?QIY`5PZ7bpKBXX@LeA>ouIUX9ABRgDQEu#3qCB~ylbJJ1dVv2I%hNQ zZ3k?8sB^3Ftl&&OC3fy5BD@7=RvM&>ynn1NVi$=>zXwQ*sLTzN!W6m|q^dFW#QM znjIc;#-e?y9@3FHew&^&d3S>jS$^@H1{u;(&D1(UJ|$mmH=M{&vv2RB zQrZ9s{h&A87G2m}n#~|>khYg!b;eDw2YRn~PQ3rJnYAeumfmZKb_Vl5r;sjc^<}`H-(Hm8#fE&GdYksv8{(K?98akp} zN%puMi4eB-(dWkVFWd5aWjY=^AY^8@`MU{?6DE(bZ2osjMTYYAWn25) zaLu)<>d2A5%}^AA{@Jn7WA+&glc5eQgYf6VTrl+Yv#bitW$yjmRMmCv`wfNkS_Lq3 zDFoFwMfU#XCpJ?2eqD3t3qGLsJr2vvf#W;t1)_S7%x9M+Q>P-V7EG0V82MUgphD5jZaM&Ov+B6S5o(K_h)>*x+LiT9CX>*6D=nN4}Xc1nUHXkz3ONm{}Q{+ zaAa?IG=}RS)xIk(nWr!BiY#AzQZ~jU_1u&&c=64f4AArS*O^S`R8j&_(|K)NV;Hb7Te$Q%c#Qxtq|F>qpojlc zxA2E5=3p4I>K>DJi~p5|O`8uMs7FYA2V!{Tg6dU6HM|P*ZS^42=y|AZWG4uq#9fu4xXkNol zod_jacOx%l*+Z*89>1B{HBgR)@(p)#S*>|~q>9r5$NA5Oc=caYBPlQ;V&7}a3aB)VlBz&Y-B2cwfe=KPeLy*TJ(+kMs>?@H z_p1L<`_7cWFTqq!y8wRv^zKqlWk$tS40`m_&9m~4NuXIj{U4jS!gS^K0v_{KLJ(Qv z?iw>=>(cijQmX?)dh^VpX-}h_G+97*)>{s5Ms(SP;c32zdHexZ{?GhZ{acE#^}1PF zv~8~uaX*mFq&{u7A6pPGj{NllamLNP={p2ao3h^XSA=RdJS0dJkII&}VcHLt=t`Nh z?eO%TJ4gk?`vj23k_F`v8FsIc{yD%8V4Ow7d60b@A+);w0ghmh(jjG<-ai_b5~EPg zz6u(y%MP!0jbj&*^Y~+cd)3$I%5D1dZMjgq%Z;fI@PC!JsJ$--O5T3Sj zpd*UQ%z-o9di9z2oa4bU73#k24Qt39GWUFvdnSLT%~~;1i2Mgj-4fCua}T|Gf;?ZfZo}l=x6RcLD;G`F8t#bdCG>9Qt^QriofWbHSzfl!3~ zVk>YdNt6&~1^oDdb>aj<>~gLD#LtI-R!EC-6O&3FP2Dr-6bdY zC;yRL`Xr%6c2oP%?bOAz{|ut5@2&V+8SLykdMN%COY28ymlVERpm9tm&|B%eN4Vm= zKe~452@NWO5u_x>2OPA>XR8Lr_Z8lC)(Q6rScA7~d>@0H(1kFARkS08ydBE)=XHaq{a)|6_g9D5g##q|%h~-)sD9mvi-xw<6H?=fxoaj2cNkow6^F2u z2*NDldG${}Gx!8i~I}Hn!GJOX(Q}d5nOr)Gdh6ug1T>H;Po`CBYUnliIOT73i-~Xli3sqtDTki9u?g zmGF;Q1h`yoLN;sJrQxp20TQI#n@D;`@LzxbG8)LV^n1dK@8YvH()D{% zRd?C;n>fF}3!TvFUGN^QxE5*3p|V@v1x1Xrw$y6b3Gm<%$pHFk7z zFfp+C0V>%UTK-^SCSoM|Pl1=0h(XxS*2%=y$&rYO<^K*T0{%xx5maA^h(Vm0h?VRA zjV}J5kRs?;mjAB!|3w#-QjUkeBc!a4e8>AwERp4Z#1b!b)}qOqZM}1Yx(a`qKPi+$7@9HmQpRl z*JCro*GFNph*65az~|mr_h;W2P_b0X;Kc=$LYqJ6!0}EA_yHWVV>r|Md_GIb@tI=) zeh>y`S?62wtF3L z!w}B>x*dDk>Q3c=62+Pwd+PS4iu=6z*wXt{w7U2_Id}v5ygYaoJCIyY(l;iTge0Mg zcBmeHG%}x+@&ko|6qQjMpPxV1aO=LUg6kh_z|I!r2{r6gVjYU`n#)R`0v=4O8{}3b zsBO*p9(Wa|`0|ey&67g)GH1@AxwBD>=h@}R=IbpCVgur0uQd{+*m^g&tN?B zJR54Yi;Z-ld&OVBFzR-{>u0L)A3~UI{k=t`Bk&JqF9SN9u;uRtw`fFOAV+E{rxT~z z$w>1|K$d6y;6mp_fPQFd_&l<~Mn&?mbW!rgG^O-bGx$(o_qiBJjLcqK49dtx;Ux9@T` zFMhj_G;uchs~vf2W8RrlwZv^AjV?Klc;N-KQ5w;TBeSvaUfo}9w(01WYYr%Ip!(<1 z&~W!bS$m!(5o9R=F3GA_WHl)lM~him19~XN5vZzK!qU)CR5mf^42_>eF(6#m25P`V zd@tzctJZ2Phxfr5^#u6E_UoFHmbqE1)IH(_FOol+^nG&EBiHgtn?>od!WVH#dtug< z8Xfz&4{(rT%xDi|YG8WYRi@dBZcAQff(l|bq7W!C2dV1vDw=(7q zTkyXeg5^0ZhL9`;P@F^Au&Hi_FfO|!%cCfjB4L`f6_Zl+7s?Vm8$hdy+puyaqE(Z7 zO8B6tO%L}Rt<@L)HQFmjVd*+M6=0$6(R?WarPA996m@~gy!0U52{^A}h`Hx!V%nf% z0j43tVdyl#1PXDQz=8-iN{$YbWQo*aM`+(?6XVC}Lp4v2e0Q41KSRB)FF$d8aF!~L zD*`iCo_~M2C?O~<11JXoFM;Z^7JivL!vdt1_@(y7bWR-Ls(YGv*v(3+Ou(e7ALCY2 z7{%Gzv~Qv-ZpmuJyLCyx4b#=ThlZWCU#5%hHu4n_y%w8u`8~0>51hs-+!jVMWbhr{ zYdETvWC=`$k#f+DHf-(X5-jA3R-4uiziO%ObPp=>kzO>11mXFuT1yEoto;c~H&A26 zhy);SN~c!(I|Om=J@D_++2Er3t;tg6YRDhhzUxasG+6I6 z)h3dGm@};};SrdYAi<|PH|WJHuHad<58={93|L>TllM$QyBHrS;sIt_Fg=6+hNp@e zh(?#=AC&YU>WWYE)VYE4qc6TgxCUoMx37?YTga6a|Km}`onN*Cb!3Jk{dVaX+_Q*t z3Dd59iaj_jOw*%3#j2L+z*NkWS36Kc@RRis7Xa6cSUy8-Yn*g6GRcXXThTUdowAwT-&$T%)JQKSf(pKqY*%Ga}xG26L&`ts`;~F=5mlAPd~ze zd_xlhAN~;;^5|4@y;5by@Ooi!J1Sf?gU6vFp!X;Qi5j!~iWZoK2|lLWvPMW*4-4)1 zq2`iePA(pQ*<7R<(Q9T?g;MsCjK5)+X}!A_{|>d!pnY%sL47J?Y9!^lCX6 zbp8?q32$YS$E7S&bNZ&?wR9h^Z5 zHFipE1#XJm$8Su_q_*CQgBYG>4^4najHu^Kq@M%9{&PUeSyRizka2#0fY+vJwbbb4 zB7g%howV9d%sW7D(7H&z)=UhQBVQPc>7{)T@1o5VU3b7cmn|bGHkP$Oov_`>GRF7cJw!|z+9*lX!`FOb;deIULGpD?B*At~CuP314qt&{!0E3A%hO|bta!MVXO?C8Y znCAS+QXvfcz9!g7$40cy*s1GLsVBXrRf%Y)t06toAl3I=aYfgBQDgYl1e^( zIN+FI{IYaUe@5E=EX7~xni-rL>>TUnQ1+1e80RA52MTJKW!;sXQ}*Wi{P}WZ!X6~x z@^DikT;~QGA!lLCkk8p8jwOko8~l`~PsfJ!u-?#SU!wyS(qr`wbLXb6kU=2LTqk0P zq(qsPvyb<;E#X@RXL`!wWAN9X(kFZYAHN%f{-Gb14o( zsl_gu>?nB*ne?EY{h$>u>V{IJ<(h}(mVX*{}_JEDj`QAQ=X zJ@bwKxtA0av7z+u=%d1!9cOd^ak`rO6e$}MviS8Q-O*0{1dZZ_2sRH73`3w~#UfVQx{F%M8oo`2WEvu1k6 zgMVn!$JsPHG|Dv25;&KbZuQ`unh|8__VGxocc*vbH9U=&i5`k2+t`A~x(n6@ zK$Me=Xfwp41NOiA=$K0&Zb&Kg0YhHe{p^^@gF;K~K^%kipmwT1*p`+)$y~ASefNvr z0zge7%4R^F7}X_sM)n?|0$~-e>d*HH49BrKp`mooGs2{@7WX==gyD;;?(k0m z89L;7ItOS$%ku>*tCtB<_tX;+ zU(k!odnoRo~zm6A3&vE$092`X1yIM zT{s>#j#4L%2iF|Pmb7ou1UZHM1+PD_Q@?@Nsh@A$Q7#)$*tw&O#uJR(!fT#3c!)?x+>-N6wE`qdoxHv6vf*hxGY z&$s_-aZ=(H> zaO=QrY|IHHYCi2yt?GgzPIChU7&u-6blnM~#t%gR0*h2no8#7r$(xfaUi7nEO{*zF zrxSJP!798Zc7ZDT@WI0yjF*8bzaj~({#{ID!k4QLoMNio5c@v>GiaMWvV2e19hoex5t1*!jY?>YnMMAfHeWL-UVi%(!_c3d~Nlqwp50lgpLujop zko_9eT(LkN^ey`2Z0K?{3pvB(B+{u8A<}Aeueh=R3jZb-&&_}`ydI*D|A$;REgQf% zE$akGW9qW+(u7|ff%mP9E9|nmbtU@#I45r$7K> zFc@W+;ZV_*^2aAI@sCXZ6u&xm7aN80=QwO_=$ZNhbu2%0=XBp_cM{s z7KGiRFtoQ-m^0(DQ3C?rh+vDouB zV;|TnA~tzn1l44D`~b$lRq%po7ip;WVL|3^J9qta+IJeMzF+|@zUY5^7^wxity0xQ zAL;iCW*K!R+Ei?DQS7#S0J3)%4PATHaawHepWN`=PdAu0i z9l_!k=EJ`wOe0xvk|EXUcM~0{ixgul&!6l@?gHgO{kO#vRZ^f)Xek(gtCAaTB2R|! z3s7eWp8N5eM~PE}9tz$+?cvAr8|`k=Tq*LY=aAx0FeaFs&fM7eoPhH*LLmI@c*OxL z(}Gqaht{&;wMLTvEW63dWf&vGU2Jzc+yuJKLnZmV;Wd}~j|p`(+lf~w;T}Owu3TVT zXFr_=8TlK@+%_>(#!>P;*{oLh@%48@m@3G>eMYhGX*XqCo1@2xiyFzz@J82M1PQYm za2FbZVBWi4fAk#{R;K5>=YTz!0aGQ~=DFl68@Qpp0^SB)IB=vFapHJKK|%sBdaWH! zlM8P8fODowXv~2%H?!Mjz;lVszeC|CEpAGC8?Jw5SJ3q0qHt<1(_#PF%}by$ z>mGyu0$kl59tU4KE6!l8G`d9g9wu@aCupr$Ny2=epagvH&o+DT1Ab5N>LP{V26$b% zm(ybJx+z?!?UTpW$5Xw*a-Qj|Sf{nq9Eq}P_%Lb5`uGP~vhOVqNvz}HB+BQB> zWQ6i0vJ|^DzbX}_gL0)b?8iQLj!%kpesrZ!0PW@l%*r;%JWZhtGiO;_g>M_?q<5fm zh)EGHbS)}gzM^P>?YM*6ER3oayT)n@M1wrx;|IhmcqU*00FYQ-W37>k_5uLb z_HwD~uzp?R`aH}_tVBL)6eW~_Oa+3mJ9nqK#$Q$_ln%+UBg2MU1vilWG>Bx2-hlZi zK;Gy3x8r($FrsC^$ve1RPp#$7$=lD#*H6cHx!faN)mtVM1Td_@HgWb&@5w>sWVK;J z&7@1A=>vX53u_EeO%AV zTCqW64H^EyZO7Px+q7M_?g#I6_<2DQ8PlXRQ5`-{5%;-etBc-;!0FViy&8#YJ zCLigTg4rVrHjTHteKE@$ZQJMZ)CG-A_p6r!ko_M3B9Q4i-Epcww^!RIJ}08jKQ@UJ zcMlO72j=rvtp4rdn5~vDJipe8l;lKwl2jKZtR_4^_!+j+>`C;(tl=*@{|s;V*5Z}- zNA{BVMp_-*LH)Zmp30K;%SqdH!)@`1!}|BD?T1)$NDW)<$X>I=;>u0R6(bnrJ>e`5 zpjKf){=9G(4@qf2ubKWV8Ghz8PXuGpre=tpy|aVWA5CRmSjzy{OE9t7*Hisn{am)BgI}zMYrv50RnC#rN403@fDX1z5W{BmNamx7|Jx8 z>VVuv{Shf1MPInU)G)g=&k3}mXkT#Fr|BmMBW*^hjQz{;3edp#noBEkW6>}H!5E=T ziFP?zMDY0GnDDtj&3Pvrnzj47dVN6-6EZo~m5E{pU=K|sibK+5Pt>Ok3@XFVm~Pq@ zAnL;%$&^6Xjn>B|ryP*Ols-nzI=Qu(c!w1vZ+xy!8Lm#Ce?63#xT)m-(-7O}7jd91 zY)zr(v@@}(BJcXQfC+(9@dZDii2FX%ASFx^IiO}ZFA)mh1=XhDOr)s)bI=!BL&!UD zE*FPPeVk6Y0W>_;nBoJOn{^wMoyi#(>y;u;8(hbjak6p|Q zccJn}XjT2I+-&fr zRHx1-N-h)^zZG~Cq`AG@&~sIJ!=p9Uh14BCVCq_0XGW?1!0~y~;(Iwg?AQ4WX*`}; zz)rD}EkrQokMCLSRz%_F^=qI_T!&mNQZ#h(!oLDXOZN)%Ci0M|EwExVda||diEsg#6NfJ+99nBepu;bw z+{TKiGBq_NnT_&Jt*?7?KTiV>-|7>w)!N9wCAeHQGseX_wk>@uf4$7bveZ#(gc z-=!vL_|Skm_I~jEt`V8!y5ZDbqG?)_;u3de%*-x|I_|umq6op;j=bb5=AOuDE6Cs> z_A)~Sd_55qiTidFp&*0zQ%Ssn?sE~)2iXut+>6<7!T-3 zK@%5)jv_4vbSZA1EnK{^_b0A!+dwbq>0V^Z=kDW4#zD>zZlt>XzM8K3BF}qcWAD(% ze~M+-a!{*B2IwT?JKR?&tEu}Eur58MPIbQ}*xat(yF78_Uc6V@$FknLz~LVgJAnyX zSVr#(FW!U`nPXR4N8@jlPaC*5u5s(GhPoSW*GR%OW=~nrUr>PKUIAm=zB}RHJ_6Pj zc3veTWsYt2q|S`b;3%kRjoc68&o3Z*G#Tw)jkF1a?%>xyV&TXQzws_i7h{?XqsPvl zUa2UM9nARp5wAswmeEYC4W>!U4m$slw}vIyHh@X0l;@{m?yOgs=_87K>F@)&cPE5- zGUVXMcpINoW(qZ^GX4#2f~y)5U}@jw&x@*ME{zNCdBAvJ>GbCxRG< z8)AIqFjvYQW{0i2fH1sn6(N~lqCIq7ozWl1egk=V)*m1*uWDo>%TEs2LM*nZHZqw@ z-@1ZDvWms82rrB9w&;>`TDVF(de8XXK&A(QEY+iueeC-t<8aRH*WAGuH)g~erEzW{ z=u`>K%K6KDhaZAzo3xxL5EJ+GCtAqNutJE!b+5cByWRPMKYBNww&nKoH;-PZ+*P!I zJ#*Kp#&Bb|2}0HG*z` zPz?2Fph9Bo#=Nu1vITmL0PDDS71c*!vlyaEf>p|KgTN4Ow%1Ipmt}VjJb}_7LfVcA zkc;O>r8|X-YfhK^Uml)*#SsE&>nm;AIXzAPvvO@hctZATFAy*}Fz&dyi9HEglr>k- zRi+j1=f}T+x8}(U<)CDmu^+aweJ#9_X!&1XH&3*9U=*q+Z)Yq?%nhqEelwFU5NX!Ns1% zU5>o)Ov{JIz|K8`z?%SkB)f^gp}zX?68nGF)Fp}B>uINJ{(MSELcq9RX_9O1ct@_o zt1ss65~GxKAb-0@{|b^H2SY-*r5>vRV6DhkC?nV9_DFmEG;**Qh8SWyyQ<7O*d%HV zhD}&236aU^VVDrBRLy+km@lYM*Bs+{>V9=}TzaGdJn`7QSG?e}Y<~6vl7#fg3=bwG z4PE0d3<(O|*7aya_CD$@;G#5Knoxoi*#r}wX!3jK=}_p}=9*A?C#MC@;Pzb-tEZmv zODq$VR1J6`TP#6JmP-*`ZC5$T?^sogqn5xOe-Q*1{aqqY%pKvr)DHkvY8@WZ;b@9^ z{P#oi*@{of^^nJ?=U;rKU%ed{Lp%nA)n5zNu&xi?h)`Nza9mr9Uz(AguUk|^(Qm2S zlqJC0H!)?EuTLy!p$WJDH;Tym{~JYQ`@f@z{|Dxa@IPR_|G9Ul^qq@m;?QZ`%xC4|CmLeJNiv@;VdES3d7g zR}PridO#$-L4mKw5C+1p+Z2J1`xxN0-se3~s#Fq;E|H=4h*<(CLioByDO=#}bzA*9 z<;~+C@lsc`e$Ny_4+~ikUJc{{6LvSLD7gBwHQor^@pm%qZ zzXongh~GI|JVgNXxc0sIzLyZ-`g)IIInW%#4`mx=1WLSXCi5VjxD?=uTgEV?kLVNG z3*4S-7X0ae8#ob!=U;uXM(EJ>A*gNDCGq(QZLy7oD>ZaiNxUN>tmH&hsQdaPi9$eR zwt4t1{y7<)lHYYinl6nkEyTLWw8}R$gSwY1dKqkgASseDq>VOj_DtyVv+Qy^)8m02}!$@G79J zMu+M~Sm;kKB@*C17InkfNM^I?h$z^r6BojDtmk9<`oq?F65(UVXMWxxgxZNk+VNt< zvP$c-`GA)Udnk$nK7)2k&6jA%@CU3H0f)ge&OIk_2$5b_Oh~Mre_jl6H`K?tlvc)3 zM>yV;;-k5%=5(209@^>|Ve^y#Fq)9$q>6fF%r(u}a2BW_EFtH5k7MJB6O26P6Y?9& zvTC!4QaCix=;AEtbDUM@ci+P*nn~daG_r1J-k6dNw_ma*vmhC`flWKpTtBL|f?M4Q zJ>VZ)xpCG36I90KcVg@)PPYPIY6Jmv=jv;!q{28(7V{OcYW#xu8vG?>AUI;*JKRQ( zLa^nEs@M(~h<9`U8a1n`+L=~MRYSN|R~0g3IlU?pjp~#N(LF!F0?MquU;Fl)L~duA znV_FJNiNgpd=jf^^U4k)meFj05_F1!rD7xPwlBd!{!D#ReiPjAsc9yAp}m6L2bz$_ z{vN|5ybFgw1USi)Z8fq0ucmU7K}hTUoGbZRSA>1&ic2&4*G)C{6mSr#@lTsoZuNL( z8W<%zPHiBUv!^M2RS{mwJ_n223qnLicD?FjW*Tw#y6n6|@gP5-;0h83_zer`9&TB@ zJCE?Mz;$}yac!Lo1I^nMSiq&q%|v5ek=j%we6q%JDTY@x=P(oyiF4hh&>3z+F1R)EDd6IZ*!RPCciBFdLmyc98BsG(4VfOEBoiMfTuPt#JOJKgbA^H9C-dsFnm6+jHQwPCfB+;#BTXfUE zoQ^^AoyA{Z?CY}>EYVyVe`v+UtMWBo@%<DBD&)rEt<>5-X(@$N}E>;l(s{t5cVMjTU=<~6>Y8$fLcv{@a` zV`NMb7;h(J3o4DAl@>8jX+Xp4DoL8vff99+x|{wg=g}tZL5dx?w$f;pJFjHeK=8H{ zrQ*@Q=2J{KV_WtrAqLM5t8Qy_%PDpBEXJ#sE_13JKJXQMl8@dXSE*KOx=pK1b22l6 zLI*y-ga&=&mi0*KXjvixI={=pP8M&BVlh{o( zrY;Vi_y6+v(O~sCeuDr0r(WbktdhN$c>M1H-}teLhdTBy%ic~;+NjkQ!|F9WcW84% z;-8{9mbuY4d6+KT^s+?{Yvv75E-8zLD+plcYle9DKscU&R-8LHj*X=E4)S=0XiAEHN-DL@(j?Xj{%CCfZB-JK3I$LzdAF zM-I4{0uftO8;eupZ_<&N{$9Y~TLH}%nAjFxy>v90kwAb;%>@=SJxyjaC_G=iUZqhF zf_(Bc*CAouHG%s0#B)0z4fY5)5PXirZd8+n<(M4uYo}EMrLCET>R?jniX6X~3Z$rjizu#UJx*A~{>R5nBy;&u%Qj0cdx~h$x}M zjZ4Vr7Kb$V>)72yY$DS2MKRkwH#@6;IvIk8#jW?TipIuL+CK}RmS!?#>cVxCXObD~ zSPMyR1VwkvEH_;Hm}KK&+7mbaJY#!}mvs#GN3@ z@&n9llm>vvKP89Yms1%w8+pG3A(ph`AU)pEwjm z&=Os^L#pe+_^Lq!rK=(@+O*w3j#Nxi;Kk1?%nKkr(&?JaVS#rcOJ$2|iHV=!YdLmo zr}O@9IxS3XBCu&{@{x_KAS0rGGf6*FNG)bYKfFEgI~C8J2j-pb`%#JF<1QJyz@Pp{40s)XruhlhN{-c^3 zYLoL4EltGocneY1^dv#DjUBwA5R9&rJ4C*SmXg7+x(tPrlZfeNX&Br9F2e+fj#7Dw z$+U7S`k!yCqwnfA;Lw-u5(-%5v6VMHt*^NEXdJ74O<~)mjp^(QY_gU-($J~w{R<}U zZ&@YYIIxa_7lgMa-V;^1r`@<0=_D%y&5p8D=TNh~LRQ0$Q8p(=$k4I5i&DOp#E#~Z z0FchrY}FCsGRUJCjeV19AoaL1jF1*S^%Wd5ng^apX+&l#gx-|FfTP4hpe^(qy!f+> zKkBxX9Cza)?Z<*3SdzAhnRWQXD0}INkhtC={R_q&Ji(y#xUz+0#qA^jz>t}dU3BKn ziaDbtKWj&3F=&keLSnH89g=Bopd*84pTeL`4a)6WMv5JvGrMsW9$I4MKk*srqais> z03n0$4C->=Ix3qN)jq_e2ESmi$gm_D{P}i&m)h5Kg)95q0Wu z+tWcYp4N#oa^w;R6%9MWn+$PM+s;V8r)5;(u zjho|vwU0?!7WPkjWO)?@#_y&u{x7tGh{ZB%=3-Dj|EsX;ifbx-vh*6Nw1CnPAp}Sw zMUX1JgES=|HBthIv`|A)M0ycHno^bC2_;elA`(DCQHlf<=}kb8BL4U8zU^-x_U%60 zm-~G)bIzGNzd63S2P3rb4MFLqE_R6qY%MKfrEYVm{n-{iDZKuHH$X&aZ;VOor#=^F zUuobbw!EP6@%cM!SKz*B5z@D;>iVc`3EZvM9KJXzLGZ6Ty~K4*HqT&^MDWvC{iiW# z83Gk}ZJ{E*$?O!eM;jM>bIs4XOeCJi_xGn&u9UB8iLnxiSqMs- zUZA;yxXYn)1H@Nhuf={=h zV`t$0KX;(@h7btxd{n+;*zr5I1aD)-Le_g)g(z~vs?Sjy;80=npSii3w7m zOPL6UZgP>e-9Z@@16!2wFOersN@uP$%FN(>lTO@M0ajTafBe5VkW;i+`}Lt#_$i96 zaI&>RIQhhsfe-=M`>l%Kd1XSlc{TCigTMdFrIE|iJHgW7-S`=`cU7{%gQLLpiD$~M zPwS^_)1eOrR*cWFNtMxkZ&t0Xjla^iH`qTw5ghgz{$zcyq&Jl3Nd*oxrfNgjknqDX z@gT!noUzfXx2o?dQ{?%p=!WmO`)F=~E$cs_u%?gRpXTkJ%^Pps)^t$)OUuRNOCd3t z>RWW=@=qawd!|a9{%A$Y4m?_tLI3EZQi^-yY|C#&(LrkRdX9l`HT!(MLPbyBv zKu%^u>0K#N9-oT9tx@0Ur$fH+%c-l{U=EY$Li^reRe$h|i7nbjGs4NPvA3_((eIaM z#%`t#S%+*+R}+(PVVBNyOH;n$lsLyu;lXTync(b=lZl;=P_K50z<$vE=ySn=TaR^B z3)ma2E{^1vxK%gFFF5*0rO*UQ1g2^P1HRYnJ__35KaR97J$@2ak}0FZrdG)u2IRRz z%Mn(pqJp)U&zvcCsiFKIxqy2yLA}>h9UJiZ^&dZx>tbcZI5eQugch|;jM^NTwFv?Z z`+pu91>cK)HMGa4s@0XV4)y2B2CBoDSKvb-kV zVcjc_y!yoD@q;`Y9ci3ie$&%Ku4oyfu(WVGo)SSO1z zas+!>2|bJ)LF`bovA*#4^(r@IaEhMv53{#xZ+k`Q-}T-0Q1s}=k)D`UWradNpud{M zto7LBjS=pye-pFn9o!~w1g@J3+9hf-E1d0EndU^q@BLnOSRKYJQ#eyN~RN~o;;)Y*7X*2 zB{y4%Uk#{+bsMmnQ_q{>qI81sweDUmzt41F5!=RrO%EMrIZ?mV0+@TN+K~o8&|A7h z`}CwS+B{CJa*~hVOS+nnCE!b1&N!f+$;_;Qyz_2tp1%sXIwln#W3zD*RWT{XaZ;h- zjPrTXPnGq*ngaV|vT2;ES5DiJRCwy%fWP(m$YU%i_V2`oI&PZ>OMe{0UM;D78hc zwsE07G>SDbQfW-u_byzkG#(BEO3c-i z9GL%{d2yk-1ITQM<5#z)86Hgrw9B(fw;vmglClEWA&#sUrXDJPg)I36}U6?)P2N=>|)bY@O*mH63)8D{G46mIBgAsVKCY{e+H|3CFM7jzw;R?bq5&joHg?20S1*Ul_B!-yL*tqCKDp5#hVba67h82)!f>30{N!tWzJj zaC;UQU9b2@A4q%q?(L?9zsbV!W<^IMzx42yp#B+lG`;@l8wr6sg2f-(>*(RKosqMf zhDcUIka}Lfv9v;NcayxRJ05#{?tX%|%-dY6K>Bd#|YGpqv@}HYrGbww;8H`W*ggxGwWYfzRUF zA|*#_hlI{oW8`GPYY2*k*eQQasQh1#Ff;_>-<4bMSo*$qv;knE?t zYR(f>z4s6i_9`~xppKH#%#F3etzinjUOwR6$&$Gs-Ov@5+N+Ga_|;J ze^eY0p%M6+$@L$Cx8Hulm#l5a6lR$FugmHDqYCSVt{A=v$PeppzdwoZJfZtX8s|Ii z9KyU$1GkR4$&BYsva>s}nE2Gn>Qrq>=-m@lq61;1v4s|YmdI6%?aX7l>&cGPdxY{FsVkLo5uMdmGxRiKeI)8ZN*7z z^NUds+T3L-{k4(DBiY)g%Glko)*!E>DBq0Fpq}*n&`$z2HQEG;D)*4^{qeV1xq3&V zZ?F;)(bHk*b_UO3jHpysF~p5_cK%9sc5@I#J_p{tY|BOp?2qPHsrGyU@!LK5jk3|r z@g*te^%J|R|K(Ma;^|<;T-+(nb9m8@KfV<2cyxq}jHq6d_nbpDKvJ7-vTHl%P)a+V zu4U(xO`imO!Do$y`tr zK*h`?Ze9Lq``=HO$cmBCU{wCfp-Vx*Y7O}nuZ6ZRQ30nvB;GoItDtBW1Xq&l_ypHVY?fN=1jRqaA?J zuU$~lXg}sHB|96cNA=DTwq`(_wBu4}S|Si7EF91CfJsa(23Nrsld>&s!j8*Zx+{8| z-?a-U1x)|ia*&>Yn;plB;Vq0?vG`g}{zP`{{5#O%xF3#UZfNCBI$8=Mu3VZ}|c z0F5=RKXXrh-w*1M+k)mil%Gozj&FN)?9Ce< z-4dP3`o&L#E=2AdkNgFGFW@tMd*~M4E4xqpp+9|j5asm2VY`L3>1PeJixaU?JTaAF ztXH6+J+aAM!ZX~Pwh`&Gh}!A{-BHa~!*(&k>$@mpjl@(waR@3$tSlIq=K5M{<@JZ^ z2z73HBexQt2WYWmraDFjiVm&W#DZH3lj& zuBR_^n{FaAz4Mnj!D?tZ6#^rb3If;3DXbuV42{tg(Qe1B=x^F=l0!OUz^xtF8oPT# zp2?TbQhe>LQufO2>-KaGrE5rAFHCT?ns+!x-rFpQCW+|m`(l;`$7?6Lit;AqK#@MP2vgAf{R$ zR4BKO+oV$6uYIbW4kg3h$8eNDh`U!P8 zo!!n5cpL-1)%bATpS4W(i4&aTBa)Bjs|mcd;?L-W2}DZjXW~;s)81Hu3~)yq+9w?