diff --git a/3bitMux.t.v b/3bitMux.t.v new file mode 100644 index 0000000..ac7e261 --- /dev/null +++ b/3bitMux.t.v @@ -0,0 +1,31 @@ +`define NANDgate nand #10 +`define ANDgate and #20 +`define NORgate nor #10 +`define ORgate or #20 +`define NOTgate not #10 +`define XORgate xor #30 + +`timescale 1 ns / 1 ps +`include "3bitMux.v" + +module test3BitMux (); + reg[2:0] addr; + reg[7:0] inputs; + wire out; + + threeBitMux mux (out, addr, inputs); + + initial begin + $display("addr inputs | Output"); + addr=3'b000;inputs=8'b00010000; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3'b000;inputs=8'b10000001; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3'b000;inputs=8'b00000000; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3'b011;inputs=8'b01000001; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3'b010;inputs=8'b00001101; #1000 + $display("%b %b | %b", addr, inputs, out); + end +endmodule diff --git a/3bitMux.v b/3bitMux.v new file mode 100644 index 0000000..d06fdbf --- /dev/null +++ b/3bitMux.v @@ -0,0 +1,23 @@ +`include "multiplexer.v" + +module threeBitMux +( + output out, + input[2:0] addr, + input[7:0] inputs +); + + wire result1; + wire nS2; + wire result2; + wire andResult1; + wire andResult2; + + structuralMultiplexer mux1(result1, addr[0], addr[1], inputs[0], inputs[1], inputs[2], inputs[3]); + structuralMultiplexer mux2(result2, addr[0], addr[1], inputs[4], inputs[5], inputs[6], inputs[7]); + + `NOTgate invS2(nS2, addr[2]); + `ANDgate and1(andResult1, result1, nS2); + `ANDgate and2(andResult2, result2, addr[2]); + `ORgate or1(out, andResult1, andResult2); +endmodule \ No newline at end of file diff --git a/Lab 1 Report.pdf b/Lab 1 Report.pdf new file mode 100644 index 0000000..11f23e5 Binary files /dev/null and b/Lab 1 Report.pdf differ diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..c5efb4c --- /dev/null +++ b/adder.v @@ -0,0 +1,30 @@ +module fullAdder1bit +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire axorb; + wire nCarryIn; + wire notaxorb; + wire sumWire0; + wire sumWire1; + + `XORgate abxorgate(axorb, a, b); + `ANDgate andgate0(sumWire0, axorb, nCarryIn); + `NOTgate invCarryIn(nCarryIn, carryin); + `NOTgate invaxorb(notaxorb, axorb); + `ANDgate andgate1(sumWire1, carryin, notaxorb); + `ORgate orgate0(sum, sumWire0, sumWire1); + + wire aandb; + wire aorb; + wire carryOutWire; + + `ANDgate abandgate(aandb, a, b); + `ORgate orgate1(aorb, a, b); + `ANDgate andgate2(carryOutWire, carryin, aorb); + `ORgate orgate2(carryout, aandb, carryOutWire); +endmodule \ No newline at end of file diff --git a/alu.t.v b/alu.t.v new file mode 100644 index 0000000..dc69997 --- /dev/null +++ b/alu.t.v @@ -0,0 +1,107 @@ +`timescale 1 ns / 1 ps + +`include "alu.v" + +module testALU(); + reg [31:0] a; + reg [31:0] b; + reg [2:0] c; + wire [31:0] result; + wire carryout; + wire zero; + wire overflow; + + ALU alu (result, carryout, zero, overflow, a, b, c); + + initial begin + $display("testing ADD"); + + $display("operandA operandB command | result carryout zero overflow| expected outputs"); + + a=32'h00000002;b=32'h00000001;c=``ADD; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000011 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=``ADD; #2000 + $display("%h %h %h | %b %b %b %b | 11111111111111111111111111111110 1 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'h00000000;b=32'h00000000;c=`ADD; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); + + a=32'h7FFFFFFF;b=32'h00000001;c=`ADD; #2000 + $display("%h %h %h | %b %b %b %b | 10000000000000000000000000000000 0 0 1", a, b, c, result, carryout, zero, overflow); + + $display("testing SUB"); + + a=32'h00000003;b=32'h00000001;c=`SUB; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 1 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'h80000000;b=32'h00000001;c=`SUB; #2000 + $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); + + a=32'h00000000;b=32'h00000000;c=`SUB; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); + + $display("testing XOR"); + + a=32'hAA550055;b=32'hAAFF55AA;c=`XOR; #2000 + $display("%h %h %h | %b %b %b %b | 00000000101010100101010111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFF0000;b=32'h00FF00FF;c=`XOR; #2000 + $display("%h %h %h | %b %b %b %b | 11111111000000000000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("testing SLT"); + + a=32'h555555AA;b=32'h55AA55AA;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'h555555AA;b=32'h555555AA;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'h00FF00FF;b=32'hFF00FF00;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFFFF00;b=32'h0000FFFF;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("testing AND"); + + a=32'hFFFF0000;b=32'h00FF00FF;c=`AND; #2000 + $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFF00AA55;b=32'hAAAA55AA;c=`AND; #2000 + $display("%h %h %h | %b %b %b %b | 10101010000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("testing NAND"); + + a=32'hFFFF0000;b=32'h00FF00FF;c=`NAND; #2000 + $display("%h %h %h | %b %b %b %b | 11111111000000001111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFF00AA55;b=32'hAAAA55AA;c=`NAND; #2000 + $display("%h %h %h | %b %b %b %b | 01010101111111111111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("testing NOR"); + + a=32'h55550055;b=32'hAAFF55AA;c=`NOR; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000000101010100000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFF0000;b=32'h00FF00FF;c=`NOR; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000001111111100000000 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("testing OR"); + + a=32'h55FFAA00;b=32'hAAAA55AA;c=`OR; #2000 + $display("%h %h %h | %b %b %b %b | 11111111111111111111111110101010 0 0 0", a, b, c, result, carryout, zero, overflow); + + a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #2000 + $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + end +endmodule \ No newline at end of file diff --git a/alu.v b/alu.v new file mode 100644 index 0000000..8e93f8f --- /dev/null +++ b/alu.v @@ -0,0 +1,163 @@ +`define NANDgate nand #10 +`define ANDgate and #20 +`define NORgate nor #10 +`define ORgate or #20 +`define NOTgate not #10 +`define XORgate xor #30 + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +`include "adder.v" +`include "3bitMux.v" + + +module ALUcontrolLUT // control Lookup Table within ALU unit +( + output reg[2:0] muxindex, + output reg invertB, + + input[2:0] ALUcommand +); + + always @(ALUcommand) begin + case (ALUcommand) + `ADD: begin muxindex = 0; invertB=0; end + `SUB: begin muxindex = 0; invertB=1; end + `XOR: begin muxindex = 2; invertB=0; end + `SLT: begin muxindex = 3; invertB=1; end + `AND: begin muxindex = 4; invertB=0; end + `NAND: begin muxindex = 5; invertB=0; end + `NOR: begin muxindex = 6; invertB=0; end + `OR: begin muxindex = 7; invertB=0; end + endcase + end +endmodule + +module ALUunit // The bitslice ALU unit +( + output bitR, // each bit of result + output carryout, // carryout flag for ADD, SUB, SLT + + input bitA, // each bit of operandA + input bitB, // each bit of operandB + input carryin, // carryin input for ADD, SUB, SLT + input less, // a result bit in SLT command. + input[2:0] muxindex, + input invertBflag +); + + wire inputB; // B input for adder + wire[7:0] muxinput; + + `XORgate inputB_xorgate(inputB, bitB, invertBflag); + + fullAdder1bit adder(muxinput[`ADD], carryout, bitA, inputB, carryin); // 1 bit adder for ADD, SUB, SLT + + assign muxinput[`SLT] = less; + `XORgate nand_xor_gate(muxinput[`XOR], bitA, bitB); + `NOTgate not_and_gate(muxinput[`AND], muxinput[`NAND]); + `NANDgate nandgate(muxinput[`NAND], bitA, bitB); + `NORgate norgate(muxinput[`NOR], bitA, bitB); + `NOTgate not_or_gate(muxinput[`OR], muxinput[`NOR]); + + threeBitMux mux(bitR, muxindex, muxinput); + + +endmodule + +module lastALUunit // last ALU unit, which has an ALU unit with outputs of SLT value and overflow flag. +( + output bitR, + output carryout, + output overflow, + output slt, // signal for less signal of the first ALU unit + + input bitA, + input bitB, + input carryin, + input less, + input[2:0] muxindex, + input invertBflag +); + + ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, less, muxindex, invertBflag); + `XORgate overflowxorgate(overflow, carryin, carryout); + + wire slt_result; //sum of A, ~B and carryin, used when the command is SLT because bitR is always 0 for SLT. + wire slt_carryout;//not used variable + wire notB;//inverted B, used when the command is SLT + + `NOTgate invert_b_notgate(notB, bitB); + fullAdder1bit slt_adder(slt_result, add_carryout, bitA, notB, carryin); + `XORgate slt_xorgate(slt, slt_result, overflow); + +endmodule + + +module ALU // total ALU which has 32 basic ALU units and control unit. +( + output[31:0] result, + output carryout, + output zero, + output overflow, + + input[31:0] operandA, + input[31:0] operandB, + input[2:0] command +); + + wire[30:0] internal_carryout; //carryout of each ALU unit except the last one + wire[2:0] muxindex; //input address of MUX for every ALU unit + wire invertBflag;//invertB flag input for every ALU unit + wire set_SLT; //less input for the first ALU unit + + ALUcontrolLUT controlLUT(muxindex, invertBflag, command); + + ALUunit firstunit( + result[0], internal_carryout[0], // output: result, carryout + operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag + ); + + //// construct 32 ALU units + genvar i; + generate // 2nd to 31st adder instantiation + for(i=1; i<31; i=i+1) begin: generate_alu_unit + ALUunit unit( + result[i], + internal_carryout[i],//carryout + operandA[i], + operandB[i], + internal_carryout[i-1],//carryin + 0, //result for SLT command + muxindex, + invertBflag + ); + end + endgenerate + lastALUunit lastunit( + result[31], carryout, overflow, set_SLT, // output: result, carryout, overflow, slt + operandA[31], operandB[31], internal_carryout[30], 0, muxindex, invertBflag + ); + + /// make logic for zero flag + wire[30:0] wire_for_zero; + wire[31:0] inverted_result; + `NORgate norgate0(wire_for_zero[0], result[0], result[1]); + generate + for(i=2; i<32; i=i+1) begin: generate_inverted_result + `NOTgate notgate(inverted_result[i], result[i]); + end + for(i=1; i<31; i=i+1) begin: generate_zero_flag + `ANDgate norgate(wire_for_zero[i], inverted_result[i+1], wire_for_zero[i-1]); + end + endgenerate + assign zero = wire_for_zero[30]; + +endmodule \ No newline at end of file diff --git a/alu.vcd b/alu.vcd new file mode 100644 index 0000000..729fbaa --- /dev/null +++ b/alu.vcd @@ -0,0 +1,36185 @@ +$date + Thu Oct 12 22:14:21 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testALU $end +$var wire 1 ! carryout $end +$var wire 1 " overflow $end +$var wire 32 # result [31:0] $end +$var wire 1 $ zero $end +$var reg 32 % a [31:0] $end +$var reg 32 & b [31:0] $end +$var reg 3 ' c [2:0] $end +$scope module alu $end +$var wire 1 ! carryout $end +$var wire 3 ( command [2:0] $end +$var wire 31 ) internal_carryout [30:0] $end +$var wire 1 * invertBflag $end +$var wire 32 + inverted_result [31:0] $end +$var wire 3 , muxindex [2:0] $end +$var wire 32 - operandA [31:0] $end +$var wire 32 . operandB [31:0] $end +$var wire 1 " overflow $end +$var wire 32 / result [31:0] $end +$var wire 1 0 set_SLT $end +$var wire 31 1 wire_for_zero [30:0] $end +$var wire 1 $ zero $end +$scope module controlLUT $end +$var wire 3 2 ALUcommand [2:0] $end +$var reg 1 3 invertB $end +$var reg 3 4 muxindex [2:0] $end +$upscope $end +$scope module firstunit $end +$var wire 1 5 bitA $end +$var wire 1 6 bitB $end +$var wire 1 7 bitR $end +$var wire 1 * carryin $end +$var wire 1 8 carryout $end +$var wire 1 9 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 0 less $end +$var wire 3 : muxindex [2:0] $end +$var wire 8 ; muxinput [7:0] $end +$scope module adder $end +$var wire 1 5 a $end +$var wire 1 < aandb $end +$var wire 1 = aorb $end +$var wire 1 > axorb $end +$var wire 1 9 b $end +$var wire 1 ? carryOutWire $end +$var wire 1 * carryin $end +$var wire 1 8 carryout $end +$var wire 1 @ nCarryIn $end +$var wire 1 A notaxorb $end +$var wire 1 B sum $end +$var wire 1 C sumWire0 $end +$var wire 1 D sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 E addr [2:0] $end +$var wire 1 F andResult1 $end +$var wire 1 G andResult2 $end +$var wire 8 H inputs [7:0] $end +$var wire 1 I nS2 $end +$var wire 1 7 out $end +$var wire 1 J result1 $end +$var wire 1 K result2 $end +$scope module mux1 $end +$var wire 1 L address0 $end +$var wire 1 M address1 $end +$var wire 1 N in0 $end +$var wire 1 O in1 $end +$var wire 1 P in2 $end +$var wire 1 Q in3 $end +$var wire 1 R input0Wire0 $end +$var wire 1 S input0Wire1 $end +$var wire 1 T input1Wire0 $end +$var wire 1 U input1Wire1 $end +$var wire 1 V input2Wire0 $end +$var wire 1 W input2Wire1 $end +$var wire 1 X input3Wire0 $end +$var wire 1 Y input3Wire1 $end +$var wire 1 Z nA0 $end +$var wire 1 [ nA1 $end +$var wire 1 \ orWire0 $end +$var wire 1 ] orWire1 $end +$var wire 1 J out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ^ address0 $end +$var wire 1 _ address1 $end +$var wire 1 ` in0 $end +$var wire 1 a in1 $end +$var wire 1 b in2 $end +$var wire 1 c in3 $end +$var wire 1 d input0Wire0 $end +$var wire 1 e input0Wire1 $end +$var wire 1 f input1Wire0 $end +$var wire 1 g input1Wire1 $end +$var wire 1 h input2Wire0 $end +$var wire 1 i input2Wire1 $end +$var wire 1 j input3Wire0 $end +$var wire 1 k input3Wire1 $end +$var wire 1 l nA0 $end +$var wire 1 m nA1 $end +$var wire 1 n orWire0 $end +$var wire 1 o orWire1 $end +$var wire 1 K out $end +$upscope $end +$upscope $end +$upscope $end +$scope module lastunit $end +$var wire 1 p add_carryout $end +$var wire 1 q bitA $end +$var wire 1 r bitB $end +$var wire 1 s bitR $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 * invertBflag $end +$var wire 1 u less $end +$var wire 3 v muxindex [2:0] $end +$var wire 1 w notB $end +$var wire 1 " overflow $end +$var wire 1 0 slt $end +$var wire 1 x slt_result $end +$scope module basic_unit $end +$var wire 1 q bitA $end +$var wire 1 r bitB $end +$var wire 1 s bitR $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 y inputB $end +$var wire 1 * invertBflag $end +$var wire 1 u less $end +$var wire 3 z muxindex [2:0] $end +$var wire 8 { muxinput [7:0] $end +$scope module adder $end +$var wire 1 q a $end +$var wire 1 | aandb $end +$var wire 1 } aorb $end +$var wire 1 ~ axorb $end +$var wire 1 y b $end +$var wire 1 !" carryOutWire $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 "" nCarryIn $end +$var wire 1 #" notaxorb $end +$var wire 1 $" sum $end +$var wire 1 %" sumWire0 $end +$var wire 1 &" sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 '" addr [2:0] $end +$var wire 1 (" andResult1 $end +$var wire 1 )" andResult2 $end +$var wire 8 *" inputs [7:0] $end +$var wire 1 +" nS2 $end +$var wire 1 s out $end +$var wire 1 ," result1 $end +$var wire 1 -" result2 $end +$scope module mux1 $end +$var wire 1 ." address0 $end +$var wire 1 /" address1 $end +$var wire 1 0" in0 $end +$var wire 1 1" in1 $end +$var wire 1 2" in2 $end +$var wire 1 3" in3 $end +$var wire 1 4" input0Wire0 $end +$var wire 1 5" input0Wire1 $end +$var wire 1 6" input1Wire0 $end +$var wire 1 7" input1Wire1 $end +$var wire 1 8" input2Wire0 $end +$var wire 1 9" input2Wire1 $end +$var wire 1 :" input3Wire0 $end +$var wire 1 ;" input3Wire1 $end +$var wire 1 <" nA0 $end +$var wire 1 =" nA1 $end +$var wire 1 >" orWire0 $end +$var wire 1 ?" orWire1 $end +$var wire 1 ," out $end +$upscope $end +$scope module mux2 $end +$var wire 1 @" address0 $end +$var wire 1 A" address1 $end +$var wire 1 B" in0 $end +$var wire 1 C" in1 $end +$var wire 1 D" in2 $end +$var wire 1 E" in3 $end +$var wire 1 F" input0Wire0 $end +$var wire 1 G" input0Wire1 $end +$var wire 1 H" input1Wire0 $end +$var wire 1 I" input1Wire1 $end +$var wire 1 J" input2Wire0 $end +$var wire 1 K" input2Wire1 $end +$var wire 1 L" input3Wire0 $end +$var wire 1 M" input3Wire1 $end +$var wire 1 N" nA0 $end +$var wire 1 O" nA1 $end +$var wire 1 P" orWire0 $end +$var wire 1 Q" orWire1 $end +$var wire 1 -" out $end +$upscope $end +$upscope $end +$upscope $end +$scope module slt_adder $end +$var wire 1 q a $end +$var wire 1 R" aandb $end +$var wire 1 S" aorb $end +$var wire 1 T" axorb $end +$var wire 1 w b $end +$var wire 1 U" carryOutWire $end +$var wire 1 t carryin $end +$var wire 1 p carryout $end +$var wire 1 V" nCarryIn $end +$var wire 1 W" notaxorb $end +$var wire 1 x sum $end +$var wire 1 X" sumWire0 $end +$var wire 1 Y" sumWire1 $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[1] $end +$scope module unit $end +$var wire 1 Z" bitA $end +$var wire 1 [" bitB $end +$var wire 1 \" bitR $end +$var wire 1 ]" carryin $end +$var wire 1 ^" carryout $end +$var wire 1 _" inputB $end +$var wire 1 * invertBflag $end +$var wire 1 `" less $end +$var wire 3 a" muxindex [2:0] $end +$var wire 8 b" muxinput [7:0] $end +$scope module adder $end +$var wire 1 Z" a $end +$var wire 1 c" aandb $end +$var wire 1 d" aorb $end +$var wire 1 e" axorb $end +$var wire 1 _" b $end +$var wire 1 f" carryOutWire $end +$var wire 1 ]" carryin $end +$var wire 1 ^" carryout $end +$var wire 1 g" nCarryIn $end +$var wire 1 h" notaxorb $end +$var wire 1 i" sum $end +$var wire 1 j" sumWire0 $end +$var wire 1 k" sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 l" addr [2:0] $end +$var wire 1 m" andResult1 $end +$var wire 1 n" andResult2 $end +$var wire 8 o" inputs [7:0] $end +$var wire 1 p" nS2 $end +$var wire 1 \" out $end +$var wire 1 q" result1 $end +$var wire 1 r" result2 $end +$scope module mux1 $end +$var wire 1 s" address0 $end +$var wire 1 t" address1 $end +$var wire 1 u" in0 $end +$var wire 1 v" in1 $end +$var wire 1 w" in2 $end +$var wire 1 x" in3 $end +$var wire 1 y" input0Wire0 $end +$var wire 1 z" input0Wire1 $end +$var wire 1 {" input1Wire0 $end +$var wire 1 |" input1Wire1 $end +$var wire 1 }" input2Wire0 $end +$var wire 1 ~" input2Wire1 $end +$var wire 1 !# input3Wire0 $end +$var wire 1 "# input3Wire1 $end +$var wire 1 ## nA0 $end +$var wire 1 $# nA1 $end +$var wire 1 %# orWire0 $end +$var wire 1 &# orWire1 $end +$var wire 1 q" out $end +$upscope $end +$scope module mux2 $end +$var wire 1 '# address0 $end +$var wire 1 (# address1 $end +$var wire 1 )# in0 $end +$var wire 1 *# in1 $end +$var wire 1 +# in2 $end +$var wire 1 ,# in3 $end +$var wire 1 -# input0Wire0 $end +$var wire 1 .# input0Wire1 $end +$var wire 1 /# input1Wire0 $end +$var wire 1 0# input1Wire1 $end +$var wire 1 1# input2Wire0 $end +$var wire 1 2# input2Wire1 $end +$var wire 1 3# input3Wire0 $end +$var wire 1 4# input3Wire1 $end +$var wire 1 5# nA0 $end +$var wire 1 6# nA1 $end +$var wire 1 7# orWire0 $end +$var wire 1 8# orWire1 $end +$var wire 1 r" out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[2] $end +$scope module unit $end +$var wire 1 9# bitA $end +$var wire 1 :# bitB $end +$var wire 1 ;# bitR $end +$var wire 1 <# carryin $end +$var wire 1 =# carryout $end +$var wire 1 ># inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ?# less $end +$var wire 3 @# muxindex [2:0] $end +$var wire 8 A# muxinput [7:0] $end +$scope module adder $end +$var wire 1 9# a $end +$var wire 1 B# aandb $end +$var wire 1 C# aorb $end +$var wire 1 D# axorb $end +$var wire 1 ># b $end +$var wire 1 E# carryOutWire $end +$var wire 1 <# carryin $end +$var wire 1 =# carryout $end +$var wire 1 F# nCarryIn $end +$var wire 1 G# notaxorb $end +$var wire 1 H# sum $end +$var wire 1 I# sumWire0 $end +$var wire 1 J# sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 K# addr [2:0] $end +$var wire 1 L# andResult1 $end +$var wire 1 M# andResult2 $end +$var wire 8 N# inputs [7:0] $end +$var wire 1 O# nS2 $end +$var wire 1 ;# out $end +$var wire 1 P# result1 $end +$var wire 1 Q# result2 $end +$scope module mux1 $end +$var wire 1 R# address0 $end +$var wire 1 S# address1 $end +$var wire 1 T# in0 $end +$var wire 1 U# in1 $end +$var wire 1 V# in2 $end +$var wire 1 W# in3 $end +$var wire 1 X# input0Wire0 $end +$var wire 1 Y# input0Wire1 $end +$var wire 1 Z# input1Wire0 $end +$var wire 1 [# input1Wire1 $end +$var wire 1 \# input2Wire0 $end +$var wire 1 ]# input2Wire1 $end +$var wire 1 ^# input3Wire0 $end +$var wire 1 _# input3Wire1 $end +$var wire 1 `# nA0 $end +$var wire 1 a# nA1 $end +$var wire 1 b# orWire0 $end +$var wire 1 c# orWire1 $end +$var wire 1 P# out $end +$upscope $end +$scope module mux2 $end +$var wire 1 d# address0 $end +$var wire 1 e# address1 $end +$var wire 1 f# in0 $end +$var wire 1 g# in1 $end +$var wire 1 h# in2 $end +$var wire 1 i# in3 $end +$var wire 1 j# input0Wire0 $end +$var wire 1 k# input0Wire1 $end +$var wire 1 l# input1Wire0 $end +$var wire 1 m# input1Wire1 $end +$var wire 1 n# input2Wire0 $end +$var wire 1 o# input2Wire1 $end +$var wire 1 p# input3Wire0 $end +$var wire 1 q# input3Wire1 $end +$var wire 1 r# nA0 $end +$var wire 1 s# nA1 $end +$var wire 1 t# orWire0 $end +$var wire 1 u# orWire1 $end +$var wire 1 Q# out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[3] $end +$scope module unit $end +$var wire 1 v# bitA $end +$var wire 1 w# bitB $end +$var wire 1 x# bitR $end +$var wire 1 y# carryin $end +$var wire 1 z# carryout $end +$var wire 1 {# inputB $end +$var wire 1 * invertBflag $end +$var wire 1 |# less $end +$var wire 3 }# muxindex [2:0] $end +$var wire 8 ~# muxinput [7:0] $end +$scope module adder $end +$var wire 1 v# a $end +$var wire 1 !$ aandb $end +$var wire 1 "$ aorb $end +$var wire 1 #$ axorb $end +$var wire 1 {# b $end +$var wire 1 $$ carryOutWire $end +$var wire 1 y# carryin $end +$var wire 1 z# carryout $end +$var wire 1 %$ nCarryIn $end +$var wire 1 &$ notaxorb $end +$var wire 1 '$ sum $end +$var wire 1 ($ sumWire0 $end +$var wire 1 )$ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 *$ addr [2:0] $end +$var wire 1 +$ andResult1 $end +$var wire 1 ,$ andResult2 $end +$var wire 8 -$ inputs [7:0] $end +$var wire 1 .$ nS2 $end +$var wire 1 x# out $end +$var wire 1 /$ result1 $end +$var wire 1 0$ result2 $end +$scope module mux1 $end +$var wire 1 1$ address0 $end +$var wire 1 2$ address1 $end +$var wire 1 3$ in0 $end +$var wire 1 4$ in1 $end +$var wire 1 5$ in2 $end +$var wire 1 6$ in3 $end +$var wire 1 7$ input0Wire0 $end +$var wire 1 8$ input0Wire1 $end +$var wire 1 9$ input1Wire0 $end +$var wire 1 :$ input1Wire1 $end +$var wire 1 ;$ input2Wire0 $end +$var wire 1 <$ input2Wire1 $end +$var wire 1 =$ input3Wire0 $end +$var wire 1 >$ input3Wire1 $end +$var wire 1 ?$ nA0 $end +$var wire 1 @$ nA1 $end +$var wire 1 A$ orWire0 $end +$var wire 1 B$ orWire1 $end +$var wire 1 /$ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 C$ address0 $end +$var wire 1 D$ address1 $end +$var wire 1 E$ in0 $end +$var wire 1 F$ in1 $end +$var wire 1 G$ in2 $end +$var wire 1 H$ in3 $end +$var wire 1 I$ input0Wire0 $end +$var wire 1 J$ input0Wire1 $end +$var wire 1 K$ input1Wire0 $end +$var wire 1 L$ input1Wire1 $end +$var wire 1 M$ input2Wire0 $end +$var wire 1 N$ input2Wire1 $end +$var wire 1 O$ input3Wire0 $end +$var wire 1 P$ input3Wire1 $end +$var wire 1 Q$ nA0 $end +$var wire 1 R$ nA1 $end +$var wire 1 S$ orWire0 $end +$var wire 1 T$ orWire1 $end +$var wire 1 0$ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[4] $end +$scope module unit $end +$var wire 1 U$ bitA $end +$var wire 1 V$ bitB $end +$var wire 1 W$ bitR $end +$var wire 1 X$ carryin $end +$var wire 1 Y$ carryout $end +$var wire 1 Z$ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 [$ less $end +$var wire 3 \$ muxindex [2:0] $end +$var wire 8 ]$ muxinput [7:0] $end +$scope module adder $end +$var wire 1 U$ a $end +$var wire 1 ^$ aandb $end +$var wire 1 _$ aorb $end +$var wire 1 `$ axorb $end +$var wire 1 Z$ b $end +$var wire 1 a$ carryOutWire $end +$var wire 1 X$ carryin $end +$var wire 1 Y$ carryout $end +$var wire 1 b$ nCarryIn $end +$var wire 1 c$ notaxorb $end +$var wire 1 d$ sum $end +$var wire 1 e$ sumWire0 $end +$var wire 1 f$ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 g$ addr [2:0] $end +$var wire 1 h$ andResult1 $end +$var wire 1 i$ andResult2 $end +$var wire 8 j$ inputs [7:0] $end +$var wire 1 k$ nS2 $end +$var wire 1 W$ out $end +$var wire 1 l$ result1 $end +$var wire 1 m$ result2 $end +$scope module mux1 $end +$var wire 1 n$ address0 $end +$var wire 1 o$ address1 $end +$var wire 1 p$ in0 $end +$var wire 1 q$ in1 $end +$var wire 1 r$ in2 $end +$var wire 1 s$ in3 $end +$var wire 1 t$ input0Wire0 $end +$var wire 1 u$ input0Wire1 $end +$var wire 1 v$ input1Wire0 $end +$var wire 1 w$ input1Wire1 $end +$var wire 1 x$ input2Wire0 $end +$var wire 1 y$ input2Wire1 $end +$var wire 1 z$ input3Wire0 $end +$var wire 1 {$ input3Wire1 $end +$var wire 1 |$ nA0 $end +$var wire 1 }$ nA1 $end +$var wire 1 ~$ orWire0 $end +$var wire 1 !% orWire1 $end +$var wire 1 l$ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 "% address0 $end +$var wire 1 #% address1 $end +$var wire 1 $% in0 $end +$var wire 1 %% in1 $end +$var wire 1 &% in2 $end +$var wire 1 '% in3 $end +$var wire 1 (% input0Wire0 $end +$var wire 1 )% input0Wire1 $end +$var wire 1 *% input1Wire0 $end +$var wire 1 +% input1Wire1 $end +$var wire 1 ,% input2Wire0 $end +$var wire 1 -% input2Wire1 $end +$var wire 1 .% input3Wire0 $end +$var wire 1 /% input3Wire1 $end +$var wire 1 0% nA0 $end +$var wire 1 1% nA1 $end +$var wire 1 2% orWire0 $end +$var wire 1 3% orWire1 $end +$var wire 1 m$ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[5] $end +$scope module unit $end +$var wire 1 4% bitA $end +$var wire 1 5% bitB $end +$var wire 1 6% bitR $end +$var wire 1 7% carryin $end +$var wire 1 8% carryout $end +$var wire 1 9% inputB $end +$var wire 1 * invertBflag $end +$var wire 1 :% less $end +$var wire 3 ;% muxindex [2:0] $end +$var wire 8 <% muxinput [7:0] $end +$scope module adder $end +$var wire 1 4% a $end +$var wire 1 =% aandb $end +$var wire 1 >% aorb $end +$var wire 1 ?% axorb $end +$var wire 1 9% b $end +$var wire 1 @% carryOutWire $end +$var wire 1 7% carryin $end +$var wire 1 8% carryout $end +$var wire 1 A% nCarryIn $end +$var wire 1 B% notaxorb $end +$var wire 1 C% sum $end +$var wire 1 D% sumWire0 $end +$var wire 1 E% sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 F% addr [2:0] $end +$var wire 1 G% andResult1 $end +$var wire 1 H% andResult2 $end +$var wire 8 I% inputs [7:0] $end +$var wire 1 J% nS2 $end +$var wire 1 6% out $end +$var wire 1 K% result1 $end +$var wire 1 L% result2 $end +$scope module mux1 $end +$var wire 1 M% address0 $end +$var wire 1 N% address1 $end +$var wire 1 O% in0 $end +$var wire 1 P% in1 $end +$var wire 1 Q% in2 $end +$var wire 1 R% in3 $end +$var wire 1 S% input0Wire0 $end +$var wire 1 T% input0Wire1 $end +$var wire 1 U% input1Wire0 $end +$var wire 1 V% input1Wire1 $end +$var wire 1 W% input2Wire0 $end +$var wire 1 X% input2Wire1 $end +$var wire 1 Y% input3Wire0 $end +$var wire 1 Z% input3Wire1 $end +$var wire 1 [% nA0 $end +$var wire 1 \% nA1 $end +$var wire 1 ]% orWire0 $end +$var wire 1 ^% orWire1 $end +$var wire 1 K% out $end +$upscope $end +$scope module mux2 $end +$var wire 1 _% address0 $end +$var wire 1 `% address1 $end +$var wire 1 a% in0 $end +$var wire 1 b% in1 $end +$var wire 1 c% in2 $end +$var wire 1 d% in3 $end +$var wire 1 e% input0Wire0 $end +$var wire 1 f% input0Wire1 $end +$var wire 1 g% input1Wire0 $end +$var wire 1 h% input1Wire1 $end +$var wire 1 i% input2Wire0 $end +$var wire 1 j% input2Wire1 $end +$var wire 1 k% input3Wire0 $end +$var wire 1 l% input3Wire1 $end +$var wire 1 m% nA0 $end +$var wire 1 n% nA1 $end +$var wire 1 o% orWire0 $end +$var wire 1 p% orWire1 $end +$var wire 1 L% out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[6] $end +$scope module unit $end +$var wire 1 q% bitA $end +$var wire 1 r% bitB $end +$var wire 1 s% bitR $end +$var wire 1 t% carryin $end +$var wire 1 u% carryout $end +$var wire 1 v% inputB $end +$var wire 1 * invertBflag $end +$var wire 1 w% less $end +$var wire 3 x% muxindex [2:0] $end +$var wire 8 y% muxinput [7:0] $end +$scope module adder $end +$var wire 1 q% a $end +$var wire 1 z% aandb $end +$var wire 1 {% aorb $end +$var wire 1 |% axorb $end +$var wire 1 v% b $end +$var wire 1 }% carryOutWire $end +$var wire 1 t% carryin $end +$var wire 1 u% carryout $end +$var wire 1 ~% nCarryIn $end +$var wire 1 !& notaxorb $end +$var wire 1 "& sum $end +$var wire 1 #& sumWire0 $end +$var wire 1 $& sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 %& addr [2:0] $end +$var wire 1 && andResult1 $end +$var wire 1 '& andResult2 $end +$var wire 8 (& inputs [7:0] $end +$var wire 1 )& nS2 $end +$var wire 1 s% out $end +$var wire 1 *& result1 $end +$var wire 1 +& result2 $end +$scope module mux1 $end +$var wire 1 ,& address0 $end +$var wire 1 -& address1 $end +$var wire 1 .& in0 $end +$var wire 1 /& in1 $end +$var wire 1 0& in2 $end +$var wire 1 1& in3 $end +$var wire 1 2& input0Wire0 $end +$var wire 1 3& input0Wire1 $end +$var wire 1 4& input1Wire0 $end +$var wire 1 5& input1Wire1 $end +$var wire 1 6& input2Wire0 $end +$var wire 1 7& input2Wire1 $end +$var wire 1 8& input3Wire0 $end +$var wire 1 9& input3Wire1 $end +$var wire 1 :& nA0 $end +$var wire 1 ;& nA1 $end +$var wire 1 <& orWire0 $end +$var wire 1 =& orWire1 $end +$var wire 1 *& out $end +$upscope $end +$scope module mux2 $end +$var wire 1 >& address0 $end +$var wire 1 ?& address1 $end +$var wire 1 @& in0 $end +$var wire 1 A& in1 $end +$var wire 1 B& in2 $end +$var wire 1 C& in3 $end +$var wire 1 D& input0Wire0 $end +$var wire 1 E& input0Wire1 $end +$var wire 1 F& input1Wire0 $end +$var wire 1 G& input1Wire1 $end +$var wire 1 H& input2Wire0 $end +$var wire 1 I& input2Wire1 $end +$var wire 1 J& input3Wire0 $end +$var wire 1 K& input3Wire1 $end +$var wire 1 L& nA0 $end +$var wire 1 M& nA1 $end +$var wire 1 N& orWire0 $end +$var wire 1 O& orWire1 $end +$var wire 1 +& out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[7] $end +$scope module unit $end +$var wire 1 P& bitA $end +$var wire 1 Q& bitB $end +$var wire 1 R& bitR $end +$var wire 1 S& carryin $end +$var wire 1 T& carryout $end +$var wire 1 U& inputB $end +$var wire 1 * invertBflag $end +$var wire 1 V& less $end +$var wire 3 W& muxindex [2:0] $end +$var wire 8 X& muxinput [7:0] $end +$scope module adder $end +$var wire 1 P& a $end +$var wire 1 Y& aandb $end +$var wire 1 Z& aorb $end +$var wire 1 [& axorb $end +$var wire 1 U& b $end +$var wire 1 \& carryOutWire $end +$var wire 1 S& carryin $end +$var wire 1 T& carryout $end +$var wire 1 ]& nCarryIn $end +$var wire 1 ^& notaxorb $end +$var wire 1 _& sum $end +$var wire 1 `& sumWire0 $end +$var wire 1 a& sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 b& addr [2:0] $end +$var wire 1 c& andResult1 $end +$var wire 1 d& andResult2 $end +$var wire 8 e& inputs [7:0] $end +$var wire 1 f& nS2 $end +$var wire 1 R& out $end +$var wire 1 g& result1 $end +$var wire 1 h& result2 $end +$scope module mux1 $end +$var wire 1 i& address0 $end +$var wire 1 j& address1 $end +$var wire 1 k& in0 $end +$var wire 1 l& in1 $end +$var wire 1 m& in2 $end +$var wire 1 n& in3 $end +$var wire 1 o& input0Wire0 $end +$var wire 1 p& input0Wire1 $end +$var wire 1 q& input1Wire0 $end +$var wire 1 r& input1Wire1 $end +$var wire 1 s& input2Wire0 $end +$var wire 1 t& input2Wire1 $end +$var wire 1 u& input3Wire0 $end +$var wire 1 v& input3Wire1 $end +$var wire 1 w& nA0 $end +$var wire 1 x& nA1 $end +$var wire 1 y& orWire0 $end +$var wire 1 z& orWire1 $end +$var wire 1 g& out $end +$upscope $end +$scope module mux2 $end +$var wire 1 {& address0 $end +$var wire 1 |& address1 $end +$var wire 1 }& in0 $end +$var wire 1 ~& in1 $end +$var wire 1 !' in2 $end +$var wire 1 "' in3 $end +$var wire 1 #' input0Wire0 $end +$var wire 1 $' input0Wire1 $end +$var wire 1 %' input1Wire0 $end +$var wire 1 &' input1Wire1 $end +$var wire 1 '' input2Wire0 $end +$var wire 1 (' input2Wire1 $end +$var wire 1 )' input3Wire0 $end +$var wire 1 *' input3Wire1 $end +$var wire 1 +' nA0 $end +$var wire 1 ,' nA1 $end +$var wire 1 -' orWire0 $end +$var wire 1 .' orWire1 $end +$var wire 1 h& out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[8] $end +$scope module unit $end +$var wire 1 /' bitA $end +$var wire 1 0' bitB $end +$var wire 1 1' bitR $end +$var wire 1 2' carryin $end +$var wire 1 3' carryout $end +$var wire 1 4' inputB $end +$var wire 1 * invertBflag $end +$var wire 1 5' less $end +$var wire 3 6' muxindex [2:0] $end +$var wire 8 7' muxinput [7:0] $end +$scope module adder $end +$var wire 1 /' a $end +$var wire 1 8' aandb $end +$var wire 1 9' aorb $end +$var wire 1 :' axorb $end +$var wire 1 4' b $end +$var wire 1 ;' carryOutWire $end +$var wire 1 2' carryin $end +$var wire 1 3' carryout $end +$var wire 1 <' nCarryIn $end +$var wire 1 =' notaxorb $end +$var wire 1 >' sum $end +$var wire 1 ?' sumWire0 $end +$var wire 1 @' sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 A' addr [2:0] $end +$var wire 1 B' andResult1 $end +$var wire 1 C' andResult2 $end +$var wire 8 D' inputs [7:0] $end +$var wire 1 E' nS2 $end +$var wire 1 1' out $end +$var wire 1 F' result1 $end +$var wire 1 G' result2 $end +$scope module mux1 $end +$var wire 1 H' address0 $end +$var wire 1 I' address1 $end +$var wire 1 J' in0 $end +$var wire 1 K' in1 $end +$var wire 1 L' in2 $end +$var wire 1 M' in3 $end +$var wire 1 N' input0Wire0 $end +$var wire 1 O' input0Wire1 $end +$var wire 1 P' input1Wire0 $end +$var wire 1 Q' input1Wire1 $end +$var wire 1 R' input2Wire0 $end +$var wire 1 S' input2Wire1 $end +$var wire 1 T' input3Wire0 $end +$var wire 1 U' input3Wire1 $end +$var wire 1 V' nA0 $end +$var wire 1 W' nA1 $end +$var wire 1 X' orWire0 $end +$var wire 1 Y' orWire1 $end +$var wire 1 F' out $end +$upscope $end +$scope module mux2 $end +$var wire 1 Z' address0 $end +$var wire 1 [' address1 $end +$var wire 1 \' in0 $end +$var wire 1 ]' in1 $end +$var wire 1 ^' in2 $end +$var wire 1 _' in3 $end +$var wire 1 `' input0Wire0 $end +$var wire 1 a' input0Wire1 $end +$var wire 1 b' input1Wire0 $end +$var wire 1 c' input1Wire1 $end +$var wire 1 d' input2Wire0 $end +$var wire 1 e' input2Wire1 $end +$var wire 1 f' input3Wire0 $end +$var wire 1 g' input3Wire1 $end +$var wire 1 h' nA0 $end +$var wire 1 i' nA1 $end +$var wire 1 j' orWire0 $end +$var wire 1 k' orWire1 $end +$var wire 1 G' out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[9] $end +$scope module unit $end +$var wire 1 l' bitA $end +$var wire 1 m' bitB $end +$var wire 1 n' bitR $end +$var wire 1 o' carryin $end +$var wire 1 p' carryout $end +$var wire 1 q' inputB $end +$var wire 1 * invertBflag $end +$var wire 1 r' less $end +$var wire 3 s' muxindex [2:0] $end +$var wire 8 t' muxinput [7:0] $end +$scope module adder $end +$var wire 1 l' a $end +$var wire 1 u' aandb $end +$var wire 1 v' aorb $end +$var wire 1 w' axorb $end +$var wire 1 q' b $end +$var wire 1 x' carryOutWire $end +$var wire 1 o' carryin $end +$var wire 1 p' carryout $end +$var wire 1 y' nCarryIn $end +$var wire 1 z' notaxorb $end +$var wire 1 {' sum $end +$var wire 1 |' sumWire0 $end +$var wire 1 }' sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ~' addr [2:0] $end +$var wire 1 !( andResult1 $end +$var wire 1 "( andResult2 $end +$var wire 8 #( inputs [7:0] $end +$var wire 1 $( nS2 $end +$var wire 1 n' out $end +$var wire 1 %( result1 $end +$var wire 1 &( result2 $end +$scope module mux1 $end +$var wire 1 '( address0 $end +$var wire 1 (( address1 $end +$var wire 1 )( in0 $end +$var wire 1 *( in1 $end +$var wire 1 +( in2 $end +$var wire 1 ,( in3 $end +$var wire 1 -( input0Wire0 $end +$var wire 1 .( input0Wire1 $end +$var wire 1 /( input1Wire0 $end +$var wire 1 0( input1Wire1 $end +$var wire 1 1( input2Wire0 $end +$var wire 1 2( input2Wire1 $end +$var wire 1 3( input3Wire0 $end +$var wire 1 4( input3Wire1 $end +$var wire 1 5( nA0 $end +$var wire 1 6( nA1 $end +$var wire 1 7( orWire0 $end +$var wire 1 8( orWire1 $end +$var wire 1 %( out $end +$upscope $end +$scope module mux2 $end +$var wire 1 9( address0 $end +$var wire 1 :( address1 $end +$var wire 1 ;( in0 $end +$var wire 1 <( in1 $end +$var wire 1 =( in2 $end +$var wire 1 >( in3 $end +$var wire 1 ?( input0Wire0 $end +$var wire 1 @( input0Wire1 $end +$var wire 1 A( input1Wire0 $end +$var wire 1 B( input1Wire1 $end +$var wire 1 C( input2Wire0 $end +$var wire 1 D( input2Wire1 $end +$var wire 1 E( input3Wire0 $end +$var wire 1 F( input3Wire1 $end +$var wire 1 G( nA0 $end +$var wire 1 H( nA1 $end +$var wire 1 I( orWire0 $end +$var wire 1 J( orWire1 $end +$var wire 1 &( out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[10] $end +$scope module unit $end +$var wire 1 K( bitA $end +$var wire 1 L( bitB $end +$var wire 1 M( bitR $end +$var wire 1 N( carryin $end +$var wire 1 O( carryout $end +$var wire 1 P( inputB $end +$var wire 1 * invertBflag $end +$var wire 1 Q( less $end +$var wire 3 R( muxindex [2:0] $end +$var wire 8 S( muxinput [7:0] $end +$scope module adder $end +$var wire 1 K( a $end +$var wire 1 T( aandb $end +$var wire 1 U( aorb $end +$var wire 1 V( axorb $end +$var wire 1 P( b $end +$var wire 1 W( carryOutWire $end +$var wire 1 N( carryin $end +$var wire 1 O( carryout $end +$var wire 1 X( nCarryIn $end +$var wire 1 Y( notaxorb $end +$var wire 1 Z( sum $end +$var wire 1 [( sumWire0 $end +$var wire 1 \( sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ]( addr [2:0] $end +$var wire 1 ^( andResult1 $end +$var wire 1 _( andResult2 $end +$var wire 8 `( inputs [7:0] $end +$var wire 1 a( nS2 $end +$var wire 1 M( out $end +$var wire 1 b( result1 $end +$var wire 1 c( result2 $end +$scope module mux1 $end +$var wire 1 d( address0 $end +$var wire 1 e( address1 $end +$var wire 1 f( in0 $end +$var wire 1 g( in1 $end +$var wire 1 h( in2 $end +$var wire 1 i( in3 $end +$var wire 1 j( input0Wire0 $end +$var wire 1 k( input0Wire1 $end +$var wire 1 l( input1Wire0 $end +$var wire 1 m( input1Wire1 $end +$var wire 1 n( input2Wire0 $end +$var wire 1 o( input2Wire1 $end +$var wire 1 p( input3Wire0 $end +$var wire 1 q( input3Wire1 $end +$var wire 1 r( nA0 $end +$var wire 1 s( nA1 $end +$var wire 1 t( orWire0 $end +$var wire 1 u( orWire1 $end +$var wire 1 b( out $end +$upscope $end +$scope module mux2 $end +$var wire 1 v( address0 $end +$var wire 1 w( address1 $end +$var wire 1 x( in0 $end +$var wire 1 y( in1 $end +$var wire 1 z( in2 $end +$var wire 1 {( in3 $end +$var wire 1 |( input0Wire0 $end +$var wire 1 }( input0Wire1 $end +$var wire 1 ~( input1Wire0 $end +$var wire 1 !) input1Wire1 $end +$var wire 1 ") input2Wire0 $end +$var wire 1 #) input2Wire1 $end +$var wire 1 $) input3Wire0 $end +$var wire 1 %) input3Wire1 $end +$var wire 1 &) nA0 $end +$var wire 1 ') nA1 $end +$var wire 1 () orWire0 $end +$var wire 1 )) orWire1 $end +$var wire 1 c( out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[11] $end +$scope module unit $end +$var wire 1 *) bitA $end +$var wire 1 +) bitB $end +$var wire 1 ,) bitR $end +$var wire 1 -) carryin $end +$var wire 1 .) carryout $end +$var wire 1 /) inputB $end +$var wire 1 * invertBflag $end +$var wire 1 0) less $end +$var wire 3 1) muxindex [2:0] $end +$var wire 8 2) muxinput [7:0] $end +$scope module adder $end +$var wire 1 *) a $end +$var wire 1 3) aandb $end +$var wire 1 4) aorb $end +$var wire 1 5) axorb $end +$var wire 1 /) b $end +$var wire 1 6) carryOutWire $end +$var wire 1 -) carryin $end +$var wire 1 .) carryout $end +$var wire 1 7) nCarryIn $end +$var wire 1 8) notaxorb $end +$var wire 1 9) sum $end +$var wire 1 :) sumWire0 $end +$var wire 1 ;) sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 <) addr [2:0] $end +$var wire 1 =) andResult1 $end +$var wire 1 >) andResult2 $end +$var wire 8 ?) inputs [7:0] $end +$var wire 1 @) nS2 $end +$var wire 1 ,) out $end +$var wire 1 A) result1 $end +$var wire 1 B) result2 $end +$scope module mux1 $end +$var wire 1 C) address0 $end +$var wire 1 D) address1 $end +$var wire 1 E) in0 $end +$var wire 1 F) in1 $end +$var wire 1 G) in2 $end +$var wire 1 H) in3 $end +$var wire 1 I) input0Wire0 $end +$var wire 1 J) input0Wire1 $end +$var wire 1 K) input1Wire0 $end +$var wire 1 L) input1Wire1 $end +$var wire 1 M) input2Wire0 $end +$var wire 1 N) input2Wire1 $end +$var wire 1 O) input3Wire0 $end +$var wire 1 P) input3Wire1 $end +$var wire 1 Q) nA0 $end +$var wire 1 R) nA1 $end +$var wire 1 S) orWire0 $end +$var wire 1 T) orWire1 $end +$var wire 1 A) out $end +$upscope $end +$scope module mux2 $end +$var wire 1 U) address0 $end +$var wire 1 V) address1 $end +$var wire 1 W) in0 $end +$var wire 1 X) in1 $end +$var wire 1 Y) in2 $end +$var wire 1 Z) in3 $end +$var wire 1 [) input0Wire0 $end +$var wire 1 \) input0Wire1 $end +$var wire 1 ]) input1Wire0 $end +$var wire 1 ^) input1Wire1 $end +$var wire 1 _) input2Wire0 $end +$var wire 1 `) input2Wire1 $end +$var wire 1 a) input3Wire0 $end +$var wire 1 b) input3Wire1 $end +$var wire 1 c) nA0 $end +$var wire 1 d) nA1 $end +$var wire 1 e) orWire0 $end +$var wire 1 f) orWire1 $end +$var wire 1 B) out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[12] $end +$scope module unit $end +$var wire 1 g) bitA $end +$var wire 1 h) bitB $end +$var wire 1 i) bitR $end +$var wire 1 j) carryin $end +$var wire 1 k) carryout $end +$var wire 1 l) inputB $end +$var wire 1 * invertBflag $end +$var wire 1 m) less $end +$var wire 3 n) muxindex [2:0] $end +$var wire 8 o) muxinput [7:0] $end +$scope module adder $end +$var wire 1 g) a $end +$var wire 1 p) aandb $end +$var wire 1 q) aorb $end +$var wire 1 r) axorb $end +$var wire 1 l) b $end +$var wire 1 s) carryOutWire $end +$var wire 1 j) carryin $end +$var wire 1 k) carryout $end +$var wire 1 t) nCarryIn $end +$var wire 1 u) notaxorb $end +$var wire 1 v) sum $end +$var wire 1 w) sumWire0 $end +$var wire 1 x) sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 y) addr [2:0] $end +$var wire 1 z) andResult1 $end +$var wire 1 {) andResult2 $end +$var wire 8 |) inputs [7:0] $end +$var wire 1 }) nS2 $end +$var wire 1 i) out $end +$var wire 1 ~) result1 $end +$var wire 1 !* result2 $end +$scope module mux1 $end +$var wire 1 "* address0 $end +$var wire 1 #* address1 $end +$var wire 1 $* in0 $end +$var wire 1 %* in1 $end +$var wire 1 &* in2 $end +$var wire 1 '* in3 $end +$var wire 1 (* input0Wire0 $end +$var wire 1 )* input0Wire1 $end +$var wire 1 ** input1Wire0 $end +$var wire 1 +* input1Wire1 $end +$var wire 1 ,* input2Wire0 $end +$var wire 1 -* input2Wire1 $end +$var wire 1 .* input3Wire0 $end +$var wire 1 /* input3Wire1 $end +$var wire 1 0* nA0 $end +$var wire 1 1* nA1 $end +$var wire 1 2* orWire0 $end +$var wire 1 3* orWire1 $end +$var wire 1 ~) out $end +$upscope $end +$scope module mux2 $end +$var wire 1 4* address0 $end +$var wire 1 5* address1 $end +$var wire 1 6* in0 $end +$var wire 1 7* in1 $end +$var wire 1 8* in2 $end +$var wire 1 9* in3 $end +$var wire 1 :* input0Wire0 $end +$var wire 1 ;* input0Wire1 $end +$var wire 1 <* input1Wire0 $end +$var wire 1 =* input1Wire1 $end +$var wire 1 >* input2Wire0 $end +$var wire 1 ?* input2Wire1 $end +$var wire 1 @* input3Wire0 $end +$var wire 1 A* input3Wire1 $end +$var wire 1 B* nA0 $end +$var wire 1 C* nA1 $end +$var wire 1 D* orWire0 $end +$var wire 1 E* orWire1 $end +$var wire 1 !* out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[13] $end +$scope module unit $end +$var wire 1 F* bitA $end +$var wire 1 G* bitB $end +$var wire 1 H* bitR $end +$var wire 1 I* carryin $end +$var wire 1 J* carryout $end +$var wire 1 K* inputB $end +$var wire 1 * invertBflag $end +$var wire 1 L* less $end +$var wire 3 M* muxindex [2:0] $end +$var wire 8 N* muxinput [7:0] $end +$scope module adder $end +$var wire 1 F* a $end +$var wire 1 O* aandb $end +$var wire 1 P* aorb $end +$var wire 1 Q* axorb $end +$var wire 1 K* b $end +$var wire 1 R* carryOutWire $end +$var wire 1 I* carryin $end +$var wire 1 J* carryout $end +$var wire 1 S* nCarryIn $end +$var wire 1 T* notaxorb $end +$var wire 1 U* sum $end +$var wire 1 V* sumWire0 $end +$var wire 1 W* sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 X* addr [2:0] $end +$var wire 1 Y* andResult1 $end +$var wire 1 Z* andResult2 $end +$var wire 8 [* inputs [7:0] $end +$var wire 1 \* nS2 $end +$var wire 1 H* out $end +$var wire 1 ]* result1 $end +$var wire 1 ^* result2 $end +$scope module mux1 $end +$var wire 1 _* address0 $end +$var wire 1 `* address1 $end +$var wire 1 a* in0 $end +$var wire 1 b* in1 $end +$var wire 1 c* in2 $end +$var wire 1 d* in3 $end +$var wire 1 e* input0Wire0 $end +$var wire 1 f* input0Wire1 $end +$var wire 1 g* input1Wire0 $end +$var wire 1 h* input1Wire1 $end +$var wire 1 i* input2Wire0 $end +$var wire 1 j* input2Wire1 $end +$var wire 1 k* input3Wire0 $end +$var wire 1 l* input3Wire1 $end +$var wire 1 m* nA0 $end +$var wire 1 n* nA1 $end +$var wire 1 o* orWire0 $end +$var wire 1 p* orWire1 $end +$var wire 1 ]* out $end +$upscope $end +$scope module mux2 $end +$var wire 1 q* address0 $end +$var wire 1 r* address1 $end +$var wire 1 s* in0 $end +$var wire 1 t* in1 $end +$var wire 1 u* in2 $end +$var wire 1 v* in3 $end +$var wire 1 w* input0Wire0 $end +$var wire 1 x* input0Wire1 $end +$var wire 1 y* input1Wire0 $end +$var wire 1 z* input1Wire1 $end +$var wire 1 {* input2Wire0 $end +$var wire 1 |* input2Wire1 $end +$var wire 1 }* input3Wire0 $end +$var wire 1 ~* input3Wire1 $end +$var wire 1 !+ nA0 $end +$var wire 1 "+ nA1 $end +$var wire 1 #+ orWire0 $end +$var wire 1 $+ orWire1 $end +$var wire 1 ^* out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[14] $end +$scope module unit $end +$var wire 1 %+ bitA $end +$var wire 1 &+ bitB $end +$var wire 1 '+ bitR $end +$var wire 1 (+ carryin $end +$var wire 1 )+ carryout $end +$var wire 1 *+ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ++ less $end +$var wire 3 ,+ muxindex [2:0] $end +$var wire 8 -+ muxinput [7:0] $end +$scope module adder $end +$var wire 1 %+ a $end +$var wire 1 .+ aandb $end +$var wire 1 /+ aorb $end +$var wire 1 0+ axorb $end +$var wire 1 *+ b $end +$var wire 1 1+ carryOutWire $end +$var wire 1 (+ carryin $end +$var wire 1 )+ carryout $end +$var wire 1 2+ nCarryIn $end +$var wire 1 3+ notaxorb $end +$var wire 1 4+ sum $end +$var wire 1 5+ sumWire0 $end +$var wire 1 6+ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 7+ addr [2:0] $end +$var wire 1 8+ andResult1 $end +$var wire 1 9+ andResult2 $end +$var wire 8 :+ inputs [7:0] $end +$var wire 1 ;+ nS2 $end +$var wire 1 '+ out $end +$var wire 1 <+ result1 $end +$var wire 1 =+ result2 $end +$scope module mux1 $end +$var wire 1 >+ address0 $end +$var wire 1 ?+ address1 $end +$var wire 1 @+ in0 $end +$var wire 1 A+ in1 $end +$var wire 1 B+ in2 $end +$var wire 1 C+ in3 $end +$var wire 1 D+ input0Wire0 $end +$var wire 1 E+ input0Wire1 $end +$var wire 1 F+ input1Wire0 $end +$var wire 1 G+ input1Wire1 $end +$var wire 1 H+ input2Wire0 $end +$var wire 1 I+ input2Wire1 $end +$var wire 1 J+ input3Wire0 $end +$var wire 1 K+ input3Wire1 $end +$var wire 1 L+ nA0 $end +$var wire 1 M+ nA1 $end +$var wire 1 N+ orWire0 $end +$var wire 1 O+ orWire1 $end +$var wire 1 <+ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 P+ address0 $end +$var wire 1 Q+ address1 $end +$var wire 1 R+ in0 $end +$var wire 1 S+ in1 $end +$var wire 1 T+ in2 $end +$var wire 1 U+ in3 $end +$var wire 1 V+ input0Wire0 $end +$var wire 1 W+ input0Wire1 $end +$var wire 1 X+ input1Wire0 $end +$var wire 1 Y+ input1Wire1 $end +$var wire 1 Z+ input2Wire0 $end +$var wire 1 [+ input2Wire1 $end +$var wire 1 \+ input3Wire0 $end +$var wire 1 ]+ input3Wire1 $end +$var wire 1 ^+ nA0 $end +$var wire 1 _+ nA1 $end +$var wire 1 `+ orWire0 $end +$var wire 1 a+ orWire1 $end +$var wire 1 =+ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[15] $end +$scope module unit $end +$var wire 1 b+ bitA $end +$var wire 1 c+ bitB $end +$var wire 1 d+ bitR $end +$var wire 1 e+ carryin $end +$var wire 1 f+ carryout $end +$var wire 1 g+ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 h+ less $end +$var wire 3 i+ muxindex [2:0] $end +$var wire 8 j+ muxinput [7:0] $end +$scope module adder $end +$var wire 1 b+ a $end +$var wire 1 k+ aandb $end +$var wire 1 l+ aorb $end +$var wire 1 m+ axorb $end +$var wire 1 g+ b $end +$var wire 1 n+ carryOutWire $end +$var wire 1 e+ carryin $end +$var wire 1 f+ carryout $end +$var wire 1 o+ nCarryIn $end +$var wire 1 p+ notaxorb $end +$var wire 1 q+ sum $end +$var wire 1 r+ sumWire0 $end +$var wire 1 s+ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 t+ addr [2:0] $end +$var wire 1 u+ andResult1 $end +$var wire 1 v+ andResult2 $end +$var wire 8 w+ inputs [7:0] $end +$var wire 1 x+ nS2 $end +$var wire 1 d+ out $end +$var wire 1 y+ result1 $end +$var wire 1 z+ result2 $end +$scope module mux1 $end +$var wire 1 {+ address0 $end +$var wire 1 |+ address1 $end +$var wire 1 }+ in0 $end +$var wire 1 ~+ in1 $end +$var wire 1 !, in2 $end +$var wire 1 ", in3 $end +$var wire 1 #, input0Wire0 $end +$var wire 1 $, input0Wire1 $end +$var wire 1 %, input1Wire0 $end +$var wire 1 &, input1Wire1 $end +$var wire 1 ', input2Wire0 $end +$var wire 1 (, input2Wire1 $end +$var wire 1 ), input3Wire0 $end +$var wire 1 *, input3Wire1 $end +$var wire 1 +, nA0 $end +$var wire 1 ,, nA1 $end +$var wire 1 -, orWire0 $end +$var wire 1 ., orWire1 $end +$var wire 1 y+ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 /, address0 $end +$var wire 1 0, address1 $end +$var wire 1 1, in0 $end +$var wire 1 2, in1 $end +$var wire 1 3, in2 $end +$var wire 1 4, in3 $end +$var wire 1 5, input0Wire0 $end +$var wire 1 6, input0Wire1 $end +$var wire 1 7, input1Wire0 $end +$var wire 1 8, input1Wire1 $end +$var wire 1 9, input2Wire0 $end +$var wire 1 :, input2Wire1 $end +$var wire 1 ;, input3Wire0 $end +$var wire 1 <, input3Wire1 $end +$var wire 1 =, nA0 $end +$var wire 1 >, nA1 $end +$var wire 1 ?, orWire0 $end +$var wire 1 @, orWire1 $end +$var wire 1 z+ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[16] $end +$scope module unit $end +$var wire 1 A, bitA $end +$var wire 1 B, bitB $end +$var wire 1 C, bitR $end +$var wire 1 D, carryin $end +$var wire 1 E, carryout $end +$var wire 1 F, inputB $end +$var wire 1 * invertBflag $end +$var wire 1 G, less $end +$var wire 3 H, muxindex [2:0] $end +$var wire 8 I, muxinput [7:0] $end +$scope module adder $end +$var wire 1 A, a $end +$var wire 1 J, aandb $end +$var wire 1 K, aorb $end +$var wire 1 L, axorb $end +$var wire 1 F, b $end +$var wire 1 M, carryOutWire $end +$var wire 1 D, carryin $end +$var wire 1 E, carryout $end +$var wire 1 N, nCarryIn $end +$var wire 1 O, notaxorb $end +$var wire 1 P, sum $end +$var wire 1 Q, sumWire0 $end +$var wire 1 R, sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 S, addr [2:0] $end +$var wire 1 T, andResult1 $end +$var wire 1 U, andResult2 $end +$var wire 8 V, inputs [7:0] $end +$var wire 1 W, nS2 $end +$var wire 1 C, out $end +$var wire 1 X, result1 $end +$var wire 1 Y, result2 $end +$scope module mux1 $end +$var wire 1 Z, address0 $end +$var wire 1 [, address1 $end +$var wire 1 \, in0 $end +$var wire 1 ], in1 $end +$var wire 1 ^, in2 $end +$var wire 1 _, in3 $end +$var wire 1 `, input0Wire0 $end +$var wire 1 a, input0Wire1 $end +$var wire 1 b, input1Wire0 $end +$var wire 1 c, input1Wire1 $end +$var wire 1 d, input2Wire0 $end +$var wire 1 e, input2Wire1 $end +$var wire 1 f, input3Wire0 $end +$var wire 1 g, input3Wire1 $end +$var wire 1 h, nA0 $end +$var wire 1 i, nA1 $end +$var wire 1 j, orWire0 $end +$var wire 1 k, orWire1 $end +$var wire 1 X, out $end +$upscope $end +$scope module mux2 $end +$var wire 1 l, address0 $end +$var wire 1 m, address1 $end +$var wire 1 n, in0 $end +$var wire 1 o, in1 $end +$var wire 1 p, in2 $end +$var wire 1 q, in3 $end +$var wire 1 r, input0Wire0 $end +$var wire 1 s, input0Wire1 $end +$var wire 1 t, input1Wire0 $end +$var wire 1 u, input1Wire1 $end +$var wire 1 v, input2Wire0 $end +$var wire 1 w, input2Wire1 $end +$var wire 1 x, input3Wire0 $end +$var wire 1 y, input3Wire1 $end +$var wire 1 z, nA0 $end +$var wire 1 {, nA1 $end +$var wire 1 |, orWire0 $end +$var wire 1 }, orWire1 $end +$var wire 1 Y, out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[17] $end +$scope module unit $end +$var wire 1 ~, bitA $end +$var wire 1 !- bitB $end +$var wire 1 "- bitR $end +$var wire 1 #- carryin $end +$var wire 1 $- carryout $end +$var wire 1 %- inputB $end +$var wire 1 * invertBflag $end +$var wire 1 &- less $end +$var wire 3 '- muxindex [2:0] $end +$var wire 8 (- muxinput [7:0] $end +$scope module adder $end +$var wire 1 ~, a $end +$var wire 1 )- aandb $end +$var wire 1 *- aorb $end +$var wire 1 +- axorb $end +$var wire 1 %- b $end +$var wire 1 ,- carryOutWire $end +$var wire 1 #- carryin $end +$var wire 1 $- carryout $end +$var wire 1 -- nCarryIn $end +$var wire 1 .- notaxorb $end +$var wire 1 /- sum $end +$var wire 1 0- sumWire0 $end +$var wire 1 1- sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 2- addr [2:0] $end +$var wire 1 3- andResult1 $end +$var wire 1 4- andResult2 $end +$var wire 8 5- inputs [7:0] $end +$var wire 1 6- nS2 $end +$var wire 1 "- out $end +$var wire 1 7- result1 $end +$var wire 1 8- result2 $end +$scope module mux1 $end +$var wire 1 9- address0 $end +$var wire 1 :- address1 $end +$var wire 1 ;- in0 $end +$var wire 1 <- in1 $end +$var wire 1 =- in2 $end +$var wire 1 >- in3 $end +$var wire 1 ?- input0Wire0 $end +$var wire 1 @- input0Wire1 $end +$var wire 1 A- input1Wire0 $end +$var wire 1 B- input1Wire1 $end +$var wire 1 C- input2Wire0 $end +$var wire 1 D- input2Wire1 $end +$var wire 1 E- input3Wire0 $end +$var wire 1 F- input3Wire1 $end +$var wire 1 G- nA0 $end +$var wire 1 H- nA1 $end +$var wire 1 I- orWire0 $end +$var wire 1 J- orWire1 $end +$var wire 1 7- out $end +$upscope $end +$scope module mux2 $end +$var wire 1 K- address0 $end +$var wire 1 L- address1 $end +$var wire 1 M- in0 $end +$var wire 1 N- in1 $end +$var wire 1 O- in2 $end +$var wire 1 P- in3 $end +$var wire 1 Q- input0Wire0 $end +$var wire 1 R- input0Wire1 $end +$var wire 1 S- input1Wire0 $end +$var wire 1 T- input1Wire1 $end +$var wire 1 U- input2Wire0 $end +$var wire 1 V- input2Wire1 $end +$var wire 1 W- input3Wire0 $end +$var wire 1 X- input3Wire1 $end +$var wire 1 Y- nA0 $end +$var wire 1 Z- nA1 $end +$var wire 1 [- orWire0 $end +$var wire 1 \- orWire1 $end +$var wire 1 8- out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[18] $end +$scope module unit $end +$var wire 1 ]- bitA $end +$var wire 1 ^- bitB $end +$var wire 1 _- bitR $end +$var wire 1 `- carryin $end +$var wire 1 a- carryout $end +$var wire 1 b- inputB $end +$var wire 1 * invertBflag $end +$var wire 1 c- less $end +$var wire 3 d- muxindex [2:0] $end +$var wire 8 e- muxinput [7:0] $end +$scope module adder $end +$var wire 1 ]- a $end +$var wire 1 f- aandb $end +$var wire 1 g- aorb $end +$var wire 1 h- axorb $end +$var wire 1 b- b $end +$var wire 1 i- carryOutWire $end +$var wire 1 `- carryin $end +$var wire 1 a- carryout $end +$var wire 1 j- nCarryIn $end +$var wire 1 k- notaxorb $end +$var wire 1 l- sum $end +$var wire 1 m- sumWire0 $end +$var wire 1 n- sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 o- addr [2:0] $end +$var wire 1 p- andResult1 $end +$var wire 1 q- andResult2 $end +$var wire 8 r- inputs [7:0] $end +$var wire 1 s- nS2 $end +$var wire 1 _- out $end +$var wire 1 t- result1 $end +$var wire 1 u- result2 $end +$scope module mux1 $end +$var wire 1 v- address0 $end +$var wire 1 w- address1 $end +$var wire 1 x- in0 $end +$var wire 1 y- in1 $end +$var wire 1 z- in2 $end +$var wire 1 {- in3 $end +$var wire 1 |- input0Wire0 $end +$var wire 1 }- input0Wire1 $end +$var wire 1 ~- input1Wire0 $end +$var wire 1 !. input1Wire1 $end +$var wire 1 ". input2Wire0 $end +$var wire 1 #. input2Wire1 $end +$var wire 1 $. input3Wire0 $end +$var wire 1 %. input3Wire1 $end +$var wire 1 &. nA0 $end +$var wire 1 '. nA1 $end +$var wire 1 (. orWire0 $end +$var wire 1 ). orWire1 $end +$var wire 1 t- out $end +$upscope $end +$scope module mux2 $end +$var wire 1 *. address0 $end +$var wire 1 +. address1 $end +$var wire 1 ,. in0 $end +$var wire 1 -. in1 $end +$var wire 1 .. in2 $end +$var wire 1 /. in3 $end +$var wire 1 0. input0Wire0 $end +$var wire 1 1. input0Wire1 $end +$var wire 1 2. input1Wire0 $end +$var wire 1 3. input1Wire1 $end +$var wire 1 4. input2Wire0 $end +$var wire 1 5. input2Wire1 $end +$var wire 1 6. input3Wire0 $end +$var wire 1 7. input3Wire1 $end +$var wire 1 8. nA0 $end +$var wire 1 9. nA1 $end +$var wire 1 :. orWire0 $end +$var wire 1 ;. orWire1 $end +$var wire 1 u- out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[19] $end +$scope module unit $end +$var wire 1 <. bitA $end +$var wire 1 =. bitB $end +$var wire 1 >. bitR $end +$var wire 1 ?. carryin $end +$var wire 1 @. carryout $end +$var wire 1 A. inputB $end +$var wire 1 * invertBflag $end +$var wire 1 B. less $end +$var wire 3 C. muxindex [2:0] $end +$var wire 8 D. muxinput [7:0] $end +$scope module adder $end +$var wire 1 <. a $end +$var wire 1 E. aandb $end +$var wire 1 F. aorb $end +$var wire 1 G. axorb $end +$var wire 1 A. b $end +$var wire 1 H. carryOutWire $end +$var wire 1 ?. carryin $end +$var wire 1 @. carryout $end +$var wire 1 I. nCarryIn $end +$var wire 1 J. notaxorb $end +$var wire 1 K. sum $end +$var wire 1 L. sumWire0 $end +$var wire 1 M. sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 N. addr [2:0] $end +$var wire 1 O. andResult1 $end +$var wire 1 P. andResult2 $end +$var wire 8 Q. inputs [7:0] $end +$var wire 1 R. nS2 $end +$var wire 1 >. out $end +$var wire 1 S. result1 $end +$var wire 1 T. result2 $end +$scope module mux1 $end +$var wire 1 U. address0 $end +$var wire 1 V. address1 $end +$var wire 1 W. in0 $end +$var wire 1 X. in1 $end +$var wire 1 Y. in2 $end +$var wire 1 Z. in3 $end +$var wire 1 [. input0Wire0 $end +$var wire 1 \. input0Wire1 $end +$var wire 1 ]. input1Wire0 $end +$var wire 1 ^. input1Wire1 $end +$var wire 1 _. input2Wire0 $end +$var wire 1 `. input2Wire1 $end +$var wire 1 a. input3Wire0 $end +$var wire 1 b. input3Wire1 $end +$var wire 1 c. nA0 $end +$var wire 1 d. nA1 $end +$var wire 1 e. orWire0 $end +$var wire 1 f. orWire1 $end +$var wire 1 S. out $end +$upscope $end +$scope module mux2 $end +$var wire 1 g. address0 $end +$var wire 1 h. address1 $end +$var wire 1 i. in0 $end +$var wire 1 j. in1 $end +$var wire 1 k. in2 $end +$var wire 1 l. in3 $end +$var wire 1 m. input0Wire0 $end +$var wire 1 n. input0Wire1 $end +$var wire 1 o. input1Wire0 $end +$var wire 1 p. input1Wire1 $end +$var wire 1 q. input2Wire0 $end +$var wire 1 r. input2Wire1 $end +$var wire 1 s. input3Wire0 $end +$var wire 1 t. input3Wire1 $end +$var wire 1 u. nA0 $end +$var wire 1 v. nA1 $end +$var wire 1 w. orWire0 $end +$var wire 1 x. orWire1 $end +$var wire 1 T. out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[20] $end +$scope module unit $end +$var wire 1 y. bitA $end +$var wire 1 z. bitB $end +$var wire 1 {. bitR $end +$var wire 1 |. carryin $end +$var wire 1 }. carryout $end +$var wire 1 ~. inputB $end +$var wire 1 * invertBflag $end +$var wire 1 !/ less $end +$var wire 3 "/ muxindex [2:0] $end +$var wire 8 #/ muxinput [7:0] $end +$scope module adder $end +$var wire 1 y. a $end +$var wire 1 $/ aandb $end +$var wire 1 %/ aorb $end +$var wire 1 &/ axorb $end +$var wire 1 ~. b $end +$var wire 1 '/ carryOutWire $end +$var wire 1 |. carryin $end +$var wire 1 }. carryout $end +$var wire 1 (/ nCarryIn $end +$var wire 1 )/ notaxorb $end +$var wire 1 */ sum $end +$var wire 1 +/ sumWire0 $end +$var wire 1 ,/ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 -/ addr [2:0] $end +$var wire 1 ./ andResult1 $end +$var wire 1 // andResult2 $end +$var wire 8 0/ inputs [7:0] $end +$var wire 1 1/ nS2 $end +$var wire 1 {. out $end +$var wire 1 2/ result1 $end +$var wire 1 3/ result2 $end +$scope module mux1 $end +$var wire 1 4/ address0 $end +$var wire 1 5/ address1 $end +$var wire 1 6/ in0 $end +$var wire 1 7/ in1 $end +$var wire 1 8/ in2 $end +$var wire 1 9/ in3 $end +$var wire 1 :/ input0Wire0 $end +$var wire 1 ;/ input0Wire1 $end +$var wire 1 / input2Wire0 $end +$var wire 1 ?/ input2Wire1 $end +$var wire 1 @/ input3Wire0 $end +$var wire 1 A/ input3Wire1 $end +$var wire 1 B/ nA0 $end +$var wire 1 C/ nA1 $end +$var wire 1 D/ orWire0 $end +$var wire 1 E/ orWire1 $end +$var wire 1 2/ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 F/ address0 $end +$var wire 1 G/ address1 $end +$var wire 1 H/ in0 $end +$var wire 1 I/ in1 $end +$var wire 1 J/ in2 $end +$var wire 1 K/ in3 $end +$var wire 1 L/ input0Wire0 $end +$var wire 1 M/ input0Wire1 $end +$var wire 1 N/ input1Wire0 $end +$var wire 1 O/ input1Wire1 $end +$var wire 1 P/ input2Wire0 $end +$var wire 1 Q/ input2Wire1 $end +$var wire 1 R/ input3Wire0 $end +$var wire 1 S/ input3Wire1 $end +$var wire 1 T/ nA0 $end +$var wire 1 U/ nA1 $end +$var wire 1 V/ orWire0 $end +$var wire 1 W/ orWire1 $end +$var wire 1 3/ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[21] $end +$scope module unit $end +$var wire 1 X/ bitA $end +$var wire 1 Y/ bitB $end +$var wire 1 Z/ bitR $end +$var wire 1 [/ carryin $end +$var wire 1 \/ carryout $end +$var wire 1 ]/ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ^/ less $end +$var wire 3 _/ muxindex [2:0] $end +$var wire 8 `/ muxinput [7:0] $end +$scope module adder $end +$var wire 1 X/ a $end +$var wire 1 a/ aandb $end +$var wire 1 b/ aorb $end +$var wire 1 c/ axorb $end +$var wire 1 ]/ b $end +$var wire 1 d/ carryOutWire $end +$var wire 1 [/ carryin $end +$var wire 1 \/ carryout $end +$var wire 1 e/ nCarryIn $end +$var wire 1 f/ notaxorb $end +$var wire 1 g/ sum $end +$var wire 1 h/ sumWire0 $end +$var wire 1 i/ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 j/ addr [2:0] $end +$var wire 1 k/ andResult1 $end +$var wire 1 l/ andResult2 $end +$var wire 8 m/ inputs [7:0] $end +$var wire 1 n/ nS2 $end +$var wire 1 Z/ out $end +$var wire 1 o/ result1 $end +$var wire 1 p/ result2 $end +$scope module mux1 $end +$var wire 1 q/ address0 $end +$var wire 1 r/ address1 $end +$var wire 1 s/ in0 $end +$var wire 1 t/ in1 $end +$var wire 1 u/ in2 $end +$var wire 1 v/ in3 $end +$var wire 1 w/ input0Wire0 $end +$var wire 1 x/ input0Wire1 $end +$var wire 1 y/ input1Wire0 $end +$var wire 1 z/ input1Wire1 $end +$var wire 1 {/ input2Wire0 $end +$var wire 1 |/ input2Wire1 $end +$var wire 1 }/ input3Wire0 $end +$var wire 1 ~/ input3Wire1 $end +$var wire 1 !0 nA0 $end +$var wire 1 "0 nA1 $end +$var wire 1 #0 orWire0 $end +$var wire 1 $0 orWire1 $end +$var wire 1 o/ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 %0 address0 $end +$var wire 1 &0 address1 $end +$var wire 1 '0 in0 $end +$var wire 1 (0 in1 $end +$var wire 1 )0 in2 $end +$var wire 1 *0 in3 $end +$var wire 1 +0 input0Wire0 $end +$var wire 1 ,0 input0Wire1 $end +$var wire 1 -0 input1Wire0 $end +$var wire 1 .0 input1Wire1 $end +$var wire 1 /0 input2Wire0 $end +$var wire 1 00 input2Wire1 $end +$var wire 1 10 input3Wire0 $end +$var wire 1 20 input3Wire1 $end +$var wire 1 30 nA0 $end +$var wire 1 40 nA1 $end +$var wire 1 50 orWire0 $end +$var wire 1 60 orWire1 $end +$var wire 1 p/ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[22] $end +$scope module unit $end +$var wire 1 70 bitA $end +$var wire 1 80 bitB $end +$var wire 1 90 bitR $end +$var wire 1 :0 carryin $end +$var wire 1 ;0 carryout $end +$var wire 1 <0 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 =0 less $end +$var wire 3 >0 muxindex [2:0] $end +$var wire 8 ?0 muxinput [7:0] $end +$scope module adder $end +$var wire 1 70 a $end +$var wire 1 @0 aandb $end +$var wire 1 A0 aorb $end +$var wire 1 B0 axorb $end +$var wire 1 <0 b $end +$var wire 1 C0 carryOutWire $end +$var wire 1 :0 carryin $end +$var wire 1 ;0 carryout $end +$var wire 1 D0 nCarryIn $end +$var wire 1 E0 notaxorb $end +$var wire 1 F0 sum $end +$var wire 1 G0 sumWire0 $end +$var wire 1 H0 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 I0 addr [2:0] $end +$var wire 1 J0 andResult1 $end +$var wire 1 K0 andResult2 $end +$var wire 8 L0 inputs [7:0] $end +$var wire 1 M0 nS2 $end +$var wire 1 90 out $end +$var wire 1 N0 result1 $end +$var wire 1 O0 result2 $end +$scope module mux1 $end +$var wire 1 P0 address0 $end +$var wire 1 Q0 address1 $end +$var wire 1 R0 in0 $end +$var wire 1 S0 in1 $end +$var wire 1 T0 in2 $end +$var wire 1 U0 in3 $end +$var wire 1 V0 input0Wire0 $end +$var wire 1 W0 input0Wire1 $end +$var wire 1 X0 input1Wire0 $end +$var wire 1 Y0 input1Wire1 $end +$var wire 1 Z0 input2Wire0 $end +$var wire 1 [0 input2Wire1 $end +$var wire 1 \0 input3Wire0 $end +$var wire 1 ]0 input3Wire1 $end +$var wire 1 ^0 nA0 $end +$var wire 1 _0 nA1 $end +$var wire 1 `0 orWire0 $end +$var wire 1 a0 orWire1 $end +$var wire 1 N0 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 b0 address0 $end +$var wire 1 c0 address1 $end +$var wire 1 d0 in0 $end +$var wire 1 e0 in1 $end +$var wire 1 f0 in2 $end +$var wire 1 g0 in3 $end +$var wire 1 h0 input0Wire0 $end +$var wire 1 i0 input0Wire1 $end +$var wire 1 j0 input1Wire0 $end +$var wire 1 k0 input1Wire1 $end +$var wire 1 l0 input2Wire0 $end +$var wire 1 m0 input2Wire1 $end +$var wire 1 n0 input3Wire0 $end +$var wire 1 o0 input3Wire1 $end +$var wire 1 p0 nA0 $end +$var wire 1 q0 nA1 $end +$var wire 1 r0 orWire0 $end +$var wire 1 s0 orWire1 $end +$var wire 1 O0 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[23] $end +$scope module unit $end +$var wire 1 t0 bitA $end +$var wire 1 u0 bitB $end +$var wire 1 v0 bitR $end +$var wire 1 w0 carryin $end +$var wire 1 x0 carryout $end +$var wire 1 y0 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 z0 less $end +$var wire 3 {0 muxindex [2:0] $end +$var wire 8 |0 muxinput [7:0] $end +$scope module adder $end +$var wire 1 t0 a $end +$var wire 1 }0 aandb $end +$var wire 1 ~0 aorb $end +$var wire 1 !1 axorb $end +$var wire 1 y0 b $end +$var wire 1 "1 carryOutWire $end +$var wire 1 w0 carryin $end +$var wire 1 x0 carryout $end +$var wire 1 #1 nCarryIn $end +$var wire 1 $1 notaxorb $end +$var wire 1 %1 sum $end +$var wire 1 &1 sumWire0 $end +$var wire 1 '1 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 (1 addr [2:0] $end +$var wire 1 )1 andResult1 $end +$var wire 1 *1 andResult2 $end +$var wire 8 +1 inputs [7:0] $end +$var wire 1 ,1 nS2 $end +$var wire 1 v0 out $end +$var wire 1 -1 result1 $end +$var wire 1 .1 result2 $end +$scope module mux1 $end +$var wire 1 /1 address0 $end +$var wire 1 01 address1 $end +$var wire 1 11 in0 $end +$var wire 1 21 in1 $end +$var wire 1 31 in2 $end +$var wire 1 41 in3 $end +$var wire 1 51 input0Wire0 $end +$var wire 1 61 input0Wire1 $end +$var wire 1 71 input1Wire0 $end +$var wire 1 81 input1Wire1 $end +$var wire 1 91 input2Wire0 $end +$var wire 1 :1 input2Wire1 $end +$var wire 1 ;1 input3Wire0 $end +$var wire 1 <1 input3Wire1 $end +$var wire 1 =1 nA0 $end +$var wire 1 >1 nA1 $end +$var wire 1 ?1 orWire0 $end +$var wire 1 @1 orWire1 $end +$var wire 1 -1 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 A1 address0 $end +$var wire 1 B1 address1 $end +$var wire 1 C1 in0 $end +$var wire 1 D1 in1 $end +$var wire 1 E1 in2 $end +$var wire 1 F1 in3 $end +$var wire 1 G1 input0Wire0 $end +$var wire 1 H1 input0Wire1 $end +$var wire 1 I1 input1Wire0 $end +$var wire 1 J1 input1Wire1 $end +$var wire 1 K1 input2Wire0 $end +$var wire 1 L1 input2Wire1 $end +$var wire 1 M1 input3Wire0 $end +$var wire 1 N1 input3Wire1 $end +$var wire 1 O1 nA0 $end +$var wire 1 P1 nA1 $end +$var wire 1 Q1 orWire0 $end +$var wire 1 R1 orWire1 $end +$var wire 1 .1 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[24] $end +$scope module unit $end +$var wire 1 S1 bitA $end +$var wire 1 T1 bitB $end +$var wire 1 U1 bitR $end +$var wire 1 V1 carryin $end +$var wire 1 W1 carryout $end +$var wire 1 X1 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 Y1 less $end +$var wire 3 Z1 muxindex [2:0] $end +$var wire 8 [1 muxinput [7:0] $end +$scope module adder $end +$var wire 1 S1 a $end +$var wire 1 \1 aandb $end +$var wire 1 ]1 aorb $end +$var wire 1 ^1 axorb $end +$var wire 1 X1 b $end +$var wire 1 _1 carryOutWire $end +$var wire 1 V1 carryin $end +$var wire 1 W1 carryout $end +$var wire 1 `1 nCarryIn $end +$var wire 1 a1 notaxorb $end +$var wire 1 b1 sum $end +$var wire 1 c1 sumWire0 $end +$var wire 1 d1 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 e1 addr [2:0] $end +$var wire 1 f1 andResult1 $end +$var wire 1 g1 andResult2 $end +$var wire 8 h1 inputs [7:0] $end +$var wire 1 i1 nS2 $end +$var wire 1 U1 out $end +$var wire 1 j1 result1 $end +$var wire 1 k1 result2 $end +$scope module mux1 $end +$var wire 1 l1 address0 $end +$var wire 1 m1 address1 $end +$var wire 1 n1 in0 $end +$var wire 1 o1 in1 $end +$var wire 1 p1 in2 $end +$var wire 1 q1 in3 $end +$var wire 1 r1 input0Wire0 $end +$var wire 1 s1 input0Wire1 $end +$var wire 1 t1 input1Wire0 $end +$var wire 1 u1 input1Wire1 $end +$var wire 1 v1 input2Wire0 $end +$var wire 1 w1 input2Wire1 $end +$var wire 1 x1 input3Wire0 $end +$var wire 1 y1 input3Wire1 $end +$var wire 1 z1 nA0 $end +$var wire 1 {1 nA1 $end +$var wire 1 |1 orWire0 $end +$var wire 1 }1 orWire1 $end +$var wire 1 j1 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ~1 address0 $end +$var wire 1 !2 address1 $end +$var wire 1 "2 in0 $end +$var wire 1 #2 in1 $end +$var wire 1 $2 in2 $end +$var wire 1 %2 in3 $end +$var wire 1 &2 input0Wire0 $end +$var wire 1 '2 input0Wire1 $end +$var wire 1 (2 input1Wire0 $end +$var wire 1 )2 input1Wire1 $end +$var wire 1 *2 input2Wire0 $end +$var wire 1 +2 input2Wire1 $end +$var wire 1 ,2 input3Wire0 $end +$var wire 1 -2 input3Wire1 $end +$var wire 1 .2 nA0 $end +$var wire 1 /2 nA1 $end +$var wire 1 02 orWire0 $end +$var wire 1 12 orWire1 $end +$var wire 1 k1 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[25] $end +$scope module unit $end +$var wire 1 22 bitA $end +$var wire 1 32 bitB $end +$var wire 1 42 bitR $end +$var wire 1 52 carryin $end +$var wire 1 62 carryout $end +$var wire 1 72 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 82 less $end +$var wire 3 92 muxindex [2:0] $end +$var wire 8 :2 muxinput [7:0] $end +$scope module adder $end +$var wire 1 22 a $end +$var wire 1 ;2 aandb $end +$var wire 1 <2 aorb $end +$var wire 1 =2 axorb $end +$var wire 1 72 b $end +$var wire 1 >2 carryOutWire $end +$var wire 1 52 carryin $end +$var wire 1 62 carryout $end +$var wire 1 ?2 nCarryIn $end +$var wire 1 @2 notaxorb $end +$var wire 1 A2 sum $end +$var wire 1 B2 sumWire0 $end +$var wire 1 C2 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 D2 addr [2:0] $end +$var wire 1 E2 andResult1 $end +$var wire 1 F2 andResult2 $end +$var wire 8 G2 inputs [7:0] $end +$var wire 1 H2 nS2 $end +$var wire 1 42 out $end +$var wire 1 I2 result1 $end +$var wire 1 J2 result2 $end +$scope module mux1 $end +$var wire 1 K2 address0 $end +$var wire 1 L2 address1 $end +$var wire 1 M2 in0 $end +$var wire 1 N2 in1 $end +$var wire 1 O2 in2 $end +$var wire 1 P2 in3 $end +$var wire 1 Q2 input0Wire0 $end +$var wire 1 R2 input0Wire1 $end +$var wire 1 S2 input1Wire0 $end +$var wire 1 T2 input1Wire1 $end +$var wire 1 U2 input2Wire0 $end +$var wire 1 V2 input2Wire1 $end +$var wire 1 W2 input3Wire0 $end +$var wire 1 X2 input3Wire1 $end +$var wire 1 Y2 nA0 $end +$var wire 1 Z2 nA1 $end +$var wire 1 [2 orWire0 $end +$var wire 1 \2 orWire1 $end +$var wire 1 I2 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ]2 address0 $end +$var wire 1 ^2 address1 $end +$var wire 1 _2 in0 $end +$var wire 1 `2 in1 $end +$var wire 1 a2 in2 $end +$var wire 1 b2 in3 $end +$var wire 1 c2 input0Wire0 $end +$var wire 1 d2 input0Wire1 $end +$var wire 1 e2 input1Wire0 $end +$var wire 1 f2 input1Wire1 $end +$var wire 1 g2 input2Wire0 $end +$var wire 1 h2 input2Wire1 $end +$var wire 1 i2 input3Wire0 $end +$var wire 1 j2 input3Wire1 $end +$var wire 1 k2 nA0 $end +$var wire 1 l2 nA1 $end +$var wire 1 m2 orWire0 $end +$var wire 1 n2 orWire1 $end +$var wire 1 J2 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[26] $end +$scope module unit $end +$var wire 1 o2 bitA $end +$var wire 1 p2 bitB $end +$var wire 1 q2 bitR $end +$var wire 1 r2 carryin $end +$var wire 1 s2 carryout $end +$var wire 1 t2 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 u2 less $end +$var wire 3 v2 muxindex [2:0] $end +$var wire 8 w2 muxinput [7:0] $end +$scope module adder $end +$var wire 1 o2 a $end +$var wire 1 x2 aandb $end +$var wire 1 y2 aorb $end +$var wire 1 z2 axorb $end +$var wire 1 t2 b $end +$var wire 1 {2 carryOutWire $end +$var wire 1 r2 carryin $end +$var wire 1 s2 carryout $end +$var wire 1 |2 nCarryIn $end +$var wire 1 }2 notaxorb $end +$var wire 1 ~2 sum $end +$var wire 1 !3 sumWire0 $end +$var wire 1 "3 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 #3 addr [2:0] $end +$var wire 1 $3 andResult1 $end +$var wire 1 %3 andResult2 $end +$var wire 8 &3 inputs [7:0] $end +$var wire 1 '3 nS2 $end +$var wire 1 q2 out $end +$var wire 1 (3 result1 $end +$var wire 1 )3 result2 $end +$scope module mux1 $end +$var wire 1 *3 address0 $end +$var wire 1 +3 address1 $end +$var wire 1 ,3 in0 $end +$var wire 1 -3 in1 $end +$var wire 1 .3 in2 $end +$var wire 1 /3 in3 $end +$var wire 1 03 input0Wire0 $end +$var wire 1 13 input0Wire1 $end +$var wire 1 23 input1Wire0 $end +$var wire 1 33 input1Wire1 $end +$var wire 1 43 input2Wire0 $end +$var wire 1 53 input2Wire1 $end +$var wire 1 63 input3Wire0 $end +$var wire 1 73 input3Wire1 $end +$var wire 1 83 nA0 $end +$var wire 1 93 nA1 $end +$var wire 1 :3 orWire0 $end +$var wire 1 ;3 orWire1 $end +$var wire 1 (3 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 <3 address0 $end +$var wire 1 =3 address1 $end +$var wire 1 >3 in0 $end +$var wire 1 ?3 in1 $end +$var wire 1 @3 in2 $end +$var wire 1 A3 in3 $end +$var wire 1 B3 input0Wire0 $end +$var wire 1 C3 input0Wire1 $end +$var wire 1 D3 input1Wire0 $end +$var wire 1 E3 input1Wire1 $end +$var wire 1 F3 input2Wire0 $end +$var wire 1 G3 input2Wire1 $end +$var wire 1 H3 input3Wire0 $end +$var wire 1 I3 input3Wire1 $end +$var wire 1 J3 nA0 $end +$var wire 1 K3 nA1 $end +$var wire 1 L3 orWire0 $end +$var wire 1 M3 orWire1 $end +$var wire 1 )3 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[27] $end +$scope module unit $end +$var wire 1 N3 bitA $end +$var wire 1 O3 bitB $end +$var wire 1 P3 bitR $end +$var wire 1 Q3 carryin $end +$var wire 1 R3 carryout $end +$var wire 1 S3 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 T3 less $end +$var wire 3 U3 muxindex [2:0] $end +$var wire 8 V3 muxinput [7:0] $end +$scope module adder $end +$var wire 1 N3 a $end +$var wire 1 W3 aandb $end +$var wire 1 X3 aorb $end +$var wire 1 Y3 axorb $end +$var wire 1 S3 b $end +$var wire 1 Z3 carryOutWire $end +$var wire 1 Q3 carryin $end +$var wire 1 R3 carryout $end +$var wire 1 [3 nCarryIn $end +$var wire 1 \3 notaxorb $end +$var wire 1 ]3 sum $end +$var wire 1 ^3 sumWire0 $end +$var wire 1 _3 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 `3 addr [2:0] $end +$var wire 1 a3 andResult1 $end +$var wire 1 b3 andResult2 $end +$var wire 8 c3 inputs [7:0] $end +$var wire 1 d3 nS2 $end +$var wire 1 P3 out $end +$var wire 1 e3 result1 $end +$var wire 1 f3 result2 $end +$scope module mux1 $end +$var wire 1 g3 address0 $end +$var wire 1 h3 address1 $end +$var wire 1 i3 in0 $end +$var wire 1 j3 in1 $end +$var wire 1 k3 in2 $end +$var wire 1 l3 in3 $end +$var wire 1 m3 input0Wire0 $end +$var wire 1 n3 input0Wire1 $end +$var wire 1 o3 input1Wire0 $end +$var wire 1 p3 input1Wire1 $end +$var wire 1 q3 input2Wire0 $end +$var wire 1 r3 input2Wire1 $end +$var wire 1 s3 input3Wire0 $end +$var wire 1 t3 input3Wire1 $end +$var wire 1 u3 nA0 $end +$var wire 1 v3 nA1 $end +$var wire 1 w3 orWire0 $end +$var wire 1 x3 orWire1 $end +$var wire 1 e3 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 y3 address0 $end +$var wire 1 z3 address1 $end +$var wire 1 {3 in0 $end +$var wire 1 |3 in1 $end +$var wire 1 }3 in2 $end +$var wire 1 ~3 in3 $end +$var wire 1 !4 input0Wire0 $end +$var wire 1 "4 input0Wire1 $end +$var wire 1 #4 input1Wire0 $end +$var wire 1 $4 input1Wire1 $end +$var wire 1 %4 input2Wire0 $end +$var wire 1 &4 input2Wire1 $end +$var wire 1 '4 input3Wire0 $end +$var wire 1 (4 input3Wire1 $end +$var wire 1 )4 nA0 $end +$var wire 1 *4 nA1 $end +$var wire 1 +4 orWire0 $end +$var wire 1 ,4 orWire1 $end +$var wire 1 f3 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[28] $end +$scope module unit $end +$var wire 1 -4 bitA $end +$var wire 1 .4 bitB $end +$var wire 1 /4 bitR $end +$var wire 1 04 carryin $end +$var wire 1 14 carryout $end +$var wire 1 24 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 34 less $end +$var wire 3 44 muxindex [2:0] $end +$var wire 8 54 muxinput [7:0] $end +$scope module adder $end +$var wire 1 -4 a $end +$var wire 1 64 aandb $end +$var wire 1 74 aorb $end +$var wire 1 84 axorb $end +$var wire 1 24 b $end +$var wire 1 94 carryOutWire $end +$var wire 1 04 carryin $end +$var wire 1 14 carryout $end +$var wire 1 :4 nCarryIn $end +$var wire 1 ;4 notaxorb $end +$var wire 1 <4 sum $end +$var wire 1 =4 sumWire0 $end +$var wire 1 >4 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ?4 addr [2:0] $end +$var wire 1 @4 andResult1 $end +$var wire 1 A4 andResult2 $end +$var wire 8 B4 inputs [7:0] $end +$var wire 1 C4 nS2 $end +$var wire 1 /4 out $end +$var wire 1 D4 result1 $end +$var wire 1 E4 result2 $end +$scope module mux1 $end +$var wire 1 F4 address0 $end +$var wire 1 G4 address1 $end +$var wire 1 H4 in0 $end +$var wire 1 I4 in1 $end +$var wire 1 J4 in2 $end +$var wire 1 K4 in3 $end +$var wire 1 L4 input0Wire0 $end +$var wire 1 M4 input0Wire1 $end +$var wire 1 N4 input1Wire0 $end +$var wire 1 O4 input1Wire1 $end +$var wire 1 P4 input2Wire0 $end +$var wire 1 Q4 input2Wire1 $end +$var wire 1 R4 input3Wire0 $end +$var wire 1 S4 input3Wire1 $end +$var wire 1 T4 nA0 $end +$var wire 1 U4 nA1 $end +$var wire 1 V4 orWire0 $end +$var wire 1 W4 orWire1 $end +$var wire 1 D4 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 X4 address0 $end +$var wire 1 Y4 address1 $end +$var wire 1 Z4 in0 $end +$var wire 1 [4 in1 $end +$var wire 1 \4 in2 $end +$var wire 1 ]4 in3 $end +$var wire 1 ^4 input0Wire0 $end +$var wire 1 _4 input0Wire1 $end +$var wire 1 `4 input1Wire0 $end +$var wire 1 a4 input1Wire1 $end +$var wire 1 b4 input2Wire0 $end +$var wire 1 c4 input2Wire1 $end +$var wire 1 d4 input3Wire0 $end +$var wire 1 e4 input3Wire1 $end +$var wire 1 f4 nA0 $end +$var wire 1 g4 nA1 $end +$var wire 1 h4 orWire0 $end +$var wire 1 i4 orWire1 $end +$var wire 1 E4 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[29] $end +$scope module unit $end +$var wire 1 j4 bitA $end +$var wire 1 k4 bitB $end +$var wire 1 l4 bitR $end +$var wire 1 m4 carryin $end +$var wire 1 n4 carryout $end +$var wire 1 o4 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 p4 less $end +$var wire 3 q4 muxindex [2:0] $end +$var wire 8 r4 muxinput [7:0] $end +$scope module adder $end +$var wire 1 j4 a $end +$var wire 1 s4 aandb $end +$var wire 1 t4 aorb $end +$var wire 1 u4 axorb $end +$var wire 1 o4 b $end +$var wire 1 v4 carryOutWire $end +$var wire 1 m4 carryin $end +$var wire 1 n4 carryout $end +$var wire 1 w4 nCarryIn $end +$var wire 1 x4 notaxorb $end +$var wire 1 y4 sum $end +$var wire 1 z4 sumWire0 $end +$var wire 1 {4 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 |4 addr [2:0] $end +$var wire 1 }4 andResult1 $end +$var wire 1 ~4 andResult2 $end +$var wire 8 !5 inputs [7:0] $end +$var wire 1 "5 nS2 $end +$var wire 1 l4 out $end +$var wire 1 #5 result1 $end +$var wire 1 $5 result2 $end +$scope module mux1 $end +$var wire 1 %5 address0 $end +$var wire 1 &5 address1 $end +$var wire 1 '5 in0 $end +$var wire 1 (5 in1 $end +$var wire 1 )5 in2 $end +$var wire 1 *5 in3 $end +$var wire 1 +5 input0Wire0 $end +$var wire 1 ,5 input0Wire1 $end +$var wire 1 -5 input1Wire0 $end +$var wire 1 .5 input1Wire1 $end +$var wire 1 /5 input2Wire0 $end +$var wire 1 05 input2Wire1 $end +$var wire 1 15 input3Wire0 $end +$var wire 1 25 input3Wire1 $end +$var wire 1 35 nA0 $end +$var wire 1 45 nA1 $end +$var wire 1 55 orWire0 $end +$var wire 1 65 orWire1 $end +$var wire 1 #5 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 75 address0 $end +$var wire 1 85 address1 $end +$var wire 1 95 in0 $end +$var wire 1 :5 in1 $end +$var wire 1 ;5 in2 $end +$var wire 1 <5 in3 $end +$var wire 1 =5 input0Wire0 $end +$var wire 1 >5 input0Wire1 $end +$var wire 1 ?5 input1Wire0 $end +$var wire 1 @5 input1Wire1 $end +$var wire 1 A5 input2Wire0 $end +$var wire 1 B5 input2Wire1 $end +$var wire 1 C5 input3Wire0 $end +$var wire 1 D5 input3Wire1 $end +$var wire 1 E5 nA0 $end +$var wire 1 F5 nA1 $end +$var wire 1 G5 orWire0 $end +$var wire 1 H5 orWire1 $end +$var wire 1 $5 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[30] $end +$scope module unit $end +$var wire 1 I5 bitA $end +$var wire 1 J5 bitB $end +$var wire 1 K5 bitR $end +$var wire 1 L5 carryin $end +$var wire 1 M5 carryout $end +$var wire 1 N5 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 O5 less $end +$var wire 3 P5 muxindex [2:0] $end +$var wire 8 Q5 muxinput [7:0] $end +$scope module adder $end +$var wire 1 I5 a $end +$var wire 1 R5 aandb $end +$var wire 1 S5 aorb $end +$var wire 1 T5 axorb $end +$var wire 1 N5 b $end +$var wire 1 U5 carryOutWire $end +$var wire 1 L5 carryin $end +$var wire 1 M5 carryout $end +$var wire 1 V5 nCarryIn $end +$var wire 1 W5 notaxorb $end +$var wire 1 X5 sum $end +$var wire 1 Y5 sumWire0 $end +$var wire 1 Z5 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 [5 addr [2:0] $end +$var wire 1 \5 andResult1 $end +$var wire 1 ]5 andResult2 $end +$var wire 8 ^5 inputs [7:0] $end +$var wire 1 _5 nS2 $end +$var wire 1 K5 out $end +$var wire 1 `5 result1 $end +$var wire 1 a5 result2 $end +$scope module mux1 $end +$var wire 1 b5 address0 $end +$var wire 1 c5 address1 $end +$var wire 1 d5 in0 $end +$var wire 1 e5 in1 $end +$var wire 1 f5 in2 $end +$var wire 1 g5 in3 $end +$var wire 1 h5 input0Wire0 $end +$var wire 1 i5 input0Wire1 $end +$var wire 1 j5 input1Wire0 $end +$var wire 1 k5 input1Wire1 $end +$var wire 1 l5 input2Wire0 $end +$var wire 1 m5 input2Wire1 $end +$var wire 1 n5 input3Wire0 $end +$var wire 1 o5 input3Wire1 $end +$var wire 1 p5 nA0 $end +$var wire 1 q5 nA1 $end +$var wire 1 r5 orWire0 $end +$var wire 1 s5 orWire1 $end +$var wire 1 `5 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 t5 address0 $end +$var wire 1 u5 address1 $end +$var wire 1 v5 in0 $end +$var wire 1 w5 in1 $end +$var wire 1 x5 in2 $end +$var wire 1 y5 in3 $end +$var wire 1 z5 input0Wire0 $end +$var wire 1 {5 input0Wire1 $end +$var wire 1 |5 input1Wire0 $end +$var wire 1 }5 input1Wire1 $end +$var wire 1 ~5 input2Wire0 $end +$var wire 1 !6 input2Wire1 $end +$var wire 1 "6 input3Wire0 $end +$var wire 1 #6 input3Wire1 $end +$var wire 1 $6 nA0 $end +$var wire 1 %6 nA1 $end +$var wire 1 &6 orWire0 $end +$var wire 1 '6 orWire1 $end +$var wire 1 a5 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_inverted_result[2] $end +$upscope $end +$scope begin generate_inverted_result[3] $end +$upscope $end +$scope begin generate_inverted_result[4] $end +$upscope $end +$scope begin generate_inverted_result[5] $end +$upscope $end +$scope begin generate_inverted_result[6] $end +$upscope $end +$scope begin generate_inverted_result[7] $end +$upscope $end +$scope begin generate_inverted_result[8] $end +$upscope $end +$scope begin generate_inverted_result[9] $end +$upscope $end +$scope begin generate_inverted_result[10] $end +$upscope $end +$scope begin generate_inverted_result[11] $end +$upscope $end +$scope begin generate_inverted_result[12] $end +$upscope $end +$scope begin generate_inverted_result[13] $end +$upscope $end +$scope begin generate_inverted_result[14] $end +$upscope $end +$scope begin generate_inverted_result[15] $end +$upscope $end +$scope begin generate_inverted_result[16] $end +$upscope $end +$scope begin generate_inverted_result[17] $end +$upscope $end +$scope begin generate_inverted_result[18] $end +$upscope $end +$scope begin generate_inverted_result[19] $end +$upscope $end +$scope begin generate_inverted_result[20] $end +$upscope $end +$scope begin generate_inverted_result[21] $end +$upscope $end +$scope begin generate_inverted_result[22] $end +$upscope $end +$scope begin generate_inverted_result[23] $end +$upscope $end +$scope begin generate_inverted_result[24] $end +$upscope $end +$scope begin generate_inverted_result[25] $end +$upscope $end +$scope begin generate_inverted_result[26] $end +$upscope $end +$scope begin generate_inverted_result[27] $end +$upscope $end +$scope begin generate_inverted_result[28] $end +$upscope $end +$scope begin generate_inverted_result[29] $end +$upscope $end +$scope begin generate_inverted_result[30] $end +$upscope $end +$scope begin generate_inverted_result[31] $end +$upscope $end +$scope begin generate_zero_flag[1] $end +$upscope $end +$scope begin generate_zero_flag[2] $end +$upscope $end +$scope begin generate_zero_flag[3] $end +$upscope $end +$scope begin generate_zero_flag[4] $end +$upscope $end +$scope begin generate_zero_flag[5] $end +$upscope $end +$scope begin generate_zero_flag[6] $end +$upscope $end +$scope begin generate_zero_flag[7] $end +$upscope $end +$scope begin generate_zero_flag[8] $end +$upscope $end +$scope begin generate_zero_flag[9] $end +$upscope $end +$scope begin generate_zero_flag[10] $end +$upscope $end +$scope begin generate_zero_flag[11] $end +$upscope $end +$scope begin generate_zero_flag[12] $end +$upscope $end +$scope begin generate_zero_flag[13] $end +$upscope $end +$scope begin generate_zero_flag[14] $end +$upscope $end +$scope begin generate_zero_flag[15] $end +$upscope $end +$scope begin generate_zero_flag[16] $end +$upscope $end +$scope begin generate_zero_flag[17] $end +$upscope $end +$scope begin generate_zero_flag[18] $end +$upscope $end +$scope begin generate_zero_flag[19] $end +$upscope $end +$scope begin generate_zero_flag[20] $end +$upscope $end +$scope begin generate_zero_flag[21] $end +$upscope $end +$scope begin generate_zero_flag[22] $end +$upscope $end +$scope begin generate_zero_flag[23] $end +$upscope $end +$scope begin generate_zero_flag[24] $end +$upscope $end +$scope begin generate_zero_flag[25] $end +$upscope $end +$scope begin generate_zero_flag[26] $end +$upscope $end +$scope begin generate_zero_flag[27] $end +$upscope $end +$scope begin generate_zero_flag[28] $end +$upscope $end +$scope begin generate_zero_flag[29] $end +$upscope $end +$scope begin generate_zero_flag[30] $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +x'6 +x&6 +x%6 +x$6 +x#6 +x"6 +x!6 +x~5 +x}5 +x|5 +x{5 +xz5 +xy5 +zx5 +zw5 +xv5 +0u5 +0t5 +xs5 +xr5 +xq5 +xp5 +zo5 +xn5 +xm5 +xl5 +xk5 +xj5 +xi5 +xh5 +0g5 +zf5 +ze5 +xd5 +0c5 +0b5 +xa5 +x`5 +x_5 +bxzzx0zzx ^5 +x]5 +x\5 +b0 [5 +xZ5 +xY5 +xX5 +xW5 +xV5 +xU5 +xT5 +xS5 +zR5 +bxzzx0zzx Q5 +b0 P5 +0O5 +xN5 +xM5 +xL5 +xK5 +0J5 +0I5 +xH5 +xG5 +xF5 +xE5 +xD5 +xC5 +xB5 +xA5 +x@5 +x?5 +x>5 +x=5 +x<5 +z;5 +z:5 +x95 +085 +075 +x65 +x55 +x45 +x35 +z25 +x15 +x05 +x/5 +x.5 +x-5 +x,5 +x+5 +0*5 +z)5 +z(5 +x'5 +0&5 +0%5 +x$5 +x#5 +x"5 +bxzzx0zzx !5 +x~4 +x}4 +b0 |4 +x{4 +xz4 +xy4 +xx4 +xw4 +xv4 +xu4 +xt4 +zs4 +bxzzx0zzx r4 +b0 q4 +0p4 +xo4 +xn4 +xm4 +xl4 +0k4 +0j4 +xi4 +xh4 +xg4 +xf4 +xe4 +xd4 +xc4 +xb4 +xa4 +x`4 +x_4 +x^4 +x]4 +z\4 +z[4 +xZ4 +0Y4 +0X4 +xW4 +xV4 +xU4 +xT4 +zS4 +xR4 +xQ4 +xP4 +xO4 +xN4 +xM4 +xL4 +0K4 +zJ4 +zI4 +xH4 +0G4 +0F4 +xE4 +xD4 +xC4 +bxzzx0zzx B4 +xA4 +x@4 +b0 ?4 +x>4 +x=4 +x<4 +x;4 +x:4 +x94 +x84 +x74 +z64 +bxzzx0zzx 54 +b0 44 +034 +x24 +x14 +x04 +x/4 +0.4 +0-4 +x,4 +x+4 +x*4 +x)4 +x(4 +x'4 +x&4 +x%4 +x$4 +x#4 +x"4 +x!4 +x~3 +z}3 +z|3 +x{3 +0z3 +0y3 +xx3 +xw3 +xv3 +xu3 +zt3 +xs3 +xr3 +xq3 +xp3 +xo3 +xn3 +xm3 +0l3 +zk3 +zj3 +xi3 +0h3 +0g3 +xf3 +xe3 +xd3 +bxzzx0zzx c3 +xb3 +xa3 +b0 `3 +x_3 +x^3 +x]3 +x\3 +x[3 +xZ3 +xY3 +xX3 +zW3 +bxzzx0zzx V3 +b0 U3 +0T3 +xS3 +xR3 +xQ3 +xP3 +0O3 +0N3 +xM3 +xL3 +xK3 +xJ3 +xI3 +xH3 +xG3 +xF3 +xE3 +xD3 +xC3 +xB3 +xA3 +z@3 +z?3 +x>3 +0=3 +0<3 +x;3 +x:3 +x93 +x83 +z73 +x63 +x53 +x43 +x33 +x23 +x13 +x03 +0/3 +z.3 +z-3 +x,3 +0+3 +0*3 +x)3 +x(3 +x'3 +bxzzx0zzx &3 +x%3 +x$3 +b0 #3 +x"3 +x!3 +x~2 +x}2 +x|2 +x{2 +xz2 +xy2 +zx2 +bxzzx0zzx w2 +b0 v2 +0u2 +xt2 +xs2 +xr2 +xq2 +0p2 +0o2 +xn2 +xm2 +xl2 +xk2 +xj2 +xi2 +xh2 +xg2 +xf2 +xe2 +xd2 +xc2 +xb2 +za2 +z`2 +x_2 +0^2 +0]2 +x\2 +x[2 +xZ2 +xY2 +zX2 +xW2 +xV2 +xU2 +xT2 +xS2 +xR2 +xQ2 +0P2 +zO2 +zN2 +xM2 +0L2 +0K2 +xJ2 +xI2 +xH2 +bxzzx0zzx G2 +xF2 +xE2 +b0 D2 +xC2 +xB2 +xA2 +x@2 +x?2 +x>2 +x=2 +x<2 +z;2 +bxzzx0zzx :2 +b0 92 +082 +x72 +x62 +x52 +x42 +032 +022 +x12 +x02 +x/2 +x.2 +x-2 +x,2 +x+2 +x*2 +x)2 +x(2 +x'2 +x&2 +x%2 +z$2 +z#2 +x"2 +0!2 +0~1 +x}1 +x|1 +x{1 +xz1 +zy1 +xx1 +xw1 +xv1 +xu1 +xt1 +xs1 +xr1 +0q1 +zp1 +zo1 +xn1 +0m1 +0l1 +xk1 +xj1 +xi1 +bxzzx0zzx h1 +xg1 +xf1 +b0 e1 +xd1 +xc1 +xb1 +xa1 +x`1 +x_1 +x^1 +x]1 +z\1 +bxzzx0zzx [1 +b0 Z1 +0Y1 +xX1 +xW1 +xV1 +xU1 +0T1 +0S1 +xR1 +xQ1 +xP1 +xO1 +xN1 +xM1 +xL1 +xK1 +xJ1 +xI1 +xH1 +xG1 +xF1 +zE1 +zD1 +xC1 +0B1 +0A1 +x@1 +x?1 +x>1 +x=1 +z<1 +x;1 +x:1 +x91 +x81 +x71 +x61 +x51 +041 +z31 +z21 +x11 +001 +0/1 +x.1 +x-1 +x,1 +bxzzx0zzx +1 +x*1 +x)1 +b0 (1 +x'1 +x&1 +x%1 +x$1 +x#1 +x"1 +x!1 +x~0 +z}0 +bxzzx0zzx |0 +b0 {0 +0z0 +xy0 +xx0 +xw0 +xv0 +0u0 +0t0 +xs0 +xr0 +xq0 +xp0 +xo0 +xn0 +xm0 +xl0 +xk0 +xj0 +xi0 +xh0 +xg0 +zf0 +ze0 +xd0 +0c0 +0b0 +xa0 +x`0 +x_0 +x^0 +z]0 +x\0 +x[0 +xZ0 +xY0 +xX0 +xW0 +xV0 +0U0 +zT0 +zS0 +xR0 +0Q0 +0P0 +xO0 +xN0 +xM0 +bxzzx0zzx L0 +xK0 +xJ0 +b0 I0 +xH0 +xG0 +xF0 +xE0 +xD0 +xC0 +xB0 +xA0 +z@0 +bxzzx0zzx ?0 +b0 >0 +0=0 +x<0 +x;0 +x:0 +x90 +080 +070 +x60 +x50 +x40 +x30 +x20 +x10 +x00 +x/0 +x.0 +x-0 +x,0 +x+0 +x*0 +z)0 +z(0 +x'0 +0&0 +0%0 +x$0 +x#0 +x"0 +x!0 +z~/ +x}/ +x|/ +x{/ +xz/ +xy/ +xx/ +xw/ +0v/ +zu/ +zt/ +xs/ +0r/ +0q/ +xp/ +xo/ +xn/ +bxzzx0zzx m/ +xl/ +xk/ +b0 j/ +xi/ +xh/ +xg/ +xf/ +xe/ +xd/ +xc/ +xb/ +za/ +bxzzx0zzx `/ +b0 _/ +0^/ +x]/ +x\/ +x[/ +xZ/ +0Y/ +0X/ +xW/ +xV/ +xU/ +xT/ +xS/ +xR/ +xQ/ +xP/ +xO/ +xN/ +xM/ +xL/ +xK/ +zJ/ +zI/ +xH/ +0G/ +0F/ +xE/ +xD/ +xC/ +xB/ +zA/ +x@/ +x?/ +x>/ +x=/ +x. +0=. +0<. +x;. +x:. +x9. +x8. +x7. +x6. +x5. +x4. +x3. +x2. +x1. +x0. +x/. +z.. +z-. +x,. +0+. +0*. +x). +x(. +x'. +x&. +z%. +x$. +x#. +x". +x!. +x~- +x}- +x|- +0{- +zz- +zy- +xx- +0w- +0v- +xu- +xt- +xs- +bxzzx0zzx r- +xq- +xp- +b0 o- +xn- +xm- +xl- +xk- +xj- +xi- +xh- +xg- +zf- +bxzzx0zzx e- +b0 d- +0c- +xb- +xa- +x`- +x_- +0^- +0]- +x\- +x[- +xZ- +xY- +xX- +xW- +xV- +xU- +xT- +xS- +xR- +xQ- +xP- +zO- +zN- +xM- +0L- +0K- +xJ- +xI- +xH- +xG- +zF- +xE- +xD- +xC- +xB- +xA- +x@- +x?- +0>- +z=- +z<- +x;- +0:- +09- +x8- +x7- +x6- +bxzzx0zzx 5- +x4- +x3- +b0 2- +x1- +x0- +x/- +x.- +x-- +x,- +x+- +x*- +z)- +bxzzx0zzx (- +b0 '- +0&- +x%- +x$- +x#- +x"- +0!- +0~, +x}, +x|, +x{, +xz, +xy, +xx, +xw, +xv, +xu, +xt, +xs, +xr, +xq, +zp, +zo, +xn, +0m, +0l, +xk, +xj, +xi, +xh, +zg, +xf, +xe, +xd, +xc, +xb, +xa, +x`, +0_, +z^, +z], +x\, +0[, +0Z, +xY, +xX, +xW, +bxzzx0zzx V, +xU, +xT, +b0 S, +xR, +xQ, +xP, +xO, +xN, +xM, +xL, +xK, +zJ, +bxzzx0zzx I, +b0 H, +0G, +xF, +xE, +xD, +xC, +0B, +0A, +x@, +x?, +x>, +x=, +x<, +x;, +x:, +x9, +x8, +x7, +x6, +x5, +x4, +z3, +z2, +x1, +00, +0/, +x., +x-, +x,, +x+, +z*, +x), +x(, +x', +x&, +x%, +x$, +x#, +0", +z!, +z~+ +x}+ +0|+ +0{+ +xz+ +xy+ +xx+ +bxzzx0zzx w+ +xv+ +xu+ +b0 t+ +xs+ +xr+ +xq+ +xp+ +xo+ +xn+ +xm+ +xl+ +zk+ +bxzzx0zzx j+ +b0 i+ +0h+ +xg+ +xf+ +xe+ +xd+ +0c+ +0b+ +xa+ +x`+ +x_+ +x^+ +x]+ +x\+ +x[+ +xZ+ +xY+ +xX+ +xW+ +xV+ +xU+ +zT+ +zS+ +xR+ +0Q+ +0P+ +xO+ +xN+ +xM+ +xL+ +zK+ +xJ+ +xI+ +xH+ +xG+ +xF+ +xE+ +xD+ +0C+ +zB+ +zA+ +x@+ +0?+ +0>+ +x=+ +x<+ +x;+ +bxzzx0zzx :+ +x9+ +x8+ +b0 7+ +x6+ +x5+ +x4+ +x3+ +x2+ +x1+ +x0+ +x/+ +z.+ +bxzzx0zzx -+ +b0 ,+ +0++ +x*+ +x)+ +x(+ +x'+ +0&+ +0%+ +x$+ +x#+ +x"+ +x!+ +x~* +x}* +x|* +x{* +xz* +xy* +xx* +xw* +xv* +zu* +zt* +xs* +0r* +0q* +xp* +xo* +xn* +xm* +zl* +xk* +xj* +xi* +xh* +xg* +xf* +xe* +0d* +zc* +zb* +xa* +0`* +0_* +x^* +x]* +x\* +bxzzx0zzx [* +xZ* +xY* +b0 X* +xW* +xV* +xU* +xT* +xS* +xR* +xQ* +xP* +zO* +bxzzx0zzx N* +b0 M* +0L* +xK* +xJ* +xI* +xH* +0G* +0F* +xE* +xD* +xC* +xB* +xA* +x@* +x?* +x>* +x=* +x<* +x;* +x:* +x9* +z8* +z7* +x6* +05* +04* +x3* +x2* +x1* +x0* +z/* +x.* +x-* +x,* +x+* +x** +x)* +x(* +0'* +z&* +z%* +x$* +0#* +0"* +x!* +x~) +x}) +bxzzx0zzx |) +x{) +xz) +b0 y) +xx) +xw) +xv) +xu) +xt) +xs) +xr) +xq) +zp) +bxzzx0zzx o) +b0 n) +0m) +xl) +xk) +xj) +xi) +0h) +0g) +xf) +xe) +xd) +xc) +xb) +xa) +x`) +x_) +x^) +x]) +x\) +x[) +xZ) +zY) +zX) +xW) +0V) +0U) +xT) +xS) +xR) +xQ) +zP) +xO) +xN) +xM) +xL) +xK) +xJ) +xI) +0H) +zG) +zF) +xE) +0D) +0C) +xB) +xA) +x@) +bxzzx0zzx ?) +x>) +x=) +b0 <) +x;) +x:) +x9) +x8) +x7) +x6) +x5) +x4) +z3) +bxzzx0zzx 2) +b0 1) +00) +x/) +x.) +x-) +x,) +0+) +0*) +x)) +x() +x') +x&) +x%) +x$) +x#) +x") +x!) +x~( +x}( +x|( +x{( +zz( +zy( +xx( +0w( +0v( +xu( +xt( +xs( +xr( +zq( +xp( +xo( +xn( +xm( +xl( +xk( +xj( +0i( +zh( +zg( +xf( +0e( +0d( +xc( +xb( +xa( +bxzzx0zzx `( +x_( +x^( +b0 ]( +x\( +x[( +xZ( +xY( +xX( +xW( +xV( +xU( +zT( +bxzzx0zzx S( +b0 R( +0Q( +xP( +xO( +xN( +xM( +0L( +0K( +xJ( +xI( +xH( +xG( +xF( +xE( +xD( +xC( +xB( +xA( +x@( +x?( +x>( +z=( +z<( +x;( +0:( +09( +x8( +x7( +x6( +x5( +z4( +x3( +x2( +x1( +x0( +x/( +x.( +x-( +0,( +z+( +z*( +x)( +0(( +0'( +x&( +x%( +x$( +bxzzx0zzx #( +x"( +x!( +b0 ~' +x}' +x|' +x{' +xz' +xy' +xx' +xw' +xv' +zu' +bxzzx0zzx t' +b0 s' +0r' +xq' +xp' +xo' +xn' +0m' +0l' +xk' +xj' +xi' +xh' +xg' +xf' +xe' +xd' +xc' +xb' +xa' +x`' +x_' +z^' +z]' +x\' +0[' +0Z' +xY' +xX' +xW' +xV' +zU' +xT' +xS' +xR' +xQ' +xP' +xO' +xN' +0M' +zL' +zK' +xJ' +0I' +0H' +xG' +xF' +xE' +bxzzx0zzx D' +xC' +xB' +b0 A' +x@' +x?' +x>' +x=' +x<' +x;' +x:' +x9' +z8' +bxzzx0zzx 7' +b0 6' +05' +x4' +x3' +x2' +x1' +00' +0/' +x.' +x-' +x,' +x+' +x*' +x)' +x(' +x'' +x&' +x%' +x$' +x#' +x"' +z!' +z~& +x}& +0|& +0{& +xz& +xy& +xx& +xw& +zv& +xu& +xt& +xs& +xr& +xq& +xp& +xo& +0n& +zm& +zl& +xk& +0j& +0i& +xh& +xg& +xf& +bxzzx0zzx e& +xd& +xc& +b0 b& +xa& +x`& +x_& +x^& +x]& +x\& +x[& +xZ& +zY& +bxzzx0zzx X& +b0 W& +0V& +xU& +xT& +xS& +xR& +0Q& +0P& +xO& +xN& +xM& +xL& +xK& +xJ& +xI& +xH& +xG& +xF& +xE& +xD& +xC& +zB& +zA& +x@& +0?& +0>& +x=& +x<& +x;& +x:& +z9& +x8& +x7& +x6& +x5& +x4& +x3& +x2& +01& +z0& +z/& +x.& +0-& +0,& +x+& +x*& +x)& +bxzzx0zzx (& +x'& +x&& +b0 %& +x$& +x#& +x"& +x!& +x~% +x}% +x|% +x{% +zz% +bxzzx0zzx y% +b0 x% +0w% +xv% +xu% +xt% +xs% +0r% +0q% +xp% +xo% +xn% +xm% +xl% +xk% +xj% +xi% +xh% +xg% +xf% +xe% +xd% +zc% +zb% +xa% +0`% +0_% +x^% +x]% +x\% +x[% +zZ% +xY% +xX% +xW% +xV% +xU% +xT% +xS% +0R% +zQ% +zP% +xO% +0N% +0M% +xL% +xK% +xJ% +bxzzx0zzx I% +xH% +xG% +b0 F% +xE% +xD% +xC% +xB% +xA% +x@% +x?% +x>% +z=% +bxzzx0zzx <% +b0 ;% +0:% +x9% +x8% +x7% +x6% +05% +04% +x3% +x2% +x1% +x0% +x/% +x.% +x-% +x,% +x+% +x*% +x)% +x(% +x'% +z&% +z%% +x$% +0#% +0"% +x!% +x~$ +x}$ +x|$ +z{$ +xz$ +xy$ +xx$ +xw$ +xv$ +xu$ +xt$ +0s$ +zr$ +zq$ +xp$ +0o$ +0n$ +xm$ +xl$ +xk$ +bxzzx0zzx j$ +xi$ +xh$ +b0 g$ +xf$ +xe$ +xd$ +xc$ +xb$ +xa$ +x`$ +x_$ +z^$ +bxzzx0zzx ]$ +b0 \$ +0[$ +xZ$ +xY$ +xX$ +xW$ +0V$ +0U$ +xT$ +xS$ +xR$ +xQ$ +xP$ +xO$ +xN$ +xM$ +xL$ +xK$ +xJ$ +xI$ +xH$ +zG$ +zF$ +xE$ +0D$ +0C$ +xB$ +xA$ +x@$ +x?$ +z>$ +x=$ +x<$ +x;$ +x:$ +x9$ +x8$ +x7$ +06$ +z5$ +z4$ +x3$ +02$ +01$ +x0$ +x/$ +x.$ +bxzzx0zzx -$ +x,$ +x+$ +b0 *$ +x)$ +x($ +x'$ +x&$ +x%$ +x$$ +x#$ +x"$ +z!$ +bxzzx0zzx ~# +b0 }# +0|# +x{# +xz# +xy# +xx# +0w# +0v# +xu# +xt# +xs# +xr# +xq# +xp# +xo# +xn# +xm# +xl# +xk# +xj# +xi# +zh# +zg# +xf# +0e# +0d# +xc# +xb# +xa# +x`# +z_# +x^# +x]# +x\# +x[# +xZ# +xY# +xX# +0W# +zV# +zU# +xT# +0S# +0R# +xQ# +xP# +xO# +bxzzx0zzx N# +xM# +xL# +b0 K# +xJ# +xI# +xH# +xG# +xF# +xE# +xD# +xC# +zB# +bxzzx0zzx A# +b0 @# +0?# +x># +x=# +x<# +x;# +0:# +09# +x8# +x7# +x6# +x5# +x4# +x3# +x2# +x1# +x0# +x/# +x.# +x-# +x,# +z+# +z*# +x)# +0(# +0'# +x&# +x%# +x$# +x## +z"# +x!# +x~" +x}" +x|" +x{" +xz" +xy" +0x" +zw" +zv" +xu" +0t" +0s" +xr" +xq" +xp" +bxzzx0zzx o" +xn" +xm" +b0 l" +xk" +xj" +xi" +xh" +xg" +xf" +xe" +zd" +xc" +bxzzx0zzx b" +b0 a" +0`" +x_" +x^" +x]" +x\" +0[" +1Z" +xY" +xX" +xW" +xV" +xU" +xT" +xS" +zR" +xQ" +xP" +xO" +xN" +xM" +xL" +xK" +xJ" +xI" +xH" +xG" +xF" +xE" +zD" +zC" +xB" +0A" +0@" +x?" +x>" +x=" +x<" +z;" +x:" +x9" +x8" +x7" +x6" +x5" +x4" +03" +z2" +z1" +x0" +0/" +0." +x-" +x," +x+" +bxzzx0zzx *" +x)" +x(" +b0 '" +x&" +x%" +x$" +x#" +x"" +x!" +x~ +x} +z| +bxzzx0zzx { +b0 z +xy +xx +zw +b0 v +0u +xt +xs +0r +0q +xp +xo +xn +xm +xl +xk +xj +xi +xh +xg +xf +xe +xd +xc +zb +za +x` +0_ +0^ +x] +x\ +x[ +xZ +xY +xX +xW +xV +xU +xT +xS +xR +xQ +zP +zO +xN +0M +0L +xK +xJ +xI +bxzzxxzzx H +xG +xF +b0 E +xD +xC +xB +xA +x@ +x? +x> +x= +z< +bxzzxxzzx ; +b0 : +x9 +x8 +x7 +16 +05 +b0 4 +03 +b0 2 +bx 1 +x0 +bx / +b1 . +b10 - +b0 , +bxzz + +0* +bx ) +b0 ( +b0 ' +b1 & +b10 % +x$ +bx # +x" +x! +$end +#10000 +1x5 +1w5 +1;5 +1:5 +1\4 +1[4 +1}3 +1|3 +1@3 +1?3 +1a2 +1`2 +1$2 +1#2 +1E1 +1D1 +1f0 +1e0 +1)0 +1(0 +1J/ +1I/ +1k. +1j. +1.. +1-. +1O- +1N- +1p, +1o, +13, +12, +1T+ +1S+ +1u* +1t* +18* +17* +1Y) +1X) +1z( +1y( +1=( +1<( +1^' +1]' +1!' +1~& +1B& +1A& +1c% +1b% +1&% +1%% +1G$ +1F$ +1h# +1g# +0+# +1*# +1D" +1C" +0b +1a +1Z +1[ +1l +1m +1I +1<" +1=" +1N" +1O" +1+" +1## +1$# +15# +16# +1p" +1`# +1a# +1r# +1s# +1O# +1?$ +1@$ +1Q$ +1R$ +1.$ +1|$ +1}$ +10% +11% +1k$ +1[% +1\% +1m% +1n% +1J% +1:& +1;& +1L& +1M& +1)& +1w& +1x& +1+' +1,' +1f& +1V' +1W' +1h' +1i' +1E' +15( +16( +1G( +1H( +1$( +1r( +1s( +1&) +1') +1a( +1Q) +1R) +1c) +1d) +1@) +10* +11* +1B* +1C* +1}) +1m* +1n* +1!+ +1"+ +1\* +1L+ +1M+ +1^+ +1_+ +1;+ +1+, +1,, +1=, +1>, +1x+ +1h, +1i, +1z, +1{, +1W, +1G- +1H- +1Y- +1Z- +16- +1&. +1'. +18. +19. +1s- +1c. +1d. +1u. +1v. +1R. +1B/ +1C/ +1T/ +1U/ +11/ +1!0 +1"0 +130 +140 +1n/ +1^0 +1_0 +1p0 +1q0 +1M0 +1=1 +1>1 +1O1 +1P1 +1,1 +1z1 +1{1 +1.2 +1/2 +1i1 +1Y2 +1Z2 +1k2 +1l2 +1H2 +183 +193 +1J3 +1K3 +1'3 +1u3 +1v3 +1)4 +1*4 +1d3 +1T4 +1U4 +1f4 +1g4 +1C4 +135 +145 +1E5 +1F5 +1"5 +1p5 +1q5 +1$6 +1%6 +1_5 +1@ +1w +bx11x0zzx Q5 +bx11x0zzx ^5 +bx11x0zzx r4 +bx11x0zzx !5 +bx11x0zzx 54 +bx11x0zzx B4 +bx11x0zzx V3 +bx11x0zzx c3 +bx11x0zzx w2 +bx11x0zzx &3 +bx11x0zzx :2 +bx11x0zzx G2 +bx11x0zzx [1 +bx11x0zzx h1 +bx11x0zzx |0 +bx11x0zzx +1 +bx11x0zzx ?0 +bx11x0zzx L0 +bx11x0zzx `/ +bx11x0zzx m/ +bx11x0zzx #/ +bx11x0zzx 0/ +bx11x0zzx D. +bx11x0zzx Q. +bx11x0zzx e- +bx11x0zzx r- +bx11x0zzx (- +bx11x0zzx 5- +bx11x0zzx I, +bx11x0zzx V, +bx11x0zzx j+ +bx11x0zzx w+ +bx11x0zzx -+ +bx11x0zzx :+ +bx11x0zzx N* +bx11x0zzx [* +bx11x0zzx o) +bx11x0zzx |) +bx11x0zzx 2) +bx11x0zzx ?) +bx11x0zzx S( +bx11x0zzx `( +bx11x0zzx t' +bx11x0zzx #( +bx11x0zzx 7' +bx11x0zzx D' +bx11x0zzx X& +bx11x0zzx e& +bx11x0zzx y% +bx11x0zzx (& +bx11x0zzx <% +bx11x0zzx I% +bx11x0zzx ]$ +bx11x0zzx j$ +bx11x0zzx ~# +bx11x0zzx -$ +bx11x0zzx A# +bx11x0zzx N# +bx01x0zzx b" +bx01x0zzx o" +bx11x0zzx { +bx11x0zzx *" +bx01xxzzx ; +bx01xxzzx H +#20000 +0y5 +0v5 +0<5 +095 +0]4 +0Z4 +0~3 +0{3 +0A3 +0>3 +0b2 +0_2 +0%2 +0"2 +0F1 +0C1 +0g0 +0d0 +0*0 +0'0 +0K/ +0H/ +0l. +0i. +0/. +0,. +0P- +0M- +0q, +0n, +04, +01, +0U+ +0R+ +0v* +0s* +09* +06* +0Z) +0W) +0{( +0x( +0>( +0;( +0_' +0\' +0"' +0}& +0C& +0@& +0d% +0a% +0'% +0$% +0H$ +0E$ +0i# +0f# +1,# +0)# +0E" +0B" +1c +0` +b1100zzx Q5 +b1100zzx ^5 +b1100zzx r4 +b1100zzx !5 +b1100zzx 54 +b1100zzx B4 +b1100zzx V3 +b1100zzx c3 +b1100zzx w2 +b1100zzx &3 +b1100zzx :2 +b1100zzx G2 +b1100zzx [1 +b1100zzx h1 +b1100zzx |0 +b1100zzx +1 +b1100zzx ?0 +b1100zzx L0 +b1100zzx `/ +b1100zzx m/ +b1100zzx #/ +b1100zzx 0/ +b1100zzx D. +b1100zzx Q. +b1100zzx e- +b1100zzx r- +b1100zzx (- +b1100zzx 5- +b1100zzx I, +b1100zzx V, +b1100zzx j+ +b1100zzx w+ +b1100zzx -+ +b1100zzx :+ +b1100zzx N* +b1100zzx [* +b1100zzx o) +b1100zzx |) +b1100zzx 2) +b1100zzx ?) +b1100zzx S( +b1100zzx `( +b1100zzx t' +b1100zzx #( +b1100zzx 7' +b1100zzx D' +b1100zzx X& +b1100zzx e& +b1100zzx y% +b1100zzx (& +b1100zzx <% +b1100zzx I% +b1100zzx ]$ +b1100zzx j$ +b1100zzx ~# +b1100zzx -$ +b1100zzx A# +b1100zzx N# +b10100zzx b" +b10100zzx o" +b1100zzx { +b1100zzx *" +b1010xzzx ; +b1010xzzx H +0T +0V +0X +0f +0h +0j +0G +06" +08" +0:" +0H" +0J" +0L" +0)" +0{" +0}" +0!# +0/# +01# +03# +0n" +0Z# +0\# +0^# +0l# +0n# +0p# +0M# +09$ +0;$ +0=$ +0K$ +0M$ +0O$ +0,$ +0v$ +0x$ +0z$ +0*% +0,% +0.% +0i$ +0U% +0W% +0Y% +0g% +0i% +0k% +0H% +04& +06& +08& +0F& +0H& +0J& +0'& +0q& +0s& +0u& +0%' +0'' +0)' +0d& +0P' +0R' +0T' +0b' +0d' +0f' +0C' +0/( +01( +03( +0A( +0C( +0E( +0"( +0l( +0n( +0p( +0~( +0") +0$) +0_( +0K) +0M) +0O) +0]) +0_) +0a) +0>) +0** +0,* +0.* +0<* +0>* +0@* +0{) +0g* +0i* +0k* +0y* +0{* +0}* +0Z* +0F+ +0H+ +0J+ +0X+ +0Z+ +0\+ +09+ +0%, +0', +0), +07, +09, +0;, +0v+ +0b, +0d, +0f, +0t, +0v, +0x, +0U, +0A- +0C- +0E- +0S- +0U- +0W- +04- +0~- +0". +0$. +02. +04. +06. +0q- +0]. +0_. +0a. +0o. +0q. +0s. +0P. +0/ +0@/ +0N/ +0P/ +0R/ +0// +0y/ +0{/ +0}/ +0-0 +0/0 +010 +0l/ +0X0 +0Z0 +0\0 +0j0 +0l0 +0n0 +0K0 +071 +091 +0;1 +0I1 +0K1 +0M1 +0*1 +0t1 +0v1 +0x1 +0(2 +0*2 +0,2 +0g1 +0S2 +0U2 +0W2 +0e2 +0g2 +0i2 +0F2 +023 +043 +063 +0D3 +0F3 +0H3 +0%3 +0o3 +0q3 +0s3 +0#4 +0%4 +0'4 +0b3 +0N4 +0P4 +0R4 +0`4 +0b4 +0d4 +0A4 +0-5 +0/5 +015 +0?5 +0A5 +0C5 +0~4 +0j5 +0l5 +0n5 +0|5 +0~5 +0"6 +0]5 +0D +0? +0o5 +0R5 +025 +0s4 +0S4 +064 +0t3 +0W3 +073 +0x2 +0X2 +0;2 +0y1 +0\1 +0<1 +0}0 +0]0 +0@0 +0~/ +0a/ +0A/ +0$/ +0b. +0E. +0%. +0f- +0F- +0)- +0g, +0J, +0*, +0k+ +0K+ +0.+ +0l* +0O* +0/* +0p) +0P) +03) +0q( +0T( +04( +0u' +0U' +08' +0v& +0Y& +09& +0z% +0Z% +0=% +0{$ +0^$ +0>$ +0!$ +0_# +0B# +0"# +1d" +0R" +0;" +0| +0< +#30000 +0f5 +0)5 +0J4 +0k3 +0.3 +0O2 +0p1 +031 +0T0 +0u/ +08/ +0Y. +0z- +0=- +0^, +0!, +0B+ +0c* +0&* +0G) +0h( +0+( +0L' +0m& +00& +0Q% +0r$ +05$ +0V# +1w" +02" +1P +02# +0i +1R +1d +14" +1F" +1y" +1-# +1X# +1j# +17$ +1I$ +1t$ +1(% +1S% +1e% +12& +1D& +1o& +1#' +1N' +1`' +1-( +1?( +1j( +1|( +1I) +1[) +1(* +1:* +1e* +1w* +1D+ +1V+ +1#, +15, +1`, +1r, +1?- +1Q- +1|- +10. +1[. +1m. +1:/ +1L/ +1w/ +1+0 +1V0 +1h0 +151 +1G1 +1r1 +1&2 +1Q2 +1c2 +103 +1B3 +1m3 +1!4 +1L4 +1^4 +1+5 +1=5 +1h5 +1z5 +1S" +19 +0y +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +b11000zx Q5 +b11000zx ^5 +b11000zx r4 +b11000zx !5 +b11000zx 54 +b11000zx B4 +b11000zx V3 +b11000zx c3 +b11000zx w2 +b11000zx &3 +b11000zx :2 +b11000zx G2 +b11000zx [1 +b11000zx h1 +b11000zx |0 +b11000zx +1 +b11000zx ?0 +b11000zx L0 +b11000zx `/ +b11000zx m/ +b11000zx #/ +b11000zx 0/ +b11000zx D. +b11000zx Q. +b11000zx e- +b11000zx r- +b11000zx (- +b11000zx 5- +b11000zx I, +b11000zx V, +b11000zx j+ +b11000zx w+ +b11000zx -+ +b11000zx :+ +b11000zx N* +b11000zx [* +b11000zx o) +b11000zx |) +b11000zx 2) +b11000zx ?) +b11000zx S( +b11000zx `( +b11000zx t' +b11000zx #( +b11000zx 7' +b11000zx D' +b11000zx X& +b11000zx e& +b11000zx y% +b11000zx (& +b11000zx <% +b11000zx I% +b11000zx ]$ +b11000zx j$ +b11000zx ~# +b11000zx -$ +b11000zx A# +b11000zx N# +b101001zx b" +b101001zx o" +b11000zx { +b11000zx *" +b1010x1zx ; +b1010x1zx H +#40000 +0]" +0{5 +0>5 +0_4 +0"4 +0C3 +0d2 +0'2 +0H1 +0i0 +0,0 +0M/ +0n. +01. +0R- +0s, +06, +0W+ +0x* +0;* +0\) +0}( +0@( +0a' +0$' +0E& +0f% +0)% +0J$ +0k# +0.# +0G" +0e +0U +0W +0Y +0g +0k +07" +09" +0I" +0K" +0M" +0|" +0~" +00# +04# +0[# +0]# +0m# +0o# +0q# +0:$ +0<$ +0L$ +0N$ +0P$ +0w$ +0y$ +0+% +0-% +0/% +0V% +0X% +0h% +0j% +0l% +05& +07& +0G& +0I& +0K& +0r& +0t& +0&' +0(' +0*' +0Q' +0S' +0c' +0e' +0g' +00( +02( +0B( +0D( +0F( +0m( +0o( +0!) +0#) +0%) +0L) +0N) +0^) +0`) +0b) +0+* +0-* +0=* +0?* +0A* +0h* +0j* +0z* +0|* +0~* +0G+ +0I+ +0Y+ +0[+ +0]+ +0&, +0(, +08, +0:, +0<, +0c, +0e, +0u, +0w, +0y, +0B- +0D- +0T- +0V- +0X- +0!. +0#. +03. +05. +07. +0^. +0`. +0p. +0r. +0t. +0=/ +0?/ +0O/ +0Q/ +0S/ +0z/ +0|/ +0.0 +000 +020 +0Y0 +0[0 +0k0 +0m0 +0o0 +081 +0:1 +0J1 +0L1 +0N1 +0u1 +0w1 +0)2 +0+2 +0-2 +0T2 +0V2 +0f2 +0h2 +0j2 +033 +053 +0E3 +0G3 +0I3 +0p3 +0r3 +0$4 +0&4 +0(4 +0O4 +0Q4 +0a4 +0c4 +0e4 +0.5 +005 +0@5 +0B5 +0D5 +0k5 +0m5 +0}5 +0!6 +0#6 +08 +bx0 ) +1T" +#50000 +1g" +0W" +1= +0} +0c" +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +#60000 +0k" +0f" +0n +0P" +07# +0t# +0S$ +02% +0o% +0N& +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +002 +0m2 +0L3 +0+4 +0h4 +0G5 +0&6 +1> +0~ +1e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +#70000 +0A +1#" +0h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +0Y" +0!" +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +#80000 +0<# +0^" +bx00 ) +0o +0Q" +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +0J( +0)) +0f) +0E* +0$+ +0a+ +0@, +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +012 +0n2 +0M3 +0,4 +0i4 +0H5 +0'6 +1C +0%" +1j" +0I# +0($ +0e$ +0D% +0#& +0`& +0?' +0|' +0[( +0:) +0w) +0V* +05+ +0r+ +0Q, +00- +0m- +0L. +0+/ +0h/ +0G0 +0&1 +0c1 +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +#90000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1F# +0! +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b0 ) +#100000 +1N +1u" +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0J# +0K +0-" +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +1B +b1010x1z1 ; +b1010x1z1 H +1i" +b101001z1 b" +b101001z1 o" +#110000 +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +#120000 +0T# +1S +1z" +1X" +0H# +b11000z0 A# +b11000z0 N# +0" +#130000 +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +0p +#140000 +0Y# +1\ +1%# +1x +#150000 +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +#160000 +0b# +1] +1&# +#170000 +1Q +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +b101011z1 ; +b101011z1 H +10 +#180000 +0c# +1J +1q" +#190000 +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +#200000 +0P# +1F +1m" +#210000 +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +#220000 +0L# +17 +1\" +bx11 # +bx11 / +#230000 +bx0 1 +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +#240000 +0;# +bx011 # +bx011 / +#250000 +bx1zz + +bx00 1 +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b11 # +b11 / +#260000 +b111111111111111111111111111111zz + +#270000 +bx000 1 +#290000 +bx0000 1 +#310000 +bx00000 1 +#330000 +bx000000 1 +#350000 +bx0000000 1 +#370000 +bx00000000 1 +#390000 +bx000000000 1 +#410000 +bx0000000000 1 +#430000 +bx00000000000 1 +#450000 +bx000000000000 1 +#470000 +bx0000000000000 1 +#490000 +bx00000000000000 1 +#510000 +bx000000000000000 1 +#530000 +bx0000000000000000 1 +#550000 +bx00000000000000000 1 +#570000 +bx000000000000000000 1 +#590000 +bx0000000000000000000 1 +#610000 +bx00000000000000000000 1 +#630000 +bx000000000000000000000 1 +#650000 +bx0000000000000000000000 1 +#670000 +bx00000000000000000000000 1 +#690000 +bx000000000000000000000000 1 +#710000 +bx0000000000000000000000000 1 +#730000 +bx00000000000000000000000000 1 +#750000 +bx000000000000000000000000000 1 +#770000 +bx0000000000000000000000000000 1 +#790000 +bx00000000000000000000000000000 1 +#810000 +bx000000000000000000000000000000 1 +#830000 +0$ +b0 1 +#2000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +10' +1m' +1L( +1+) +1h) +1G* +1&+ +1c+ +1B, +1!- +1^- +1=. +1z. +1Y/ +180 +1u0 +1T1 +132 +1p2 +1O3 +1.4 +1k4 +1J5 +1r +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +1q +b11111111111111111111111111111111 & +b11111111111111111111111111111111 . +b11111111111111111111111111111111 % +b11111111111111111111111111111111 - +#2010000 +0*# +0g# +0h# +0F$ +0G$ +0%% +0&% +0b% +0c% +0A& +0B& +0~& +0!' +0]' +0^' +0<( +0=( +0y( +0z( +0X) +0Y) +07* +08* +0t* +0u* +0S+ +0T+ +02, +03, +0o, +0p, +0N- +0O- +0-. +0.. +0j. +0k. +0I/ +0J/ +0(0 +0)0 +0e0 +0f0 +0D1 +0E1 +0#2 +0$2 +0`2 +0a2 +0?3 +0@3 +0|3 +0}3 +0[4 +0\4 +0:5 +0;5 +0w5 +0x5 +0a +0C" +0D" +b100001z1 b" +b100001z1 o" +0w +b0z0 A# +b0z0 N# +b0z0 ~# +b0z0 -$ +b0z0 ]$ +b0z0 j$ +b0z0 <% +b0z0 I% +b0z0 y% +b0z0 (& +b0z0 X& +b0z0 e& +b0z0 7' +b0z0 D' +b0z0 t' +b0z0 #( +b0z0 S( +b0z0 `( +b0z0 2) +b0z0 ?) +b0z0 o) +b0z0 |) +b0z0 N* +b0z0 [* +b0z0 -+ +b0z0 :+ +b0z0 j+ +b0z0 w+ +b0z0 I, +b0z0 V, +b0z0 (- +b0z0 5- +b0z0 e- +b0z0 r- +b0z0 D. +b0z0 Q. +b0z0 #/ +b0z0 0/ +b0z0 `/ +b0z0 m/ +b0z0 ?0 +b0z0 L0 +b0z0 |0 +b0z0 +1 +b0z0 [1 +b0z0 h1 +b0z0 :2 +b0z0 G2 +b0z0 w2 +b0z0 &3 +b0z0 V3 +b0z0 c3 +b0z0 54 +b0z0 B4 +b0z0 r4 +b0z0 !5 +b0z0 Q5 +b0z0 ^5 +b100011z1 ; +b100011z1 H +b0z0 { +b0z0 *" +#2020000 +1)# +1f# +1i# +1E$ +1H$ +1$% +1'% +1a% +1d% +1@& +1C& +1}& +1"' +1\' +1_' +1;( +1>( +1x( +1{( +1W) +1Z) +16* +19* +1s* +1v* +1R+ +1U+ +11, +14, +1n, +1q, +1M- +1P- +1,. +1/. +1i. +1l. +1H/ +1K/ +1'0 +1*0 +1d0 +1g0 +1C1 +1F1 +1"2 +1%2 +1_2 +1b2 +1>3 +1A3 +1{3 +1~3 +1Z4 +1]4 +195 +1<5 +1v5 +1y5 +1` +1B" +1E" +b100101z1 b" +b100101z1 o" +b100100z0 A# +b100100z0 N# +b100100z0 ~# +b100100z0 -$ +b100100z0 ]$ +b100100z0 j$ +b100100z0 <% +b100100z0 I% +b100100z0 y% +b100100z0 (& +b100100z0 X& +b100100z0 e& +b100100z0 7' +b100100z0 D' +b100100z0 t' +b100100z0 #( +b100100z0 S( +b100100z0 `( +b100100z0 2) +b100100z0 ?) +b100100z0 o) +b100100z0 |) +b100100z0 N* +b100100z0 [* +b100100z0 -+ +b100100z0 :+ +b100100z0 j+ +b100100z0 w+ +b100100z0 I, +b100100z0 V, +b100100z0 (- +b100100z0 5- +b100100z0 e- +b100100z0 r- +b100100z0 D. +b100100z0 Q. +b100100z0 #/ +b100100z0 0/ +b100100z0 `/ +b100100z0 m/ +b100100z0 ?0 +b100100z0 L0 +b100100z0 |0 +b100100z0 +1 +b100100z0 [1 +b100100z0 h1 +b100100z0 :2 +b100100z0 G2 +b100100z0 w2 +b100100z0 &3 +b100100z0 V3 +b100100z0 c3 +b100100z0 54 +b100100z0 B4 +b100100z0 r4 +b100100z0 !5 +b100100z0 Q5 +b100100z0 ^5 +b100111z1 ; +b100111z1 H +b100100z0 { +b100100z0 *" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +1< +1} +#2030000 +0w" +0P +1_" +b100100z1 b" +b100100z1 o" +1># +1{# +1Z$ +19% +1v% +1U& +14' +1q' +1P( +1/) +1l) +1K* +1*+ +1g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +1y +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +b100110z1 ; +b100110z1 H +0> +1~ +#2040000 +1]" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +1A +0#" +1.# +1k# +1J$ +1)% +1f% +1E& +1$' +1a' +1@( +1}( +1\) +1;* +1x* +1W+ +16, +1s, +1R- +11. +1n. +1M/ +1,0 +1i0 +1H1 +1'2 +1d2 +1C3 +1"4 +1_4 +1>5 +1{5 +1e +1G" +18 +b1 ) +#2050000 +0g" +1c" +1B# +1!$ +1^$ +1=% +1z% +1Y& +18' +1u' +1T( +13) +1p) +1O* +1.+ +1k+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +1| +1I# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +0C +1%" +#2060000 +1f" +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +102 +1m2 +1L3 +1+4 +1h4 +1G5 +1&6 +1n +1P" +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0~ +#2070000 +1<# +1y# +1X$ +17% +1t% +1S& +12' +1o' +1N( +1-) +1j) +1I* +1(+ +1e+ +1D, +1#- +1`- +1?. +1|. +1[/ +1:0 +1w0 +1V1 +152 +1r2 +1Q3 +104 +1m4 +1L5 +1t +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +0N +10" +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1#" +0j" +1^" +1=# +1z# +1Y$ +18% +1u% +1T& +13' +1p' +1O( +1.) +1k) +1J* +1)+ +1f+ +1E, +1$- +1a- +1@. +1}. +1\/ +1;0 +1x0 +1W1 +162 +1s2 +1R3 +114 +1n4 +1M5 +b1111111111111111111111111111111 ) +1! +1H# +b100100z1 A# +b100100z1 N# +1'$ +b100100z1 ~# +b100100z1 -$ +1d$ +b100100z1 ]$ +b100100z1 j$ +1C% +b100100z1 <% +b100100z1 I% +1"& +b100100z1 y% +b100100z1 (& +1_& +b100100z1 X& +b100100z1 e& +1>' +b100100z1 7' +b100100z1 D' +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1K. +b100100z1 D. +b100100z1 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +1g/ +b100100z1 `/ +b100100z1 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1%1 +b100100z1 |0 +b100100z1 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +1A2 +b100100z1 :2 +b100100z1 G2 +1~2 +b100100z1 w2 +b100100z1 &3 +1]3 +b100100z1 V3 +b100100z1 c3 +1<4 +b100100z1 54 +b100100z1 B4 +1y4 +b100100z1 r4 +b100100z1 !5 +1X5 +b100100z1 Q5 +b100100z1 ^5 +0B +b100110z0 ; +b100110z0 H +1$" +b100100z1 { +b100100z1 *" +#2080000 +0F# +0%$ +0b$ +0A% +0~% +0]& +0<' +0y' +0X( +07) +0t) +0S* +02+ +0o+ +0N, +0-- +0j- +0I. +0(/ +0e/ +0D0 +0#1 +0`1 +0?2 +0|2 +0[3 +0:4 +0w4 +0V5 +0"" +0V" +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +112 +1n2 +1M3 +1,4 +1i4 +1H5 +1'6 +1o +1Q" +0I# +0($ +0e$ +0D% +0#& +0`& +0?' +0|' +0[( +0:) +0w) +0V* +05+ +0r+ +0Q, +00- +0m- +0L. +0+/ +0h/ +0G0 +0&1 +0c1 +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +0%" +#2090000 +0u" +1E# +1$$ +1a$ +1@% +1}% +1\& +1;' +1x' +1W( +16) +1s) +1R* +11+ +1n+ +1M, +1,- +1i- +1H. +1'/ +1d/ +1C0 +1"1 +1_1 +1>2 +1{2 +1Z3 +194 +1v4 +1U5 +1!" +1U" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +0S +15" +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1&" +0i" +b100100z0 b" +b100100z0 o" +#2100000 +0X" +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +1K +1-" +#2110000 +1u" +0z" +1p +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +0\ +1>" +1i" +b100100z1 b" +b100100z1 o" +#2120000 +0x +#2130000 +1z" +0%# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +0] +1?" +#2150000 +0Q +1%# +0&# +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +0J +1," +b100100z0 ; +b100100z0 H +00 +#2170000 +1&# +0q" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +0F +1(" +#2190000 +1q" +0m" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +07 +1s +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#2200000 +b0zz + +#2210000 +1m" +0\" +b11111111111111111111111111111100 # +b11111111111111111111111111111100 / +#2220000 +b1 1 +#2230000 +1\" +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#2240000 +b0 1 +#4000000 +0[" +0:# +0w# +0V$ +05% +0r% +0Q& +00' +0m' +0L( +0+) +0h) +0G* +0&+ +0c+ +0B, +0!- +0^- +0=. +0z. +0Y/ +080 +0u0 +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +06 +0r +0Z" +09# +0v# +0U$ +04% +0q% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +0S1 +022 +0o2 +0N3 +0-4 +0j4 +0I5 +05 +0q +b0 & +b0 . +b0 % +b0 - +#4010000 +1*# +1+# +1g# +1h# +1F$ +1G$ +1%% +1&% +1b% +1c% +1A& +1B& +1~& +1!' +1]' +1^' +1<( +1=( +1y( +1z( +1X) +1Y) +17* +18* +1t* +1u* +1S+ +1T+ +12, +13, +1o, +1p, +1N- +1O- +1-. +1.. +1j. +1k. +1I/ +1J/ +1(0 +1)0 +1e0 +1f0 +1D1 +1E1 +1#2 +1$2 +1`2 +1a2 +1?3 +1@3 +1|3 +1}3 +1[4 +1\4 +1:5 +1;5 +1w5 +1x5 +1a +1b +1C" +1D" +1w +b111100z1 b" +b111100z1 o" +b111100z1 A# +b111100z1 N# +b111100z1 ~# +b111100z1 -$ +b111100z1 ]$ +b111100z1 j$ +b111100z1 <% +b111100z1 I% +b111100z1 y% +b111100z1 (& +b111100z1 X& +b111100z1 e& +b111100z1 7' +b111100z1 D' +b111100z1 t' +b111100z1 #( +b111100z1 S( +b111100z1 `( +b111100z1 2) +b111100z1 ?) +b111100z1 o) +b111100z1 |) +b111100z1 N* +b111100z1 [* +b111100z1 -+ +b111100z1 :+ +b111100z1 j+ +b111100z1 w+ +b111100z1 I, +b111100z1 V, +b111100z1 (- +b111100z1 5- +b111100z1 e- +b111100z1 r- +b111100z1 D. +b111100z1 Q. +b111100z1 #/ +b111100z1 0/ +b111100z1 `/ +b111100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b111100z1 |0 +b111100z1 +1 +b111100z1 [1 +b111100z1 h1 +b111100z1 :2 +b111100z1 G2 +b111100z1 w2 +b111100z1 &3 +b111100z1 V3 +b111100z1 c3 +b111100z1 54 +b111100z1 B4 +b111100z1 r4 +b111100z1 !5 +b111100z1 Q5 +b111100z1 ^5 +b111100z0 ; +b111100z0 H +b111100z1 { +b111100z1 *" +#4020000 +0)# +0,# +0f# +0i# +0E$ +0H$ +0$% +0'% +0a% +0d% +0@& +0C& +0}& +0"' +0\' +0_' +0;( +0>( +0x( +0{( +0W) +0Z) +06* +09* +0s* +0v* +0R+ +0U+ +01, +04, +0n, +0q, +0M- +0P- +0,. +0/. +0i. +0l. +0H/ +0K/ +0'0 +0*0 +0d0 +0g0 +0C1 +0F1 +0"2 +0%2 +0_2 +0b2 +0>3 +0A3 +0{3 +0~3 +0Z4 +0]4 +095 +0<5 +0v5 +0y5 +0` +0c +0B" +0E" +b11000z1 b" +b11000z1 o" +b11000z1 A# +b11000z1 N# +b11000z1 ~# +b11000z1 -$ +b11000z1 ]$ +b11000z1 j$ +b11000z1 <% +b11000z1 I% +b11000z1 y% +b11000z1 (& +b11000z1 X& +b11000z1 e& +b11000z1 7' +b11000z1 D' +b11000z1 t' +b11000z1 #( +b11000z1 S( +b11000z1 `( +b11000z1 2) +b11000z1 ?) +b11000z1 o) +b11000z1 |) +b11000z1 N* +b11000z1 [* +b11000z1 -+ +b11000z1 :+ +b11000z1 j+ +b11000z1 w+ +b11000z1 I, +b11000z1 V, +b11000z1 (- +b11000z1 5- +b11000z1 e- +b11000z1 r- +b11000z1 D. +b11000z1 Q. +b11000z1 #/ +b11000z1 0/ +b11000z1 `/ +b11000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b11000z1 |0 +b11000z1 +1 +b11000z1 [1 +b11000z1 h1 +b11000z1 :2 +b11000z1 G2 +b11000z1 w2 +b11000z1 &3 +b11000z1 V3 +b11000z1 c3 +b11000z1 54 +b11000z1 B4 +b11000z1 r4 +b11000z1 !5 +b11000z1 Q5 +b11000z1 ^5 +b11000z0 ; +b11000z0 H +b11000z1 { +b11000z1 *" +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +08' +0u' +0T( +03) +0p) +0O* +0.+ +0k+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +0\1 +0;2 +0x2 +0W3 +064 +0s4 +0R5 +0< +0| +#4030000 +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +09 +0y +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#4040000 +0]" +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +0.# +0k# +0J$ +0)% +0f% +0E& +0$' +0a' +0@( +0}( +0\) +0;* +0x* +0W+ +06, +0s, +0R- +01. +0n. +0M/ +0,0 +0i0 +0H1 +0'2 +0d2 +0C3 +0"4 +0_4 +0>5 +0{5 +0e +0G" +08 +b1111111111111111111111111111110 ) +#4050000 +1g" +0d" +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +0= +0} +1C +#4060000 +0f" +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +07# +0t# +0S$ +02% +0o% +0N& +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +002 +0m2 +0L3 +0+4 +0h4 +0G5 +0&6 +0n +0P" +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#4070000 +1N +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +0!" +1B +b11000z1 ; +b11000z1 H +#4080000 +0<# +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +0^" +b1111111111111111111111111111100 ) +0i" +b11000z0 b" +b11000z0 o" +0H# +b11000z0 A# +b11000z0 N# +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +0J( +0)) +0f) +0E* +0$+ +0a+ +0@, +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +012 +0n2 +0M3 +0,4 +0i4 +0H5 +0'6 +0o +0Q" +0C +#4090000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1F# +1S +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1&" +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b0 ) +0! +#4100000 +0N +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +0K +0-" +0B +b11000z0 ; +b11000z0 H +#4110000 +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +10" +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +1\ +1'$ +b11000z1 ~# +b11000z1 -$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1C% +b11000z1 <% +b11000z1 I% +1"& +b11000z1 y% +b11000z1 (& +1_& +b11000z1 X& +b11000z1 e& +1>' +b11000z1 7' +b11000z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b11000z1 S( +b11000z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b11000z1 o) +b11000z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b11000z1 -+ +b11000z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1P, +b11000z1 I, +b11000z1 V, +1/- +b11000z1 (- +b11000z1 5- +1l- +b11000z1 e- +b11000z1 r- +1K. +b11000z1 D. +b11000z1 Q. +1*/ +b11000z1 #/ +b11000z1 0/ +1g/ +b11000z1 `/ +b11000z1 m/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1%1 +b11000z1 |0 +b11000z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1A2 +b11000z1 :2 +b11000z1 G2 +1~2 +b11000z1 w2 +b11000z1 &3 +1]3 +b11000z1 V3 +b11000z1 c3 +1<4 +b11000z1 54 +b11000z1 B4 +1y4 +b11000z1 r4 +b11000z1 !5 +1X5 +b11000z1 Q5 +b11000z1 ^5 +1$" +b11000z1 { +b11000z1 *" +#4120000 +0S +1X" +0%# +0b# +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +#4130000 +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +15" +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +0p +1] +#4140000 +0\ +1x +0&# +0c# +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +#4150000 +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1>" +1J +#4160000 +0] +0q" +0P# +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +#4170000 +1Q +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1?" +1F +b11010z0 ; +b11010z0 H +10 +#4180000 +0J +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +#4190000 +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1," +17 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#4200000 +0F +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b1 # +b1 / +#4210000 +b111111111111111111111111111111zz + +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1(" +#4220000 +07 +b0 # +b0 / +#4230000 +b1 1 +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +1s +b11111111111111111111111111111000 # +b11111111111111111111111111111000 / +#4240000 +b1zz + +#4250000 +b11 1 +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b0 # +b0 / +#4260000 +b111111111111111111111111111111zz + +#4280000 +b111 1 +#4300000 +b1111 1 +#4320000 +b11111 1 +#4340000 +b111111 1 +#4360000 +b1111111 1 +#4380000 +b11111111 1 +#4400000 +b111111111 1 +#4420000 +b1111111111 1 +#4440000 +b11111111111 1 +#4460000 +b111111111111 1 +#4480000 +b1111111111111 1 +#4500000 +b11111111111111 1 +#4520000 +b111111111111111 1 +#4540000 +b1111111111111111 1 +#4560000 +b11111111111111111 1 +#4580000 +b111111111111111111 1 +#4600000 +b1111111111111111111 1 +#4620000 +b11111111111111111111 1 +#4640000 +b111111111111111111111 1 +#4660000 +b1111111111111111111111 1 +#4680000 +b11111111111111111111111 1 +#4700000 +b111111111111111111111111 1 +#4720000 +b1111111111111111111111111 1 +#4740000 +b11111111111111111111111111 1 +#4760000 +b111111111111111111111111111 1 +#4780000 +b1111111111111111111111111111 1 +#4800000 +b11111111111111111111111111111 1 +#4820000 +b111111111111111111111111111111 1 +#4840000 +1$ +b1111111111111111111111111111111 1 +#6000000 +16 +1Z" +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +b1 & +b1 . +b1111111111111111111111111111111 % +b1111111111111111111111111111111 - +#6010000 +0+# +0h# +0G$ +0&% +0c% +0B& +0!' +0^' +0=( +0z( +0Y) +08* +0u* +0T+ +03, +0p, +0O- +0.. +0k. +0J/ +0)0 +0f0 +0E1 +0$2 +0a2 +0@3 +0}3 +0\4 +0;5 +0x5 +0a +0b +b1000z0 b" +b1000z0 o" +b1000z0 A# +b1000z0 N# +b1000z0 ~# +b1000z0 -$ +b1000z0 ]$ +b1000z0 j$ +b1000z0 <% +b1000z0 I% +b1000z0 y% +b1000z0 (& +b1000z0 X& +b1000z0 e& +b1000z0 7' +b1000z0 D' +b1000z0 t' +b1000z0 #( +b1000z0 S( +b1000z0 `( +b1000z0 2) +b1000z0 ?) +b1000z0 o) +b1000z0 |) +b1000z0 N* +b1000z0 [* +b1000z0 -+ +b1000z0 :+ +b1000z0 j+ +b1000z0 w+ +b1000z0 I, +b1000z0 V, +b1000z0 (- +b1000z0 5- +b1000z0 e- +b1000z0 r- +b1000z0 D. +b1000z0 Q. +b1000z0 #/ +b1000z0 0/ +b1000z0 `/ +b1000z0 m/ +b1000z0 ?0 +b1000z0 L0 +b1000z0 |0 +b1000z0 +1 +b1000z0 [1 +b1000z0 h1 +b1000z0 :2 +b1000z0 G2 +b1000z0 w2 +b1000z0 &3 +b1000z0 V3 +b1000z0 c3 +b1000z0 54 +b1000z0 B4 +b1000z0 r4 +b1000z0 !5 +b1000z0 Q5 +b1000z0 ^5 +b10z0 ; +b10z0 H +#6020000 +1,# +1i# +1H$ +1'% +1d% +1C& +1"' +1_' +1>( +1{( +1Z) +19* +1v* +1U+ +14, +1q, +1P- +1/. +1l. +1K/ +1*0 +1g0 +1F1 +1%2 +1b2 +1A3 +1~3 +1]4 +1<5 +1y5 +1` +1c +b101000z0 b" +b101000z0 o" +b101000z0 A# +b101000z0 N# +b101000z0 ~# +b101000z0 -$ +b101000z0 ]$ +b101000z0 j$ +b101000z0 <% +b101000z0 I% +b101000z0 y% +b101000z0 (& +b101000z0 X& +b101000z0 e& +b101000z0 7' +b101000z0 D' +b101000z0 t' +b101000z0 #( +b101000z0 S( +b101000z0 `( +b101000z0 2) +b101000z0 ?) +b101000z0 o) +b101000z0 |) +b101000z0 N* +b101000z0 [* +b101000z0 -+ +b101000z0 :+ +b101000z0 j+ +b101000z0 w+ +b101000z0 I, +b101000z0 V, +b101000z0 (- +b101000z0 5- +b101000z0 e- +b101000z0 r- +b101000z0 D. +b101000z0 Q. +b101000z0 #/ +b101000z0 0/ +b101000z0 `/ +b101000z0 m/ +b101000z0 ?0 +b101000z0 L0 +b101000z0 |0 +b101000z0 +1 +b101000z0 [1 +b101000z0 h1 +b101000z0 :2 +b101000z0 G2 +b101000z0 w2 +b101000z0 &3 +b101000z0 V3 +b101000z0 c3 +b101000z0 54 +b101000z0 B4 +b101000z0 r4 +b101000z0 !5 +b101000z0 Q5 +b101000z0 ^5 +b100110z0 ; +b100110z0 H +1d" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +1= +#6030000 +1w" +1V# +15$ +1r$ +1Q% +10& +1m& +1L' +1+( +1h( +1G) +1&* +1c* +1B+ +1!, +1^, +1=- +1z- +1Y. +18/ +1u/ +1T0 +131 +1p1 +1O2 +1.3 +1k3 +1J4 +1)5 +1f5 +19 +b101001z0 b" +b101001z0 o" +1e" +b101001z0 A# +b101001z0 N# +1D# +b101001z0 ~# +b101001z0 -$ +1#$ +b101001z0 ]$ +b101001z0 j$ +1`$ +b101001z0 <% +b101001z0 I% +1?% +b101001z0 y% +b101001z0 (& +1|% +b101001z0 X& +b101001z0 e& +1[& +b101001z0 7' +b101001z0 D' +1:' +b101001z0 t' +b101001z0 #( +1w' +b101001z0 S( +b101001z0 `( +1V( +b101001z0 2) +b101001z0 ?) +15) +b101001z0 o) +b101001z0 |) +1r) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 -+ +b101001z0 :+ +10+ +b101001z0 j+ +b101001z0 w+ +1m+ +b101001z0 I, +b101001z0 V, +1L, +b101001z0 (- +b101001z0 5- +1+- +b101001z0 e- +b101001z0 r- +1h- +b101001z0 D. +b101001z0 Q. +1G. +b101001z0 #/ +b101001z0 0/ +1&/ +b101001z0 `/ +b101001z0 m/ +1c/ +b101001z0 ?0 +b101001z0 L0 +1B0 +b101001z0 |0 +b101001z0 +1 +1!1 +b101001z0 [1 +b101001z0 h1 +1^1 +b101001z0 :2 +b101001z0 G2 +1=2 +b101001z0 w2 +b101001z0 &3 +1z2 +b101001z0 V3 +b101001z0 c3 +1Y3 +b101001z0 54 +b101001z0 B4 +184 +b101001z0 r4 +b101001z0 !5 +1u4 +b101001z0 Q5 +b101001z0 ^5 +1T5 +1> +#6040000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +1e +#6050000 +1< +1j" +1I# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1C +#6060000 +1n +0> +#6070000 +1]" +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +1N +1A +18 +b1 ) +1i" +b101001z1 b" +b101001z1 o" +1H# +b101001z1 A# +b101001z1 N# +1'$ +b101001z1 ~# +b101001z1 -$ +1d$ +b101001z1 ]$ +b101001z1 j$ +1C% +b101001z1 <% +b101001z1 I% +1"& +b101001z1 y% +b101001z1 (& +1_& +b101001z1 X& +b101001z1 e& +1>' +b101001z1 7' +b101001z1 D' +1{' +b101001z1 t' +b101001z1 #( +1Z( +b101001z1 S( +b101001z1 `( +19) +b101001z1 2) +b101001z1 ?) +1v) +b101001z1 o) +b101001z1 |) +1U* +b101001z1 N* +b101001z1 [* +14+ +b101001z1 -+ +b101001z1 :+ +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b101001z1 I, +b101001z1 V, +1/- +b101001z1 (- +b101001z1 5- +1l- +b101001z1 e- +b101001z1 r- +1K. +b101001z1 D. +b101001z1 Q. +1*/ +b101001z1 #/ +b101001z1 0/ +1g/ +b101001z1 `/ +b101001z1 m/ +1F0 +b101001z1 ?0 +b101001z1 L0 +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b101001z1 [1 +b101001z1 h1 +1A2 +b101001z1 :2 +b101001z1 G2 +1~2 +b101001z1 w2 +b101001z1 &3 +1]3 +b101001z1 V3 +b101001z1 c3 +1<4 +b101001z1 54 +b101001z1 B4 +1y4 +b101001z1 r4 +b101001z1 !5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1B +b100110z1 ; +b100110z1 H +#6080000 +0g" +1o +0C +#6090000 +1f" +1z" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1S +#6100000 +0N +0j" +1K +0B +b100110z0 ; +b100110z0 H +#6110000 +1<# +1^" +b11 ) +1%# +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1\ +#6120000 +0u" +0F# +0S +0i" +b101001z0 b" +b101001z0 o" +#6130000 +1E# +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1] +#6140000 +0z" +0I# +0\ +#6150000 +1y# +1=# +b111 ) +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1J +#6160000 +0T# +0%$ +0%# +0H# +b101001z0 A# +b101001z0 N# +0] +#6170000 +1$$ +1m" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1F +#6180000 +0Y# +0($ +0&# +0J +#6190000 +1X$ +1z# +b1111 ) +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +17 +b1111111111111111111111111111111 # +b1111111111111111111111111111111 / +#6200000 +03$ +0b$ +b100000000000000000000000000000zz + +b1111111111111111111111111111110 1 +0b# +0'$ +b101001z0 ~# +b101001z0 -$ +0q" +0F +#6210000 +1a$ +#6220000 +b1000000000000000000000000000000 1 +08$ +0e$ +0c# +0m" +07 +b1111111111111111111111111111110 # +b1111111111111111111111111111110 / +#6230000 +17% +1Y$ +b11111 ) +#6240000 +0$ +0p$ +0A% +b0 1 +0A$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0P# +0\" +b1111111111111111111111111111100 # +b1111111111111111111111111111100 / +#6250000 +b1 1 +1@% +#6260000 +0u$ +0D% +0B$ +0L# +#6270000 +1t% +18% +b111111 ) +#6280000 +0O% +0~% +0~$ +0C% +b101001z0 <% +b101001z0 I% +0/$ +0;# +b1111111111111111111111111111000 # +b1111111111111111111111111111000 / +#6290000 +b100000000000000000000000000001zz + +1}% +#6300000 +0T% +0#& +0!% +0+$ +#6310000 +1S& +b11 1 +1u% +b1111111 ) +#6320000 +0.& +0]& +0]% +0"& +b101001z0 y% +b101001z0 (& +0l$ +0x# +b1111111111111111111111111110000 # +b1111111111111111111111111110000 / +#6330000 +b100000000000000000000000000011zz + +1\& +#6340000 +03& +0`& +0^% +0h$ +#6350000 +12' +b111 1 +1T& +b11111111 ) +#6360000 +0k& +0<' +0<& +0_& +b101001z0 X& +b101001z0 e& +0K% +0W$ +b1111111111111111111111111100000 # +b1111111111111111111111111100000 / +#6370000 +b100000000000000000000000000111zz + +1;' +#6380000 +0p& +0?' +0=& +0G% +#6390000 +1o' +b1111 1 +13' +b111111111 ) +#6400000 +0J' +0y' +0y& +0>' +b101001z0 7' +b101001z0 D' +0*& +06% +b1111111111111111111111111000000 # +b1111111111111111111111111000000 / +#6410000 +b100000000000000000000000001111zz + +1x' +#6420000 +0O' +0|' +0z& +0&& +#6430000 +1N( +b11111 1 +1p' +b1111111111 ) +#6440000 +0)( +0X( +0X' +0{' +b101001z0 t' +b101001z0 #( +0g& +0s% +b1111111111111111111111110000000 # +b1111111111111111111111110000000 / +#6450000 +b100000000000000000000000011111zz + +1W( +#6460000 +0.( +0[( +0Y' +0c& +#6470000 +1-) +b111111 1 +1O( +b11111111111 ) +#6480000 +0f( +07) +07( +0Z( +b101001z0 S( +b101001z0 `( +0F' +0R& +b1111111111111111111111100000000 # +b1111111111111111111111100000000 / +#6490000 +b100000000000000000000000111111zz + +16) +#6500000 +0k( +0:) +08( +0B' +#6510000 +1j) +b1111111 1 +1.) +b111111111111 ) +#6520000 +0E) +0t) +0t( +09) +b101001z0 2) +b101001z0 ?) +0%( +01' +b1111111111111111111111000000000 # +b1111111111111111111111000000000 / +#6530000 +b100000000000000000000001111111zz + +1s) +#6540000 +0J) +0w) +0u( +0!( +#6550000 +1I* +b11111111 1 +1k) +b1111111111111 ) +#6560000 +0$* +0S* +0S) +0v) +b101001z0 o) +b101001z0 |) +0b( +0n' +b1111111111111111111110000000000 # +b1111111111111111111110000000000 / +#6570000 +b100000000000000000000011111111zz + +1R* +#6580000 +0)* +0V* +0T) +0^( +#6590000 +1(+ +b111111111 1 +1J* +b11111111111111 ) +#6600000 +0a* +02+ +02* +0U* +b101001z0 N* +b101001z0 [* +0A) +0M( +b1111111111111111111100000000000 # +b1111111111111111111100000000000 / +#6610000 +b100000000000000000000111111111zz + +11+ +#6620000 +0f* +05+ +03* +0=) +#6630000 +1e+ +b1111111111 1 +1)+ +b111111111111111 ) +#6640000 +0@+ +0o+ +0o* +04+ +b101001z0 -+ +b101001z0 :+ +0~) +0,) +b1111111111111111111000000000000 # +b1111111111111111111000000000000 / +#6650000 +b100000000000000000001111111111zz + +1n+ +#6660000 +0E+ +0r+ +0p* +0z) +#6670000 +1D, +b11111111111 1 +1f+ +b1111111111111111 ) +#6680000 +0}+ +0N, +0N+ +0q+ +b101001z0 j+ +b101001z0 w+ +0]* +0i) +b1111111111111111110000000000000 # +b1111111111111111110000000000000 / +#6690000 +b100000000000000000011111111111zz + +1M, +#6700000 +0$, +0Q, +0O+ +0Y* +#6710000 +1#- +b111111111111 1 +1E, +b11111111111111111 ) +#6720000 +0\, +0-- +0-, +0P, +b101001z0 I, +b101001z0 V, +0<+ +0H* +b1111111111111111100000000000000 # +b1111111111111111100000000000000 / +#6730000 +b100000000000000000111111111111zz + +1,- +#6740000 +0a, +00- +0., +08+ +#6750000 +1`- +b1111111111111 1 +1$- +b111111111111111111 ) +#6760000 +0;- +0j- +0j, +0/- +b101001z0 (- +b101001z0 5- +0y+ +0'+ +b1111111111111111000000000000000 # +b1111111111111111000000000000000 / +#6770000 +b100000000000000001111111111111zz + +1i- +#6780000 +0@- +0m- +0k, +0u+ +#6790000 +1?. +b11111111111111 1 +1a- +b1111111111111111111 ) +#6800000 +0x- +0I. +0I- +0l- +b101001z0 e- +b101001z0 r- +0X, +0d+ +b1111111111111110000000000000000 # +b1111111111111110000000000000000 / +#6810000 +b100000000000000011111111111111zz + +1H. +#6820000 +0}- +0L. +0J- +0T, +#6830000 +1|. +b111111111111111 1 +1@. +b11111111111111111111 ) +#6840000 +0W. +0(/ +0(. +0K. +b101001z0 D. +b101001z0 Q. +07- +0C, +b1111111111111100000000000000000 # +b1111111111111100000000000000000 / +#6850000 +b100000000000000111111111111111zz + +1'/ +#6860000 +0\. +0+/ +0). +03- +#6870000 +1[/ +b1111111111111111 1 +1}. +b111111111111111111111 ) +#6880000 +06/ +0e/ +0e. +0*/ +b101001z0 #/ +b101001z0 0/ +0t- +0"- +b1111111111111000000000000000000 # +b1111111111111000000000000000000 / +#6890000 +b100000000000001111111111111111zz + +1d/ +#6900000 +0;/ +0h/ +0f. +0p- +#6910000 +1:0 +b11111111111111111 1 +1\/ +b1111111111111111111111 ) +#6920000 +0s/ +0D0 +0D/ +0g/ +b101001z0 `/ +b101001z0 m/ +0S. +0_- +b1111111111110000000000000000000 # +b1111111111110000000000000000000 / +#6930000 +b100000000000011111111111111111zz + +1C0 +#6940000 +0x/ +0G0 +0E/ +0O. +#6950000 +1w0 +b111111111111111111 1 +1;0 +b11111111111111111111111 ) +#6960000 +0R0 +0#1 +0#0 +0F0 +b101001z0 ?0 +b101001z0 L0 +02/ +0>. +b1111111111100000000000000000000 # +b1111111111100000000000000000000 / +#6970000 +b100000000000111111111111111111zz + +1"1 +#6980000 +0W0 +0&1 +0$0 +0./ +#6990000 +1V1 +b1111111111111111111 1 +1x0 +b111111111111111111111111 ) +#7000000 +011 +0`1 +0`0 +0%1 +b101001z0 |0 +b101001z0 +1 +0o/ +0{. +b1111111111000000000000000000000 # +b1111111111000000000000000000000 / +#7010000 +b100000000001111111111111111111zz + +1_1 +#7020000 +061 +0c1 +0a0 +0k/ +#7030000 +152 +b11111111111111111111 1 +1W1 +b1111111111111111111111111 ) +#7040000 +0n1 +0?2 +0?1 +0b1 +b101001z0 [1 +b101001z0 h1 +0N0 +0Z/ +b1111111110000000000000000000000 # +b1111111110000000000000000000000 / +#7050000 +b100000000011111111111111111111zz + +1>2 +#7060000 +0s1 +0B2 +0@1 +0J0 +#7070000 +1r2 +b111111111111111111111 1 +162 +b11111111111111111111111111 ) +#7080000 +0M2 +0|2 +0|1 +0A2 +b101001z0 :2 +b101001z0 G2 +0-1 +090 +b1111111100000000000000000000000 # +b1111111100000000000000000000000 / +#7090000 +b100000000111111111111111111111zz + +1{2 +#7100000 +0R2 +0!3 +0}1 +0)1 +#7110000 +1Q3 +b1111111111111111111111 1 +1s2 +b111111111111111111111111111 ) +#7120000 +0,3 +0[3 +0[2 +0~2 +b101001z0 w2 +b101001z0 &3 +0j1 +0v0 +b1111111000000000000000000000000 # +b1111111000000000000000000000000 / +#7130000 +b100000001111111111111111111111zz + +1Z3 +#7140000 +013 +0^3 +0\2 +0f1 +#7150000 +104 +b11111111111111111111111 1 +1R3 +b1111111111111111111111111111 ) +#7160000 +0i3 +0:4 +0:3 +0]3 +b101001z0 V3 +b101001z0 c3 +0I2 +0U1 +b1111110000000000000000000000000 # +b1111110000000000000000000000000 / +#7170000 +b100000011111111111111111111111zz + +194 +#7180000 +0n3 +0=4 +0;3 +0E2 +#7190000 +1m4 +b111111111111111111111111 1 +114 +b11111111111111111111111111111 ) +#7200000 +0H4 +0w4 +0w3 +0<4 +b101001z0 54 +b101001z0 B4 +0(3 +042 +b1111100000000000000000000000000 # +b1111100000000000000000000000000 / +#7210000 +b100000111111111111111111111111zz + +1v4 +#7220000 +0M4 +0z4 +0x3 +0$3 +#7230000 +1L5 +b1111111111111111111111111 1 +1n4 +b111111111111111111111111111111 ) +#7240000 +0'5 +0V5 +0V4 +0y4 +b101001z0 r4 +b101001z0 !5 +0e3 +0q2 +b1111000000000000000000000000000 # +b1111000000000000000000000000000 / +#7250000 +b100001111111111111111111111111zz + +1U5 +#7260000 +0,5 +0Y5 +0W4 +0a3 +#7270000 +1t +b11111111111111111111111111 1 +1M5 +b1111111111111111111111111111111 ) +#7280000 +0d5 +0"" +0V" +055 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0D4 +0P3 +b1110000000000000000000000000000 # +b1110000000000000000000000000000 / +#7290000 +b100011111111111111111111111111zz + +1&" +1U" +#7300000 +0i5 +0X" +065 +0@4 +1" +#7310000 +10" +b111111111111111111111111111 1 +1$" +b11000z1 { +b11000z1 *" +1p +#7320000 +0r5 +0x +0#5 +0/4 +b1100000000000000000000000000000 # +b1100000000000000000000000000000 / +#7330000 +b100111111111111111111111111111zz + +15" +#7340000 +0s5 +0}4 +#7350000 +b1111111111111111111111111111 1 +1>" +#7360000 +0`5 +0l4 +b1000000000000000000000000000000 # +b1000000000000000000000000000000 / +#7370000 +b101111111111111111111111111111zz + +1?" +#7380000 +0\5 +#7390000 +b11111111111111111111111111111 1 +1," +#7400000 +0K5 +b0 # +b0 / +#7410000 +b111111111111111111111111111111zz + +1(" +#7430000 +b111111111111111111111111111111 1 +1s +b10000000000000000000000000000000 # +b10000000000000000000000000000000 / +#7440000 +b11111111111111111111111111111zz + +#8000000 +13 +1* +09# +0v# +0U$ +04% +0q% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +0S1 +022 +0o2 +0N3 +0-4 +0j4 +0I5 +b1 ' +b1 ( +b1 2 +b11 % +b11 - +#8010000 +1h# +1G$ +1&% +1c% +1B& +1!' +1^' +1=( +1z( +1Y) +18* +1u* +1T+ +13, +1p, +1O- +1.. +1k. +1J/ +1)0 +1f0 +1E1 +1$2 +1a2 +1@3 +1}3 +1\4 +1;5 +1x5 +0@ +b111001z0 A# +b111001z0 N# +b111001z0 ~# +b111001z0 -$ +b111001z0 ]$ +b111001z0 j$ +b111001z0 <% +b111001z0 I% +b111001z0 y% +b111001z0 (& +b111001z0 X& +b111001z0 e& +b111001z0 7' +b111001z0 D' +b111001z0 t' +b111001z0 #( +b111001z0 S( +b111001z0 `( +b111001z0 2) +b111001z0 ?) +b111001z0 o) +b111001z0 |) +b111001z0 N* +b111001z0 [* +b111001z0 -+ +b111001z0 :+ +b111001z0 j+ +b111001z0 w+ +b111001z0 I, +b111001z0 V, +b111001z0 (- +b111001z0 5- +b111001z0 e- +b111001z0 r- +b111001z0 D. +b111001z0 Q. +b111001z0 #/ +b111001z0 0/ +b111001z0 `/ +b111001z0 m/ +b111001z0 ?0 +b111001z0 L0 +b111001z0 |0 +b111001z0 +1 +b111001z0 [1 +b111001z0 h1 +b111001z0 :2 +b111001z0 G2 +b111001z0 w2 +b111001z0 &3 +b111001z0 V3 +b111001z0 c3 +b111001z0 54 +b111001z0 B4 +b111001z0 r4 +b111001z0 !5 +b111001z0 Q5 +b111001z0 ^5 +#8020000 +0i# +0H$ +0'% +0d% +0C& +0"' +0_' +0>( +0{( +0Z) +09* +0v* +0U+ +04, +0q, +0P- +0/. +0l. +0K/ +0*0 +0g0 +0F1 +0%2 +0b2 +0A3 +0~3 +0]4 +0<5 +0y5 +b11001z0 A# +b11001z0 N# +b11001z0 ~# +b11001z0 -$ +b11001z0 ]$ +b11001z0 j$ +b11001z0 <% +b11001z0 I% +b11001z0 y% +b11001z0 (& +b11001z0 X& +b11001z0 e& +b11001z0 7' +b11001z0 D' +b11001z0 t' +b11001z0 #( +b11001z0 S( +b11001z0 `( +b11001z0 2) +b11001z0 ?) +b11001z0 o) +b11001z0 |) +b11001z0 N* +b11001z0 [* +b11001z0 -+ +b11001z0 :+ +b11001z0 j+ +b11001z0 w+ +b11001z0 I, +b11001z0 V, +b11001z0 (- +b11001z0 5- +b11001z0 e- +b11001z0 r- +b11001z0 D. +b11001z0 Q. +b11001z0 #/ +b11001z0 0/ +b11001z0 `/ +b11001z0 m/ +b11001z0 ?0 +b11001z0 L0 +b11001z0 |0 +b11001z0 +1 +b11001z0 [1 +b11001z0 h1 +b11001z0 :2 +b11001z0 G2 +b11001z0 w2 +b11001z0 &3 +b11001z0 V3 +b11001z0 c3 +b11001z0 54 +b11001z0 B4 +b11001z0 r4 +b11001z0 !5 +b11001z0 Q5 +b11001z0 ^5 +1D +1? +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +#8030000 +0V# +05$ +0r$ +0Q% +00& +0m& +0L' +0+( +0h( +0G) +0&* +0c* +0B+ +0!, +0^, +0=- +0z- +0Y. +08/ +0u/ +0T0 +031 +0p1 +0O2 +0.3 +0k3 +0J4 +0)5 +0f5 +09 +1y +1_" +1># +1{# +1Z$ +19% +1v% +1U& +14' +1q' +1P( +1/) +1l) +1K* +1*+ +1g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +b11000z0 A# +b11000z0 N# +0D# +b11000z0 ~# +b11000z0 -$ +0#$ +b11000z0 ]$ +b11000z0 j$ +0`$ +b11000z0 <% +b11000z0 I% +0?% +b11000z0 y% +b11000z0 (& +0|% +b11000z0 X& +b11000z0 e& +0[& +b11000z0 7' +b11000z0 D' +0:' +b11000z0 t' +b11000z0 #( +0w' +b11000z0 S( +b11000z0 `( +0V( +b11000z0 2) +b11000z0 ?) +05) +b11000z0 o) +b11000z0 |) +0r) +b11000z0 N* +b11000z0 [* +0Q* +b11000z0 -+ +b11000z0 :+ +00+ +b11000z0 j+ +b11000z0 w+ +0m+ +b11000z0 I, +b11000z0 V, +0L, +b11000z0 (- +b11000z0 5- +0+- +b11000z0 e- +b11000z0 r- +0h- +b11000z0 D. +b11000z0 Q. +0G. +b11000z0 #/ +b11000z0 0/ +0&/ +b11000z0 `/ +b11000z0 m/ +0c/ +b11000z0 ?0 +b11000z0 L0 +0B0 +b11000z0 |0 +b11000z0 +1 +0!1 +b11000z0 [1 +b11000z0 h1 +0^1 +b11000z0 :2 +b11000z0 G2 +0=2 +b11000z0 w2 +b11000z0 &3 +0z2 +b11000z0 V3 +b11000z0 c3 +0Y3 +b11000z0 54 +b11000z0 B4 +084 +b11000z0 r4 +b11000z0 !5 +0u4 +b11000z0 Q5 +b11000z0 ^5 +0T5 +#8040000 +1N +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1B +b100110z1 ; +b100110z1 H +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +#8050000 +0< +1} +1c" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +#8060000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1S +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b11 ) +1> +1~ +0e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +#8070000 +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0A +0#" +1h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +1E# +#8080000 +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +1\ +1H# +b11000z1 A# +b11000z1 N# +1'$ +b11000z1 ~# +b11000z1 -$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1C% +b11000z1 <% +b11000z1 I% +1"& +b11000z1 y% +b11000z1 (& +1_& +b11000z1 X& +b11000z1 e& +1>' +b11000z1 7' +b11000z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b11000z1 S( +b11000z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b11000z1 o) +b11000z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b11000z1 -+ +b11000z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1P, +b11000z1 I, +b11000z1 V, +1/- +b11000z1 (- +b11000z1 5- +1l- +b11000z1 e- +b11000z1 r- +1K. +b11000z1 D. +b11000z1 Q. +1*/ +b11000z1 #/ +b11000z1 0/ +1g/ +b11000z1 `/ +b11000z1 m/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1%1 +b11000z1 |0 +b11000z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1A2 +b11000z1 :2 +b11000z1 G2 +1~2 +b11000z1 w2 +b11000z1 &3 +1]3 +b11000z1 V3 +b11000z1 c3 +1<4 +b11000z1 54 +b11000z1 B4 +1y4 +b11000z1 r4 +b11000z1 !5 +1X5 +b11000z1 Q5 +b11000z1 ^5 +#8090000 +1y# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1%" +1X" +0D +1k" +0J# +1=# +b111 ) +0" +#8100000 +0%$ +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +0p +1] +#8110000 +0N +1u" +0T# +1$$ +1x +0B +b100110z0 ; +b100110z0 H +1i" +b101001z1 b" +b101001z1 o" +0H# +b11000z0 A# +b11000z0 N# +#8120000 +0($ +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1J +#8130000 +1X$ +0S +1z" +0Y# +1z# +b1111 ) +#8140000 +03$ +0b$ +0'$ +b11000z0 ~# +b11000z0 -$ +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1F +#8150000 +1a$ +0\ +1%# +0b# +#8160000 +08$ +0e$ +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +17 +b10000000000000000000000000000001 # +b10000000000000000000000000000001 / +#8170000 +17% +b111111111111111111111111111110 1 +1Y$ +b11111 ) +0] +1&# +0c# +#8180000 +0p$ +0A% +0A$ +0d$ +b11000z0 ]$ +b11000z0 j$ +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +#8190000 +b111111111111111111111111111100 1 +1@% +0J +1q" +0P# +#8200000 +0u$ +0D% +0B$ +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +b11111111111111111111111111111101 # +b11111111111111111111111111111101 / +#8210000 +1t% +b0zz + +b111111111111111111111111111000 1 +18% +b111111 ) +0F +1m" +0L# +#8220000 +0O% +0~% +0~$ +0C% +b11000z0 <% +b11000z0 I% +0/$ +#8230000 +b0 1 +1}% +07 +1\" +0;# +b11111111111111111111111111111010 # +b11111111111111111111111111111010 / +#8240000 +b1zz + +0T% +0#& +0!% +0+$ +#8250000 +1S& +1u% +b1111111 ) +#8260000 +0.& +0]& +0]% +0"& +b11000z0 y% +b11000z0 (& +0l$ +0x# +b11111111111111111111111111110010 # +b11111111111111111111111111110010 / +#8270000 +b11zz + +1\& +#8280000 +03& +0`& +0^% +0h$ +#8290000 +12' +1T& +b11111111 ) +#8300000 +0k& +0<' +0<& +0_& +b11000z0 X& +b11000z0 e& +0K% +0W$ +b11111111111111111111111111100010 # +b11111111111111111111111111100010 / +#8310000 +b111zz + +1;' +#8320000 +0p& +0?' +0=& +0G% +#8330000 +1o' +13' +b111111111 ) +#8340000 +0J' +0y' +0y& +0>' +b11000z0 7' +b11000z0 D' +0*& +06% +b11111111111111111111111111000010 # +b11111111111111111111111111000010 / +#8350000 +b1111zz + +1x' +#8360000 +0O' +0|' +0z& +0&& +#8370000 +1N( +1p' +b1111111111 ) +#8380000 +0)( +0X( +0X' +0{' +b11000z0 t' +b11000z0 #( +0g& +0s% +b11111111111111111111111110000010 # +b11111111111111111111111110000010 / +#8390000 +b11111zz + +1W( +#8400000 +0.( +0[( +0Y' +0c& +#8410000 +1-) +1O( +b11111111111 ) +#8420000 +0f( +07) +07( +0Z( +b11000z0 S( +b11000z0 `( +0F' +0R& +b11111111111111111111111100000010 # +b11111111111111111111111100000010 / +#8430000 +b111111zz + +16) +#8440000 +0k( +0:) +08( +0B' +#8450000 +1j) +1.) +b111111111111 ) +#8460000 +0E) +0t) +0t( +09) +b11000z0 2) +b11000z0 ?) +0%( +01' +b11111111111111111111111000000010 # +b11111111111111111111111000000010 / +#8470000 +b1111111zz + +1s) +#8480000 +0J) +0w) +0u( +0!( +#8490000 +1I* +1k) +b1111111111111 ) +#8500000 +0$* +0S* +0S) +0v) +b11000z0 o) +b11000z0 |) +0b( +0n' +b11111111111111111111110000000010 # +b11111111111111111111110000000010 / +#8510000 +b11111111zz + +1R* +#8520000 +0)* +0V* +0T) +0^( +#8530000 +1(+ +1J* +b11111111111111 ) +#8540000 +0a* +02+ +02* +0U* +b11000z0 N* +b11000z0 [* +0A) +0M( +b11111111111111111111100000000010 # +b11111111111111111111100000000010 / +#8550000 +b111111111zz + +11+ +#8560000 +0f* +05+ +03* +0=) +#8570000 +1e+ +1)+ +b111111111111111 ) +#8580000 +0@+ +0o+ +0o* +04+ +b11000z0 -+ +b11000z0 :+ +0~) +0,) +b11111111111111111111000000000010 # +b11111111111111111111000000000010 / +#8590000 +b1111111111zz + +1n+ +#8600000 +0E+ +0r+ +0p* +0z) +#8610000 +1D, +1f+ +b1111111111111111 ) +#8620000 +0}+ +0N, +0N+ +0q+ +b11000z0 j+ +b11000z0 w+ +0]* +0i) +b11111111111111111110000000000010 # +b11111111111111111110000000000010 / +#8630000 +b11111111111zz + +1M, +#8640000 +0$, +0Q, +0O+ +0Y* +#8650000 +1#- +1E, +b11111111111111111 ) +#8660000 +0\, +0-- +0-, +0P, +b11000z0 I, +b11000z0 V, +0<+ +0H* +b11111111111111111100000000000010 # +b11111111111111111100000000000010 / +#8670000 +b111111111111zz + +1,- +#8680000 +0a, +00- +0., +08+ +#8690000 +1`- +1$- +b111111111111111111 ) +#8700000 +0;- +0j- +0j, +0/- +b11000z0 (- +b11000z0 5- +0y+ +0'+ +b11111111111111111000000000000010 # +b11111111111111111000000000000010 / +#8710000 +b1111111111111zz + +1i- +#8720000 +0@- +0m- +0k, +0u+ +#8730000 +1?. +1a- +b1111111111111111111 ) +#8740000 +0x- +0I. +0I- +0l- +b11000z0 e- +b11000z0 r- +0X, +0d+ +b11111111111111110000000000000010 # +b11111111111111110000000000000010 / +#8750000 +b11111111111111zz + +1H. +#8760000 +0}- +0L. +0J- +0T, +#8770000 +1|. +1@. +b11111111111111111111 ) +#8780000 +0W. +0(/ +0(. +0K. +b11000z0 D. +b11000z0 Q. +07- +0C, +b11111111111111100000000000000010 # +b11111111111111100000000000000010 / +#8790000 +b111111111111111zz + +1'/ +#8800000 +0\. +0+/ +0). +03- +#8810000 +1[/ +1}. +b111111111111111111111 ) +#8820000 +06/ +0e/ +0e. +0*/ +b11000z0 #/ +b11000z0 0/ +0t- +0"- +b11111111111111000000000000000010 # +b11111111111111000000000000000010 / +#8830000 +b1111111111111111zz + +1d/ +#8840000 +0;/ +0h/ +0f. +0p- +#8850000 +1:0 +1\/ +b1111111111111111111111 ) +#8860000 +0s/ +0D0 +0D/ +0g/ +b11000z0 `/ +b11000z0 m/ +0S. +0_- +b11111111111110000000000000000010 # +b11111111111110000000000000000010 / +#8870000 +b11111111111111111zz + +1C0 +#8880000 +0x/ +0G0 +0E/ +0O. +#8890000 +1w0 +1;0 +b11111111111111111111111 ) +#8900000 +0R0 +0#1 +0#0 +0F0 +b11000z0 ?0 +b11000z0 L0 +02/ +0>. +b11111111111100000000000000000010 # +b11111111111100000000000000000010 / +#8910000 +b111111111111111111zz + +1"1 +#8920000 +0W0 +0&1 +0$0 +0./ +#8930000 +1V1 +1x0 +b111111111111111111111111 ) +#8940000 +011 +0`1 +0`0 +0%1 +b11000z0 |0 +b11000z0 +1 +0o/ +0{. +b11111111111000000000000000000010 # +b11111111111000000000000000000010 / +#8950000 +b1111111111111111111zz + +1_1 +#8960000 +061 +0c1 +0a0 +0k/ +#8970000 +152 +1W1 +b1111111111111111111111111 ) +#8980000 +0n1 +0?2 +0?1 +0b1 +b11000z0 [1 +b11000z0 h1 +0N0 +0Z/ +b11111111110000000000000000000010 # +b11111111110000000000000000000010 / +#8990000 +b11111111111111111111zz + +1>2 +#9000000 +0s1 +0B2 +0@1 +0J0 +#9010000 +1r2 +162 +b11111111111111111111111111 ) +#9020000 +0M2 +0|2 +0|1 +0A2 +b11000z0 :2 +b11000z0 G2 +0-1 +090 +b11111111100000000000000000000010 # +b11111111100000000000000000000010 / +#9030000 +b111111111111111111111zz + +1{2 +#9040000 +0R2 +0!3 +0}1 +0)1 +#9050000 +1Q3 +1s2 +b111111111111111111111111111 ) +#9060000 +0,3 +0[3 +0[2 +0~2 +b11000z0 w2 +b11000z0 &3 +0j1 +0v0 +b11111111000000000000000000000010 # +b11111111000000000000000000000010 / +#9070000 +b1111111111111111111111zz + +1Z3 +#9080000 +013 +0^3 +0\2 +0f1 +#9090000 +104 +1R3 +b1111111111111111111111111111 ) +#9100000 +0i3 +0:4 +0:3 +0]3 +b11000z0 V3 +b11000z0 c3 +0I2 +0U1 +b11111110000000000000000000000010 # +b11111110000000000000000000000010 / +#9110000 +b11111111111111111111111zz + +194 +#9120000 +0n3 +0=4 +0;3 +0E2 +#9130000 +1m4 +114 +b11111111111111111111111111111 ) +#9140000 +0H4 +0w4 +0w3 +0<4 +b11000z0 54 +b11000z0 B4 +0(3 +042 +b11111100000000000000000000000010 # +b11111100000000000000000000000010 / +#9150000 +b111111111111111111111111zz + +1v4 +#9160000 +0M4 +0z4 +0x3 +0$3 +#9170000 +1L5 +1n4 +b111111111111111111111111111111 ) +#9180000 +0'5 +0V5 +0V4 +0y4 +b11000z0 r4 +b11000z0 !5 +0e3 +0q2 +b11111000000000000000000000000010 # +b11111000000000000000000000000010 / +#9190000 +b1111111111111111111111111zz + +1U5 +#9200000 +0,5 +0Y5 +0W4 +0a3 +#9210000 +1t +1M5 +b1111111111111111111111111111111 ) +#9220000 +0d5 +0"" +0V" +055 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0D4 +0P3 +b11110000000000000000000000000010 # +b11110000000000000000000000000010 / +#9230000 +b11111111111111111111111111zz + +1!" +1U" +#9240000 +0i5 +0%" +0X" +065 +0@4 +1" +#9250000 +1! +1p +#9260000 +00" +0r5 +0$" +b11000z0 { +b11000z0 *" +0x +0#5 +0/4 +b11100000000000000000000000000010 # +b11100000000000000000000000000010 / +#9270000 +b111111111111111111111111111zz + +#9280000 +05" +0s5 +0}4 +0" +#9300000 +0>" +0`5 +0l4 +b11000000000000000000000000000010 # +b11000000000000000000000000000010 / +#9310000 +0Q +b1111111111111111111111111111zz + +b100100z0 ; +b100100z0 H +00 +#9320000 +0?" +0\5 +#9340000 +0," +0K5 +b10000000000000000000000000000010 # +b10000000000000000000000000000010 / +#9350000 +b11111111111111111111111111111zz + +#9360000 +0(" +#9380000 +0s +b10 # +b10 / +#9390000 +b111111111111111111111111111111zz + +#10000000 +0Z" +05 +1q +b10000000000000000000000000000000 % +b10000000000000000000000000000000 - +#10010000 +1+# +1a +0D" +b111001z1 b" +b111001z1 o" +b101100z0 ; +b101100z0 H +b1000z0 { +b1000z0 *" +#10020000 +0,# +0` +1E" +b11001z1 b" +b11001z1 o" +b101000z0 ; +b101000z0 H +b101000z0 { +b101000z0 *" +0c" +0= +1| +1R" +#10030000 +0w" +1P +12" +b11000z1 b" +b11000z1 o" +1e" +b101001z0 ; +b101001z0 H +0> +b101001z0 { +b101001z0 *" +0~ +0T" +#10040000 +0h" +1A +1#" +1W" +0e +0? +#10060000 +0]" +0k" +1D +1&" +1Y" +0n +08 +b1111111111111111111111111111110 ) +#10070000 +1g" +#10080000 +0u" +1N +10" +0f" +0i" +b11000z0 b" +b11000z0 o" +1B +b101001z1 ; +b101001z1 H +1$" +b101001z1 { +b101001z1 *" +1x +0o +#10090000 +1j" +#10100000 +0<# +0z" +1S +15" +0^" +b1111111111111111111111111111100 ) +0K +#10110000 +1u" +1Q +1F# +1i" +b11000z1 b" +b11000z1 o" +b101011z1 ; +b101011z1 H +10 +#10120000 +0E# +0%# +1\ +1>" +#10130000 +1z" +1I# +#10140000 +0y# +0=# +b1111111111111111111111111111000 ) +0&# +1] +1?" +#10150000 +1T# +1%$ +1%# +1H# +b11000z1 A# +b11000z1 N# +#10160000 +0$$ +0q" +1J +1," +#10170000 +1Y# +1($ +1&# +#10180000 +0X$ +0z# +b1111111111111111111111111110000 ) +0m" +1F +1(" +#10190000 +13$ +1b$ +1b# +1'$ +b11000z1 ~# +b11000z1 -$ +1q" +#10200000 +0a$ +0\" +17 +1s +b10000000000000000000000000000001 # +b10000000000000000000000000000001 / +#10210000 +b11111111111111111111111111111zz + +18$ +1e$ +1c# +1m" +#10220000 +07% +0Y$ +b1111111111111111111111111100000 ) +#10230000 +1p$ +1A% +1A$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1P# +1\" +b10000000000000000000000000000011 # +b10000000000000000000000000000011 / +#10240000 +0@% +#10250000 +1u$ +1D% +1B$ +1L# +#10260000 +0t% +08% +b1111111111111111111111111000000 ) +#10270000 +1O% +1~% +1~$ +1C% +b11000z1 <% +b11000z1 I% +1/$ +1;# +b10000000000000000000000000000111 # +b10000000000000000000000000000111 / +#10280000 +b11111111111111111111111111110zz + +0}% +#10290000 +1T% +1#& +1!% +1+$ +#10300000 +0S& +0u% +b1111111111111111111111110000000 ) +#10310000 +1.& +1]& +1]% +1"& +b11000z1 y% +b11000z1 (& +1l$ +1x# +b10000000000000000000000000001111 # +b10000000000000000000000000001111 / +#10320000 +b11111111111111111111111111100zz + +0\& +#10330000 +13& +1`& +1^% +1h$ +#10340000 +02' +0T& +b1111111111111111111111100000000 ) +#10350000 +1k& +1<' +1<& +1_& +b11000z1 X& +b11000z1 e& +1K% +1W$ +b10000000000000000000000000011111 # +b10000000000000000000000000011111 / +#10360000 +b11111111111111111111111111000zz + +0;' +#10370000 +1p& +1?' +1=& +1G% +#10380000 +0o' +03' +b1111111111111111111111000000000 ) +#10390000 +1J' +1y' +1y& +1>' +b11000z1 7' +b11000z1 D' +1*& +16% +b10000000000000000000000000111111 # +b10000000000000000000000000111111 / +#10400000 +b11111111111111111111111110000zz + +0x' +#10410000 +1O' +1|' +1z& +1&& +#10420000 +0N( +0p' +b1111111111111111111110000000000 ) +#10430000 +1)( +1X( +1X' +1{' +b11000z1 t' +b11000z1 #( +1g& +1s% +b10000000000000000000000001111111 # +b10000000000000000000000001111111 / +#10440000 +b11111111111111111111111100000zz + +0W( +#10450000 +1.( +1[( +1Y' +1c& +#10460000 +0-) +0O( +b1111111111111111111100000000000 ) +#10470000 +1f( +17) +17( +1Z( +b11000z1 S( +b11000z1 `( +1F' +1R& +b10000000000000000000000011111111 # +b10000000000000000000000011111111 / +#10480000 +b11111111111111111111111000000zz + +06) +#10490000 +1k( +1:) +18( +1B' +#10500000 +0j) +0.) +b1111111111111111111000000000000 ) +#10510000 +1E) +1t) +1t( +19) +b11000z1 2) +b11000z1 ?) +1%( +11' +b10000000000000000000000111111111 # +b10000000000000000000000111111111 / +#10520000 +b11111111111111111111110000000zz + +0s) +#10530000 +1J) +1w) +1u( +1!( +#10540000 +0I* +0k) +b1111111111111111110000000000000 ) +#10550000 +1$* +1S* +1S) +1v) +b11000z1 o) +b11000z1 |) +1b( +1n' +b10000000000000000000001111111111 # +b10000000000000000000001111111111 / +#10560000 +b11111111111111111111100000000zz + +0R* +#10570000 +1)* +1V* +1T) +1^( +#10580000 +0(+ +0J* +b1111111111111111100000000000000 ) +#10590000 +1a* +12+ +12* +1U* +b11000z1 N* +b11000z1 [* +1A) +1M( +b10000000000000000000011111111111 # +b10000000000000000000011111111111 / +#10600000 +b11111111111111111111000000000zz + +01+ +#10610000 +1f* +15+ +13* +1=) +#10620000 +0e+ +0)+ +b1111111111111111000000000000000 ) +#10630000 +1@+ +1o+ +1o* +14+ +b11000z1 -+ +b11000z1 :+ +1~) +1,) +b10000000000000000000111111111111 # +b10000000000000000000111111111111 / +#10640000 +b11111111111111111110000000000zz + +0n+ +#10650000 +1E+ +1r+ +1p* +1z) +#10660000 +0D, +0f+ +b1111111111111110000000000000000 ) +#10670000 +1}+ +1N, +1N+ +1q+ +b11000z1 j+ +b11000z1 w+ +1]* +1i) +b10000000000000000001111111111111 # +b10000000000000000001111111111111 / +#10680000 +b11111111111111111100000000000zz + +0M, +#10690000 +1$, +1Q, +1O+ +1Y* +#10700000 +0#- +0E, +b1111111111111100000000000000000 ) +#10710000 +1\, +1-- +1-, +1P, +b11000z1 I, +b11000z1 V, +1<+ +1H* +b10000000000000000011111111111111 # +b10000000000000000011111111111111 / +#10720000 +b11111111111111111000000000000zz + +0,- +#10730000 +1a, +10- +1., +18+ +#10740000 +0`- +0$- +b1111111111111000000000000000000 ) +#10750000 +1;- +1j- +1j, +1/- +b11000z1 (- +b11000z1 5- +1y+ +1'+ +b10000000000000000111111111111111 # +b10000000000000000111111111111111 / +#10760000 +b11111111111111110000000000000zz + +0i- +#10770000 +1@- +1m- +1k, +1u+ +#10780000 +0?. +0a- +b1111111111110000000000000000000 ) +#10790000 +1x- +1I. +1I- +1l- +b11000z1 e- +b11000z1 r- +1X, +1d+ +b10000000000000001111111111111111 # +b10000000000000001111111111111111 / +#10800000 +b11111111111111100000000000000zz + +0H. +#10810000 +1}- +1L. +1J- +1T, +#10820000 +0|. +0@. +b1111111111100000000000000000000 ) +#10830000 +1W. +1(/ +1(. +1K. +b11000z1 D. +b11000z1 Q. +17- +1C, +b10000000000000011111111111111111 # +b10000000000000011111111111111111 / +#10840000 +b11111111111111000000000000000zz + +0'/ +#10850000 +1\. +1+/ +1). +13- +#10860000 +0[/ +0}. +b1111111111000000000000000000000 ) +#10870000 +16/ +1e/ +1e. +1*/ +b11000z1 #/ +b11000z1 0/ +1t- +1"- +b10000000000000111111111111111111 # +b10000000000000111111111111111111 / +#10880000 +b11111111111110000000000000000zz + +0d/ +#10890000 +1;/ +1h/ +1f. +1p- +#10900000 +0:0 +0\/ +b1111111110000000000000000000000 ) +#10910000 +1s/ +1D0 +1D/ +1g/ +b11000z1 `/ +b11000z1 m/ +1S. +1_- +b10000000000001111111111111111111 # +b10000000000001111111111111111111 / +#10920000 +b11111111111100000000000000000zz + +0C0 +#10930000 +1x/ +1G0 +1E/ +1O. +#10940000 +0w0 +0;0 +b1111111100000000000000000000000 ) +#10950000 +1R0 +1#1 +1#0 +1F0 +b11000z1 ?0 +b11000z1 L0 +12/ +1>. +b10000000000011111111111111111111 # +b10000000000011111111111111111111 / +#10960000 +b11111111111000000000000000000zz + +0"1 +#10970000 +1W0 +1&1 +1$0 +1./ +#10980000 +0V1 +0x0 +b1111111000000000000000000000000 ) +#10990000 +111 +1`1 +1`0 +1%1 +b11000z1 |0 +b11000z1 +1 +1o/ +1{. +b10000000000111111111111111111111 # +b10000000000111111111111111111111 / +#11000000 +b11111111110000000000000000000zz + +0_1 +#11010000 +161 +1c1 +1a0 +1k/ +#11020000 +052 +0W1 +b1111110000000000000000000000000 ) +#11030000 +1n1 +1?2 +1?1 +1b1 +b11000z1 [1 +b11000z1 h1 +1N0 +1Z/ +b10000000001111111111111111111111 # +b10000000001111111111111111111111 / +#11040000 +b11111111100000000000000000000zz + +0>2 +#11050000 +1s1 +1B2 +1@1 +1J0 +#11060000 +0r2 +062 +b1111100000000000000000000000000 ) +#11070000 +1M2 +1|2 +1|1 +1A2 +b11000z1 :2 +b11000z1 G2 +1-1 +190 +b10000000011111111111111111111111 # +b10000000011111111111111111111111 / +#11080000 +b11111111000000000000000000000zz + +0{2 +#11090000 +1R2 +1!3 +1}1 +1)1 +#11100000 +0Q3 +0s2 +b1111000000000000000000000000000 ) +#11110000 +1,3 +1[3 +1[2 +1~2 +b11000z1 w2 +b11000z1 &3 +1j1 +1v0 +b10000000111111111111111111111111 # +b10000000111111111111111111111111 / +#11120000 +b11111110000000000000000000000zz + +0Z3 +#11130000 +113 +1^3 +1\2 +1f1 +#11140000 +004 +0R3 +b1110000000000000000000000000000 ) +#11150000 +1i3 +1:4 +1:3 +1]3 +b11000z1 V3 +b11000z1 c3 +1I2 +1U1 +b10000001111111111111111111111111 # +b10000001111111111111111111111111 / +#11160000 +b11111100000000000000000000000zz + +094 +#11170000 +1n3 +1=4 +1;3 +1E2 +#11180000 +0m4 +014 +b1100000000000000000000000000000 ) +#11190000 +1H4 +1w4 +1w3 +1<4 +b11000z1 54 +b11000z1 B4 +1(3 +142 +b10000011111111111111111111111111 # +b10000011111111111111111111111111 / +#11200000 +b11111000000000000000000000000zz + +0v4 +#11210000 +1M4 +1z4 +1x3 +1$3 +#11220000 +0L5 +0n4 +b1000000000000000000000000000000 ) +#11230000 +1'5 +1V5 +1V4 +1y4 +b11000z1 r4 +b11000z1 !5 +1e3 +1q2 +b10000111111111111111111111111111 # +b10000111111111111111111111111111 / +#11240000 +b11110000000000000000000000000zz + +0U5 +#11250000 +1,5 +1Y5 +1W4 +1a3 +#11260000 +0t +0M5 +b0 ) +#11270000 +1d5 +1"" +1V" +155 +1X5 +b11000z1 Q5 +b11000z1 ^5 +1D4 +1P3 +b10001111111111111111111111111111 # +b10001111111111111111111111111111 / +#11280000 +b11100000000000000000000000000zz + +0&" +0!" +0Y" +0U" +#11290000 +1i5 +165 +1@4 +1" +#11300000 +00" +0$" +b101001z0 { +b101001z0 *" +0x +#11310000 +1r5 +1#5 +1/4 +b10011111111111111111111111111111 # +b10011111111111111111111111111111 / +#11320000 +b11000000000000000000000000000zz + +05" +#11330000 +1s5 +1}4 +#11340000 +0>" +#11350000 +1`5 +1l4 +b10111111111111111111111111111111 # +b10111111111111111111111111111111 / +#11360000 +b10000000000000000000000000000zz + +0?" +#11370000 +1\5 +#11380000 +0," +#11390000 +1K5 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#11400000 +b0zz + +0(" +#11420000 +0s +b1111111111111111111111111111111 # +b1111111111111111111111111111111 / +#11430000 +b100000000000000000000000000000zz + +#12000000 +06 +0q +b0 & +b0 . +b0 % +b0 - +#12010000 +1b +1D" +b111011z1 ; +b111011z1 H +b111001z0 { +b111001z0 *" +#12020000 +0c +0E" +b11011z1 ; +b11011z1 H +b11001z0 { +b11001z0 *" +0| +0R" +#12030000 +0P +02" +19 +b11010z1 ; +b11010z1 H +b11000z0 { +b11000z0 *" +1~ +1T" +#12040000 +0#" +0W" +0! +0p +#12050000 +1= +1%" +1X" +#12060000 +1> +#12070000 +10" +0A +1? +1$" +b11000z1 { +b11000z1 *" +1x +0" +#12090000 +1]" +15" +0D +18 +b1 ) +#12100000 +0g" +#12110000 +0N +1f" +1>" +0B +b11010z0 ; +b11010z0 H +#12120000 +0j" +#12130000 +1<# +0S +1^" +b11 ) +1?" +#12140000 +0u" +0F# +0i" +b11000z0 b" +b11000z0 o" +#12150000 +1E# +0\ +1," +#12160000 +0z" +0I# +#12170000 +1y# +1=# +b111 ) +0] +1(" +#12180000 +0T# +0%$ +0%# +0H# +b11000z0 A# +b11000z0 N# +#12190000 +1$$ +0J +1s +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#12200000 +b0zz + +0Y# +0($ +0&# +#12210000 +1X$ +1z# +b1111 ) +0F +#12220000 +03$ +0b$ +0b# +0'$ +b11000z0 ~# +b11000z0 -$ +0q" +#12230000 +1a$ +07 +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#12240000 +08$ +0e$ +0c# +0m" +#12250000 +17% +1Y$ +b11111 ) +#12260000 +0p$ +0A% +0A$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0P# +0\" +b11111111111111111111111111111100 # +b11111111111111111111111111111100 / +#12270000 +b1 1 +1@% +#12280000 +0u$ +0D% +0B$ +0L# +#12290000 +1t% +18% +b111111 ) +#12300000 +0O% +0~% +0~$ +0C% +b11000z0 <% +b11000z0 I% +0/$ +0;# +b11111111111111111111111111111000 # +b11111111111111111111111111111000 / +#12310000 +b1zz + +1}% +#12320000 +0T% +0#& +0!% +0+$ +#12330000 +1S& +b11 1 +1u% +b1111111 ) +#12340000 +0.& +0]& +0]% +0"& +b11000z0 y% +b11000z0 (& +0l$ +0x# +b11111111111111111111111111110000 # +b11111111111111111111111111110000 / +#12350000 +b11zz + +1\& +#12360000 +03& +0`& +0^% +0h$ +#12370000 +12' +b111 1 +1T& +b11111111 ) +#12380000 +0k& +0<' +0<& +0_& +b11000z0 X& +b11000z0 e& +0K% +0W$ +b11111111111111111111111111100000 # +b11111111111111111111111111100000 / +#12390000 +b111zz + +1;' +#12400000 +0p& +0?' +0=& +0G% +#12410000 +1o' +b1111 1 +13' +b111111111 ) +#12420000 +0J' +0y' +0y& +0>' +b11000z0 7' +b11000z0 D' +0*& +06% +b11111111111111111111111111000000 # +b11111111111111111111111111000000 / +#12430000 +b1111zz + +1x' +#12440000 +0O' +0|' +0z& +0&& +#12450000 +1N( +b11111 1 +1p' +b1111111111 ) +#12460000 +0)( +0X( +0X' +0{' +b11000z0 t' +b11000z0 #( +0g& +0s% +b11111111111111111111111110000000 # +b11111111111111111111111110000000 / +#12470000 +b11111zz + +1W( +#12480000 +0.( +0[( +0Y' +0c& +#12490000 +1-) +b111111 1 +1O( +b11111111111 ) +#12500000 +0f( +07) +07( +0Z( +b11000z0 S( +b11000z0 `( +0F' +0R& +b11111111111111111111111100000000 # +b11111111111111111111111100000000 / +#12510000 +b111111zz + +16) +#12520000 +0k( +0:) +08( +0B' +#12530000 +1j) +b1111111 1 +1.) +b111111111111 ) +#12540000 +0E) +0t) +0t( +09) +b11000z0 2) +b11000z0 ?) +0%( +01' +b11111111111111111111111000000000 # +b11111111111111111111111000000000 / +#12550000 +b1111111zz + +1s) +#12560000 +0J) +0w) +0u( +0!( +#12570000 +1I* +b11111111 1 +1k) +b1111111111111 ) +#12580000 +0$* +0S* +0S) +0v) +b11000z0 o) +b11000z0 |) +0b( +0n' +b11111111111111111111110000000000 # +b11111111111111111111110000000000 / +#12590000 +b11111111zz + +1R* +#12600000 +0)* +0V* +0T) +0^( +#12610000 +1(+ +b111111111 1 +1J* +b11111111111111 ) +#12620000 +0a* +02+ +02* +0U* +b11000z0 N* +b11000z0 [* +0A) +0M( +b11111111111111111111100000000000 # +b11111111111111111111100000000000 / +#12630000 +b111111111zz + +11+ +#12640000 +0f* +05+ +03* +0=) +#12650000 +1e+ +b1111111111 1 +1)+ +b111111111111111 ) +#12660000 +0@+ +0o+ +0o* +04+ +b11000z0 -+ +b11000z0 :+ +0~) +0,) +b11111111111111111111000000000000 # +b11111111111111111111000000000000 / +#12670000 +b1111111111zz + +1n+ +#12680000 +0E+ +0r+ +0p* +0z) +#12690000 +1D, +b11111111111 1 +1f+ +b1111111111111111 ) +#12700000 +0}+ +0N, +0N+ +0q+ +b11000z0 j+ +b11000z0 w+ +0]* +0i) +b11111111111111111110000000000000 # +b11111111111111111110000000000000 / +#12710000 +b11111111111zz + +1M, +#12720000 +0$, +0Q, +0O+ +0Y* +#12730000 +1#- +b111111111111 1 +1E, +b11111111111111111 ) +#12740000 +0\, +0-- +0-, +0P, +b11000z0 I, +b11000z0 V, +0<+ +0H* +b11111111111111111100000000000000 # +b11111111111111111100000000000000 / +#12750000 +b111111111111zz + +1,- +#12760000 +0a, +00- +0., +08+ +#12770000 +1`- +b1111111111111 1 +1$- +b111111111111111111 ) +#12780000 +0;- +0j- +0j, +0/- +b11000z0 (- +b11000z0 5- +0y+ +0'+ +b11111111111111111000000000000000 # +b11111111111111111000000000000000 / +#12790000 +b1111111111111zz + +1i- +#12800000 +0@- +0m- +0k, +0u+ +#12810000 +1?. +b11111111111111 1 +1a- +b1111111111111111111 ) +#12820000 +0x- +0I. +0I- +0l- +b11000z0 e- +b11000z0 r- +0X, +0d+ +b11111111111111110000000000000000 # +b11111111111111110000000000000000 / +#12830000 +b11111111111111zz + +1H. +#12840000 +0}- +0L. +0J- +0T, +#12850000 +1|. +b111111111111111 1 +1@. +b11111111111111111111 ) +#12860000 +0W. +0(/ +0(. +0K. +b11000z0 D. +b11000z0 Q. +07- +0C, +b11111111111111100000000000000000 # +b11111111111111100000000000000000 / +#12870000 +b111111111111111zz + +1'/ +#12880000 +0\. +0+/ +0). +03- +#12890000 +1[/ +b1111111111111111 1 +1}. +b111111111111111111111 ) +#12900000 +06/ +0e/ +0e. +0*/ +b11000z0 #/ +b11000z0 0/ +0t- +0"- +b11111111111111000000000000000000 # +b11111111111111000000000000000000 / +#12910000 +b1111111111111111zz + +1d/ +#12920000 +0;/ +0h/ +0f. +0p- +#12930000 +1:0 +b11111111111111111 1 +1\/ +b1111111111111111111111 ) +#12940000 +0s/ +0D0 +0D/ +0g/ +b11000z0 `/ +b11000z0 m/ +0S. +0_- +b11111111111110000000000000000000 # +b11111111111110000000000000000000 / +#12950000 +b11111111111111111zz + +1C0 +#12960000 +0x/ +0G0 +0E/ +0O. +#12970000 +1w0 +b111111111111111111 1 +1;0 +b11111111111111111111111 ) +#12980000 +0R0 +0#1 +0#0 +0F0 +b11000z0 ?0 +b11000z0 L0 +02/ +0>. +b11111111111100000000000000000000 # +b11111111111100000000000000000000 / +#12990000 +b111111111111111111zz + +1"1 +#13000000 +0W0 +0&1 +0$0 +0./ +#13010000 +1V1 +b1111111111111111111 1 +1x0 +b111111111111111111111111 ) +#13020000 +011 +0`1 +0`0 +0%1 +b11000z0 |0 +b11000z0 +1 +0o/ +0{. +b11111111111000000000000000000000 # +b11111111111000000000000000000000 / +#13030000 +b1111111111111111111zz + +1_1 +#13040000 +061 +0c1 +0a0 +0k/ +#13050000 +152 +b11111111111111111111 1 +1W1 +b1111111111111111111111111 ) +#13060000 +0n1 +0?2 +0?1 +0b1 +b11000z0 [1 +b11000z0 h1 +0N0 +0Z/ +b11111111110000000000000000000000 # +b11111111110000000000000000000000 / +#13070000 +b11111111111111111111zz + +1>2 +#13080000 +0s1 +0B2 +0@1 +0J0 +#13090000 +1r2 +b111111111111111111111 1 +162 +b11111111111111111111111111 ) +#13100000 +0M2 +0|2 +0|1 +0A2 +b11000z0 :2 +b11000z0 G2 +0-1 +090 +b11111111100000000000000000000000 # +b11111111100000000000000000000000 / +#13110000 +b111111111111111111111zz + +1{2 +#13120000 +0R2 +0!3 +0}1 +0)1 +#13130000 +1Q3 +b1111111111111111111111 1 +1s2 +b111111111111111111111111111 ) +#13140000 +0,3 +0[3 +0[2 +0~2 +b11000z0 w2 +b11000z0 &3 +0j1 +0v0 +b11111111000000000000000000000000 # +b11111111000000000000000000000000 / +#13150000 +b1111111111111111111111zz + +1Z3 +#13160000 +013 +0^3 +0\2 +0f1 +#13170000 +104 +b11111111111111111111111 1 +1R3 +b1111111111111111111111111111 ) +#13180000 +0i3 +0:4 +0:3 +0]3 +b11000z0 V3 +b11000z0 c3 +0I2 +0U1 +b11111110000000000000000000000000 # +b11111110000000000000000000000000 / +#13190000 +b11111111111111111111111zz + +194 +#13200000 +0n3 +0=4 +0;3 +0E2 +#13210000 +1m4 +b111111111111111111111111 1 +114 +b11111111111111111111111111111 ) +#13220000 +0H4 +0w4 +0w3 +0<4 +b11000z0 54 +b11000z0 B4 +0(3 +042 +b11111100000000000000000000000000 # +b11111100000000000000000000000000 / +#13230000 +b111111111111111111111111zz + +1v4 +#13240000 +0M4 +0z4 +0x3 +0$3 +#13250000 +1L5 +b1111111111111111111111111 1 +1n4 +b111111111111111111111111111111 ) +#13260000 +0'5 +0V5 +0V4 +0y4 +b11000z0 r4 +b11000z0 !5 +0e3 +0q2 +b11111000000000000000000000000000 # +b11111000000000000000000000000000 / +#13270000 +b1111111111111111111111111zz + +1U5 +#13280000 +0,5 +0Y5 +0W4 +0a3 +#13290000 +1t +b11111111111111111111111111 1 +1M5 +b1111111111111111111111111111111 ) +#13300000 +0d5 +0"" +0V" +055 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0D4 +0P3 +b11110000000000000000000000000000 # +b11110000000000000000000000000000 / +#13310000 +b11111111111111111111111111zz + +1!" +1U" +#13320000 +0i5 +0%" +0X" +065 +0@4 +1" +#13330000 +b111111111111111111111111111 1 +1! +1p +#13340000 +00" +0r5 +0$" +b11000z0 { +b11000z0 *" +0x +0#5 +0/4 +b11100000000000000000000000000000 # +b11100000000000000000000000000000 / +#13350000 +b111111111111111111111111111zz + +#13360000 +05" +0s5 +0}4 +0" +#13370000 +b1111111111111111111111111111 1 +#13380000 +0>" +0`5 +0l4 +b11000000000000000000000000000000 # +b11000000000000000000000000000000 / +#13390000 +0Q +b1111111111111111111111111111zz + +b11000z0 ; +b11000z0 H +00 +#13400000 +0?" +0\5 +#13410000 +b11111111111111111111111111111 1 +#13420000 +0," +0K5 +b10000000000000000000000000000000 # +b10000000000000000000000000000000 / +#13430000 +b11111111111111111111111111111zz + +#13440000 +0(" +#13450000 +b111111111111111111111111111111 1 +#13460000 +0s +b0 # +b0 / +#13470000 +b111111111111111111111111111111zz + +#13490000 +1$ +b1111111111111111111111111111111 1 +#14000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +10' +1m' +1L( +1+) +1h) +1G* +1&+ +1c+ +1B, +1!- +1^- +1=. +1z. +1Y/ +180 +1u0 +1T1 +132 +1p2 +1O3 +1.4 +1k4 +1J5 +16 +1r +1Z" +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +1q +b11111111111111111111111111111111 & +b11111111111111111111111111111111 . +b11111111111111111111111111111111 % +b11111111111111111111111111111111 - +#14010000 +0*# +0+# +0g# +0h# +0F$ +0G$ +0%% +0&% +0b% +0c% +0A& +0B& +0~& +0!' +0]' +0^' +0<( +0=( +0y( +0z( +0X) +0Y) +07* +08* +0t* +0u* +0S+ +0T+ +02, +03, +0o, +0p, +0N- +0O- +0-. +0.. +0j. +0k. +0I/ +0J/ +0(0 +0)0 +0e0 +0f0 +0D1 +0E1 +0#2 +0$2 +0`2 +0a2 +0?3 +0@3 +0|3 +0}3 +0[4 +0\4 +0:5 +0;5 +0w5 +0x5 +0a +0b +0C" +0D" +0w +b0z0 b" +b0z0 o" +b0z0 A# +b0z0 N# +b0z0 ~# +b0z0 -$ +b0z0 ]$ +b0z0 j$ +b0z0 <% +b0z0 I% +b0z0 y% +b0z0 (& +b0z0 X& +b0z0 e& +b0z0 7' +b0z0 D' +b0z0 t' +b0z0 #( +b0z0 S( +b0z0 `( +b0z0 2) +b0z0 ?) +b0z0 o) +b0z0 |) +b0z0 N* +b0z0 [* +b0z0 -+ +b0z0 :+ +b0z0 j+ +b0z0 w+ +b0z0 I, +b0z0 V, +b0z0 (- +b0z0 5- +b0z0 e- +b0z0 r- +b0z0 D. +b0z0 Q. +b0z0 #/ +b0z0 0/ +b0z0 `/ +b0z0 m/ +b0z0 ?0 +b0z0 L0 +b0z0 |0 +b0z0 +1 +b0z0 [1 +b0z0 h1 +b0z0 :2 +b0z0 G2 +b0z0 w2 +b0z0 &3 +b0z0 V3 +b0z0 c3 +b0z0 54 +b0z0 B4 +b0z0 r4 +b0z0 !5 +b0z0 Q5 +b0z0 ^5 +b0z0 ; +b0z0 H +b0z0 { +b0z0 *" +#14020000 +1)# +1,# +1f# +1i# +1E$ +1H$ +1$% +1'% +1a% +1d% +1@& +1C& +1}& +1"' +1\' +1_' +1;( +1>( +1x( +1{( +1W) +1Z) +16* +19* +1s* +1v* +1R+ +1U+ +11, +14, +1n, +1q, +1M- +1P- +1,. +1/. +1i. +1l. +1H/ +1K/ +1'0 +1*0 +1d0 +1g0 +1C1 +1F1 +1"2 +1%2 +1_2 +1b2 +1>3 +1A3 +1{3 +1~3 +1Z4 +1]4 +195 +1<5 +1v5 +1y5 +1` +1c +1B" +1E" +b100100z0 b" +b100100z0 o" +b100100z0 A# +b100100z0 N# +b100100z0 ~# +b100100z0 -$ +b100100z0 ]$ +b100100z0 j$ +b100100z0 <% +b100100z0 I% +b100100z0 y% +b100100z0 (& +b100100z0 X& +b100100z0 e& +b100100z0 7' +b100100z0 D' +b100100z0 t' +b100100z0 #( +b100100z0 S( +b100100z0 `( +b100100z0 2) +b100100z0 ?) +b100100z0 o) +b100100z0 |) +b100100z0 N* +b100100z0 [* +b100100z0 -+ +b100100z0 :+ +b100100z0 j+ +b100100z0 w+ +b100100z0 I, +b100100z0 V, +b100100z0 (- +b100100z0 5- +b100100z0 e- +b100100z0 r- +b100100z0 D. +b100100z0 Q. +b100100z0 #/ +b100100z0 0/ +b100100z0 `/ +b100100z0 m/ +b100100z0 ?0 +b100100z0 L0 +b100100z0 |0 +b100100z0 +1 +b100100z0 [1 +b100100z0 h1 +b100100z0 :2 +b100100z0 G2 +b100100z0 w2 +b100100z0 &3 +b100100z0 V3 +b100100z0 c3 +b100100z0 54 +b100100z0 B4 +b100100z0 r4 +b100100z0 !5 +b100100z0 Q5 +b100100z0 ^5 +b100100z0 ; +b100100z0 H +b100100z0 { +b100100z0 *" +1c" +1B# +1!$ +1^$ +1=% +1z% +1Y& +18' +1u' +1T( +13) +1p) +1O* +1.+ +1k+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +1< +1| +#14030000 +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +09 +0y +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#14040000 +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +1.# +1k# +1J$ +1)% +1f% +1E& +1$' +1a' +1@( +1}( +1\) +1;* +1x* +1W+ +16, +1s, +1R- +11. +1n. +1M/ +1,0 +1i0 +1H1 +1'2 +1d2 +1C3 +1"4 +1_4 +1>5 +1{5 +1e +1G" +#14050000 +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +08' +0u' +0T( +03) +0p) +0O* +0.+ +0k+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +0\1 +0;2 +0x2 +0W3 +064 +0s4 +0R5 +0< +0| +#14060000 +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1D +1&" +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +102 +1m2 +1L3 +1+4 +1h4 +1G5 +1&6 +1n +1P" +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#14070000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +#14080000 +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +1N +10" +1i" +b100100z1 b" +b100100z1 o" +1H# +b100100z1 A# +b100100z1 N# +1'$ +b100100z1 ~# +b100100z1 -$ +1d$ +b100100z1 ]$ +b100100z1 j$ +1C% +b100100z1 <% +b100100z1 I% +1"& +b100100z1 y% +b100100z1 (& +1_& +b100100z1 X& +b100100z1 e& +1>' +b100100z1 7' +b100100z1 D' +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1K. +b100100z1 D. +b100100z1 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +1g/ +b100100z1 `/ +b100100z1 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1%1 +b100100z1 |0 +b100100z1 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +1A2 +b100100z1 :2 +b100100z1 G2 +1~2 +b100100z1 w2 +b100100z1 &3 +1]3 +b100100z1 V3 +b100100z1 c3 +1<4 +b100100z1 54 +b100100z1 B4 +1y4 +b100100z1 r4 +b100100z1 !5 +1X5 +b100100z1 Q5 +b100100z1 ^5 +1B +b100100z1 ; +b100100z1 H +1$" +b100100z1 { +b100100z1 *" +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +112 +1n2 +1M3 +1,4 +1i4 +1H5 +1'6 +1o +1Q" +#14090000 +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0D +0&" +#14100000 +1z" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1S +15" +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +1K +1-" +#14110000 +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +0N +00" +0i" +b100100z0 b" +b100100z0 o" +0H# +b100100z0 A# +b100100z0 N# +0'$ +b100100z0 ~# +b100100z0 -$ +0d$ +b100100z0 ]$ +b100100z0 j$ +0C% +b100100z0 <% +b100100z0 I% +0"& +b100100z0 y% +b100100z0 (& +0_& +b100100z0 X& +b100100z0 e& +0>' +b100100z0 7' +b100100z0 D' +0{' +b100100z0 t' +b100100z0 #( +0Z( +b100100z0 S( +b100100z0 `( +09) +b100100z0 2) +b100100z0 ?) +0v) +b100100z0 o) +b100100z0 |) +0U* +b100100z0 N* +b100100z0 [* +04+ +b100100z0 -+ +b100100z0 :+ +0q+ +b100100z0 j+ +b100100z0 w+ +0P, +b100100z0 I, +b100100z0 V, +0/- +b100100z0 (- +b100100z0 5- +0l- +b100100z0 e- +b100100z0 r- +0K. +b100100z0 D. +b100100z0 Q. +0*/ +b100100z0 #/ +b100100z0 0/ +0g/ +b100100z0 `/ +b100100z0 m/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0%1 +b100100z0 |0 +b100100z0 +1 +0b1 +b100100z0 [1 +b100100z0 h1 +0A2 +b100100z0 :2 +b100100z0 G2 +0~2 +b100100z0 w2 +b100100z0 &3 +0]3 +b100100z0 V3 +b100100z0 c3 +0<4 +b100100z0 54 +b100100z0 B4 +0y4 +b100100z0 r4 +b100100z0 !5 +0X5 +b100100z0 Q5 +b100100z0 ^5 +0B +b100100z0 ; +b100100z0 H +0$" +b100100z0 { +b100100z0 *" +#14120000 +1%# +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1\ +1>" +#14130000 +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +0S +05" +#14140000 +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1] +1?" +#14150000 +0%# +0b# +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0\ +0>" +#14160000 +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1J +1," +#14170000 +0&# +0c# +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0] +0?" +#14180000 +1m" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1F +1(" +#14190000 +0q" +0P# +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0J +0," +#14200000 +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +17 +1s +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#14210000 +b1111111111111111111111111111110 1 +b0zz + +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0F +0(" +#14230000 +0$ +b0 1 +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +07 +0s +b0 # +b0 / +#14240000 +b1 1 +b111111111111111111111111111111zz + +#14260000 +b11 1 +#14280000 +b111 1 +#14300000 +b1111 1 +#14320000 +b11111 1 +#14340000 +b111111 1 +#14360000 +b1111111 1 +#14380000 +b11111111 1 +#14400000 +b111111111 1 +#14420000 +b1111111111 1 +#14440000 +b11111111111 1 +#14460000 +b111111111111 1 +#14480000 +b1111111111111 1 +#14500000 +b11111111111111 1 +#14520000 +b111111111111111 1 +#14540000 +b1111111111111111 1 +#14560000 +b11111111111111111 1 +#14580000 +b111111111111111111 1 +#14600000 +b1111111111111111111 1 +#14620000 +b11111111111111111111 1 +#14640000 +b111111111111111111111 1 +#14660000 +b1111111111111111111111 1 +#14680000 +b11111111111111111111111 1 +#14700000 +b111111111111111111111111 1 +#14720000 +b1111111111111111111111111 1 +#14740000 +b11111111111111111111111111 1 +#14760000 +b111111111111111111111111111 1 +#14780000 +b1111111111111111111111111111 1 +#14800000 +b11111111111111111111111111111 1 +#14820000 +b111111111111111111111111111111 1 +#14840000 +1$ +b1111111111111111111111111111111 1 +#16000000 +1M +1_ +1/" +1A" +1t" +1(# +1S# +1e# +12$ +1D$ +1o$ +1#% +1N% +1`% +1-& +1?& +1j& +1|& +1I' +1[' +1(( +1:( +1e( +1w( +1D) +1V) +1#* +15* +1`* +1r* +1?+ +1Q+ +1|+ +10, +1[, +1m, +1:- +1L- +1w- +1+. +1V. +1h. +15/ +1G/ +1r/ +1&0 +1Q0 +1c0 +101 +1B1 +1m1 +1!2 +1L2 +1^2 +1+3 +1=3 +1h3 +1z3 +1G4 +1Y4 +1&5 +185 +1c5 +1u5 +03 +0* +b10 4 +b10 , +b10 : +b10 E +b10 v +b10 z +b10 '" +b10 a" +b10 l" +b10 @# +b10 K# +b10 }# +b10 *$ +b10 \$ +b10 g$ +b10 ;% +b10 F% +b10 x% +b10 %& +b10 W& +b10 b& +b10 6' +b10 A' +b10 s' +b10 ~' +b10 R( +b10 ]( +b10 1) +b10 <) +b10 n) +b10 y) +b10 M* +b10 X* +b10 ,+ +b10 7+ +b10 i+ +b10 t+ +b10 H, +b10 S, +b10 '- +b10 2- +b10 d- +b10 o- +b10 C. +b10 N. +b10 "/ +b10 -/ +b10 _/ +b10 j/ +b10 >0 +b10 I0 +b10 {0 +b10 (1 +b10 Z1 +b10 e1 +b10 92 +b10 D2 +b10 v2 +b10 #3 +b10 U3 +b10 `3 +b10 44 +b10 ?4 +b10 q4 +b10 |4 +b10 P5 +b10 [5 +0:# +0V$ +0r% +0m' +0+) +0G* +0c+ +0T1 +0p2 +0.4 +0J5 +06 +0Z" +0v# +04% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0~, +0<. +0X/ +0t0 +0S1 +0o2 +0-4 +0I5 +b10 ' +b10 ( +b10 2 +b10101010111111110101010110101010 & +b10101010111111110101010110101010 . +b10101010010101010000000001010101 % +b10101010010101010000000001010101 - +#16010000 +1g# +1%% +1A& +1a +1*# +1F$ +1b% +1~& +1]' +1<( +1=( +1y( +1X) +1Y) +17* +1t* +1u* +1S+ +12, +13, +1N- +1j. +1(0 +1D1 +1#2 +1$2 +1?3 +1@3 +1[4 +1\4 +1w5 +1x5 +0[ +0m +0=" +0O" +0$# +06# +0a# +0s# +0@$ +0R$ +0}$ +01% +0\% +0n% +0;& +0M& +0x& +0,' +0W' +0i' +06( +0H( +0s( +0') +0R) +0d) +01* +0C* +0n* +0"+ +0M+ +0_+ +0,, +0>, +0i, +0{, +0H- +0Z- +0'. +09. +0d. +0v. +0C/ +0U/ +0"0 +040 +0_0 +0q0 +0>1 +0P1 +0{1 +0/2 +0Z2 +0l2 +093 +0K3 +0v3 +0*4 +0U4 +0g4 +045 +0F5 +0q5 +0%6 +1@ +b101100z0 A# +b101100z0 N# +b101100z0 ]$ +b101100z0 j$ +b101100z0 y% +b101100z0 (& +b101100z0 ; +b101100z0 H +b101100z0 b" +b101100z0 o" +b101100z0 ~# +b101100z0 -$ +b101100z0 <% +b101100z0 I% +b101100z0 X& +b101100z0 e& +b101100z0 7' +b101100z0 D' +b111100z0 t' +b111100z0 #( +b101100z0 S( +b101100z0 `( +b111100z0 2) +b111100z0 ?) +b101100z0 o) +b101100z0 |) +b111100z0 N* +b111100z0 [* +b101100z0 -+ +b101100z0 :+ +b111100z0 j+ +b111100z0 w+ +b101100z0 (- +b101100z0 5- +b101100z0 D. +b101100z0 Q. +b101100z0 `/ +b101100z0 m/ +b101100z0 |0 +b101100z0 +1 +b111100z0 [1 +b111100z0 h1 +b111100z0 w2 +b111100z0 &3 +b111100z0 54 +b111100z0 B4 +b111100z0 Q5 +b111100z0 ^5 +#16020000 +0f# +0$% +0@& +0` +0)# +0E$ +0a% +0}& +0\' +0;( +0>( +0x( +0W) +0Z) +06* +0s* +0v* +0R+ +01, +04, +0M- +0i. +0'0 +0C1 +0"2 +0%2 +0>3 +0A3 +0Z4 +0]4 +0v5 +0y5 +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b101000z0 ; +b101000z0 H +b101000z0 b" +b101000z0 o" +b101000z0 ~# +b101000z0 -$ +b101000z0 <% +b101000z0 I% +b101000z0 X& +b101000z0 e& +b101000z0 7' +b101000z0 D' +b11000z0 t' +b11000z0 #( +b101000z0 S( +b101000z0 `( +b11000z0 2) +b11000z0 ?) +b101000z0 o) +b101000z0 |) +b11000z0 N* +b11000z0 [* +b101000z0 -+ +b101000z0 :+ +b11000z0 j+ +b11000z0 w+ +b101000z0 (- +b101000z0 5- +b101000z0 D. +b101000z0 Q. +b101000z0 `/ +b101000z0 m/ +b101000z0 |0 +b101000z0 +1 +b11000z0 [1 +b11000z0 h1 +b11000z0 w2 +b11000z0 &3 +b11000z0 54 +b11000z0 B4 +b11000z0 Q5 +b11000z0 ^5 +1V +1h +18" +1J" +1}" +11# +1\# +1n# +1;$ +1M$ +1x$ +1,% +1W% +1i% +16& +1H& +1s& +1'' +1R' +1d' +11( +1C( +1n( +1") +1M) +1_) +1,* +1>* +1i* +1{* +1H+ +1Z+ +1', +19, +1d, +1v, +1C- +1U- +1". +14. +1_. +1q. +1>/ +1P/ +1{/ +1/0 +1Z0 +1l0 +191 +1K1 +1v1 +1*2 +1U2 +1g2 +143 +1F3 +1q3 +1%4 +1P4 +1b4 +1/5 +1A5 +1l5 +1~5 +0? +0d" +0"$ +0>% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0*- +0F. +0b/ +0~0 +0]1 +0y2 +074 +0S5 +#16030000 +1V# +1r$ +10& +1P +1w" +15$ +1Q% +1m& +1L' +1h( +1&* +1B+ +1=- +1Y. +1u/ +131 +0R +0d +04" +0F" +0y" +0-# +0X# +0j# +07$ +0I$ +0t$ +0(% +0S% +0e% +02& +0D& +0o& +0#' +0N' +0`' +0-( +0?( +0j( +0|( +0I) +0[) +0(* +0:* +0e* +0w* +0D+ +0V+ +0#, +05, +0`, +0r, +0?- +0Q- +0|- +00. +0[. +0m. +0:/ +0L/ +0w/ +0+0 +0V0 +0h0 +051 +0G1 +0r1 +0&2 +0Q2 +0c2 +003 +0B3 +0m3 +0!4 +0L4 +0^4 +0+5 +0=5 +0h5 +0z5 +1C +1y +1_" +1{# +19% +1U& +14' +1P( +1l) +1*+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +172 +1S3 +1o4 +b101001z0 A# +b101001z0 N# +b101001z0 ]$ +b101001z0 j$ +b101001z0 y% +b101001z0 (& +b101001z0 ; +b101001z0 H +b101001z0 b" +b101001z0 o" +0e" +b101001z0 ~# +b101001z0 -$ +0#$ +b101001z0 <% +b101001z0 I% +0?% +b101001z0 X& +b101001z0 e& +0[& +b101001z0 7' +b101001z0 D' +0:' +0w' +b101001z0 S( +b101001z0 `( +0V( +05) +b101001z0 o) +b101001z0 |) +0r) +0Q* +b101001z0 -+ +b101001z0 :+ +00+ +0m+ +b101001z0 (- +b101001z0 5- +0+- +b101001z0 D. +b101001z0 Q. +0G. +b101001z0 `/ +b101001z0 m/ +0c/ +b101001z0 |0 +b101001z0 +1 +0!1 +0^1 +0z2 +084 +0T5 +#16040000 +0]" +1h" +1&$ +1B% +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1.- +1J. +1f/ +1$1 +1a1 +1}2 +1;4 +1W5 +0k# +0)% +0E& +0e +0.# +0J$ +0f% +0$' +0a' +0@( +0}( +0\) +0;* +0x* +0W+ +06, +0R- +0n. +0,0 +0H1 +0'2 +0C3 +0_4 +0{5 +1D( +1`) +1|* +1:, +1+2 +1G3 +1c4 +1!6 +08 +b1111111111111111111111111111110 ) +0f" +0$$ +0@% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0,- +0H. +0d/ +0"1 +0_1 +0{2 +094 +0U5 +#16050000 +1N +1g" +1]# +1y$ +17& +1W +1~" +1<$ +1X% +1t& +1S' +1o( +1-* +1I+ +1D- +1`. +1|/ +1:1 +0G" +0s, +01. +0M/ +0i0 +0d2 +0"4 +0>5 +1B +b101001z1 ; +b101001z1 H +1| +1d" +1"$ +1>% +1Z& +19' +1U( +1q) +1/+ +1J, +1*- +1f- +1F. +1$/ +1b/ +1@0 +1~0 +1;2 +1W3 +1s4 +#16060000 +0<# +0X$ +0t% +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0`- +0|. +0:0 +0V1 +052 +0Q3 +0m4 +0t +1)$ +1E% +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +11- +1M. +1i/ +1'1 +1d1 +1"3 +1>4 +1Z5 +0t# +02% +0N& +0n +07# +0S$ +0o% +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0[- +0w. +050 +0Q1 +002 +0L3 +0h4 +0&6 +0^" +0z# +08% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0$- +0@. +0\/ +0x0 +0W1 +0s2 +014 +0M5 +b101010010101010000000001010100 ) +0~ +1e" +1#$ +1?% +1[& +1:' +1V( +1r) +10+ +0L, +1+- +0h- +1G. +0&/ +1c/ +0B0 +1!1 +0=2 +0Y3 +0u4 +#16070000 +1F# +1b$ +1~% +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1j- +1(/ +1D0 +1`1 +1?2 +1[3 +1w4 +1"" +1V" +1#" +0h" +0&$ +0B% +0^& +0=' +0Y( +0u) +03+ +1O, +0.- +1k- +0J. +1)/ +0f/ +1E0 +0$1 +1@2 +1\3 +1x4 +1c# +1!% +1=& +1] +1&# +1B$ +1^% +1z& +1Y' +1u( +13* +1O+ +1J- +1f. +1$0 +1@1 +0P" +0|, +0:. +0V/ +0r0 +0m2 +0+4 +0G5 +1$$ +1@% +1\& +1,- +1H. +1d/ +1"1 +#16080000 +13$ +1O% +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1;- +1W. +1s/ +111 +1n1 +1,3 +1H4 +1d5 +0E# +0a$ +0}% +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0M, +0i- +0'/ +0C0 +0d1 +0>2 +0Z3 +0v4 +0!" +0U" +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1>' +b101001z1 7' +b101001z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b101001z1 S( +b101001z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b101001z1 o) +b101001z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b101001z1 -+ +b101001z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1~2 +b11000z1 w2 +b11000z1 &3 +1<4 +b11000z1 54 +b11000z1 B4 +1X5 +b11000z1 Q5 +b11000z1 ^5 +0u# +03% +0O& +0o +08# +0T$ +0p% +0.' +0k' +0)) +0E* +0a+ +0\- +0x. +060 +0R1 +1j" +#16090000 +1X$ +1t% +12' +1`- +1|. +1:0 +1V1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +1X" +0)$ +0E% +0a& +01- +0M. +0i/ +0'1 +1P# +1l$ +1*& +1J +1q" +1/$ +1K% +1g& +1F' +1b( +1~) +1<+ +17- +1S. +1o/ +1-1 +0Q" +0}, +0;. +0W/ +0s0 +0n2 +0,4 +0H5 +1z# +18% +1T& +1$- +1@. +1\/ +1x0 +b101010111111110000000011111100 ) +1" +#16100000 +0y# +07% +0S& +0)( +0E) +0a* +0}+ +0n1 +1u" +0b$ +0~% +0<' +0j- +0(/ +0D0 +0`1 +0=# +0Y$ +0u% +b101010111111110000000010101000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +0b1 +b11000z0 [1 +b11000z0 h1 +0p +0Q# +0m$ +0+& +0K +0r" +00$ +0L% +0h& +0G' +0c( +0!* +0=+ +08- +0T. +0p/ +0.1 +1i" +b101001z1 b" +b101001z1 o" +#16110000 +1T# +1p$ +1.& +03$ +0O% +0k& +0;- +0W. +0s/ +011 +1%$ +1A% +1]& +1a$ +1}% +1;' +1n- +1i- +1,/ +1'/ +1H0 +1C0 +1d1 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1x +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1L# +1h$ +1&& +1F +1m" +1+$ +1G% +1c& +1B' +1^( +1z) +18+ +13- +1O. +1k/ +1)1 +0-" +0Y, +0u- +03/ +0O0 +0J2 +0f3 +0$5 +#16120000 +0$$ +0@% +0\& +0e$ +0#& +0?' +#16130000 +17% +1S& +1o' +1x- +16/ +1R0 +1n1 +1($ +1D% +1`& +1Y$ +1u% +13' +b101010111111110000000111111000 ) +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b11000z1 [1 +b11000z1 h1 +1;# +1W$ +1s% +17 +1\" +1x# +16% +1R& +11' +1M( +1i) +1'+ +1"- +1>. +1Z/ +1v0 +b101010100101010111111111 # +b101010100101010111111111 / +#16140000 +0X$ +0t% +02' +0p$ +0.& +0J' +0A% +0]& +0y' +b1111111111111111111111111111110 1 +b111111110101010110101010000000zz + +0z# +08% +0T& +b101010111111110000000101010000 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +#16150000 +13$ +1O% +1k& +1b$ +1~% +1<' +1@% +1\& +1}' +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +#16160000 +b1111111101010101101010100000000 1 +0a$ +0}% +0;' +0D% +0`& +#16170000 +1t% +12' +1)( +1e$ +1#& +1?' +18% +1T& +b101010111111110000000111110000 ) +1{' +b11000z1 t' +b11000z1 #( +#16180000 +07% +0S& +0o' +0O% +0k& +0~% +0<' +b1111111000000001000000000000000 1 +0Y$ +0u% +03' +b101010111111110000000010100000 ) +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +#16190000 +1p$ +1.& +1J' +1A% +1]& +1y' +1}% +1;' +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +#16200000 +b1111110000000000000000000000000 1 +0@% +0\& +0}' +0#& +0?' +#16210000 +1S& +1o' +1D% +1`& +1u% +13' +b101010111111110000000111100000 ) +#16220000 +0t% +02' +0)( +0.& +0J' +0]& +0y' +b1111100000000000000000000000000 1 +08% +0T& +b101010111111110000000101000000 ) +0{' +b11000z0 t' +b11000z0 #( +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +#16230000 +1O% +1k& +1~% +1<' +1\& +1}' +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +#16240000 +b1111000000000000000000000000000 1 +0}% +0;' +0`& +#16250000 +12' +1)( +1#& +1?' +1T& +b101010111111110000000111000000 ) +1{' +b11000z1 t' +b11000z1 #( +#16260000 +0S& +0o' +0k& +0<' +b1110000000000000000000000000000 1 +0u% +03' +b101010111111110000000010000000 ) +0_& +b101001z0 X& +b101001z0 e& +#16270000 +1.& +1J' +1]& +1y' +1;' +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +#16280000 +b1100000000000000000000000000000 1 +0\& +0}' +0?' +#16290000 +1o' +1`& +13' +b101010111111110000000110000000 ) +#16300000 +02' +0)( +0J' +0y' +b1000000000000000000000000000000 1 +0T& +b101010111111110000000100000000 ) +0{' +b11000z0 t' +b11000z0 #( +0>' +b101001z0 7' +b101001z0 D' +#16310000 +1k& +1<' +1}' +1_& +b101001z1 X& +b101001z1 e& +#16320000 +0$ +b0 1 +0;' +#16330000 +1)( +1?' +1{' +b11000z1 t' +b11000z1 #( +#16340000 +0o' +03' +b101010111111110000000000000000 ) +#16350000 +1J' +1y' +1>' +b101001z1 7' +b101001z1 D' +#16360000 +0}' +#16380000 +0)( +0{' +b11000z0 t' +b11000z0 #( +#18000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +1~, +1<. +1X/ +1t0 +1S1 +1o2 +1-4 +1I5 +05 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#18010000 +1^' +1z( +18* +1T+ +1`2 +1|3 +1:5 +1C" +0N- +0j. +0(0 +0D1 +0$2 +0@3 +0\4 +0x5 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b101100z0 :2 +b101100z0 G2 +b101100z0 V3 +b101100z0 c3 +b101100z0 r4 +b101100z0 !5 +1w +b101100z0 { +b101100z0 *" +b100001z0 (- +b100001z0 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +b1000z1 [1 +b1000z1 h1 +b1000z1 w2 +b1000z1 &3 +b1000z1 54 +b1000z1 B4 +b1000z1 Q5 +b1000z1 ^5 +#18020000 +0_' +0{( +09* +0U+ +0_2 +0{3 +095 +0B" +1M- +1i. +1'0 +1C1 +1%2 +1A3 +1]4 +1y5 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b101000z0 :2 +b101000z0 G2 +b101000z0 V3 +b101000z0 c3 +b101000z0 r4 +b101000z0 !5 +b101000z0 { +b101000z0 *" +b100101z0 (- +b100101z0 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +b101000z1 [1 +b101000z1 h1 +b101000z1 w2 +b101000z1 &3 +b101000z1 54 +b101000z1 B4 +b101000z1 Q5 +b101000z1 ^5 +0C# +0_$ +0{% +1)- +1E. +1a/ +1}0 +1]1 +1y2 +174 +1S5 +0= +#18030000 +0L' +0h( +0&* +0B+ +1O2 +1k3 +1)5 +12" +0=- +0Y. +0u/ +031 +1p1 +1.3 +1J4 +1f5 +1e' +1#) +1?* +1[+ +0+2 +0G3 +0c4 +0!6 +1R" +1># +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z0 V3 +b101001z0 c3 +0o4 +b101001z0 r4 +b101001z0 !5 +19 +0y +b101001z0 { +b101001z0 *" +0D# +0`$ +0|% +b100100z0 (- +b100100z0 5- +0+- +b100100z0 D. +b100100z0 Q. +0G. +b100100z0 `/ +b100100z0 m/ +0c/ +b100100z0 |0 +b100100z0 +1 +0!1 +b101001z1 [1 +b101001z1 h1 +1^1 +b101001z1 w2 +b101001z1 &3 +1z2 +b101001z1 54 +b101001z1 B4 +184 +b101001z1 Q5 +b101001z1 ^5 +1T5 +0> +#18040000 +1G# +1c$ +1!& +1.- +1J. +1f/ +1$1 +0a1 +0}2 +0;4 +0W5 +1A +1_1 +1{2 +194 +1U5 +0T" +#18050000 +1W" +0S' +0o( +0-* +0I+ +1V2 +1r3 +105 +19" +0D- +0`. +0|/ +0:1 +1w1 +153 +1Q4 +1m5 +1k' +1)) +1E* +1a+ +012 +0M3 +0i4 +0'6 +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0C +#18060000 +152 +1Q3 +1m4 +1t +11- +1M. +1i/ +1'1 +0d1 +0"3 +0>4 +0Z5 +1W1 +1s2 +114 +1M5 +b1111111111111110000000000000000 ) +0X" +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +1=2 +1Y3 +1u4 +1> +1~ +#18070000 +0r2 +004 +0L5 +0T# +0p$ +0.& +0N +0?2 +0[3 +0w4 +0"" +0V" +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +0@2 +0\3 +0x4 +0A +0#" +0Y' +0u( +03* +0O+ +1\2 +1x3 +165 +1?" +0J- +0f. +0$0 +0@1 +1}1 +1;3 +1W4 +1s5 +1G' +1c( +1!* +1=+ +0k1 +0)3 +0E4 +0a5 +062 +0R3 +0n4 +b1010101111111110000000000000000 ) +0! +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0B +b101001z0 ; +b101001z0 H +#18080000 +1;- +1W. +1s/ +111 +0n1 +0,3 +0H4 +0d5 +1|2 +1:4 +1V5 +1>2 +1Z3 +1v4 +1!" +1Y" +1U" +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +0b1 +b101001z0 [1 +b101001z0 h1 +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0x +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +1C +#18090000 +0{2 +094 +0U5 +0F' +0b( +0~) +0<+ +1I2 +1e3 +1#5 +1," +07- +0S. +0o/ +0-1 +1j1 +1(3 +1D4 +1`5 +#18100000 +1r2 +104 +1L5 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +1N +1!3 +1=4 +1Y5 +162 +1R3 +1n4 +b1111111111111110000000000000000 ) +1! +1x +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +1B +b101001z1 ; +b101001z1 H +#18110000 +0Q3 +0m4 +0t +0|2 +0:4 +0V5 +0s2 +014 +0M5 +b101011111111110000000000000000 ) +0B' +0^( +0z) +08+ +1E2 +1a3 +1}4 +1(" +03- +0O. +0k/ +0)1 +1f1 +1$3 +1@4 +1\5 +#18120000 +1,3 +1H4 +1d5 +1[3 +1w4 +1"" +1V" +1{2 +194 +1U5 +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18130000 +0Z3 +0v4 +0!" +0Y" +0U" +0!3 +0=4 +0Y5 +01' +0M( +0i) +0'+ +142 +1P3 +1l4 +1s +0"- +0>. +0Z/ +0v0 +1U1 +1q2 +1/4 +1K5 +b11111111000000000000000011111111 # +b11111111000000000000000011111111 / +#18140000 +1Q3 +1m4 +1t +b1111111111111111000000zz + +1^3 +1z4 +1%" +1s2 +114 +1M5 +b1111111111111110000000000000000 ) +#18150000 +004 +0L5 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +0R3 +0n4 +b1010111111111110000000000000000 ) +0! +0x +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18160000 +1i3 +1'5 +10" +1:4 +1V5 +1Z3 +1v4 +1!" +1Y" +1U" +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#18170000 +094 +0U5 +0^3 +0z4 +0%" +#18180000 +104 +1L5 +1Q +1=4 +1Y5 +1R3 +1n4 +b1111111111111110000000000000000 ) +1! +1x +b101011z1 ; +b101011z1 H +10 +#18190000 +0m4 +0t +0i3 +0'5 +00" +0:4 +0V5 +014 +0M5 +b101111111111110000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#18200000 +1H4 +1d5 +1w4 +1"" +1V" +194 +1U5 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18210000 +0Q +0v4 +0!" +0Y" +0U" +0=4 +0Y5 +b101001z1 ; +b101001z1 H +00 +#18220000 +1m4 +1t +1z4 +1%" +114 +1M5 +b1111111111111110000000000000000 ) +#18230000 +0L5 +0H4 +0d5 +0w4 +0"" +0V" +0n4 +b1011111111111110000000000000000 ) +0! +0x +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18240000 +1'5 +10" +1V5 +1v4 +1!" +1Y" +1U" +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#18250000 +0U5 +0z4 +0%" +#18260000 +1L5 +1Q +1Y5 +1n4 +b1111111111111110000000000000000 ) +1! +1x +b101011z1 ; +b101011z1 H +10 +#18270000 +0t +0'5 +00" +0V5 +0M5 +b111111111111110000000000000000 ) +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#18280000 +1d5 +1"" +1V" +1U5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18290000 +0Q +0!" +0Y" +0U" +0Y5 +b101001z1 ; +b101001z1 H +00 +#18300000 +1t +1%" +1M5 +b1111111111111110000000000000000 ) +#18310000 +0d5 +0"" +0V" +0! +0x +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18320000 +10" +1!" +1Y" +1U" +1$" +b101001z1 { +b101001z1 *" +#18330000 +0%" +#18340000 +1Q +1! +1x +b101011z1 ; +b101011z1 H +10 +#18350000 +00" +0$" +b101001z0 { +b101001z0 *" +#18370000 +0Q +0" +b101001z1 ; +b101001z1 H +00 +#18400000 +1Q +b101011z1 ; +b101011z1 H +10 +#20000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +13 +1* +b11 4 +b11 , +b11 : +b11 E +b11 v +b11 z +b11 '" +b11 a" +b11 l" +b11 @# +b11 K# +b11 }# +b11 *$ +b11 \$ +b11 g$ +b11 ;% +b11 F% +b11 x% +b11 %& +b11 W& +b11 b& +b11 6' +b11 A' +b11 s' +b11 ~' +b11 R( +b11 ]( +b11 1) +b11 <) +b11 n) +b11 y) +b11 M* +b11 X* +b11 ,+ +b11 7+ +b11 i+ +b11 t+ +b11 H, +b11 S, +b11 '- +b11 2- +b11 d- +b11 o- +b11 C. +b11 N. +b11 "/ +b11 -/ +b11 _/ +b11 j/ +b11 >0 +b11 I0 +b11 {0 +b11 (1 +b11 Z1 +b11 e1 +b11 92 +b11 D2 +b11 v2 +b11 #3 +b11 U3 +b11 `3 +b11 44 +b11 ?4 +b11 q4 +b11 |4 +b11 P5 +b11 [5 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +1T1 +1p2 +1.4 +1J5 +06 +1Z" +1v# +14% +1P& +1/' +1K( +1g) +1%+ +0~, +0<. +0X/ +0t0 +022 +0N3 +0j4 +0q +b11 ' +b11 ( +b11 2 +b1010101101010100101010110101010 & +b1010101101010100101010110101010 . +b1010101010101010101010110101010 % +b1010101010101010101010110101010 - +#20010000 +1h# +1&% +1B& +1o, +1-. +1I/ +1e0 +0#2 +0?3 +0[4 +0w5 +1b +0*# +0F$ +0b% +0~& +0]' +0^' +0y( +0z( +07* +08* +0S+ +0T+ +1N- +1j. +1(0 +1D1 +1a2 +1}3 +1;5 +1D" +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +0@ +b111001z1 A# +b111001z1 N# +b111001z1 ]$ +b111001z1 j$ +b111001z1 y% +b111001z1 (& +b101100z0 I, +b101100z0 V, +b101100z1 e- +b101100z1 r- +b101100z1 #/ +b101100z1 0/ +b101100z1 ?0 +b101100z1 L0 +b100001z0 [1 +b100001z0 h1 +b100001z0 w2 +b100001z0 &3 +b100001z0 54 +b100001z0 B4 +b100001z0 Q5 +b100001z0 ^5 +b111011z1 ; +b111011z1 H +b100001z1 b" +b100001z1 o" +b100001z1 ~# +b100001z1 -$ +b100001z1 <% +b100001z1 I% +b100001z1 X& +b100001z1 e& +b0z0 7' +b0z0 D' +b0z0 S( +b0z0 `( +b0z0 o) +b0z0 |) +b0z0 -+ +b0z0 :+ +b101100z1 (- +b101100z1 5- +b101100z1 D. +b101100z1 Q. +b101100z1 `/ +b101100z1 m/ +b101100z1 |0 +b101100z1 +1 +b111001z0 :2 +b111001z0 G2 +b111001z0 V3 +b111001z0 c3 +b111001z0 r4 +b111001z0 !5 +b111001z0 { +b111001z0 *" +#20020000 +0i# +0'% +0C& +0n, +0,. +0H/ +0d0 +1"2 +1>3 +1Z4 +1v5 +0c +1)# +1E$ +1a% +1}& +1\' +1_' +1x( +1{( +16* +19* +1R+ +1U+ +0M- +0i. +0'0 +0C1 +0b2 +0~3 +0<5 +0E" +b11001z1 A# +b11001z1 N# +b11001z1 ]$ +b11001z1 j$ +b11001z1 y% +b11001z1 (& +b101000z0 I, +b101000z0 V, +b101000z1 e- +b101000z1 r- +b101000z1 #/ +b101000z1 0/ +b101000z1 ?0 +b101000z1 L0 +b100101z0 [1 +b100101z0 h1 +b100101z0 w2 +b100101z0 &3 +b100101z0 54 +b100101z0 B4 +b100101z0 Q5 +b100101z0 ^5 +b11011z1 ; +b11011z1 H +b100101z1 b" +b100101z1 o" +b100101z1 ~# +b100101z1 -$ +b100101z1 <% +b100101z1 I% +b100101z1 X& +b100101z1 e& +b100100z0 7' +b100100z0 D' +b100100z0 S( +b100100z0 `( +b100100z0 o) +b100100z0 |) +b100100z0 -+ +b100100z0 :+ +b101000z1 (- +b101000z1 5- +b101000z1 D. +b101000z1 Q. +b101000z1 `/ +b101000z1 m/ +b101000z1 |0 +b101000z1 +1 +b11001z0 :2 +b11001z0 G2 +b11001z0 V3 +b11001z0 c3 +b11001z0 r4 +b11001z0 !5 +b11001z0 { +b11001z0 *" +1X +1j +1:" +1L" +1!# +13# +1^# +1p# +1=$ +1O$ +1z$ +1.% +1Y% +1k% +18& +1J& +1u& +1)' +1T' +1f' +13( +1E( +1p( +1$) +1O) +1a) +1.* +1@* +1k* +1}* +1J+ +1\+ +1), +1;, +1f, +1x, +1E- +1W- +1$. +16. +1a. +1s. +1@/ +1R/ +1}/ +110 +1\0 +1n0 +1;1 +1M1 +1x1 +1,2 +1W2 +1i2 +163 +1H3 +1s3 +1'4 +1R4 +1d4 +115 +1C5 +1n5 +1"6 +1? +1c" +1!$ +1=% +1Y& +19' +1U( +1q) +1/+ +0)- +0E. +0a/ +0}0 +0<2 +0X3 +0t4 +0} +0R" +#20030000 +0V# +0r$ +00& +1^, +1z- +18/ +1T0 +0p1 +0.3 +0J4 +0f5 +0P +0w" +05$ +0Q% +0m& +1=- +1Y. +1u/ +131 +0O2 +0k3 +0)5 +02" +1o# +1-% +1I& +1i +0e' +0#) +0?* +0[+ +1h2 +1&4 +1B5 +1K" +0V +0h +08" +0J" +0}" +01# +0\# +0n# +0;$ +0M$ +0x$ +0,% +0W% +0i% +06& +0H& +0s& +0'' +0R' +0d' +01( +0C( +0n( +0") +0M) +0_) +0,* +0>* +0i* +0{* +0H+ +0Z+ +0', +09, +0d, +0v, +0C- +0U- +0". +04. +0_. +0q. +0>/ +0P/ +0{/ +0/0 +0Z0 +0l0 +091 +0K1 +0v1 +0*2 +0U2 +0g2 +043 +0F3 +0q3 +0%4 +0P4 +0b4 +0/5 +0A5 +0l5 +0~5 +0C +1y +0_" +0{# +09% +0U& +1q' +1/) +1K* +1g+ +0%- +0A. +0]/ +0y0 +172 +1S3 +1o4 +b11000z1 A# +b11000z1 N# +b11000z1 ]$ +b11000z1 j$ +b11000z1 y% +b11000z1 (& +b101001z0 I, +b101001z0 V, +b101001z1 e- +b101001z1 r- +b101001z1 #/ +b101001z1 0/ +b101001z1 ?0 +b101001z1 L0 +b100100z0 [1 +b100100z0 h1 +b100100z0 w2 +b100100z0 &3 +b100100z0 54 +b100100z0 B4 +b100100z0 Q5 +b100100z0 ^5 +b11010z1 ; +b11010z1 H +b100100z1 b" +b100100z1 o" +0e" +b100100z1 ~# +b100100z1 -$ +0#$ +b100100z1 <% +b100100z1 I% +0?% +b100100z1 X& +b100100z1 e& +0[& +1:' +1V( +1r) +10+ +b101001z1 (- +b101001z1 5- +1+- +b101001z1 D. +b101001z1 Q. +1G. +b101001z1 `/ +b101001z1 m/ +1c/ +b101001z1 |0 +b101001z1 +1 +1!1 +b11000z0 :2 +b11000z0 G2 +0=2 +b11000z0 V3 +b11000z0 c3 +0Y3 +b11000z0 r4 +b11000z0 !5 +0u4 +b11000z0 { +b11000z0 *" +0~ +1T" +#20040000 +1]" +1<# +1X$ +1t% +12' +1h" +1&$ +1B% +1^& +0=' +0Y( +0u) +03+ +0.- +0J. +0f/ +0$1 +1@2 +1\3 +1x4 +1#" +0W" +1g' +1%) +1A* +1]+ +1Y +14# +1P$ +1l% +1*' +1y, +1X- +17. +1t. +1S/ +120 +1o0 +1N1 +1-2 +1I3 +1e4 +1#6 +18 +1^" +1z# +18% +1T& +b1111111111111110000000010101011 ) +0>2 +0Z3 +0v4 +0!" +#20050000 +0N +0g" +0F# +0b$ +0~% +0<' +1u# +13% +1O& +1o +0k' +0)) +0E* +0a+ +1n2 +1,4 +1H5 +1Q" +0W +0i +09" +0K" +0~" +0]# +0o# +0<$ +0y$ +0-% +0X% +07& +0I& +0t& +0D( +0`) +0|* +0:, +0w1 +0V2 +0h2 +053 +0r3 +0&4 +0Q4 +005 +0B5 +0m5 +0B +b11010z0 ; +b11010z0 H +1} +0c" +0!$ +0=% +0Y& +1v' +14) +1P* +1l+ +0*- +0F. +0b/ +0~0 +1<2 +1X3 +1t4 +0j" +0($ +0D% +0`& +1?' +1[( +1w) +15+ +#20060000 +0r2 +004 +0L5 +1f" +1E# +1a$ +1}% +1;' +1k" +01- +0M. +0i/ +0'1 +1C2 +1_3 +1{4 +1&" +0Y" +1r" +10$ +1L% +1h& +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1)3 +1E4 +1a5 +062 +0R3 +0n4 +b1010101111111110000000010101011 ) +0! +1~ +1e" +1#$ +1?% +1[& +1w' +15) +1Q* +1m+ +0+- +0G. +0c/ +0!1 +1=2 +1Y3 +1u4 +#20070000 +0X$ +0t% +02' +03$ +0O% +0k& +1J' +1f( +1$* +1@+ +1|2 +1:4 +1V5 +0#" +0h" +0&$ +0B% +0^& +0z' +08) +0T* +0p+ +1.- +1J. +1f/ +1$1 +0@2 +0\3 +0x4 +0I# +0e$ +0#& +0?' +1Q# +1m$ +1+& +1K +1J2 +1f3 +1$5 +1-" +0] +0o +0?" +0Q" +0&# +0c# +0u# +0B$ +0!% +03% +0^% +0=& +0O& +0z& +0J( +0f) +0$+ +0@, +0}1 +0\2 +0n2 +0;3 +0x3 +0,4 +0W4 +065 +0H5 +0s5 +1!" +0z# +08% +0T& +b1010101111111110000000000000011 ) +0,- +0H. +0d/ +0"1 +1>2 +1Z3 +1v4 +0'$ +b100100z0 ~# +b100100z0 -$ +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +#20080000 +1y# +17% +1S& +1o' +0;- +0W. +0s/ +011 +1M2 +1i3 +1'5 +10" +1b$ +1~% +1<' +0{2 +094 +0U5 +1=# +1Y$ +1u% +13' +b1010101111111110000000101010111 ) +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +0x +1($ +1D% +1`& +1|' +1:) +1V* +1r+ +#20090000 +0T# +0p$ +0.& +0J' +0`- +0|. +0:0 +0V1 +1r2 +104 +1L5 +0%$ +0A% +0]& +0y' +0a$ +0}% +0;' +1!3 +1=4 +1Y5 +0&" +0k" +11- +1M. +1i/ +1'1 +0C2 +0_3 +0{4 +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0K +0," +0-" +0q" +0P# +0Q# +0/$ +0l$ +0m$ +0K% +0*& +0+& +0g& +0&( +0B) +0^* +0z+ +0j1 +0I2 +0J2 +0(3 +0e3 +0f3 +0D4 +0#5 +0$5 +0`5 +1! +0$- +0@. +0\/ +0x0 +162 +1R3 +1n4 +b1111111010101010000000101010111 ) +1" +#20100000 +0Q3 +0m4 +0t +13$ +1O% +1k& +1)( +1E) +1a* +1}+ +1j- +1(/ +1D0 +1`1 +0|2 +0:4 +0V5 +1$$ +1@% +1\& +1x' +1e$ +1#& +1?' +0s2 +014 +0M5 +b101011010101010000000101010111 ) +1'$ +b100100z1 ~# +b100100z1 -$ +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +#20110000 +07% +0S& +0o' +1,3 +1H4 +1d5 +00" +0u" +1;- +1W. +1s/ +111 +0M2 +0i3 +0'5 +1[3 +1w4 +1"" +1V" +0n- +0i- +0,/ +0'/ +0H0 +0C0 +0_1 +1{2 +194 +1U5 +0($ +0D% +0`& +0|' +0Y$ +0u% +03' +b101011010101010000000000000111 ) +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +0$" +b11000z0 { +b11000z0 *" +0i" +b100100z0 b" +b100100z0 o" +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0(" +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +#20120000 +1X$ +1t% +12' +1N( +1p$ +1.& +1J' +1A% +1]& +1y' +0Z3 +0v4 +0!" +0U" +1c1 +0!3 +0=4 +0Y5 +1z# +18% +1T& +1p' +b101011010101010000001010101111 ) +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#20130000 +0x- +06/ +0R0 +052 +1Q3 +1m4 +1t +03$ +0O% +0k& +0)( +0b$ +0~% +0<' +0X( +0@% +0\& +0x' +1^3 +1z4 +1%" +1X" +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0W1 +1s2 +114 +1M5 +b1111110010101010000001010101111 ) +0'$ +b100100z0 ~# +b100100z0 -$ +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +0s +0\" +0;# +0x# +0W$ +06% +0s% +0R& +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +b1 # +b1 / +#20140000 +004 +0L5 +1n1 +0,3 +0H4 +0d5 +1?2 +0[3 +0w4 +0"" +0V" +b111111111111111111111111111111zz + +1a$ +1}% +1;' +1W( +1D% +1`& +1|' +0R3 +0n4 +b1010110010101010000001010101111 ) +0! +0p +1b1 +b100100z1 [1 +b100100z1 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20150000 +0t% +02' +0N( +1i3 +1'5 +10" +1:4 +1V5 +0>2 +1Z3 +1v4 +1!" +1U" +0e$ +0#& +0?' +0[( +08% +0T& +0p' +b1010110010101010000000000001111 ) +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#20160000 +17% +1S& +1o' +1-) +1O% +1k& +1)( +1~% +1<' +1X( +094 +0U5 +1B2 +0^3 +0z4 +0%" +0X" +1Y$ +1u% +13' +1O( +b1010110010101010000010101011111 ) +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +#20170000 +0r2 +104 +1L5 +0p$ +0.& +0J' +0f( +0A% +0]& +0y' +07) +0}% +0;' +0W( +1=4 +1Y5 +062 +1R3 +1n4 +b1111100010101010000010101011111 ) +1! +1p +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +#20180000 +0m4 +0t +1M2 +0i3 +0'5 +00" +0Q +1|2 +0:4 +0V5 +1@% +1\& +1x' +16) +1#& +1?' +1[( +014 +0M5 +b101100010101010000010101011111 ) +1A2 +b11000z1 :2 +b11000z1 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +b11000z0 ; +b11000z0 H +00 +#20190000 +0S& +0o' +0-) +1H4 +1d5 +1w4 +1"" +1V" +0{2 +194 +1U5 +0D% +0`& +0|' +0:) +0u% +03' +0O( +b101100010101010000000000011111 ) +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#20200000 +1t% +12' +1N( +1j) +1.& +1J' +1f( +1]& +1y' +17) +0v4 +0!" +0U" +0Y +1!3 +0=4 +0Y5 +18% +1T& +1p' +1.) +b101100010101010000101010111111 ) +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +#20210000 +0Q3 +1m4 +1t +0O% +0k& +0)( +0E) +1Q +0~% +0<' +0X( +0t) +0\& +0x' +06) +1z4 +1%" +1X" +0s2 +114 +1M5 +b1111000010101010000101010111111 ) +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +b11010z0 ; +b11010z0 H +10 +#20220000 +0L5 +1,3 +0H4 +0d5 +1[3 +0w4 +0"" +0V" +1}% +1;' +1W( +1s) +1`& +1|' +1:) +0n4 +b1011000010101010000101010111111 ) +0! +0p +0J +1~2 +b100100z1 w2 +b100100z1 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20230000 +02' +0N( +0j) +1'5 +10" +1V5 +0Z3 +1v4 +1!" +1U" +1Y +0#& +0?' +0[( +0w) +0T& +0p' +0.) +b1011000010101010000000000111111 ) +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#20240000 +1S& +1o' +1-) +1I* +1k& +1)( +1E) +1<' +1X( +1t) +0U5 +1^3 +0z4 +0%" +0X" +1u% +13' +1O( +1k) +b1011000010101010001010101111111 ) +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +0F +#20250000 +004 +1L5 +0.& +0J' +0f( +0$* +0]& +0y' +07) +0S* +0;' +0W( +0s) +1Y5 +0R3 +1n4 +b1110000010101010001010101111111 ) +1! +1p +1J +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +#20260000 +0t +1i3 +0'5 +00" +0Q +1:4 +0V5 +1\& +1x' +16) +1R* +1?' +1[( +1w) +0M5 +b110000010101010001010101111111 ) +1]3 +b11000z1 V3 +b11000z1 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +07 +b0 # +b0 / +b11000z0 ; +b11000z0 H +00 +#20270000 +0o' +0-) +0I* +1d5 +1"" +1V" +b1 1 +094 +1U5 +0`& +0|' +0:) +0V* +03' +0O( +0k) +b110000010101010000000001111111 ) +1X5 +b100100z1 Q5 +b100100z1 ^5 +1F +#20280000 +12' +1N( +1j) +1(+ +1J' +1f( +1$* +1y' +17) +1S* +0!" +0U" +0Y +1=4 +0Y5 +1T& +1p' +1.) +1J* +b110000010101010010101011111111 ) +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +#20290000 +0m4 +1t +0k& +0)( +0E) +0a* +1Q +0<' +0X( +0t) +02+ +b11 1 +0x' +06) +0R* +1%" +1X" +014 +1M5 +b1100000010101010010101011111111 ) +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +17 +b1 # +b1 / +b11010z0 ; +b11010z0 H +10 +#20300000 +1H4 +0d5 +1w4 +0"" +0V" +b10 1 +1;' +1W( +1s) +11+ +1|' +1:) +1V* +0! +0p +0J +1<4 +b100100z1 54 +b100100z1 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20310000 +0N( +0j) +0(+ +10" +b110 1 +0v4 +1!" +1U" +1Y +0?' +0[( +0w) +05+ +0p' +0.) +0J* +b1100000010101010000000011111111 ) +1$" +b11000z1 { +b11000z1 *" +1x +#20320000 +1o' +1-) +1I* +1e+ +1)( +1E) +1a* +1X( +1t) +12+ +b100 1 +1z4 +0%" +0X" +13' +1O( +1k) +1)+ +b1100000010101010101010111111111 ) +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +0F +#20330000 +0L5 +0J' +0f( +0$* +0@+ +0y' +07) +0S* +0o+ +b1100 1 +0W( +0s) +01+ +0n4 +b1000000010101010101010111111111 ) +1! +1p +1J +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20340000 +1'5 +00" +0Q +1V5 +b1000 1 +1x' +16) +1R* +1n+ +1[( +1w) +15+ +1y4 +b11000z1 r4 +b11000z1 !5 +0$" +b11000z0 { +b11000z0 *" +0x +07 +b0 # +b0 / +b11000z0 ; +b11000z0 H +00 +#20350000 +0-) +0I* +0e+ +b11001 1 +0U5 +0|' +0:) +0V* +0r+ +0O( +0k) +0)+ +b1000000010101010000000111111111 ) +1F +#20360000 +1N( +1j) +1(+ +1D, +1f( +1$* +1@+ +17) +1S* +1o+ +b10001 1 +0Y +1Y5 +1p' +1.) +1J* +1f+ +b1000000010101011010101111111111 ) +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +0" +#20370000 +0t +0)( +0E) +0a* +0}+ +0X( +0t) +02+ +0N, +b110011 1 +06) +0R* +0n+ +0M5 +b10101011010101111111111 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +17 +b1 # +b1 / +#20380000 +1d5 +1"" +1V" +b100010 1 +1W( +1s) +11+ +1R, +1M, +1:) +1V* +1r+ +0J +1X5 +b100100z1 Q5 +b100100z1 ^5 +#20390000 +0j) +0(+ +0D, +b1100110 1 +0!" +0U" +0[( +0w) +05+ +0.) +0J* +0f+ +b10101010000001111111111 ) +#20400000 +1-) +1I* +1e+ +1\, +1E) +1a* +1}+ +1t) +12+ +1N, +b1000100 1 +1%" +1X" +1O( +1k) +1)+ +b10101010101011111111111 ) +1P, +b101001z1 I, +b101001z1 V, +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +0F +1" +#20410000 +0f( +0$* +0@+ +07) +0S* +0o+ +b11001100 1 +0s) +01+ +0R, +0M, +0! +0p +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20420000 +10" +b10001000 1 +16) +1R* +1n+ +1w) +15+ +1$" +b11000z1 { +b11000z1 *" +1x +07 +b0 # +b0 / +#20430000 +0I* +0e+ +0\, +b110011001 1 +0:) +0V* +0r+ +0k) +0)+ +b10101010000011111111111 ) +0P, +b101001z0 I, +b101001z0 V, +#20440000 +1j) +1(+ +1D, +1$* +1@+ +1S* +1o+ +b100010001 1 +1.) +1J* +1f+ +b10101011010111111111111 ) +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +0" +#20450000 +0E) +0a* +0}+ +0t) +02+ +0N, +b1100110011 1 +0R* +0n+ +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +#20460000 +b1000100011 1 +1s) +11+ +1R, +1M, +1V* +1r+ +#20470000 +0(+ +0D, +1Q +b11001100111 1 +0w) +05+ +0J* +0f+ +b10101010000111111111111 ) +b11010z0 ; +b11010z0 H +10 +#20480000 +1I* +1e+ +1\, +1a* +1}+ +12+ +1N, +b10001000111 1 +1k) +1)+ +b10101010101111111111111 ) +1P, +b101001z1 I, +b101001z1 V, +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +#20490000 +0$* +0@+ +0S* +0o+ +b110011001111 1 +01+ +0R, +0M, +1Y +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20500000 +b100010001111 1 +1R* +1n+ +15+ +#20510000 +0e+ +0\, +b1100110011111 1 +0V* +0r+ +0)+ +b10101010001111111111111 ) +0P, +b101001z0 I, +b101001z0 V, +1J +#20520000 +1(+ +1D, +1@+ +1o+ +b1000100011111 1 +1J* +1f+ +b10101011011111111111111 ) +14+ +b100100z1 -+ +b100100z1 :+ +#20530000 +0a* +0}+ +02+ +0N, +b11001100111111 1 +0n+ +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1F +#20540000 +b10001000111111 1 +11+ +1R, +1M, +1r+ +#20550000 +0D, +b110011001111111 1 +05+ +0f+ +b10101010011111111111111 ) +17 +b1 # +b1 / +#20560000 +1e+ +1\, +1}+ +1N, +b100010001111110 1 +1)+ +b10101010111111111111111 ) +1P, +b101001z1 I, +b101001z1 V, +1q+ +b11000z1 j+ +b11000z1 w+ +#20570000 +0@+ +0o+ +b1100110011111110 1 +0R, +0M, +04+ +b100100z0 -+ +b100100z0 :+ +#20580000 +b1000100011111100 1 +1n+ +#20590000 +0\, +b11001100111111100 1 +0r+ +0P, +b101001z0 I, +b101001z0 V, +#20600000 +1D, +b10001000111111000 1 +1f+ +b10101011111111111111111 ) +#20610000 +0}+ +0N, +b110011001111111000 1 +0q+ +b11000z0 j+ +b11000z0 w+ +#20620000 +b100010001111110000 1 +1R, +1M, +#20630000 +b1100110011111110000 1 +#20640000 +1\, +b1000100011111100000 1 +1P, +b101001z1 I, +b101001z1 V, +#20650000 +b11001100111111100000 1 +#20660000 +b10001000111111000000 1 +#20670000 +b110011001111111000000 1 +#20680000 +b100010001111110000000 1 +#20690000 +b1100110011111110000000 1 +#20700000 +b1000100011111100000000 1 +#20710000 +b11001100111111100000000 1 +#20720000 +b10001000111111000000000 1 +#20730000 +b110011001111111000000000 1 +#20740000 +b100010001111110000000000 1 +#20750000 +b1100110011111110000000000 1 +#20760000 +b1000100011111100000000000 1 +#20770000 +b11001100111111100000000000 1 +#20780000 +b10001000111111000000000000 1 +#20790000 +b110011001111111000000000000 1 +#20800000 +b100010001111110000000000000 1 +#20810000 +b1100110011111110000000000000 1 +#20820000 +b1000100011111100000000000000 1 +#20830000 +b11001100111111100000000000000 1 +#20840000 +b10001000111111000000000000000 1 +#20850000 +b110011001111111000000000000000 1 +#20860000 +b100010001111110000000000000000 1 +#20870000 +1$ +b1100110011111110000000000000000 1 +#20880000 +b1000100011111100000000000000000 1 +#20890000 +b1001100111111100000000000000000 1 +#20900000 +0$ +b1000111111000000000000000000 1 +#20910000 +b11001111111000000000000000000 1 +#20920000 +b10001111110000000000000000000 1 +#20930000 +b110011111110000000000000000000 1 +#20940000 +b100011111100000000000000000000 1 +#20950000 +1$ +b1100111111100000000000000000000 1 +#20960000 +b1000111111000000000000000000000 1 +#20970000 +b1001111111000000000000000000000 1 +#20980000 +0$ +b1111110000000000000000000000 1 +#20990000 +b11111110000000000000000000000 1 +#21000000 +b11111100000000000000000000000 1 +#21010000 +b111111100000000000000000000000 1 +#21020000 +b111111000000000000000000000000 1 +#21030000 +1$ +b1111111000000000000000000000000 1 +#21040000 +b1111110000000000000000000000000 1 +#21060000 +b1111100000000000000000000000000 1 +#21080000 +b1111000000000000000000000000000 1 +#21100000 +b1110000000000000000000000000000 1 +#21120000 +b1100000000000000000000000000000 1 +#21140000 +b1000000000000000000000000000000 1 +#21160000 +0$ +b0 1 +#22000000 +1B, +0!- +1^- +0=. +1z. +0Y/ +180 +0u0 +b1010101010101010101010110101010 & +b1010101010101010101010110101010 . +#22010000 +0o, +1O- +0-. +1k. +0I/ +1)0 +0e0 +1E1 +b100001z1 I, +b100001z1 V, +b111001z1 (- +b111001z1 5- +b100001z0 e- +b100001z0 r- +b111001z1 D. +b111001z1 Q. +b100001z0 #/ +b100001z0 0/ +b111001z1 `/ +b111001z1 m/ +b100001z0 ?0 +b100001z0 L0 +b111001z1 |0 +b111001z1 +1 +#22020000 +1n, +0P- +1,. +0l. +1H/ +0*0 +1d0 +0F1 +b100101z1 I, +b100101z1 V, +b11001z1 (- +b11001z1 5- +b100101z0 e- +b100101z0 r- +b11001z1 D. +b11001z1 Q. +b100101z0 #/ +b100101z0 0/ +b11001z1 `/ +b11001z1 m/ +b100101z0 ?0 +b100101z0 L0 +b11001z1 |0 +b11001z1 +1 +#22030000 +0^, +0=- +0z- +0Y. +08/ +0u/ +0T0 +031 +0F, +b100100z1 I, +b100100z1 V, +1%- +b11000z1 (- +b11000z1 5- +0b- +b100100z0 e- +b100100z0 r- +1A. +b11000z1 D. +b11000z1 Q. +0~. +b100100z0 #/ +b100100z0 0/ +1]/ +b11000z1 `/ +b11000z1 m/ +0<0 +b100100z0 ?0 +b100100z0 L0 +1y0 +b11000z1 |0 +b11000z1 +1 +#22040000 +0X- +0t. +020 +0N1 +#22050000 +0J, +1*- +0f- +1F. +0$/ +1b/ +0@0 +1~0 +#22060000 +08- +0T. +0p/ +0.1 +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +#22070000 +0?. +0[/ +0w0 +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1,- +0a- +1H. +0}. +1d/ +0;0 +b11111111111111111 ) +1"1 +#22080000 +1I. +1e/ +1#1 +1m- +1+/ +1G0 +#22090000 +1`- +1|. +1:0 +1V1 +0H. +0d/ +0"1 +0R, +01- +0M. +0i/ +0'1 +1$- +1@. +1\/ +1x0 +b101010111111111111111111 ) +#22100000 +1x- +16/ +1R0 +0j- +0(/ +0D0 +0`1 +1L. +1h/ +1&1 +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +#22110000 +0|. +0:0 +0V1 +0\, +0;- +1i- +1'/ +1C0 +1_1 +0@. +0\/ +0x0 +b111111111111111111 ) +0P, +b100100z0 I, +b100100z0 V, +0/- +b11000z0 (- +b11000z0 5- +#22120000 +1(/ +1D0 +1`1 +0m- +0+/ +0G0 +0c1 +#22130000 +1?. +1[/ +1w0 +152 +0'/ +0C0 +0_1 +1a- +1}. +1;0 +1W1 +b1010101111111111111111111 ) +#22140000 +0x- +06/ +0R0 +0n1 +0I. +0e/ +0#1 +0?2 +1+/ +1G0 +1c1 +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +#22150000 +0[/ +0w0 +052 +1H. +1d/ +1"1 +1>2 +0}. +0;0 +0W1 +b1111111111111111111 ) +#22160000 +16/ +1R0 +1n1 +1e/ +1#1 +1?2 +0L. +0h/ +0&1 +0B2 +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b100100z1 [1 +b100100z1 h1 +#22170000 +1|. +1:0 +1V1 +1r2 +0d/ +0"1 +0>2 +1@. +1\/ +1x0 +162 +b10101011111111111111111111 ) +#22180000 +0W. +0s/ +011 +0M2 +0(/ +0D0 +0`1 +0|2 +1h/ +1&1 +1B2 +0K. +b11000z0 D. +b11000z0 Q. +0g/ +b11000z0 `/ +b11000z0 m/ +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +#22190000 +0:0 +0V1 +0r2 +1'/ +1C0 +1_1 +1{2 +0\/ +0x0 +062 +b11111111111111111111 ) +#22200000 +1s/ +111 +1M2 +1D0 +1`1 +1|2 +0+/ +0G0 +0c1 +0!3 +1g/ +b11000z1 `/ +b11000z1 m/ +1%1 +b11000z1 |0 +b11000z1 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +#22210000 +1[/ +1w0 +152 +1Q3 +0C0 +0_1 +0{2 +1}. +1;0 +1W1 +1s2 +b101010111111111111111111111 ) +#22220000 +06/ +0R0 +0n1 +0,3 +0e/ +0#1 +0?2 +0[3 +1G0 +1c1 +1!3 +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +#22230000 +0w0 +052 +0Q3 +1d/ +1"1 +1>2 +1Z3 +0;0 +0W1 +0s2 +b111111111111111111111 ) +#22240000 +1R0 +1n1 +1,3 +1#1 +1?2 +1[3 +0h/ +0&1 +0B2 +0^3 +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b100100z1 [1 +b100100z1 h1 +1~2 +b100100z1 w2 +b100100z1 &3 +#22250000 +1:0 +1V1 +1r2 +104 +0"1 +0>2 +0Z3 +1\/ +1x0 +162 +1R3 +b1010101111111111111111111111 ) +#22260000 +0s/ +011 +0M2 +0i3 +0D0 +0`1 +0|2 +0:4 +1&1 +1B2 +1^3 +0g/ +b11000z0 `/ +b11000z0 m/ +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +#22270000 +0V1 +0r2 +004 +1C0 +1_1 +1{2 +194 +0x0 +062 +0R3 +b1111111111111111111111 ) +#22280000 +111 +1M2 +1i3 +1`1 +1|2 +1:4 +0G0 +0c1 +0!3 +0=4 +1%1 +b11000z1 |0 +b11000z1 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +#22290000 +1w0 +152 +1Q3 +1m4 +0_1 +0{2 +094 +1;0 +1W1 +1s2 +114 +b10101011111111111111111111111 ) +#22300000 +0R0 +0n1 +0,3 +0H4 +0#1 +0?2 +0[3 +0w4 +1c1 +1!3 +1=4 +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +#22310000 +052 +0Q3 +0m4 +1"1 +1>2 +1Z3 +1v4 +0W1 +0s2 +014 +b11111111111111111111111 ) +#22320000 +1n1 +1,3 +1H4 +1?2 +1[3 +1w4 +0&1 +0B2 +0^3 +0z4 +1b1 +b100100z1 [1 +b100100z1 h1 +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +#22330000 +1V1 +1r2 +104 +1L5 +0>2 +0Z3 +0v4 +1x0 +162 +1R3 +1n4 +b101010111111111111111111111111 ) +#22340000 +011 +0M2 +0i3 +0'5 +0`1 +0|2 +0:4 +0V5 +1B2 +1^3 +1z4 +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +#22350000 +0r2 +004 +0L5 +1_1 +1{2 +194 +1U5 +062 +0R3 +0n4 +b111111111111111111111111 ) +#22360000 +1M2 +1i3 +1'5 +1|2 +1:4 +1V5 +0c1 +0!3 +0=4 +0Y5 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +#22370000 +152 +1Q3 +1m4 +1t +0{2 +094 +0U5 +1W1 +1s2 +114 +1M5 +b1010101111111111111111111111111 ) +#22380000 +0n1 +0,3 +0H4 +0d5 +0?2 +0[3 +0w4 +0"" +0V" +1!3 +1=4 +1Y5 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22390000 +0Q3 +0m4 +0t +1>2 +1Z3 +1v4 +1!" +1U" +0s2 +014 +0M5 +b1111111111111111111111111 ) +#22400000 +1,3 +1H4 +1d5 +1[3 +1w4 +1"" +1V" +0B2 +0^3 +0z4 +0%" +0X" +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22410000 +1r2 +104 +1L5 +0Z3 +0v4 +0!" +0U" +162 +1R3 +1n4 +b101011111111111111111111111111 ) +1! +1p +#22420000 +0M2 +0i3 +0'5 +00" +0|2 +0:4 +0V5 +1^3 +1z4 +1%" +1X" +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22430000 +004 +0L5 +1{2 +194 +1U5 +0R3 +0n4 +b11111111111111111111111111 ) +0! +0p +#22440000 +1i3 +1'5 +10" +1:4 +1V5 +0!3 +0=4 +0Y5 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#22450000 +1Q3 +1m4 +1t +094 +0U5 +1s2 +114 +1M5 +b1010111111111111111111111111111 ) +#22460000 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +1=4 +1Y5 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22470000 +0m4 +0t +1Z3 +1v4 +1!" +1U" +014 +0M5 +b111111111111111111111111111 ) +#22480000 +1H4 +1d5 +1w4 +1"" +1V" +0^3 +0z4 +0%" +0X" +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22490000 +104 +1L5 +0v4 +0!" +0U" +1R3 +1n4 +b101111111111111111111111111111 ) +1! +1p +#22500000 +0i3 +0'5 +00" +0:4 +0V5 +1z4 +1%" +1X" +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22510000 +0L5 +194 +1U5 +0n4 +b1111111111111111111111111111 ) +0! +0p +#22520000 +1'5 +10" +1V5 +0=4 +0Y5 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#22530000 +1m4 +1t +0U5 +114 +1M5 +b1011111111111111111111111111111 ) +#22540000 +0H4 +0d5 +0w4 +0"" +0V" +1Y5 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22550000 +0t +1v4 +1!" +1U" +0M5 +b11111111111111111111111111111 ) +#22560000 +1d5 +1"" +1V" +0z4 +0%" +0X" +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22570000 +1L5 +0!" +0U" +1n4 +b111111111111111111111111111111 ) +1! +1p +#22580000 +0'5 +00" +0V5 +1%" +1X" +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22590000 +1U5 +0! +0p +#22600000 +10" +0Y5 +1$" +b11000z1 { +b11000z1 *" +1x +#22610000 +1t +1M5 +b1111111111111111111111111111111 ) +#22620000 +0d5 +0"" +0V" +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22630000 +1!" +1U" +#22640000 +0%" +0X" +1" +#22650000 +1! +1p +#22660000 +00" +0$" +b11000z0 { +b11000z0 *" +0x +#22680000 +0" +#22710000 +0Q +b11000z0 ; +b11000z0 H +00 +#22730000 +0Y +#22750000 +0J +#22770000 +0F +#22790000 +07 +b0 # +b0 / +#22800000 +b1 1 +#22820000 +b11 1 +#22840000 +b111 1 +#22860000 +b1111 1 +#22880000 +b11111 1 +#22900000 +b111111 1 +#22920000 +b1111111 1 +#22940000 +b11111111 1 +#22960000 +b111111111 1 +#22980000 +b1111111111 1 +#23000000 +b11111111111 1 +#23020000 +b111111111111 1 +#23040000 +b1111111111111 1 +#23060000 +b11111111111111 1 +#23080000 +b111111111111111 1 +#23100000 +b1111111111111111 1 +#23120000 +b11111111111111111 1 +#23140000 +b111111111111111111 1 +#23160000 +b1111111111111111111 1 +#23180000 +b11111111111111111111 1 +#23200000 +b111111111111111111111 1 +#23220000 +b1111111111111111111111 1 +#23240000 +b11111111111111111111111 1 +#23260000 +b111111111111111111111111 1 +#23280000 +b1111111111111111111111111 1 +#23300000 +b11111111111111111111111111 1 +#23320000 +b111111111111111111111111111 1 +#23340000 +b1111111111111111111111111111 1 +#23360000 +b11111111111111111111111111111 1 +#23380000 +b111111111111111111111111111111 1 +#23400000 +1$ +b1111111111111111111111111111111 1 +#24000000 +0[" +0w# +05% +0Q& +1m' +1+) +1G* +1c+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +1r +19# +1U$ +1q% +0/' +0K( +0g) +0%+ +1~, +1<. +1X/ +1t0 +0S1 +0o2 +0-4 +0I5 +15 +b11111111000000001111111100000000 & +b11111111000000001111111100000000 . +b111111110000000011111111 % +b111111110000000011111111 - +#24010000 +1*# +1F$ +1b% +1~& +0=( +0Y) +0u* +03, +1o, +1-. +1I/ +1e0 +0a2 +0}3 +0;5 +0D" +0h# +0&% +0B& +1]' +1y( +17* +1S+ +0O- +0k. +0)0 +0E1 +1#2 +1?3 +1[4 +1w5 +0b +b101100z0 b" +b101100z0 o" +b101100z0 ~# +b101100z0 -$ +b101100z0 <% +b101100z0 I% +b101100z0 X& +b101100z0 e& +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b101100z0 I, +b101100z0 V, +b101100z0 e- +b101100z0 r- +b101100z0 #/ +b101100z0 0/ +b101100z0 ?0 +b101100z0 L0 +b1000z0 :2 +b1000z0 G2 +b1000z0 V3 +b1000z0 c3 +b1000z0 r4 +b1000z0 !5 +0w +b1000z0 { +b1000z0 *" +b1000z0 A# +b1000z0 N# +b1000z0 ]$ +b1000z0 j$ +b1000z0 y% +b1000z0 (& +b101100z0 7' +b101100z0 D' +b101100z0 S( +b101100z0 `( +b101100z0 o) +b101100z0 |) +b101100z0 -+ +b101100z0 :+ +b1000z0 (- +b1000z0 5- +b1000z0 D. +b1000z0 Q. +b1000z0 `/ +b1000z0 m/ +b1000z0 |0 +b1000z0 +1 +b101100z0 [1 +b101100z0 h1 +b101100z0 w2 +b101100z0 &3 +b101100z0 54 +b101100z0 B4 +b101100z0 Q5 +b101100z0 ^5 +b1000z0 ; +b1000z0 H +#24020000 +0)# +0E$ +0a% +0}& +1>( +1Z) +1v* +14, +0n, +0,. +0H/ +0d0 +1b2 +1~3 +1<5 +1E" +1i# +1'% +1C& +0\' +0x( +06* +0R+ +1P- +1l. +1*0 +1F1 +0"2 +0>3 +0Z4 +0v5 +1c +b101000z0 b" +b101000z0 o" +b101000z0 ~# +b101000z0 -$ +b101000z0 <% +b101000z0 I% +b101000z0 X& +b101000z0 e& +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b101000z0 I, +b101000z0 V, +b101000z0 e- +b101000z0 r- +b101000z0 #/ +b101000z0 0/ +b101000z0 ?0 +b101000z0 L0 +b101000z0 :2 +b101000z0 G2 +b101000z0 V3 +b101000z0 c3 +b101000z0 r4 +b101000z0 !5 +b101000z0 { +b101000z0 *" +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b101000z0 (- +b101000z0 5- +b101000z0 D. +b101000z0 Q. +b101000z0 `/ +b101000z0 m/ +b101000z0 |0 +b101000z0 +1 +b101000z0 [1 +b101000z0 h1 +b101000z0 w2 +b101000z0 &3 +b101000z0 54 +b101000z0 B4 +b101000z0 Q5 +b101000z0 ^5 +b101000z0 ; +b101000z0 H +1B# +1^$ +1z% +09' +0U( +0q) +0/+ +1)- +1E. +1a/ +1}0 +0]1 +0y2 +074 +0S5 +1< +#24030000 +1w" +15$ +1Q% +1m& +1+( +1G) +1c* +1!, +1^, +1z- +18/ +1T0 +1O2 +1k3 +1)5 +12" +1V# +1r$ +10& +1L' +1h( +1&* +1B+ +1=- +1Y. +1u/ +131 +1p1 +1.3 +1J4 +1f5 +1P +0S" +1_" +b101001z0 b" +b101001z0 o" +1{# +b101001z0 ~# +b101001z0 -$ +19% +b101001z0 <% +b101001z0 I% +1U& +b101001z0 X& +b101001z0 e& +0q' +b101001z0 t' +b101001z0 #( +0/) +b101001z0 2) +b101001z0 ?) +0K* +b101001z0 N* +b101001z0 [* +0g+ +b101001z0 j+ +b101001z0 w+ +1F, +b101001z0 I, +b101001z0 V, +1b- +b101001z0 e- +b101001z0 r- +1~. +b101001z0 #/ +b101001z0 0/ +1<0 +b101001z0 ?0 +b101001z0 L0 +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z0 V3 +b101001z0 c3 +0o4 +b101001z0 r4 +b101001z0 !5 +0y +b101001z0 { +b101001z0 *" +b101001z0 A# +b101001z0 N# +0D# +b101001z0 ]$ +b101001z0 j$ +0`$ +b101001z0 y% +b101001z0 (& +0|% +b101001z0 7' +b101001z0 D' +0:' +b101001z0 S( +b101001z0 `( +0V( +b101001z0 o) +b101001z0 |) +0r) +b101001z0 -+ +b101001z0 :+ +00+ +b101001z0 (- +b101001z0 5- +0+- +b101001z0 D. +b101001z0 Q. +0G. +b101001z0 `/ +b101001z0 m/ +0c/ +b101001z0 |0 +b101001z0 +1 +0!1 +b101001z0 [1 +b101001z0 h1 +0^1 +b101001z0 w2 +b101001z0 &3 +0z2 +b101001z0 54 +b101001z0 B4 +084 +b101001z0 Q5 +b101001z0 ^5 +0T5 +b101001z0 ; +b101001z0 H +0> +#24040000 +1G# +1c$ +1!& +1=' +1Y( +1u) +13+ +1.- +1J. +1f/ +1$1 +1a1 +1}2 +1;4 +1W5 +1A +1F( +1b) +1~* +1<, +1j2 +1(4 +1D5 +1M" +1q# +1/% +1K& +1X- +1t. +120 +1N1 +1k +0;' +0W( +0s) +01+ +0_1 +0{2 +094 +0U5 +0T" +#24050000 +1W" +0U" +1c" +1!$ +1=% +1Y& +0v' +04) +0P* +0l+ +1J, +1f- +1$/ +1@0 +0<2 +0X3 +0t4 +0} +#24060000 +0o' +0-) +0I* +0e+ +052 +0Q3 +0m4 +0t +1J# +1f$ +1$& +1@' +1\( +1x) +16+ +11- +1M. +1i/ +1'1 +1d1 +1"3 +1>4 +1Z5 +1D +1&( +1B) +1^* +1z+ +1J2 +1f3 +1$5 +1-" +1Q# +1m$ +1+& +18- +1T. +1p/ +1.1 +1K +03' +0O( +0k) +0)+ +0W1 +0s2 +014 +0M5 +b101010111111111010101011111111 ) +0e" +0#$ +0?% +0[& +0w' +05) +0Q* +0m+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +0~ +#24070000 +1y' +17) +1S* +1o+ +1?2 +1[3 +1w4 +1"" +1V" +1h" +1&$ +1B% +1^& +1z' +18) +1T* +1p+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +1#" +0p +0x' +06) +0R* +0n+ +0>2 +0Z3 +0v4 +0!" +#24080000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +1;- +1W. +1s/ +111 +1n1 +1,3 +1H4 +1d5 +1N +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b101001z1 [1 +b101001z1 h1 +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1B +b101001z1 ; +b101001z1 H +#24090000 +0N( +0j) +0(+ +0D, +0r2 +004 +0L5 +1k" +1)$ +1E% +1a& +1R, +1n- +1,/ +1H0 +0p' +0.) +0J* +0f+ +062 +0R3 +0n4 +b111111110000000011111111 ) +0! +1" +#24100000 +1X( +1t) +12+ +1N, +1|2 +1:4 +1V5 +#24110000 +1u" +13$ +1O% +1k& +1\, +1x- +16/ +1R0 +0\( +0x) +06+ +0R, +0M, +0"3 +0>4 +0Z5 +1i" +b101001z1 b" +b101001z1 o" +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1P, +b101001z1 I, +b101001z1 V, +1l- +b101001z1 e- +b101001z1 r- +1*/ +b101001z1 #/ +b101001z1 0/ +1F0 +b101001z1 ?0 +b101001z1 L0 +#24120000 +1Q +0" +b101011z1 ; +b101011z1 H +10 +#24130000 +0f( +0$* +0@+ +0\, +0,3 +0H4 +0d5 +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0P, +b101001z0 I, +b101001z0 V, +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#24140000 +1Y +#24150000 +0Q +b101001z1 ; +b101001z1 H +00 +#24160000 +1J +#24170000 +0Y +#24180000 +1F +#24190000 +0J +#24200000 +17 +b1 # +b1 / +#24210000 +b1111111111111111111111111111110 1 +0F +#24230000 +b1111111111111111111111111111100 1 +07 +b0 # +b0 / +#24240000 +b1111111111111111111111111111101 1 +#24250000 +b1111111111111111111111111111001 1 +#24260000 +b1111111111111111111111111111011 1 +#24270000 +b1111111111111111111111111110011 1 +#24280000 +b1111111111111111111111111110111 1 +#24290000 +b1111111111111111111111111100111 1 +#24300000 +b1111111111111111111111111101111 1 +#24310000 +b1111111111111111111111111001111 1 +#24320000 +b1111111111111111111111111011111 1 +#24330000 +b1111111111111111111111110011111 1 +#24340000 +b1111111111111111111111110111111 1 +#24350000 +b1111111111111111111111100111111 1 +#24360000 +b1111111111111111111111101111111 1 +#24370000 +b1111111111111111111111001111111 1 +#24380000 +b1111111111111111111111011111111 1 +#24390000 +b1111111111111111111110011111111 1 +#24400000 +b1111111111111111111110111111111 1 +#24410000 +b1111111111111111111100111111111 1 +#24420000 +b1111111111111111111101111111111 1 +#24430000 +b1111111111111111111001111111111 1 +#24440000 +b1111111111111111111011111111111 1 +#24450000 +b1111111111111111110011111111111 1 +#24460000 +b1111111111111111110111111111111 1 +#24470000 +b1111111111111111100111111111111 1 +#24480000 +b1111111111111111101111111111111 1 +#24490000 +b1111111111111111001111111111111 1 +#24500000 +b1111111111111111011111111111111 1 +#24510000 +b1111111111111110011111111111111 1 +#24520000 +b1111111111111110111111111111111 1 +#24530000 +b1111111111111100111111111111111 1 +#24540000 +b1111111111111101111111111111111 1 +#24550000 +b1111111111111001111111111111111 1 +#24560000 +b1111111111111011111111111111111 1 +#24570000 +b1111111111110011111111111111111 1 +#24580000 +b1111111111110111111111111111111 1 +#24590000 +b1111111111100111111111111111111 1 +#24600000 +b1111111111101111111111111111111 1 +#24610000 +b1111111111001111111111111111111 1 +#24620000 +b1111111111011111111111111111111 1 +#24630000 +b1111111110011111111111111111111 1 +#24640000 +b1111111110111111111111111111111 1 +#24650000 +b1111111100111111111111111111111 1 +#24660000 +b1111111101111111111111111111111 1 +#24670000 +b1111111001111111111111111111111 1 +#24680000 +b1111111011111111111111111111111 1 +#24690000 +b1111110011111111111111111111111 1 +#24700000 +b1111110111111111111111111111111 1 +#24710000 +b1111100111111111111111111111111 1 +#24720000 +b1111101111111111111111111111111 1 +#24730000 +b1111001111111111111111111111111 1 +#24740000 +b1111011111111111111111111111111 1 +#24750000 +b1110011111111111111111111111111 1 +#24760000 +b1110111111111111111111111111111 1 +#24770000 +b1100111111111111111111111111111 1 +#24780000 +b1101111111111111111111111111111 1 +#24790000 +b1001111111111111111111111111111 1 +#24800000 +b1011111111111111111111111111111 1 +#24810000 +0$ +b11111111111111111111111111111 1 +#24820000 +b111111111111111111111111111111 1 +#24840000 +1$ +b1111111111111111111111111111111 1 +#26000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +16 +0r +0Z" +09# +0v# +0U$ +04% +0q% +0P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +05 +1q +b1111111111111111 & +b1111111111111111 . +b11111111111111111111111100000000 % +b11111111111111111111111100000000 - +#26010000 +0]' +0<( +0y( +0X) +07* +0t* +0S+ +02, +1w +b100001z1 7' +b100001z1 D' +b100001z0 t' +b100001z0 #( +b100001z0 S( +b100001z0 `( +b100001z0 2) +b100001z0 ?) +b100001z0 o) +b100001z0 |) +b100001z0 N* +b100001z0 [* +b100001z0 -+ +b100001z0 :+ +b100001z0 j+ +b100001z0 w+ +#26020000 +1\' +1;( +1x( +1W) +16* +1s* +1R+ +11, +b100101z1 7' +b100101z1 D' +b100101z0 t' +b100101z0 #( +b100101z0 S( +b100101z0 `( +b100101z0 2) +b100101z0 ?) +b100101z0 o) +b100101z0 |) +b100101z0 N* +b100101z0 [* +b100101z0 -+ +b100101z0 :+ +b100101z0 j+ +b100101z0 w+ +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +0< +1} +1S" +#26030000 +0L' +0+( +0h( +0G) +0&* +0c* +0B+ +0!, +1R" +0_" +0># +0{# +0Z$ +09% +0v% +0U& +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +09 +1y +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +b100100z1 7' +b100100z1 D' +1:' +b100100z0 t' +b100100z0 #( +1w' +b100100z0 S( +b100100z0 `( +1V( +b100100z0 2) +b100100z0 ?) +15) +b100100z0 o) +b100100z0 |) +1r) +b100100z0 N* +b100100z0 [* +1Q* +b100100z0 -+ +b100100z0 :+ +10+ +b100100z0 j+ +b100100z0 w+ +1m+ +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#26040000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +1;' +1_1 +#26050000 +1p +0d" +0C# +0"$ +0_$ +0>% +0{% +0Z& +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +0= +1| +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1%" +#26060000 +1o' +152 +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0d1 +0D +13' +1W1 +b1111111110000000111111111 ) +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#26070000 +1r2 +1Q3 +104 +1m4 +1L5 +1t +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +10" +0y' +0?2 +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +0f" +0E# +0$$ +0a$ +0@% +0}% +0\& +162 +1s2 +1R3 +114 +1n4 +1M5 +b1111111111111110000000111111111 ) +0? +1! +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1A2 +b101001z1 :2 +b101001z1 G2 +1~2 +b101001z1 w2 +b101001z1 &3 +1]3 +b101001z1 V3 +b101001z1 c3 +1<4 +b101001z1 54 +b101001z1 B4 +1y4 +b101001z1 r4 +b101001z1 !5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1$" +b101001z1 { +b101001z1 *" +#26080000 +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0n1 +0N +0|2 +0[3 +0:4 +0w4 +0V5 +0"" +0V" +1x' +1>2 +0i" +b101001z0 b" +b101001z0 o" +0H# +b101001z0 A# +b101001z0 N# +0'$ +b101001z0 ~# +b101001z0 -$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0C% +b101001z0 <% +b101001z0 I% +0"& +b101001z0 y% +b101001z0 (& +0_& +b101001z0 X& +b101001z0 e& +0>' +b100100z0 7' +b100100z0 D' +0b1 +b101001z0 [1 +b101001z0 h1 +0B +b101001z0 ; +b101001z0 H +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +0%" +#26090000 +0<# +0y# +0X$ +07% +0t% +0S& +02' +0]" +1{2 +1Z3 +194 +1v4 +1U5 +1!" +1Y" +1U" +0|' +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1D +1&" +0^" +0=# +0z# +0Y$ +08% +0u% +0T& +08 +b1111111111111110000000100000000 ) +#26100000 +1N( +1F# +1%$ +1b$ +1A% +1~% +1]& +1<' +1g" +1p' +b1111111111111110000001100000000 ) +#26110000 +0)( +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1n1 +1N +0X( +0J# +0)$ +0f$ +0E% +0$& +0a& +0;' +0k" +1x +0{' +b100100z0 t' +b100100z0 #( +1i" +b101001z1 b" +b101001z1 o" +1H# +b101001z1 A# +b101001z1 N# +1'$ +b101001z1 ~# +b101001z1 -$ +1d$ +b101001z1 ]$ +b101001z1 j$ +1C% +b101001z1 <% +b101001z1 I% +1"& +b101001z1 y% +b101001z1 (& +1_& +b101001z1 X& +b101001z1 e& +1b1 +b101001z1 [1 +b101001z1 h1 +1B +b101001z1 ; +b101001z1 H +#26120000 +1W( +1?' +#26130000 +0T# +03$ +0p$ +0O% +0.& +0k& +0o' +0u" +0[( +0H# +b101001z0 A# +b101001z0 N# +0'$ +b101001z0 ~# +b101001z0 -$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0C% +b101001z0 <% +b101001z0 I% +0"& +b101001z0 y% +b101001z0 (& +0_& +b101001z0 X& +b101001z0 e& +03' +b1111111111111110000001000000000 ) +0i" +b101001z0 b" +b101001z0 o" +#26140000 +1-) +1J' +1Q +1y' +1O( +b1111111111111110000011000000000 ) +1>' +b100100z1 7' +b100100z1 D' +b101011z1 ; +b101011z1 H +10 +#26150000 +0f( +07) +0x' +0Z( +b100100z0 S( +b100100z0 `( +#26160000 +16) +1Y +1|' +#26170000 +0N( +0:) +0p' +b1111111111111110000010000000000 ) +#26180000 +1j) +1)( +1X( +1.) +b1111111111111110000110000000000 ) +1J +1{' +b100100z1 t' +b100100z1 #( +#26190000 +0E) +0t) +0W( +09) +b100100z0 2) +b100100z0 ?) +#26200000 +1s) +1[( +1F +#26210000 +0-) +0w) +0O( +b1111111111111110000100000000000 ) +#26220000 +1I* +1f( +17) +1k) +b1111111111111110001100000000000 ) +1Z( +b100100z1 S( +b100100z1 `( +17 +b1 # +b1 / +#26230000 +0$* +0S* +b1111111111111111111111111111110 1 +06) +0v) +b100100z0 o) +b100100z0 |) +#26240000 +1R* +1:) +#26250000 +0j) +b1111111111111111111111111111100 1 +0V* +0.) +b1111111111111110001000000000000 ) +#26260000 +1(+ +1E) +1t) +1J* +b1111111111111110011000000000000 ) +19) +b100100z1 2) +b100100z1 ?) +#26270000 +0a* +02+ +b1111111111111111111111111111000 1 +0s) +0U* +b100100z0 N* +b100100z0 [* +#26280000 +11+ +1w) +#26290000 +0I* +b1111111111111111111111111110000 1 +05+ +0k) +b1111111111111110010000000000000 ) +#26300000 +1e+ +1$* +1S* +1)+ +b1111111111111110110000000000000 ) +1v) +b100100z1 o) +b100100z1 |) +#26310000 +0@+ +0o+ +b1111111111111111111111111100000 1 +0R* +04+ +b100100z0 -+ +b100100z0 :+ +#26320000 +1n+ +1V* +#26330000 +0(+ +b1111111111111111111111111000000 1 +0r+ +0J* +b1111111111111110100000000000000 ) +#26340000 +1D, +1a* +12+ +1f+ +b1111111111111111100000000000000 ) +1U* +b100100z1 N* +b100100z1 [* +#26350000 +0}+ +0N, +b1111111111111111111111110000000 1 +01+ +0q+ +b100100z0 j+ +b100100z0 w+ +#26360000 +1R, +1M, +15+ +#26370000 +0e+ +b1111111111111111111111100000000 1 +0)+ +b1111111111111111000000000000000 ) +#26380000 +1\, +1@+ +1o+ +1P, +b101001z1 I, +b101001z1 V, +14+ +b100100z1 -+ +b100100z1 :+ +#26390000 +b1111111111111111111111000000000 1 +0n+ +#26400000 +1r+ +#26410000 +0D, +b1111111111111111111110000000000 1 +0f+ +b1111111111111110000000000000000 ) +#26420000 +1}+ +1N, +1q+ +b100100z1 j+ +b100100z1 w+ +#26430000 +b1111111111111111111100000000000 1 +0R, +0M, +#26450000 +0\, +b1111111111111111111000000000000 1 +0P, +b101001z0 I, +b101001z0 V, +#26470000 +b1111111111111111110000000000000 1 +#26490000 +b1111111111111111100000000000000 1 +#26510000 +b1111111111111111000000000000000 1 +#26530000 +b1111111111111110000000000000000 1 +#26550000 +b1111111111111100000000000000000 1 +#26570000 +b1111111111111000000000000000000 1 +#26590000 +b1111111111110000000000000000000 1 +#26610000 +b1111111111100000000000000000000 1 +#26630000 +b1111111111000000000000000000000 1 +#26650000 +b1111111110000000000000000000000 1 +#26670000 +b1111111100000000000000000000000 1 +#26690000 +b1111111000000000000000000000000 1 +#26710000 +b1111110000000000000000000000000 1 +#26730000 +b1111100000000000000000000000000 1 +#26750000 +b1111000000000000000000000000000 1 +#26770000 +b1110000000000000000000000000000 1 +#26790000 +b1100000000000000000000000000000 1 +#26810000 +b1000000000000000000000000000000 1 +#26830000 +0$ +b0 1 +#28000000 +0:# +0V$ +0r% +0m' +0+) +0G* +0c+ +1B, +1^- +1z. +180 +132 +1O3 +1k4 +06 +1r +1Z" +1v# +14% +1P& +0l' +0*) +0F* +0b+ +0A, +0]- +0y. +070 +0S1 +0o2 +0-4 +0I5 +b10101010010101010101010110101010 & +b10101010010101010101010110101010 . +b10101010101010100101010110101010 % +b10101010101010100101010110101010 - +#28010000 +1h# +1&% +1B& +0`2 +0|3 +0:5 +1b +0C" +0*# +0F$ +0b% +0~& +1<( +1=( +1X) +1Y) +1t* +1u* +12, +13, +1$2 +1@3 +1\4 +1x5 +b111001z0 A# +b111001z0 N# +b111001z0 ]$ +b111001z0 j$ +b111001z0 y% +b111001z0 (& +b100001z1 :2 +b100001z1 G2 +b100001z1 V3 +b100001z1 c3 +b100001z1 r4 +b100001z1 !5 +b111011z1 ; +b111011z1 H +0w +b100001z1 { +b100001z1 *" +b100001z0 b" +b100001z0 o" +b100001z0 ~# +b100001z0 -$ +b100001z0 <% +b100001z0 I% +b100001z0 X& +b100001z0 e& +b111100z1 t' +b111100z1 #( +b111100z1 2) +b111100z1 ?) +b111100z1 N* +b111100z1 [* +b111100z1 j+ +b111100z1 w+ +b111001z1 [1 +b111001z1 h1 +b111001z1 w2 +b111001z1 &3 +b111001z1 54 +b111001z1 B4 +b111001z1 Q5 +b111001z1 ^5 +#28020000 +0i# +0'% +0C& +1_2 +1{3 +195 +0c +1B" +1)# +1E$ +1a% +1}& +0;( +0>( +0W) +0Z) +0s* +0v* +01, +04, +0%2 +0A3 +0]4 +0y5 +b11001z0 A# +b11001z0 N# +b11001z0 ]$ +b11001z0 j$ +b11001z0 y% +b11001z0 (& +b100101z1 :2 +b100101z1 G2 +b100101z1 V3 +b100101z1 c3 +b100101z1 r4 +b100101z1 !5 +b11011z1 ; +b11011z1 H +b100101z1 { +b100101z1 *" +b100101z0 b" +b100101z0 o" +b100101z0 ~# +b100101z0 -$ +b100101z0 <% +b100101z0 I% +b100101z0 X& +b100101z0 e& +b11000z1 t' +b11000z1 #( +b11000z1 2) +b11000z1 ?) +b11000z1 N* +b11000z1 [* +b11000z1 j+ +b11000z1 w+ +b11001z1 [1 +b11001z1 h1 +b11001z1 w2 +b11001z1 &3 +b11001z1 54 +b11001z1 B4 +b11001z1 Q5 +b11001z1 ^5 +1d" +1"$ +1>% +1Z& +0v' +04) +0P* +0l+ +0J, +0f- +0$/ +0@0 +0\1 +0x2 +064 +0R5 +#28030000 +0V# +0r$ +00& +0O2 +0k3 +0)5 +0P +02" +0w" +05$ +0Q% +0m& +0p1 +0.3 +0J4 +0f5 +0R" +1># +b11000z0 A# +b11000z0 N# +1Z$ +b11000z0 ]$ +b11000z0 j$ +1v% +b11000z0 y% +b11000z0 (& +1q' +1/) +1K* +1g+ +0F, +0b- +0~. +0<0 +072 +b100100z1 :2 +b100100z1 G2 +0S3 +b100100z1 V3 +b100100z1 c3 +0o4 +b100100z1 r4 +b100100z1 !5 +19 +b11010z1 ; +b11010z1 H +0y +b100100z1 { +b100100z1 *" +b100100z0 b" +b100100z0 o" +1e" +b100100z0 ~# +b100100z0 -$ +1#$ +b100100z0 <% +b100100z0 I% +1?% +b100100z0 X& +b100100z0 e& +1[& +0w' +05) +0Q* +0m+ +1L, +1h- +1&/ +1B0 +b11000z1 [1 +b11000z1 h1 +1^1 +b11000z1 w2 +b11000z1 &3 +1z2 +b11000z1 54 +b11000z1 B4 +184 +b11000z1 Q5 +b11000z1 ^5 +1T5 +#28040000 +0#- +0h" +0&$ +0B% +0^& +1z' +18) +1T* +1p+ +0O, +0k- +0)/ +0E0 +0a1 +0}2 +0;4 +0W5 +0q# +0/% +0K& +0k +0F( +0b) +0~* +0<, +0-2 +0I3 +0e4 +0#6 +0E, +b1111111111111100000000000000000 ) +1T" +#28050000 +1-- +0W" +1C# +1_$ +1{% +1v' +14) +1P* +1l+ +0K, +0g- +0%/ +0A0 +0;2 +0W3 +0s4 +1= +0| +1j" +1($ +1D% +1`& +0|' +0:) +0V* +0r+ +1Q, +#28060000 +01- +0,- +0n- +0,/ +0H0 +0d1 +0"3 +0>4 +0Z5 +0Q# +0m$ +0+& +0K +0&( +0B) +0^* +0z+ +0k1 +0)3 +0E4 +0a5 +1D# +1`$ +1|% +1w' +15) +1Q* +1m+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#28070000 +1u" +13$ +1O% +1k& +0)( +0E) +0a* +0}+ +1\, +0G# +0c$ +0!& +0z' +08) +0T* +0p+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +0Y" +0i- +0'/ +0C0 +1? +1i" +b100100z1 b" +b100100z1 o" +1'$ +b100100z1 ~# +b100100z1 -$ +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b101001z1 I, +b101001z1 V, +#28080000 +0;- +0x- +06/ +0R0 +0n1 +0,3 +0H4 +0d5 +0/- +b101001z0 (- +b101001z0 5- +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0b1 +b11000z0 [1 +b11000z0 h1 +0~2 +b11000z0 w2 +b11000z0 &3 +0<4 +b11000z0 54 +b11000z0 B4 +0X5 +b11000z0 Q5 +b11000z0 ^5 +1I# +1e$ +1#& +1|' +1:) +1V* +1r+ +0Q, +#28090000 +0?. +0[/ +0w0 +1]" +1n- +1,/ +1H0 +0C2 +0_3 +0{4 +0D +0&" +0x +0a- +0}. +0;0 +18 +b1111111101010100000000000000001 ) +#28100000 +1T# +1p$ +1.& +1)( +1E) +1a* +1}+ +0\, +1I. +1e/ +1#1 +0g" +1H# +b11000z1 A# +b11000z1 N# +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +0P, +b101001z0 I, +b101001z0 V, +#28110000 +1x- +16/ +1R0 +0M2 +0i3 +0'5 +0N +00" +0M. +0H. +0i/ +0d/ +0'1 +0"1 +1f" +1l- +b101001z1 e- +b101001z1 r- +1*/ +b101001z1 #/ +b101001z1 0/ +1F0 +b101001z1 ?0 +b101001z1 L0 +0A2 +b100100z0 :2 +b100100z0 G2 +0]3 +b100100z0 V3 +b100100z0 c3 +0y4 +b100100z0 r4 +b100100z0 !5 +0B +b11010z0 ; +b11010z0 H +0$" +b100100z0 { +b100100z0 *" +#28120000 +0Q +0j" +b11000z0 ; +b11000z0 H +00 +#28130000 +0W. +0s/ +011 +1<# +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1^" +b1111111101010100000000000000011 ) +#28140000 +0u" +0F# +0Y +0i" +b100100z0 b" +b100100z0 o" +#28150000 +1E# +#28160000 +0I# +0J +#28170000 +1y# +1=# +b1111111101010100000000000000111 ) +#28180000 +0T# +0%$ +0H# +b11000z0 A# +b11000z0 N# +0F +#28190000 +1$$ +#28200000 +0($ +07 +b0 # +b0 / +#28210000 +1X$ +b1 1 +1z# +b1111111101010100000000000001111 ) +#28220000 +03$ +0b$ +0'$ +b100100z0 ~# +b100100z0 -$ +#28230000 +b11 1 +1a$ +#28240000 +0e$ +#28250000 +17% +b111 1 +1Y$ +b1111111101010100000000000011111 ) +#28260000 +0p$ +0A% +0d$ +b11000z0 ]$ +b11000z0 j$ +#28270000 +b1111 1 +1@% +#28280000 +0D% +#28290000 +1t% +b11111 1 +18% +b1111111101010100000000000111111 ) +#28300000 +0O% +0~% +0C% +b100100z0 <% +b100100z0 I% +#28310000 +b111111 1 +1}% +#28320000 +0#& +#28330000 +1S& +b1111111 1 +1u% +b1111111101010100000000001111111 ) +#28340000 +0.& +0]& +0"& +b11000z0 y% +b11000z0 (& +#28350000 +b11111111 1 +1\& +#28360000 +0`& +#28370000 +12' +b111111111 1 +1T& +b1111111101010100000000011111111 ) +#28380000 +0k& +0<' +0_& +b100100z0 X& +b100100z0 e& +#28390000 +b1111111111 1 +1;' +#28400000 +0?' +#28410000 +1o' +b11111111111 1 +13' +b1111111101010100000000111111111 ) +#28420000 +0J' +0y' +0>' +b100100z0 7' +b100100z0 D' +#28430000 +b111111111111 1 +1x' +#28440000 +0|' +#28450000 +1N( +b1111111111111 1 +1p' +b1111111101010100000001111111111 ) +#28460000 +0)( +0X( +0{' +b11000z0 t' +b11000z0 #( +#28470000 +b11111111111111 1 +1W( +#28480000 +0[( +#28490000 +1-) +b111111111111111 1 +1O( +b1111111101010100000011111111111 ) +#28500000 +0f( +07) +0Z( +b100100z0 S( +b100100z0 `( +#28510000 +b1111111111111111 1 +16) +#28520000 +0:) +#28530000 +1j) +b11111111111111111 1 +1.) +b1111111101010100000111111111111 ) +#28540000 +0E) +0t) +09) +b11000z0 2) +b11000z0 ?) +#28550000 +b111111111111111111 1 +1s) +#28560000 +0w) +#28570000 +1I* +b1111111111111111111 1 +1k) +b1111111101010100001111111111111 ) +#28580000 +0$* +0S* +0v) +b100100z0 o) +b100100z0 |) +#28590000 +b11111111111111111111 1 +1R* +#28600000 +0V* +#28610000 +1(+ +b111111111111111111111 1 +1J* +b1111111101010100011111111111111 ) +#28620000 +0a* +02+ +0U* +b11000z0 N* +b11000z0 [* +#28630000 +b1111111111111111111111 1 +11+ +#28640000 +05+ +#28650000 +1e+ +b11111111111111111111111 1 +1)+ +b1111111101010100111111111111111 ) +#28660000 +0@+ +0o+ +04+ +b100100z0 -+ +b100100z0 :+ +#28670000 +b111111111111111111111111 1 +1n+ +#28680000 +0r+ +#28690000 +1D, +b1111111111111111111111111 1 +1f+ +b1111111101010101111111111111111 ) +#28700000 +0}+ +0N, +0q+ +b11000z0 j+ +b11000z0 w+ +#28710000 +b11111111111111111111111111 1 +1R, +#28730000 +1\, +b111111111111111111111111111 1 +1P, +b101001z1 I, +b101001z1 V, +#28750000 +b1111111111111111111111111111 1 +#28770000 +b11111111111111111111111111111 1 +#28790000 +b111111111111111111111111111111 1 +#28810000 +1$ +b1111111111111111111111111111111 1 +#30000000 +0[" +0w# +05% +0Q& +1!- +1=. +1Y/ +1u0 +1T1 +1p2 +1.4 +1J5 +0Z" +0v# +04% +0P& +1l' +1*) +1F* +1b+ +1A, +0~, +1]- +0<. +1y. +0X/ +170 +0t0 +1S1 +1o2 +1-4 +1I5 +b11111111111111110101010100000000 & +b11111111111111110101010100000000 . +b11111111010101011111111100000000 % +b11111111010101011111111100000000 - +#30010000 +1*# +1+# +1F$ +1G$ +1b% +1c% +1~& +1!' +0=( +0Y) +0u* +03, +0o, +0-. +0I/ +0e0 +0#2 +0$2 +0?3 +0@3 +0[4 +0\4 +0w5 +0x5 +b111100z0 b" +b111100z0 o" +b111100z0 ~# +b111100z0 -$ +b111100z0 <% +b111100z0 I% +b111100z0 X& +b111100z0 e& +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b100001z1 I, +b100001z1 V, +b100001z1 e- +b100001z1 r- +b100001z1 #/ +b100001z1 0/ +b100001z1 ?0 +b100001z1 L0 +b0z0 [1 +b0z0 h1 +b0z0 w2 +b0z0 &3 +b0z0 54 +b0z0 B4 +b0z0 Q5 +b0z0 ^5 +#30020000 +0)# +0,# +0E$ +0H$ +0a% +0d% +0}& +0"' +1>( +1Z) +1v* +14, +1n, +1,. +1H/ +1d0 +1"2 +1%2 +1>3 +1A3 +1Z4 +1]4 +1v5 +1y5 +b11000z0 b" +b11000z0 o" +b11000z0 ~# +b11000z0 -$ +b11000z0 <% +b11000z0 I% +b11000z0 X& +b11000z0 e& +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b100101z1 I, +b100101z1 V, +b100101z1 e- +b100101z1 r- +b100101z1 #/ +b100101z1 0/ +b100101z1 ?0 +b100101z1 L0 +b100100z0 [1 +b100100z0 h1 +b100100z0 w2 +b100100z0 &3 +b100100z0 54 +b100100z0 B4 +b100100z0 Q5 +b100100z0 ^5 +0d" +0"$ +0>% +0Z& +1u' +13) +1O* +1k+ +1K, +0)- +1g- +0E. +1%/ +0a/ +1A0 +0}0 +1\1 +1x2 +164 +1R5 +#30030000 +1+( +1G) +1c* +1!, +0^, +0z- +08/ +0T0 +1_" +1{# +19% +1U& +0%- +0A. +0]/ +0y0 +0X1 +0t2 +024 +0N5 +0e" +0#$ +0?% +0[& +b101001z0 t' +b101001z0 #( +0w' +b101001z0 2) +b101001z0 ?) +05) +b101001z0 N* +b101001z0 [* +0Q* +b101001z0 j+ +b101001z0 w+ +0m+ +b100100z1 I, +b100100z1 V, +1L, +1+- +b100100z1 e- +b100100z1 r- +1h- +1G. +b100100z1 #/ +b100100z1 0/ +1&/ +1c/ +b100100z1 ?0 +b100100z1 L0 +1B0 +1!1 +0^1 +0z2 +084 +0T5 +#30040000 +0`- +0|. +0:0 +0V1 +1h" +1&$ +1B% +1^& +1z' +18) +1T* +1p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1a1 +1}2 +1;4 +1W5 +04# +0P$ +0l% +0*' +1F( +1b) +1~* +1<, +1-2 +1I3 +1e4 +1#6 +0f" +0$$ +0@% +0\& +1M, +0$- +1i- +0@. +1'/ +0\/ +1C0 +0x0 +b1111111000000001111111111111111 ) +#30050000 +1j- +1(/ +1D0 +1`1 +1d" +1"$ +1>% +1Z& +0*- +0F. +0b/ +0~0 +0\1 +0x2 +064 +0R5 +10- +1L. +1h/ +1&1 +#30060000 +0<# +0X$ +0t% +02' +1#- +1?. +1[/ +1w0 +0i- +0'/ +0C0 +0_1 +1k" +1)$ +1E% +1a& +1}' +1;) +1W* +1s+ +0R, +0n- +0,/ +0H0 +1"3 +1>4 +1Z5 +0r" +00$ +0L% +0h& +1&( +1B) +1^* +1z+ +1k1 +1)3 +1E4 +1a5 +0^" +0z# +08% +0T& +1E, +1a- +1}. +1;0 +b1111111010101011111111101010101 ) +1e" +1#$ +1?% +1[& +0+- +0G. +0c/ +0!1 +1^1 +1z2 +184 +1T5 +#30070000 +1;- +1W. +1s/ +111 +1F# +1b$ +1~% +1<' +0-- +0I. +0e/ +0#1 +0h" +0&$ +0B% +0^& +1.- +1J. +1f/ +1$1 +0a1 +0}2 +0;4 +0W5 +1m- +1+/ +1G0 +1f" +1$$ +1@% +1\& +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +#30080000 +0?. +0[/ +0w0 +052 +1u" +13$ +1O% +1k& +1)( +1E) +1a* +1}+ +0\, +1,3 +1H4 +1d5 +0E# +0a$ +0}% +0;' +0a- +0}. +0;0 +0W1 +b1111110000000011111111101010101 ) +1i" +b11000z1 b" +b11000z1 o" +1'$ +b11000z1 ~# +b11000z1 -$ +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +0P, +b100100z0 I, +b100100z0 V, +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +00- +0L. +0h/ +0&1 +1c1 +#30090000 +1<# +1X$ +1t% +12' +1I. +1e/ +1#1 +1?2 +1I# +1e$ +1#& +1?' +0k" +0)$ +0E% +0a& +11- +0"3 +0>4 +0Z5 +1^" +1z# +18% +1T& +b1111110000000011111111111111111 ) +#30100000 +0y# +07% +0S& +0o' +0W. +0s/ +011 +1n1 +0F# +0b$ +0~% +0<' +0>2 +0=# +0Y$ +0u% +03' +b1111110000000011111111010101011 ) +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +#30110000 +1T# +1p$ +1.& +1J' +0u" +03$ +0O% +0k& +0,3 +0H4 +0d5 +1%$ +1A% +1]& +1y' +1E# +1a$ +1}% +1;' +1B2 +1H# +b11000z1 A# +b11000z1 N# +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +0i" +b11000z0 b" +b11000z0 o" +0'$ +b11000z0 ~# +b11000z0 -$ +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#30120000 +0r2 +0$$ +0@% +0\& +0}' +0x' +0I# +0e$ +0#& +0?' +062 +b1111100000000011111111010101011 ) +#30130000 +1y# +17% +1S& +1o' +1M2 +1|2 +1($ +1D% +1`& +1=# +1Y$ +1u% +13' +b1111100000000011111111111111111 ) +1A2 +b100100z1 :2 +b100100z1 G2 +#30140000 +0X$ +0t% +02' +0)( +0T# +0p$ +0.& +0J' +0%$ +0A% +0]& +0y' +0{2 +0z# +08% +0T& +b1111100000000011111111101010111 ) +0{' +b101001z0 t' +b101001z0 #( +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30150000 +13$ +1O% +1k& +1b$ +1~% +1<' +1$$ +1@% +1\& +1}' +1x' +1!3 +1'$ +b11000z1 ~# +b11000z1 -$ +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +#30160000 +0Q3 +0a$ +0}% +0;' +0($ +0D% +0`& +0s2 +b1111000000000011111111101010111 ) +#30170000 +1X$ +1t% +12' +1)( +1,3 +1[3 +1e$ +1#& +1?' +1z# +18% +1T& +b1111000000000011111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1~2 +b100100z1 w2 +b100100z1 &3 +#30180000 +07% +0S& +0o' +03$ +0O% +0k& +0b$ +0~% +0<' +0Z3 +0Y$ +0u% +03' +b1111000000000011111111010101111 ) +0'$ +b11000z0 ~# +b11000z0 -$ +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +#30190000 +1p$ +1.& +1J' +1A% +1]& +1y' +1a$ +1}% +1;' +1^3 +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#30200000 +004 +0@% +0\& +0}' +0x' +0e$ +0#& +0?' +0R3 +b1110000000000011111111010101111 ) +#30210000 +17% +1S& +1o' +1i3 +1:4 +1D% +1`& +1Y$ +1u% +13' +b1110000000000011111111111111111 ) +1]3 +b100100z1 V3 +b100100z1 c3 +#30220000 +0t% +02' +0)( +0p$ +0.& +0J' +0A% +0]& +0y' +094 +08% +0T& +b1110000000000011111111101011111 ) +0{' +b101001z0 t' +b101001z0 #( +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30230000 +1O% +1k& +1~% +1<' +1@% +1\& +1}' +1x' +1=4 +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +#30240000 +0m4 +0}% +0;' +0D% +0`& +014 +b1100000000000011111111101011111 ) +#30250000 +1t% +12' +1)( +1H4 +1w4 +1#& +1?' +18% +1T& +b1100000000000011111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1<4 +b100100z1 54 +b100100z1 B4 +#30260000 +0S& +0o' +0O% +0k& +0~% +0<' +0v4 +0u% +03' +b1100000000000011111111010111111 ) +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +#30270000 +1.& +1J' +1]& +1y' +1}% +1;' +1z4 +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#30280000 +0L5 +0\& +0}' +0x' +0#& +0?' +0n4 +b1000000000000011111111010111111 ) +#30290000 +1S& +1o' +1'5 +1V5 +1`& +1u% +13' +b1000000000000011111111111111111 ) +1y4 +b100100z1 r4 +b100100z1 !5 +#30300000 +02' +0)( +0.& +0J' +0]& +0y' +0U5 +0T& +b1000000000000011111111101111111 ) +0{' +b101001z0 t' +b101001z0 #( +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30310000 +1k& +1<' +1\& +1}' +1x' +1Y5 +1_& +b11000z1 X& +b11000z1 e& +#30320000 +0t +0;' +0`& +0M5 +b11111111101111111 ) +#30330000 +12' +1)( +1d5 +1"" +1V" +1?' +1T& +b11111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1X5 +b100100z1 Q5 +b100100z1 ^5 +#30340000 +0o' +0k& +0<' +0!" +0U" +03' +b11111111011111111 ) +0_& +b11000z0 X& +b11000z0 e& +#30350000 +1J' +1y' +1;' +1%" +1X" +1>' +b100100z1 7' +b100100z1 D' +1" +#30360000 +0}' +0x' +0?' +0! +0p +#30370000 +1o' +10" +13' +b11111111111111111 ) +1$" +b100100z1 { +b100100z1 *" +1x +#30380000 +0)( +0J' +0y' +0{' +b101001z0 t' +b101001z0 #( +0>' +b100100z0 7' +b100100z0 D' +#30390000 +1}' +1x' +0" +#30410000 +1)( +1{' +b101001z1 t' +b101001z1 #( +#30420000 +1Q +b11010z0 ; +b11010z0 H +10 +#30440000 +1Y +#30460000 +1J +#30480000 +1F +#30500000 +17 +b1 # +b1 / +#30510000 +b1111111111111111111111111111110 1 +#30530000 +b1111111111111111111111111111100 1 +#30550000 +b1111111111111111111111111111000 1 +#30570000 +b1111111111111111111111111110000 1 +#30590000 +b1111111111111111111111111100000 1 +#30610000 +b1111111111111111111111111000000 1 +#30630000 +b1111111111111111111111110000000 1 +#30650000 +b1111111111111111111111100000000 1 +#30670000 +b1111111111111111111111000000000 1 +#30690000 +b1111111111111111111110000000000 1 +#30710000 +b1111111111111111111100000000000 1 +#30730000 +b1111111111111111111000000000000 1 +#30750000 +b1111111111111111110000000000000 1 +#30770000 +b1111111111111111100000000000000 1 +#30790000 +b1111111111111111000000000000000 1 +#30810000 +b1111111111111110000000000000000 1 +#30830000 +b1111111111111100000000000000000 1 +#30850000 +b1111111111111000000000000000000 1 +#30870000 +b1111111111110000000000000000000 1 +#30890000 +b1111111111100000000000000000000 1 +#30910000 +b1111111111000000000000000000000 1 +#30930000 +b1111111110000000000000000000000 1 +#30950000 +b1111111100000000000000000000000 1 +#30970000 +b1111111000000000000000000000000 1 +#30990000 +b1111110000000000000000000000000 1 +#31010000 +b1111100000000000000000000000000 1 +#31030000 +b1111000000000000000000000000000 1 +#31050000 +b1110000000000000000000000000000 1 +#31070000 +b1100000000000000000000000000000 1 +#31090000 +b1000000000000000000000000000000 1 +#31110000 +0$ +b0 1 +#32000000 +0L +0M +0^ +0_ +0." +0/" +0@" +0A" +0s" +0t" +0'# +0(# +0R# +0S# +0d# +0e# +01$ +02$ +0C$ +0D$ +0n$ +0o$ +0"% +0#% +0M% +0N% +0_% +0`% +0,& +0-& +0>& +0?& +0i& +0j& +0{& +0|& +0H' +0I' +0Z' +0[' +0'( +0(( +09( +0:( +0d( +0e( +0v( +0w( +0C) +0D) +0U) +0V) +0"* +0#* +04* +05* +0_* +0`* +0q* +0r* +0>+ +0?+ +0P+ +0Q+ +0{+ +0|+ +0/, +00, +0Z, +0[, +0l, +0m, +09- +0:- +0K- +0L- +0v- +0w- +0*. +0+. +0U. +0V. +0g. +0h. +04/ +05/ +0F/ +0G/ +0q/ +0r/ +0%0 +0&0 +0P0 +0Q0 +0b0 +0c0 +0/1 +001 +0A1 +0B1 +0l1 +0m1 +0~1 +0!2 +0K2 +0L2 +0]2 +0^2 +0*3 +0+3 +0<3 +0=3 +0g3 +0h3 +0y3 +0z3 +0F4 +0G4 +0X4 +0Y4 +0%5 +0&5 +075 +085 +0b5 +0c5 +0t5 +0u5 +03 +0* +b100 4 +b100 , +b100 : +b100 E +b100 v +b100 z +b100 '" +b100 a" +b100 l" +b100 @# +b100 K# +b100 }# +b100 *$ +b100 \$ +b100 g$ +b100 ;% +b100 F% +b100 x% +b100 %& +b100 W& +b100 b& +b100 6' +b100 A' +b100 s' +b100 ~' +b100 R( +b100 ]( +b100 1) +b100 <) +b100 n) +b100 y) +b100 M* +b100 X* +b100 ,+ +b100 7+ +b100 i+ +b100 t+ +b100 H, +b100 S, +b100 '- +b100 2- +b100 d- +b100 o- +b100 C. +b100 N. +b100 "/ +b100 -/ +b100 _/ +b100 j/ +b100 >0 +b100 I0 +b100 {0 +b100 (1 +b100 Z1 +b100 e1 +b100 92 +b100 D2 +b100 v2 +b100 #3 +b100 U3 +b100 `3 +b100 44 +b100 ?4 +b100 q4 +b100 |4 +b100 P5 +b100 [5 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +00' +0L( +0h) +0&+ +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +16 +0r +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +1~, +1<. +1X/ +1t0 +b100 ' +b100 ( +b100 2 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#32010000 +0+# +0h# +0G$ +0&% +0c% +0B& +0!' +1#2 +1`2 +1?3 +1|3 +1[4 +1:5 +1w5 +0b +1C" +1]' +1^' +1=( +1y( +1z( +1Y) +17* +18* +1u* +1S+ +1T+ +13, +0N- +0j. +0(0 +0D1 +1Z +1[ +1l +1m +0I +1<" +1=" +1N" +1O" +0+" +1## +1$# +15# +16# +0p" +1`# +1a# +1r# +1s# +0O# +1?$ +1@$ +1Q$ +1R$ +0.$ +1|$ +1}$ +10% +11% +0k$ +1[% +1\% +1m% +1n% +0J% +1:& +1;& +1L& +1M& +0)& +1w& +1x& +1+' +1,' +0f& +1V' +1W' +1h' +1i' +0E' +15( +16( +1G( +1H( +0$( +1r( +1s( +1&) +1') +0a( +1Q) +1R) +1c) +1d) +0@) +10* +11* +1B* +1C* +0}) +1m* +1n* +1!+ +1"+ +0\* +1L+ +1M+ +1^+ +1_+ +0;+ +1+, +1,, +1=, +1>, +0x+ +1h, +1i, +1z, +1{, +0W, +1G- +1H- +1Y- +1Z- +06- +1&. +1'. +18. +19. +0s- +1c. +1d. +1u. +1v. +0R. +1B/ +1C/ +1T/ +1U/ +01/ +1!0 +1"0 +130 +140 +0n/ +1^0 +1_0 +1p0 +1q0 +0M0 +1=1 +1>1 +1O1 +1P1 +0,1 +1z1 +1{1 +1.2 +1/2 +0i1 +1Y2 +1Z2 +1k2 +1l2 +0H2 +183 +193 +1J3 +1K3 +0'3 +1u3 +1v3 +1)4 +1*4 +0d3 +1T4 +1U4 +1f4 +1g4 +0C4 +135 +145 +1E5 +1F5 +0"5 +1p5 +1q5 +1$6 +1%6 +0_5 +1@ +b1000z0 b" +b1000z0 o" +b1000z0 A# +b1000z0 N# +b1000z0 ~# +b1000z0 -$ +b1000z0 ]$ +b1000z0 j$ +b1000z0 <% +b1000z0 I% +b1000z0 y% +b1000z0 (& +b1000z0 X& +b1000z0 e& +b101100z1 [1 +b101100z1 h1 +b101100z1 :2 +b101100z1 G2 +b101100z1 w2 +b101100z1 &3 +b101100z1 V3 +b101100z1 c3 +b101100z1 54 +b101100z1 B4 +b101100z1 r4 +b101100z1 !5 +b101100z1 Q5 +b101100z1 ^5 +b1010z0 ; +b1010z0 H +1w +b101100z1 { +b101100z1 *" +b111100z0 7' +b111100z0 D' +b111001z1 t' +b111001z1 #( +b111100z0 S( +b111100z0 `( +b111001z1 2) +b111001z1 ?) +b111100z0 o) +b111100z0 |) +b111001z1 N* +b111001z1 [* +b111100z0 -+ +b111100z0 :+ +b111001z1 j+ +b111001z1 w+ +b100001z1 (- +b100001z1 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +#32020000 +1,# +1i# +1H$ +1'% +1d% +1C& +1"' +0"2 +0_2 +0>3 +0{3 +0Z4 +095 +0v5 +1c +0B" +0\' +0_' +0>( +0x( +0{( +0Z) +06* +09* +0v* +0R+ +0U+ +04, +1M- +1i. +1'0 +1C1 +b101000z0 b" +b101000z0 o" +b101000z0 A# +b101000z0 N# +b101000z0 ~# +b101000z0 -$ +b101000z0 ]$ +b101000z0 j$ +b101000z0 <% +b101000z0 I% +b101000z0 y% +b101000z0 (& +b101000z0 X& +b101000z0 e& +b101000z1 [1 +b101000z1 h1 +b101000z1 :2 +b101000z1 G2 +b101000z1 w2 +b101000z1 &3 +b101000z1 V3 +b101000z1 c3 +b101000z1 54 +b101000z1 B4 +b101000z1 r4 +b101000z1 !5 +b101000z1 Q5 +b101000z1 ^5 +b101010z0 ; +b101010z0 H +b101000z1 { +b101000z1 *" +b11000z0 7' +b11000z0 D' +b11001z1 t' +b11001z1 #( +b11000z0 S( +b11000z0 `( +b11001z1 2) +b11001z1 ?) +b11000z0 o) +b11000z0 |) +b11001z1 N* +b11001z1 [* +b11000z0 -+ +b11000z0 :+ +b11001z1 j+ +b11001z1 w+ +b100101z1 (- +b100101z1 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +0X +0j +0:" +0L" +1)" +0!# +03# +0^# +0p# +0=$ +0O$ +0z$ +0.% +0Y% +0k% +08& +0J& +0u& +0)' +0T' +0f' +1C' +03( +0E( +1"( +0p( +0$) +1_( +0O) +0a) +1>) +0.* +0@* +1{) +0k* +0}* +1Z* +0J+ +0\+ +19+ +0), +0;, +1v+ +0f, +0x, +1U, +0E- +0W- +14- +0$. +06. +1q- +0a. +0s. +1P. +0@/ +0R/ +1// +0}/ +010 +1l/ +0\0 +0n0 +1K0 +0;1 +0M1 +1*1 +0x1 +0,2 +1g1 +0W2 +0i2 +1F2 +063 +0H3 +1%3 +0s3 +0'4 +1b3 +0R4 +0d4 +1A4 +015 +0C5 +1~4 +0n5 +0"6 +1]5 +0? +09' +0u' +0U( +03) +0q) +0O* +0/+ +0k+ +1*- +1F. +1b/ +1~0 +#32030000 +1w" +1V# +15$ +1r$ +1Q% +10& +1m& +1p1 +1O2 +1.3 +1k3 +1J4 +1)5 +1f5 +1P +12" +0+( +0G) +0c* +0!, +0=- +0Y. +0u/ +031 +1R +1d +0F +14" +1F" +1y" +1-# +1X# +1j# +17$ +1I$ +1t$ +1(% +1S% +1e% +12& +1D& +1o& +1#' +1N' +1`' +1-( +1?( +1j( +1|( +1I) +1[) +1(* +1:* +1e* +1w* +1D+ +1V+ +1#, +15, +1`, +1r, +1?- +1Q- +1|- +10. +1[. +1m. +1:/ +1L/ +1w/ +1+0 +1V0 +1h0 +151 +1G1 +1r1 +1&2 +1Q2 +1c2 +103 +1B3 +1m3 +1!4 +1L4 +1^4 +1+5 +1=5 +1h5 +1z5 +1C +1R" +0q' +0/) +0K* +0g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +b101001z0 b" +b101001z0 o" +b101001z0 A# +b101001z0 N# +b101001z0 ~# +b101001z0 -$ +b101001z0 ]$ +b101001z0 j$ +b101001z0 <% +b101001z0 I% +b101001z0 y% +b101001z0 (& +b101001z0 X& +b101001z0 e& +b101001z1 [1 +b101001z1 h1 +b101001z1 :2 +b101001z1 G2 +b101001z1 w2 +b101001z1 &3 +b101001z1 V3 +b101001z1 c3 +b101001z1 54 +b101001z1 B4 +b101001z1 r4 +b101001z1 !5 +b101001z1 Q5 +b101001z1 ^5 +b101011z0 ; +b101011z0 H +b101001z1 { +b101001z1 *" +0:' +b11000z1 t' +b11000z1 #( +1w' +0V( +b11000z1 2) +b11000z1 ?) +15) +0r) +b11000z1 N* +b11000z1 [* +1Q* +00+ +b11000z1 j+ +b11000z1 w+ +1m+ +b100100z1 (- +b100100z1 5- +1+- +b100100z0 D. +b100100z0 Q. +1G. +b100100z0 `/ +b100100z0 m/ +1c/ +b100100z0 |0 +b100100z0 +1 +1!1 +#32040000 +0]" +1=' +0z' +1Y( +08) +1u) +0T* +13+ +0p+ +0.- +0J. +0f/ +0$1 +0Y +0M" +1s +0g' +11' +0F( +1n' +0%) +1M( +0b) +1,) +0A* +1i) +0~* +1H* +0]+ +1'+ +0<, +1d+ +0y, +1C, +0X- +1"- +07. +1_- +0t. +1>. +0S/ +1{. +020 +1Z/ +0o0 +190 +0N1 +1v0 +0-2 +1U1 +0j2 +142 +0I3 +1q2 +0(4 +1P3 +0e4 +1/4 +0D5 +1l4 +0#6 +1K5 +b11111111111111111111111100000001 # +b11111111111111111111111100000001 / +08 +b11111111111111110 ) +0;' +0W( +0s) +01+ +1,- +0T" +#32050000 +1N +b111111zz + +1g" +1W" +07 +b11111111111111111111111100000000 # +b11111111111111111111111100000000 / +15" +1.( +1J) +1f* +1$, +1s, +1@- +1R- +1}- +11. +1n. +1;/ +1M/ +1,0 +1W0 +1i0 +1H1 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1B +b101011z1 ; +b101011z1 H +1p +0v' +04) +0P* +0l+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1L. +1h/ +1&1 +#32060000 +0o' +0-) +0I* +0e+ +1`- +b1 1 +0f" +1@' +0}' +1\( +0;) +1x) +0W* +16+ +0s+ +01- +0J +0-" +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +03' +0O( +0k) +0)+ +1$- +b111010101011111110 ) +0X" +0w' +05) +0Q* +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +#32070000 +1?. +1|. +1[/ +1:0 +1w0 +1V1 +1W. +1s/ +111 +1y' +17) +1S* +1o+ +0j- +1z' +18) +1T* +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1S +1j" +1>" +17( +1S) +1o* +1-, +1|, +1I- +1[- +1(. +1:. +1w. +1D/ +1V/ +150 +1`0 +1r0 +1Q1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +0x' +06) +0R* +0n+ +1a- +1@. +1}. +1\/ +1;0 +1x0 +b111111111010101011111110 ) +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +#32080000 +0<# +1J' +0)( +1f( +0E) +1$* +0a* +1@+ +0}+ +0;- +0I. +0(/ +0e/ +0D0 +0#1 +0`1 +b11 1 +1i- +0^" +b111111111010101011111100 ) +1>' +b11000z1 7' +b11000z1 D' +0{' +b11000z0 t' +b11000z0 #( +1Z( +b11000z1 S( +b11000z1 `( +09) +b11000z0 2) +b11000z0 ?) +1v) +b11000z1 o) +b11000z1 |) +0U* +b11000z0 N* +b11000z0 [* +14+ +b11000z1 -+ +b11000z1 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0/- +b100100z0 (- +b100100z0 5- +0)" +0C' +0"( +0_( +0>) +0{) +0Z* +09+ +0v+ +0U, +04- +0q- +0P. +0// +0l/ +0K0 +0*1 +0g1 +0F2 +0%3 +0b3 +0A4 +0~4 +0]5 +0x +0m- +0L. +0+/ +0h/ +0G0 +0&1 +#32090000 +1u" +0N( +0j) +0(+ +0D, +1F# +1H. +1'/ +1d/ +1C0 +1"1 +1_1 +1\. +1x/ +161 +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1\ +1i" +b101001z1 b" +b101001z1 o" +1?" +18( +1T) +1p* +1., +1}, +1J- +1\- +1). +1;. +1x. +1E/ +1W/ +160 +1a0 +1s0 +1R1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +0p' +0.) +0J* +0f+ +b111111110000000011111100 ) +#32100000 +1X( +1t) +12+ +1N, +b111 1 +0E# +1O' +0.( +1k( +0J) +1)* +0f* +1E+ +0$, +0@- +0c1 +0s +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +b0 # +b0 / +#32110000 +152 +1\, +1;- +0Q +b111111111111111111111111111111zz + +1z" +0\( +0x) +06+ +0R, +0M, +1I# +1W1 +b1111111110000000011111100 ) +1e. +1#0 +1?1 +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1] +1," +1%( +1A) +1]* +1y+ +1Y, +17- +18- +1t- +1u- +1T. +12/ +13/ +1p/ +1N0 +1O0 +1.1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +b101001z1 ; +b101001z1 H +00 +#32120000 +0y# +0n1 +0?2 +b1111 1 +0=# +b1111111110000000011111000 ) +1X' +07( +1t( +0S) +12* +0o* +1N+ +0-, +0I- +0b1 +b101001z0 [1 +b101001z0 h1 +#32130000 +0f( +0$* +0@+ +0\, +1T# +1%$ +1>2 +1a, +1@- +1%# +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1H# +b101001z1 A# +b101001z1 N# +1f. +1$0 +1@1 +1J +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +#32140000 +b11111 1 +0$$ +0s1 +0B2 +1Y' +08( +1u( +0T) +13* +0p* +1O+ +0., +0J- +#32150000 +1r2 +0k( +0)* +0E+ +0a, +1Y# +1($ +162 +b11111111110000000011111000 ) +1j, +1I- +1&# +1S. +1o/ +1-1 +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +b111111110000000000000000 # +b111111110000000000000000 / +#32160000 +0X$ +0M2 +0|2 +b111111110000000011111111111111zz + +b111111 1 +0z# +b11111111110000000011110000 ) +0|1 +0A2 +b101001z0 :2 +b101001z0 G2 +1F' +0%( +1b( +0A) +1~) +0]* +1<+ +0y+ +07- +#32170000 +13$ +1b$ +1{2 +0t( +02* +0N+ +0j, +1b# +1'$ +b101001z1 ~# +b101001z1 -$ +1k, +1J- +1q" +#32180000 +b1111111 1 +0a$ +0R2 +0!3 +0}1 +#32190000 +1Q3 +18$ +1e$ +1s2 +b111111111110000000011110000 ) +0u( +03* +0O+ +0k, +1c# +1X, +17- +#32200000 +07% +0,3 +0[3 +b11111111 1 +0Y$ +b111111111110000000011100000 ) +0[2 +0~2 +b101001z0 w2 +b101001z0 &3 +0j1 +#32210000 +1p$ +1A% +1Z3 +1A$ +1d$ +b101001z1 ]$ +b101001z1 j$ +0b( +0~) +0<+ +0X, +1P# +#32220000 +b111111111 1 +0@% +013 +0^3 +0\2 +#32230000 +104 +1u$ +1D% +1R3 +b1111111111110000000011100000 ) +1B$ +#32240000 +0t% +0i3 +0:4 +b1111111111 1 +08% +b1111111111110000000011000000 ) +0:3 +0]3 +b101001z0 V3 +b101001z0 c3 +0I2 +#32250000 +1O% +1~% +194 +1~$ +1C% +b101001z1 <% +b101001z1 I% +1/$ +#32260000 +b11111111111 1 +0}% +0n3 +0=4 +0;3 +#32270000 +1m4 +1T% +1#& +114 +b11111111111110000000011000000 ) +1!% +#32280000 +0S& +0H4 +0w4 +b111111111111 1 +0u% +b11111111111110000000010000000 ) +0w3 +0<4 +b101001z0 54 +b101001z0 B4 +0(3 +#32290000 +1.& +1]& +1v4 +1]% +1"& +b101001z1 y% +b101001z1 (& +1l$ +#32300000 +b1111111111111 1 +0\& +0M4 +0z4 +0x3 +#32310000 +1L5 +13& +1`& +1n4 +b111111111111110000000010000000 ) +1^% +#32320000 +02' +0'5 +0V5 +b11111111111111 1 +0T& +b111111111111110000000000000000 ) +0V4 +0y4 +b101001z0 r4 +b101001z0 !5 +0e3 +#32330000 +1k& +1<' +1U5 +1<& +1_& +b101001z1 X& +b101001z1 e& +1K% +#32340000 +b111111111111111 1 +0@' +0,5 +0Y5 +0W4 +#32350000 +1t +1p& +1M5 +b1111111111111110000000000000000 ) +1=& +#32360000 +0J' +0d5 +0"" +0V" +0>' +b11000z0 7' +b11000z0 D' +055 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0D4 +#32370000 +1!" +1Y" +1U" +1y& +1*& +#32380000 +0O' +0i5 +0%" +065 +1" +#32390000 +1! +1x +1z& +#32400000 +00" +0X' +0r5 +0$" +b101001z0 { +b101001z0 *" +0#5 +#32410000 +1g& +#32420000 +05" +0Y' +0s5 +0" +#32440000 +0>" +0F' +0`5 +#32450000 +1Q +b101011z1 ; +b101011z1 H +10 +#32460000 +0?" +#32480000 +0," +#34000000 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +19# +1U$ +1q% +1l' +1*) +1F* +1b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +15 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b11111111000000001010101001010101 % +b11111111000000001010101001010101 - +#34010000 +0^' +0z( +08* +0T+ +0`2 +0|3 +0:5 +0C" +0=( +0Y) +0u* +03, +1o, +1p, +1N- +1-. +1.. +1j. +1I/ +1J/ +1(0 +1e0 +1f0 +1D1 +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b100001z0 :2 +b100001z0 G2 +b100001z0 V3 +b100001z0 c3 +b100001z0 r4 +b100001z0 !5 +0w +b100001z0 { +b100001z0 *" +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b111100z0 I, +b111100z0 V, +b101100z1 (- +b101100z1 5- +b111100z1 e- +b111100z1 r- +b101100z1 D. +b101100z1 Q. +b111100z1 #/ +b111100z1 0/ +b101100z1 `/ +b101100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b101100z1 |0 +b101100z1 +1 +#34020000 +1_' +1{( +19* +1U+ +1_2 +1{3 +195 +1B" +1>( +1Z) +1v* +14, +0n, +0q, +0M- +0,. +0/. +0i. +0H/ +0K/ +0'0 +0d0 +0g0 +0C1 +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b100101z0 :2 +b100101z0 G2 +b100101z0 V3 +b100101z0 c3 +b100101z0 r4 +b100101z0 !5 +b100101z0 { +b100101z0 *" +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b11000z0 I, +b11000z0 V, +b101000z1 (- +b101000z1 5- +b11000z1 e- +b11000z1 r- +b101000z1 D. +b101000z1 Q. +b11000z1 #/ +b11000z1 0/ +b101000z1 `/ +b101000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b101000z1 |0 +b101000z1 +1 +1B# +1^$ +1z% +1v' +14) +1P* +1l+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +1< +#34030000 +1L' +1h( +1&* +1B+ +0O2 +0k3 +0)5 +02" +1+( +1G) +1c* +1!, +1=- +1Y. +1u/ +131 +0R" +0># +0Z$ +0v% +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +0b- +0~. +0<0 +172 +b100100z0 :2 +b100100z0 G2 +1S3 +b100100z0 V3 +b100100z0 c3 +1o4 +b100100z0 r4 +b100100z0 !5 +09 +1y +b100100z0 { +b100100z0 *" +0D# +0`$ +0|% +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +1L, +b101001z1 (- +b101001z1 5- +1+- +1h- +b101001z1 D. +b101001z1 Q. +1G. +1&/ +b101001z1 `/ +b101001z1 m/ +1c/ +1B0 +b101001z1 |0 +b101001z1 +1 +1!1 +0> +#34040000 +1y# +17% +1S& +0#- +1]" +1G# +1c$ +1!& +0z' +08) +0T* +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1A +1d2 +1"4 +1>5 +1G" +0s, +0R- +01. +0n. +0M/ +0,0 +0i0 +0H1 +1=# +1Y$ +1u% +0E, +18 +b1111111111111100000000001010101 ) +1T" +#34050000 +0%$ +0A% +0]& +1-- +0g" +0W" +0B# +0^$ +0z% +19' +1U( +1q) +1/+ +0K, +0g- +0%/ +0A0 +1;2 +1W3 +1s4 +0< +1| +0I# +0e$ +0#& +1|' +1:) +1V* +1r+ +1Q, +0C +#34060000 +1$$ +1@% +1\& +0,- +1f" +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +1m2 +1+4 +1G5 +1P" +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +1D# +1`$ +1|% +1:' +1V( +1r) +10+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +1> +0~ +#34070000 +0y# +07% +0S& +0]" +0T# +0p$ +0.& +1)( +1E) +1a* +1}+ +1\, +0N +0G# +0c$ +0!& +0=' +0Y( +0u) +03+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +0A +1#" +0($ +0D% +0`& +10- +0j" +0Y" +0=# +0Y$ +0u% +0i- +0'/ +0C0 +08 +b1111111111111100000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b11000z1 I, +b11000z1 V, +0B +b101011z0 ; +b101011z0 H +#34080000 +1X$ +1t% +12' +0`- +1<# +0x- +0W. +06/ +0s/ +0R0 +011 +1%$ +1A% +1]& +1g" +1z# +18% +1T& +0$- +1^" +b1111111111111000000000010101010 ) +0l- +b11000z0 e- +b11000z0 r- +0K. +b101001z0 D. +b101001z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b101001z0 `/ +b101001z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b101001z0 |0 +b101001z0 +1 +1n2 +1,4 +1H5 +1Q" +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +0Q, +1C +#34090000 +03$ +0O% +0k& +0u" +0?. +0[/ +0w0 +0b$ +0~% +0<' +1j- +0F# +0$$ +0@% +0\& +0f" +0Y# +0u$ +03& +1.( +1J) +1f* +1$, +1a, +0S +1,/ +1H0 +1C2 +1_3 +1{4 +1&" +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0i" +b101001z0 b" +b101001z0 o" +0x +0a- +0}. +0;0 +b1111111101010000000000010101010 ) +#34100000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +0\, +1N +1I. +1e/ +1#1 +1a$ +1}% +1;' +1E# +0}- +0\. +0;/ +0x/ +0W0 +061 +1($ +1D% +1`& +1j" +1J2 +1f3 +1$5 +1-" +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +0P, +b11000z0 I, +b11000z0 V, +1B +b101011z1 ; +b101011z1 H +#34110000 +0X$ +0t% +02' +0<# +16/ +1R0 +1M2 +1i3 +1'5 +10" +08$ +0T% +0p& +0z" +0H. +0d/ +0"1 +0e$ +0#& +0?' +0I# +0z# +08% +0T& +0^" +b1111111101010000000000000000000 ) +0b# +0~$ +0<& +17( +1S) +1o* +1-, +1j, +0\ +1*/ +b11000z1 #/ +b11000z1 0/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1A2 +b100100z1 :2 +b100100z1 G2 +1]3 +b100100z1 V3 +b100100z1 c3 +1y4 +b100100z1 r4 +b100100z1 !5 +1$" +b100100z1 { +b100100z1 *" +#34120000 +17% +1S& +1o' +1y# +13$ +1O% +1k& +1u" +0Q +1b$ +1~% +1<' +1F# +1Y# +1u$ +13& +1O' +1k( +1)* +1E+ +0a, +1S +1L. +1h/ +1&1 +1Y$ +1u% +13' +1=# +b1111111101010000000000101010100 ) +0(. +0e. +0D/ +0#0 +0`0 +0?1 +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1i" +b101001z1 b" +b101001z1 o" +1F2 +1b3 +1~4 +1)" +0U, +04- +0q- +0P. +0// +0l/ +0K0 +0*1 +b101001z1 ; +b101001z1 H +00 +#34130000 +0|. +0:0 +0V1 +0p$ +0.& +0J' +0T# +0A% +0]& +0y' +0%$ +0a$ +0}% +0;' +0E# +1;/ +1W0 +1R2 +1n3 +1,5 +15" +0A$ +0]% +0y& +0%# +0@. +0\/ +0x0 +b1111111000000000000000101010100 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0H# +b101001z0 A# +b101001z0 N# +0c# +0!% +0=& +18( +1T) +1p* +1., +1k, +0] +#34140000 +1W. +1s/ +111 +1(/ +1D0 +1`1 +1@% +1\& +1x' +1$$ +18$ +1T% +1p& +1z" +1e$ +1#& +1?' +1I# +1b# +1~$ +1<& +1X' +1t( +12* +1N+ +0j, +1\ +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +0). +0f. +0E/ +0$0 +0a0 +0@1 +142 +1P3 +1l4 +1s +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +b10101010000000000000000000000000 # +b10101010000000000000000000000000 / +#34150000 +07% +0S& +0o' +0y# +b10101011111111111111111111111zz + +0,/ +0H0 +0_1 +0u$ +03& +0O' +0Y# +0D% +0`& +0|' +0($ +0Y$ +0u% +03' +0=# +b1111111000000000000000000000000 ) +1D/ +1`0 +1[2 +1w3 +155 +1>" +0B$ +0^% +0z& +0&# +0P# +0l$ +0*& +1%( +1A) +1]* +1y+ +1X, +0J +#34160000 +1t% +12' +1N( +1X$ +1p$ +1.& +1J' +1T# +1A% +1]& +1y' +1%$ +1\. +1x/ +161 +1c1 +18% +1T& +1p' +1z# +b1111111000000000000001010101000 ) +1A$ +1]% +1y& +1%# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1H# +b101001z1 A# +b101001z1 N# +1c# +1!% +1=& +1Y' +1u( +13* +1O+ +0k, +1] +0t- +0S. +02/ +0o/ +0N0 +0-1 +#34170000 +06/ +0R0 +052 +0O% +0k& +0)( +03$ +0~% +0<' +0X( +0b$ +b1111111111111111 1 +0@% +0\& +0x' +0$$ +0*/ +b11000z0 #/ +b11000z0 0/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0W1 +b1111110000000000000001010101000 ) +0~$ +0<& +0X' +0b# +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +0'$ +b101001z0 ~# +b101001z0 -$ +1E/ +1a0 +1\2 +1x3 +165 +1?" +0/$ +0K% +0g& +0q" +#34180000 +1n1 +1?2 +1}% +1;' +1W( +1a$ +1u$ +13& +1O' +1Y# +1D% +1`& +1|' +1($ +1e. +1#0 +1?1 +1b1 +b101001z1 [1 +b101001z1 h1 +1B$ +1^% +1z& +1&# +1P# +1l$ +1*& +1F' +1b( +1~) +1<+ +0X, +1J +#34190000 +0t% +02' +0N( +0X$ +b11111111111111111 1 +0;/ +0W0 +0C2 +0>2 +0T% +0p& +0.( +08$ +0#& +0?' +0[( +0e$ +08% +0T& +0p' +0z# +b1111110000000000000000000000000 ) +0!% +0=& +0Y' +0c# +12/ +1N0 +1I2 +1e3 +1#5 +1," +#34200000 +1S& +1o' +1-) +17% +1O% +1k& +1)( +13$ +1~% +1<' +1X( +1b$ +1s1 +1u% +13' +1O( +1Y$ +b1111110000000000000010101010000 ) +1~$ +1<& +1X' +1b# +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +1'$ +b101001z1 ~# +b101001z1 -$ +1f. +1$0 +1@1 +1/$ +1K% +1g& +1q" +#34210000 +0M2 +0.& +0J' +0f( +0p$ +0]& +0y' +07) +0A% +b111111111111111111 1 +0}% +0;' +0W( +0a$ +0D/ +0`0 +0A2 +b100100z0 :2 +b100100z0 G2 +0]% +0y& +07( +0A$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0d$ +b101001z0 ]$ +b101001z0 j$ +0l$ +0*& +0F' +0P# +#34220000 +1\& +1x' +16) +1@% +1T% +1p& +1.( +18$ +1#& +1?' +1[( +1e$ +1|1 +1!% +1=& +1Y' +1c# +1S. +1o/ +1-1 +#34230000 +0S& +0o' +0-) +07% +b1111111111111111111 1 +0R2 +03& +0O' +0k( +0u$ +0`& +0|' +0:) +0D% +0u% +03' +0O( +0Y$ +b1111110000000000000000000000000 ) +0E/ +0a0 +0^% +0z& +08( +0B$ +#34240000 +12' +1N( +1j) +1t% +1.& +1J' +1f( +1p$ +1]& +1y' +17) +1A% +1T& +1p' +1.) +18% +b1111110000000000000101010100000 ) +1]% +1y& +17( +1A$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1d$ +b101001z1 ]$ +b101001z1 j$ +1}1 +1l$ +1*& +1F' +1P# +#34250000 +0k& +0)( +0E) +0O% +0<' +0X( +0t) +0~% +b11111111111111111111 1 +0\& +0x' +06) +0@% +0[2 +0<& +0X' +0t( +0~$ +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0C% +b101001z0 <% +b101001z0 I% +02/ +0N0 +0K% +0g& +0%( +0/$ +#34260000 +1;' +1W( +1s) +1}% +13& +1O' +1k( +1u$ +1`& +1|' +1:) +1D% +1^% +1z& +18( +1B$ +1j1 +#34270000 +02' +0N( +0j) +0t% +b111111111111111111111 1 +0p& +0.( +0J) +0T% +0?' +0[( +0w) +0#& +0T& +0p' +0.) +08% +b1111110000000000000000000000000 ) +0\2 +0=& +0Y' +0u( +0!% +#34280000 +1o' +1-) +1I* +1S& +1k& +1)( +1E) +1O% +1<' +1X( +1t) +1~% +13' +1O( +1k) +1u% +b1111110000000000001010101000000 ) +1<& +1X' +1t( +1~$ +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1C% +b101001z1 <% +b101001z1 I% +1K% +1g& +1%( +1/$ +#34290000 +0J' +0f( +0$* +0.& +0y' +07) +0S* +0]& +b1111111111111111111111 1 +0;' +0W( +0s) +0}% +0y& +07( +0S) +0]% +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +0"& +b101001z0 y% +b101001z0 (& +0I2 +0*& +0F' +0b( +0l$ +#34300000 +1x' +16) +1R* +1\& +1p& +1.( +1J) +1T% +1?' +1[( +1w) +1#& +1=& +1Y' +1u( +1!% +#34310000 +0o' +0-) +0I* +0S& +b11111111111111111111111 1 +0O' +0k( +0)* +03& +0|' +0:) +0V* +0`& +03' +0O( +0k) +0u% +b1111110000000000000000000000000 ) +0z& +08( +0T) +0^% +#34320000 +1N( +1j) +1(+ +12' +1J' +1f( +1$* +1.& +1y' +17) +1S* +1]& +1p' +1.) +1J* +1T& +b1111110000000000010101010000000 ) +1y& +17( +1S) +1]% +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +1"& +b101001z1 y% +b101001z1 (& +1*& +1F' +1b( +1l$ +#34330000 +0)( +0E) +0a* +0k& +0X( +0t) +02+ +0<' +b111111111111111111111111 1 +0x' +06) +0R* +0\& +0X' +0t( +02* +0<& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0_& +b101001z0 X& +b101001z0 e& +0g& +0%( +0A) +0K% +#34340000 +1W( +1s) +11+ +1;' +1O' +1k( +1)* +13& +1|' +1:) +1V* +1`& +1z& +18( +1T) +1^% +#34350000 +0N( +0j) +0(+ +02' +0.( +0J) +0f* +0p& +0[( +0w) +05+ +0?' +0p' +0.) +0J* +0T& +b1111110000000000000000000000000 ) +0Y' +0u( +03* +0=& +#34360000 +1-) +1I* +1e+ +1o' +1)( +1E) +1a* +1k& +1X( +1t) +12+ +1<' +1O( +1k) +1)+ +13' +b1111110000000000101010100000000 ) +1X' +1t( +12* +1<& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1_& +b101001z1 X& +b101001z1 e& +1g& +1%( +1A) +1K% +#34370000 +0f( +0$* +0@+ +0J' +07) +0S* +0o+ +0y' +0W( +0s) +01+ +0;' +07( +0S) +0o* +0y& +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0>' +b101001z0 7' +b101001z0 D' +0F' +0b( +0~) +0*& +#34380000 +16) +1R* +1n+ +1x' +1.( +1J) +1f* +1p& +1[( +1w) +15+ +1?' +1Y' +1u( +13* +1=& +#34390000 +0-) +0I* +0e+ +0o' +0k( +0)* +0E+ +0O' +0:) +0V* +0r+ +0|' +0O( +0k) +0)+ +03' +b1111110000000000000000000000000 ) +08( +0T) +0p* +0z& +#34400000 +1j) +1(+ +1D, +1N( +1f( +1$* +1@+ +1J' +17) +1S* +1o+ +1y' +1.) +1J* +1f+ +1p' +b1111110000000001010101000000000 ) +17( +1S) +1o* +1y& +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1>' +b101001z1 7' +b101001z1 D' +1F' +1b( +1~) +1*& +#34410000 +0E) +0a* +0}+ +0)( +0t) +02+ +0N, +0X( +06) +0R* +0n+ +0x' +0t( +02* +0N+ +0X' +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +0{' +b101001z0 t' +b101001z0 #( +0%( +0A) +0]* +0g& +#34420000 +1s) +11+ +1R, +1W( +1k( +1)* +1E+ +1O' +1:) +1V* +1r+ +1|' +18( +1T) +1p* +1z& +#34430000 +0j) +0(+ +0D, +0N( +0J) +0f* +0$, +0.( +0w) +05+ +0[( +0.) +0J* +0f+ +0p' +b1111110000000000000000000000000 ) +0u( +03* +0O+ +0Y' +#34440000 +1I* +1e+ +1\, +1-) +1E) +1a* +1}+ +1)( +1t) +12+ +1N, +1X( +1k) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1O( +b1111110000000000101010000000000 ) +1t( +12* +1N+ +1X' +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1{' +b101001z1 t' +b101001z1 #( +1%( +1A) +1]* +1g& +#34450000 +0$* +0@+ +0f( +0S* +0o+ +07) +0s) +01+ +0R, +0W( +0S) +0o* +0-, +07( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0Z( +b101001z0 S( +b101001z0 `( +0b( +0~) +0<+ +0F' +#34460000 +1R* +1n+ +1a, +16) +1J) +1f* +1$, +1.( +1w) +15+ +1[( +1u( +13* +1O+ +1Y' +#34470000 +0I* +0e+ +0\, +0-) +0)* +0E+ +0k( +0V* +0r+ +0:) +0k) +0)+ +0P, +b11000z0 I, +b11000z0 V, +0O( +b1111110000000000000000000000000 ) +0T) +0p* +0., +08( +#34480000 +1(+ +1D, +1j) +1$* +1@+ +1f( +1S* +1o+ +17) +1J* +1f+ +1j, +1.) +b1111110000000001010100000000000 ) +1S) +1o* +1-, +17( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1Z( +b101001z1 S( +b101001z1 `( +1b( +1~) +1<+ +1F' +#34490000 +0a* +0}+ +0E) +02+ +0N, +0t) +0R* +0n+ +0a, +06) +02* +0N+ +0t( +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +09) +b101001z0 2) +b101001z0 ?) +0A) +0]* +0y+ +0%( +#34500000 +11+ +1R, +1s) +1)* +1E+ +1k( +1V* +1r+ +1:) +1k, +1T) +1p* +1., +18( +#34510000 +0(+ +0D, +0j) +0f* +0$, +0J) +05+ +0w) +0J* +0f+ +0j, +0.) +b1111110000000000000000000000000 ) +03* +0O+ +0u( +#34520000 +1e+ +1\, +1I* +1a* +1}+ +1E) +12+ +1N, +1t) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1k) +b1111110000000000101000000000000 ) +12* +1N+ +1t( +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +19) +b101001z1 2) +b101001z1 ?) +1X, +1A) +1]* +1y+ +1%( +#34530000 +0@+ +0$* +0o+ +0S* +01+ +0R, +0s) +0o* +0-, +0S) +04+ +b101001z0 -+ +b101001z0 :+ +0v) +b101001z0 o) +b101001z0 |) +0k, +0~) +0<+ +0b( +#34540000 +1n+ +1a, +1R* +1f* +1$, +1J) +15+ +1w) +13* +1O+ +1u( +#34550000 +0e+ +0\, +0I* +0E+ +0)* +0r+ +0V* +0)+ +0P, +b11000z0 I, +b11000z0 V, +0k) +b1111110000000000000000000000000 ) +0p* +0., +0T) +0X, +#34560000 +1D, +1(+ +1@+ +1$* +1o+ +1S* +1f+ +1j, +1J* +b1111110000000001010000000000000 ) +1o* +1-, +1S) +14+ +b101001z1 -+ +b101001z1 :+ +1v) +b101001z1 o) +b101001z1 |) +1~) +1<+ +1b( +#34570000 +0}+ +0a* +0N, +02+ +0n+ +0a, +0R* +0N+ +02* +0q+ +b101001z0 j+ +b101001z0 w+ +0U* +b101001z0 N* +b101001z0 [* +0]* +0y+ +0A) +#34580000 +1R, +11+ +1E+ +1)* +1r+ +1V* +1k, +1p* +1., +1T) +#34590000 +0D, +0(+ +0$, +0f* +05+ +0f+ +0j, +0J* +b1111110000000000000000000000000 ) +0O+ +03* +#34600000 +1\, +1e+ +1}+ +1a* +1N, +12+ +1P, +b11000z1 I, +b11000z1 V, +1)+ +b1111110000000000100000000000000 ) +1N+ +12* +1q+ +b101001z1 j+ +b101001z1 w+ +1U* +b101001z1 N* +b101001z1 [* +1X, +1]* +1y+ +1A) +#34610000 +0@+ +0o+ +0R, +01+ +0-, +0o* +04+ +b101001z0 -+ +b101001z0 :+ +0k, +0<+ +0~) +#34620000 +1a, +1n+ +1$, +1f* +15+ +1O+ +13* +#34630000 +0\, +0e+ +0E+ +0r+ +0P, +b11000z0 I, +b11000z0 V, +0)+ +b1111110000000000000000000000000 ) +0., +0p* +0X, +#34640000 +1D, +1@+ +1o+ +1j, +1f+ +b1111110000000001000000000000000 ) +1-, +1o* +14+ +b101001z1 -+ +b101001z1 :+ +1<+ +1~) +#34650000 +0}+ +0N, +0a, +0n+ +0N+ +0q+ +b101001z0 j+ +b101001z0 w+ +0y+ +0]* +#34660000 +1R, +1E+ +1r+ +1k, +1., +1p* +#34670000 +0D, +0$, +0j, +0f+ +b1111110000000000000000000000000 ) +0O+ +#34680000 +1\, +1}+ +1N, +1P, +b11000z1 I, +b11000z1 V, +1N+ +1q+ +b101001z1 j+ +b101001z1 w+ +1X, +1y+ +1]* +#34690000 +0R, +0-, +0k, +0<+ +#34700000 +1a, +1$, +1O+ +#34710000 +0\, +0P, +b11000z0 I, +b11000z0 V, +0., +0X, +#34720000 +1j, +1-, +1<+ +#34730000 +0a, +0y+ +#34740000 +1k, +1., +#34750000 +0j, +#34760000 +1X, +1y+ +#34770000 +0k, +#34790000 +0X, +#36000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +b101 4 +b101 , +b101 : +b101 E +b101 v +b101 z +b101 '" +b101 a" +b101 l" +b101 @# +b101 K# +b101 }# +b101 *$ +b101 \$ +b101 g$ +b101 ;% +b101 F% +b101 x% +b101 %& +b101 W& +b101 b& +b101 6' +b101 A' +b101 s' +b101 ~' +b101 R( +b101 ]( +b101 1) +b101 <) +b101 n) +b101 y) +b101 M* +b101 X* +b101 ,+ +b101 7+ +b101 i+ +b101 t+ +b101 H, +b101 S, +b101 '- +b101 2- +b101 d- +b101 o- +b101 C. +b101 N. +b101 "/ +b101 -/ +b101 _/ +b101 j/ +b101 >0 +b101 I0 +b101 {0 +b101 (1 +b101 Z1 +b101 e1 +b101 92 +b101 D2 +b101 v2 +b101 #3 +b101 U3 +b101 `3 +b101 44 +b101 ?4 +b101 q4 +b101 |4 +b101 P5 +b101 [5 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +1B, +1^- +1z. +180 +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +0l' +0*) +0F* +0b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +05 +b101 ' +b101 ( +b101 2 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#36010000 +1^' +1z( +18* +1T+ +1`2 +1|3 +1:5 +1C" +1=( +1Y) +1u* +13, +0o, +0p, +0N- +0-. +0.. +0j. +0I/ +0J/ +0(0 +0e0 +0f0 +0D1 +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b101100z0 :2 +b101100z0 G2 +b101100z1 V3 +b101100z1 c3 +b101100z1 r4 +b101100z1 !5 +1w +b101100z1 { +b101100z1 *" +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +b0z0 I, +b0z0 V, +b100001z1 (- +b100001z1 5- +b0z0 e- +b0z0 r- +b100001z1 D. +b100001z1 Q. +b0z0 #/ +b0z0 0/ +b100001z1 `/ +b100001z1 m/ +b0z0 ?0 +b0z0 L0 +b100001z1 |0 +b100001z1 +1 +#36020000 +0_' +0{( +09* +0U+ +0_2 +0{3 +095 +0B" +0>( +0Z) +0v* +04, +1n, +1q, +1M- +1,. +1/. +1i. +1H/ +1K/ +1'0 +1d0 +1g0 +1C1 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b101000z0 :2 +b101000z0 G2 +b101000z1 V3 +b101000z1 c3 +b101000z1 r4 +b101000z1 !5 +b101000z1 { +b101000z1 *" +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +b100100z0 I, +b100100z0 V, +b100101z1 (- +b100101z1 5- +b100100z0 e- +b100100z0 r- +b100101z1 D. +b100101z1 Q. +b100100z0 #/ +b100100z0 0/ +b100101z1 `/ +b100101z1 m/ +b100100z0 ?0 +b100100z0 L0 +b100101z1 |0 +b100101z1 +1 +1T +1f +16" +1H" +1{" +1/# +1Z# +1l# +19$ +1K$ +1v$ +1*% +1U% +1g% +14& +1F& +1q& +1%' +1P' +1b' +1/( +1A( +1l( +1~( +1K) +1]) +1** +1<* +1g* +1y* +1F+ +1X+ +1%, +17, +1b, +1t, +1A- +1S- +1~- +12. +1]. +1o. +1# +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +1F, +1b- +1~. +1<0 +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z1 V3 +b101001z1 c3 +0o4 +b101001z1 r4 +b101001z1 !5 +19 +0y +b101001z1 { +b101001z1 *" +0D# +0`$ +0|% +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +1L, +b100100z1 (- +b100100z1 5- +0+- +1h- +b100100z1 D. +b100100z1 Q. +0G. +1&/ +b100100z1 `/ +b100100z1 m/ +0c/ +1B0 +b100100z1 |0 +b100100z1 +1 +0!1 +0> +#36040000 +1`- +1|. +1:0 +1V1 +1G# +1c$ +1!& +1z' +18) +1T* +1p+ +0O, +1.- +0k- +1J. +0)/ +1f/ +0E0 +1$1 +1A +0d2 +0"4 +0>5 +0G" +xU +1g +x7" +1I" +x|" +10# +x[# +1m# +x:$ +1L$ +xw$ +1+% +xV% +1h% +x5& +1G& +xr& +1&' +xQ' +1c' +x0( +1B( +xm( +1!) +xL) +1^) +x+* +1=* +xh* +1z* +xG+ +1Y+ +x&, +18, +xc, +xB- +x!. +x^. +x=/ +xz/ +xY0 +x81 +xu1 +1)2 +xT2 +1f2 +x33 +1E3 +xp3 +1$4 +xO4 +1a4 +x.5 +1@5 +xk5 +1}5 +1$- +1@. +1\/ +1x0 +b1111110101010100000000000000000 ) +0T" +#36050000 +0j- +0(/ +0D0 +0`1 +1W" +0S +05" +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0@- +0\. +0x/ +061 +0s1 +0n3 +0,5 +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +1J, +1f- +1$/ +1@0 +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0|' +0:) +0V* +0r+ +1Q, +00- +1m- +0L. +1+/ +0h/ +1G0 +0&1 +0C +#36060000 +1i- +1'/ +1C0 +1_1 +1n +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +xj, +x(. +xD/ +x`0 +102 +x[2 +x:3 +1L3 +xV4 +1h4 +xr5 +1&6 +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#36070000 +1#- +1?. +1[/ +1w0 +0r2 +0T# +0p$ +0.& +0)( +0E) +0a* +0}+ +1\, +0;- +1x- +0W. +16/ +0s/ +1R0 +011 +0N +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +0m- +0+/ +0G0 +0c1 +1Y" +x\ +x>" +x%# +xb# +xA$ +x~$ +x]% +x<& +xy& +xX' +x7( +xt( +xS) +x2* +xo* +xN+ +x-, +xI- +xe. +x#0 +x?1 +x|1 +xw3 +x55 +1E, +1a- +1}. +1;0 +062 +b1111100111111110000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b100100z1 I, +b100100z1 V, +0/- +b100100z0 (- +b100100z0 5- +1l- +b100100z1 e- +b100100z1 r- +0K. +b100100z0 D. +b100100z0 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +0g/ +b100100z0 `/ +b100100z0 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0%1 +b100100z0 |0 +b100100z0 +1 +0B +b101001z0 ; +b101001z0 H +#36080000 +152 +0-- +0I. +0e/ +0#1 +1|2 +1W1 +b1111101111111110000000000000000 ) +1o +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +xk, +x). +xE/ +xa0 +112 +x\2 +x;3 +1M3 +xW4 +1i4 +xs5 +1'6 +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +0Q, +1B2 +1C +#36090000 +0x- +06/ +0R0 +0n1 +0?2 +11- +1,- +1M. +1H. +1i/ +1d/ +1'1 +1"1 +0{2 +1n- +1,/ +1H0 +0_3 +0{4 +0&" +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b101001z0 [1 +b101001z0 h1 +1x +x] +x?" +x&# +xc# +xB$ +x!% +x^% +x=& +xz& +xY' +x8( +xu( +xT) +x3* +xp* +xO+ +x., +xJ- +xf. +x$0 +x@1 +x}1 +xx3 +x65 +#36100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +0\, +1M2 +1N +1>2 +1!3 +1K +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +xX, +xt- +x2/ +xN0 +1k1 +xI2 +x(3 +1)3 +xD4 +1E4 +x`5 +1a5 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1A2 +b101001z1 :2 +b101001z1 G2 +1B +b101001z1 ; +b101001z1 H +#36110000 +1;- +1W. +1s/ +111 +0Q3 +1x- +16/ +1R0 +0i3 +0'5 +00" +0B2 +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +0s2 +b1111001111111110000000000000000 ) +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +xJ +x," +xq" +xP# +x/$ +xl$ +xK% +x*& +xg& +xF' +x%( +xb( +xA) +x~) +x]* +x<+ +xy+ +x7- +xS. +xo/ +x-1 +xj1 +xe3 +x#5 +#36120000 +1r2 +1,3 +1Q +1[3 +162 +b1111011111111110000000000000000 ) +1~2 +b101001z1 w2 +b101001z1 &3 +1G +1n" +1M# +1,$ +1i$ +1H% +1'& +1d& +1C' +1"( +1_( +1>) +1{) +1Z* +19+ +1v+ +1g1 +1%3 +1A4 +1]5 +b101011z1 ; +b101011z1 H +10 +#36130000 +0M2 +0|2 +0Z3 +0A2 +b101001z0 :2 +b101001z0 G2 +#36140000 +1{2 +1^3 +17 +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1U1 +1q2 +1/4 +1K5 +b11111111000000001111111111111111 # +b11111111000000001111111111111111 / +#36150000 +004 +b111111111111111111111110 1 +b1111111100000000000000zz + +0!3 +0R3 +b1110011111111110000000000000000 ) +#36160000 +1Q3 +1i3 +1:4 +1s2 +b1110111111111110000000000000000 ) +1]3 +b101001z1 V3 +b101001z1 c3 +#36170000 +0,3 +0[3 +b11111111000000000000000 1 +094 +0~2 +b101001z0 w2 +b101001z0 &3 +#36180000 +1Z3 +1=4 +#36190000 +0m4 +b11111110000000000000000 1 +0^3 +014 +b1100111111111110000000000000000 ) +#36200000 +104 +1H4 +1w4 +1R3 +b1101111111111110000000000000000 ) +1<4 +b101001z1 54 +b101001z1 B4 +#36210000 +0i3 +0:4 +b11111100000000000000000 1 +0v4 +0]3 +b101001z0 V3 +b101001z0 c3 +#36220000 +194 +1z4 +#36230000 +0L5 +b11111000000000000000000 1 +0=4 +0n4 +b1001111111111110000000000000000 ) +#36240000 +1m4 +1'5 +1V5 +114 +b1011111111111110000000000000000 ) +1y4 +b101001z1 r4 +b101001z1 !5 +#36250000 +0H4 +0w4 +b11110000000000000000000 1 +0U5 +0<4 +b101001z0 54 +b101001z0 B4 +#36260000 +1v4 +1Y5 +#36270000 +0t +b11100000000000000000000 1 +0z4 +0M5 +b11111111111110000000000000000 ) +#36280000 +1L5 +1d5 +1"" +1V" +1n4 +b111111111111110000000000000000 ) +1X5 +b101001z1 Q5 +b101001z1 ^5 +#36290000 +0'5 +0V5 +b11000000000000000000000 1 +0!" +0Y" +0U" +0y4 +b101001z0 r4 +b101001z0 !5 +#36300000 +1U5 +1%" +1" +#36310000 +b10000000000000000000000 1 +0Y5 +0! +0x +#36320000 +1t +10" +1M5 +b1111111111111110000000000000000 ) +1$" +b101001z1 { +b101001z1 *" +#36330000 +0d5 +0"" +0V" +b0 1 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#36340000 +1!" +1Y" +1U" +#36350000 +0%" +#36360000 +1! +1x +#36370000 +00" +0$" +b101001z0 { +b101001z0 *" +#36390000 +0Q +0" +b101001z1 ; +b101001z1 H +00 +#36420000 +1Q +b101011z1 ; +b101011z1 H +10 +#38000000 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +19# +1U$ +1q% +1l' +1*) +1F* +1b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +15 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b11111111000000001010101001010101 % +b11111111000000001010101001010101 - +#38010000 +0^' +0z( +08* +0T+ +0`2 +0|3 +0:5 +0C" +0=( +0Y) +0u* +03, +1o, +1p, +1N- +1-. +1.. +1j. +1I/ +1J/ +1(0 +1e0 +1f0 +1D1 +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b100001z0 :2 +b100001z0 G2 +b100001z0 V3 +b100001z0 c3 +b100001z0 r4 +b100001z0 !5 +0w +b100001z0 { +b100001z0 *" +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b111100z0 I, +b111100z0 V, +b101100z1 (- +b101100z1 5- +b111100z1 e- +b111100z1 r- +b101100z1 D. +b101100z1 Q. +b111100z1 #/ +b111100z1 0/ +b101100z1 `/ +b101100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b101100z1 |0 +b101100z1 +1 +#38020000 +1_' +1{( +19* +1U+ +1_2 +1{3 +195 +1B" +1>( +1Z) +1v* +14, +0n, +0q, +0M- +0,. +0/. +0i. +0H/ +0K/ +0'0 +0d0 +0g0 +0C1 +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b100101z0 :2 +b100101z0 G2 +b100101z0 V3 +b100101z0 c3 +b100101z0 r4 +b100101z0 !5 +b100101z0 { +b100101z0 *" +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b11000z0 I, +b11000z0 V, +b101000z1 (- +b101000z1 5- +b11000z1 e- +b11000z1 r- +b101000z1 D. +b101000z1 Q. +b11000z1 #/ +b11000z1 0/ +b101000z1 `/ +b101000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b101000z1 |0 +b101000z1 +1 +1B# +1^$ +1z% +1v' +14) +1P* +1l+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +1< +#38030000 +1L' +1h( +1&* +1B+ +0O2 +0k3 +0)5 +02" +1+( +1G) +1c* +1!, +1=- +1Y. +1u/ +131 +0f2 +0$4 +0@5 +0I" +1u, +1T- +13. +1p. +1O/ +1.0 +1k0 +1J1 +0R" +0># +0Z$ +0v% +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +0b- +0~. +0<0 +172 +b100100z0 :2 +b100100z0 G2 +1S3 +b100100z0 V3 +b100100z0 c3 +1o4 +b100100z0 r4 +b100100z0 !5 +09 +1y +b100100z0 { +b100100z0 *" +0D# +0`$ +0|% +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +1L, +b101001z1 (- +b101001z1 5- +1+- +1h- +b101001z1 D. +b101001z1 Q. +1G. +1&/ +b101001z1 `/ +b101001z1 m/ +1c/ +1B0 +b101001z1 |0 +b101001z1 +1 +1!1 +0> +#38040000 +1y# +17% +1S& +0#- +1]" +1G# +1c$ +1!& +0z' +08) +0T* +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1A +1=# +1Y$ +1u% +0E, +18 +b1111111111111100000000001010101 ) +1T" +#38050000 +0%$ +0A% +0]& +1-- +0g" +0W" +0m2 +0+4 +0G5 +0P" +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +0B# +0^$ +0z% +19' +1U( +1q) +1/+ +0K, +0g- +0%/ +0A0 +1;2 +1W3 +1s4 +0< +1| +0I# +0e$ +0#& +1|' +1:) +1V* +1r+ +1Q, +0C +#38060000 +1$$ +1@% +1\& +0,- +1f" +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +1D# +1`$ +1|% +1:' +1V( +1r) +10+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +1> +0~ +#38070000 +0y# +07% +0S& +0]" +0T# +0p$ +0.& +1)( +1E) +1a* +1}+ +1\, +0N +0G# +0c$ +0!& +0=' +0Y( +0u) +03+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +0A +1#" +0($ +0D% +0`& +10- +0j" +0Y" +0n2 +0,4 +0H5 +0Q" +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +0=# +0Y$ +0u% +0i- +0'/ +0C0 +08 +b1111111111111100000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b11000z1 I, +b11000z1 V, +0B +b101011z0 ; +b101011z0 H +#38080000 +1X$ +1t% +12' +0`- +1<# +0x- +0W. +06/ +0s/ +0R0 +011 +1%$ +1A% +1]& +1g" +1z# +18% +1T& +0$- +1^" +b1111111111111000000000010101010 ) +0l- +b11000z0 e- +b11000z0 r- +0K. +b101001z0 D. +b101001z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b101001z0 `/ +b101001z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b101001z0 |0 +b101001z0 +1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +0Q, +1C +#38090000 +03$ +0O% +0k& +0u" +0?. +0[/ +0w0 +0b$ +0~% +0<' +1j- +0F# +0$$ +0@% +0\& +0f" +1,/ +1H0 +1C2 +1_3 +1{4 +1&" +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0i" +b101001z0 b" +b101001z0 o" +0x +0J2 +0f3 +0$5 +0-" +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +0a- +0}. +0;0 +b1111111101010000000000010101010 ) +#38100000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +0\, +1N +1I. +1e/ +1#1 +1a$ +1}% +1;' +1E# +1($ +1D% +1`& +1j" +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +0P, +b11000z0 I, +b11000z0 V, +1B +b101011z1 ; +b101011z1 H +#38110000 +0X$ +0t% +02' +0<# +16/ +1R0 +1M2 +1i3 +1'5 +10" +0H. +0d/ +0"1 +0e$ +0#& +0?' +0I# +0z# +08% +0T& +0^" +b1111111101010000000000000000000 ) +1*/ +b11000z1 #/ +b11000z1 0/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1A2 +b100100z1 :2 +b100100z1 G2 +1]3 +b100100z1 V3 +b100100z1 c3 +1y4 +b100100z1 r4 +b100100z1 !5 +1$" +b100100z1 { +b100100z1 *" +0F2 +0b3 +0~4 +0)" +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +#38120000 +17% +1S& +1o' +1y# +13$ +1O% +1k& +1u" +0Q +1b$ +1~% +1<' +1F# +1L. +1h/ +1&1 +1Y$ +1u% +13' +1=# +b1111111101010000000000101010100 ) +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1i" +b101001z1 b" +b101001z1 o" +b101001z1 ; +b101001z1 H +00 +#38130000 +0|. +0:0 +0V1 +0p$ +0.& +0J' +0T# +0A% +0]& +0y' +0%$ +0a$ +0}% +0;' +0E# +0@. +0\/ +0x0 +b1111111000000000000000101010100 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0H# +b101001z0 A# +b101001z0 N# +042 +0P3 +0l4 +0s +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +b1010101111111111111111111111111 # +b1010101111111111111111111111111 / +#38140000 +1W. +1s/ +111 +1(/ +1D0 +1`1 +b101010100000000000000000000000zz + +1@% +1\& +1x' +1$$ +1e$ +1#& +1?' +1I# +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +#38150000 +07% +0S& +0o' +0y# +0,/ +0H0 +0_1 +0D% +0`& +0|' +0($ +0Y$ +0u% +03' +0=# +b1111111000000000000000000000000 ) +#38160000 +1t% +12' +1N( +1X$ +1p$ +1.& +1J' +1T# +1A% +1]& +1y' +1%$ +1c1 +18% +1T& +1p' +1z# +b1111111000000000000001010101000 ) +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1H# +b101001z1 A# +b101001z1 N# +#38170000 +06/ +0R0 +052 +0O% +0k& +0)( +03$ +0~% +0<' +0X( +0b$ +0@% +0\& +0x' +0$$ +0*/ +b11000z0 #/ +b11000z0 0/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0W1 +b1111110000000000000001010101000 ) +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +0'$ +b101001z0 ~# +b101001z0 -$ +#38180000 +1n1 +1?2 +1}% +1;' +1W( +1a$ +1D% +1`& +1|' +1($ +1b1 +b101001z1 [1 +b101001z1 h1 +#38190000 +0t% +02' +0N( +0X$ +0C2 +0>2 +0#& +0?' +0[( +0e$ +08% +0T& +0p' +0z# +b1111110000000000000000000000000 ) +#38200000 +1S& +1o' +1-) +17% +1O% +1k& +1)( +13$ +1~% +1<' +1X( +1b$ +1u% +13' +1O( +1Y$ +b1111110000000000000010101010000 ) +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +1'$ +b101001z1 ~# +b101001z1 -$ +#38210000 +0M2 +0.& +0J' +0f( +0p$ +0]& +0y' +07) +0A% +0}% +0;' +0W( +0a$ +0A2 +b100100z0 :2 +b100100z0 G2 +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0d$ +b101001z0 ]$ +b101001z0 j$ +#38220000 +1\& +1x' +16) +1@% +1#& +1?' +1[( +1e$ +#38230000 +0S& +0o' +0-) +07% +0`& +0|' +0:) +0D% +0u% +03' +0O( +0Y$ +b1111110000000000000000000000000 ) +#38240000 +12' +1N( +1j) +1t% +1.& +1J' +1f( +1p$ +1]& +1y' +17) +1A% +1T& +1p' +1.) +18% +b1111110000000000000101010100000 ) +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1d$ +b101001z1 ]$ +b101001z1 j$ +#38250000 +0k& +0)( +0E) +0O% +0<' +0X( +0t) +0~% +0\& +0x' +06) +0@% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0C% +b101001z0 <% +b101001z0 I% +#38260000 +1;' +1W( +1s) +1}% +1`& +1|' +1:) +1D% +#38270000 +02' +0N( +0j) +0t% +0?' +0[( +0w) +0#& +0T& +0p' +0.) +08% +b1111110000000000000000000000000 ) +#38280000 +1o' +1-) +1I* +1S& +1k& +1)( +1E) +1O% +1<' +1X( +1t) +1~% +13' +1O( +1k) +1u% +b1111110000000000001010101000000 ) +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1C% +b101001z1 <% +b101001z1 I% +#38290000 +0J' +0f( +0$* +0.& +0y' +07) +0S* +0]& +0;' +0W( +0s) +0}% +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +0"& +b101001z0 y% +b101001z0 (& +#38300000 +1x' +16) +1R* +1\& +1?' +1[( +1w) +1#& +#38310000 +0o' +0-) +0I* +0S& +0|' +0:) +0V* +0`& +03' +0O( +0k) +0u% +b1111110000000000000000000000000 ) +#38320000 +1N( +1j) +1(+ +12' +1J' +1f( +1$* +1.& +1y' +17) +1S* +1]& +1p' +1.) +1J* +1T& +b1111110000000000010101010000000 ) +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +1"& +b101001z1 y% +b101001z1 (& +#38330000 +0)( +0E) +0a* +0k& +0X( +0t) +02+ +0<' +0x' +06) +0R* +0\& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0_& +b101001z0 X& +b101001z0 e& +#38340000 +1W( +1s) +11+ +1;' +1|' +1:) +1V* +1`& +#38350000 +0N( +0j) +0(+ +02' +0[( +0w) +05+ +0?' +0p' +0.) +0J* +0T& +b1111110000000000000000000000000 ) +#38360000 +1-) +1I* +1e+ +1o' +1)( +1E) +1a* +1k& +1X( +1t) +12+ +1<' +1O( +1k) +1)+ +13' +b1111110000000000101010100000000 ) +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1_& +b101001z1 X& +b101001z1 e& +#38370000 +0f( +0$* +0@+ +0J' +07) +0S* +0o+ +0y' +0W( +0s) +01+ +0;' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0>' +b101001z0 7' +b101001z0 D' +#38380000 +16) +1R* +1n+ +1x' +1[( +1w) +15+ +1?' +#38390000 +0-) +0I* +0e+ +0o' +0:) +0V* +0r+ +0|' +0O( +0k) +0)+ +03' +b1111110000000000000000000000000 ) +#38400000 +1j) +1(+ +1D, +1N( +1f( +1$* +1@+ +1J' +17) +1S* +1o+ +1y' +1.) +1J* +1f+ +1p' +b1111110000000001010101000000000 ) +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1>' +b101001z1 7' +b101001z1 D' +#38410000 +0E) +0a* +0}+ +0)( +0t) +02+ +0N, +0X( +06) +0R* +0n+ +0x' +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +0{' +b101001z0 t' +b101001z0 #( +#38420000 +1s) +11+ +1R, +1W( +1:) +1V* +1r+ +1|' +#38430000 +0j) +0(+ +0D, +0N( +0w) +05+ +0[( +0.) +0J* +0f+ +0p' +b1111110000000000000000000000000 ) +#38440000 +1I* +1e+ +1\, +1-) +1E) +1a* +1}+ +1)( +1t) +12+ +1N, +1X( +1k) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1O( +b1111110000000000101010000000000 ) +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1{' +b101001z1 t' +b101001z1 #( +#38450000 +0$* +0@+ +0f( +0S* +0o+ +07) +0s) +01+ +0R, +0W( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0Z( +b101001z0 S( +b101001z0 `( +#38460000 +1R* +1n+ +16) +1w) +15+ +1[( +#38470000 +0I* +0e+ +0\, +0-) +0V* +0r+ +0:) +0k) +0)+ +0P, +b11000z0 I, +b11000z0 V, +0O( +b1111110000000000000000000000000 ) +#38480000 +1(+ +1D, +1j) +1$* +1@+ +1f( +1S* +1o+ +17) +1J* +1f+ +1.) +b1111110000000001010100000000000 ) +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1Z( +b101001z1 S( +b101001z1 `( +#38490000 +0a* +0}+ +0E) +02+ +0N, +0t) +0R* +0n+ +06) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +09) +b101001z0 2) +b101001z0 ?) +#38500000 +11+ +1R, +1s) +1V* +1r+ +1:) +#38510000 +0(+ +0D, +0j) +05+ +0w) +0J* +0f+ +0.) +b1111110000000000000000000000000 ) +#38520000 +1e+ +1\, +1I* +1a* +1}+ +1E) +12+ +1N, +1t) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1k) +b1111110000000000101000000000000 ) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +19) +b101001z1 2) +b101001z1 ?) +#38530000 +0@+ +0$* +0o+ +0S* +01+ +0R, +0s) +04+ +b101001z0 -+ +b101001z0 :+ +0v) +b101001z0 o) +b101001z0 |) +#38540000 +1n+ +1R* +15+ +1w) +#38550000 +0e+ +0\, +0I* +0r+ +0V* +0)+ +0P, +b11000z0 I, +b11000z0 V, +0k) +b1111110000000000000000000000000 ) +#38560000 +1D, +1(+ +1@+ +1$* +1o+ +1S* +1f+ +1J* +b1111110000000001010000000000000 ) +14+ +b101001z1 -+ +b101001z1 :+ +1v) +b101001z1 o) +b101001z1 |) +#38570000 +0}+ +0a* +0N, +02+ +0n+ +0R* +0q+ +b101001z0 j+ +b101001z0 w+ +0U* +b101001z0 N* +b101001z0 [* +#38580000 +1R, +11+ +1r+ +1V* +#38590000 +0D, +0(+ +05+ +0f+ +0J* +b1111110000000000000000000000000 ) +#38600000 +1\, +1e+ +1}+ +1a* +1N, +12+ +1P, +b11000z1 I, +b11000z1 V, +1)+ +b1111110000000000100000000000000 ) +1q+ +b101001z1 j+ +b101001z1 w+ +1U* +b101001z1 N* +b101001z1 [* +#38610000 +0@+ +0o+ +0R, +01+ +04+ +b101001z0 -+ +b101001z0 :+ +#38620000 +1n+ +15+ +#38630000 +0\, +0e+ +0r+ +0P, +b11000z0 I, +b11000z0 V, +0)+ +b1111110000000000000000000000000 ) +#38640000 +1D, +1@+ +1o+ +1f+ +b1111110000000001000000000000000 ) +14+ +b101001z1 -+ +b101001z1 :+ +#38650000 +0}+ +0N, +0n+ +0q+ +b101001z0 j+ +b101001z0 w+ +#38660000 +1R, +1r+ +#38670000 +0D, +0f+ +b1111110000000000000000000000000 ) +#38680000 +1\, +1}+ +1N, +1P, +b11000z1 I, +b11000z1 V, +1q+ +b101001z1 j+ +b101001z1 w+ +#38690000 +0R, +#38710000 +0\, +0P, +b11000z0 I, +b11000z0 V, +#40000000 +0L +1M +0^ +1_ +0." +1/" +0@" +1A" +0s" +1t" +0'# +1(# +0R# +1S# +0d# +1e# +01$ +12$ +0C$ +1D$ +0n$ +1o$ +0"% +1#% +0M% +1N% +0_% +1`% +0,& +1-& +0>& +1?& +0i& +1j& +0{& +1|& +0H' +1I' +0Z' +1[' +0'( +1(( +09( +1:( +0d( +1e( +0v( +1w( +0C) +1D) +0U) +1V) +0"* +1#* +04* +15* +0_* +1`* +0q* +1r* +0>+ +1?+ +0P+ +1Q+ +0{+ +1|+ +0/, +10, +0Z, +1[, +0l, +1m, +09- +1:- +0K- +1L- +0v- +1w- +0*. +1+. +0U. +1V. +0g. +1h. +04/ +15/ +0F/ +1G/ +0q/ +1r/ +0%0 +1&0 +0P0 +1Q0 +0b0 +1c0 +0/1 +101 +0A1 +1B1 +0l1 +1m1 +0~1 +1!2 +0K2 +1L2 +0]2 +1^2 +0*3 +1+3 +0<3 +1=3 +0g3 +1h3 +0y3 +1z3 +0F4 +1G4 +0X4 +1Y4 +0%5 +1&5 +075 +185 +0b5 +1c5 +0t5 +1u5 +b110 4 +b110 , +b110 : +b110 E +b110 v +b110 z +b110 '" +b110 a" +b110 l" +b110 @# +b110 K# +b110 }# +b110 *$ +b110 \$ +b110 g$ +b110 ;% +b110 F% +b110 x% +b110 %& +b110 W& +b110 b& +b110 6' +b110 A' +b110 s' +b110 ~' +b110 R( +b110 ]( +b110 1) +b110 <) +b110 n) +b110 y) +b110 M* +b110 X* +b110 ,+ +b110 7+ +b110 i+ +b110 t+ +b110 H, +b110 S, +b110 '- +b110 2- +b110 d- +b110 o- +b110 C. +b110 N. +b110 "/ +b110 -/ +b110 _/ +b110 j/ +b110 >0 +b110 I0 +b110 {0 +b110 (1 +b110 Z1 +b110 e1 +b110 92 +b110 D2 +b110 v2 +b110 #3 +b110 U3 +b110 `3 +b110 44 +b110 ?4 +b110 q4 +b110 |4 +b110 P5 +b110 [5 +1B, +1^- +1z. +180 +0l' +0*) +0F* +0b+ +1A, +1]- +1y. +170 +022 +0N3 +0j4 +0q +b110 ' +b110 ( +b110 2 +b10101010111111110101010110101010 & +b10101010111111110101010110101010 . +b1010101010101010000000001010101 % +b1010101010101010000000001010101 - +#40010000 +1=( +1Y) +1u* +13, +0o, +0p, +0-. +0.. +0I/ +0J/ +0e0 +0f0 +1`2 +1|3 +1:5 +1C" +1Z +0[ +1l +0m +1<" +0=" +1N" +0O" +1## +0$# +15# +06# +1`# +0a# +1r# +0s# +1?$ +0@$ +1Q$ +0R$ +1|$ +0}$ +10% +01% +1[% +0\% +1m% +0n% +1:& +0;& +1L& +0M& +1w& +0x& +1+' +0,' +1V' +0W' +1h' +0i' +15( +06( +1G( +0H( +1r( +0s( +1&) +0') +1Q) +0R) +1c) +0d) +10* +01* +1B* +0C* +1m* +0n* +1!+ +0"+ +1L+ +0M+ +1^+ +0_+ +1+, +0,, +1=, +0>, +1h, +0i, +1z, +0{, +1G- +0H- +1Y- +0Z- +1&. +0'. +18. +09. +1c. +0d. +1u. +0v. +1B/ +0C/ +1T/ +0U/ +1!0 +0"0 +130 +040 +1^0 +0_0 +1p0 +0q0 +1=1 +0>1 +1O1 +0P1 +1z1 +0{1 +1.2 +0/2 +1Y2 +0Z2 +1k2 +0l2 +183 +093 +1J3 +0K3 +1u3 +0v3 +1)4 +0*4 +1T4 +0U4 +1f4 +0g4 +135 +045 +1E5 +0F5 +1p5 +0q5 +1$6 +0%6 +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +b0z0 I, +b0z0 V, +b0z0 e- +b0z0 r- +b0z0 #/ +b0z0 0/ +b0z0 ?0 +b0z0 L0 +b101100z0 :2 +b101100z0 G2 +b101100z1 V3 +b101100z1 c3 +b101100z1 r4 +b101100z1 !5 +b101100z1 { +b101100z1 *" +#40020000 +0>( +0Z) +0v* +04, +1n, +1q, +1,. +1/. +1H/ +1K/ +1d0 +1g0 +0_2 +0{3 +095 +0B" +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +b100100z0 I, +b100100z0 V, +b100100z0 e- +b100100z0 r- +b100100z0 #/ +b100100z0 0/ +b100100z0 ?0 +b100100z0 L0 +b101000z0 :2 +b101000z0 G2 +b101000z1 V3 +b101000z1 c3 +b101000z1 r4 +b101000z1 !5 +b101000z1 { +b101000z1 *" +0T +0f +06" +0H" +0{" +0/# +0Z# +0l# +09$ +0K$ +0v$ +0*% +0U% +0g% +04& +0F& +0q& +0%' +0P' +0b' +0/( +0A( +0l( +0~( +0K) +0]) +0** +0<* +0g* +0y* +0F+ +0X+ +0%, +07, +0b, +0t, +0A- +0S- +0~- +02. +0]. +0o. +0* +1i* +1{* +1H+ +1Z+ +1', +19, +1d, +1v, +1C- +1U- +1". +14. +1_. +1q. +1>/ +1P/ +1{/ +1/0 +1Z0 +1l0 +191 +1K1 +1v1 +1*2 +1U2 +1g2 +143 +1F3 +1q3 +1%4 +1P4 +1b4 +1/5 +1A5 +1l5 +1~5 +1F, +1b- +1~. +1<0 +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +1L, +1h- +1&/ +1B0 +b101001z0 :2 +b101001z0 G2 +1=2 +b101001z1 V3 +b101001z1 c3 +1Y3 +b101001z1 r4 +b101001z1 !5 +1u4 +b101001z1 { +b101001z1 *" +1~ +0T" +#40040000 +0r2 +1z' +18) +1T* +1p+ +0O, +0k- +0)/ +0E0 +0@2 +0\3 +0x4 +0#" +1W" +0U +0g +07" +0|" +00# +0[# +0m# +0:$ +0L$ +0w$ +0+% +0V% +0h% +05& +0G& +0r& +0&' +0Q' +0c' +00( +0B( +0m( +0!) +0L) +0^) +0+* +0=* +0h* +0z* +0G+ +0Y+ +0&, +08, +0c, +0B- +0T- +0!. +0^. +0p. +0=/ +0z/ +0.0 +0Y0 +081 +0J1 +0u1 +0)2 +0T2 +033 +0E3 +0p3 +0O4 +0a4 +0.5 +0k5 +0}5 +062 +b1111100000000000000000000000000 ) +0U" +#40050000 +1|2 +0|, +0:. +0V/ +0r0 +1W +19" +1~" +1]# +1<$ +1y$ +1X% +17& +1t& +1S' +1D( +1o( +1`) +1-* +1|* +1I+ +1:, +1D- +1`. +1|/ +1:1 +1w1 +1V2 +153 +1r3 +1Q4 +105 +1m5 +1J, +1f- +1$/ +1@0 +0|' +0:) +0V* +0r+ +1Q, +1m- +1+/ +1G0 +1B2 +#40060000 +0{2 +0_3 +0{4 +0&" +1Y" +0\ +0n +0>" +0%# +07# +0b# +0t# +0A$ +0S$ +0~$ +02% +0]% +0o% +0<& +0N& +0y& +0-' +0X' +0j' +07( +0I( +0t( +0() +0S) +0e) +02* +0D* +0o* +0#+ +0N+ +0`+ +0-, +0?, +0j, +0I- +0[- +0(. +0e. +0w. +0D/ +0#0 +050 +0`0 +0?1 +0Q1 +0|1 +002 +0[2 +0:3 +0L3 +0w3 +0V4 +0h4 +055 +0r5 +0&6 +0p +0L, +0h- +0&/ +0B0 +#40070000 +1#- +1?. +1[/ +1w0 +0)( +0E) +0a* +0}+ +1\, +1x- +16/ +1R0 +1M2 +1O, +1k- +1)/ +1E0 +1!3 +0}, +0;. +0W/ +0s0 +1] +1?" +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +1u( +13* +1O+ +1J- +1f. +1$0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1E, +1a- +1}. +1;0 +b1111100010101010000000000000000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b100100z1 I, +b100100z1 V, +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1A2 +b101001z1 :2 +b101001z1 G2 +#40080000 +0Q3 +0i3 +0'5 +00" +0-- +0I. +0e/ +0#1 +0s2 +b1111000010101010000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +1x +0o +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +08( +0)) +0T) +0E* +0p* +0a+ +0., +0k, +0\- +0). +0x. +0E/ +060 +0a0 +0R1 +012 +0M3 +0i4 +0'6 +0Q, +0m- +0+/ +0G0 +#40090000 +1,3 +1[3 +1,- +1H. +1d/ +1"1 +1~2 +b101001z1 w2 +b101001z1 &3 +0Y, +0u- +03/ +0O0 +1J +1," +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1b( +1~) +1<+ +17- +1S. +1o/ +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +#40100000 +0\, +0x- +06/ +0R0 +0Z3 +00- +0L. +0h/ +0&1 +0K +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0%( +0c( +0A) +0!* +0]* +0=+ +0y+ +0X, +08- +0t- +0T. +02/ +0p/ +0N0 +0.1 +0k1 +0)3 +0E4 +0a5 +0P, +b100100z0 I, +b100100z0 V, +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +#40110000 +1`- +1|. +1:0 +1V1 +1Q +1^3 +1$- +1@. +1\/ +1x0 +b1111000111111110000000000000000 ) +0U, +0q- +0// +0K0 +b101011z1 ; +b101011z1 H +10 +#40120000 +004 +0;- +0W. +0s/ +011 +0j- +0(/ +0D0 +0`1 +0R3 +b1110000111111110000000000000000 ) +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +0G +0n" +0M# +0,$ +0i$ +0H% +0'& +0d& +0C' +0_( +0{) +09+ +04- +0P. +0l/ +0*1 +0g1 +0%3 +0A4 +0]5 +#40130000 +1i3 +1:4 +1n- +1i- +1,/ +1'/ +1H0 +1C0 +1_1 +1]3 +b101001z1 V3 +b101001z1 c3 +0C, +0_- +0{. +090 +b1010101101010101111111111111111 # +b1010101101010101111111111111111 / +#40140000 +b101010100101010100000000000000zz + +094 +0c1 +07 +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0M( +0i) +0'+ +0"- +0>. +0Z/ +0v0 +0U1 +0q2 +0/4 +0K5 +b1010101000000000 # +b1010101000000000 / +#40150000 +1x- +16/ +1R0 +152 +b1 1 +b111111111111111101010101111111zz + +1=4 +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1W1 +b1110001111111110000000000000000 ) +#40160000 +0m4 +0n1 +0?2 +014 +b1100001111111110000000000000000 ) +0b1 +b101001z0 [1 +b101001z0 h1 +#40170000 +1H4 +1w4 +b11 1 +1>2 +1<4 +b101001z1 54 +b101001z1 B4 +#40180000 +0v4 +0B2 +#40190000 +1r2 +b111 1 +1z4 +162 +b1100011111111110000000000000000 ) +#40200000 +0L5 +0M2 +0|2 +0n4 +b1000011111111110000000000000000 ) +0A2 +b101001z0 :2 +b101001z0 G2 +#40210000 +1'5 +1V5 +b1111 1 +1{2 +1y4 +b101001z1 r4 +b101001z1 !5 +#40220000 +0U5 +0!3 +#40230000 +1Q3 +b11111 1 +1Y5 +1s2 +b1000111111111110000000000000000 ) +#40240000 +0t +0,3 +0[3 +0M5 +b111111111110000000000000000 ) +0~2 +b101001z0 w2 +b101001z0 &3 +#40250000 +1d5 +1"" +1V" +b111111 1 +1Z3 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#40260000 +0!" +0Y" +0^3 +#40270000 +104 +b1111111 1 +1%" +1R3 +b1111111111110000000000000000 ) +1" +#40280000 +0i3 +0:4 +0! +0x +0]3 +b101001z0 V3 +b101001z0 c3 +#40290000 +10" +b11111111 1 +194 +1$" +b101001z1 { +b101001z1 *" +#40300000 +0=4 +#40310000 +1m4 +114 +b11111111111110000000000000000 ) +0" +#40320000 +0H4 +0w4 +0<4 +b101001z0 54 +b101001z0 B4 +#40330000 +1v4 +#40340000 +0Q +0z4 +b101001z1 ; +b101001z1 H +00 +#40350000 +1L5 +1n4 +b111111111111110000000000000000 ) +#40360000 +0'5 +0V5 +0y4 +b101001z0 r4 +b101001z0 !5 +#40370000 +1U5 +#40380000 +0Y5 +#40390000 +1t +1M5 +b1111111111111110000000000000000 ) +#40400000 +0d5 +0"" +0V" +0X5 +b101001z0 Q5 +b101001z0 ^5 +#40410000 +1!" +1Y" +#40420000 +0%" +1" +#40430000 +1! +1x +#40440000 +00" +0$" +b101001z0 { +b101001z0 *" +#40460000 +0" +#40490000 +1Q +b101011z1 ; +b101011z1 H +10 +#42000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +1~, +1<. +1X/ +1t0 +122 +1N3 +1j4 +05 +1q +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#42010000 +1^' +1z( +18* +1T+ +0N- +0j. +0(0 +0D1 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +1w +b100001z0 (- +b100001z0 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +#42020000 +0_' +0{( +09* +0U+ +1M- +1i. +1'0 +1C1 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b100101z0 (- +b100101z0 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +0C# +0_$ +0{% +1)- +1E. +1a/ +1}0 +1;2 +1W3 +1s4 +0= +1| +1S" +#42030000 +0L' +0h( +0&* +0B+ +0=- +0Y. +0u/ +031 +1e' +1#) +1?* +1[+ +1R" +1># +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +072 +0S3 +0o4 +19 +0y +0D# +0`$ +0|% +b100100z0 (- +b100100z0 5- +0+- +b100100z0 D. +b100100z0 Q. +0G. +b100100z0 `/ +b100100z0 m/ +0c/ +b100100z0 |0 +b100100z0 +1 +0!1 +0=2 +0Y3 +0u4 +0> +0~ +#42040000 +1G# +1c$ +1!& +1.- +1J. +1f/ +1$1 +1@2 +1\3 +1x4 +1A +1#" +1U" +#42050000 +0S' +0o( +0-* +0I+ +0D- +0`. +0|/ +0:1 +1k' +1)) +1E* +1a+ +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0C +#42060000 +11- +1M. +1i/ +1'1 +1C2 +1_3 +1{4 +1&" +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +1=2 +1Y3 +1u4 +1> +1~ +#42070000 +0T# +0p$ +0.& +0N +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +0@2 +0\3 +0x4 +0A +0#" +0Y' +0u( +03* +0O+ +0J- +0f. +0$0 +0@1 +1G' +1c( +1!* +1=+ +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0B +b101011z0 ; +b101011z0 H +#42080000 +1;- +1W. +1s/ +111 +1M2 +1i3 +1'5 +10" +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +1C +#42090000 +0C2 +0_3 +0{4 +0&" +0F' +0b( +0~) +0<+ +07- +0S. +0o/ +0-1 +1C' +1_( +1{) +19+ +#42100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +1N +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +1B +b101011z1 ; +b101011z1 H +#42110000 +0M2 +0i3 +0'5 +00" +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +11' +1M( +1i) +1'+ +b1111111100000000 # +b1111111100000000 / +#42120000 +b111111111111111100000000111111zz + +#42140000 +b1111111 1 +#44000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +b111 4 +b111 , +b111 : +b111 E +b111 v +b111 z +b111 '" +b111 a" +b111 l" +b111 @# +b111 K# +b111 }# +b111 *$ +b111 \$ +b111 g$ +b111 ;% +b111 F% +b111 x% +b111 %& +b111 W& +b111 b& +b111 6' +b111 A' +b111 s' +b111 ~' +b111 R( +b111 ]( +b111 1) +b111 <) +b111 n) +b111 y) +b111 M* +b111 X* +b111 ,+ +b111 7+ +b111 i+ +b111 t+ +b111 H, +b111 S, +b111 '- +b111 2- +b111 d- +b111 o- +b111 C. +b111 N. +b111 "/ +b111 -/ +b111 _/ +b111 j/ +b111 >0 +b111 I0 +b111 {0 +b111 (1 +b111 Z1 +b111 e1 +b111 92 +b111 D2 +b111 v2 +b111 #3 +b111 U3 +b111 `3 +b111 44 +b111 ?4 +b111 q4 +b111 |4 +b111 P5 +b111 [5 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +1l' +1*) +1F* +1b+ +022 +0N3 +0j4 +0q +b111 ' +b111 ( +b111 2 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b1010101111111111010101000000000 % +b1010101111111111010101000000000 - +#44010000 +1h# +1&% +1B& +0^' +0z( +08* +0T+ +1o, +1-. +1I/ +1e0 +1b +0=( +0Y) +0u* +03, +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +b111001z1 A# +b111001z1 N# +b111001z1 ]$ +b111001z1 j$ +b111001z1 y% +b111001z1 (& +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b101100z0 I, +b101100z0 V, +b101100z1 e- +b101100z1 r- +b101100z1 #/ +b101100z1 0/ +b101100z1 ?0 +b101100z1 L0 +b111011z1 ; +b111011z1 H +0w +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +#44020000 +0i# +0'% +0C& +1_' +1{( +19* +1U+ +0n, +0,. +0H/ +0d0 +0c +1>( +1Z) +1v* +14, +b11001z1 A# +b11001z1 N# +b11001z1 ]$ +b11001z1 j$ +b11001z1 y% +b11001z1 (& +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b101000z0 I, +b101000z0 V, +b101000z1 e- +b101000z1 r- +b101000z1 #/ +b101000z1 0/ +b101000z1 ?0 +b101000z1 L0 +b11011z1 ; +b11011z1 H +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +1X +1j +1:" +1L" +1!# +13# +1^# +1p# +1=$ +1O$ +1z$ +1.% +1Y% +1k% +18& +1J& +1u& +1)' +1T' +1f' +13( +1E( +1p( +1$) +1O) +1a) +1.* +1@* +1k* +1}* +1J+ +1\+ +1), +1;, +1f, +1x, +1E- +1W- +1$. +16. +1a. +1s. +1@/ +1R/ +1}/ +110 +1\0 +1n0 +1;1 +1M1 +1x1 +1,2 +1W2 +1i2 +163 +1H3 +1s3 +1'4 +1R4 +1d4 +115 +1C5 +1n5 +1"6 +1v' +14) +1P* +1l+ +0<2 +0X3 +0t4 +0} +0R" +#44030000 +0V# +0r$ +00& +1L' +1h( +1&* +1B+ +1^, +1z- +18/ +1T0 +0P +1+( +1G) +1c* +1!, +1o# +1-% +1I& +0e' +0#) +0?* +0[+ +1i +0D( +0`) +0|* +0:, +0V +0h +08" +0J" +0}" +01# +0\# +0n# +0;$ +0M$ +0x$ +0,% +0W% +0i% +06& +0H& +0s& +0'' +0R' +0d' +01( +0C( +0n( +0") +0M) +0_) +0,* +0>* +0i* +0{* +0H+ +0Z+ +0', +09, +0d, +0v, +0C- +0U- +0". +04. +0_. +0q. +0>/ +0P/ +0{/ +0/0 +0Z0 +0l0 +091 +0K1 +0v1 +0*2 +0U2 +0g2 +043 +0F3 +0q3 +0%4 +0P4 +0b4 +0/5 +0A5 +0l5 +0~5 +0S" +0># +b11000z1 A# +b11000z1 N# +0Z$ +b11000z1 ]$ +b11000z1 j$ +0v% +b11000z1 y% +b11000z1 (& +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +b101001z0 I, +b101001z0 V, +0b- +b101001z1 e- +b101001z1 r- +0~. +b101001z1 #/ +b101001z1 0/ +0<0 +b101001z1 ?0 +b101001z1 L0 +172 +1S3 +1o4 +09 +b11010z1 ; +b11010z1 H +1y +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +0=2 +0Y3 +0u4 +0~ +#44040000 +0z' +08) +0T* +0p+ +1@2 +1\3 +1x4 +1#" +1g' +1%) +1A* +1]+ +1F( +1b) +1~* +1<, +1Y +1M" +14# +1P$ +1l% +1*' +1y, +1X- +17. +1t. +1S/ +120 +1o0 +1N1 +1-2 +1j2 +1I3 +1(4 +1e4 +1D5 +1#6 +0>2 +0Z3 +0v4 +0!" +#44050000 +1u# +13% +1O& +0k' +0)) +0E* +0a+ +1o +0J( +0f) +0$+ +0@, +0W +0i +09" +0~" +0]# +0o# +0<$ +0y$ +0-% +0X% +07& +0I& +0t& +0w1 +0V2 +053 +0r3 +0Q4 +005 +0m5 +0U" +0C# +0_$ +0{% +19' +1U( +1q) +1/+ +0J, +0f- +0$/ +0@0 +1<2 +1X3 +1t4 +0= +1} +1|' +1:) +1V* +1r+ +#44060000 +0r2 +004 +0L5 +1C2 +1_3 +1{4 +1&" +1-" +1r" +10$ +1L% +1h& +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +062 +0R3 +0n4 +b1010101111111110000000000000000 ) +0! +0D# +0`$ +0|% +1:' +1V( +1r) +10+ +1L, +1h- +1&/ +1B0 +1=2 +1Y3 +1u4 +0> +1~ +#44070000 +0#- +1)( +1E) +1a* +1}+ +1|2 +1:4 +1V5 +1G# +1c$ +1!& +0=' +0Y( +0u) +03+ +0O, +0k- +0)/ +0E0 +0@2 +0\3 +0x4 +1A +0#" +1Q# +1m$ +1+& +1K +0] +0o +0?" +0&# +0c# +0u# +0B$ +0!% +03% +0^% +0=& +0O& +0z& +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0p +0E, +b1010101111111100000000000000000 ) +1>2 +1Z3 +1v4 +1!" +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +#44080000 +1M2 +1i3 +1'5 +10" +1-- +0{2 +094 +0U5 +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1)" +1n" +1,$ +1H% +1d& +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +1g1 +1F2 +1%3 +1b3 +1A4 +1~4 +1]5 +0I# +0e$ +0#& +1?' +1[( +1w) +15+ +1Q, +0C +#44090000 +1r2 +104 +1L5 +01- +0,- +1!3 +1=4 +1Y5 +0n- +0,/ +0H0 +0C2 +0_3 +0{4 +0&" +1M# +1i$ +1'& +1G +0K +0," +0q" +0P# +0Q# +0/$ +0l$ +0m$ +0K% +0*& +0+& +0g& +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +162 +1R3 +1n4 +b1111111111111100000000000000000 ) +1! +1" +#44100000 +0Q3 +0m4 +0t +0T# +0p$ +0.& +1J' +1f( +1$* +1@+ +1\, +0N +0|2 +0:4 +0V5 +0s2 +014 +0M5 +b101011111111100000000000000000 ) +1s +1\" +1x# +16% +1R& +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +b11111111111111111111111110101010 # +b11111111111111111111111110101010 / +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1P, +b101001z1 I, +b101001z1 V, +0B +b11010z0 ; +b11010z0 H +#44110000 +0;- +1,3 +1H4 +1d5 +0x- +06/ +0R0 +0M2 +0i3 +0'5 +00" +1[3 +1w4 +1"" +1V" +b1111110 1 +b10101zz + +1{2 +194 +1U5 +0/- +b100100z0 (- +b100100z0 5- +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +1;# +1W$ +1s% +17 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +0G +0M# +0i$ +0'& +#44120000 +0Q +b0zz + +0Z3 +0v4 +0!" +0Y" +0!3 +0=4 +0Y5 +b11000z0 ; +b11000z0 H +00 +#44130000 +1Q3 +1m4 +1t +b101000 1 +1^3 +1z4 +1%" +1s2 +114 +1M5 +b1111111111111100000000000000000 ) +07 +0;# +0W$ +0s% +b11111111111111111111111110101010 # +b11111111111111111111111110101010 / +#44140000 +004 +0L5 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +b10101zz + +b0 1 +0Y +0R3 +0n4 +b1010111111111100000000000000000 ) +0! +0x +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44150000 +1i3 +1'5 +10" +1:4 +1V5 +1Z3 +1v4 +1!" +1Y" +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#44160000 +094 +0U5 +0^3 +0z4 +0%" +0J +#44170000 +104 +1L5 +1Q +1=4 +1Y5 +1R3 +1n4 +b1111111111111100000000000000000 ) +1! +1x +b11010z0 ; +b11010z0 H +10 +#44180000 +0m4 +0t +0i3 +0'5 +00" +0:4 +0V5 +014 +0M5 +b101111111111100000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#44190000 +1H4 +1d5 +1w4 +1"" +1V" +194 +1U5 +1Y +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#44200000 +0Q +0v4 +0!" +0Y" +0=4 +0Y5 +b11000z0 ; +b11000z0 H +00 +#44210000 +1m4 +1t +1z4 +1%" +114 +1M5 +b1111111111111100000000000000000 ) +1J +#44220000 +0L5 +0H4 +0d5 +0w4 +0"" +0V" +0Y +0n4 +b1011111111111100000000000000000 ) +0! +0x +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44230000 +1'5 +10" +1V5 +1v4 +1!" +1Y" +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#44240000 +0U5 +0z4 +0%" +0J +#44250000 +1L5 +1Q +1Y5 +1n4 +b1111111111111100000000000000000 ) +1! +1x +b11010z0 ; +b11010z0 H +10 +#44260000 +0t +0'5 +00" +0V5 +0M5 +b111111111111100000000000000000 ) +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#44270000 +1d5 +1"" +1V" +1U5 +1Y +1X5 +b101001z1 Q5 +b101001z1 ^5 +#44280000 +0Q +0!" +0Y" +0Y5 +b11000z0 ; +b11000z0 H +00 +#44290000 +1t +1%" +1M5 +b1111111111111100000000000000000 ) +1J +#44300000 +0d5 +0"" +0V" +0Y +0! +0x +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44310000 +10" +1!" +1Y" +1$" +b101001z1 { +b101001z1 *" +#44320000 +0%" +0J +#44330000 +1Q +1! +1x +b11010z0 ; +b11010z0 H +10 +#44340000 +00" +0$" +b101001z0 { +b101001z0 *" +#44350000 +1Y +#44360000 +0Q +0" +b11000z0 ; +b11000z0 H +00 +#44370000 +1J +#44380000 +0Y +#44390000 +1Q +b11010z0 ; +b11010z0 H +10 +#44400000 +0J +#44410000 +1Y +#44430000 +1J +#46000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +1B, +1^- +1z. +180 +032 +0O3 +0k4 +16 +0r +0l' +0*) +0F* +0b+ +122 +1N3 +1j4 +1q +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#46010000 +0h# +0&% +0B& +1^' +1z( +18* +1T+ +0o, +0-. +0I/ +0e0 +0b +1=( +1Y) +1u* +13, +b1000z0 A# +b1000z0 N# +b1000z0 ]$ +b1000z0 j$ +b1000z0 y% +b1000z0 (& +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b100001z1 I, +b100001z1 V, +b100001z0 e- +b100001z0 r- +b100001z0 #/ +b100001z0 0/ +b100001z0 ?0 +b100001z0 L0 +b1010z0 ; +b1010z0 H +1w +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +#46020000 +1i# +1'% +1C& +0_' +0{( +09* +0U+ +1n, +1,. +1H/ +1d0 +1c +0>( +0Z) +0v* +04, +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b100101z1 I, +b100101z1 V, +b100101z0 e- +b100101z0 r- +b100101z0 #/ +b100101z0 0/ +b100101z0 ?0 +b100101z0 L0 +b101010z0 ; +b101010z0 H +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +0v' +04) +0P* +0l+ +1;2 +1W3 +1s4 +1| +1S" +#46030000 +1V# +1r$ +10& +0L' +0h( +0&* +0B+ +0^, +0z- +08/ +0T0 +1P +0+( +0G) +0c* +0!, +1R" +1># +b101001z0 A# +b101001z0 N# +1Z$ +b101001z0 ]$ +b101001z0 j$ +1v% +b101001z0 y% +b101001z0 (& +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +1F, +b100100z1 I, +b100100z1 V, +1b- +b100100z0 e- +b100100z0 r- +1~. +b100100z0 #/ +b100100z0 0/ +1<0 +b100100z0 ?0 +b100100z0 L0 +072 +0S3 +0o4 +19 +b101011z0 ; +b101011z0 H +0y +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +0=2 +0Y3 +0u4 +0~ +#46040000 +1z' +18) +1T* +1p+ +1@2 +1\3 +1x4 +1#" +1q# +1/% +1K& +0g' +0%) +0A* +0]+ +1k +0F( +0b) +0~* +0<, +1U" +#46050000 +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +1J, +1f- +1$/ +1@0 +0;2 +0W3 +0s4 +1= +0| +0|' +0:) +0V* +0r+ +#46060000 +1C2 +1_3 +1{4 +1&" +1Q# +1m$ +1+& +0G' +0c( +0!* +0=+ +1K +0&( +0B) +0^* +0z+ +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#46070000 +1#- +0)( +0E) +0a* +0}+ +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +1E, +b1111111111111110000000000000000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +#46080000 +1M2 +1i3 +1'5 +10" +0-- +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1M# +1i$ +1'& +0C' +0_( +0{) +09+ +1G +0"( +0>) +0Z* +0v+ +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +0Q, +1C +#46090000 +11- +1,- +1n- +1,/ +1H0 +0C2 +0_3 +0{4 +0&" +#46100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +0\, +1N +1;# +1W$ +1s% +01' +0M( +0i) +0'+ +17 +0n' +0,) +0H* +0d+ +b11111111111111110000000011111111 # +b11111111111111110000000011111111 / +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1B +b101011z1 ; +b101011z1 H +#46110000 +1;- +1x- +16/ +1R0 +0M2 +0i3 +0'5 +00" +b11111111000000zz + +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#48000000 diff --git a/bitAlu.t.v b/bitAlu.t.v new file mode 100644 index 0000000..4ffff71 --- /dev/null +++ b/bitAlu.t.v @@ -0,0 +1,110 @@ +`timescale 1 ns / 1 ps +`include "alu.v" + +module testALUunit (); + reg bitA, bitB, carryin, less, invertBFlag; + reg[2:0] muxIndex; + wire bitR; + wire carryout; + + ALUunit alu (bitR, carryout, bitA, bitB, carryin, less, muxIndex, invertBFlag); + + initial begin + $display("testing ADD"); + $display("bitA bitB carryin less muxIndex invertBFlag | bitR carryout"); + + bitA=1;bitB=1;carryin=0;less=0;muxIndex=`AND;invertBFlag=0; #3000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=`AND;invertBFlag=0; #3000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing SUB"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing XOR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing SLT"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd3;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=0;less=1;muxIndex=3'd3;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing AND"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing NAND"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing NOR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing OR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + end +endmodule diff --git a/makefile b/makefile new file mode 100644 index 0000000..6da697b --- /dev/null +++ b/makefile @@ -0,0 +1,11 @@ +all: compile test + +compile: multiplexer.v adder.v 3bitMux.v alu.v + iverilog -o 3bitMux_test 3bitMux.t.v + iverilog -o bitALU_test bitAlu.t.v + iverilog -o alu_test alu.t.v + +test: multiplexer.v adder.v 3bitMux.v alu.v + ./3bitMux_test + ./bitALU_test + ./alu_test diff --git a/multiplexer.v b/multiplexer.v new file mode 100644 index 0000000..cdc87c7 --- /dev/null +++ b/multiplexer.v @@ -0,0 +1,37 @@ +// 2bit address Multiplexer circuit +module structuralMultiplexer +( + output out, + input address0, address1, + input in0, in1, in2, in3 +); + // Your multiplexer code here + wire nA0; + wire nA1; + wire input0Wire0; + wire input0Wire1; + wire input1Wire0; + wire input1Wire1; + wire input2Wire0; + wire input2Wire1; + wire input3Wire0; + wire input3Wire1; + wire orWire0; + wire orWire1; + + `NOTgate invA0(nA0, address0); + `NOTgate invA1(nA1, address1); + `ANDgate input0And0(input0Wire0, nA0, nA1); + `ANDgate input0And1(input0Wire1, input0Wire0, in0); + `ANDgate input1And0(input1Wire0, address0, nA1); + `ANDgate input1And1(input1Wire1, input1Wire0, in1); + `ANDgate input2And0(input2Wire0, nA0, address1); + `ANDgate input2And1(input2Wire1, input2Wire0, in2); + `ANDgate input3And0(input3Wire0, address0, address1); + `ANDgate input3And1(input3Wire1, input3Wire0, in3); + + `ORgate or1(orWire0, input0Wire1, input1Wire1); + `ORgate or2(orWire1, orWire0, input2Wire1); + `ORgate or3(out, orWire1, input3Wire1); +endmodule + diff --git a/work_plan.txt b/work_plan.txt new file mode 100644 index 0000000..f78f6cd --- /dev/null +++ b/work_plan.txt @@ -0,0 +1,11 @@ +ALU verilog implementation(alu.v) (3 hours) ~10/6 + +Test bench implementation(alu.t.v) (2 hours) ~10/6 + +Revising the code (2 hours) ~10/7 + +Experiment with FPGA (2 hours) ~10/8 + +Analyzing the result (2 hours) ~10/9 + +Writing Report (2 hours) ~10/10